ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ ('KEY LOCKING SINGLE POINT COMPRESSION LATCH'), /* implementation_level */ '2;1'); FILE_NAME( /* name */ '28530.stp', /* time_stamp */ '2023-11-29T11:11:13-05:00', /* author */ ('dtinay'), /* organization */ ('Eberhard Manufacturing Company'), /* preprocessor_version */ 'ST-DEVELOPER v20', /* originating_system */ 'Autodesk Inventor 2024', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#205,#206, #207,#208,#209,#210,#211,#212,#213,#214,#215,#216,#217,#218,#219,#220,#221, #222,#223,#224,#225,#226,#227,#228,#229,#230,#231,#232,#233,#234,#235,#236, #237,#238,#239,#240,#241,#242,#243,#244,#245,#246,#247,#248,#249,#250,#251, #252,#253,#254,#255,#256,#257,#258,#259,#260,#261,#262,#263,#264,#265,#266, #267,#268,#269,#270,#271,#272,#273,#274,#275,#276,#277,#278,#279,#280,#281, #282,#283,#284,#285,#286,#287,#288,#289,#290,#291,#292,#293,#294,#295,#296, #297,#298,#299,#300,#301,#302,#303,#304,#305,#306,#307,#308,#309,#310,#311, #312,#313,#314,#315,#316,#317,#318,#319,#320,#321,#322,#323,#324,#325,#326, #327,#328,#329,#330,#331,#332,#333,#334,#335,#336,#337,#338,#339,#340,#341, #342,#343,#344,#345,#346,#347,#348,#349,#350,#351,#352,#353,#354,#355,#356, #357,#358,#359,#360,#361,#362,#363,#364,#365,#366,#367,#368,#369,#370,#371, #372,#373,#374,#375,#376,#377,#378,#379,#380,#381,#382,#383,#384,#385,#386, #387,#388,#389,#390,#391,#392,#393,#394,#395,#396,#397,#398,#399,#400,#401, #402,#403,#404,#405,#406,#407,#408,#409,#410,#411,#412,#413,#414,#415,#416, #417,#418,#419,#420,#421,#422,#423,#424,#425,#426,#427,#428,#429,#430,#431, #432,#433,#434,#435,#436,#437,#438,#439,#440,#441,#442,#443,#444,#445,#446, #447,#448,#449,#450,#451,#452,#453,#454,#455,#456,#457,#458,#459,#460,#461, #462,#463,#464,#465,#466,#467,#468,#469,#470,#471,#472,#473,#474,#475,#476, #477,#478,#479,#480,#481,#482,#483,#484,#485,#486,#487,#488,#489,#490,#491, #492,#493,#494,#495,#496,#497,#498,#499,#500,#501,#502,#503,#504,#505,#506, #507,#508,#509,#510,#511,#512,#513,#514,#515,#516,#517,#518,#519,#520,#521, #522,#523,#524,#525,#526,#527,#528,#529,#530,#531,#532,#533,#534,#535,#536, #537,#538,#539,#540,#541,#542,#543,#544,#545,#546,#547,#548,#549,#550,#551, #552,#553,#554,#555,#556,#557,#558,#559,#560,#561,#562,#563,#564,#565,#566, #567,#568,#569,#570,#571,#572,#573,#574,#575,#576,#577,#578,#579,#580,#581, #582,#583,#584,#585,#586,#587,#588,#589,#590,#591,#592,#593,#594,#595,#596, #597,#598,#599,#600,#601,#602,#603,#604,#605,#606,#607,#608,#609,#610,#611, #612,#613,#614,#615,#616,#617,#618,#619,#620,#621,#622,#623,#624,#625,#626, #627,#628,#629,#630,#631,#632,#633,#634,#635,#636,#637,#638,#639,#640,#641, #642,#643,#644,#645,#646,#647,#648,#649,#650,#651,#652,#653,#654,#655,#656, #657,#658,#659,#660,#661,#662,#663,#664),#194092); #11=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#194363),#194214,#664, (#72,#63,#61)); #12=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#194363),#194214,#664, (#72,#63,#62)); #13=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#194363),#194211,#664, (#72,#63,#60)); #14=MAPPED_ITEM('',#15,#98622); #15=REPRESENTATION_MAP(#98622,#194199); #16=ITEM_DEFINED_TRANSFORMATION($,$,#91050,#95073); #17=ITEM_DEFINED_TRANSFORMATION($,$,#94936,#95074); #18=ITEM_DEFINED_TRANSFORMATION($,$,#94936,#95075); #19=ITEM_DEFINED_TRANSFORMATION($,$,#95077,#95650); #20=ITEM_DEFINED_TRANSFORMATION($,$,#95263,#95651); #21=ITEM_DEFINED_TRANSFORMATION($,$,#95607,#95652); #22=ITEM_DEFINED_TRANSFORMATION($,$,#95627,#95653); #23=ITEM_DEFINED_TRANSFORMATION($,$,#95630,#95654); #24=ITEM_DEFINED_TRANSFORMATION($,$,#95658,#95977); #25=ITEM_DEFINED_TRANSFORMATION($,$,#95907,#95978); #26=ITEM_DEFINED_TRANSFORMATION($,$,#95657,#96017); #27=ITEM_DEFINED_TRANSFORMATION($,$,#95979,#96018); #28=ITEM_DEFINED_TRANSFORMATION($,$,#95979,#96019); #29=ITEM_DEFINED_TRANSFORMATION($,$,#95656,#96123); #30=ITEM_DEFINED_TRANSFORMATION($,$,#96020,#96124); #31=ITEM_DEFINED_TRANSFORMATION($,$,#96029,#96125); #32=ITEM_DEFINED_TRANSFORMATION($,$,#96056,#96126); #33=ITEM_DEFINED_TRANSFORMATION($,$,#96091,#96127); #34=ITEM_DEFINED_TRANSFORMATION($,$,#96276,#96895); #35=ITEM_DEFINED_TRANSFORMATION($,$,#96891,#96896); #36=ITEM_DEFINED_TRANSFORMATION($,$,#91049,#98608); #37=ITEM_DEFINED_TRANSFORMATION($,$,#95076,#98609); #38=ITEM_DEFINED_TRANSFORMATION($,$,#95655,#98610); #39=ITEM_DEFINED_TRANSFORMATION($,$,#96128,#98611); #40=ITEM_DEFINED_TRANSFORMATION($,$,#96275,#98612); #41=ITEM_DEFINED_TRANSFORMATION($,$,#96897,#98613); #42=ITEM_DEFINED_TRANSFORMATION($,$,#96897,#98614); #43=ITEM_DEFINED_TRANSFORMATION($,$,#96924,#98615); #44=ITEM_DEFINED_TRANSFORMATION($,$,#97273,#98616); #45=ITEM_DEFINED_TRANSFORMATION($,$,#97280,#98617); #46=ITEM_DEFINED_TRANSFORMATION($,$,#97597,#98618); #47=ITEM_DEFINED_TRANSFORMATION($,$,#97879,#98619); #48=ITEM_DEFINED_TRANSFORMATION($,$,#98026,#98620); #49=ITEM_DEFINED_TRANSFORMATION($,$,#98383,#98621); #50=( REPRESENTATION_RELATIONSHIP($,$,#194201,#194200) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#16) SHAPE_REPRESENTATION_RELATIONSHIP() ); #51=( REPRESENTATION_RELATIONSHIP($,$,#194202,#194200) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#17) SHAPE_REPRESENTATION_RELATIONSHIP() ); #52=( REPRESENTATION_RELATIONSHIP($,$,#194202,#194200) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#18) SHAPE_REPRESENTATION_RELATIONSHIP() ); #53=( REPRESENTATION_RELATIONSHIP($,$,#194204,#194203) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#19) SHAPE_REPRESENTATION_RELATIONSHIP() ); #54=( REPRESENTATION_RELATIONSHIP($,$,#194205,#194203) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#20) SHAPE_REPRESENTATION_RELATIONSHIP() ); #55=( REPRESENTATION_RELATIONSHIP($,$,#194206,#194203) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#21) SHAPE_REPRESENTATION_RELATIONSHIP() ); #56=( REPRESENTATION_RELATIONSHIP($,$,#194207,#194203) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#22) SHAPE_REPRESENTATION_RELATIONSHIP() ); #57=( REPRESENTATION_RELATIONSHIP($,$,#194208,#194203) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#23) SHAPE_REPRESENTATION_RELATIONSHIP() ); #58=( REPRESENTATION_RELATIONSHIP($,$,#194212,#194211) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#24) SHAPE_REPRESENTATION_RELATIONSHIP() ); #59=( REPRESENTATION_RELATIONSHIP($,$,#194213,#194211) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25) SHAPE_REPRESENTATION_RELATIONSHIP() ); #60=( REPRESENTATION_RELATIONSHIP($,$,#194211,#194210) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#26) SHAPE_REPRESENTATION_RELATIONSHIP() ); #61=( REPRESENTATION_RELATIONSHIP($,$,#194214,#194210) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#27) SHAPE_REPRESENTATION_RELATIONSHIP() ); #62=( REPRESENTATION_RELATIONSHIP($,$,#194214,#194210) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#28) SHAPE_REPRESENTATION_RELATIONSHIP() ); #63=( REPRESENTATION_RELATIONSHIP($,$,#194210,#194209) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#29) SHAPE_REPRESENTATION_RELATIONSHIP() ); #64=( REPRESENTATION_RELATIONSHIP($,$,#194215,#194209) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#30) SHAPE_REPRESENTATION_RELATIONSHIP() ); #65=( REPRESENTATION_RELATIONSHIP($,$,#194216,#194209) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#31) SHAPE_REPRESENTATION_RELATIONSHIP() ); #66=( REPRESENTATION_RELATIONSHIP($,$,#194217,#194209) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#32) SHAPE_REPRESENTATION_RELATIONSHIP() ); #67=( REPRESENTATION_RELATIONSHIP($,$,#194218,#194209) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#33) SHAPE_REPRESENTATION_RELATIONSHIP() ); #68=( REPRESENTATION_RELATIONSHIP($,$,#194221,#194220) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#34) SHAPE_REPRESENTATION_RELATIONSHIP() ); #69=( REPRESENTATION_RELATIONSHIP($,$,#194222,#194220) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#35) SHAPE_REPRESENTATION_RELATIONSHIP() ); #70=( REPRESENTATION_RELATIONSHIP($,$,#194200,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#36) SHAPE_REPRESENTATION_RELATIONSHIP() ); #71=( REPRESENTATION_RELATIONSHIP($,$,#194203,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#37) SHAPE_REPRESENTATION_RELATIONSHIP() ); #72=( REPRESENTATION_RELATIONSHIP($,$,#194209,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#38) SHAPE_REPRESENTATION_RELATIONSHIP() ); #73=( REPRESENTATION_RELATIONSHIP($,$,#194219,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#39) SHAPE_REPRESENTATION_RELATIONSHIP() ); #74=( REPRESENTATION_RELATIONSHIP($,$,#194220,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#40) SHAPE_REPRESENTATION_RELATIONSHIP() ); #75=( REPRESENTATION_RELATIONSHIP($,$,#194223,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#41) SHAPE_REPRESENTATION_RELATIONSHIP() ); #76=( REPRESENTATION_RELATIONSHIP($,$,#194223,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#42) SHAPE_REPRESENTATION_RELATIONSHIP() ); #77=( REPRESENTATION_RELATIONSHIP($,$,#194224,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#43) SHAPE_REPRESENTATION_RELATIONSHIP() ); #78=( REPRESENTATION_RELATIONSHIP($,$,#194225,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#44) SHAPE_REPRESENTATION_RELATIONSHIP() ); #79=( REPRESENTATION_RELATIONSHIP($,$,#194226,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#45) SHAPE_REPRESENTATION_RELATIONSHIP() ); #80=( REPRESENTATION_RELATIONSHIP($,$,#194227,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#46) SHAPE_REPRESENTATION_RELATIONSHIP() ); #81=( REPRESENTATION_RELATIONSHIP($,$,#194228,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#47) SHAPE_REPRESENTATION_RELATIONSHIP() ); #82=( REPRESENTATION_RELATIONSHIP($,$,#194229,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#48) SHAPE_REPRESENTATION_RELATIONSHIP() ); #83=( REPRESENTATION_RELATIONSHIP($,$,#194230,#194199) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#49) SHAPE_REPRESENTATION_RELATIONSHIP() ); #84=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#50,#194137); #85=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#51,#194138); #86=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#52,#194139); #87=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#53,#194146); #88=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#54,#194147); #89=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#55,#194148); #90=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#56,#194149); #91=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#57,#194150); #92=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#58,#194156); #93=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#59,#194157); #94=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#60,#194159); #95=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#61,#194160); #96=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#62,#194161); #97=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#63,#194166); #98=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#64,#194167); #99=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#65,#194168); #100=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#66,#194169); #101=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#67,#194170); #102=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#68,#194175); #103=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#69,#194176); #104=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#70,#194185); #105=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#71,#194186); #106=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#72,#194187); #107=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#73,#194188); #108=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#74,#194189); #109=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#75,#194190); #110=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#76,#194191); #111=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#77,#194192); #112=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#78,#194193); #113=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#79,#194194); #114=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#80,#194195); #115=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#81,#194196); #116=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#82,#194197); #117=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#83,#194198); #118=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-1:1','28500-1:1','28500-1:1', #194233,#194234,'28500-1:1'); #119=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-28:1','28500-28:1', '28500-28:1',#194233,#194235,'28500-28:1'); #120=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-28:2','28500-28:2', '28500-28:2',#194233,#194235,'28500-28:2'); #121=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-4:1','28500-4:1','28500-4:1', #194236,#194237,'28500-4:1'); #122=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-2:1','28500-2:1','28500-2:1', #194236,#194238,'28500-2:1'); #123=NEXT_ASSEMBLY_USAGE_OCCURRENCE('5661-SS16 RIVETED @849:1', '5661-SS16 RIVETED @849:1','5661-SS16 RIVETED @849:1',#194236,#194239, '5661-SS16 RIVETED @849:1'); #124=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-16800-17 Assembled:1', '1-16800-17 Assembled:1','1-16800-17 Assembled:1',#194236,#194240, '1-16800-17 Assembled:1'); #125=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-20 ASSEMBLED:1', '28500-20 ASSEMBLED:1','28500-20 ASSEMBLED:1',#194236,#194241, '28500-20 ASSEMBLED:1'); #126=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28530-47:1','28530-47:1', '28530-47:1',#194244,#194245,'28530-47:1'); #127=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-28400-48:1','1-28400-48:1', '1-28400-48:1',#194244,#194246,'1-28400-48:1'); #128=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28530-50:1','28530-50:1', '28530-50:1',#194243,#194244,'28530-50:1'); #129=NEXT_ASSEMBLY_USAGE_OCCURRENCE('2-9020-2 C_SUNK RIVETED:1', '2-9020-2 C_SUNK RIVETED:1','2-9020-2 C_SUNK RIVETED:1',#194243,#194247, '2-9020-2 C_SUNK RIVETED:1'); #130=NEXT_ASSEMBLY_USAGE_OCCURRENCE('2-9020-2 C_SUNK RIVETED:2', '2-9020-2 C_SUNK RIVETED:2','2-9020-2 C_SUNK RIVETED:2',#194243,#194247, '2-9020-2 C_SUNK RIVETED:2'); #131=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28530-51:1','28530-51:1', '28530-51:1',#194242,#194243,'28530-51:1'); #132=NEXT_ASSEMBLY_USAGE_OCCURRENCE('62-240-11:1','62-240-11:1', '62-240-11:1',#194242,#194248,'62-240-11:1'); #133=NEXT_ASSEMBLY_USAGE_OCCURRENCE( '3-2500-7 DO NOT USE - USE NEW VERSION:1', '3-2500-7 DO NOT USE - USE NEW VERSION:1', '3-2500-7 DO NOT USE - USE NEW VERSION:1',#194242,#194249, '3-2500-7 DO NOT USE - USE NEW VERSION:1'); #134=NEXT_ASSEMBLY_USAGE_OCCURRENCE('7-2500-8:1','7-2500-8:1', '7-2500-8:1',#194242,#194250,'7-2500-8:1'); #135=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28400-18:1','28400-18:1', '28400-18:1',#194242,#194251,'28400-18:1'); #136=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500DB-5:1','28500DB-5:1', '28500DB-5:1',#194253,#194254,'28500DB-5:1'); #137=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-9010DB-5 O-RING:1', '1-9010DB-5 O-RING:1','1-9010DB-5 O-RING:1',#194253,#194255, '1-9010DB-5 O-RING:1'); #138=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-1:1','28500-1:1','28500-1:1', #194232,#194233,'28500-1:1'); #139=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-55:1','28500-55:1', '28500-55:1',#194232,#194236,'28500-55:1'); #140=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28530-52:1','28530-52:1', '28530-52:1',#194232,#194242,'28530-52:1'); #141=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-28500-6:1','1-28500-6:1', '1-28500-6:1',#194232,#194252,'1-28500-6:1'); #142=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500DB-5:1','28500DB-5:1', '28500DB-5:1',#194232,#194253,'28500DB-5:1'); #143=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16370:1','16370:1','16370:1',#194232, #194256,'16370:1'); #144=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16370:2','16370:2','16370:2',#194232, #194256,'16370:2'); #145=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-13:1','28500-13:1', '28500-13:1',#194232,#194257,'28500-13:1'); #146=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-21SS Assembled @384:1', '28500-21SS Assembled @384:1','28500-21SS Assembled @384:1',#194232,#194258, '28500-21SS Assembled @384:1'); #147=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-34:1','28500-34:1', '28500-34:1',#194232,#194259,'28500-34:1'); #148=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-16:1','28500-16:1', '28500-16:1',#194232,#194260,'28500-16:1'); #149=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16724:1','16724:1','16724:1',#194232, #194261,'16724:1'); #150=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES:1', 'COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES:1', 'COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES:1',#194232,#194262, 'COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES:1'); #151=NEXT_ASSEMBLY_USAGE_OCCURRENCE('28500-10 ASSEMBLED:1', '28500-10 ASSEMBLED:1','28500-10 ASSEMBLED:1',#194232,#194263, '28500-10 ASSEMBLED:1'); #152=GEOMETRICALLY_BOUNDED_WIREFRAME_SHAPE_REPRESENTATION('',(#153),#194063); #153=GEOMETRIC_CURVE_SET('Sketch1',(#167900)); #154=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194201,#180); #155=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194202,#181); #156=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194202,#152); #157=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194204,#182); #158=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194205,#183); #159=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194206,#184); #160=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194207,#185); #161=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194208,#186); #162=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194212,#187); #163=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194213,#188); #164=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194214,#189); #165=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194215,#190); #166=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194216,#191); #167=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194217,#192); #168=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194218,#193); #169=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194219,#194); #170=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194221,#195); #171=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194222,#196); #172=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194223,#197); #173=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194224,#198); #174=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194225,#199); #175=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194226,#200); #176=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194227,#201); #177=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194228,#202); #178=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194229,#203); #179=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#194230,#204); #180=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#665),#194062); #181=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#666),#194063); #182=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#667),#194065); #183=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#668),#194066); #184=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#669),#194067); #185=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#670),#194068); #186=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#671),#194069); #187=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#672),#194073); #188=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#673),#194074); #189=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#674),#194075); #190=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#675),#194076); #191=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#676),#194077); #192=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#677),#194078); #193=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#678),#194079); #194=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#679),#194080); #195=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#680,#681,#682,#683,#684,#685, #686),#194082); #196=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#687),#194083); #197=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#688),#194084); #198=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#689),#194085); #199=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#690),#194086); #200=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#691),#194087); #201=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#692),#194088); #202=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#693),#194089); #203=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#694),#194090); #204=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#695),#194091); #205=STYLED_ITEM('',(#194364),#665); #206=STYLED_ITEM('',(#194365),#666); #207=STYLED_ITEM('',(#194367),#667); #208=STYLED_ITEM('',(#194368),#668); #209=STYLED_ITEM('',(#194369),#669); #210=STYLED_ITEM('',(#194370),#670); #211=STYLED_ITEM('',(#194370),#88673); #212=STYLED_ITEM('',(#194370),#88674); #213=STYLED_ITEM('',(#194370),#671); #214=STYLED_ITEM('',(#194371),#672); #215=STYLED_ITEM('',(#194371),#673); #216=STYLED_ITEM('',(#194371),#88919); #217=STYLED_ITEM('',(#194371),#88920); #218=STYLED_ITEM('',(#194371),#88921); #219=STYLED_ITEM('',(#194371),#88922); #220=STYLED_ITEM('',(#194371),#88923); #221=STYLED_ITEM('',(#194371),#88924); #222=STYLED_ITEM('',(#194371),#88925); #223=STYLED_ITEM('',(#194371),#88926); #224=STYLED_ITEM('',(#194371),#88927); #225=STYLED_ITEM('',(#194371),#88928); #226=STYLED_ITEM('',(#194371),#88929); #227=STYLED_ITEM('',(#194371),#88930); #228=STYLED_ITEM('',(#194371),#674); #229=STYLED_ITEM('',(#194371),#675); #230=STYLED_ITEM('',(#194372),#676); #231=STYLED_ITEM('',(#194373),#677); #232=STYLED_ITEM('',(#194374),#678); #233=STYLED_ITEM('',(#194373),#679); #234=STYLED_ITEM('',(#194376),#89055); #235=STYLED_ITEM('',(#194376),#89056); #236=STYLED_ITEM('',(#194376),#89057); #237=STYLED_ITEM('',(#194376),#89058); #238=STYLED_ITEM('',(#194376),#89059); #239=STYLED_ITEM('',(#194376),#89060); #240=STYLED_ITEM('',(#194376),#89061); #241=STYLED_ITEM('',(#194376),#89062); #242=STYLED_ITEM('',(#194376),#89063); #243=STYLED_ITEM('',(#194376),#89064); #244=STYLED_ITEM('',(#194376),#89065); #245=STYLED_ITEM('',(#194376),#89066); #246=STYLED_ITEM('',(#194376),#89067); #247=STYLED_ITEM('',(#194376),#89068); #248=STYLED_ITEM('',(#194376),#89069); #249=STYLED_ITEM('',(#194376),#89070); #250=STYLED_ITEM('',(#194376),#89071); #251=STYLED_ITEM('',(#194376),#89072); #252=STYLED_ITEM('',(#194376),#89073); #253=STYLED_ITEM('',(#194376),#89074); #254=STYLED_ITEM('',(#194376),#89075); #255=STYLED_ITEM('',(#194376),#89076); #256=STYLED_ITEM('',(#194376),#89077); #257=STYLED_ITEM('',(#194376),#89078); #258=STYLED_ITEM('',(#194376),#89079); #259=STYLED_ITEM('',(#194376),#89080); #260=STYLED_ITEM('',(#194376),#89081); #261=STYLED_ITEM('',(#194376),#89082); #262=STYLED_ITEM('',(#194376),#89083); #263=STYLED_ITEM('',(#194376),#89084); #264=STYLED_ITEM('',(#194376),#89085); #265=STYLED_ITEM('',(#194376),#89086); #266=STYLED_ITEM('',(#194376),#89087); #267=STYLED_ITEM('',(#194376),#89088); #268=STYLED_ITEM('',(#194376),#89089); #269=STYLED_ITEM('',(#194376),#89090); #270=STYLED_ITEM('',(#194376),#89091); #271=STYLED_ITEM('',(#194376),#89092); #272=STYLED_ITEM('',(#194376),#89093); #273=STYLED_ITEM('',(#194376),#89094); #274=STYLED_ITEM('',(#194376),#89095); #275=STYLED_ITEM('',(#194376),#89096); #276=STYLED_ITEM('',(#194376),#89097); #277=STYLED_ITEM('',(#194376),#89098); #278=STYLED_ITEM('',(#194376),#89099); #279=STYLED_ITEM('',(#194376),#89100); #280=STYLED_ITEM('',(#194376),#89101); #281=STYLED_ITEM('',(#194376),#89102); #282=STYLED_ITEM('',(#194376),#89103); #283=STYLED_ITEM('',(#194376),#89104); #284=STYLED_ITEM('',(#194376),#89105); #285=STYLED_ITEM('',(#194376),#89106); #286=STYLED_ITEM('',(#194376),#89107); #287=STYLED_ITEM('',(#194376),#89108); #288=STYLED_ITEM('',(#194376),#89109); #289=STYLED_ITEM('',(#194376),#89110); #290=STYLED_ITEM('',(#194376),#89111); #291=STYLED_ITEM('',(#194376),#89112); #292=STYLED_ITEM('',(#194376),#89113); #293=STYLED_ITEM('',(#194376),#89114); #294=STYLED_ITEM('',(#194376),#89115); #295=STYLED_ITEM('',(#194376),#89116); #296=STYLED_ITEM('',(#194376),#89117); #297=STYLED_ITEM('',(#194376),#89118); #298=STYLED_ITEM('',(#194376),#89119); #299=STYLED_ITEM('',(#194376),#89120); #300=STYLED_ITEM('',(#194376),#89121); #301=STYLED_ITEM('',(#194376),#89122); #302=STYLED_ITEM('',(#194376),#89123); #303=STYLED_ITEM('',(#194376),#89124); #304=STYLED_ITEM('',(#194376),#89125); #305=STYLED_ITEM('',(#194376),#89126); #306=STYLED_ITEM('',(#194376),#89127); #307=STYLED_ITEM('',(#194376),#89128); #308=STYLED_ITEM('',(#194376),#89129); #309=STYLED_ITEM('',(#194376),#89130); #310=STYLED_ITEM('',(#194376),#89131); #311=STYLED_ITEM('',(#194376),#89132); #312=STYLED_ITEM('',(#194376),#89133); #313=STYLED_ITEM('',(#194376),#89134); #314=STYLED_ITEM('',(#194376),#89135); #315=STYLED_ITEM('',(#194376),#89136); #316=STYLED_ITEM('',(#194376),#89137); #317=STYLED_ITEM('',(#194376),#89138); #318=STYLED_ITEM('',(#194376),#89139); #319=STYLED_ITEM('',(#194376),#89140); #320=STYLED_ITEM('',(#194376),#89141); #321=STYLED_ITEM('',(#194376),#89142); #322=STYLED_ITEM('',(#194376),#89143); #323=STYLED_ITEM('',(#194376),#89144); #324=STYLED_ITEM('',(#194376),#89145); #325=STYLED_ITEM('',(#194376),#89146); #326=STYLED_ITEM('',(#194376),#89147); #327=STYLED_ITEM('',(#194376),#89148); #328=STYLED_ITEM('',(#194376),#89149); #329=STYLED_ITEM('',(#194377),#89197); #330=STYLED_ITEM('',(#194377),#89198); #331=STYLED_ITEM('',(#194377),#89230); #332=STYLED_ITEM('',(#194377),#89231); #333=STYLED_ITEM('',(#194377),#89232); #334=STYLED_ITEM('',(#194377),#89233); #335=STYLED_ITEM('',(#194377),#89234); #336=STYLED_ITEM('',(#194377),#89235); #337=STYLED_ITEM('',(#194377),#89236); #338=STYLED_ITEM('',(#194377),#89237); #339=STYLED_ITEM('',(#194377),#89238); #340=STYLED_ITEM('',(#194377),#89239); #341=STYLED_ITEM('',(#194377),#89240); #342=STYLED_ITEM('',(#194377),#89241); #343=STYLED_ITEM('',(#194377),#89242); #344=STYLED_ITEM('',(#194377),#89243); #345=STYLED_ITEM('',(#194377),#89244); #346=STYLED_ITEM('',(#194377),#89245); #347=STYLED_ITEM('',(#194377),#89246); #348=STYLED_ITEM('',(#194377),#89247); #349=STYLED_ITEM('',(#194377),#89248); #350=STYLED_ITEM('',(#194377),#89249); #351=STYLED_ITEM('',(#194377),#89250); #352=STYLED_ITEM('',(#194377),#89251); #353=STYLED_ITEM('',(#194377),#89252); #354=STYLED_ITEM('',(#194377),#89253); #355=STYLED_ITEM('',(#194377),#89254); #356=STYLED_ITEM('',(#194377),#89255); #357=STYLED_ITEM('',(#194377),#89256); #358=STYLED_ITEM('',(#194377),#89257); #359=STYLED_ITEM('',(#194377),#89258); #360=STYLED_ITEM('',(#194377),#89259); #361=STYLED_ITEM('',(#194377),#89260); #362=STYLED_ITEM('',(#194377),#89261); #363=STYLED_ITEM('',(#194377),#89262); #364=STYLED_ITEM('',(#194377),#89263); #365=STYLED_ITEM('',(#194377),#89264); #366=STYLED_ITEM('',(#194377),#89265); #367=STYLED_ITEM('',(#194377),#89266); #368=STYLED_ITEM('',(#194377),#89267); #369=STYLED_ITEM('',(#194377),#89268); #370=STYLED_ITEM('',(#194377),#89269); #371=STYLED_ITEM('',(#194377),#89270); #372=STYLED_ITEM('',(#194377),#89271); #373=STYLED_ITEM('',(#194377),#89272); #374=STYLED_ITEM('',(#194377),#89273); #375=STYLED_ITEM('',(#194377),#89274); #376=STYLED_ITEM('',(#194377),#89275); #377=STYLED_ITEM('',(#194377),#89276); #378=STYLED_ITEM('',(#194377),#89277); #379=STYLED_ITEM('',(#194377),#89278); #380=STYLED_ITEM('',(#194377),#89279); #381=STYLED_ITEM('',(#194377),#89280); #382=STYLED_ITEM('',(#194377),#89281); #383=STYLED_ITEM('',(#194377),#89282); #384=STYLED_ITEM('',(#194377),#89283); #385=STYLED_ITEM('',(#194377),#89284); #386=STYLED_ITEM('',(#194377),#89285); #387=STYLED_ITEM('',(#194377),#89286); #388=STYLED_ITEM('',(#194377),#89287); #389=STYLED_ITEM('',(#194377),#89288); #390=STYLED_ITEM('',(#194377),#89289); #391=STYLED_ITEM('',(#194377),#89290); #392=STYLED_ITEM('',(#194377),#89291); #393=STYLED_ITEM('',(#194377),#89292); #394=STYLED_ITEM('',(#194377),#89293); #395=STYLED_ITEM('',(#194377),#89294); #396=STYLED_ITEM('',(#194377),#89295); #397=STYLED_ITEM('',(#194377),#89296); #398=STYLED_ITEM('',(#194377),#89297); #399=STYLED_ITEM('',(#194377),#89353); #400=STYLED_ITEM('',(#194377),#89354); #401=STYLED_ITEM('',(#194377),#89355); #402=STYLED_ITEM('',(#194377),#89356); #403=STYLED_ITEM('',(#194377),#89357); #404=STYLED_ITEM('',(#194377),#89358); #405=STYLED_ITEM('',(#194377),#89359); #406=STYLED_ITEM('',(#194377),#89360); #407=STYLED_ITEM('',(#194377),#89361); #408=STYLED_ITEM('',(#194377),#89362); #409=STYLED_ITEM('',(#194377),#89363); #410=STYLED_ITEM('',(#194377),#89364); #411=STYLED_ITEM('',(#194377),#89365); #412=STYLED_ITEM('',(#194377),#89366); #413=STYLED_ITEM('',(#194377),#89367); #414=STYLED_ITEM('',(#194377),#89368); #415=STYLED_ITEM('',(#194377),#89369); #416=STYLED_ITEM('',(#194377),#89370); #417=STYLED_ITEM('',(#194377),#89371); #418=STYLED_ITEM('',(#194377),#89372); #419=STYLED_ITEM('',(#194377),#89373); #420=STYLED_ITEM('',(#194377),#89374); #421=STYLED_ITEM('',(#194377),#89375); #422=STYLED_ITEM('',(#194377),#89376); #423=STYLED_ITEM('',(#194377),#89377); #424=STYLED_ITEM('',(#194377),#89378); #425=STYLED_ITEM('',(#194377),#89379); #426=STYLED_ITEM('',(#194377),#89380); #427=STYLED_ITEM('',(#194377),#89381); #428=STYLED_ITEM('',(#194377),#89382); #429=STYLED_ITEM('',(#194377),#89383); #430=STYLED_ITEM('',(#194377),#89384); #431=STYLED_ITEM('',(#194376),#89394); #432=STYLED_ITEM('',(#194376),#89395); #433=STYLED_ITEM('',(#194376),#89396); #434=STYLED_ITEM('',(#194376),#89397); #435=STYLED_ITEM('',(#194376),#89398); #436=STYLED_ITEM('',(#194376),#89399); #437=STYLED_ITEM('',(#194376),#89400); #438=STYLED_ITEM('',(#194376),#89401); #439=STYLED_ITEM('',(#194376),#89402); #440=STYLED_ITEM('',(#194376),#89403); #441=STYLED_ITEM('',(#194376),#89404); #442=STYLED_ITEM('',(#194376),#89405); #443=STYLED_ITEM('',(#194376),#89406); #444=STYLED_ITEM('',(#194376),#89407); #445=STYLED_ITEM('',(#194376),#89408); #446=STYLED_ITEM('',(#194376),#89409); #447=STYLED_ITEM('',(#194375),#680); #448=STYLED_ITEM('',(#194375),#681); #449=STYLED_ITEM('',(#194375),#682); #450=STYLED_ITEM('',(#194375),#683); #451=STYLED_ITEM('',(#194375),#684); #452=STYLED_ITEM('',(#194375),#685); #453=STYLED_ITEM('',(#194375),#686); #454=STYLED_ITEM('',(#194370),#687); #455=STYLED_ITEM('',(#194378),#89411); #456=STYLED_ITEM('',(#194378),#89413); #457=STYLED_ITEM('',(#194373),#688); #458=STYLED_ITEM('',(#194365),#689); #459=STYLED_ITEM('',(#194379),#690); #460=STYLED_ITEM('',(#194373),#691); #461=STYLED_ITEM('',(#194373),#692); #462=STYLED_ITEM('',(#194373),#693); #463=STYLED_ITEM('',(#194382),#90259); #464=STYLED_ITEM('',(#194382),#90260); #465=STYLED_ITEM('',(#194382),#90261); #466=STYLED_ITEM('',(#194382),#90262); #467=STYLED_ITEM('',(#194382),#90263); #468=STYLED_ITEM('',(#194382),#90264); #469=STYLED_ITEM('',(#194382),#90265); #470=STYLED_ITEM('',(#194382),#90266); #471=STYLED_ITEM('',(#194382),#90267); #472=STYLED_ITEM('',(#194382),#90268); #473=STYLED_ITEM('',(#194382),#90269); #474=STYLED_ITEM('',(#194382),#90270); #475=STYLED_ITEM('',(#194382),#90271); #476=STYLED_ITEM('',(#194382),#90272); #477=STYLED_ITEM('',(#194382),#90273); #478=STYLED_ITEM('',(#194382),#90274); #479=STYLED_ITEM('',(#194382),#90275); #480=STYLED_ITEM('',(#194382),#90276); #481=STYLED_ITEM('',(#194382),#90277); #482=STYLED_ITEM('',(#194382),#90278); #483=STYLED_ITEM('',(#194382),#90279); #484=STYLED_ITEM('',(#194382),#90280); #485=STYLED_ITEM('',(#194382),#90281); #486=STYLED_ITEM('',(#194382),#90282); #487=STYLED_ITEM('',(#194382),#90283); #488=STYLED_ITEM('',(#194382),#90284); #489=STYLED_ITEM('',(#194382),#90285); #490=STYLED_ITEM('',(#194382),#90286); #491=STYLED_ITEM('',(#194382),#90287); #492=STYLED_ITEM('',(#194382),#90288); #493=STYLED_ITEM('',(#194382),#90289); #494=STYLED_ITEM('',(#194382),#90290); #495=STYLED_ITEM('',(#194382),#90291); #496=STYLED_ITEM('',(#194382),#90292); #497=STYLED_ITEM('',(#194382),#90293); #498=STYLED_ITEM('',(#194382),#90294); #499=STYLED_ITEM('',(#194382),#90295); #500=STYLED_ITEM('',(#194382),#90296); #501=STYLED_ITEM('',(#194382),#90297); #502=STYLED_ITEM('',(#194382),#90298); #503=STYLED_ITEM('',(#194382),#90299); #504=STYLED_ITEM('',(#194382),#90300); #505=STYLED_ITEM('',(#194382),#90301); #506=STYLED_ITEM('',(#194382),#90302); #507=STYLED_ITEM('',(#194382),#90303); #508=STYLED_ITEM('',(#194382),#90304); #509=STYLED_ITEM('',(#194382),#90305); #510=STYLED_ITEM('',(#194382),#90306); #511=STYLED_ITEM('',(#194382),#90307); #512=STYLED_ITEM('',(#194382),#90308); #513=STYLED_ITEM('',(#194382),#90309); #514=STYLED_ITEM('',(#194382),#90310); #515=STYLED_ITEM('',(#194382),#90311); #516=STYLED_ITEM('',(#194382),#90312); #517=STYLED_ITEM('',(#194382),#90313); #518=STYLED_ITEM('',(#194382),#90314); #519=STYLED_ITEM('',(#194382),#90315); #520=STYLED_ITEM('',(#194382),#90316); #521=STYLED_ITEM('',(#194382),#90317); #522=STYLED_ITEM('',(#194382),#90318); #523=STYLED_ITEM('',(#194382),#90319); #524=STYLED_ITEM('',(#194382),#90320); #525=STYLED_ITEM('',(#194382),#90321); #526=STYLED_ITEM('',(#194382),#90322); #527=STYLED_ITEM('',(#194382),#90323); #528=STYLED_ITEM('',(#194382),#90324); #529=STYLED_ITEM('',(#194382),#90325); #530=STYLED_ITEM('',(#194382),#90326); #531=STYLED_ITEM('',(#194382),#90327); #532=STYLED_ITEM('',(#194382),#90328); #533=STYLED_ITEM('',(#194382),#90329); #534=STYLED_ITEM('',(#194382),#90330); #535=STYLED_ITEM('',(#194382),#90331); #536=STYLED_ITEM('',(#194382),#90332); #537=STYLED_ITEM('',(#194382),#90333); #538=STYLED_ITEM('',(#194382),#90334); #539=STYLED_ITEM('',(#194382),#90335); #540=STYLED_ITEM('',(#194382),#90336); #541=STYLED_ITEM('',(#194382),#90337); #542=STYLED_ITEM('',(#194382),#90338); #543=STYLED_ITEM('',(#194382),#90339); #544=STYLED_ITEM('',(#194382),#90340); #545=STYLED_ITEM('',(#194382),#90341); #546=STYLED_ITEM('',(#194382),#90342); #547=STYLED_ITEM('',(#194382),#90343); #548=STYLED_ITEM('',(#194382),#90344); #549=STYLED_ITEM('',(#194382),#90345); #550=STYLED_ITEM('',(#194382),#90346); #551=STYLED_ITEM('',(#194382),#90347); #552=STYLED_ITEM('',(#194382),#90348); #553=STYLED_ITEM('',(#194382),#90349); #554=STYLED_ITEM('',(#194382),#90350); #555=STYLED_ITEM('',(#194382),#90351); #556=STYLED_ITEM('',(#194382),#90352); #557=STYLED_ITEM('',(#194382),#90353); #558=STYLED_ITEM('',(#194382),#90354); #559=STYLED_ITEM('',(#194382),#90355); #560=STYLED_ITEM('',(#194382),#90356); #561=STYLED_ITEM('',(#194382),#90357); #562=STYLED_ITEM('',(#194382),#90358); #563=STYLED_ITEM('',(#194382),#90359); #564=STYLED_ITEM('',(#194382),#90360); #565=STYLED_ITEM('',(#194382),#90361); #566=STYLED_ITEM('',(#194382),#90362); #567=STYLED_ITEM('',(#194382),#90363); #568=STYLED_ITEM('',(#194382),#90364); #569=STYLED_ITEM('',(#194382),#90365); #570=STYLED_ITEM('',(#194382),#90366); #571=STYLED_ITEM('',(#194382),#90367); #572=STYLED_ITEM('',(#194382),#90368); #573=STYLED_ITEM('',(#194382),#90369); #574=STYLED_ITEM('',(#194382),#90370); #575=STYLED_ITEM('',(#194382),#90371); #576=STYLED_ITEM('',(#194382),#90372); #577=STYLED_ITEM('',(#194382),#90373); #578=STYLED_ITEM('',(#194382),#90374); #579=STYLED_ITEM('',(#194382),#90375); #580=STYLED_ITEM('',(#194382),#90376); #581=STYLED_ITEM('',(#194382),#90377); #582=STYLED_ITEM('',(#194382),#90378); #583=STYLED_ITEM('',(#194382),#90379); #584=STYLED_ITEM('',(#194382),#90380); #585=STYLED_ITEM('',(#194382),#90381); #586=STYLED_ITEM('',(#194382),#90382); #587=STYLED_ITEM('',(#194382),#90383); #588=STYLED_ITEM('',(#194382),#90384); #589=STYLED_ITEM('',(#194382),#90385); #590=STYLED_ITEM('',(#194382),#90386); #591=STYLED_ITEM('',(#194382),#90387); #592=STYLED_ITEM('',(#194382),#90388); #593=STYLED_ITEM('',(#194382),#90389); #594=STYLED_ITEM('',(#194382),#90390); #595=STYLED_ITEM('',(#194382),#90391); #596=STYLED_ITEM('',(#194382),#90392); #597=STYLED_ITEM('',(#194382),#90393); #598=STYLED_ITEM('',(#194382),#90394); #599=STYLED_ITEM('',(#194382),#90395); #600=STYLED_ITEM('',(#194382),#90396); #601=STYLED_ITEM('',(#194382),#90397); #602=STYLED_ITEM('',(#194382),#90398); #603=STYLED_ITEM('',(#194382),#90399); #604=STYLED_ITEM('',(#194382),#90400); #605=STYLED_ITEM('',(#194382),#90401); #606=STYLED_ITEM('',(#194382),#90402); #607=STYLED_ITEM('',(#194382),#90403); #608=STYLED_ITEM('',(#194382),#90404); #609=STYLED_ITEM('',(#194382),#90405); #610=STYLED_ITEM('',(#194382),#90406); #611=STYLED_ITEM('',(#194382),#90407); #612=STYLED_ITEM('',(#194382),#90408); #613=STYLED_ITEM('',(#194382),#90409); #614=STYLED_ITEM('',(#194382),#90410); #615=STYLED_ITEM('',(#194382),#90411); #616=STYLED_ITEM('',(#194382),#90412); #617=STYLED_ITEM('',(#194382),#90413); #618=STYLED_ITEM('',(#194382),#90414); #619=STYLED_ITEM('',(#194382),#90415); #620=STYLED_ITEM('',(#194382),#90416); #621=STYLED_ITEM('',(#194382),#90417); #622=STYLED_ITEM('',(#194382),#90418); #623=STYLED_ITEM('',(#194382),#90419); #624=STYLED_ITEM('',(#194382),#90420); #625=STYLED_ITEM('',(#194382),#90421); #626=STYLED_ITEM('',(#194382),#90422); #627=STYLED_ITEM('',(#194382),#90423); #628=STYLED_ITEM('',(#194382),#90424); #629=STYLED_ITEM('',(#194382),#90425); #630=STYLED_ITEM('',(#194382),#90426); #631=STYLED_ITEM('',(#194382),#90427); #632=STYLED_ITEM('',(#194382),#90428); #633=STYLED_ITEM('',(#194382),#90429); #634=STYLED_ITEM('',(#194382),#90430); #635=STYLED_ITEM('',(#194382),#90431); #636=STYLED_ITEM('',(#194382),#90432); #637=STYLED_ITEM('',(#194382),#90433); #638=STYLED_ITEM('',(#194382),#90434); #639=STYLED_ITEM('',(#194382),#90435); #640=STYLED_ITEM('',(#194382),#90436); #641=STYLED_ITEM('',(#194382),#90437); #642=STYLED_ITEM('',(#194382),#90438); #643=STYLED_ITEM('',(#194382),#90439); #644=STYLED_ITEM('',(#194382),#90440); #645=STYLED_ITEM('',(#194382),#90441); #646=STYLED_ITEM('',(#194382),#90442); #647=STYLED_ITEM('',(#194382),#90443); #648=STYLED_ITEM('',(#194382),#90444); #649=STYLED_ITEM('',(#194382),#90445); #650=STYLED_ITEM('',(#194382),#90446); #651=STYLED_ITEM('',(#194382),#90447); #652=STYLED_ITEM('',(#194382),#90448); #653=STYLED_ITEM('',(#194382),#90449); #654=STYLED_ITEM('',(#194382),#90450); #655=STYLED_ITEM('',(#194382),#90451); #656=STYLED_ITEM('',(#194382),#90452); #657=STYLED_ITEM('',(#194382),#90453); #658=STYLED_ITEM('',(#194382),#90454); #659=STYLED_ITEM('',(#194382),#90455); #660=STYLED_ITEM('',(#194382),#90456); #661=STYLED_ITEM('',(#194378),#90461); #662=STYLED_ITEM('',(#194381),#694); #663=STYLED_ITEM('',(#194370),#695); #664=STYLED_ITEM('',(#194383),#14); #665=MANIFOLD_SOLID_BREP('Solid2',#90547); #666=MANIFOLD_SOLID_BREP('Solid1',#90548); #667=MANIFOLD_SOLID_BREP('Solid1',#90549); #668=MANIFOLD_SOLID_BREP('Solid1',#90550); #669=MANIFOLD_SOLID_BREP('Solid1',#90551); #670=MANIFOLD_SOLID_BREP('Solid1',#90552); #671=MANIFOLD_SOLID_BREP('Solid1',#90553); #672=MANIFOLD_SOLID_BREP('Solid1',#90554); #673=MANIFOLD_SOLID_BREP('Solid1',#90555); #674=MANIFOLD_SOLID_BREP('Solid1',#90556); #675=MANIFOLD_SOLID_BREP('Solid1',#90557); #676=MANIFOLD_SOLID_BREP('Solid1',#90558); #677=MANIFOLD_SOLID_BREP('Solid1',#90559); #678=MANIFOLD_SOLID_BREP('Solid1',#90560); #679=MANIFOLD_SOLID_BREP('Solid1',#90561); #680=MANIFOLD_SOLID_BREP('Solid1',#90562); #681=MANIFOLD_SOLID_BREP('Solid1',#90563); #682=MANIFOLD_SOLID_BREP('Solid1',#90564); #683=MANIFOLD_SOLID_BREP('Solid1',#90565); #684=MANIFOLD_SOLID_BREP('Solid1',#90566); #685=MANIFOLD_SOLID_BREP('Solid1',#90567); #686=MANIFOLD_SOLID_BREP('Solid1',#90568); #687=MANIFOLD_SOLID_BREP('Solid1',#90569); #688=MANIFOLD_SOLID_BREP('Solid1',#90570); #689=MANIFOLD_SOLID_BREP('Solid1',#90571); #690=MANIFOLD_SOLID_BREP('Solid1',#90572); #691=MANIFOLD_SOLID_BREP('Solid1',#90573); #692=MANIFOLD_SOLID_BREP('Solid1',#90574); #693=MANIFOLD_SOLID_BREP('Solid1',#90575); #694=MANIFOLD_SOLID_BREP('Solid1',#90576); #695=MANIFOLD_SOLID_BREP('Solid1',#90577); #696=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#156066,#156067,#156068),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.119827443234581,2.89071313121611), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00040731907893,1.00491554882139,1.00011932078627)) REPRESENTATION_ITEM('') ); #697=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#156071,#156072,#156073),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0340609895225348,2.80494667750406), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00011932078627,1.00491554882139,1.00040731907893)) REPRESENTATION_ITEM('') ); #698=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163389,#163390,#163391),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869338,0.348557687827932), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160829,1.0214561866019,1.)) REPRESENTATION_ITEM('') ); #699=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163394,#163395,#163396),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827931,0.596546313786523), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660191,1.0123814716083)) REPRESENTATION_ITEM('') ); #700=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163401,#163402,#163403),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869338,0.348557687827931), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160832,1.02145618660195,1.)) REPRESENTATION_ITEM('') ); #701=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163406,#163407,#163408),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786516), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660193,1.01238147160831)) REPRESENTATION_ITEM('') ); #702=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163413,#163414,#163415),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869338,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160831,1.02145618660193,1.)) REPRESENTATION_ITEM('') ); #703=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163418,#163419,#163420),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786516), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660196,1.01238147160833)) REPRESENTATION_ITEM('') ); #704=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163425,#163426,#163427),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869338,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160833,1.02145618660196,1.)) REPRESENTATION_ITEM('') ); #705=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163430,#163431,#163432),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786514), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660194,1.01238147160831)) REPRESENTATION_ITEM('') ); #706=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163437,#163438,#163439),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869339,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160831,1.02145618660194,1.)) REPRESENTATION_ITEM('') ); #707=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163442,#163443,#163444),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827928,0.596546313786519), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660198,1.01238147160834)) REPRESENTATION_ITEM('') ); #708=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163449,#163450,#163451),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.10056906186934,0.348557687827928), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0123814716083,1.02145618660191,1.)) REPRESENTATION_ITEM('') ); #709=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163454,#163455,#163456),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.34855768782793,0.596546313786521), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.0214561866019,1.01238147160829)) REPRESENTATION_ITEM('') ); #710=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163461,#163462,#163463),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.10056906186934,0.34855768782793), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160827,1.02145618660187,1.)) REPRESENTATION_ITEM('') ); #711=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163466,#163467,#163468),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827934,0.59654631378653), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660193,1.01238147160831)) REPRESENTATION_ITEM('') ); #712=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163471,#163472,#163473),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869336,0.348557687827934), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160831,1.02145618660193,1.)) REPRESENTATION_ITEM('') ); #713=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163476,#163477,#163478),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827932,0.596546313786522), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660188,1.01238147160828)) REPRESENTATION_ITEM('') ); #714=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163485,#163486,#163487),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869341,0.348557687827932), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160827,1.02145618660187,1.)) REPRESENTATION_ITEM('') ); #715=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163490,#163491,#163492),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827933,0.596546313786527), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660188,1.01238147160828)) REPRESENTATION_ITEM('') ); #716=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163497,#163498,#163499),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.10056906186934,0.348557687827933), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160828,1.02145618660188,1.)) REPRESENTATION_ITEM('') ); #717=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163502,#163503,#163504),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.34855768782793,0.596546313786523), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660192,1.0123814716083)) REPRESENTATION_ITEM('') ); #718=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163509,#163510,#163511),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869339,0.34855768782793), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160829,1.0214561866019,1.)) REPRESENTATION_ITEM('') ); #719=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163514,#163515,#163516),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827928,0.596546313786515), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660189,1.01238147160828)) REPRESENTATION_ITEM('') ); #720=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163521,#163522,#163523),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869339,0.348557687827928), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160831,1.02145618660194,1.)) REPRESENTATION_ITEM('') ); #721=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163526,#163527,#163528),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786512), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660189,1.01238147160829)) REPRESENTATION_ITEM('') ); #722=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163533,#163534,#163535),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869341,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160829,1.02145618660189,1.)) REPRESENTATION_ITEM('') ); #723=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163538,#163539,#163540),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786514), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660192,1.0123814716083)) REPRESENTATION_ITEM('') ); #724=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163545,#163546,#163547),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.10056906186934,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0123814716083,1.02145618660192,1.)) REPRESENTATION_ITEM('') ); #725=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163550,#163551,#163552),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827929,0.596546313786516), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660189,1.01238147160829)) REPRESENTATION_ITEM('') ); #726=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163557,#163558,#163559),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869341,0.348557687827929), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160829,1.02145618660189,1.)) REPRESENTATION_ITEM('') ); #727=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163562,#163563,#163564),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827932,0.596546313786524), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660193,1.01238147160831)) REPRESENTATION_ITEM('') ); #728=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163569,#163570,#163571),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827932,0.596546313786526), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660192,1.0123814716083)) REPRESENTATION_ITEM('') ); #729=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163573,#163574,#163575),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869339,0.348557687827932), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160831,1.02145618660193,1.)) REPRESENTATION_ITEM('') ); #730=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163690,#163691,#163692),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869341,0.348557687827932), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160828,1.02145618660188,1.)) REPRESENTATION_ITEM('') ); #731=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163695,#163696,#163697),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827934,0.596546313786531), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660193,1.01238147160831)) REPRESENTATION_ITEM('') ); #732=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163702,#163703,#163704),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869337,0.348557687827934), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160831,1.02145618660193,1.)) REPRESENTATION_ITEM('') ); #733=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163707,#163708,#163709),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.34855768782793,0.596546313786521), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660187,1.01238147160827)) REPRESENTATION_ITEM('') ); #734=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163714,#163715,#163716),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869339,0.34855768782793), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160829,1.0214561866019,1.)) REPRESENTATION_ITEM('') ); #735=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163719,#163720,#163721),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827928,0.596546313786516), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660191,1.0123814716083)) REPRESENTATION_ITEM('') ); #736=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163726,#163727,#163728),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869337,0.348557687827928), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160834,1.02145618660198,1.)) REPRESENTATION_ITEM('') ); #737=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163731,#163732,#163733),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786514), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660194,1.01238147160831)) REPRESENTATION_ITEM('') ); #738=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163738,#163739,#163740),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869339,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160831,1.02145618660194,1.)) REPRESENTATION_ITEM('') ); #739=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163743,#163744,#163745),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786516), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660196,1.01238147160833)) REPRESENTATION_ITEM('') ); #740=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163750,#163751,#163752),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869337,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160833,1.02145618660196,1.)) REPRESENTATION_ITEM('') ); #741=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163755,#163756,#163757),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786516), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660193,1.01238147160831)) REPRESENTATION_ITEM('') ); #742=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163762,#163763,#163764),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869338,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160831,1.02145618660193,1.)) REPRESENTATION_ITEM('') ); #743=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163767,#163768,#163769),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827931,0.596546313786524), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660195,1.01238147160832)) REPRESENTATION_ITEM('') ); #744=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163774,#163775,#163776),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827932,0.596546313786525), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.0214561866019,1.01238147160829)) REPRESENTATION_ITEM('') ); #745=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163778,#163779,#163780),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869338,0.348557687827931), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0123814716083,1.02145618660191,1.)) REPRESENTATION_ITEM('') ); #746=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163786,#163787,#163788),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869339,0.348557687827933), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0123814716083,1.02145618660192,1.)) REPRESENTATION_ITEM('') ); #747=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163791,#163792,#163793),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827932,0.596546313786525), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660193,1.01238147160831)) REPRESENTATION_ITEM('') ); #748=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163798,#163799,#163800),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.10056906186934,0.348557687827932), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160831,1.02145618660193,1.)) REPRESENTATION_ITEM('') ); #749=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163803,#163804,#163805),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827929,0.596546313786516), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660189,1.01238147160829)) REPRESENTATION_ITEM('') ); #750=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163810,#163811,#163812),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869342,0.348557687827929), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160829,1.02145618660189,1.)) REPRESENTATION_ITEM('') ); #751=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163815,#163816,#163817),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786514), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660192,1.0123814716083)) REPRESENTATION_ITEM('') ); #752=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163822,#163823,#163824),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.10056906186934,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0123814716083,1.02145618660192,1.)) REPRESENTATION_ITEM('') ); #753=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163827,#163828,#163829),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827927,0.596546313786512), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660189,1.01238147160829)) REPRESENTATION_ITEM('') ); #754=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163834,#163835,#163836),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869341,0.348557687827927), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160829,1.02145618660189,1.)) REPRESENTATION_ITEM('') ); #755=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163839,#163840,#163841),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827928,0.596546313786517), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660194,1.01238147160831)) REPRESENTATION_ITEM('') ); #756=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163846,#163847,#163848),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869341,0.348557687827928), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160828,1.02145618660189,1.)) REPRESENTATION_ITEM('') ); #757=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163851,#163852,#163853),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827931,0.596546313786522), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.0214561866019,1.01238147160829)) REPRESENTATION_ITEM('') ); #758=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163858,#163859,#163860),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.100569061869338,0.348557687827931), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0123814716083,1.02145618660192,1.)) REPRESENTATION_ITEM('') ); #759=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163863,#163864,#163865),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827933,0.596546313786527), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660188,1.01238147160828)) REPRESENTATION_ITEM('') ); #760=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163868,#163869,#163870),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.10056906186934,0.348557687827933), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01238147160828,1.02145618660188,1.)) REPRESENTATION_ITEM('') ); #761=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163873,#163874,#163875),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.348557687827933,0.596546313786523), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.02145618660187,1.01238147160827)) REPRESENTATION_ITEM('') ); #762=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163922,#163923,#163924,#163925,#163926,#163927,#163928, #163929,#163930,#163931,#163932,#163933,#163934),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.928571428571429,0.93452380952381, 0.94047619047619,0.946428571428571,0.952380952380952,0.958333333333333, 0.96188294799742),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.95460668095731,0.963352635665585)) REPRESENTATION_ITEM('') ); #763=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163937,#163938,#163939,#163940,#163941,#163942,#163943, #163944,#163945,#163946,#163947),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,3),(0.928571428571429,0.93452380952381, 0.94047619047619,0.946428571428571,0.952380952380952,0.955930567045039), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.954606680957312,0.963352635665584)) REPRESENTATION_ITEM('') ); #764=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163952,#163953,#163954,#163955,#163956,#163957,#163958, #163959,#163960,#163961,#163962,#163963,#163964,#163965,#163966,#163967, #163968),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.880952380952381,0.886904761904762, 0.892857142857143,0.898809523809524,0.904761904761905,0.910714285714286, 0.916666666666667,0.922619047619048,0.928571428571429),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #765=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163969,#163970,#163971,#163972,#163973,#163974,#163975, #163976,#163977,#163978,#163979,#163980,#163981,#163982,#163983,#163984, #163985),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.880952380952381,0.886904761904762, 0.892857142857143,0.898809523809524,0.904761904761905,0.910714285714286, 0.916666666666667,0.922619047619048,0.928571428571429),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #766=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#163990,#163991,#163992,#163993,#163994,#163995,#163996, #163997,#163998,#163999,#164000,#164001,#164002,#164003,#164004,#164005, #164006),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.833333333333333,0.839285714285714, 0.845238095238095,0.851190476190476,0.857142857142857,0.863095238095238, 0.869047619047619,0.875,0.880952380952381),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #767=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164007,#164008,#164009,#164010,#164011,#164012,#164013, #164014,#164015,#164016,#164017,#164018,#164019,#164020,#164021,#164022, #164023),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.833333333333333,0.839285714285714, 0.845238095238095,0.851190476190476,0.857142857142857,0.863095238095238, 0.869047619047619,0.875,0.880952380952381),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #768=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164028,#164029,#164030,#164031,#164032,#164033,#164034, #164035,#164036,#164037,#164038,#164039,#164040,#164041,#164042,#164043, #164044),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.785714285714286,0.791666666666667, 0.797619047619048,0.803571428571429,0.80952380952381,0.81547619047619,0.821428571428571, 0.827380952380952,0.833333333333333),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #769=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164045,#164046,#164047,#164048,#164049,#164050,#164051, #164052,#164053,#164054,#164055,#164056,#164057,#164058,#164059,#164060, #164061),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.785714285714286,0.791666666666667, 0.797619047619048,0.803571428571429,0.80952380952381,0.81547619047619,0.821428571428571, 0.827380952380952,0.833333333333333),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #770=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164066,#164067,#164068,#164069,#164070,#164071,#164072, #164073,#164074,#164075,#164076,#164077,#164078,#164079,#164080,#164081, #164082),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.738095238095238,0.744047619047619, 0.75,0.755952380952381,0.761904761904762,0.767857142857143,0.773809523809524, 0.779761904761905,0.785714285714286),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #771=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164083,#164084,#164085,#164086,#164087,#164088,#164089, #164090,#164091,#164092,#164093,#164094,#164095,#164096,#164097,#164098, #164099),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.738095238095238,0.744047619047619, 0.75,0.755952380952381,0.761904761904762,0.767857142857143,0.773809523809524, 0.779761904761905,0.785714285714286),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #772=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164104,#164105,#164106,#164107,#164108,#164109,#164110, #164111,#164112,#164113,#164114,#164115,#164116,#164117,#164118,#164119, #164120),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.69047619047619,0.696428571428571, 0.702380952380952,0.708333333333333,0.714285714285714,0.720238095238095, 0.726190476190476,0.732142857142857,0.738095238095238),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #773=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164121,#164122,#164123,#164124,#164125,#164126,#164127, #164128,#164129,#164130,#164131,#164132,#164133,#164134,#164135,#164136, #164137),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.69047619047619,0.696428571428571, 0.702380952380952,0.708333333333333,0.714285714285714,0.720238095238095, 0.726190476190476,0.732142857142857,0.738095238095238),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #774=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164142,#164143,#164144,#164145,#164146,#164147,#164148, #164149,#164150,#164151,#164152,#164153,#164154,#164155,#164156,#164157, #164158),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.642857142857143,0.648809523809524, 0.654761904761905,0.660714285714286,0.666666666666667,0.672619047619048, 0.678571428571429,0.68452380952381,0.69047619047619),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #775=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164159,#164160,#164161,#164162,#164163,#164164,#164165, #164166,#164167,#164168,#164169,#164170,#164171,#164172,#164173,#164174, #164175),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.642857142857143,0.648809523809524, 0.654761904761905,0.660714285714286,0.666666666666667,0.672619047619048, 0.678571428571429,0.68452380952381,0.69047619047619),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #776=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164180,#164181,#164182,#164183,#164184,#164185,#164186, #164187,#164188,#164189,#164190,#164191,#164192,#164193,#164194,#164195, #164196),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.595238095238095,0.601190476190476, 0.607142857142857,0.613095238095238,0.619047619047619,0.625,0.630952380952381, 0.636904761904762,0.642857142857143),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #777=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164197,#164198,#164199,#164200,#164201,#164202,#164203, #164204,#164205,#164206,#164207,#164208,#164209,#164210,#164211,#164212, #164213),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.595238095238095,0.601190476190476, 0.607142857142857,0.613095238095238,0.619047619047619,0.625,0.630952380952381, 0.636904761904762,0.642857142857143),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #778=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164218,#164219,#164220,#164221,#164222,#164223,#164224, #164225,#164226,#164227,#164228,#164229,#164230,#164231,#164232,#164233, #164234),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.547619047619048,0.553571428571429, 0.55952380952381,0.56547619047619,0.571428571428571,0.577380952380952,0.583333333333333, 0.589285714285714,0.595238095238095),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #779=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164235,#164236,#164237,#164238,#164239,#164240,#164241, #164242,#164243,#164244,#164245,#164246,#164247,#164248,#164249,#164250, #164251),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.547619047619048,0.553571428571429, 0.55952380952381,0.56547619047619,0.571428571428571,0.577380952380952,0.583333333333333, 0.589285714285714,0.595238095238095),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #780=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164256,#164257,#164258,#164259,#164260,#164261,#164262, #164263,#164264,#164265,#164266,#164267,#164268,#164269,#164270,#164271, #164272),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.5,0.505952380952381,0.511904761904762, 0.517857142857143,0.523809523809524,0.529761904761905,0.535714285714286, 0.541666666666667,0.547619047619048),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #781=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164273,#164274,#164275,#164276,#164277,#164278,#164279, #164280,#164281,#164282,#164283,#164284,#164285,#164286,#164287,#164288, #164289),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.5,0.505952380952381,0.511904761904762, 0.517857142857143,0.523809523809524,0.529761904761905,0.535714285714286, 0.541666666666667,0.547619047619048),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #782=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164294,#164295,#164296,#164297,#164298,#164299,#164300, #164301,#164302,#164303,#164304,#164305,#164306,#164307,#164308,#164309, #164310),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.452380952380952,0.458333333333333, 0.464285714285714,0.470238095238095,0.476190476190476,0.482142857142857, 0.488095238095238,0.494047619047619,0.5),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #783=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164311,#164312,#164313,#164314,#164315,#164316,#164317, #164318,#164319,#164320,#164321,#164322,#164323,#164324,#164325,#164326, #164327),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.452380952380952,0.458333333333333, 0.464285714285714,0.470238095238095,0.476190476190476,0.482142857142857, 0.488095238095238,0.494047619047619,0.5),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #784=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164332,#164333,#164334,#164335,#164336,#164337,#164338, #164339,#164340,#164341,#164342,#164343,#164344,#164345,#164346,#164347, #164348),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.404761904761905,0.410714285714286, 0.416666666666667,0.422619047619048,0.428571428571429,0.43452380952381, 0.44047619047619,0.446428571428572,0.452380952380952),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #785=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164349,#164350,#164351,#164352,#164353,#164354,#164355, #164356,#164357,#164358,#164359,#164360,#164361,#164362,#164363,#164364, #164365),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.404761904761905,0.410714285714286, 0.416666666666667,0.422619047619048,0.428571428571429,0.43452380952381, 0.44047619047619,0.446428571428571,0.452380952380952),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #786=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164370,#164371,#164372,#164373,#164374,#164375,#164376, #164377,#164378,#164379,#164380,#164381,#164382,#164383,#164384,#164385, #164386),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.357142857142857,0.363095238095238, 0.369047619047619,0.375,0.380952380952381,0.386904761904762,0.392857142857143, 0.398809523809524,0.404761904761905),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #787=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164387,#164388,#164389,#164390,#164391,#164392,#164393, #164394,#164395,#164396,#164397,#164398,#164399,#164400,#164401,#164402, #164403),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.357142857142857,0.363095238095238, 0.369047619047619,0.375,0.380952380952381,0.386904761904762,0.392857142857143, 0.398809523809524,0.404761904761905),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #788=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164408,#164409,#164410,#164411,#164412,#164413,#164414, #164415,#164416,#164417,#164418,#164419,#164420,#164421,#164422,#164423, #164424),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.30952380952381,0.31547619047619, 0.321428571428572,0.327380952380952,0.333333333333333,0.339285714285714, 0.345238095238095,0.351190476190476,0.357142857142857),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #789=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164425,#164426,#164427,#164428,#164429,#164430,#164431, #164432,#164433,#164434,#164435,#164436,#164437,#164438,#164439,#164440, #164441),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.30952380952381,0.31547619047619, 0.321428571428571,0.327380952380952,0.333333333333333,0.339285714285714, 0.345238095238095,0.351190476190476,0.357142857142857),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #790=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164446,#164447,#164448,#164449,#164450,#164451,#164452, #164453,#164454,#164455,#164456,#164457,#164458,#164459,#164460,#164461, #164462),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.261904761904762,0.267857142857143, 0.273809523809524,0.279761904761905,0.285714285714286,0.291666666666667, 0.297619047619048,0.303571428571429,0.30952380952381),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #791=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164463,#164464,#164465,#164466,#164467,#164468,#164469, #164470,#164471,#164472,#164473,#164474,#164475,#164476,#164477,#164478, #164479),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.261904761904762,0.267857142857143, 0.273809523809524,0.279761904761905,0.285714285714286,0.291666666666667, 0.297619047619048,0.303571428571429,0.30952380952381),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #792=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164484,#164485,#164486,#164487,#164488,#164489,#164490, #164491,#164492,#164493,#164494,#164495,#164496,#164497,#164498,#164499, #164500),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.214285714285714,0.220238095238095, 0.226190476190476,0.232142857142857,0.238095238095238,0.244047619047619, 0.25,0.255952380952381,0.261904761904762),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #793=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164501,#164502,#164503,#164504,#164505,#164506,#164507, #164508,#164509,#164510,#164511,#164512,#164513,#164514,#164515,#164516, #164517),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.214285714285714,0.220238095238095, 0.226190476190476,0.232142857142857,0.238095238095238,0.244047619047619, 0.25,0.255952380952381,0.261904761904762),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #794=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164522,#164523,#164524,#164525,#164526,#164527,#164528, #164529,#164530,#164531,#164532,#164533,#164534,#164535,#164536,#164537, #164538),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.166666666666667,0.172619047619048, 0.178571428571429,0.18452380952381,0.19047619047619,0.196428571428571,0.202380952380952, 0.208333333333333,0.214285714285714),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #795=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164539,#164540,#164541,#164542,#164543,#164544,#164545, #164546,#164547,#164548,#164549,#164550,#164551,#164552,#164553,#164554, #164555),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.166666666666667,0.172619047619048, 0.178571428571429,0.18452380952381,0.19047619047619,0.196428571428571,0.202380952380952, 0.208333333333333,0.214285714285714),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #796=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164560,#164561,#164562,#164563,#164564,#164565,#164566, #164567,#164568,#164569,#164570,#164571,#164572,#164573,#164574,#164575, #164576),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.119047619047619,0.125, 0.130952380952381,0.136904761904762,0.142857142857143,0.148809523809524, 0.154761904761905,0.160714285714286,0.166666666666667),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #797=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164577,#164578,#164579,#164580,#164581,#164582,#164583, #164584,#164585,#164586,#164587,#164588,#164589,#164590,#164591,#164592, #164593),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.119047619047619,0.125, 0.130952380952381,0.136904761904762,0.142857142857143,0.148809523809524, 0.154761904761905,0.160714285714286,0.166666666666667),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #798=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164598,#164599,#164600,#164601,#164602,#164603,#164604, #164605,#164606,#164607,#164608,#164609,#164610,#164611,#164612,#164613, #164614),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.0714285714285714,0.0773809523809524, 0.0833333333333333,0.0892857142857143,0.0952380952380952,0.101190476190476, 0.107142857142857,0.113095238095238,0.119047619047619),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #799=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164615,#164616,#164617,#164618,#164619,#164620,#164621, #164622,#164623,#164624,#164625,#164626,#164627,#164628,#164629,#164630, #164631),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.0714285714285714,0.0773809523809524, 0.0833333333333333,0.0892857142857143,0.0952380952380952,0.101190476190476, 0.107142857142857,0.113095238095238,0.119047619047619),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #800=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164636,#164637,#164638,#164639,#164640,#164641,#164642, #164643,#164644,#164645,#164646,#164647,#164648,#164649,#164650,#164651, #164652),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.0238095238095238,0.0297619047619048, 0.0357142857142857,0.0416666666666667,0.0476190476190476,0.0535714285714286, 0.0595238095238095,0.0654761904761905,0.0714285714285714),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #801=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#164653,#164654,#164655,#164656,#164657,#164658,#164659, #164660,#164661,#164662,#164663,#164664,#164665,#164666,#164667,#164668, #164669),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,2,2,3),(0.0238095238095238,0.0297619047619048, 0.0357142857142857,0.0416666666666667,0.0476190476190476,0.0535714285714286, 0.0595238095238095,0.0654761904761905,0.0714285714285714),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #802=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#166994,#166995,#166996),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.83441878434829), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #803=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#166998,#166999,#167000),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #804=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167004,#167005,#167006),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #805=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167009,#167010,#167011),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.83441878434829), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #806=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167014,#167015,#167016),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #807=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167019,#167020,#167021),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.83441878434829), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #808=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167024,#167025,#167026),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094423,1.03077121066134,1.)) REPRESENTATION_ITEM('') ); #809=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167029,#167030,#167031),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.834418784348291), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #810=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167034,#167035,#167036),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094423,1.03077121066134,1.)) REPRESENTATION_ITEM('') ); #811=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167039,#167040,#167041),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.834418784348291), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #812=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167044,#167045,#167046),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #813=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167049,#167050,#167051),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.834418784348291), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #814=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167054,#167055,#167056),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #815=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167059,#167060,#167061),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.83441878434829), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #816=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167066,#167067,#167068),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.834418784348291), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066134,1.03093020094423)) REPRESENTATION_ITEM('') ); #817=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167069,#167070,#167071),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009347,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #818=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167082,#167083,#167084),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #819=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167085,#167086,#167087),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.834418784348291), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066134,1.03093020094423)) REPRESENTATION_ITEM('') ); #820=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167096,#167097,#167098),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #821=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167099,#167100,#167101),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.83441878434829), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066134,1.03093020094423)) REPRESENTATION_ITEM('') ); #822=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167110,#167111,#167112),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #823=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167113,#167114,#167115),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.83441878434829), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #824=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167124,#167125,#167126),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009345,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094423,1.03077121066134,1.)) REPRESENTATION_ITEM('') ); #825=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167127,#167128,#167129),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.83441878434829), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #826=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167133,#167134,#167135),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.834418784348291), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #827=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167139,#167140,#167141),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094423,1.03077121066134,1.)) REPRESENTATION_ITEM('') ); #828=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167146,#167147,#167148),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #829=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167160,#167161,#167162),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.83441878434829), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #830=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167166,#167167,#167168),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #831=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167171,#167172,#167173),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.83441878434829), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #832=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167178,#167179,#167180),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.557238913178818,0.834418784348291), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03077121066133,1.03093020094422)) REPRESENTATION_ITEM('') ); #833=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167182,#167183,#167184),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.280059042009346,0.557238913178818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.03093020094422,1.03077121066133,1.)) REPRESENTATION_ITEM('') ); #834=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#167223,#167224,#167225),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0178571428571429,0.0238095238095238), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.923879532511287,1.)) REPRESENTATION_ITEM('') ); #835=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177267,#177268,#177269),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0779875155450585,0.208373273299785), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04612814829872,1.06162443555924,1.)) REPRESENTATION_ITEM('') ); #836=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177271,#177272,#177273),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.208373273299785,0.338759031054512), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.06162443555924,1.04612814829873)) REPRESENTATION_ITEM('') ); #837=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177275,#177276,#177277),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0779875155450582,0.208373273299785), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04612814829873,1.06162443555925,1.)) REPRESENTATION_ITEM('') ); #838=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177279,#177280,#177281),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.208373273299785,0.338759031054512), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.06162443555924,1.04612814829873)) REPRESENTATION_ITEM('') ); #839=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177283,#177284,#177285),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0779875155450585,0.208373273299785), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04612814829873,1.06162443555924,1.)) REPRESENTATION_ITEM('') ); #840=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177287,#177288,#177289),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.208373273299785,0.338759031054512), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.06162443555923,1.04612814829872)) REPRESENTATION_ITEM('') ); #841=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177291,#177292,#177293),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0779875155450586,0.208373273299785), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04612814829872,1.06162443555924,1.)) REPRESENTATION_ITEM('') ); #842=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177295,#177296,#177297),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.208373273299785,0.338759031054512), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.06162443555924,1.04612814829873)) REPRESENTATION_ITEM('') ); #843=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177299,#177300,#177301),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0779875155450584,0.208373273299785), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04612814829873,1.06162443555924,1.)) REPRESENTATION_ITEM('') ); #844=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177303,#177304,#177305),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.208373273299785,0.338759031054512), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.06162443555924,1.04612814829873)) REPRESENTATION_ITEM('') ); #845=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177307,#177308,#177309),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0779875155450584,0.208373273299785), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04612814829873,1.06162443555925,1.)) REPRESENTATION_ITEM('') ); #846=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#177310,#177311,#177312),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.208373273299785,0.338759031054512), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.06162443555924,1.04612814829873)) REPRESENTATION_ITEM('') ); #847=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181098,#181099,#181100),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048412,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #848=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181102,#181103,#181104),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048414,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #849=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181106,#181107,#181108),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048413,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #850=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181110,#181111,#181112),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048414,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #851=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181114,#181115,#181116),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048414,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #852=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181117,#181118,#181119),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048414,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #853=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181124,#181125,#181126),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048414,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #854=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181131,#181132,#181133),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048416,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #855=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181137,#181138,#181139),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048413,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #856=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181143,#181144,#181145),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048413,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #857=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181147,#181148,#181149),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048413,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #858=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#181150,#181151,#181152),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0426947792048414,0.705126899492355), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.02168697440149,1.17974249939647,1.02168697440149)) REPRESENTATION_ITEM('') ); #859=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189846,#189847,#189848),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.27894425356671),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') ); #860=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189849,#189850,#189851),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.27894425356671,0.557888507133419), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') ); #861=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189854,#189855,#189856),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.278944253566709,0.557888507133418), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') ); #862=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189857,#189858,#189859),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.27894425356671),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930206,1.)) REPRESENTATION_ITEM('') ); #863=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189862,#189863,#189864),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.278944253566709,0.557888507133417), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') ); #864=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189865,#189866,#189867),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.278944253566709),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') ); #865=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189870,#189871,#189872),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.278944253566709),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') ); #866=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189873,#189874,#189875),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.27894425356671,0.557888507133419), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930207,1.)) REPRESENTATION_ITEM('') ); #867=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189878,#189879,#189880),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.278944253566709,0.557888507133418), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930201,1.)) REPRESENTATION_ITEM('') ); #868=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189881,#189882,#189883),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.278944253566709),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') ); #869=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189886,#189887,#189888),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.278944253566709,0.557888507133419), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') ); #870=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#189889,#189890,#189891),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.278944253566709),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') ); #871=SPHERICAL_SURFACE('',#91391,0.01); #872=SPHERICAL_SURFACE('',#91397,0.01); #873=SPHERICAL_SURFACE('',#91402,0.01); #874=SPHERICAL_SURFACE('',#91405,0.01); #875=SPHERICAL_SURFACE('',#91407,0.01); #876=SPHERICAL_SURFACE('',#91413,0.01); #877=SPHERICAL_SURFACE('',#91702,0.01); #878=SPHERICAL_SURFACE('',#91704,0.01); #879=SPHERICAL_SURFACE('',#91706,0.01); #880=SPHERICAL_SURFACE('',#91708,0.01); #881=SPHERICAL_SURFACE('',#91710,0.01); #882=SPHERICAL_SURFACE('',#91712,0.01); #883=SPHERICAL_SURFACE('',#91714,0.01); #884=SPHERICAL_SURFACE('',#91716,0.01); #885=SPHERICAL_SURFACE('',#92987,0.01); #886=SPHERICAL_SURFACE('',#92989,0.01); #887=SPHERICAL_SURFACE('',#92991,0.01); #888=SPHERICAL_SURFACE('',#92993,0.01); #889=SPHERICAL_SURFACE('',#93016,0.01); #890=SPHERICAL_SURFACE('',#93021,0.01); #891=SPHERICAL_SURFACE('',#93032,0.01); #892=SPHERICAL_SURFACE('',#93034,0.01); #893=SPHERICAL_SURFACE('',#93069,0.01); #894=SPHERICAL_SURFACE('',#93071,0.01); #895=SPHERICAL_SURFACE('',#93073,0.01); #896=SPHERICAL_SURFACE('',#93075,0.01); #897=SPHERICAL_SURFACE('',#93101,0.01); #898=SPHERICAL_SURFACE('',#93106,0.01); #899=SPHERICAL_SURFACE('',#93117,0.01); #900=SPHERICAL_SURFACE('',#93119,0.01); #901=SPHERICAL_SURFACE('',#93206,0.01); #902=SPHERICAL_SURFACE('',#93214,0.01); #903=SPHERICAL_SURFACE('',#93216,0.01); #904=SPHERICAL_SURFACE('',#93226,0.01); #905=SPHERICAL_SURFACE('',#93230,0.01); #906=SPHERICAL_SURFACE('',#93233,0.01); #907=SPHERICAL_SURFACE('',#93304,0.01); #908=SPHERICAL_SURFACE('',#93308,0.01); #909=SPHERICAL_SURFACE('',#93310,0.01); #910=SPHERICAL_SURFACE('',#93335,0.01); #911=SPHERICAL_SURFACE('',#93342,0.01); #912=SPHERICAL_SURFACE('',#93347,0.01); #913=SPHERICAL_SURFACE('',#93717,0.01); #914=SPHERICAL_SURFACE('',#93723,0.01); #915=SPHERICAL_SURFACE('',#93724,0.01); #916=SPHERICAL_SURFACE('',#93732,0.01); #917=SPHERICAL_SURFACE('',#93738,0.01); #918=SPHERICAL_SURFACE('',#93741,0.01); #919=SPHERICAL_SURFACE('',#93742,0.01); #920=SPHERICAL_SURFACE('',#93758,0.01); #921=SPHERICAL_SURFACE('',#93762,0.01); #922=SPHERICAL_SURFACE('',#93764,0.01); #923=SPHERICAL_SURFACE('',#93766,0.01); #924=SPHERICAL_SURFACE('',#93770,0.01); #925=SPHERICAL_SURFACE('',#93782,0.01); #926=SPHERICAL_SURFACE('',#93784,0.01); #927=SPHERICAL_SURFACE('',#93793,0.01); #928=SPHERICAL_SURFACE('',#93796,0.01); #929=SPHERICAL_SURFACE('',#93797,0.01); #930=SPHERICAL_SURFACE('',#93812,0.01); #931=SPHERICAL_SURFACE('',#93818,0.01); #932=SPHERICAL_SURFACE('',#93823,0.01); #933=SPHERICAL_SURFACE('',#93824,0.01); #934=SPHERICAL_SURFACE('',#93841,0.01); #935=SPHERICAL_SURFACE('',#93846,0.01); #936=SPHERICAL_SURFACE('',#93864,0.01); #937=SPHERICAL_SURFACE('',#93869,0.01); #938=SPHERICAL_SURFACE('',#93887,0.01); #939=SPHERICAL_SURFACE('',#93893,0.01); #940=SPHERICAL_SURFACE('',#93896,0.01); #941=SPHERICAL_SURFACE('',#93897,0.01); #942=SPHERICAL_SURFACE('',#93909,0.01); #943=SPHERICAL_SURFACE('',#93920,0.01); #944=SPHERICAL_SURFACE('',#93924,0.01); #945=SPHERICAL_SURFACE('',#93926,0.01); #946=SPHERICAL_SURFACE('',#93928,0.01); #947=SPHERICAL_SURFACE('',#93952,0.01); #948=SPHERICAL_SURFACE('',#93954,0.01); #949=SPHERICAL_SURFACE('',#93956,0.01); #950=SPHERICAL_SURFACE('',#93960,0.01); #951=SPHERICAL_SURFACE('',#93963,0.01); #952=SPHERICAL_SURFACE('',#93977,0.01); #953=SPHERICAL_SURFACE('',#93984,0.01); #954=SPHERICAL_SURFACE('',#93988,0.01); #955=SPHERICAL_SURFACE('',#93990,0.01); #956=SPHERICAL_SURFACE('',#94001,0.01); #957=SPHERICAL_SURFACE('',#94002,0.01); #958=SPHERICAL_SURFACE('',#94003,0.01); #959=SPHERICAL_SURFACE('',#94013,0.01); #960=SPHERICAL_SURFACE('',#94015,0.01); #961=SPHERICAL_SURFACE('',#94017,0.01); #962=SPHERICAL_SURFACE('',#94022,0.01); #963=SPHERICAL_SURFACE('',#94036,0.01); #964=SPHERICAL_SURFACE('',#94038,0.01); #965=SPHERICAL_SURFACE('',#94040,0.01); #966=SPHERICAL_SURFACE('',#94044,0.01); #967=SPHERICAL_SURFACE('',#94059,0.01); #968=SPHERICAL_SURFACE('',#94063,0.01); #969=SPHERICAL_SURFACE('',#94065,0.01); #970=SPHERICAL_SURFACE('',#94069,0.01); #971=SPHERICAL_SURFACE('',#94389,0.00999999999999999); #972=SPHERICAL_SURFACE('',#94396,0.00999999999999998); #973=SPHERICAL_SURFACE('',#94400,0.01); #974=SPHERICAL_SURFACE('',#94407,0.0100000000000001); #975=SPHERICAL_SURFACE('',#94457,0.02); #976=SPHERICAL_SURFACE('',#94459,0.02); #977=SPHERICAL_SURFACE('',#94461,0.02); #978=SPHERICAL_SURFACE('',#94463,0.02); #979=SPHERICAL_SURFACE('',#94541,0.01); #980=SPHERICAL_SURFACE('',#94553,0.01); #981=SPHERICAL_SURFACE('',#94565,0.01); #982=SPHERICAL_SURFACE('',#94566,0.01); #983=SPHERICAL_SURFACE('',#94569,0.01); #984=SPHERICAL_SURFACE('',#94574,0.01); #985=SPHERICAL_SURFACE('',#94616,0.01); #986=SPHERICAL_SURFACE('',#94620,0.01); #987=SPHERICAL_SURFACE('',#95342,0.078740157); #988=SPHERICAL_SURFACE('',#95404,0.078740157); #989=SPHERICAL_SURFACE('',#95408,0.078740157); #990=SPHERICAL_SURFACE('',#95415,0.078740157); #991=SPHERICAL_SURFACE('',#95419,9.45314184385035); #992=SPHERICAL_SURFACE('',#95433,0.06); #993=SPHERICAL_SURFACE('',#95465,0.06); #994=SPHERICAL_SURFACE('',#95601,9.54314184385035); #995=SPHERICAL_SURFACE('',#95608,0.196001602564103); #996=SPHERICAL_SURFACE('',#95988,1.60359953); #997=SPHERICAL_SURFACE('',#96498,0.0025); #998=SPHERICAL_SURFACE('',#96503,0.0025); #999=SPHERICAL_SURFACE('',#96507,0.0025); #1000=SPHERICAL_SURFACE('',#96509,0.0025); #1001=SPHERICAL_SURFACE('',#96533,0.0025); #1002=SPHERICAL_SURFACE('',#96545,0.0025); #1003=SPHERICAL_SURFACE('',#97394,0.01); #1004=SPHERICAL_SURFACE('',#97401,0.01); #1005=SPHERICAL_SURFACE('',#97406,0.01); #1006=SPHERICAL_SURFACE('',#97408,0.01); #1007=SPHERICAL_SURFACE('',#97566,0.01); #1008=SPHERICAL_SURFACE('',#97567,0.01); #1009=SPHERICAL_SURFACE('',#97585,0.01); #1010=SPHERICAL_SURFACE('',#97586,0.01); #1011=SPHERICAL_SURFACE('',#97588,0.01); #1012=SPHERICAL_SURFACE('',#97590,0.01); #1013=SPHERICAL_SURFACE('',#97733,0.005); #1014=SPHERICAL_SURFACE('',#97738,0.005); #1015=SPHERICAL_SURFACE('',#97740,0.005); #1016=SPHERICAL_SURFACE('',#97742,0.005); #1017=SPHERICAL_SURFACE('',#97789,0.005); #1018=SPHERICAL_SURFACE('',#97794,0.005); #1019=SPHERICAL_SURFACE('',#97796,0.005); #1020=SPHERICAL_SURFACE('',#97798,0.005); #1021=SPHERICAL_SURFACE('',#97826,0.005); #1022=SPHERICAL_SURFACE('',#97831,0.005); #1023=SPHERICAL_SURFACE('',#97833,0.005); #1024=SPHERICAL_SURFACE('',#97835,0.005); #1025=ELLIPSE('',#91360,10.9561574357565,9.4341); #1026=ELLIPSE('',#91362,10.9475478421919,9.4241); #1027=ELLIPSE('',#91370,18.9440438030548,9.4241); #1028=ELLIPSE('',#91371,18.9490204921536,9.4341); #1029=ELLIPSE('',#91378,10.9175860063822,9.4341); #1030=ELLIPSE('',#91380,10.9089459713921,9.4241); #1031=ELLIPSE('',#91388,18.9440438030548,9.4241); #1032=ELLIPSE('',#91389,18.9490204921536,9.4341); #1033=ELLIPSE('',#91393,10.9475478421919,9.4241); #1034=ELLIPSE('',#91395,10.9561574357565,9.4341); #1035=ELLIPSE('',#91409,10.9089459713921,9.4241); #1036=ELLIPSE('',#91411,10.9175860063822,9.4341); #1037=ELLIPSE('',#91419,0.500596655862948,0.35397529); #1038=ELLIPSE('',#91420,0.507716966095448,0.36397529); #1039=ELLIPSE('',#91434,0.500596655862948,0.35397529); #1040=ELLIPSE('',#91435,0.507716966095448,0.36397529); #1041=ELLIPSE('',#91443,0.500596655862947,0.35397529); #1042=ELLIPSE('',#91444,0.507716966095448,0.36397529); #1043=ELLIPSE('',#91459,0.500596655862947,0.35397529); #1044=ELLIPSE('',#91460,0.507716966095448,0.36397529); #1045=ELLIPSE('',#91468,0.500596655862948,0.35397529); #1046=ELLIPSE('',#91469,0.507716966095448,0.36397529); #1047=ELLIPSE('',#91483,0.500596655862948,0.35397529); #1048=ELLIPSE('',#91484,0.507716966095448,0.36397529); #1049=ELLIPSE('',#91492,0.500596655862949,0.35397529); #1050=ELLIPSE('',#91493,0.50771696609545,0.36397529); #1051=ELLIPSE('',#91507,0.500596655862949,0.35397529); #1052=ELLIPSE('',#91508,0.50771696609545,0.36397529); #1053=ELLIPSE('',#91514,0.402341641635489,0.284495794881919); #1054=ELLIPSE('',#91524,0.402341641635489,0.284495794881919); #1055=ELLIPSE('',#91530,0.40234164163549,0.284495794881919); #1056=ELLIPSE('',#91540,0.40234164163549,0.284495794881919); #1057=ELLIPSE('',#91584,0.402341641635488,0.284495794881919); #1058=ELLIPSE('',#91597,0.402341641635488,0.284495794881919); #1059=ELLIPSE('',#91605,0.402341641635489,0.284495794881919); #1060=ELLIPSE('',#91617,0.402341641635489,0.284495794881919); #1061=ELLIPSE('',#92831,0.020006092657821,0.02); #1062=ELLIPSE('',#92833,0.020006092657821,0.02); #1063=ELLIPSE('',#92844,0.020006092657821,0.02); #1064=ELLIPSE('',#92846,0.020006092657821,0.02); #1065=ELLIPSE('',#92921,9.38403496047465,9.3329555); #1066=ELLIPSE('',#92922,9.35419877966095,9.3029555); #1067=ELLIPSE('',#93001,0.0100121797543453,0.00999999999999984); #1068=ELLIPSE('',#93040,0.0100121797543451,0.0099999999999999); #1069=ELLIPSE('',#93079,0.0208091580527949,0.02); #1070=ELLIPSE('',#93083,0.0208091580527949,0.02); #1071=ELLIPSE('',#93084,0.0208091580527949,0.02); #1072=ELLIPSE('',#93123,0.0210324478284425,0.02); #1073=ELLIPSE('',#93127,0.0210324478284425,0.02); #1074=ELLIPSE('',#93128,0.0210324478284425,0.02); #1075=ELLIPSE('',#93158,0.0100121797543453,0.0100000000000001); #1076=ELLIPSE('',#93169,0.0100121797543453,0.00999999999999999); #1077=ELLIPSE('',#93201,0.0115334755761739,0.01); #1078=ELLIPSE('',#93242,0.0208091580527949,0.02); #1079=ELLIPSE('',#93244,0.0115334755761739,0.01); #1080=ELLIPSE('',#93279,0.0119316328160132,0.01); #1081=ELLIPSE('',#93280,0.0119316328160132,0.01); #1082=ELLIPSE('',#93282,0.0210324478284426,0.02); #1083=ELLIPSE('',#93287,0.0210324478284425,0.02); #1084=ELLIPSE('',#93288,0.0119316328160132,0.00999999999999999); #1085=ELLIPSE('',#93293,0.0119316328160132,0.00999999999999999); #1086=ELLIPSE('',#93297,0.0119316328160127,0.01); #1087=ELLIPSE('',#93298,0.0210324478284426,0.02); #1088=ELLIPSE('',#93312,0.0115334755761736,0.01); #1089=ELLIPSE('',#93314,0.0115334755761739,0.01); #1090=ELLIPSE('',#93329,0.0115334755761736,0.00999999999999998); #1091=ELLIPSE('',#93333,0.0115334755761739,0.01); #1092=ELLIPSE('',#93334,0.0208091580527949,0.02); #1093=ELLIPSE('',#93349,0.0119316328160132,0.00999999999999997); #1094=ELLIPSE('',#93351,0.0119316328160127,0.01); #1095=ELLIPSE('',#93418,142.285299262063,2.48322087277206); #1096=ELLIPSE('',#93419,142.285474137427,2.49322087277206); #1097=ELLIPSE('',#93422,142.285474137428,2.49322087277206); #1098=ELLIPSE('',#93424,142.285299262064,2.48322087277206); #1099=ELLIPSE('',#93452,142.285299262064,2.48322087277206); #1100=ELLIPSE('',#93453,142.285474137428,2.49322087277206); #1101=ELLIPSE('',#93456,142.285474137427,2.49322087277206); #1102=ELLIPSE('',#93458,142.285299262063,2.48322087277206); #1103=ELLIPSE('',#93468,0.0115334755761739,0.01); #1104=ELLIPSE('',#93469,0.0208091580527949,0.02); #1105=ELLIPSE('',#93473,0.0208091580527949,0.02); #1106=ELLIPSE('',#93474,0.0115334755761739,0.01); #1107=ELLIPSE('',#93475,0.0208091580527949,0.02); #1108=ELLIPSE('',#93478,0.0115334755761739,0.01); #1109=ELLIPSE('',#93486,0.0115334755761736,0.00999999999999998); #1110=ELLIPSE('',#93513,0.0119316328160132,0.01); #1111=ELLIPSE('',#93531,0.0119316328160132,0.01); #1112=ELLIPSE('',#93650,0.0119316328160132,0.01); #1113=ELLIPSE('',#93651,0.0210324478284426,0.02); #1114=ELLIPSE('',#93657,0.0210324478284426,0.02); #1115=ELLIPSE('',#93669,0.0119316328160132,0.01); #1116=ELLIPSE('',#93698,0.0115334755761736,0.01); #1117=ELLIPSE('',#94155,0.0300074265305754,0.03); #1118=ELLIPSE('',#94156,0.0300028559931191,0.03); #1119=ELLIPSE('',#94159,0.0200019039954128,0.02); #1120=ELLIPSE('',#94160,0.0200049510203836,0.02); #1121=ELLIPSE('',#94161,0.0200049510203836,0.02); #1122=ELLIPSE('',#94162,0.0200019039954128,0.02); #1123=ELLIPSE('',#94163,0.0300028559931191,0.03); #1124=ELLIPSE('',#94164,0.0200019039954128,0.02); #1125=ELLIPSE('',#94165,0.0200019039954128,0.02); #1126=ELLIPSE('',#94166,0.0200049510203836,0.02); #1127=ELLIPSE('',#94167,0.0200019039954128,0.02); #1128=ELLIPSE('',#94168,0.0200019039954128,0.02); #1129=ELLIPSE('',#94169,0.0200049510203836,0.02); #1130=ELLIPSE('',#94171,0.0300074265305754,0.03); #1131=ELLIPSE('',#94174,0.0300005711594745,0.03); #1132=ELLIPSE('',#94175,0.0300005711594743,0.0300000000000002); #1133=ELLIPSE('',#94178,0.0200003807729829,0.0200000000000001); #1134=ELLIPSE('',#94179,0.0200019039954128,0.02); #1135=ELLIPSE('',#94180,0.0200019039954128,0.02); #1136=ELLIPSE('',#94181,0.0200003807729829,0.0200000000000001); #1137=ELLIPSE('',#94182,0.0300005711594743,0.0300000000000002); #1138=ELLIPSE('',#94183,0.0200003807729829,0.0200000000000001); #1139=ELLIPSE('',#94184,0.0200003807729829,0.0200000000000001); #1140=ELLIPSE('',#94186,0.0300005711594745,0.03); #1141=ELLIPSE('',#94189,0.020000380772983,0.02); #1142=ELLIPSE('',#94192,0.145001380308633,0.145); #1143=ELLIPSE('',#94193,0.125001601155381,0.125); #1144=ELLIPSE('',#94194,0.125001601155381,0.125); #1145=ELLIPSE('',#94198,0.257537005582214,0.25753492); #1146=ELLIPSE('',#94200,0.0100000951936988,0.01); #1147=ELLIPSE('',#94202,0.375353829821483,0.3753502556349); #1148=ELLIPSE('',#94203,0.251970902581388,0.251968504); #1149=ELLIPSE('',#94204,0.251970902581388,0.251968504); #1150=ELLIPSE('',#94206,0.251970902581388,0.251968504); #1151=ELLIPSE('',#94207,0.0800312277970074,0.08); #1152=ELLIPSE('',#94208,0.0800312277970073,0.08); #1153=ELLIPSE('',#94209,0.0100000951936988,0.01); #1154=ELLIPSE('',#94212,0.020000380772983,0.02); #1155=ELLIPSE('',#94214,0.125001601155381,0.125); #1156=ELLIPSE('',#94215,0.145001380308633,0.145); #1157=ELLIPSE('',#94217,0.257537005582214,0.25753492); #1158=ELLIPSE('',#94218,0.237537181182764,0.23753492); #1159=ELLIPSE('',#94222,0.0200019039954128,0.02); #1160=ELLIPSE('',#94223,0.028222766521346,0.02); #1161=ELLIPSE('',#94226,0.0200049510203836,0.02); #1162=ELLIPSE('',#94229,0.0200049510203836,0.02); #1163=ELLIPSE('',#94232,0.0200049510203836,0.02); #1164=ELLIPSE('',#94235,0.0200049510203836,0.02); #1165=ELLIPSE('',#94237,0.020000380772983,0.02); #1166=ELLIPSE('',#94240,0.020000380772983,0.02); #1167=ELLIPSE('',#94243,0.020000380772983,0.02); #1168=ELLIPSE('',#94245,0.0200019039954128,0.02); #1169=ELLIPSE('',#94248,0.0200049510203836,0.02); #1170=ELLIPSE('',#94251,0.0200019039954128,0.02); #1171=ELLIPSE('',#94254,0.0200049510203836,0.02); #1172=ELLIPSE('',#94257,0.0282227665213459,0.02); #1173=ELLIPSE('',#94258,0.0200019039954128,0.02); #1174=ELLIPSE('',#94260,0.020000380772983,0.02); #1175=ELLIPSE('',#94418,0.0393715776490356,0.0393700785); #1176=ELLIPSE('',#94423,9.49612074302581,9.4441); #1177=ELLIPSE('',#94425,9.47623053572068,9.4241); #1178=ELLIPSE('',#94431,9.4671615296684,9.4441); #1179=ELLIPSE('',#94433,9.44721035167701,9.4241); #1180=ELLIPSE('',#94438,9.49612074302581,9.4441); #1181=ELLIPSE('',#94439,9.47623053572068,9.4241); #1182=ELLIPSE('',#94444,9.4671615296684,9.4441); #1183=ELLIPSE('',#94445,9.44721035167701,9.4241); #1184=ELLIPSE('',#94455,9.4961207430258,9.4441); #1185=ELLIPSE('',#94456,9.47623053572068,9.4241); #1186=ELLIPSE('',#94474,0.0200137058718511,0.02); #1187=ELLIPSE('',#94477,0.0200137058718511,0.02); #1188=ELLIPSE('',#94483,0.0600126936277505,0.06); #1189=ELLIPSE('',#94486,0.0600126936277505,0.06); #1190=ELLIPSE('',#94492,0.0200137058718511,0.02); #1191=ELLIPSE('',#94495,0.020013705871851,0.02); #1192=ELLIPSE('',#94507,0.0600416307464786,0.06); #1193=ELLIPSE('',#94510,0.0600126936277504,0.06); #1194=ELLIPSE('',#94516,0.0600416307464786,0.06); #1195=ELLIPSE('',#94518,0.0600126936277504,0.06); #1196=ELLIPSE('',#94520,0.0500152316445524,0.05); #1197=ELLIPSE('',#94525,0.0500152316445524,0.05); #1198=ELLIPSE('',#94534,0.0300091389867315,0.03); #1199=ELLIPSE('',#94538,0.0300091389867315,0.03); #1200=ELLIPSE('',#94546,0.0500152316445525,0.05); #1201=ELLIPSE('',#94550,0.0500152316445524,0.05); #1202=ELLIPSE('',#94558,0.0300091389867315,0.03); #1203=ELLIPSE('',#94562,0.0300091389867315,0.03); #1204=ELLIPSE('',#94605,0.251970902581388,0.251968504); #1205=ELLIPSE('',#94630,0.691699989546525,0.68904767647848); #1206=ELLIPSE('',#94649,0.691699989546534,0.689047676478471); #1207=ELLIPSE('',#95271,0.821606596598288,0.638340678434932); #1208=ELLIPSE('',#95282,0.126647753901496,0.078740157); #1209=ELLIPSE('',#95283,0.154238999905617,0.118110236); #1210=ELLIPSE('',#95285,0.118152032297228,0.118110236); #1211=ELLIPSE('',#95286,0.0788028375908772,0.078740157); #1212=ELLIPSE('',#95288,0.154238999905617,0.118110236); #1213=ELLIPSE('',#95290,0.126647753901496,0.078740157); #1214=ELLIPSE('',#95301,0.821606596598288,0.638340678434932); #1215=ELLIPSE('',#95319,0.0788028375908773,0.078740157); #1216=ELLIPSE('',#95320,0.118152032297228,0.118110236); #1217=ELLIPSE('',#95368,0.19896128578893,0.19581829128895); #1218=ELLIPSE('',#95391,0.19896128578893,0.19581829128895); #1219=ELLIPSE('',#95476,0.265006038703377,0.265); #1220=ELLIPSE('',#95477,0.205007806069076,0.205); #1221=ELLIPSE('',#95479,0.265006038703377,0.265); #1222=ELLIPSE('',#95480,0.205007806069076,0.205); #1223=ELLIPSE('',#95491,0.0500019033393898,0.05); #1224=ELLIPSE('',#95492,0.0600015861253824,0.06); #1225=ELLIPSE('',#95496,0.0500019033393898,0.05); #1226=ELLIPSE('',#95497,0.0600015861253824,0.06); #1227=ELLIPSE('',#95500,0.095003617446645,0.095); #1228=ELLIPSE('',#95501,0.27201035732092,0.272); #1229=ELLIPSE('',#95502,0.095003617446645,0.095); #1230=ELLIPSE('',#95504,0.0600257010276098,0.0599999999999999); #1231=ELLIPSE('',#95507,0.0600257010276098,0.06); #1232=ELLIPSE('',#95509,0.27201035732092,0.272); #1233=ELLIPSE('',#95510,0.095003617446645,0.095); #1234=ELLIPSE('',#95511,0.095003617446645,0.095); #1235=ELLIPSE('',#95517,0.332008485569051,0.332); #1236=ELLIPSE('',#95520,0.332008485569051,0.332); #1237=ELLIPSE('',#95545,0.12500274928156,0.125); #1238=ELLIPSE('',#95547,0.0600205623287749,0.06); #1239=ELLIPSE('',#95549,0.0900137095239517,0.09); #1240=ELLIPSE('',#95557,0.0900171360567308,0.09); #1241=ELLIPSE('',#95561,0.0900171360567308,0.09); #1242=ELLIPSE('',#95565,0.12500274928156,0.125); #1243=ELLIPSE('',#95568,0.0900137095239517,0.09); #1244=ELLIPSE('',#95569,0.0600205623287749,0.06); #1245=ELLIPSE('',#95628,0.0295275590551181,0.02771251); #1246=ELLIPSE('',#97599,0.280532510001473,0.28); #1247=ELLIPSE('',#97600,0.280532510001473,0.28); #1248=ELLIPSE('',#97602,0.125237727679229,0.125); #1249=ELLIPSE('',#97603,0.125237727679229,0.125); #1250=ELLIPSE('',#97606,0.280532510001473,0.28); #1251=ELLIPSE('',#97608,0.125237727679229,0.125); #1252=ELLIPSE('',#97611,0.280532510001473,0.28); #1253=ELLIPSE('',#97613,0.125237727679229,0.125); #1254=ELLIPSE('',#97616,0.280532510001473,0.28); #1255=ELLIPSE('',#97618,0.125237727679229,0.125); #1256=ELLIPSE('',#97621,0.280532510001457,0.280000000000015); #1257=ELLIPSE('',#97623,0.125237727679222,0.125000000000007); #1258=ELLIPSE('',#97626,0.280532510001473,0.28); #1259=ELLIPSE('',#97628,0.125237727679229,0.125); #1260=ELLIPSE('',#97631,0.280532510001473,0.28); #1261=ELLIPSE('',#97633,0.125237727679229,0.125); #1262=ELLIPSE('',#97636,0.280532510001473,0.28); #1263=ELLIPSE('',#97638,0.125237727679229,0.125); #1264=ELLIPSE('',#97641,0.280532510001473,0.28); #1265=ELLIPSE('',#97643,0.125237727679229,0.125); #1266=ELLIPSE('',#97646,0.280532510001473,0.28); #1267=ELLIPSE('',#97648,0.125237727679229,0.125); #1268=ELLIPSE('',#97650,0.280532510001473,0.28); #1269=ELLIPSE('',#97652,0.125237727679229,0.125); #1270=ELLIPSE('',#97654,0.280532510001473,0.28); #1271=ELLIPSE('',#97656,0.125237727679229,0.125); #1272=ELLIPSE('',#97658,0.280532510001473,0.28); #1273=ELLIPSE('',#97660,0.125237727679229,0.125); #1274=ELLIPSE('',#97662,0.280532510001457,0.280000000000015); #1275=ELLIPSE('',#97664,0.125237727679222,0.125000000000007); #1276=ELLIPSE('',#97666,0.280532510001473,0.28); #1277=ELLIPSE('',#97668,0.125237727679229,0.125); #1278=ELLIPSE('',#97670,0.280532510001473,0.28); #1279=ELLIPSE('',#97672,0.125237727679229,0.125); #1280=ELLIPSE('',#97674,0.280532510001473,0.28); #1281=ELLIPSE('',#97676,0.125237727679229,0.125); #1282=ELLIPSE('',#97722,0.280532510001473,0.28); #1283=ELLIPSE('',#97723,0.125237727679229,0.125); #1284=ELLIPSE('',#98028,11.5896040684891,9.4261); #1285=ELLIPSE('',#98029,11.6280164273388,9.4261); #1286=ELLIPSE('',#98030,11.831700763676,9.4261); #1287=ELLIPSE('',#98031,11.7104183028263,9.4261); #1288=ELLIPSE('',#98032,11.6245915005907,9.4261); #1289=ELLIPSE('',#98033,11.6012166305762,9.42609999999999); #1290=ELLIPSE('',#98034,11.7132267610927,9.4261); #1291=ELLIPSE('',#98035,11.8026332084902,9.4261); #1292=ELLIPSE('',#98037,11.7091759631203,9.4251); #1293=ELLIPSE('',#98040,11.830445557306,9.4251); #1294=ELLIPSE('',#98042,11.6267828295171,9.4251); #1295=ELLIPSE('',#98045,11.5883745457736,9.4251); #1296=ELLIPSE('',#98047,11.623358266114,9.4251); #1297=ELLIPSE('',#98050,11.5999858759024,9.4251); #1298=ELLIPSE('',#98052,11.7119841234418,9.4251); #1299=ELLIPSE('',#98055,11.801381085851,9.4251); #1300=ELLIPSE('',#98057,9.46210617066734,9.4261); #1301=ELLIPSE('',#98058,9.4611023508298,9.4251); #1302=ELLIPSE('',#98061,9.46210617066734,9.4261); #1303=ELLIPSE('',#98062,9.4611023508298,9.4251); #1304=ELLIPSE('',#98065,9.46210617066734,9.4261); #1305=ELLIPSE('',#98066,9.4611023508298,9.4251); #1306=ELLIPSE('',#98070,9.46210617066734,9.4261); #1307=ELLIPSE('',#98071,9.46210617066734,9.4261); #1308=ELLIPSE('',#98072,9.46210617066734,9.4261); #1309=ELLIPSE('',#98074,9.4611023508298,9.4251); #1310=ELLIPSE('',#98077,9.4611023508298,9.4251); #1311=ELLIPSE('',#98080,9.4611023508298,9.4251); #1312=ELLIPSE('',#98084,9.46210617066734,9.4261); #1313=ELLIPSE('',#98085,9.4611023508298,9.4251); #1314=ELLIPSE('',#98088,9.46210617066734,9.4261); #1315=ELLIPSE('',#98089,9.4611023508298,9.4251); #1316=ELLIPSE('',#98092,9.46210617066734,9.4261); #1317=ELLIPSE('',#98093,9.4611023508298,9.4251); #1318=ELLIPSE('',#98096,9.46210617066734,9.4261); #1319=ELLIPSE('',#98097,9.46210617066734,9.4261); #1320=ELLIPSE('',#98098,9.46210617066734,9.4261); #1321=ELLIPSE('',#98100,9.4611023508298,9.4251); #1322=ELLIPSE('',#98103,9.4611023508298,9.4251); #1323=ELLIPSE('',#98106,9.4611023508298,9.4251); #1324=ELLIPSE('',#98109,11.8026332084902,9.4261); #1325=ELLIPSE('',#98110,11.8013810858511,9.4251); #1326=ELLIPSE('',#98113,11.7132267610927,9.4261); #1327=ELLIPSE('',#98114,11.7119841234418,9.4251); #1328=ELLIPSE('',#98116,11.6012166305762,9.4261); #1329=ELLIPSE('',#98117,11.5999858759024,9.4251); #1330=ELLIPSE('',#98120,11.6245915005906,9.4261); #1331=ELLIPSE('',#98121,11.623358266114,9.4251); #1332=ELLIPSE('',#98123,11.5896040684891,9.4261); #1333=ELLIPSE('',#98124,11.5883745457736,9.4251); #1334=ELLIPSE('',#98127,11.6280164273388,9.4261); #1335=ELLIPSE('',#98128,11.6267828295171,9.4251); #1336=ELLIPSE('',#98130,11.8317007636761,9.4261); #1337=ELLIPSE('',#98131,11.8304455573061,9.4251); #1338=ELLIPSE('',#98134,11.7104183028262,9.42609999999999); #1339=ELLIPSE('',#98135,11.7091759631202,9.4251); #1340=ELLIPSE('',#98138,11.5896040684891,9.4261); #1341=ELLIPSE('',#98139,11.6280164273388,9.4261); #1342=ELLIPSE('',#98140,11.831700763676,9.4261); #1343=ELLIPSE('',#98141,11.7104183028263,9.4261); #1344=ELLIPSE('',#98142,11.6245915005906,9.4261); #1345=ELLIPSE('',#98143,11.6012166305762,9.4261); #1346=ELLIPSE('',#98144,11.7132267610927,9.4261); #1347=ELLIPSE('',#98145,11.8026332084902,9.4261); #1348=ELLIPSE('',#98147,11.7091759631203,9.4251); #1349=ELLIPSE('',#98150,11.830445557306,9.4251); #1350=ELLIPSE('',#98152,11.626782829517,9.4251); #1351=ELLIPSE('',#98155,11.5883745457736,9.4251); #1352=ELLIPSE('',#98157,11.623358266114,9.4251); #1353=ELLIPSE('',#98160,11.5999858759024,9.4251); #1354=ELLIPSE('',#98162,11.7119841234418,9.4251); #1355=ELLIPSE('',#98165,11.8013810858511,9.4251); #1356=ELLIPSE('',#98167,11.8026332084902,9.4261); #1357=ELLIPSE('',#98168,11.8013810858511,9.4251); #1358=ELLIPSE('',#98171,11.7132267610927,9.4261); #1359=ELLIPSE('',#98172,11.7119841234418,9.4251); #1360=ELLIPSE('',#98174,11.6012166305762,9.4261); #1361=ELLIPSE('',#98175,11.5999858759024,9.4251); #1362=ELLIPSE('',#98178,11.6245915005907,9.4261); #1363=ELLIPSE('',#98179,11.623358266114,9.4251); #1364=ELLIPSE('',#98181,11.589604068489,9.4261); #1365=ELLIPSE('',#98182,11.5883745457735,9.4251); #1366=ELLIPSE('',#98185,11.6280164273388,9.4261); #1367=ELLIPSE('',#98186,11.626782829517,9.4251); #1368=ELLIPSE('',#98188,11.831700763676,9.4261); #1369=ELLIPSE('',#98189,11.830445557306,9.4251); #1370=ELLIPSE('',#98192,11.7104183028263,9.4261); #1371=ELLIPSE('',#98193,11.7091759631203,9.4251); #1372=ELLIPSE('',#98196,11.589604068489,9.4261); #1373=ELLIPSE('',#98197,11.6280164273388,9.4261); #1374=ELLIPSE('',#98198,11.8317007636759,9.4261); #1375=ELLIPSE('',#98199,11.7104183028263,9.42609999999999); #1376=ELLIPSE('',#98200,11.6245915005907,9.4261); #1377=ELLIPSE('',#98201,11.6012166305762,9.4261); #1378=ELLIPSE('',#98202,11.7132267610927,9.4261); #1379=ELLIPSE('',#98203,11.8026332084902,9.4261); #1380=ELLIPSE('',#98205,11.7091759631203,9.4251); #1381=ELLIPSE('',#98208,11.830445557306,9.4251); #1382=ELLIPSE('',#98210,11.626782829517,9.4251); #1383=ELLIPSE('',#98213,11.5883745457735,9.4251); #1384=ELLIPSE('',#98215,11.623358266114,9.4251); #1385=ELLIPSE('',#98218,11.5999858759024,9.4251); #1386=ELLIPSE('',#98220,11.7119841234418,9.4251); #1387=ELLIPSE('',#98223,11.801381085851,9.4251); #1388=ELLIPSE('',#98225,11.8026332084902,9.4261); #1389=ELLIPSE('',#98226,11.8013810858511,9.4251); #1390=ELLIPSE('',#98229,11.7132267610927,9.4261); #1391=ELLIPSE('',#98230,11.7119841234418,9.4251); #1392=ELLIPSE('',#98232,11.6012166305762,9.4261); #1393=ELLIPSE('',#98233,11.5999858759024,9.4251); #1394=ELLIPSE('',#98236,11.6245915005907,9.4261); #1395=ELLIPSE('',#98237,11.623358266114,9.4251); #1396=ELLIPSE('',#98239,11.5896040684891,9.4261); #1397=ELLIPSE('',#98240,11.5883745457736,9.4251); #1398=ELLIPSE('',#98243,11.6280164273388,9.4261); #1399=ELLIPSE('',#98244,11.6267828295171,9.4251); #1400=ELLIPSE('',#98246,11.831700763676,9.4261); #1401=ELLIPSE('',#98247,11.8304455573061,9.4251); #1402=ELLIPSE('',#98250,11.7104183028263,9.4261); #1403=ELLIPSE('',#98251,11.7091759631203,9.4251); #1404=ELLIPSE('',#98254,11.589604068489,9.4261); #1405=ELLIPSE('',#98255,11.6280164273387,9.4261); #1406=ELLIPSE('',#98256,11.831700763676,9.4261); #1407=ELLIPSE('',#98257,11.7104183028263,9.4261); #1408=ELLIPSE('',#98258,11.6245915005907,9.4261); #1409=ELLIPSE('',#98259,11.6012166305762,9.4261); #1410=ELLIPSE('',#98260,11.7132267610927,9.4261); #1411=ELLIPSE('',#98261,11.8026332084902,9.4261); #1412=ELLIPSE('',#98263,11.7091759631203,9.4251); #1413=ELLIPSE('',#98266,11.830445557306,9.4251); #1414=ELLIPSE('',#98268,11.626782829517,9.4251); #1415=ELLIPSE('',#98271,11.5883745457735,9.4251); #1416=ELLIPSE('',#98273,11.623358266114,9.4251); #1417=ELLIPSE('',#98276,11.5999858759024,9.4251); #1418=ELLIPSE('',#98278,11.7119841234418,9.4251); #1419=ELLIPSE('',#98281,11.801381085851,9.4251); #1420=ELLIPSE('',#98283,11.8026332084902,9.4261); #1421=ELLIPSE('',#98284,11.801381085851,9.4251); #1422=ELLIPSE('',#98287,11.7132267610928,9.4261); #1423=ELLIPSE('',#98288,11.7119841234419,9.4251); #1424=ELLIPSE('',#98290,11.6012166305762,9.4261); #1425=ELLIPSE('',#98291,11.5999858759024,9.4251); #1426=ELLIPSE('',#98294,11.6245915005907,9.4261); #1427=ELLIPSE('',#98295,11.623358266114,9.4251); #1428=ELLIPSE('',#98297,11.5896040684891,9.4261); #1429=ELLIPSE('',#98298,11.5883745457736,9.4251); #1430=ELLIPSE('',#98301,11.6280164273388,9.4261); #1431=ELLIPSE('',#98302,11.6267828295171,9.4251); #1432=ELLIPSE('',#98304,11.831700763676,9.4261); #1433=ELLIPSE('',#98305,11.8304455573061,9.4251); #1434=ELLIPSE('',#98308,11.7104183028263,9.4261); #1435=ELLIPSE('',#98309,11.7091759631203,9.4251); #1436=ELLIPSE('',#98312,11.5896040684891,9.4261); #1437=ELLIPSE('',#98313,11.6280164273389,9.4261); #1438=ELLIPSE('',#98314,11.831700763676,9.4261); #1439=ELLIPSE('',#98315,11.7104183028263,9.4261); #1440=ELLIPSE('',#98316,11.6245915005906,9.4261); #1441=ELLIPSE('',#98317,11.6012166305762,9.4261); #1442=ELLIPSE('',#98318,11.7132267610928,9.4261); #1443=ELLIPSE('',#98319,11.8026332084902,9.4261); #1444=ELLIPSE('',#98321,11.7091759631203,9.4251); #1445=ELLIPSE('',#98324,11.8304455573061,9.4251); #1446=ELLIPSE('',#98326,11.6267828295172,9.4251); #1447=ELLIPSE('',#98329,11.5883745457736,9.4251); #1448=ELLIPSE('',#98331,11.623358266114,9.4251); #1449=ELLIPSE('',#98334,11.5999858759025,9.4251); #1450=ELLIPSE('',#98336,11.7119841234419,9.4251); #1451=ELLIPSE('',#98339,11.8013810858511,9.4251); #1452=ELLIPSE('',#98341,11.8026332084902,9.4261); #1453=ELLIPSE('',#98342,11.8013810858511,9.4251); #1454=ELLIPSE('',#98345,11.7132267610926,9.4261); #1455=ELLIPSE('',#98346,11.7119841234417,9.4251); #1456=ELLIPSE('',#98348,11.6012166305762,9.4261); #1457=ELLIPSE('',#98349,11.5999858759024,9.4251); #1458=ELLIPSE('',#98352,11.6245915005907,9.4261); #1459=ELLIPSE('',#98353,11.623358266114,9.4251); #1460=ELLIPSE('',#98355,11.5896040684891,9.4261); #1461=ELLIPSE('',#98356,11.5883745457736,9.4251); #1462=ELLIPSE('',#98359,11.6280164273389,9.4261); #1463=ELLIPSE('',#98360,11.6267828295172,9.4251); #1464=ELLIPSE('',#98362,11.831700763676,9.4261); #1465=ELLIPSE('',#98363,11.830445557306,9.4251); #1466=ELLIPSE('',#98366,11.7104183028263,9.4261); #1467=ELLIPSE('',#98367,11.7091759631203,9.4251); #1468=ELLIPSE('',#98370,9.4611023508298,9.4251); #1469=ELLIPSE('',#98371,9.46009853099226,9.4241); #1470=ELLIPSE('',#98376,9.4611023508298,9.4251); #1471=ELLIPSE('',#98378,9.46009853099226,9.4241); #1472=CONICAL_SURFACE('',#91160,1.14558017970316,0.0174532925199433); #1473=CONICAL_SURFACE('',#91162,2.74391952277206,0.0174532925199433); #1474=CONICAL_SURFACE('',#91164,1.14558017970316,0.0174532925199433); #1475=CONICAL_SURFACE('',#91166,8.55795505609893,0.0174532925199433); #1476=CONICAL_SURFACE('',#91209,0.935104379703156,0.00872664625997165); #1477=CONICAL_SURFACE('',#91211,8.34747925609893,0.00872664625997165); #1478=CONICAL_SURFACE('',#91213,1.02366922336734,0.00872664625997165); #1479=CONICAL_SURFACE('',#91215,4.31010437970305,0.00872664625997165); #1480=CONICAL_SURFACE('',#91281,0.935104379703156,0.00872664625997165); #1481=CONICAL_SURFACE('',#91282,8.34747925609893,0.00872664625997165); #1482=CONICAL_SURFACE('',#91283,1.02366922336734,0.00872664625997165); #1483=CONICAL_SURFACE('',#91308,1.10234871970316,0.00872664625997165); #1484=CONICAL_SURFACE('',#91310,8.51472359609893,0.00872664625997165); #1485=CONICAL_SURFACE('',#91312,1.19091356336734,0.00872664625997165); #1486=CONICAL_SURFACE('',#91314,4.47734871970305,0.00872664625997165); #1487=CONICAL_SURFACE('',#91341,2.70068806277206,0.00872664625997165); #1488=CONICAL_SURFACE('',#91342,1.10234871970316,0.00872664625997165); #1489=CONICAL_SURFACE('',#91343,8.51472359609893,0.00872664625997165); #1490=CONICAL_SURFACE('',#91642,0.276470435,0.00436332312998582); #1491=CONICAL_SURFACE('',#91652,0.634741985,0.0174532925199433); #1492=CONICAL_SURFACE('',#91733,0.57,0.00872664625997165); #1493=CONICAL_SURFACE('',#91740,0.4825,0.00872664625997165); #1494=CONICAL_SURFACE('',#92928,0.140625,0.0174532925199433); #1495=CONICAL_SURFACE('',#92930,0.140625,0.0174532925199433); #1496=CONICAL_SURFACE('',#92956,8.55795505609893,0.0174532925199433); #1497=CONICAL_SURFACE('',#92957,1.23414502336734,0.0174532925199433); #1498=CONICAL_SURFACE('',#92995,0.2775104,0.0174532925199433); #1499=CONICAL_SURFACE('',#93036,0.33,0.0174532925199433); #1500=CONICAL_SURFACE('',#93077,0.333450257678195,0.0174532925199433); #1501=CONICAL_SURFACE('',#93121,0.333450257678194,0.0174532925199433); #1502=CONICAL_SURFACE('',#93241,0.274060142321805,0.0174532925199433); #1503=CONICAL_SURFACE('',#93268,0.725000000000001,0.0872664625997165); #1504=CONICAL_SURFACE('',#93277,0.725000000000001,0.0872664625997165); #1505=CONICAL_SURFACE('',#93507,8.30725640609893,0.0174532925199433); #1506=CONICAL_SURFACE('',#93509,0.983446373367345,0.0174532925199433); #1507=CONICAL_SURFACE('',#93579,2.49322087277206,0.0174532925199433); #1508=CONICAL_SURFACE('',#93609,2.49322087277206,0.0174532925199433); #1509=CONICAL_SURFACE('',#93637,0.894881529703155,0.0174532925199433); #1510=CONICAL_SURFACE('',#93639,2.49322087277206,0.0174532925199433); #1511=CONICAL_SURFACE('',#93674,8.30725640609893,0.0174532925199433); #1512=CONICAL_SURFACE('',#93710,0.983446373367344,0.0174532925199433); #1513=CONICAL_SURFACE('',#93712,8.30725640609893,0.0174532925199433); #1514=CONICAL_SURFACE('',#93773,8.30725640609893,0.0174532925199433); #1515=CONICAL_SURFACE('',#93802,2.40890414,0.0174532925199433); #1516=CONICAL_SURFACE('',#93855,2.40890414,0.0174532925199433); #1517=CONICAL_SURFACE('',#93878,2.40890414,0.0174532925199433); #1518=CONICAL_SURFACE('',#93932,0.28390414,0.0174532925199433); #1519=CONICAL_SURFACE('',#93939,0.894881529703156,0.0174532925199433); #1520=CONICAL_SURFACE('',#93940,2.49322087277206,0.0174532925199433); #1521=CONICAL_SURFACE('',#93966,8.30725640609893,0.0174532925199433); #1522=CONICAL_SURFACE('',#93968,0.28390414,0.0174532925199433); #1523=CONICAL_SURFACE('',#93993,8.30725640609893,0.0174532925199433); #1524=CONICAL_SURFACE('',#94024,4.26988152970305,0.0174532925199433); #1525=CONICAL_SURFACE('',#94046,4.26988152970305,0.0174532925199433); #1526=CONICAL_SURFACE('',#94071,4.26988152970305,0.0174532925199433); #1527=CONICAL_SURFACE('',#94108,0.274060142321805,0.0174532925199433); #1528=CONICAL_SURFACE('',#94127,2.40890414,0.0174532925199433); #1529=CONICAL_SURFACE('',#94129,2.40890414,0.0174532925199433); #1530=CONICAL_SURFACE('',#94130,0.28390414,0.0174532925199433); #1531=CONICAL_SURFACE('',#94132,2.53344372277206,0.00872664625997165); #1532=CONICAL_SURFACE('',#94151,1.19091356336734,0.00872664625997165); #1533=CONICAL_SURFACE('',#94152,4.52058017970305,0.0174532925199433); #1534=CONICAL_SURFACE('',#94153,1.23414502336734,0.0174532925199433); #1535=CONICAL_SURFACE('',#94269,0.3225,0.0174532925199433); #1536=CONICAL_SURFACE('',#94276,0.3225,0.0174532925199433); #1537=CONICAL_SURFACE('',#94317,0.625,0.0872664625997165); #1538=CONICAL_SURFACE('',#94337,2.40890414,0.0174532925199433); #1539=CONICAL_SURFACE('',#94338,0.28390414,0.0174532925199433); #1540=CONICAL_SURFACE('',#94367,1.38590315336735,0.0698131700797732); #1541=CONICAL_SURFACE('',#94368,8.70971318609893,0.0698131700797732); #1542=CONICAL_SURFACE('',#94369,1.29733830970316,0.0698131700797732); #1543=CONICAL_SURFACE('',#94370,2.89567765277206,0.0698131700797732); #1544=CONICAL_SURFACE('',#94371,4.67233830970305,0.0698131700797732); #1545=CONICAL_SURFACE('',#94372,1.38590315336735,0.0698131700797732); #1546=CONICAL_SURFACE('',#94373,8.70971318609893,0.0698131700797732); #1547=CONICAL_SURFACE('',#94374,1.29733830970316,0.0698131700797732); #1548=CONICAL_SURFACE('',#94419,0.3905,0.0174532925199433); #1549=CONICAL_SURFACE('',#94571,6.411,0.0872664625997165); #1550=CONICAL_SURFACE('',#94576,6.411,0.0872664625997165); #1551=CONICAL_SURFACE('',#94587,0.3905,0.0174532925199433); #1552=CONICAL_SURFACE('',#94629,0.725000000000001,0.0872664625997165); #1553=CONICAL_SURFACE('',#94645,13.4419741214518,0.0872664625997165); #1554=CONICAL_SURFACE('',#94646,5.45836081340677,0.0872664625997165); #1555=CONICAL_SURFACE('',#94647,13.4419741214518,0.0872664625997165); #1556=CONICAL_SURFACE('',#94648,0.725000000000001,0.0872664625997165); #1557=CONICAL_SURFACE('',#94726,0.625,0.0872664625997165); #1558=CONICAL_SURFACE('',#94727,13.3419741214518,0.0872664625997165); #1559=CONICAL_SURFACE('',#94728,6.311,0.0872664625997165); #1560=CONICAL_SURFACE('',#94764,0.187000000000001,0.0174532925199433); #1561=CONICAL_SURFACE('',#94765,2.312,0.0174532925199433); #1562=CONICAL_SURFACE('',#94766,0.186999999999999,0.0174532925199433); #1563=CONICAL_SURFACE('',#94778,5.35836081340676,0.0872664625997165); #1564=CONICAL_SURFACE('',#94782,0.625,0.0872664625997165); #1565=CONICAL_SURFACE('',#94783,13.3419741214518,0.0872664625997165); #1566=CONICAL_SURFACE('',#94784,0.625,0.0872664625997165); #1567=CONICAL_SURFACE('',#94785,0.236339745962155,0.523598775598302); #1568=CONICAL_SURFACE('',#94788,0.236339745962155,0.523598775598302); #1569=CONICAL_SURFACE('',#94791,0.236339745962155,0.523598775598302); #1570=CONICAL_SURFACE('',#94794,0.236339745962155,0.523598775598302); #1571=CONICAL_SURFACE('',#94797,0.236339745962155,0.523598775598302); #1572=CONICAL_SURFACE('',#94800,0.236339745962155,0.523598775598302); #1573=CONICAL_SURFACE('',#94803,0.236339745962155,0.523598775598302); #1574=CONICAL_SURFACE('',#94806,0.236339745962155,0.523598775598302); #1575=CONICAL_SURFACE('',#94809,0.26,0.523598775598298); #1576=CONICAL_SURFACE('',#94812,0.26,0.523598775598298); #1577=CONICAL_SURFACE('',#94815,0.26,0.523598775598298); #1578=CONICAL_SURFACE('',#94818,0.26,0.523598775598298); #1579=CONICAL_SURFACE('',#94821,0.26,0.523598775598298); #1580=CONICAL_SURFACE('',#94824,0.26,0.523598775598298); #1581=CONICAL_SURFACE('',#94827,0.26,0.523598775598298); #1582=CONICAL_SURFACE('',#94830,0.26,0.523598775598298); #1583=CONICAL_SURFACE('',#94866,0.236339745962155,0.523598775598302); #1584=CONICAL_SURFACE('',#94869,0.236339745962155,0.523598775598302); #1585=CONICAL_SURFACE('',#94872,0.236339745962155,0.523598775598302); #1586=CONICAL_SURFACE('',#94875,0.236339745962155,0.523598775598302); #1587=CONICAL_SURFACE('',#94878,0.236339745962155,0.523598775598302); #1588=CONICAL_SURFACE('',#94881,0.236339745962155,0.523598775598302); #1589=CONICAL_SURFACE('',#94884,0.236339745962155,0.523598775598302); #1590=CONICAL_SURFACE('',#94887,0.236339745962155,0.523598775598302); #1591=CONICAL_SURFACE('',#94890,0.26,0.523598775598298); #1592=CONICAL_SURFACE('',#94893,0.26,0.523598775598298); #1593=CONICAL_SURFACE('',#94896,0.26,0.523598775598298); #1594=CONICAL_SURFACE('',#94899,0.26,0.523598775598298); #1595=CONICAL_SURFACE('',#94902,0.26,0.523598775598298); #1596=CONICAL_SURFACE('',#94905,0.26,0.523598775598298); #1597=CONICAL_SURFACE('',#94908,0.26,0.523598775598298); #1598=CONICAL_SURFACE('',#94911,0.26,0.523598775598298); #1599=CONICAL_SURFACE('',#94932,0.33,0.0174532925199433); #1600=CONICAL_SURFACE('',#94933,0.2775104,0.0174532925199433); #1601=CONICAL_SURFACE('',#94958,0.0944000000000002,0.785398163397446); #1602=CONICAL_SURFACE('',#95010,0.27625,0.523598775598299); #1603=CONICAL_SURFACE('',#95012,0.27625,0.523598775598299); #1604=CONICAL_SURFACE('',#95014,0.27625,0.523598775598299); #1605=CONICAL_SURFACE('',#95016,0.27625,0.523598775598299); #1606=CONICAL_SURFACE('',#95018,0.27625,0.523598775598299); #1607=CONICAL_SURFACE('',#95020,0.27625,0.523598775598299); #1608=CONICAL_SURFACE('',#95022,0.27625,0.523598775598299); #1609=CONICAL_SURFACE('',#95024,0.27625,0.523598775598299); #1610=CONICAL_SURFACE('',#95038,0.27625,0.523598775598299); #1611=CONICAL_SURFACE('',#95041,0.27625,0.523598775598299); #1612=CONICAL_SURFACE('',#95043,0.27625,0.523598775598299); #1613=CONICAL_SURFACE('',#95045,0.27625,0.523598775598299); #1614=CONICAL_SURFACE('',#95047,0.27625,0.523598775598299); #1615=CONICAL_SURFACE('',#95049,0.27625,0.523598775598299); #1616=CONICAL_SURFACE('',#95052,0.27625,0.523598775598299); #1617=CONICAL_SURFACE('',#95055,0.27625,0.523598775598299); #1618=CONICAL_SURFACE('',#95067,0.0944000000000002,0.785398163397446); #1619=CONICAL_SURFACE('',#95079,0.20125,0.785398163397448); #1620=CONICAL_SURFACE('',#95083,0.20125,0.785398163397448); #1621=CONICAL_SURFACE('',#95239,0.1085,0.785398163397449); #1622=CONICAL_SURFACE('',#95243,0.1085,0.785398163397449); #1623=CONICAL_SURFACE('',#95259,0.113625,0.785398163397447); #1624=CONICAL_SURFACE('',#95333,0.668550860044771,0.0174532925199433); #1625=CONICAL_SURFACE('',#95369,0.55321264007031,0.0349065850398866); #1626=CONICAL_SURFACE('',#95370,0.55321264007031,0.0349065850398866); #1627=CONICAL_SURFACE('',#95373,0.1845,0.0174532925199433); #1628=CONICAL_SURFACE('',#95386,0.1845,0.0174532925199433); #1629=CONICAL_SURFACE('',#95392,0.553212640070313,0.0349065850398866); #1630=CONICAL_SURFACE('',#95394,0.553212640070313,0.0349065850398866); #1631=CONICAL_SURFACE('',#95423,0.668550860044771,0.0174532925199433); #1632=CONICAL_SURFACE('',#95427,0.46224046,0.0174532925199433); #1633=CONICAL_SURFACE('',#95591,0.5625,0.0349065850398866); #1634=CONICAL_SURFACE('',#95592,0.499999999999997,0.0349065850398866); #1635=CONICAL_SURFACE('',#95594,0.205,0.0349065850398866); #1636=CONICAL_SURFACE('',#95595,2.282,0.0349065850398866); #1637=CONICAL_SURFACE('',#95596,0.205,0.0349065850398866); #1638=CONICAL_SURFACE('',#95598,0.5,0.0349065850398866); #1639=CONICAL_SURFACE('',#95599,0.5625,0.0349065850398866); #1640=CONICAL_SURFACE('',#95626,0.034375,1.22173047639603); #1641=CONICAL_SURFACE('',#95852,0.15625,0.715584993317675); #1642=CONICAL_SURFACE('',#95855,0.15625,0.715584993317675); #1643=CONICAL_SURFACE('',#95985,0.149403567296446,0.715584993317675); #1644=CONICAL_SURFACE('',#95991,0.10625,0.785398163397448); #1645=CONICAL_SURFACE('',#96037,0.345,0.00465112925110926); #1646=CONICAL_SURFACE('',#96052,0.3185,0.142363690127922); #1647=CONICAL_SURFACE('',#96057,0.1075,0.785398163397448); #1648=CONICAL_SURFACE('',#96067,0.0905,0.785398163397448); #1649=CONICAL_SURFACE('',#96076,0.2,0.785398163397448); #1650=CONICAL_SURFACE('',#96592,0.0811249999999999,0.785398163397448); #1651=CONICAL_SURFACE('',#96898,0.1058125,0.78539816339745); #1652=CONICAL_SURFACE('',#96906,0.113,0.785398163397448); #1653=CONICAL_SURFACE('',#96916,0.223028357520903,1.01415648103429); #1654=CONICAL_SURFACE('',#96920,0.223028357520903,1.01415648103429); #1655=CONICAL_SURFACE('',#97297,0.21375,0.00872664625997165); #1656=CONICAL_SURFACE('',#97324,0.21375,0.00872664625997165); #1657=CONICAL_SURFACE('',#97362,0.2834375,0.00872664625997165); #1658=CONICAL_SURFACE('',#97380,0.2834375,0.00872664625997165); #1659=CONICAL_SURFACE('',#97411,0.56,0.00872664625997165); #1660=CONICAL_SURFACE('',#97434,0.37749561,0.00872664625997165); #1661=CONICAL_SURFACE('',#97455,0.37749561,0.00872664625997165); #1662=CONICAL_SURFACE('',#97460,0.47,0.00872664625997165); #1663=CONICAL_SURFACE('',#97468,0.2734375,0.00872664625997165); #1664=CONICAL_SURFACE('',#97476,0.273437500000006,0.00872664625997165); #1665=CONICAL_SURFACE('',#97511,0.36624428,0.00872664625997165); #1666=CONICAL_SURFACE('',#97520,0.36624428,0.00872664625997165); #1667=CONICAL_SURFACE('',#97530,0.382977139167284,0.00872664625997175); #1668=CONICAL_SURFACE('',#97547,0.306480573239328,0.00872664625997177); #1669=CONICAL_SURFACE('',#97591,0.306480573239328,0.00872664625997177); #1670=CONICAL_SURFACE('',#97594,0.47,0.00872664625997165); #1671=CONICAL_SURFACE('',#97854,0.10966375,0.785398163397449); #1672=CONICAL_SURFACE('',#97861,0.202003175473055,1.0471975511966); #1673=CONICAL_SURFACE('',#97862,0.202003175473055,1.0471975511966); #1674=CONICAL_SURFACE('',#97863,0.202003175473055,1.0471975511966); #1675=CONICAL_SURFACE('',#97864,0.202003175473055,1.0471975511966); #1676=CONICAL_SURFACE('',#97865,0.202003175473055,1.0471975511966); #1677=CONICAL_SURFACE('',#97866,0.202003175473055,1.0471975511966); #1678=CONICAL_SURFACE('',#98389,4.31048955101145,0.00872664626002328); #1679=CONICAL_SURFACE('',#98403,4.47696354834959,0.00872664625992807); #1680=CONICAL_SURFACE('',#98424,1.02405439467576,0.00872664626001984); #1681=CONICAL_SURFACE('',#98435,1.1905283920139,0.00872664625992948); #1682=CONICAL_SURFACE('',#98452,8.34786442740739,0.0087266462600237); #1683=CONICAL_SURFACE('',#98463,8.51433842474554,0.00872664625993333); #1684=CONICAL_SURFACE('',#98480,0.935489551011573,0.00872664626002072); #1685=CONICAL_SURFACE('',#98491,1.10196354834971,0.0087266462599327); #1686=CONICAL_SURFACE('',#98508,2.53382889408048,0.00872664626002072); #1687=CONICAL_SURFACE('',#98519,2.70030289141862,0.0087266462599327); #1688=CONICAL_SURFACE('',#98536,0.935489551011577,0.0087266462600237); #1689=CONICAL_SURFACE('',#98547,1.10196354834972,0.00872664625993315); #1690=CONICAL_SURFACE('',#98564,8.34786442740744,0.00872664626001984); #1691=CONICAL_SURFACE('',#98575,8.51433842474558,0.00872664625992948); #1692=CONICAL_SURFACE('',#98591,1.02405439467576,0.00872664626002328); #1693=CONICAL_SURFACE('',#98599,1.1905283920139,0.00872664625992807); #1694=FACE_BOUND('',#23851,.T.); #1695=FACE_BOUND('',#23865,.T.); #1696=FACE_BOUND('',#23879,.T.); #1697=FACE_BOUND('',#24127,.T.); #1698=FACE_BOUND('',#24173,.T.); #1699=FACE_BOUND('',#24242,.T.); #1700=FACE_BOUND('',#24291,.T.); #1701=FACE_BOUND('',#24317,.T.); #1702=FACE_BOUND('',#24318,.T.); #1703=FACE_BOUND('',#24367,.T.); #1704=FACE_BOUND('',#24427,.T.); #1705=FACE_BOUND('',#24442,.T.); #1706=FACE_BOUND('',#24458,.T.); #1707=FACE_BOUND('',#24528,.T.); #1708=FACE_BOUND('',#24630,.T.); #1709=FACE_BOUND('',#24718,.T.); #1710=FACE_BOUND('',#24719,.T.); #1711=FACE_BOUND('',#24720,.T.); #1712=FACE_BOUND('',#24721,.T.); #1713=FACE_BOUND('',#24722,.T.); #1714=FACE_BOUND('',#24723,.T.); #1715=FACE_BOUND('',#24724,.T.); #1716=FACE_BOUND('',#24725,.T.); #1717=FACE_BOUND('',#24726,.T.); #1718=FACE_BOUND('',#24727,.T.); #1719=FACE_BOUND('',#24728,.T.); #1720=FACE_BOUND('',#24729,.T.); #1721=FACE_BOUND('',#24730,.T.); #1722=FACE_BOUND('',#24792,.T.); #1723=FACE_BOUND('',#24918,.T.); #1724=FACE_BOUND('',#24919,.T.); #1725=FACE_BOUND('',#24989,.T.); #1726=FACE_BOUND('',#25102,.T.); #1727=FACE_BOUND('',#25103,.T.); #1728=FACE_BOUND('',#25229,.T.); #1729=FACE_BOUND('',#25249,.T.); #1730=FACE_BOUND('',#25265,.T.); #1731=FACE_BOUND('',#25340,.T.); #1732=FACE_BOUND('',#25353,.T.); #1733=FACE_BOUND('',#25380,.T.); #1734=FACE_BOUND('',#25409,.T.); #1735=FACE_BOUND('',#25416,.T.); #1736=FACE_BOUND('',#25417,.T.); #1737=FACE_BOUND('',#25418,.T.); #1738=FACE_BOUND('',#25419,.T.); #1739=FACE_BOUND('',#25420,.T.); #1740=FACE_BOUND('',#25421,.T.); #1741=FACE_BOUND('',#25422,.T.); #1742=FACE_BOUND('',#25423,.T.); #1743=FACE_BOUND('',#25424,.T.); #1744=FACE_BOUND('',#25425,.T.); #1745=FACE_BOUND('',#25426,.T.); #1746=FACE_BOUND('',#25427,.T.); #1747=FACE_BOUND('',#25428,.T.); #1748=FACE_BOUND('',#25429,.T.); #1749=FACE_BOUND('',#25430,.T.); #1750=FACE_BOUND('',#25431,.T.); #1751=FACE_BOUND('',#25432,.T.); #1752=FACE_BOUND('',#25433,.T.); #1753=FACE_BOUND('',#25434,.T.); #1754=FACE_BOUND('',#25435,.T.); #1755=FACE_BOUND('',#25436,.T.); #1756=FACE_BOUND('',#25437,.T.); #1757=FACE_BOUND('',#25438,.T.); #1758=FACE_BOUND('',#25439,.T.); #1759=FACE_BOUND('',#25440,.T.); #1760=FACE_BOUND('',#25441,.T.); #1761=FACE_BOUND('',#25442,.T.); #1762=FACE_BOUND('',#25443,.T.); #1763=FACE_BOUND('',#25444,.T.); #1764=FACE_BOUND('',#25445,.T.); #1765=FACE_BOUND('',#25446,.T.); #1766=FACE_BOUND('',#25447,.T.); #1767=FACE_BOUND('',#25448,.T.); #1768=FACE_BOUND('',#25449,.T.); #1769=FACE_BOUND('',#25450,.T.); #1770=FACE_BOUND('',#25451,.T.); #1771=FACE_BOUND('',#25452,.T.); #1772=FACE_BOUND('',#25453,.T.); #1773=FACE_BOUND('',#25454,.T.); #1774=FACE_BOUND('',#25455,.T.); #1775=FACE_BOUND('',#25456,.T.); #1776=FACE_BOUND('',#25457,.T.); #1777=FACE_BOUND('',#25458,.T.); #1778=FACE_BOUND('',#25459,.T.); #1779=FACE_BOUND('',#25460,.T.); #1780=FACE_BOUND('',#25461,.T.); #1781=FACE_BOUND('',#25469,.T.); #1782=FACE_BOUND('',#25470,.T.); #1783=FACE_BOUND('',#25471,.T.); #1784=FACE_BOUND('',#25474,.T.); #1785=FACE_BOUND('',#25476,.T.); #1786=FACE_BOUND('',#25479,.T.); #1787=FACE_BOUND('',#25483,.T.); #1788=FACE_BOUND('',#25485,.T.); #1789=FACE_BOUND('',#25487,.T.); #1790=FACE_BOUND('',#25490,.T.); #1791=FACE_BOUND('',#25492,.T.); #1792=FACE_BOUND('',#25494,.T.); #1793=FACE_BOUND('',#25497,.T.); #1794=FACE_BOUND('',#25498,.T.); #1795=FACE_BOUND('',#25500,.T.); #1796=FACE_BOUND('',#25501,.T.); #1797=FACE_BOUND('',#25503,.T.); #1798=FACE_BOUND('',#25504,.T.); #1799=FACE_BOUND('',#25517,.T.); #1800=FACE_BOUND('',#25518,.T.); #1801=FACE_BOUND('',#26349,.T.); #1802=FACE_BOUND('',#26401,.T.); #1803=FACE_BOUND('',#26405,.T.); #1804=FACE_BOUND('',#26415,.T.); #1805=FACE_BOUND('',#26425,.T.); #1806=FACE_BOUND('',#26517,.T.); #1807=FACE_BOUND('',#26562,.T.); #1808=FACE_BOUND('',#26563,.T.); #1809=FACE_BOUND('',#26727,.T.); #1810=FACE_BOUND('',#26880,.T.); #1811=FACE_BOUND('',#26882,.T.); #1812=FACE_BOUND('',#26883,.T.); #1813=FACE_BOUND('',#26884,.T.); #1814=FACE_BOUND('',#26933,.T.); #1815=FACE_BOUND('',#26935,.T.); #1816=FACE_BOUND('',#26936,.T.); #1817=FACE_BOUND('',#26937,.T.); #1818=FACE_BOUND('',#26999,.T.); #1819=FACE_BOUND('',#27035,.T.); #1820=FACE_BOUND('',#27036,.T.); #1821=FACE_BOUND('',#27037,.T.); #1822=FACE_BOUND('',#27049,.T.); #1823=FACE_BOUND('',#27079,.T.); #1824=FACE_BOUND('',#27089,.T.); #1825=FACE_BOUND('',#27094,.T.); #1826=FACE_BOUND('',#27145,.T.); #1827=FACE_BOUND('',#27147,.T.); #1828=FACE_BOUND('',#27217,.T.); #1829=FACE_BOUND('',#27227,.T.); #1830=FACE_BOUND('',#27231,.T.); #1831=FACE_BOUND('',#27305,.T.); #1832=FACE_BOUND('',#27310,.T.); #1833=FACE_BOUND('',#27388,.T.); #1834=FACE_BOUND('',#27392,.T.); #1835=FACE_BOUND('',#27402,.T.); #1836=FACE_BOUND('',#27405,.T.); #1837=FACE_BOUND('',#27423,.T.); #1838=FACE_BOUND('',#27444,.T.); #1839=FACE_BOUND('',#27459,.T.); #1840=FACE_BOUND('',#27506,.T.); #1841=FACE_BOUND('',#27507,.T.); #1842=FACE_BOUND('',#27528,.T.); #1843=FACE_BOUND('',#27543,.T.); #1844=FACE_BOUND('',#27544,.T.); #1845=FACE_BOUND('',#27545,.T.); #1846=FACE_BOUND('',#27546,.T.); #1847=FACE_BOUND('',#27547,.T.); #1848=FACE_BOUND('',#27548,.T.); #1849=FACE_BOUND('',#27549,.T.); #1850=FACE_BOUND('',#27550,.T.); #1851=FACE_BOUND('',#27551,.T.); #1852=FACE_BOUND('',#27552,.T.); #1853=FACE_BOUND('',#27553,.T.); #1854=FACE_BOUND('',#27582,.T.); #1855=FACE_BOUND('',#27583,.T.); #1856=FACE_BOUND('',#27584,.T.); #1857=FACE_BOUND('',#27591,.T.); #1858=FACE_BOUND('',#27618,.T.); #1859=FACE_BOUND('',#27654,.T.); #1860=FACE_BOUND('',#27655,.T.); #1861=FACE_BOUND('',#27656,.T.); #1862=FACE_BOUND('',#27657,.T.); #1863=FACE_BOUND('',#27658,.T.); #1864=FACE_BOUND('',#27669,.T.); #1865=FACE_BOUND('',#27670,.T.); #1866=FACE_BOUND('',#27671,.T.); #1867=FACE_BOUND('',#27672,.T.); #1868=FACE_BOUND('',#27673,.T.); #1869=FACE_BOUND('',#27687,.T.); #1870=FACE_BOUND('',#27691,.T.); #1871=FACE_BOUND('',#27697,.T.); #1872=FACE_BOUND('',#27702,.T.); #1873=FACE_BOUND('',#27704,.T.); #1874=FACE_BOUND('',#27707,.T.); #1875=FACE_BOUND('',#27711,.T.); #1876=FACE_BOUND('',#27717,.T.); #1877=FACE_BOUND('',#27722,.T.); #1878=FACE_BOUND('',#27735,.T.); #1879=FACE_BOUND('',#27738,.T.); #1880=FACE_BOUND('',#27741,.T.); #1881=FACE_BOUND('',#27743,.T.); #1882=FACE_BOUND('',#27750,.T.); #1883=FACE_BOUND('',#27752,.T.); #1884=FACE_BOUND('',#27754,.T.); #1885=FACE_BOUND('',#27845,.T.); #1886=FACE_BOUND('',#27851,.T.); #1887=FACE_BOUND('',#27864,.T.); #1888=FACE_BOUND('',#27868,.T.); #1889=FACE_BOUND('',#27881,.T.); #1890=FACE_BOUND('',#27892,.T.); #1891=FACE_BOUND('',#27906,.T.); #1892=FACE_BOUND('',#27910,.T.); #1893=FACE_BOUND('',#27927,.T.); #1894=FACE_BOUND('',#27937,.T.); #1895=FACE_BOUND('',#28005,.T.); #1896=FACE_BOUND('',#28007,.T.); #1897=FACE_BOUND('',#28008,.T.); #1898=FACE_BOUND('',#28011,.T.); #1899=FACE_BOUND('',#28177,.T.); #1900=FACE_BOUND('',#28180,.T.); #1901=FACE_BOUND('',#28181,.T.); #1902=FACE_BOUND('',#28182,.T.); #1903=FACE_BOUND('',#28183,.T.); #1904=FACE_BOUND('',#28184,.T.); #1905=FACE_BOUND('',#28215,.T.); #1906=FACE_BOUND('',#28218,.T.); #1907=FACE_BOUND('',#28306,.T.); #1908=FACE_BOUND('',#28327,.T.); #1909=FACE_BOUND('',#28328,.T.); #1910=FACE_BOUND('',#28369,.T.); #1911=FACE_BOUND('',#28390,.T.); #1912=FACE_BOUND('',#28406,.T.); #1913=FACE_BOUND('',#28422,.T.); #1914=FACE_BOUND('',#28437,.T.); #1915=FACE_BOUND('',#28473,.T.); #1916=FACE_BOUND('',#28502,.T.); #1917=FACE_BOUND('',#28525,.T.); #1918=FACE_BOUND('',#28526,.T.); #1919=FACE_BOUND('',#28527,.T.); #1920=FACE_BOUND('',#28528,.T.); #1921=FACE_BOUND('',#28529,.T.); #1922=FACE_BOUND('',#28530,.T.); #1923=FACE_BOUND('',#28531,.T.); #1924=FACE_BOUND('',#28532,.T.); #1925=FACE_BOUND('',#28533,.T.); #1926=FACE_BOUND('',#28534,.T.); #1927=FACE_BOUND('',#28535,.T.); #1928=FACE_BOUND('',#28536,.T.); #1929=FACE_BOUND('',#28537,.T.); #1930=FACE_BOUND('',#28538,.T.); #1931=FACE_BOUND('',#28539,.T.); #1932=FACE_BOUND('',#28540,.T.); #1933=FACE_BOUND('',#28541,.T.); #1934=FACE_BOUND('',#28542,.T.); #1935=FACE_BOUND('',#28543,.T.); #1936=FACE_BOUND('',#28544,.T.); #1937=FACE_BOUND('',#28545,.T.); #1938=FACE_BOUND('',#28590,.T.); #1939=FACE_BOUND('',#28596,.T.); #1940=FACE_BOUND('',#28608,.T.); #1941=FACE_BOUND('',#28618,.T.); #1942=FACE_BOUND('',#28649,.T.); #1943=FACE_BOUND('',#28662,.T.); #1944=FACE_BOUND('',#28677,.T.); #1945=FACE_BOUND('',#28813,.T.); #1946=FACE_BOUND('',#28837,.T.); #1947=FACE_BOUND('',#28928,.T.); #1948=FACE_BOUND('',#28929,.T.); #1949=FACE_BOUND('',#28930,.T.); #1950=FACE_BOUND('',#29014,.T.); #1951=FACE_BOUND('',#29106,.T.); #1952=FACE_BOUND('',#29310,.T.); #1953=FACE_BOUND('',#29311,.T.); #1954=FACE_BOUND('',#29312,.T.); #1955=FACE_BOUND('',#29313,.T.); #1956=FACE_BOUND('',#29314,.T.); #1957=FACE_BOUND('',#29315,.T.); #1958=FACE_BOUND('',#29316,.T.); #1959=FACE_BOUND('',#29317,.T.); #1960=FACE_BOUND('',#29318,.T.); #1961=FACE_BOUND('',#29319,.T.); #1962=FACE_BOUND('',#29320,.T.); #1963=FACE_BOUND('',#29321,.T.); #1964=FACE_BOUND('',#29322,.T.); #1965=FACE_BOUND('',#29323,.T.); #1966=TOROIDAL_SURFACE('',#91076,1.31993840787643,0.01); #1967=TOROIDAL_SURFACE('',#91081,8.64374844060802,0.01); #1968=TOROIDAL_SURFACE('',#91085,1.23137356421224,0.01); #1969=TOROIDAL_SURFACE('',#91089,2.82971290728115,0.01); #1970=TOROIDAL_SURFACE('',#91093,1.23137356421224,0.01); #1971=TOROIDAL_SURFACE('',#91097,8.64374844060802,0.01); #1972=TOROIDAL_SURFACE('',#91101,1.31993840787643,0.01); #1973=TOROIDAL_SURFACE('',#91105,4.60637356421213,0.01); #1974=TOROIDAL_SURFACE('',#91108,2.17924727749424,0.964109509104582); #1975=TOROIDAL_SURFACE('',#91114,7.99328281082111,0.964109509104582); #1976=TOROIDAL_SURFACE('',#91128,8.54812808346777,0.01); #1977=TOROIDAL_SURFACE('',#91133,1.135753207072,0.01); #1978=TOROIDAL_SURFACE('',#91137,2.73409255014091,0.01); #1979=TOROIDAL_SURFACE('',#91141,1.135753207072,0.01); #1980=TOROIDAL_SURFACE('',#91145,8.54812808346778,0.01); #1981=TOROIDAL_SURFACE('',#91149,1.22431805073619,0.01); #1982=TOROIDAL_SURFACE('',#91153,4.51075320707189,0.01); #1983=TOROIDAL_SURFACE('',#91157,1.22431805073619,0.01); #1984=TOROIDAL_SURFACE('',#91177,4.3001912675971,0.01); #1985=TOROIDAL_SURFACE('',#91182,1.0137561112614,0.01); #1986=TOROIDAL_SURFACE('',#91186,8.33756614399298,0.01); #1987=TOROIDAL_SURFACE('',#91190,0.925191267597206,0.01); #1988=TOROIDAL_SURFACE('',#91194,2.52353061066611,0.01); #1989=TOROIDAL_SURFACE('',#91198,0.925191267597206,0.01); #1990=TOROIDAL_SURFACE('',#91202,8.33756614399299,0.01); #1991=TOROIDAL_SURFACE('',#91206,1.01375611126139,0.01); #1992=TOROIDAL_SURFACE('',#91242,4.32155477423896,0.01); #1993=TOROIDAL_SURFACE('',#91246,1.03511961790326,0.01); #1994=TOROIDAL_SURFACE('',#91250,8.35892965063485,0.01); #1995=TOROIDAL_SURFACE('',#91254,0.946554774239074,0.01); #1996=TOROIDAL_SURFACE('',#91258,2.54489411730798,0.01); #1997=TOROIDAL_SURFACE('',#91262,0.946554774239074,0.01); #1998=TOROIDAL_SURFACE('',#91265,8.35892965063485,0.01); #1999=TOROIDAL_SURFACE('',#91268,1.03511961790326,0.01); #2000=TOROIDAL_SURFACE('',#91273,7.99328281082111,0.964109509104582); #2001=TOROIDAL_SURFACE('',#91278,3.95590793442522,0.964109509104582); #2002=TOROIDAL_SURFACE('',#91284,4.487261831809,0.01); #2003=TOROIDAL_SURFACE('',#91288,1.20082667547329,0.01); #2004=TOROIDAL_SURFACE('',#91291,8.52463670820488,0.01); #2005=TOROIDAL_SURFACE('',#91294,1.11226183180911,0.01); #2006=TOROIDAL_SURFACE('',#91297,2.71060117487801,0.01); #2007=TOROIDAL_SURFACE('',#91300,1.11226183180911,0.01); #2008=TOROIDAL_SURFACE('',#91303,8.52463670820488,0.01); #2009=TOROIDAL_SURFACE('',#91306,1.20082667547329,0.01); #2010=TOROIDAL_SURFACE('',#91316,8.50393041592904,0.01); #2011=TOROIDAL_SURFACE('',#91320,1.09155553953326,0.01); #2012=TOROIDAL_SURFACE('',#91323,2.68989488260217,0.01); #2013=TOROIDAL_SURFACE('',#91327,1.09155553953326,0.01); #2014=TOROIDAL_SURFACE('',#91330,8.50393041592904,0.01); #2015=TOROIDAL_SURFACE('',#91333,1.18012038319745,0.01); #2016=TOROIDAL_SURFACE('',#91336,4.46655553953315,0.01); #2017=TOROIDAL_SURFACE('',#91338,1.18012038319745,0.01); #2018=TOROIDAL_SURFACE('',#91425,0.35397529,0.01); #2019=TOROIDAL_SURFACE('',#91437,0.35397529,0.01); #2020=TOROIDAL_SURFACE('',#91449,0.35397529,0.01); #2021=TOROIDAL_SURFACE('',#91462,0.35397529,0.01); #2022=TOROIDAL_SURFACE('',#91474,0.35397529,0.01); #2023=TOROIDAL_SURFACE('',#91486,0.35397529,0.01); #2024=TOROIDAL_SURFACE('',#91498,0.35397529,0.01); #2025=TOROIDAL_SURFACE('',#91510,0.35397529,0.01); #2026=TOROIDAL_SURFACE('',#91554,0.284749904146268,0.01); #2027=TOROIDAL_SURFACE('',#91562,0.283986317752645,0.01); #2028=TOROIDAL_SURFACE('',#91570,0.284749904146268,0.01); #2029=TOROIDAL_SURFACE('',#91578,0.283986317752645,0.01); #2030=TOROIDAL_SURFACE('',#91588,0.284749904146268,0.01); #2031=TOROIDAL_SURFACE('',#91599,0.283986317752645,0.01); #2032=TOROIDAL_SURFACE('',#91609,0.284749904146268,0.01); #2033=TOROIDAL_SURFACE('',#91619,0.283986317752645,0.01); #2034=TOROIDAL_SURFACE('',#91645,0.624915012368843,0.01); #2035=TOROIDAL_SURFACE('',#91653,0.556606009032576,0.01); #2036=TOROIDAL_SURFACE('',#91661,0.558559686030668,0.01); #2037=TOROIDAL_SURFACE('',#91667,0.556606009032576,0.01); #2038=TOROIDAL_SURFACE('',#91675,0.558559686030667,0.01); #2039=TOROIDAL_SURFACE('',#91681,0.556606009032576,0.01); #2040=TOROIDAL_SURFACE('',#91686,0.49241311210595,0.01); #2041=TOROIDAL_SURFACE('',#91696,0.57991311210595,0.01); #2042=TOROIDAL_SURFACE('',#91734,0.466688616125971,0.01); #2043=TOROIDAL_SURFACE('',#91747,0.37397529,0.01); #2044=TOROIDAL_SURFACE('',#91751,0.488932233244475,0.01); #2045=TOROIDAL_SURFACE('',#91757,0.490885910242567,0.01); #2046=TOROIDAL_SURFACE('',#91763,0.488932233244475,0.01); #2047=TOROIDAL_SURFACE('',#91768,0.490885910242567,0.01); #2048=TOROIDAL_SURFACE('',#91773,0.488932233244475,0.01); #2049=TOROIDAL_SURFACE('',#92888,0.306339820056475,0.03); #2050=TOROIDAL_SURFACE('',#92901,0.111144082106529,0.03); #2051=TOROIDAL_SURFACE('',#92906,0.111144082106529,0.03); #2052=TOROIDAL_SURFACE('',#92932,1.24011923645796,0.005); #2053=TOROIDAL_SURFACE('',#92936,8.56392926918955,0.005); #2054=TOROIDAL_SURFACE('',#92939,1.15155439279377,0.005); #2055=TOROIDAL_SURFACE('',#92941,2.74989373586268,0.005); #2056=TOROIDAL_SURFACE('',#92943,1.15155439279377,0.005); #2057=TOROIDAL_SURFACE('',#92946,8.56392926918955,0.005); #2058=TOROIDAL_SURFACE('',#92949,1.24011923645796,0.005); #2059=TOROIDAL_SURFACE('',#92953,4.52655439279366,0.005); #2060=TOROIDAL_SURFACE('',#92958,7.99328281082111,0.964109509104582); #2061=TOROIDAL_SURFACE('',#92962,3.95590793442522,0.964109509104582); #2062=TOROIDAL_SURFACE('',#92969,0.287337372631157,0.01); #2063=TOROIDAL_SURFACE('',#92984,0.320173027368843,0.01); #2064=TOROIDAL_SURFACE('',#93010,0.320173027368843,0.01); #2065=TOROIDAL_SURFACE('',#93026,0.287337372631157,0.01); #2066=TOROIDAL_SURFACE('',#93051,0.320173027368843,0.01); #2067=TOROIDAL_SURFACE('',#93066,0.287337372631157,0.01); #2068=TOROIDAL_SURFACE('',#93095,0.320173027368843,0.01); #2069=TOROIDAL_SURFACE('',#93111,0.287337372631157,0.01); #2070=TOROIDAL_SURFACE('',#93133,0.34397543290648,0.01); #2071=TOROIDAL_SURFACE('',#93146,0.26353496709352,0.01); #2072=TOROIDAL_SURFACE('',#93160,0.797152060506128,0.078740157); #2073=TOROIDAL_SURFACE('',#93177,0.26353496709352,0.01); #2074=TOROIDAL_SURFACE('',#93190,0.34397543290648,0.01); #2075=TOROIDAL_SURFACE('',#93192,0.797152060506128,0.078740157); #2076=TOROIDAL_SURFACE('',#93251,0.730662848867965,0.009999); #2077=TOROIDAL_SURFACE('',#93265,0.730662848867965,0.009999); #2078=TOROIDAL_SURFACE('',#93317,7.99328281082111,0.964109509104582); #2079=TOROIDAL_SURFACE('',#93359,7.99328281082111,0.964109509104582); #2080=TOROIDAL_SURFACE('',#93374,8.31708337873009,0.01); #2081=TOROIDAL_SURFACE('',#93380,0.273728886819191,0.01); #2082=TOROIDAL_SURFACE('',#93387,8.31708337873009,0.01); #2083=TOROIDAL_SURFACE('',#93395,0.273728886819191,0.01); #2084=TOROIDAL_SURFACE('',#93405,2.50322087277206,0.01); #2085=TOROIDAL_SURFACE('',#93439,2.50322087277206,0.01); #2086=TOROIDAL_SURFACE('',#93490,4.2797085023342,0.01); #2087=TOROIDAL_SURFACE('',#93500,0.993273345998501,0.01); #2088=TOROIDAL_SURFACE('',#93503,8.31708337873009,0.01); #2089=TOROIDAL_SURFACE('',#93517,0.730663765199139,0.01); #2090=TOROIDAL_SURFACE('',#93525,8.31708337873009,0.01); #2091=TOROIDAL_SURFACE('',#93541,2.50304784540322,0.01); #2092=TOROIDAL_SURFACE('',#93544,0.904708502334313,0.01); #2093=TOROIDAL_SURFACE('',#93552,2.43873415919204,0.03); #2094=TOROIDAL_SURFACE('',#93565,2.50304784540322,0.01); #2095=TOROIDAL_SURFACE('',#93595,2.50304784540322,0.01); #2096=TOROIDAL_SURFACE('',#93625,2.50304784540322,0.01); #2097=TOROIDAL_SURFACE('',#93628,0.904708502334312,0.01); #2098=TOROIDAL_SURFACE('',#93662,8.31708337873009,0.01); #2099=TOROIDAL_SURFACE('',#93682,4.2797085023342,0.01); #2100=TOROIDAL_SURFACE('',#93692,4.2797085023342,0.01); #2101=TOROIDAL_SURFACE('',#93703,8.31708337873009,0.01); #2102=TOROIDAL_SURFACE('',#93706,0.9932733459985,0.01); #2103=TOROIDAL_SURFACE('',#93718,0.970121523153893,0.01); #2104=TOROIDAL_SURFACE('',#93721,8.29393155588548,0.01); #2105=TOROIDAL_SURFACE('',#93734,8.29393155588548,0.01); #2106=TOROIDAL_SURFACE('',#93744,7.99328281082111,0.964109509104582); #2107=TOROIDAL_SURFACE('',#93749,8.29393155588548,0.01); #2108=TOROIDAL_SURFACE('',#93786,0.881556679489705,0.01); #2109=TOROIDAL_SURFACE('',#93790,2.47989602255861,0.01); #2110=TOROIDAL_SURFACE('',#93799,2.17924727749424,0.964109509104582); #2111=TOROIDAL_SURFACE('',#93804,2.39729747943369,0.0118110236); #2112=TOROIDAL_SURFACE('',#93814,2.47989602255861,0.01); #2113=TOROIDAL_SURFACE('',#93826,2.17924727749424,0.964109509104582); #2114=TOROIDAL_SURFACE('',#93830,2.42237922120115,0.01); #2115=TOROIDAL_SURFACE('',#93837,2.48322087277206,0.01); #2116=TOROIDAL_SURFACE('',#93849,2.17924727749424,0.964109509104582); #2117=TOROIDAL_SURFACE('',#93853,2.39729747943369,0.0118110236); #2118=TOROIDAL_SURFACE('',#93860,2.48322087277206,0.01); #2119=TOROIDAL_SURFACE('',#93872,2.17924727749424,0.964109509104582); #2120=TOROIDAL_SURFACE('',#93876,2.39729747943369,0.0118110236); #2121=TOROIDAL_SURFACE('',#93889,2.47989602255861,0.01); #2122=TOROIDAL_SURFACE('',#93899,2.17924727749424,0.964109509104582); #2123=TOROIDAL_SURFACE('',#93911,2.47989602255861,0.01); #2124=TOROIDAL_SURFACE('',#93916,0.881556679489705,0.01); #2125=TOROIDAL_SURFACE('',#93934,0.272297479433685,0.0118110236); #2126=TOROIDAL_SURFACE('',#93943,8.29393155588549,0.01); #2127=TOROIDAL_SURFACE('',#93979,8.29393155588549,0.01); #2128=TOROIDAL_SURFACE('',#93994,0.970121523153894,0.01); #2129=TOROIDAL_SURFACE('',#93997,8.29393155588549,0.01); #2130=TOROIDAL_SURFACE('',#94004,4.2565566794896,0.01); #2131=TOROIDAL_SURFACE('',#94027,4.2565566794896,0.01); #2132=TOROIDAL_SURFACE('',#94052,4.2565566794896,0.01); #2133=TOROIDAL_SURFACE('',#94074,0.730663765199139,0.01); #2134=TOROIDAL_SURFACE('',#94077,7.99328281082111,0.964109509104582); #2135=TOROIDAL_SURFACE('',#94078,2.17924727749424,0.964109509104582); #2136=TOROIDAL_SURFACE('',#94080,7.99328281082111,0.964109509104582); #2137=TOROIDAL_SURFACE('',#94081,3.95590793442522,0.964109509104582); #2138=TOROIDAL_SURFACE('',#94083,3.95590793442522,0.964109509104582); #2139=TOROIDAL_SURFACE('',#94085,3.95590793442522,0.964109509104582); #2140=TOROIDAL_SURFACE('',#94086,2.39729747943369,0.0118110236); #2141=TOROIDAL_SURFACE('',#94088,2.39729747943369,0.0118110236); #2142=TOROIDAL_SURFACE('',#94090,2.39729747943369,0.0118110236); #2143=TOROIDAL_SURFACE('',#94092,2.43873415919204,0.03); #2144=TOROIDAL_SURFACE('',#94093,2.43873415919204,0.03); #2145=TOROIDAL_SURFACE('',#94094,2.43873415919204,0.03); #2146=TOROIDAL_SURFACE('',#94095,2.43873415919204,0.03); #2147=TOROIDAL_SURFACE('',#94111,7.89081715246092,1.31100000000002); #2148=TOROIDAL_SURFACE('',#94122,7.89081715246092,1.31100000000002); #2149=TOROIDAL_SURFACE('',#94137,2.17924727749424,0.964109509104582); #2150=TOROIDAL_SURFACE('',#94138,7.99328281082111,0.964109509104582); #2151=TOROIDAL_SURFACE('',#94139,7.99328281082111,0.964109509104582); #2152=TOROIDAL_SURFACE('',#94140,2.07678161913405,1.31100000000002); #2153=TOROIDAL_SURFACE('',#94149,3.85344227606504,1.31100000000002); #2154=TOROIDAL_SURFACE('',#94265,0.312673027368843,0.01); #2155=TOROIDAL_SURFACE('',#94272,0.312673027368843,0.01); #2156=TOROIDAL_SURFACE('',#94326,0.272297479433685,0.0118110236); #2157=TOROIDAL_SURFACE('',#94343,2.87338094344787,0.02); #2158=TOROIDAL_SURFACE('',#94347,1.27504160037896,0.02); #2159=TOROIDAL_SURFACE('',#94350,8.68741647677474,0.02); #2160=TOROIDAL_SURFACE('',#94353,1.36360644404315,0.02); #2161=TOROIDAL_SURFACE('',#94356,4.65004160037885,0.02); #2162=TOROIDAL_SURFACE('',#94359,1.36360644404315,0.02); #2163=TOROIDAL_SURFACE('',#94362,8.68741647677474,0.02); #2164=TOROIDAL_SURFACE('',#94365,1.27504160037896,0.02); #2165=TOROIDAL_SURFACE('',#94393,0.360590631565976,0.01); #2166=TOROIDAL_SURFACE('',#94404,0.360590631565976,0.01); #2167=TOROIDAL_SURFACE('',#94410,0.360590631565976,0.01); #2168=TOROIDAL_SURFACE('',#94579,0.449461835786941,0.06); #2169=TOROIDAL_SURFACE('',#94584,0.449461835786941,0.06); #2170=TOROIDAL_SURFACE('',#94614,2.42237922120115,0.01); #2171=TOROIDAL_SURFACE('',#94652,6.48315206050612,0.078740157); #2172=TOROIDAL_SURFACE('',#94653,6.48315206050613,0.078740157); #2173=TOROIDAL_SURFACE('',#94666,0.385344782907478,0.01); #2174=TOROIDAL_SURFACE('',#94676,0.405344782907478,0.01); #2175=TOROIDAL_SURFACE('',#94753,0.156536384843413,0.031); #2176=TOROIDAL_SURFACE('',#94756,2.28153638484341,0.031); #2177=TOROIDAL_SURFACE('',#94759,0.156536384843414,0.031); #2178=TOROIDAL_SURFACE('',#95091,0.088,0.01); #2179=TOROIDAL_SURFACE('',#95123,0.19,0.06); #2180=TOROIDAL_SURFACE('',#95128,0.19,0.06); #2181=TOROIDAL_SURFACE('',#95130,0.2634375,0.01); #2182=TOROIDAL_SURFACE('',#95141,0.21875,0.01); #2183=TOROIDAL_SURFACE('',#95143,0.21875,0.01); #2184=TOROIDAL_SURFACE('',#95151,0.25770321,0.06); #2185=TOROIDAL_SURFACE('',#95156,0.25770321,0.06); #2186=TOROIDAL_SURFACE('',#95161,0.05,0.01); #2187=TOROIDAL_SURFACE('',#95168,0.05,0.01); #2188=TOROIDAL_SURFACE('',#95172,0.05,0.01); #2189=TOROIDAL_SURFACE('',#95174,0.05,0.01); #2190=TOROIDAL_SURFACE('',#95186,0.05,0.01); #2191=TOROIDAL_SURFACE('',#95194,0.05,0.01); #2192=TOROIDAL_SURFACE('',#95205,0.05,0.01); #2193=TOROIDAL_SURFACE('',#95214,0.05,0.01); #2194=TOROIDAL_SURFACE('',#95227,0.2834375,0.01); #2195=TOROIDAL_SURFACE('',#95234,0.2834375,0.01); #2196=TOROIDAL_SURFACE('',#95244,0.2555,0.0350000000000001); #2197=TOROIDAL_SURFACE('',#95252,0.2555,0.0349999999999999); #2198=TOROIDAL_SURFACE('',#95273,0.641487064405015,0.039370079); #2199=TOROIDAL_SURFACE('',#95294,0.641487064405015,0.039370079); #2200=TOROIDAL_SURFACE('',#95312,0.111437235563606,0.039370079); #2201=TOROIDAL_SURFACE('',#95325,0.111437235563347,0.039370079); #2202=TOROIDAL_SURFACE('',#95376,0.174673027368843,0.01); #2203=TOROIDAL_SURFACE('',#95381,0.174673027368843,0.01); #2204=TOROIDAL_SURFACE('',#95424,0.493213785944833,0.03); #2205=TOROIDAL_SURFACE('',#95429,0.447500001053265,0.015); #2206=TOROIDAL_SURFACE('',#95436,0.631854326533196,0.06); #2207=TOROIDAL_SURFACE('',#95439,0.430645673466805,0.06); #2208=TOROIDAL_SURFACE('',#95444,0.135645673466804,0.06); #2209=TOROIDAL_SURFACE('',#95447,2.2126456734668,0.06); #2210=TOROIDAL_SURFACE('',#95450,0.135645673466804,0.06); #2211=TOROIDAL_SURFACE('',#95455,0.430645673466802,0.06); #2212=TOROIDAL_SURFACE('',#95458,0.631854326533196,0.06); #2213=TOROIDAL_SURFACE('',#95522,0.46060108105561,0.06); #2214=TOROIDAL_SURFACE('',#95532,0.460601081055613,0.06); #2215=TOROIDAL_SURFACE('',#95615,0.105000000828753,0.0124999999999996); #2216=TOROIDAL_SURFACE('',#95620,0.105000000828753,0.0362500008287531); #2217=TOROIDAL_SURFACE('',#95635,0.405344785,0.01); #2218=TOROIDAL_SURFACE('',#95643,0.385344785,0.01); #2219=TOROIDAL_SURFACE('',#95804,1.11041132,0.2396); #2220=TOROIDAL_SURFACE('',#95810,1.11041132,0.12); #2221=TOROIDAL_SURFACE('',#95813,1.11041132,0.12); #2222=TOROIDAL_SURFACE('',#95815,1.11041132,0.2396); #2223=TOROIDAL_SURFACE('',#95916,0.0568449037519986,0.01); #2224=TOROIDAL_SURFACE('',#95923,0.0568449037519986,0.01); #2225=TOROIDAL_SURFACE('',#95930,0.0568449037519986,0.01); #2226=TOROIDAL_SURFACE('',#95940,0.0568449037519989,0.01); #2227=TOROIDAL_SURFACE('',#95947,0.0568449037519989,0.01); #2228=TOROIDAL_SURFACE('',#95954,0.0568449037519989,0.01); #2229=TOROIDAL_SURFACE('',#95964,0.0568449037519986,0.01); #2230=TOROIDAL_SURFACE('',#95970,0.0568449037519989,0.01); #2231=TOROIDAL_SURFACE('',#95980,0.168763108732881,0.005); #2232=TOROIDAL_SURFACE('',#95997,0.135,0.015); #2233=TOROIDAL_SURFACE('',#96004,0.1775,0.015); #2234=TOROIDAL_SURFACE('',#96010,0.1775,0.015); #2235=TOROIDAL_SURFACE('',#96015,0.13625,0.015); #2236=TOROIDAL_SURFACE('',#96030,0.339976690103051,0.005); #2237=TOROIDAL_SURFACE('',#96040,0.2325,0.005); #2238=TOROIDAL_SURFACE('',#96048,0.292665566676456,0.005); #2239=TOROIDAL_SURFACE('',#96111,0.189,0.074); #2240=TOROIDAL_SURFACE('',#96116,0.162,0.015); #2241=TOROIDAL_SURFACE('',#96135,0.103,0.015); #2242=TOROIDAL_SURFACE('',#96157,0.103,0.015); #2243=TOROIDAL_SURFACE('',#96183,0.103,0.015); #2244=TOROIDAL_SURFACE('',#96195,0.103,0.015); #2245=TOROIDAL_SURFACE('',#96226,0.1245,0.03); #2246=TOROIDAL_SURFACE('',#96228,0.1245,0.03); #2247=TOROIDAL_SURFACE('',#96229,0.1245,0.03); #2248=TOROIDAL_SURFACE('',#96230,0.1245,0.03); #2249=TOROIDAL_SURFACE('',#96231,0.1245,0.03); #2250=TOROIDAL_SURFACE('',#96234,0.2535,0.02); #2251=TOROIDAL_SURFACE('',#96252,0.2535,0.02); #2252=TOROIDAL_SURFACE('',#96265,0.1245,0.03); #2253=TOROIDAL_SURFACE('',#96266,0.16786476,0.02); #2254=TOROIDAL_SURFACE('',#96269,0.16786476,0.02); #2255=TOROIDAL_SURFACE('',#96454,0.315,0.0025); #2256=TOROIDAL_SURFACE('',#96463,0.315,0.0025); #2257=TOROIDAL_SURFACE('',#96490,0.32,0.0025); #2258=TOROIDAL_SURFACE('',#96499,0.32,0.0025); #2259=TOROIDAL_SURFACE('',#96516,0.005,0.0025); #2260=TOROIDAL_SURFACE('',#96524,0.005,0.0025); #2261=TOROIDAL_SURFACE('',#96535,0.31,0.0025); #2262=TOROIDAL_SURFACE('',#96546,0.25175,0.0025); #2263=TOROIDAL_SURFACE('',#96573,0.10325,0.015); #2264=TOROIDAL_SURFACE('',#96580,0.24675,0.0025); #2265=TOROIDAL_SURFACE('',#96586,0.089,0.015); #2266=TOROIDAL_SURFACE('',#96597,0.0732499999999999,0.015); #2267=TOROIDAL_SURFACE('',#96602,0.24675,0.0025); #2268=TOROIDAL_SURFACE('',#96660,0.24675,0.0025); #2269=TOROIDAL_SURFACE('',#96667,0.0715,0.0025); #2270=TOROIDAL_SURFACE('',#96738,0.223,0.03); #2271=TOROIDAL_SURFACE('',#96804,0.313,0.012); #2272=TOROIDAL_SURFACE('',#96829,0.313,0.012); #2273=TOROIDAL_SURFACE('',#96843,0.308,0.00500000000000028); #2274=TOROIDAL_SURFACE('',#96847,0.308,0.017); #2275=TOROIDAL_SURFACE('',#96857,0.3075,0.005); #2276=TOROIDAL_SURFACE('',#96892,0.2225,0.0295); #2277=TOROIDAL_SURFACE('',#96910,0.175313831966935,0.03); #2278=TOROIDAL_SURFACE('',#97283,0.226390258290562,0.01); #2279=TOROIDAL_SURFACE('',#97291,0.226390258290562,0.01); #2280=TOROIDAL_SURFACE('',#97305,0.223837649461765,0.01); #2281=TOROIDAL_SURFACE('',#97313,0.223837649461765,0.01); #2282=TOROIDAL_SURFACE('',#97329,0.46008688789405,0.01); #2283=TOROIDAL_SURFACE('',#97353,0.27352438789405,0.01); #2284=TOROIDAL_SURFACE('',#97371,0.27352438789405,0.01); #2285=TOROIDAL_SURFACE('',#97386,0.480413424745424,0.01); #2286=TOROIDAL_SURFACE('',#97396,0.549586575254576,0.01); #2287=TOROIDAL_SURFACE('',#97425,0.36758249789405,0.01); #2288=TOROIDAL_SURFACE('',#97429,0.28335061210595,0.01); #2289=TOROIDAL_SURFACE('',#97446,0.36758249789405,0.01); #2290=TOROIDAL_SURFACE('',#97450,0.283350612105956,0.01); #2291=TOROIDAL_SURFACE('',#97458,0.46008688789405,0.01); #2292=TOROIDAL_SURFACE('',#97465,0.26242514342387,0.01); #2293=TOROIDAL_SURFACE('',#97473,0.262425143423877,0.01); #2294=TOROIDAL_SURFACE('',#97483,0.388761386613517,0.01); #2295=TOROIDAL_SURFACE('',#97489,0.356156630538235,0.01); #2296=TOROIDAL_SURFACE('',#97497,0.388761386613517,0.01); #2297=TOROIDAL_SURFACE('',#97503,0.356156630538235,0.01); #2298=TOROIDAL_SURFACE('',#97513,0.293778569499153,0.01); #2299=TOROIDAL_SURFACE('',#97515,0.293778569499153,0.01); #2300=TOROIDAL_SURFACE('',#97517,0.37615739210595,0.01); #2301=TOROIDAL_SURFACE('',#97518,0.37615739210595,0.01); #2302=TOROIDAL_SURFACE('',#97522,0.37222154344807,0.01); #2303=TOROIDAL_SURFACE('',#97535,0.317236168958542,0.01); #2304=TOROIDAL_SURFACE('',#97542,0.317236168958542,0.01); #2305=TOROIDAL_SURFACE('',#97552,0.552892916760235,0.01); #2306=TOROIDAL_SURFACE('',#97556,0.462892916760235,0.01); #2307=TOROIDAL_SURFACE('',#97570,0.392890251273233,0.01); #2308=TOROIDAL_SURFACE('',#97572,0.296567461133379,0.01); #2309=TOROIDAL_SURFACE('',#97578,0.296567461133379,0.01); #2310=TOROIDAL_SURFACE('',#97748,0.01,0.005); #2311=TOROIDAL_SURFACE('',#97751,0.01,0.005); #2312=TOROIDAL_SURFACE('',#97758,0.01,0.005); #2313=TOROIDAL_SURFACE('',#97761,0.01,0.005); #2314=TOROIDAL_SURFACE('',#97804,0.01,0.005); #2315=TOROIDAL_SURFACE('',#97806,0.01,0.005); #2316=TOROIDAL_SURFACE('',#97812,0.01,0.005); #2317=TOROIDAL_SURFACE('',#97814,0.01,0.005); #2318=TOROIDAL_SURFACE('',#97838,0.01,0.005); #2319=TOROIDAL_SURFACE('',#97845,0.01,0.005); #2320=TOROIDAL_SURFACE('',#97847,0.01,0.005); #2321=TOROIDAL_SURFACE('',#97853,0.01,0.005); #2322=TOROIDAL_SURFACE('',#97873,0.166935859353663,0.125); #2323=TOROIDAL_SURFACE('',#98384,4.30019126757457,0.00999999999999978); #2324=TOROIDAL_SURFACE('',#98391,4.32078783444833,0.00999999999999836); #2325=TOROIDAL_SURFACE('',#98395,4.39372654968052,0.09375); #2326=TOROIDAL_SURFACE('',#98399,4.46666526491271,0.0100000000000061); #2327=TOROIDAL_SURFACE('',#98405,4.48726183178647,0.00999999999999976); #2328=TOROIDAL_SURFACE('',#98409,4.46792216481827,0.01); #2329=TOROIDAL_SURFACE('',#98413,4.39372654968052,0.0937500000000022); #2330=TOROIDAL_SURFACE('',#98417,4.31953093454277,0.01); #2331=TOROIDAL_SURFACE('',#98420,1.01375611123888,0.00999999999999979); #2332=TOROIDAL_SURFACE('',#98426,1.03435267811264,0.00999999999999837); #2333=TOROIDAL_SURFACE('',#98429,1.10729139334483,0.0937500000000001); #2334=TOROIDAL_SURFACE('',#98432,1.18023010857702,0.0100000000000061); #2335=TOROIDAL_SURFACE('',#98437,1.20082667545078,0.00999999999999977); #2336=TOROIDAL_SURFACE('',#98440,1.18148700848258,0.01); #2337=TOROIDAL_SURFACE('',#98443,1.10729139334483,0.0937500000000022); #2338=TOROIDAL_SURFACE('',#98446,1.03309577820708,0.01); #2339=TOROIDAL_SURFACE('',#98448,8.33756614397051,0.00999999999999979); #2340=TOROIDAL_SURFACE('',#98454,8.35816271084427,0.00999999999999837); #2341=TOROIDAL_SURFACE('',#98457,8.43110142607646,0.0937500000000001); #2342=TOROIDAL_SURFACE('',#98460,8.50404014130865,0.0100000000000061); #2343=TOROIDAL_SURFACE('',#98465,8.52463670818241,0.00999999999999977); #2344=TOROIDAL_SURFACE('',#98468,8.50529704121421,0.01); #2345=TOROIDAL_SURFACE('',#98471,8.43110142607646,0.0937500000000022); #2346=TOROIDAL_SURFACE('',#98474,8.35690581093871,0.01); #2347=TOROIDAL_SURFACE('',#98476,0.925191267574693,0.00999999999999978); #2348=TOROIDAL_SURFACE('',#98482,0.945787834448453,0.00999999999999836); #2349=TOROIDAL_SURFACE('',#98485,1.01872654968064,0.0937500000000001); #2350=TOROIDAL_SURFACE('',#98488,1.09166526491283,0.0100000000000061); #2351=TOROIDAL_SURFACE('',#98493,1.11226183178659,0.00999999999999977); #2352=TOROIDAL_SURFACE('',#98496,1.09292216481839,0.01); #2353=TOROIDAL_SURFACE('',#98499,1.01872654968064,0.0937500000000022); #2354=TOROIDAL_SURFACE('',#98502,0.944530934542893,0.01); #2355=TOROIDAL_SURFACE('',#98504,2.5235306106436,0.00999999999999978); #2356=TOROIDAL_SURFACE('',#98510,2.54412717751736,0.00999999999999836); #2357=TOROIDAL_SURFACE('',#98513,2.61706589274955,0.0937500000000001); #2358=TOROIDAL_SURFACE('',#98516,2.69000460798174,0.0100000000000061); #2359=TOROIDAL_SURFACE('',#98521,2.7106011748555,0.00999999999999977); #2360=TOROIDAL_SURFACE('',#98524,2.6912615078873,0.01); #2361=TOROIDAL_SURFACE('',#98527,2.61706589274955,0.0937500000000022); #2362=TOROIDAL_SURFACE('',#98530,2.5428702776118,0.01); #2363=TOROIDAL_SURFACE('',#98532,0.925191267574696,0.00999999999999978); #2364=TOROIDAL_SURFACE('',#98538,0.945787834448457,0.00999999999999836); #2365=TOROIDAL_SURFACE('',#98541,1.01872654968065,0.0937500000000001); #2366=TOROIDAL_SURFACE('',#98544,1.09166526491284,0.0100000000000061); #2367=TOROIDAL_SURFACE('',#98549,1.1122618317866,0.00999999999999976); #2368=TOROIDAL_SURFACE('',#98552,1.09292216481839,0.01); #2369=TOROIDAL_SURFACE('',#98555,1.01872654968065,0.0937500000000022); #2370=TOROIDAL_SURFACE('',#98558,0.944530934542897,0.01); #2371=TOROIDAL_SURFACE('',#98560,8.33756614397056,0.00999999999999978); #2372=TOROIDAL_SURFACE('',#98566,8.35816271084432,0.00999999999999836); #2373=TOROIDAL_SURFACE('',#98569,8.43110142607651,0.0937500000000001); #2374=TOROIDAL_SURFACE('',#98572,8.5040401413087,0.0100000000000061); #2375=TOROIDAL_SURFACE('',#98577,8.52463670818246,0.00999999999999976); #2376=TOROIDAL_SURFACE('',#98580,8.50529704121426,0.01); #2377=TOROIDAL_SURFACE('',#98583,8.43110142607651,0.0937500000000022); #2378=TOROIDAL_SURFACE('',#98586,8.35690581093876,0.01); #2379=TOROIDAL_SURFACE('',#98588,1.01375611123888,0.00999999999999978); #2380=TOROIDAL_SURFACE('',#98593,1.03435267811264,0.00999999999999836); #2381=TOROIDAL_SURFACE('',#98595,1.10729139334483,0.09375); #2382=TOROIDAL_SURFACE('',#98597,1.18023010857702,0.0100000000000061); #2383=TOROIDAL_SURFACE('',#98601,1.20082667545078,0.00999999999999976); #2384=TOROIDAL_SURFACE('',#98603,1.18148700848258,0.01); #2385=TOROIDAL_SURFACE('',#98605,1.10729139334483,0.0937500000000022); #2386=TOROIDAL_SURFACE('',#98607,1.03309577820708,0.01); #2387=PLANE('',#91075); #2388=PLANE('',#91119); #2389=PLANE('',#91168); #2390=PLANE('',#91217); #2391=PLANE('',#91349); #2392=PLANE('',#91363); #2393=PLANE('',#91372); #2394=PLANE('',#91381); #2395=PLANE('',#91390); #2396=PLANE('',#91399); #2397=PLANE('',#91404); #2398=PLANE('',#91415); #2399=PLANE('',#91513); #2400=PLANE('',#91516); #2401=PLANE('',#91518); #2402=PLANE('',#91521); #2403=PLANE('',#91523); #2404=PLANE('',#91526); #2405=PLANE('',#91529); #2406=PLANE('',#91532); #2407=PLANE('',#91534); #2408=PLANE('',#91537); #2409=PLANE('',#91539); #2410=PLANE('',#91542); #2411=PLANE('',#91621); #2412=PLANE('',#91623); #2413=PLANE('',#91625); #2414=PLANE('',#91627); #2415=PLANE('',#91629); #2416=PLANE('',#91631); #2417=PLANE('',#91633); #2418=PLANE('',#91635); #2419=PLANE('',#91637); #2420=PLANE('',#91639); #2421=PLANE('',#91641); #2422=PLANE('',#91649); #2423=PLANE('',#91719); #2424=PLANE('',#91722); #2425=PLANE('',#91724); #2426=PLANE('',#91727); #2427=PLANE('',#91729); #2428=PLANE('',#91732); #2429=PLANE('',#91738); #2430=PLANE('',#91776); #2431=PLANE('',#91778); #2432=PLANE('',#91780); #2433=PLANE('',#91782); #2434=PLANE('',#91784); #2435=PLANE('',#91785); #2436=PLANE('',#91786); #2437=PLANE('',#91787); #2438=PLANE('',#91788); #2439=PLANE('',#91789); #2440=PLANE('',#91790); #2441=PLANE('',#91791); #2442=PLANE('',#91792); #2443=PLANE('',#91793); #2444=PLANE('',#91794); #2445=PLANE('',#91795); #2446=PLANE('',#91796); #2447=PLANE('',#91797); #2448=PLANE('',#91798); #2449=PLANE('',#91799); #2450=PLANE('',#91800); #2451=PLANE('',#91801); #2452=PLANE('',#91802); #2453=PLANE('',#91803); #2454=PLANE('',#91804); #2455=PLANE('',#91805); #2456=PLANE('',#91806); #2457=PLANE('',#91807); #2458=PLANE('',#91808); #2459=PLANE('',#91809); #2460=PLANE('',#91810); #2461=PLANE('',#91811); #2462=PLANE('',#91812); #2463=PLANE('',#91813); #2464=PLANE('',#91814); #2465=PLANE('',#91815); #2466=PLANE('',#91816); #2467=PLANE('',#91817); #2468=PLANE('',#91818); #2469=PLANE('',#91819); #2470=PLANE('',#91820); #2471=PLANE('',#91821); #2472=PLANE('',#91822); #2473=PLANE('',#91823); #2474=PLANE('',#91824); #2475=PLANE('',#91825); #2476=PLANE('',#91826); #2477=PLANE('',#91827); #2478=PLANE('',#91828); #2479=PLANE('',#91829); #2480=PLANE('',#91830); #2481=PLANE('',#91831); #2482=PLANE('',#91832); #2483=PLANE('',#91833); #2484=PLANE('',#91834); #2485=PLANE('',#91835); #2486=PLANE('',#91836); #2487=PLANE('',#91837); #2488=PLANE('',#91838); #2489=PLANE('',#91839); #2490=PLANE('',#91840); #2491=PLANE('',#91841); #2492=PLANE('',#91842); #2493=PLANE('',#91843); #2494=PLANE('',#91844); #2495=PLANE('',#91845); #2496=PLANE('',#91846); #2497=PLANE('',#91847); #2498=PLANE('',#91848); #2499=PLANE('',#91849); #2500=PLANE('',#91850); #2501=PLANE('',#91851); #2502=PLANE('',#91852); #2503=PLANE('',#91853); #2504=PLANE('',#91854); #2505=PLANE('',#91855); #2506=PLANE('',#91856); #2507=PLANE('',#91857); #2508=PLANE('',#91858); #2509=PLANE('',#91859); #2510=PLANE('',#91860); #2511=PLANE('',#91861); #2512=PLANE('',#91862); #2513=PLANE('',#91863); #2514=PLANE('',#91864); #2515=PLANE('',#91865); #2516=PLANE('',#91866); #2517=PLANE('',#91867); #2518=PLANE('',#91868); #2519=PLANE('',#91869); #2520=PLANE('',#91870); #2521=PLANE('',#91871); #2522=PLANE('',#91872); #2523=PLANE('',#91873); #2524=PLANE('',#91874); #2525=PLANE('',#91875); #2526=PLANE('',#91876); #2527=PLANE('',#91877); #2528=PLANE('',#91878); #2529=PLANE('',#91879); #2530=PLANE('',#91880); #2531=PLANE('',#91881); #2532=PLANE('',#91882); #2533=PLANE('',#91883); #2534=PLANE('',#91884); #2535=PLANE('',#91885); #2536=PLANE('',#91886); #2537=PLANE('',#91887); #2538=PLANE('',#91888); #2539=PLANE('',#91889); #2540=PLANE('',#91890); #2541=PLANE('',#91891); #2542=PLANE('',#91892); #2543=PLANE('',#91893); #2544=PLANE('',#91894); #2545=PLANE('',#91895); #2546=PLANE('',#91896); #2547=PLANE('',#91897); #2548=PLANE('',#91898); #2549=PLANE('',#91899); #2550=PLANE('',#91900); #2551=PLANE('',#91901); #2552=PLANE('',#91902); #2553=PLANE('',#91903); #2554=PLANE('',#91904); #2555=PLANE('',#91905); #2556=PLANE('',#91906); #2557=PLANE('',#91907); #2558=PLANE('',#91908); #2559=PLANE('',#91909); #2560=PLANE('',#91910); #2561=PLANE('',#91911); #2562=PLANE('',#91912); #2563=PLANE('',#91913); #2564=PLANE('',#91914); #2565=PLANE('',#91915); #2566=PLANE('',#91916); #2567=PLANE('',#91917); #2568=PLANE('',#91918); #2569=PLANE('',#91919); #2570=PLANE('',#91920); #2571=PLANE('',#91921); #2572=PLANE('',#91922); #2573=PLANE('',#91923); #2574=PLANE('',#91924); #2575=PLANE('',#91925); #2576=PLANE('',#91926); #2577=PLANE('',#91927); #2578=PLANE('',#91928); #2579=PLANE('',#91929); #2580=PLANE('',#91930); #2581=PLANE('',#91931); #2582=PLANE('',#91932); #2583=PLANE('',#91933); #2584=PLANE('',#91934); #2585=PLANE('',#91935); #2586=PLANE('',#91936); #2587=PLANE('',#91937); #2588=PLANE('',#91938); #2589=PLANE('',#91939); #2590=PLANE('',#91940); #2591=PLANE('',#91941); #2592=PLANE('',#91942); #2593=PLANE('',#91943); #2594=PLANE('',#91944); #2595=PLANE('',#91945); #2596=PLANE('',#91946); #2597=PLANE('',#91947); #2598=PLANE('',#91948); #2599=PLANE('',#91949); #2600=PLANE('',#91950); #2601=PLANE('',#91951); #2602=PLANE('',#91952); #2603=PLANE('',#91953); #2604=PLANE('',#91954); #2605=PLANE('',#91955); #2606=PLANE('',#91956); #2607=PLANE('',#91957); #2608=PLANE('',#91958); #2609=PLANE('',#91959); #2610=PLANE('',#91960); #2611=PLANE('',#91961); #2612=PLANE('',#91962); #2613=PLANE('',#91963); #2614=PLANE('',#91964); #2615=PLANE('',#91965); #2616=PLANE('',#91966); #2617=PLANE('',#91967); #2618=PLANE('',#91968); #2619=PLANE('',#91969); #2620=PLANE('',#91970); #2621=PLANE('',#91971); #2622=PLANE('',#91972); #2623=PLANE('',#91973); #2624=PLANE('',#91974); #2625=PLANE('',#91975); #2626=PLANE('',#91976); #2627=PLANE('',#91977); #2628=PLANE('',#91978); #2629=PLANE('',#91979); #2630=PLANE('',#91980); #2631=PLANE('',#91981); #2632=PLANE('',#91982); #2633=PLANE('',#91983); #2634=PLANE('',#91984); #2635=PLANE('',#91985); #2636=PLANE('',#91986); #2637=PLANE('',#91987); #2638=PLANE('',#91988); #2639=PLANE('',#91989); #2640=PLANE('',#91990); #2641=PLANE('',#91991); #2642=PLANE('',#91992); #2643=PLANE('',#91993); #2644=PLANE('',#91994); #2645=PLANE('',#91995); #2646=PLANE('',#91996); #2647=PLANE('',#91997); #2648=PLANE('',#91998); #2649=PLANE('',#91999); #2650=PLANE('',#92000); #2651=PLANE('',#92001); #2652=PLANE('',#92002); #2653=PLANE('',#92003); #2654=PLANE('',#92004); #2655=PLANE('',#92005); #2656=PLANE('',#92006); #2657=PLANE('',#92007); #2658=PLANE('',#92008); #2659=PLANE('',#92009); #2660=PLANE('',#92010); #2661=PLANE('',#92011); #2662=PLANE('',#92012); #2663=PLANE('',#92013); #2664=PLANE('',#92014); #2665=PLANE('',#92015); #2666=PLANE('',#92016); #2667=PLANE('',#92017); #2668=PLANE('',#92018); #2669=PLANE('',#92019); #2670=PLANE('',#92020); #2671=PLANE('',#92021); #2672=PLANE('',#92022); #2673=PLANE('',#92023); #2674=PLANE('',#92024); #2675=PLANE('',#92025); #2676=PLANE('',#92026); #2677=PLANE('',#92027); #2678=PLANE('',#92028); #2679=PLANE('',#92029); #2680=PLANE('',#92030); #2681=PLANE('',#92031); #2682=PLANE('',#92032); #2683=PLANE('',#92033); #2684=PLANE('',#92034); #2685=PLANE('',#92035); #2686=PLANE('',#92036); #2687=PLANE('',#92037); #2688=PLANE('',#92038); #2689=PLANE('',#92039); #2690=PLANE('',#92040); #2691=PLANE('',#92041); #2692=PLANE('',#92042); #2693=PLANE('',#92043); #2694=PLANE('',#92044); #2695=PLANE('',#92045); #2696=PLANE('',#92046); #2697=PLANE('',#92047); #2698=PLANE('',#92048); #2699=PLANE('',#92049); #2700=PLANE('',#92050); #2701=PLANE('',#92051); #2702=PLANE('',#92052); #2703=PLANE('',#92053); #2704=PLANE('',#92054); #2705=PLANE('',#92055); #2706=PLANE('',#92056); #2707=PLANE('',#92057); #2708=PLANE('',#92058); #2709=PLANE('',#92059); #2710=PLANE('',#92060); #2711=PLANE('',#92061); #2712=PLANE('',#92062); #2713=PLANE('',#92063); #2714=PLANE('',#92064); #2715=PLANE('',#92065); #2716=PLANE('',#92066); #2717=PLANE('',#92067); #2718=PLANE('',#92068); #2719=PLANE('',#92069); #2720=PLANE('',#92070); #2721=PLANE('',#92071); #2722=PLANE('',#92072); #2723=PLANE('',#92073); #2724=PLANE('',#92074); #2725=PLANE('',#92075); #2726=PLANE('',#92076); #2727=PLANE('',#92077); #2728=PLANE('',#92078); #2729=PLANE('',#92079); #2730=PLANE('',#92080); #2731=PLANE('',#92081); #2732=PLANE('',#92082); #2733=PLANE('',#92083); #2734=PLANE('',#92084); #2735=PLANE('',#92085); #2736=PLANE('',#92086); #2737=PLANE('',#92087); #2738=PLANE('',#92088); #2739=PLANE('',#92089); #2740=PLANE('',#92090); #2741=PLANE('',#92091); #2742=PLANE('',#92092); #2743=PLANE('',#92093); #2744=PLANE('',#92094); #2745=PLANE('',#92095); #2746=PLANE('',#92096); #2747=PLANE('',#92097); #2748=PLANE('',#92098); #2749=PLANE('',#92099); #2750=PLANE('',#92100); #2751=PLANE('',#92101); #2752=PLANE('',#92102); #2753=PLANE('',#92103); #2754=PLANE('',#92104); #2755=PLANE('',#92105); #2756=PLANE('',#92109); #2757=PLANE('',#92110); #2758=PLANE('',#92111); #2759=PLANE('',#92115); #2760=PLANE('',#92116); #2761=PLANE('',#92117); #2762=PLANE('',#92118); #2763=PLANE('',#92119); #2764=PLANE('',#92120); #2765=PLANE('',#92124); #2766=PLANE('',#92125); #2767=PLANE('',#92126); #2768=PLANE('',#92130); #2769=PLANE('',#92131); #2770=PLANE('',#92132); #2771=PLANE('',#92133); #2772=PLANE('',#92134); #2773=PLANE('',#92135); #2774=PLANE('',#92136); #2775=PLANE('',#92137); #2776=PLANE('',#92141); #2777=PLANE('',#92142); #2778=PLANE('',#92143); #2779=PLANE('',#92144); #2780=PLANE('',#92145); #2781=PLANE('',#92146); #2782=PLANE('',#92150); #2783=PLANE('',#92151); #2784=PLANE('',#92155); #2785=PLANE('',#92159); #2786=PLANE('',#92166); #2787=PLANE('',#92170); #2788=PLANE('',#92171); #2789=PLANE('',#92175); #2790=PLANE('',#92179); #2791=PLANE('',#92183); #2792=PLANE('',#92187); #2793=PLANE('',#92191); #2794=PLANE('',#92198); #2795=PLANE('',#92202); #2796=PLANE('',#92206); #2797=PLANE('',#92210); #2798=PLANE('',#92211); #2799=PLANE('',#92215); #2800=PLANE('',#92219); #2801=PLANE('',#92223); #2802=PLANE('',#92230); #2803=PLANE('',#92234); #2804=PLANE('',#92235); #2805=PLANE('',#92239); #2806=PLANE('',#92243); #2807=PLANE('',#92247); #2808=PLANE('',#92254); #2809=PLANE('',#92255); #2810=PLANE('',#92256); #2811=PLANE('',#92257); #2812=PLANE('',#92258); #2813=PLANE('',#92259); #2814=PLANE('',#92260); #2815=PLANE('',#92261); #2816=PLANE('',#92262); #2817=PLANE('',#92263); #2818=PLANE('',#92264); #2819=PLANE('',#92265); #2820=PLANE('',#92266); #2821=PLANE('',#92267); #2822=PLANE('',#92268); #2823=PLANE('',#92269); #2824=PLANE('',#92270); #2825=PLANE('',#92271); #2826=PLANE('',#92272); #2827=PLANE('',#92273); #2828=PLANE('',#92274); #2829=PLANE('',#92275); #2830=PLANE('',#92276); #2831=PLANE('',#92277); #2832=PLANE('',#92278); #2833=PLANE('',#92279); #2834=PLANE('',#92280); #2835=PLANE('',#92281); #2836=PLANE('',#92282); #2837=PLANE('',#92283); #2838=PLANE('',#92284); #2839=PLANE('',#92285); #2840=PLANE('',#92286); #2841=PLANE('',#92287); #2842=PLANE('',#92288); #2843=PLANE('',#92289); #2844=PLANE('',#92290); #2845=PLANE('',#92291); #2846=PLANE('',#92292); #2847=PLANE('',#92293); #2848=PLANE('',#92294); #2849=PLANE('',#92295); #2850=PLANE('',#92296); #2851=PLANE('',#92297); #2852=PLANE('',#92298); #2853=PLANE('',#92299); #2854=PLANE('',#92300); #2855=PLANE('',#92301); #2856=PLANE('',#92302); #2857=PLANE('',#92303); #2858=PLANE('',#92304); #2859=PLANE('',#92305); #2860=PLANE('',#92306); #2861=PLANE('',#92307); #2862=PLANE('',#92308); #2863=PLANE('',#92309); #2864=PLANE('',#92310); #2865=PLANE('',#92311); #2866=PLANE('',#92312); #2867=PLANE('',#92313); #2868=PLANE('',#92314); #2869=PLANE('',#92315); #2870=PLANE('',#92316); #2871=PLANE('',#92317); #2872=PLANE('',#92318); #2873=PLANE('',#92319); #2874=PLANE('',#92320); #2875=PLANE('',#92321); #2876=PLANE('',#92322); #2877=PLANE('',#92323); #2878=PLANE('',#92324); #2879=PLANE('',#92325); #2880=PLANE('',#92326); #2881=PLANE('',#92327); #2882=PLANE('',#92328); #2883=PLANE('',#92329); #2884=PLANE('',#92330); #2885=PLANE('',#92331); #2886=PLANE('',#92332); #2887=PLANE('',#92333); #2888=PLANE('',#92334); #2889=PLANE('',#92335); #2890=PLANE('',#92336); #2891=PLANE('',#92337); #2892=PLANE('',#92338); #2893=PLANE('',#92339); #2894=PLANE('',#92340); #2895=PLANE('',#92341); #2896=PLANE('',#92342); #2897=PLANE('',#92343); #2898=PLANE('',#92344); #2899=PLANE('',#92345); #2900=PLANE('',#92346); #2901=PLANE('',#92347); #2902=PLANE('',#92348); #2903=PLANE('',#92349); #2904=PLANE('',#92350); #2905=PLANE('',#92351); #2906=PLANE('',#92352); #2907=PLANE('',#92353); #2908=PLANE('',#92354); #2909=PLANE('',#92355); #2910=PLANE('',#92356); #2911=PLANE('',#92357); #2912=PLANE('',#92358); #2913=PLANE('',#92359); #2914=PLANE('',#92360); #2915=PLANE('',#92361); #2916=PLANE('',#92362); #2917=PLANE('',#92363); #2918=PLANE('',#92364); #2919=PLANE('',#92365); #2920=PLANE('',#92366); #2921=PLANE('',#92367); #2922=PLANE('',#92368); #2923=PLANE('',#92369); #2924=PLANE('',#92370); #2925=PLANE('',#92371); #2926=PLANE('',#92372); #2927=PLANE('',#92373); #2928=PLANE('',#92374); #2929=PLANE('',#92375); #2930=PLANE('',#92376); #2931=PLANE('',#92377); #2932=PLANE('',#92378); #2933=PLANE('',#92379); #2934=PLANE('',#92380); #2935=PLANE('',#92381); #2936=PLANE('',#92382); #2937=PLANE('',#92383); #2938=PLANE('',#92384); #2939=PLANE('',#92385); #2940=PLANE('',#92386); #2941=PLANE('',#92387); #2942=PLANE('',#92388); #2943=PLANE('',#92389); #2944=PLANE('',#92390); #2945=PLANE('',#92391); #2946=PLANE('',#92392); #2947=PLANE('',#92393); #2948=PLANE('',#92394); #2949=PLANE('',#92395); #2950=PLANE('',#92396); #2951=PLANE('',#92397); #2952=PLANE('',#92398); #2953=PLANE('',#92399); #2954=PLANE('',#92400); #2955=PLANE('',#92401); #2956=PLANE('',#92402); #2957=PLANE('',#92403); #2958=PLANE('',#92404); #2959=PLANE('',#92405); #2960=PLANE('',#92406); #2961=PLANE('',#92407); #2962=PLANE('',#92408); #2963=PLANE('',#92409); #2964=PLANE('',#92410); #2965=PLANE('',#92411); #2966=PLANE('',#92412); #2967=PLANE('',#92413); #2968=PLANE('',#92414); #2969=PLANE('',#92415); #2970=PLANE('',#92416); #2971=PLANE('',#92417); #2972=PLANE('',#92418); #2973=PLANE('',#92419); #2974=PLANE('',#92420); #2975=PLANE('',#92421); #2976=PLANE('',#92422); #2977=PLANE('',#92423); #2978=PLANE('',#92424); #2979=PLANE('',#92425); #2980=PLANE('',#92426); #2981=PLANE('',#92427); #2982=PLANE('',#92428); #2983=PLANE('',#92429); #2984=PLANE('',#92430); #2985=PLANE('',#92431); #2986=PLANE('',#92432); #2987=PLANE('',#92433); #2988=PLANE('',#92434); #2989=PLANE('',#92435); #2990=PLANE('',#92436); #2991=PLANE('',#92437); #2992=PLANE('',#92438); #2993=PLANE('',#92439); #2994=PLANE('',#92440); #2995=PLANE('',#92441); #2996=PLANE('',#92442); #2997=PLANE('',#92443); #2998=PLANE('',#92444); #2999=PLANE('',#92445); #3000=PLANE('',#92446); #3001=PLANE('',#92447); #3002=PLANE('',#92448); #3003=PLANE('',#92449); #3004=PLANE('',#92450); #3005=PLANE('',#92451); #3006=PLANE('',#92452); #3007=PLANE('',#92453); #3008=PLANE('',#92454); #3009=PLANE('',#92455); #3010=PLANE('',#92456); #3011=PLANE('',#92457); #3012=PLANE('',#92458); #3013=PLANE('',#92459); #3014=PLANE('',#92460); #3015=PLANE('',#92461); #3016=PLANE('',#92462); #3017=PLANE('',#92463); #3018=PLANE('',#92464); #3019=PLANE('',#92465); #3020=PLANE('',#92466); #3021=PLANE('',#92467); #3022=PLANE('',#92468); #3023=PLANE('',#92469); #3024=PLANE('',#92470); #3025=PLANE('',#92471); #3026=PLANE('',#92472); #3027=PLANE('',#92473); #3028=PLANE('',#92474); #3029=PLANE('',#92475); #3030=PLANE('',#92476); #3031=PLANE('',#92477); #3032=PLANE('',#92478); #3033=PLANE('',#92479); #3034=PLANE('',#92480); #3035=PLANE('',#92481); #3036=PLANE('',#92482); #3037=PLANE('',#92483); #3038=PLANE('',#92484); #3039=PLANE('',#92485); #3040=PLANE('',#92486); #3041=PLANE('',#92487); #3042=PLANE('',#92488); #3043=PLANE('',#92489); #3044=PLANE('',#92490); #3045=PLANE('',#92491); #3046=PLANE('',#92492); #3047=PLANE('',#92493); #3048=PLANE('',#92494); #3049=PLANE('',#92495); #3050=PLANE('',#92496); #3051=PLANE('',#92497); #3052=PLANE('',#92498); #3053=PLANE('',#92499); #3054=PLANE('',#92500); #3055=PLANE('',#92501); #3056=PLANE('',#92502); #3057=PLANE('',#92503); #3058=PLANE('',#92504); #3059=PLANE('',#92505); #3060=PLANE('',#92506); #3061=PLANE('',#92507); #3062=PLANE('',#92508); #3063=PLANE('',#92509); #3064=PLANE('',#92510); #3065=PLANE('',#92511); #3066=PLANE('',#92512); #3067=PLANE('',#92513); #3068=PLANE('',#92514); #3069=PLANE('',#92515); #3070=PLANE('',#92516); #3071=PLANE('',#92517); #3072=PLANE('',#92518); #3073=PLANE('',#92519); #3074=PLANE('',#92520); #3075=PLANE('',#92521); #3076=PLANE('',#92522); #3077=PLANE('',#92523); #3078=PLANE('',#92524); #3079=PLANE('',#92525); #3080=PLANE('',#92526); #3081=PLANE('',#92527); #3082=PLANE('',#92528); #3083=PLANE('',#92529); #3084=PLANE('',#92530); #3085=PLANE('',#92531); #3086=PLANE('',#92532); #3087=PLANE('',#92533); #3088=PLANE('',#92534); #3089=PLANE('',#92535); #3090=PLANE('',#92536); #3091=PLANE('',#92537); #3092=PLANE('',#92538); #3093=PLANE('',#92539); #3094=PLANE('',#92540); #3095=PLANE('',#92541); #3096=PLANE('',#92542); #3097=PLANE('',#92543); #3098=PLANE('',#92544); #3099=PLANE('',#92545); #3100=PLANE('',#92546); #3101=PLANE('',#92547); #3102=PLANE('',#92548); #3103=PLANE('',#92549); #3104=PLANE('',#92550); #3105=PLANE('',#92551); #3106=PLANE('',#92552); #3107=PLANE('',#92553); #3108=PLANE('',#92554); #3109=PLANE('',#92555); #3110=PLANE('',#92556); #3111=PLANE('',#92557); #3112=PLANE('',#92558); #3113=PLANE('',#92559); #3114=PLANE('',#92560); #3115=PLANE('',#92561); #3116=PLANE('',#92562); #3117=PLANE('',#92563); #3118=PLANE('',#92564); #3119=PLANE('',#92565); #3120=PLANE('',#92566); #3121=PLANE('',#92567); #3122=PLANE('',#92568); #3123=PLANE('',#92569); #3124=PLANE('',#92570); #3125=PLANE('',#92571); #3126=PLANE('',#92572); #3127=PLANE('',#92573); #3128=PLANE('',#92574); #3129=PLANE('',#92575); #3130=PLANE('',#92576); #3131=PLANE('',#92577); #3132=PLANE('',#92578); #3133=PLANE('',#92579); #3134=PLANE('',#92580); #3135=PLANE('',#92581); #3136=PLANE('',#92582); #3137=PLANE('',#92583); #3138=PLANE('',#92584); #3139=PLANE('',#92585); #3140=PLANE('',#92586); #3141=PLANE('',#92587); #3142=PLANE('',#92588); #3143=PLANE('',#92589); #3144=PLANE('',#92590); #3145=PLANE('',#92591); #3146=PLANE('',#92592); #3147=PLANE('',#92593); #3148=PLANE('',#92594); #3149=PLANE('',#92595); #3150=PLANE('',#92596); #3151=PLANE('',#92597); #3152=PLANE('',#92598); #3153=PLANE('',#92599); #3154=PLANE('',#92600); #3155=PLANE('',#92601); #3156=PLANE('',#92602); #3157=PLANE('',#92603); #3158=PLANE('',#92604); #3159=PLANE('',#92605); #3160=PLANE('',#92606); #3161=PLANE('',#92607); #3162=PLANE('',#92608); #3163=PLANE('',#92609); #3164=PLANE('',#92610); #3165=PLANE('',#92611); #3166=PLANE('',#92612); #3167=PLANE('',#92613); #3168=PLANE('',#92614); #3169=PLANE('',#92615); #3170=PLANE('',#92616); #3171=PLANE('',#92617); #3172=PLANE('',#92618); #3173=PLANE('',#92619); #3174=PLANE('',#92620); #3175=PLANE('',#92621); #3176=PLANE('',#92622); #3177=PLANE('',#92623); #3178=PLANE('',#92624); #3179=PLANE('',#92625); #3180=PLANE('',#92626); #3181=PLANE('',#92627); #3182=PLANE('',#92628); #3183=PLANE('',#92629); #3184=PLANE('',#92630); #3185=PLANE('',#92631); #3186=PLANE('',#92632); #3187=PLANE('',#92633); #3188=PLANE('',#92634); #3189=PLANE('',#92635); #3190=PLANE('',#92636); #3191=PLANE('',#92637); #3192=PLANE('',#92638); #3193=PLANE('',#92639); #3194=PLANE('',#92640); #3195=PLANE('',#92641); #3196=PLANE('',#92642); #3197=PLANE('',#92643); #3198=PLANE('',#92644); #3199=PLANE('',#92645); #3200=PLANE('',#92646); #3201=PLANE('',#92647); #3202=PLANE('',#92648); #3203=PLANE('',#92649); #3204=PLANE('',#92650); #3205=PLANE('',#92651); #3206=PLANE('',#92652); #3207=PLANE('',#92653); #3208=PLANE('',#92654); #3209=PLANE('',#92655); #3210=PLANE('',#92656); #3211=PLANE('',#92657); #3212=PLANE('',#92658); #3213=PLANE('',#92659); #3214=PLANE('',#92660); #3215=PLANE('',#92661); #3216=PLANE('',#92662); #3217=PLANE('',#92663); #3218=PLANE('',#92664); #3219=PLANE('',#92665); #3220=PLANE('',#92666); #3221=PLANE('',#92667); #3222=PLANE('',#92668); #3223=PLANE('',#92669); #3224=PLANE('',#92670); #3225=PLANE('',#92671); #3226=PLANE('',#92672); #3227=PLANE('',#92673); #3228=PLANE('',#92674); #3229=PLANE('',#92675); #3230=PLANE('',#92676); #3231=PLANE('',#92677); #3232=PLANE('',#92678); #3233=PLANE('',#92679); #3234=PLANE('',#92680); #3235=PLANE('',#92681); #3236=PLANE('',#92682); #3237=PLANE('',#92683); #3238=PLANE('',#92684); #3239=PLANE('',#92685); #3240=PLANE('',#92686); #3241=PLANE('',#92687); #3242=PLANE('',#92688); #3243=PLANE('',#92689); #3244=PLANE('',#92690); #3245=PLANE('',#92691); #3246=PLANE('',#92692); #3247=PLANE('',#92693); #3248=PLANE('',#92694); #3249=PLANE('',#92695); #3250=PLANE('',#92696); #3251=PLANE('',#92697); #3252=PLANE('',#92698); #3253=PLANE('',#92699); #3254=PLANE('',#92700); #3255=PLANE('',#92701); #3256=PLANE('',#92702); #3257=PLANE('',#92703); #3258=PLANE('',#92704); #3259=PLANE('',#92705); #3260=PLANE('',#92706); #3261=PLANE('',#92707); #3262=PLANE('',#92708); #3263=PLANE('',#92709); #3264=PLANE('',#92710); #3265=PLANE('',#92711); #3266=PLANE('',#92712); #3267=PLANE('',#92713); #3268=PLANE('',#92714); #3269=PLANE('',#92715); #3270=PLANE('',#92716); #3271=PLANE('',#92717); #3272=PLANE('',#92718); #3273=PLANE('',#92719); #3274=PLANE('',#92720); #3275=PLANE('',#92721); #3276=PLANE('',#92722); #3277=PLANE('',#92723); #3278=PLANE('',#92724); #3279=PLANE('',#92725); #3280=PLANE('',#92726); #3281=PLANE('',#92727); #3282=PLANE('',#92728); #3283=PLANE('',#92729); #3284=PLANE('',#92730); #3285=PLANE('',#92731); #3286=PLANE('',#92732); #3287=PLANE('',#92733); #3288=PLANE('',#92734); #3289=PLANE('',#92735); #3290=PLANE('',#92736); #3291=PLANE('',#92737); #3292=PLANE('',#92738); #3293=PLANE('',#92739); #3294=PLANE('',#92740); #3295=PLANE('',#92741); #3296=PLANE('',#92742); #3297=PLANE('',#92743); #3298=PLANE('',#92744); #3299=PLANE('',#92745); #3300=PLANE('',#92746); #3301=PLANE('',#92747); #3302=PLANE('',#92748); #3303=PLANE('',#92749); #3304=PLANE('',#92750); #3305=PLANE('',#92751); #3306=PLANE('',#92752); #3307=PLANE('',#92753); #3308=PLANE('',#92754); #3309=PLANE('',#92755); #3310=PLANE('',#92756); #3311=PLANE('',#92757); #3312=PLANE('',#92758); #3313=PLANE('',#92759); #3314=PLANE('',#92760); #3315=PLANE('',#92761); #3316=PLANE('',#92762); #3317=PLANE('',#92763); #3318=PLANE('',#92764); #3319=PLANE('',#92765); #3320=PLANE('',#92766); #3321=PLANE('',#92767); #3322=PLANE('',#92768); #3323=PLANE('',#92769); #3324=PLANE('',#92770); #3325=PLANE('',#92771); #3326=PLANE('',#92772); #3327=PLANE('',#92773); #3328=PLANE('',#92774); #3329=PLANE('',#92775); #3330=PLANE('',#92776); #3331=PLANE('',#92777); #3332=PLANE('',#92778); #3333=PLANE('',#92779); #3334=PLANE('',#92780); #3335=PLANE('',#92781); #3336=PLANE('',#92782); #3337=PLANE('',#92783); #3338=PLANE('',#92784); #3339=PLANE('',#92785); #3340=PLANE('',#92786); #3341=PLANE('',#92787); #3342=PLANE('',#92788); #3343=PLANE('',#92789); #3344=PLANE('',#92790); #3345=PLANE('',#92791); #3346=PLANE('',#92792); #3347=PLANE('',#92793); #3348=PLANE('',#92794); #3349=PLANE('',#92795); #3350=PLANE('',#92796); #3351=PLANE('',#92797); #3352=PLANE('',#92798); #3353=PLANE('',#92799); #3354=PLANE('',#92800); #3355=PLANE('',#92801); #3356=PLANE('',#92802); #3357=PLANE('',#92803); #3358=PLANE('',#92804); #3359=PLANE('',#92805); #3360=PLANE('',#92806); #3361=PLANE('',#92807); #3362=PLANE('',#92808); #3363=PLANE('',#92809); #3364=PLANE('',#92810); #3365=PLANE('',#92811); #3366=PLANE('',#92812); #3367=PLANE('',#92813); #3368=PLANE('',#92814); #3369=PLANE('',#92815); #3370=PLANE('',#92816); #3371=PLANE('',#92817); #3372=PLANE('',#92818); #3373=PLANE('',#92819); #3374=PLANE('',#92820); #3375=PLANE('',#92821); #3376=PLANE('',#92822); #3377=PLANE('',#92823); #3378=PLANE('',#92824); #3379=PLANE('',#92825); #3380=PLANE('',#92826); #3381=PLANE('',#92827); #3382=PLANE('',#92828); #3383=PLANE('',#92829); #3384=PLANE('',#92830); #3385=PLANE('',#92853); #3386=PLANE('',#92854); #3387=PLANE('',#92855); #3388=PLANE('',#92856); #3389=PLANE('',#92857); #3390=PLANE('',#92858); #3391=PLANE('',#92859); #3392=PLANE('',#92860); #3393=PLANE('',#92861); #3394=PLANE('',#92862); #3395=PLANE('',#92863); #3396=PLANE('',#92864); #3397=PLANE('',#92865); #3398=PLANE('',#92866); #3399=PLANE('',#92867); #3400=PLANE('',#92868); #3401=PLANE('',#92869); #3402=PLANE('',#92870); #3403=PLANE('',#92871); #3404=PLANE('',#92872); #3405=PLANE('',#92873); #3406=PLANE('',#92874); #3407=PLANE('',#92875); #3408=PLANE('',#92876); #3409=PLANE('',#92877); #3410=PLANE('',#92878); #3411=PLANE('',#92879); #3412=PLANE('',#92880); #3413=PLANE('',#92881); #3414=PLANE('',#92882); #3415=PLANE('',#92883); #3416=PLANE('',#92884); #3417=PLANE('',#92885); #3418=PLANE('',#92886); #3419=PLANE('',#92887); #3420=PLANE('',#92891); #3421=PLANE('',#92927); #3422=PLANE('',#92929); #3423=PLANE('',#92931); #3424=PLANE('',#92997); #3425=PLANE('',#92999); #3426=PLANE('',#93002); #3427=PLANE('',#93003); #3428=PLANE('',#93038); #3429=PLANE('',#93041); #3430=PLANE('',#93043); #3431=PLANE('',#93044); #3432=PLANE('',#93078); #3433=PLANE('',#93082); #3434=PLANE('',#93087); #3435=PLANE('',#93088); #3436=PLANE('',#93122); #3437=PLANE('',#93126); #3438=PLANE('',#93131); #3439=PLANE('',#93132); #3440=PLANE('',#93164); #3441=PLANE('',#93196); #3442=PLANE('',#93198); #3443=PLANE('',#93200); #3444=PLANE('',#93240); #3445=PLANE('',#93254); #3446=PLANE('',#93256); #3447=PLANE('',#93272); #3448=PLANE('',#93315); #3449=PLANE('',#93323); #3450=PLANE('',#93354); #3451=PLANE('',#93362); #3452=PLANE('',#93366); #3453=PLANE('',#93417); #3454=PLANE('',#93426); #3455=PLANE('',#93451); #3456=PLANE('',#93460); #3457=PLANE('',#93472); #3458=PLANE('',#93476); #3459=PLANE('',#93482); #3460=PLANE('',#93497); #3461=PLANE('',#93498); #3462=PLANE('',#93519); #3463=PLANE('',#93577); #3464=PLANE('',#93582); #3465=PLANE('',#93607); #3466=PLANE('',#93612); #3467=PLANE('',#93642); #3468=PLANE('',#93656); #3469=PLANE('',#93672); #3470=PLANE('',#93677); #3471=PLANE('',#93772); #3472=PLANE('',#93774); #3473=PLANE('',#93828); #3474=PLANE('',#93851); #3475=PLANE('',#93874); #3476=PLANE('',#93938); #3477=PLANE('',#93965); #3478=PLANE('',#93967); #3479=PLANE('',#94047); #3480=PLANE('',#94072); #3481=PLANE('',#94076); #3482=PLANE('',#94082); #3483=PLANE('',#94084); #3484=PLANE('',#94098); #3485=PLANE('',#94099); #3486=PLANE('',#94100); #3487=PLANE('',#94101); #3488=PLANE('',#94133); #3489=PLANE('',#94134); #3490=PLANE('',#94157); #3491=PLANE('',#94158); #3492=PLANE('',#94172); #3493=PLANE('',#94176); #3494=PLANE('',#94177); #3495=PLANE('',#94187); #3496=PLANE('',#94199); #3497=PLANE('',#94201); #3498=PLANE('',#94205); #3499=PLANE('',#94220); #3500=PLANE('',#94224); #3501=PLANE('',#94227); #3502=PLANE('',#94230); #3503=PLANE('',#94233); #3504=PLANE('',#94238); #3505=PLANE('',#94241); #3506=PLANE('',#94246); #3507=PLANE('',#94249); #3508=PLANE('',#94252); #3509=PLANE('',#94255); #3510=PLANE('',#94261); #3511=PLANE('',#94270); #3512=PLANE('',#94277); #3513=PLANE('',#94285); #3514=PLANE('',#94286); #3515=PLANE('',#94287); #3516=PLANE('',#94289); #3517=PLANE('',#94290); #3518=PLANE('',#94293); #3519=PLANE('',#94294); #3520=PLANE('',#94295); #3521=PLANE('',#94296); #3522=PLANE('',#94297); #3523=PLANE('',#94300); #3524=PLANE('',#94301); #3525=PLANE('',#94302); #3526=PLANE('',#94303); #3527=PLANE('',#94304); #3528=PLANE('',#94305); #3529=PLANE('',#94306); #3530=PLANE('',#94307); #3531=PLANE('',#94308); #3532=PLANE('',#94309); #3533=PLANE('',#94310); #3534=PLANE('',#94311); #3535=PLANE('',#94312); #3536=PLANE('',#94313); #3537=PLANE('',#94314); #3538=PLANE('',#94336); #3539=PLANE('',#94339); #3540=PLANE('',#94379); #3541=PLANE('',#94417); #3542=PLANE('',#94421); #3543=PLANE('',#94470); #3544=PLANE('',#94471); #3545=PLANE('',#94472); #3546=PLANE('',#94475); #3547=PLANE('',#94478); #3548=PLANE('',#94481); #3549=PLANE('',#94484); #3550=PLANE('',#94487); #3551=PLANE('',#94490); #3552=PLANE('',#94493); #3553=PLANE('',#94496); #3554=PLANE('',#94501); #3555=PLANE('',#94502); #3556=PLANE('',#94567); #3557=PLANE('',#94588); #3558=PLANE('',#94589); #3559=PLANE('',#94594); #3560=PLANE('',#94606); #3561=PLANE('',#94607); #3562=PLANE('',#94608); #3563=PLANE('',#94625); #3564=PLANE('',#94665); #3565=PLANE('',#94673); #3566=PLANE('',#94689); #3567=PLANE('',#94731); #3568=PLANE('',#94732); #3569=PLANE('',#94734); #3570=PLANE('',#94735); #3571=PLANE('',#94738); #3572=PLANE('',#94739); #3573=PLANE('',#94741); #3574=PLANE('',#94742); #3575=PLANE('',#94743); #3576=PLANE('',#94745); #3577=PLANE('',#94746); #3578=PLANE('',#94750); #3579=PLANE('',#94763); #3580=PLANE('',#94767); #3581=PLANE('',#94768); #3582=PLANE('',#94776); #3583=PLANE('',#94779); #3584=PLANE('',#94780); #3585=PLANE('',#94781); #3586=PLANE('',#94834); #3587=PLANE('',#94836); #3588=PLANE('',#94839); #3589=PLANE('',#94841); #3590=PLANE('',#94842); #3591=PLANE('',#94844); #3592=PLANE('',#94846); #3593=PLANE('',#94848); #3594=PLANE('',#94849); #3595=PLANE('',#94850); #3596=PLANE('',#94851); #3597=PLANE('',#94852); #3598=PLANE('',#94853); #3599=PLANE('',#94854); #3600=PLANE('',#94855); #3601=PLANE('',#94856); #3602=PLANE('',#94857); #3603=PLANE('',#94858); #3604=PLANE('',#94859); #3605=PLANE('',#94860); #3606=PLANE('',#94861); #3607=PLANE('',#94862); #3608=PLANE('',#94863); #3609=PLANE('',#94864); #3610=PLANE('',#94865); #3611=PLANE('',#94915); #3612=PLANE('',#94917); #3613=PLANE('',#94918); #3614=PLANE('',#94920); #3615=PLANE('',#94922); #3616=PLANE('',#94924); #3617=PLANE('',#94926); #3618=PLANE('',#94928); #3619=PLANE('',#94930); #3620=PLANE('',#94931); #3621=PLANE('',#94934); #3622=PLANE('',#94935); #3623=PLANE('',#94982); #3624=PLANE('',#94983); #3625=PLANE('',#94984); #3626=PLANE('',#94985); #3627=PLANE('',#94986); #3628=PLANE('',#94987); #3629=PLANE('',#94988); #3630=PLANE('',#94989); #3631=PLANE('',#94990); #3632=PLANE('',#94991); #3633=PLANE('',#94992); #3634=PLANE('',#94993); #3635=PLANE('',#94994); #3636=PLANE('',#94995); #3637=PLANE('',#94996); #3638=PLANE('',#94997); #3639=PLANE('',#94998); #3640=PLANE('',#95028); #3641=PLANE('',#95031); #3642=PLANE('',#95034); #3643=PLANE('',#95037); #3644=PLANE('',#95058); #3645=PLANE('',#95063); #3646=PLANE('',#95064); #3647=PLANE('',#95065); #3648=PLANE('',#95066); #3649=PLANE('',#95069); #3650=PLANE('',#95078); #3651=PLANE('',#95082); #3652=PLANE('',#95088); #3653=PLANE('',#95089); #3654=PLANE('',#95098); #3655=PLANE('',#95108); #3656=PLANE('',#95135); #3657=PLANE('',#95148); #3658=PLANE('',#95159); #3659=PLANE('',#95180); #3660=PLANE('',#95220); #3661=PLANE('',#95223); #3662=PLANE('',#95226); #3663=PLANE('',#95237); #3664=PLANE('',#95238); #3665=PLANE('',#95255); #3666=PLANE('',#95256); #3667=PLANE('',#95260); #3668=PLANE('',#95262); #3669=PLANE('',#95329); #3670=PLANE('',#95332); #3671=PLANE('',#95353); #3672=PLANE('',#95354); #3673=PLANE('',#95356); #3674=PLANE('',#95365); #3675=PLANE('',#95379); #3676=PLANE('',#95387); #3677=PLANE('',#95422); #3678=PLANE('',#95432); #3679=PLANE('',#95467); #3680=PLANE('',#95499); #3681=PLANE('',#95505); #3682=PLANE('',#95508); #3683=PLANE('',#95543); #3684=PLANE('',#95550); #3685=PLANE('',#95551); #3686=PLANE('',#95573); #3687=PLANE('',#95575); #3688=PLANE('',#95576); #3689=PLANE('',#95590); #3690=PLANE('',#95593); #3691=PLANE('',#95597); #3692=PLANE('',#95603); #3693=PLANE('',#95606); #3694=PLANE('',#95610); #3695=PLANE('',#95618); #3696=PLANE('',#95634); #3697=PLANE('',#95641); #3698=PLANE('',#95646); #3699=PLANE('',#95649); #3700=PLANE('',#95659); #3701=PLANE('',#95660); #3702=PLANE('',#95661); #3703=PLANE('',#95662); #3704=PLANE('',#95663); #3705=PLANE('',#95664); #3706=PLANE('',#95665); #3707=PLANE('',#95666); #3708=PLANE('',#95667); #3709=PLANE('',#95668); #3710=PLANE('',#95669); #3711=PLANE('',#95670); #3712=PLANE('',#95671); #3713=PLANE('',#95672); #3714=PLANE('',#95673); #3715=PLANE('',#95674); #3716=PLANE('',#95675); #3717=PLANE('',#95676); #3718=PLANE('',#95677); #3719=PLANE('',#95678); #3720=PLANE('',#95679); #3721=PLANE('',#95680); #3722=PLANE('',#95681); #3723=PLANE('',#95682); #3724=PLANE('',#95683); #3725=PLANE('',#95684); #3726=PLANE('',#95685); #3727=PLANE('',#95686); #3728=PLANE('',#95687); #3729=PLANE('',#95688); #3730=PLANE('',#95689); #3731=PLANE('',#95690); #3732=PLANE('',#95691); #3733=PLANE('',#95692); #3734=PLANE('',#95693); #3735=PLANE('',#95694); #3736=PLANE('',#95695); #3737=PLANE('',#95696); #3738=PLANE('',#95697); #3739=PLANE('',#95698); #3740=PLANE('',#95699); #3741=PLANE('',#95700); #3742=PLANE('',#95701); #3743=PLANE('',#95702); #3744=PLANE('',#95703); #3745=PLANE('',#95704); #3746=PLANE('',#95705); #3747=PLANE('',#95706); #3748=PLANE('',#95707); #3749=PLANE('',#95708); #3750=PLANE('',#95709); #3751=PLANE('',#95710); #3752=PLANE('',#95711); #3753=PLANE('',#95712); #3754=PLANE('',#95713); #3755=PLANE('',#95714); #3756=PLANE('',#95715); #3757=PLANE('',#95716); #3758=PLANE('',#95717); #3759=PLANE('',#95718); #3760=PLANE('',#95719); #3761=PLANE('',#95720); #3762=PLANE('',#95721); #3763=PLANE('',#95722); #3764=PLANE('',#95723); #3765=PLANE('',#95724); #3766=PLANE('',#95725); #3767=PLANE('',#95726); #3768=PLANE('',#95727); #3769=PLANE('',#95728); #3770=PLANE('',#95729); #3771=PLANE('',#95730); #3772=PLANE('',#95731); #3773=PLANE('',#95732); #3774=PLANE('',#95733); #3775=PLANE('',#95734); #3776=PLANE('',#95735); #3777=PLANE('',#95736); #3778=PLANE('',#95737); #3779=PLANE('',#95738); #3780=PLANE('',#95739); #3781=PLANE('',#95740); #3782=PLANE('',#95741); #3783=PLANE('',#95742); #3784=PLANE('',#95743); #3785=PLANE('',#95744); #3786=PLANE('',#95745); #3787=PLANE('',#95746); #3788=PLANE('',#95747); #3789=PLANE('',#95748); #3790=PLANE('',#95749); #3791=PLANE('',#95750); #3792=PLANE('',#95751); #3793=PLANE('',#95770); #3794=PLANE('',#95771); #3795=PLANE('',#95772); #3796=PLANE('',#95773); #3797=PLANE('',#95774); #3798=PLANE('',#95777); #3799=PLANE('',#95780); #3800=PLANE('',#95784); #3801=PLANE('',#95793); #3802=PLANE('',#95796); #3803=PLANE('',#95800); #3804=PLANE('',#95803); #3805=PLANE('',#95818); #3806=PLANE('',#95832); #3807=PLANE('',#95838); #3808=PLANE('',#95839); #3809=PLANE('',#95849); #3810=PLANE('',#95851); #3811=PLANE('',#95858); #3812=PLANE('',#95860); #3813=PLANE('',#95862); #3814=PLANE('',#95865); #3815=PLANE('',#95871); #3816=PLANE('',#95872); #3817=PLANE('',#95874); #3818=PLANE('',#95876); #3819=PLANE('',#95877); #3820=PLANE('',#95882); #3821=PLANE('',#95883); #3822=PLANE('',#95884); #3823=PLANE('',#95886); #3824=PLANE('',#95888); #3825=PLANE('',#95889); #3826=PLANE('',#95891); #3827=PLANE('',#95892); #3828=PLANE('',#95896); #3829=PLANE('',#95897); #3830=PLANE('',#95899); #3831=PLANE('',#95901); #3832=PLANE('',#95903); #3833=PLANE('',#95906); #3834=PLANE('',#95908); #3835=PLANE('',#95912); #3836=PLANE('',#95922); #3837=PLANE('',#95929); #3838=PLANE('',#95936); #3839=PLANE('',#95937); #3840=PLANE('',#95946); #3841=PLANE('',#95953); #3842=PLANE('',#95960); #3843=PLANE('',#95961); #3844=PLANE('',#95969); #3845=PLANE('',#95975); #3846=PLANE('',#95996); #3847=PLANE('',#96001); #3848=PLANE('',#96013); #3849=PLANE('',#96027); #3850=PLANE('',#96028); #3851=PLANE('',#96035); #3852=PLANE('',#96044); #3853=PLANE('',#96055); #3854=PLANE('',#96062); #3855=PLANE('',#96063); #3856=PLANE('',#96069); #3857=PLANE('',#96070); #3858=PLANE('',#96071); #3859=PLANE('',#96072); #3860=PLANE('',#96073); #3861=PLANE('',#96074); #3862=PLANE('',#96075); #3863=PLANE('',#96081); #3864=PLANE('',#96085); #3865=PLANE('',#96089); #3866=PLANE('',#96090); #3867=PLANE('',#96095); #3868=PLANE('',#96096); #3869=PLANE('',#96098); #3870=PLANE('',#96100); #3871=PLANE('',#96101); #3872=PLANE('',#96103); #3873=PLANE('',#96106); #3874=PLANE('',#96118); #3875=PLANE('',#96119); #3876=PLANE('',#96120); #3877=PLANE('',#96121); #3878=PLANE('',#96165); #3879=PLANE('',#96174); #3880=PLANE('',#96176); #3881=PLANE('',#96213); #3882=PLANE('',#96220); #3883=PLANE('',#96222); #3884=PLANE('',#96240); #3885=PLANE('',#96242); #3886=PLANE('',#96245); #3887=PLANE('',#96246); #3888=PLANE('',#96270); #3889=PLANE('',#96274); #3890=PLANE('',#96277); #3891=PLANE('',#96278); #3892=PLANE('',#96279); #3893=PLANE('',#96280); #3894=PLANE('',#96281); #3895=PLANE('',#96291); #3896=PLANE('',#96305); #3897=PLANE('',#96308); #3898=PLANE('',#96309); #3899=PLANE('',#96310); #3900=PLANE('',#96311); #3901=PLANE('',#96312); #3902=PLANE('',#96313); #3903=PLANE('',#96314); #3904=PLANE('',#96315); #3905=PLANE('',#96319); #3906=PLANE('',#96320); #3907=PLANE('',#96330); #3908=PLANE('',#96331); #3909=PLANE('',#96341); #3910=PLANE('',#96344); #3911=PLANE('',#96345); #3912=PLANE('',#96347); #3913=PLANE('',#96348); #3914=PLANE('',#96349); #3915=PLANE('',#96360); #3916=PLANE('',#96363); #3917=PLANE('',#96370); #3918=PLANE('',#96373); #3919=PLANE('',#96378); #3920=PLANE('',#96379); #3921=PLANE('',#96380); #3922=PLANE('',#96381); #3923=PLANE('',#96382); #3924=PLANE('',#96383); #3925=PLANE('',#96384); #3926=PLANE('',#96385); #3927=PLANE('',#96389); #3928=PLANE('',#96390); #3929=PLANE('',#96400); #3930=PLANE('',#96401); #3931=PLANE('',#96409); #3932=PLANE('',#96414); #3933=PLANE('',#96415); #3934=PLANE('',#96417); #3935=PLANE('',#96418); #3936=PLANE('',#96419); #3937=PLANE('',#96420); #3938=PLANE('',#96427); #3939=PLANE('',#96436); #3940=PLANE('',#96439); #3941=PLANE('',#96444); #3942=PLANE('',#96447); #3943=PLANE('',#96449); #3944=PLANE('',#96450); #3945=PLANE('',#96470); #3946=PLANE('',#96474); #3947=PLANE('',#96512); #3948=PLANE('',#96521); #3949=PLANE('',#96527); #3950=PLANE('',#96538); #3951=PLANE('',#96549); #3952=PLANE('',#96550); #3953=PLANE('',#96551); #3954=PLANE('',#96552); #3955=PLANE('',#96553); #3956=PLANE('',#96569); #3957=PLANE('',#96585); #3958=PLANE('',#96607); #3959=PLANE('',#96664); #3960=PLANE('',#96670); #3961=PLANE('',#96671); #3962=PLANE('',#96672); #3963=PLANE('',#96682); #3964=PLANE('',#96683); #3965=PLANE('',#96684); #3966=PLANE('',#96685); #3967=PLANE('',#96686); #3968=PLANE('',#96687); #3969=PLANE('',#96688); #3970=PLANE('',#96689); #3971=PLANE('',#96690); #3972=PLANE('',#96691); #3973=PLANE('',#96692); #3974=PLANE('',#96693); #3975=PLANE('',#96694); #3976=PLANE('',#96695); #3977=PLANE('',#96696); #3978=PLANE('',#96697); #3979=PLANE('',#96698); #3980=PLANE('',#96699); #3981=PLANE('',#96700); #3982=PLANE('',#96701); #3983=PLANE('',#96702); #3984=PLANE('',#96703); #3985=PLANE('',#96704); #3986=PLANE('',#96705); #3987=PLANE('',#96706); #3988=PLANE('',#96707); #3989=PLANE('',#96708); #3990=PLANE('',#96709); #3991=PLANE('',#96710); #3992=PLANE('',#96711); #3993=PLANE('',#96712); #3994=PLANE('',#96713); #3995=PLANE('',#96714); #3996=PLANE('',#96715); #3997=PLANE('',#96716); #3998=PLANE('',#96717); #3999=PLANE('',#96718); #4000=PLANE('',#96719); #4001=PLANE('',#96720); #4002=PLANE('',#96721); #4003=PLANE('',#96722); #4004=PLANE('',#96723); #4005=PLANE('',#96724); #4006=PLANE('',#96725); #4007=PLANE('',#96726); #4008=PLANE('',#96727); #4009=PLANE('',#96728); #4010=PLANE('',#96729); #4011=PLANE('',#96730); #4012=PLANE('',#96731); #4013=PLANE('',#96732); #4014=PLANE('',#96733); #4015=PLANE('',#96734); #4016=PLANE('',#96735); #4017=PLANE('',#96736); #4018=PLANE('',#96737); #4019=PLANE('',#96740); #4020=PLANE('',#96741); #4021=PLANE('',#96742); #4022=PLANE('',#96743); #4023=PLANE('',#96744); #4024=PLANE('',#96745); #4025=PLANE('',#96746); #4026=PLANE('',#96747); #4027=PLANE('',#96748); #4028=PLANE('',#96749); #4029=PLANE('',#96750); #4030=PLANE('',#96751); #4031=PLANE('',#96752); #4032=PLANE('',#96753); #4033=PLANE('',#96754); #4034=PLANE('',#96755); #4035=PLANE('',#96756); #4036=PLANE('',#96757); #4037=PLANE('',#96758); #4038=PLANE('',#96759); #4039=PLANE('',#96760); #4040=PLANE('',#96761); #4041=PLANE('',#96762); #4042=PLANE('',#96763); #4043=PLANE('',#96764); #4044=PLANE('',#96765); #4045=PLANE('',#96766); #4046=PLANE('',#96767); #4047=PLANE('',#96768); #4048=PLANE('',#96769); #4049=PLANE('',#96772); #4050=PLANE('',#96773); #4051=PLANE('',#96778); #4052=PLANE('',#96781); #4053=PLANE('',#96782); #4054=PLANE('',#96785); #4055=PLANE('',#96786); #4056=PLANE('',#96787); #4057=PLANE('',#96788); #4058=PLANE('',#96789); #4059=PLANE('',#96790); #4060=PLANE('',#96791); #4061=PLANE('',#96792); #4062=PLANE('',#96793); #4063=PLANE('',#96794); #4064=PLANE('',#96795); #4065=PLANE('',#96796); #4066=PLANE('',#96797); #4067=PLANE('',#96798); #4068=PLANE('',#96799); #4069=PLANE('',#96800); #4070=PLANE('',#96801); #4071=PLANE('',#96802); #4072=PLANE('',#96803); #4073=PLANE('',#96808); #4074=PLANE('',#96809); #4075=PLANE('',#96810); #4076=PLANE('',#96811); #4077=PLANE('',#96812); #4078=PLANE('',#96814); #4079=PLANE('',#96815); #4080=PLANE('',#96816); #4081=PLANE('',#96817); #4082=PLANE('',#96819); #4083=PLANE('',#96821); #4084=PLANE('',#96831); #4085=PLANE('',#96832); #4086=PLANE('',#96833); #4087=PLANE('',#96834); #4088=PLANE('',#96835); #4089=PLANE('',#96836); #4090=PLANE('',#96837); #4091=PLANE('',#96838); #4092=PLANE('',#96839); #4093=PLANE('',#96840); #4094=PLANE('',#96845); #4095=PLANE('',#96849); #4096=PLANE('',#96850); #4097=PLANE('',#96851); #4098=PLANE('',#96852); #4099=PLANE('',#96853); #4100=PLANE('',#96854); #4101=PLANE('',#96855); #4102=PLANE('',#96856); #4103=PLANE('',#96859); #4104=PLANE('',#96868); #4105=PLANE('',#96869); #4106=PLANE('',#96872); #4107=PLANE('',#96879); #4108=PLANE('',#96882); #4109=PLANE('',#96883); #4110=PLANE('',#96890); #4111=PLANE('',#96904); #4112=PLANE('',#96908); #4113=PLANE('',#96917); #4114=PLANE('',#96918); #4115=PLANE('',#96919); #4116=PLANE('',#96921); #4117=PLANE('',#96922); #4118=PLANE('',#96923); #4119=PLANE('',#96925); #4120=PLANE('',#96926); #4121=PLANE('',#96927); #4122=PLANE('',#96928); #4123=PLANE('',#96929); #4124=PLANE('',#96930); #4125=PLANE('',#96931); #4126=PLANE('',#96932); #4127=PLANE('',#96933); #4128=PLANE('',#96934); #4129=PLANE('',#96935); #4130=PLANE('',#96936); #4131=PLANE('',#96937); #4132=PLANE('',#96938); #4133=PLANE('',#96939); #4134=PLANE('',#96940); #4135=PLANE('',#96941); #4136=PLANE('',#96942); #4137=PLANE('',#96943); #4138=PLANE('',#96944); #4139=PLANE('',#96945); #4140=PLANE('',#96946); #4141=PLANE('',#96947); #4142=PLANE('',#96948); #4143=PLANE('',#96949); #4144=PLANE('',#96950); #4145=PLANE('',#96951); #4146=PLANE('',#96952); #4147=PLANE('',#96953); #4148=PLANE('',#96954); #4149=PLANE('',#96955); #4150=PLANE('',#96956); #4151=PLANE('',#96957); #4152=PLANE('',#96958); #4153=PLANE('',#96959); #4154=PLANE('',#96960); #4155=PLANE('',#96961); #4156=PLANE('',#96962); #4157=PLANE('',#96963); #4158=PLANE('',#96964); #4159=PLANE('',#96965); #4160=PLANE('',#96966); #4161=PLANE('',#96967); #4162=PLANE('',#96968); #4163=PLANE('',#96969); #4164=PLANE('',#96970); #4165=PLANE('',#96971); #4166=PLANE('',#96972); #4167=PLANE('',#96973); #4168=PLANE('',#96974); #4169=PLANE('',#96975); #4170=PLANE('',#96976); #4171=PLANE('',#96977); #4172=PLANE('',#96978); #4173=PLANE('',#96979); #4174=PLANE('',#96980); #4175=PLANE('',#96981); #4176=PLANE('',#96982); #4177=PLANE('',#96983); #4178=PLANE('',#96984); #4179=PLANE('',#96985); #4180=PLANE('',#96986); #4181=PLANE('',#96987); #4182=PLANE('',#96988); #4183=PLANE('',#96989); #4184=PLANE('',#96990); #4185=PLANE('',#96991); #4186=PLANE('',#96992); #4187=PLANE('',#96993); #4188=PLANE('',#96994); #4189=PLANE('',#96995); #4190=PLANE('',#96996); #4191=PLANE('',#96997); #4192=PLANE('',#96998); #4193=PLANE('',#96999); #4194=PLANE('',#97000); #4195=PLANE('',#97001); #4196=PLANE('',#97002); #4197=PLANE('',#97003); #4198=PLANE('',#97004); #4199=PLANE('',#97005); #4200=PLANE('',#97006); #4201=PLANE('',#97007); #4202=PLANE('',#97008); #4203=PLANE('',#97009); #4204=PLANE('',#97010); #4205=PLANE('',#97011); #4206=PLANE('',#97012); #4207=PLANE('',#97013); #4208=PLANE('',#97014); #4209=PLANE('',#97015); #4210=PLANE('',#97016); #4211=PLANE('',#97017); #4212=PLANE('',#97018); #4213=PLANE('',#97019); #4214=PLANE('',#97020); #4215=PLANE('',#97021); #4216=PLANE('',#97022); #4217=PLANE('',#97023); #4218=PLANE('',#97024); #4219=PLANE('',#97025); #4220=PLANE('',#97026); #4221=PLANE('',#97027); #4222=PLANE('',#97028); #4223=PLANE('',#97029); #4224=PLANE('',#97030); #4225=PLANE('',#97031); #4226=PLANE('',#97032); #4227=PLANE('',#97033); #4228=PLANE('',#97034); #4229=PLANE('',#97035); #4230=PLANE('',#97036); #4231=PLANE('',#97037); #4232=PLANE('',#97038); #4233=PLANE('',#97039); #4234=PLANE('',#97040); #4235=PLANE('',#97041); #4236=PLANE('',#97042); #4237=PLANE('',#97043); #4238=PLANE('',#97044); #4239=PLANE('',#97045); #4240=PLANE('',#97046); #4241=PLANE('',#97047); #4242=PLANE('',#97048); #4243=PLANE('',#97049); #4244=PLANE('',#97050); #4245=PLANE('',#97051); #4246=PLANE('',#97052); #4247=PLANE('',#97053); #4248=PLANE('',#97054); #4249=PLANE('',#97055); #4250=PLANE('',#97056); #4251=PLANE('',#97057); #4252=PLANE('',#97058); #4253=PLANE('',#97059); #4254=PLANE('',#97060); #4255=PLANE('',#97061); #4256=PLANE('',#97062); #4257=PLANE('',#97063); #4258=PLANE('',#97064); #4259=PLANE('',#97065); #4260=PLANE('',#97066); #4261=PLANE('',#97067); #4262=PLANE('',#97068); #4263=PLANE('',#97069); #4264=PLANE('',#97070); #4265=PLANE('',#97071); #4266=PLANE('',#97072); #4267=PLANE('',#97073); #4268=PLANE('',#97074); #4269=PLANE('',#97075); #4270=PLANE('',#97076); #4271=PLANE('',#97077); #4272=PLANE('',#97078); #4273=PLANE('',#97079); #4274=PLANE('',#97080); #4275=PLANE('',#97081); #4276=PLANE('',#97082); #4277=PLANE('',#97083); #4278=PLANE('',#97084); #4279=PLANE('',#97085); #4280=PLANE('',#97086); #4281=PLANE('',#97087); #4282=PLANE('',#97088); #4283=PLANE('',#97089); #4284=PLANE('',#97090); #4285=PLANE('',#97091); #4286=PLANE('',#97092); #4287=PLANE('',#97093); #4288=PLANE('',#97094); #4289=PLANE('',#97095); #4290=PLANE('',#97096); #4291=PLANE('',#97097); #4292=PLANE('',#97098); #4293=PLANE('',#97099); #4294=PLANE('',#97100); #4295=PLANE('',#97101); #4296=PLANE('',#97102); #4297=PLANE('',#97103); #4298=PLANE('',#97104); #4299=PLANE('',#97105); #4300=PLANE('',#97106); #4301=PLANE('',#97107); #4302=PLANE('',#97108); #4303=PLANE('',#97109); #4304=PLANE('',#97110); #4305=PLANE('',#97111); #4306=PLANE('',#97112); #4307=PLANE('',#97113); #4308=PLANE('',#97114); #4309=PLANE('',#97115); #4310=PLANE('',#97116); #4311=PLANE('',#97117); #4312=PLANE('',#97118); #4313=PLANE('',#97119); #4314=PLANE('',#97120); #4315=PLANE('',#97121); #4316=PLANE('',#97122); #4317=PLANE('',#97123); #4318=PLANE('',#97124); #4319=PLANE('',#97125); #4320=PLANE('',#97126); #4321=PLANE('',#97127); #4322=PLANE('',#97128); #4323=PLANE('',#97129); #4324=PLANE('',#97130); #4325=PLANE('',#97131); #4326=PLANE('',#97132); #4327=PLANE('',#97133); #4328=PLANE('',#97134); #4329=PLANE('',#97135); #4330=PLANE('',#97136); #4331=PLANE('',#97137); #4332=PLANE('',#97138); #4333=PLANE('',#97139); #4334=PLANE('',#97140); #4335=PLANE('',#97141); #4336=PLANE('',#97142); #4337=PLANE('',#97143); #4338=PLANE('',#97144); #4339=PLANE('',#97145); #4340=PLANE('',#97146); #4341=PLANE('',#97147); #4342=PLANE('',#97148); #4343=PLANE('',#97149); #4344=PLANE('',#97150); #4345=PLANE('',#97151); #4346=PLANE('',#97152); #4347=PLANE('',#97156); #4348=PLANE('',#97162); #4349=PLANE('',#97168); #4350=PLANE('',#97169); #4351=PLANE('',#97175); #4352=PLANE('',#97176); #4353=PLANE('',#97181); #4354=PLANE('',#97184); #4355=PLANE('',#97187); #4356=PLANE('',#97190); #4357=PLANE('',#97194); #4358=PLANE('',#97198); #4359=PLANE('',#97204); #4360=PLANE('',#97216); #4361=PLANE('',#97221); #4362=PLANE('',#97223); #4363=PLANE('',#97226); #4364=PLANE('',#97229); #4365=PLANE('',#97231); #4366=PLANE('',#97232); #4367=PLANE('',#97233); #4368=PLANE('',#97234); #4369=PLANE('',#97236); #4370=PLANE('',#97237); #4371=PLANE('',#97239); #4372=PLANE('',#97240); #4373=PLANE('',#97242); #4374=PLANE('',#97245); #4375=PLANE('',#97247); #4376=PLANE('',#97249); #4377=PLANE('',#97250); #4378=PLANE('',#97252); #4379=PLANE('',#97254); #4380=PLANE('',#97255); #4381=PLANE('',#97257); #4382=PLANE('',#97264); #4383=PLANE('',#97265); #4384=PLANE('',#97267); #4385=PLANE('',#97269); #4386=PLANE('',#97271); #4387=PLANE('',#97274); #4388=PLANE('',#97275); #4389=PLANE('',#97277); #4390=PLANE('',#97278); #4391=PLANE('',#97299); #4392=PLANE('',#97300); #4393=PLANE('',#97318); #4394=PLANE('',#97319); #4395=PLANE('',#97340); #4396=PLANE('',#97414); #4397=PLANE('',#97415); #4398=PLANE('',#97432); #4399=PLANE('',#97436); #4400=PLANE('',#97453); #4401=PLANE('',#97457); #4402=PLANE('',#97469); #4403=PLANE('',#97477); #4404=PLANE('',#97509); #4405=PLANE('',#97527); #4406=PLANE('',#97550); #4407=PLANE('',#97568); #4408=PLANE('',#97592); #4409=PLANE('',#97593); #4410=PLANE('',#97595); #4411=PLANE('',#97598); #4412=PLANE('',#97604); #4413=PLANE('',#97605); #4414=PLANE('',#97609); #4415=PLANE('',#97610); #4416=PLANE('',#97614); #4417=PLANE('',#97615); #4418=PLANE('',#97619); #4419=PLANE('',#97620); #4420=PLANE('',#97624); #4421=PLANE('',#97625); #4422=PLANE('',#97629); #4423=PLANE('',#97630); #4424=PLANE('',#97634); #4425=PLANE('',#97635); #4426=PLANE('',#97639); #4427=PLANE('',#97640); #4428=PLANE('',#97644); #4429=PLANE('',#97645); #4430=PLANE('',#97649); #4431=PLANE('',#97653); #4432=PLANE('',#97657); #4433=PLANE('',#97661); #4434=PLANE('',#97665); #4435=PLANE('',#97669); #4436=PLANE('',#97673); #4437=PLANE('',#97677); #4438=PLANE('',#97678); #4439=PLANE('',#97679); #4440=PLANE('',#97680); #4441=PLANE('',#97681); #4442=PLANE('',#97682); #4443=PLANE('',#97683); #4444=PLANE('',#97684); #4445=PLANE('',#97685); #4446=PLANE('',#97686); #4447=PLANE('',#97689); #4448=PLANE('',#97691); #4449=PLANE('',#97693); #4450=PLANE('',#97695); #4451=PLANE('',#97697); #4452=PLANE('',#97699); #4453=PLANE('',#97701); #4454=PLANE('',#97703); #4455=PLANE('',#97705); #4456=PLANE('',#97707); #4457=PLANE('',#97709); #4458=PLANE('',#97711); #4459=PLANE('',#97713); #4460=PLANE('',#97715); #4461=PLANE('',#97717); #4462=PLANE('',#97719); #4463=PLANE('',#97721); #4464=PLANE('',#97744); #4465=PLANE('',#97765); #4466=PLANE('',#97800); #4467=PLANE('',#97837); #4468=PLANE('',#97860); #4469=PLANE('',#97867); #4470=PLANE('',#97868); #4471=PLANE('',#97869); #4472=PLANE('',#97870); #4473=PLANE('',#97871); #4474=PLANE('',#97872); #4475=PLANE('',#97878); #4476=PLANE('',#97880); #4477=PLANE('',#97881); #4478=PLANE('',#97882); #4479=PLANE('',#97883); #4480=PLANE('',#97884); #4481=PLANE('',#97885); #4482=PLANE('',#97886); #4483=PLANE('',#97887); #4484=PLANE('',#97888); #4485=PLANE('',#97889); #4486=PLANE('',#97890); #4487=PLANE('',#97937); #4488=PLANE('',#97938); #4489=PLANE('',#97941); #4490=PLANE('',#97942); #4491=PLANE('',#97945); #4492=PLANE('',#97946); #4493=PLANE('',#97947); #4494=PLANE('',#97950); #4495=PLANE('',#97951); #4496=PLANE('',#97952); #4497=PLANE('',#97955); #4498=PLANE('',#97956); #4499=PLANE('',#97957); #4500=PLANE('',#97960); #4501=PLANE('',#97961); #4502=PLANE('',#97962); #4503=PLANE('',#97965); #4504=PLANE('',#97966); #4505=PLANE('',#97967); #4506=PLANE('',#97970); #4507=PLANE('',#97971); #4508=PLANE('',#97972); #4509=PLANE('',#97975); #4510=PLANE('',#97976); #4511=PLANE('',#97977); #4512=PLANE('',#97980); #4513=PLANE('',#97981); #4514=PLANE('',#97982); #4515=PLANE('',#97985); #4516=PLANE('',#97986); #4517=PLANE('',#97987); #4518=PLANE('',#97990); #4519=PLANE('',#97991); #4520=PLANE('',#97992); #4521=PLANE('',#97995); #4522=PLANE('',#98018); #4523=PLANE('',#98019); #4524=PLANE('',#98022); #4525=PLANE('',#98025); #4526=PLANE('',#98036); #4527=PLANE('',#98038); #4528=PLANE('',#98039); #4529=PLANE('',#98041); #4530=PLANE('',#98043); #4531=PLANE('',#98044); #4532=PLANE('',#98046); #4533=PLANE('',#98048); #4534=PLANE('',#98049); #4535=PLANE('',#98051); #4536=PLANE('',#98053); #4537=PLANE('',#98054); #4538=PLANE('',#98056); #4539=PLANE('',#98059); #4540=PLANE('',#98060); #4541=PLANE('',#98063); #4542=PLANE('',#98064); #4543=PLANE('',#98067); #4544=PLANE('',#98073); #4545=PLANE('',#98075); #4546=PLANE('',#98076); #4547=PLANE('',#98078); #4548=PLANE('',#98079); #4549=PLANE('',#98081); #4550=PLANE('',#98082); #4551=PLANE('',#98083); #4552=PLANE('',#98086); #4553=PLANE('',#98087); #4554=PLANE('',#98090); #4555=PLANE('',#98091); #4556=PLANE('',#98099); #4557=PLANE('',#98101); #4558=PLANE('',#98102); #4559=PLANE('',#98104); #4560=PLANE('',#98105); #4561=PLANE('',#98107); #4562=PLANE('',#98108); #4563=PLANE('',#98111); #4564=PLANE('',#98112); #4565=PLANE('',#98115); #4566=PLANE('',#98118); #4567=PLANE('',#98119); #4568=PLANE('',#98122); #4569=PLANE('',#98125); #4570=PLANE('',#98126); #4571=PLANE('',#98129); #4572=PLANE('',#98132); #4573=PLANE('',#98133); #4574=PLANE('',#98146); #4575=PLANE('',#98148); #4576=PLANE('',#98149); #4577=PLANE('',#98151); #4578=PLANE('',#98153); #4579=PLANE('',#98154); #4580=PLANE('',#98156); #4581=PLANE('',#98158); #4582=PLANE('',#98159); #4583=PLANE('',#98161); #4584=PLANE('',#98163); #4585=PLANE('',#98164); #4586=PLANE('',#98166); #4587=PLANE('',#98169); #4588=PLANE('',#98170); #4589=PLANE('',#98173); #4590=PLANE('',#98176); #4591=PLANE('',#98177); #4592=PLANE('',#98180); #4593=PLANE('',#98183); #4594=PLANE('',#98184); #4595=PLANE('',#98187); #4596=PLANE('',#98190); #4597=PLANE('',#98191); #4598=PLANE('',#98204); #4599=PLANE('',#98206); #4600=PLANE('',#98207); #4601=PLANE('',#98209); #4602=PLANE('',#98211); #4603=PLANE('',#98212); #4604=PLANE('',#98214); #4605=PLANE('',#98216); #4606=PLANE('',#98217); #4607=PLANE('',#98219); #4608=PLANE('',#98221); #4609=PLANE('',#98222); #4610=PLANE('',#98224); #4611=PLANE('',#98227); #4612=PLANE('',#98228); #4613=PLANE('',#98231); #4614=PLANE('',#98234); #4615=PLANE('',#98235); #4616=PLANE('',#98238); #4617=PLANE('',#98241); #4618=PLANE('',#98242); #4619=PLANE('',#98245); #4620=PLANE('',#98248); #4621=PLANE('',#98249); #4622=PLANE('',#98262); #4623=PLANE('',#98264); #4624=PLANE('',#98265); #4625=PLANE('',#98267); #4626=PLANE('',#98269); #4627=PLANE('',#98270); #4628=PLANE('',#98272); #4629=PLANE('',#98274); #4630=PLANE('',#98275); #4631=PLANE('',#98277); #4632=PLANE('',#98279); #4633=PLANE('',#98280); #4634=PLANE('',#98282); #4635=PLANE('',#98285); #4636=PLANE('',#98286); #4637=PLANE('',#98289); #4638=PLANE('',#98292); #4639=PLANE('',#98293); #4640=PLANE('',#98296); #4641=PLANE('',#98299); #4642=PLANE('',#98300); #4643=PLANE('',#98303); #4644=PLANE('',#98306); #4645=PLANE('',#98307); #4646=PLANE('',#98320); #4647=PLANE('',#98322); #4648=PLANE('',#98323); #4649=PLANE('',#98325); #4650=PLANE('',#98327); #4651=PLANE('',#98328); #4652=PLANE('',#98330); #4653=PLANE('',#98332); #4654=PLANE('',#98333); #4655=PLANE('',#98335); #4656=PLANE('',#98337); #4657=PLANE('',#98338); #4658=PLANE('',#98340); #4659=PLANE('',#98343); #4660=PLANE('',#98344); #4661=PLANE('',#98347); #4662=PLANE('',#98350); #4663=PLANE('',#98351); #4664=PLANE('',#98354); #4665=PLANE('',#98357); #4666=PLANE('',#98358); #4667=PLANE('',#98361); #4668=PLANE('',#98364); #4669=PLANE('',#98365); #4670=PLANE('',#98369); #4671=PLANE('',#98373); #4672=PLANE('',#98377); #4673=PLANE('',#98380); #4674=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#120725,#120726,#120727,#120728,#120729,#120730, #120731,#120732,#120733),(#120734,#120735,#120736,#120737,#120738,#120739, #120740,#120741,#120742),(#120743,#120744,#120745,#120746,#120747,#120748, #120749,#120750,#120751)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(-1.5707963267949,-0.729727656226965), (-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.91287092917527,0.645497224367898, 0.91287092917527,0.645497224367898,0.91287092917527,0.645497224367898,0.91287092917527, 0.645497224367898,0.91287092917527),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4675=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#120812,#120813,#120814),(#120815,#120816,#120817), (#120818,#120819,#120820)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.839608756496935,0.933561422003405), (-0.242694520913118,0.242694520913118),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.970693954759221,1.),(0.998896814975988, 0.969623099725432,0.998896814975988),(1.,0.970693954759221,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4676=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#120828,#120829,#120830),(#120831,#120832,#120833), (#120834,#120835,#120836)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.839608756496936,0.93356142200347), (1.88979691287028,2.82550688935638),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.892537682771391,1.),(0.99889681497641, 0.891553048566768,0.99889681497641),(1.,0.892537682771391,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4677=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#121423,#121424,#121425),(#121426,#121427,#121428), (#121429,#121430,#121431)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.00604166501454,1.17750165419268), (0.100113503272513,0.585502545098754),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.970693954759221,1.),(0.996327434174623, 0.967129017314072,0.996327434174623),(1.,0.970693954759221,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4678=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#121441,#121442,#121443),(#121444,#121445,#121446), (#121447,#121448,#121449)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.00604166501454,1.17750165419268), (1.88979691287028,2.82550688935638),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.892537682771391,1.),(0.996327434174662, 0.889259779379818,0.996327434174662),(1.,0.892537682771391,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4679=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#121543,#121544,#121545),(#121546,#121547,#121548), (#121549,#121550,#121551)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.00604166501454,1.17750165419268), (1.88979691287028,2.82550688935638),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.892537682771391,1.),(0.996327434174604, 0.889259779379766,0.996327434174604),(1.,0.892537682771391,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4680=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#137042,#137043,#137044),(#137045,#137046,#137047), (#137048,#137049,#137050)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.839608756496842,0.933561422003405), (1.88979691287028,2.82550688935638),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.892537682771391,1.),(0.998896814976488, 0.891553048566837,0.998896814976488),(1.,0.892537682771391,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4681=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#138613,#138614,#138615),(#138616,#138617,#138618), (#138619,#138620,#138621)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.43537268500128,1.48698334604785), (2.29784343164411,2.73946744676753),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.97571992351391,1.),(0.999667060934257, 0.975395068234148,0.999667060934257),(1.,0.97571992351391,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4682=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#140654,#140655,#140656),(#140657,#140658,#140659), (#140660,#140661,#140662)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.25022454587175,1.49251836738759), (1.94802137172033,2.82550688935758),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.905286456664941,1.),(0.992670683693255, 0.898651325875831,0.992670683693255),(1.,0.905286456664941,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4683=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#141164,#141165,#141166),(#141167,#141168,#141169), (#141170,#141171,#141172)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.25022454587175,1.49251836738759), (1.94802137172033,2.825506889357),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.905286456665063,1.),(0.992670683693291, 0.898651325875985,0.992670683693291),(1.,0.905286456665063,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4684=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#150949,#150950,#150951),(#150952,#150953,#150954), (#150955,#150956,#150957)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.25022454587175,1.54223254306617), (0.171944365980391,0.609446166001409),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.976169278557336,1.),(0.989360336982559, 0.965783166385508,0.989360336982559),(1.,0.976169278557336,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4685=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#151592,#151593,#151594),(#151595,#151596,#151597), (#151598,#151599,#151600)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.905819966512728,1.45534491115046), (1.88979691287028,2.82550688935638),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.89253768277139,1.),(0.962489670392164, 0.859058300103221,0.962489670392164),(1.,0.89253768277139,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4686=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#151789,#151790,#151791),(#151792,#151793,#151794), (#151795,#151796,#151797)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.00604166501455,1.17750165419268), (-0.24269452091312,0.24269452091312),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.970693954759221,1.),(0.996327434174694, 0.967129017314141,0.996327434174694),(1.,0.970693954759221,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4687=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#151800,#151801,#151802),(#151803,#151804,#151805), (#151806,#151807,#151808)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.25022454587175,1.54223254306617), (-0.218750900010507,0.218750900010508),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.976169278557337,1.),(0.98936033698258, 0.965783166385529,0.98936033698258),(1.,0.976169278557337,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4688=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#151893,#151894,#151895),(#151896,#151897,#151898), (#151899,#151900,#151901)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.905819966512728,1.45589445233794), (-0.414098533005923,0.0712905088204163),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.970693954759209,1.),(0.962415083761942, 0.934210503776794,0.962415083761942),(1.,0.970693954759209,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4689=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#151924,#151925,#151926),(#151927,#151928,#151929), (#151930,#151931,#151932)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.905819966512729,1.45589445299316), (-0.242694520913138,0.242694520913138),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.970693954759216,1.),(0.962415083672969, 0.934210503690436,0.962415083672969),(1.,0.970693954759216,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4690=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#151948,#151949,#151950),(#151951,#151952,#151953), (#151954,#151955,#151956)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.905819966512727,1.45534491115046), (1.25179574071965,2.18750571720575),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.892537682771391,1.),(0.962489670392163, 0.859058300103221,0.962489670392163),(1.,0.892537682771391,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4691=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#152025,#152026,#152027),(#152028,#152029,#152030), (#152031,#152032,#152033)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.839608756496936,0.933561422003405), (0.100113503272501,0.585502545098737),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.970693954759221,1.),(0.998896814976377, 0.96962309972581,0.998896814976377),(1.,0.970693954759221,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4692=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#152034,#152035,#152036),(#152037,#152038,#152039), (#152040,#152041,#152042)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(1.43537264886618,1.48698334604785), (2.29784343164411,2.73946744676755),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.975719923513907,1.),(0.999667060468949, 0.975395067780135,0.999667060468949),(1.,0.975719923513907,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4693=( BOUNDED_SURFACE() B_SPLINE_SURFACE(1,2,((#166305,#166306,#166307,#166308,#166309,#166310, #166311,#166312,#166313,#166314,#166315,#166316,#166317,#166318,#166319, #166320,#166321,#166322,#166323,#166324,#166325,#166326,#166327,#166328, #166329,#166330,#166331,#166332,#166333,#166334,#166335,#166336,#166337, #166338,#166339,#166340,#166341,#166342,#166343,#166344,#166345,#166346, #166347,#166348,#166349,#166350,#166351,#166352,#166353,#166354,#166355, #166356,#166357,#166358,#166359,#166360,#166361,#166362,#166363,#166364, #166365,#166366,#166367,#166368,#166369,#166370,#166371,#166372,#166373, #166374,#166375,#166376,#166377,#166378,#166379,#166380,#166381,#166382, #166383,#166384,#166385,#166386,#166387,#166388,#166389,#166390,#166391, #166392,#166393,#166394,#166395,#166396,#166397,#166398,#166399,#166400, #166401,#166402,#166403,#166404,#166405,#166406,#166407,#166408,#166409, #166410,#166411,#166412,#166413,#166414,#166415,#166416,#166417,#166418, #166419,#166420,#166421,#166422,#166423,#166424,#166425,#166426,#166427, #166428,#166429,#166430,#166431,#166432,#166433,#166434,#166435,#166436, #166437,#166438,#166439,#166440,#166441,#166442,#166443,#166444,#166445, #166446,#166447,#166448,#166449,#166450,#166451,#166452,#166453,#166454, #166455,#166456,#166457,#166458,#166459,#166460,#166461,#166462,#166463, #166464,#166465,#166466,#166467,#166468,#166469,#166470,#166471,#166472, #166473,#166474,#166475,#166476,#166477,#166478,#166479,#166480,#166481, #166482,#166483,#166484,#166485,#166486,#166487,#166488,#166489,#166490, #166491,#166492,#166493,#166494,#166495,#166496,#166497,#166498,#166499, #166500,#166501,#166502,#166503,#166504,#166505,#166506,#166507,#166508, #166509,#166510,#166511,#166512,#166513,#166514,#166515,#166516,#166517, #166518,#166519,#166520,#166521,#166522,#166523,#166524,#166525,#166526, #166527,#166528,#166529,#166530,#166531,#166532,#166533,#166534,#166535, #166536,#166537,#166538,#166539,#166540,#166541,#166542,#166543,#166544, #166545,#166546,#166547,#166548,#166549,#166550,#166551,#166552,#166553, #166554,#166555,#166556,#166557,#166558,#166559,#166560,#166561,#166562, #166563,#166564,#166565,#166566,#166567,#166568,#166569,#166570,#166571, #166572,#166573,#166574,#166575,#166576,#166577,#166578,#166579,#166580, #166581,#166582,#166583,#166584,#166585,#166586,#166587,#166588,#166589, #166590,#166591,#166592,#166593,#166594,#166595,#166596,#166597,#166598, #166599,#166600,#166601,#166602,#166603,#166604,#166605,#166606,#166607, #166608,#166609,#166610,#166611,#166612,#166613,#166614,#166615,#166616, #166617,#166618,#166619,#166620,#166621,#166622,#166623,#166624,#166625, #166626,#166627,#166628,#166629,#166630,#166631,#166632,#166633,#166634, #166635,#166636,#166637,#166638,#166639,#166640,#166641),(#166642,#166643, #166644,#166645,#166646,#166647,#166648,#166649,#166650,#166651,#166652, #166653,#166654,#166655,#166656,#166657,#166658,#166659,#166660,#166661, #166662,#166663,#166664,#166665,#166666,#166667,#166668,#166669,#166670, #166671,#166672,#166673,#166674,#166675,#166676,#166677,#166678,#166679, #166680,#166681,#166682,#166683,#166684,#166685,#166686,#166687,#166688, #166689,#166690,#166691,#166692,#166693,#166694,#166695,#166696,#166697, #166698,#166699,#166700,#166701,#166702,#166703,#166704,#166705,#166706, #166707,#166708,#166709,#166710,#166711,#166712,#166713,#166714,#166715, #166716,#166717,#166718,#166719,#166720,#166721,#166722,#166723,#166724, #166725,#166726,#166727,#166728,#166729,#166730,#166731,#166732,#166733, #166734,#166735,#166736,#166737,#166738,#166739,#166740,#166741,#166742, #166743,#166744,#166745,#166746,#166747,#166748,#166749,#166750,#166751, #166752,#166753,#166754,#166755,#166756,#166757,#166758,#166759,#166760, #166761,#166762,#166763,#166764,#166765,#166766,#166767,#166768,#166769, #166770,#166771,#166772,#166773,#166774,#166775,#166776,#166777,#166778, #166779,#166780,#166781,#166782,#166783,#166784,#166785,#166786,#166787, #166788,#166789,#166790,#166791,#166792,#166793,#166794,#166795,#166796, #166797,#166798,#166799,#166800,#166801,#166802,#166803,#166804,#166805, #166806,#166807,#166808,#166809,#166810,#166811,#166812,#166813,#166814, #166815,#166816,#166817,#166818,#166819,#166820,#166821,#166822,#166823, #166824,#166825,#166826,#166827,#166828,#166829,#166830,#166831,#166832, #166833,#166834,#166835,#166836,#166837,#166838,#166839,#166840,#166841, #166842,#166843,#166844,#166845,#166846,#166847,#166848,#166849,#166850, #166851,#166852,#166853,#166854,#166855,#166856,#166857,#166858,#166859, #166860,#166861,#166862,#166863,#166864,#166865,#166866,#166867,#166868, #166869,#166870,#166871,#166872,#166873,#166874,#166875,#166876,#166877, #166878,#166879,#166880,#166881,#166882,#166883,#166884,#166885,#166886, #166887,#166888,#166889,#166890,#166891,#166892,#166893,#166894,#166895, #166896,#166897,#166898,#166899,#166900,#166901,#166902,#166903,#166904, #166905,#166906,#166907,#166908,#166909,#166910,#166911,#166912,#166913, #166914,#166915,#166916,#166917,#166918,#166919,#166920,#166921,#166922, #166923,#166924,#166925,#166926,#166927,#166928,#166929,#166930,#166931, #166932,#166933,#166934,#166935,#166936,#166937,#166938,#166939,#166940, #166941,#166942,#166943,#166944,#166945,#166946,#166947,#166948,#166949, #166950,#166951,#166952,#166953,#166954,#166955,#166956,#166957,#166958, #166959,#166960,#166961,#166962,#166963,#166964,#166965,#166966,#166967, #166968,#166969,#166970,#166971,#166972,#166973,#166974,#166975,#166976, #166977,#166978)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((2,2),(3,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,3),(0.,1.0000105522237),(0.,0.00595238095238095,0.0119047619047619, 0.0178571428571429,0.0238095238095238,0.0297619047619048,0.0357142857142857, 0.0416666666666667,0.0476190476190476,0.0535714285714286,0.0595238095238095, 0.0654761904761905,0.0714285714285714,0.0773809523809524,0.0833333333333333, 0.0892857142857143,0.0952380952380952,0.101190476190476,0.107142857142857, 0.113095238095238,0.119047619047619,0.125,0.130952380952381,0.136904761904762, 0.142857142857143,0.148809523809524,0.154761904761905,0.160714285714286, 0.166666666666667,0.172619047619048,0.178571428571429,0.18452380952381, 0.19047619047619,0.196428571428571,0.202380952380952,0.208333333333333, 0.214285714285714,0.220238095238095,0.226190476190476,0.232142857142857, 0.238095238095238,0.244047619047619,0.25,0.255952380952381,0.261904761904762, 0.267857142857143,0.273809523809524,0.279761904761905,0.285714285714286, 0.291666666666667,0.297619047619048,0.303571428571429,0.30952380952381, 0.31547619047619,0.321428571428571,0.327380952380952,0.333333333333333, 0.339285714285714,0.345238095238095,0.351190476190476,0.357142857142857, 0.363095238095238,0.369047619047619,0.375,0.380952380952381,0.386904761904762, 0.392857142857143,0.398809523809524,0.404761904761905,0.410714285714286, 0.416666666666667,0.422619047619048,0.428571428571429,0.43452380952381, 0.44047619047619,0.446428571428571,0.452380952380952,0.458333333333333, 0.464285714285714,0.470238095238095,0.476190476190476,0.482142857142857, 0.488095238095238,0.494047619047619,0.5,0.505952380952381,0.511904761904762, 0.517857142857143,0.523809523809524,0.529761904761905,0.535714285714286, 0.541666666666667,0.547619047619048,0.553571428571429,0.55952380952381, 0.56547619047619,0.571428571428571,0.577380952380952,0.583333333333333, 0.589285714285714,0.595238095238095,0.601190476190476,0.607142857142857, 0.613095238095238,0.619047619047619,0.625,0.630952380952381,0.636904761904762, 0.642857142857143,0.648809523809524,0.654761904761905,0.660714285714286, 0.666666666666667,0.672619047619048,0.678571428571429,0.68452380952381, 0.69047619047619,0.696428571428571,0.702380952380952,0.708333333333333, 0.714285714285714,0.720238095238095,0.726190476190476,0.732142857142857, 0.738095238095238,0.744047619047619,0.75,0.755952380952381,0.761904761904762, 0.767857142857143,0.773809523809524,0.779761904761905,0.785714285714286, 0.791666666666667,0.797619047619048,0.803571428571429,0.80952380952381, 0.81547619047619,0.821428571428571,0.827380952380952,0.833333333333333, 0.839285714285714,0.845238095238095,0.851190476190476,0.857142857142857, 0.863095238095238,0.869047619047619,0.875,0.880952380952381,0.886904761904762, 0.892857142857143,0.898809523809524,0.904761904761905,0.910714285714286, 0.916666666666667,0.922619047619048,0.928571428571429,0.93452380952381, 0.94047619047619,0.946428571428571,0.952380952380952,0.958333333333333, 0.964285714285714,0.970238095238095,0.976190476190476,0.982142857142857, 0.988095238095238,0.994047619047619,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.923879532511287,1.,0.923879532511287,1., 0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.),(1.,0.923879532511287,1., 0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4694=( BOUNDED_SURFACE() B_SPLINE_SURFACE(1,2,((#167226,#167227,#167228,#167229,#167230,#167231, #167232,#167233,#167234,#167235,#167236,#167237,#167238,#167239,#167240, #167241,#167242,#167243,#167244,#167245,#167246,#167247,#167248,#167249, #167250,#167251,#167252,#167253,#167254,#167255,#167256,#167257,#167258, #167259,#167260,#167261,#167262,#167263,#167264,#167265,#167266,#167267, #167268,#167269,#167270,#167271,#167272,#167273,#167274,#167275,#167276, #167277,#167278,#167279,#167280,#167281,#167282,#167283,#167284,#167285, #167286,#167287,#167288,#167289,#167290,#167291,#167292,#167293,#167294, #167295,#167296,#167297,#167298,#167299,#167300,#167301,#167302,#167303, #167304,#167305,#167306,#167307,#167308,#167309,#167310,#167311,#167312, #167313,#167314,#167315,#167316,#167317,#167318,#167319,#167320,#167321, #167322,#167323,#167324,#167325,#167326,#167327,#167328,#167329,#167330, #167331,#167332,#167333,#167334,#167335,#167336,#167337,#167338,#167339, #167340,#167341,#167342,#167343,#167344,#167345,#167346,#167347,#167348, #167349,#167350,#167351,#167352,#167353,#167354,#167355,#167356,#167357, #167358,#167359,#167360,#167361,#167362,#167363,#167364,#167365,#167366, #167367,#167368,#167369,#167370,#167371,#167372,#167373,#167374,#167375, #167376,#167377,#167378,#167379,#167380,#167381,#167382,#167383,#167384, #167385,#167386,#167387,#167388,#167389,#167390,#167391,#167392,#167393, #167394,#167395,#167396,#167397,#167398,#167399,#167400,#167401,#167402, #167403,#167404,#167405,#167406,#167407,#167408,#167409,#167410,#167411, #167412,#167413,#167414,#167415,#167416,#167417,#167418,#167419,#167420, #167421,#167422,#167423,#167424,#167425,#167426,#167427,#167428,#167429, #167430,#167431,#167432,#167433,#167434,#167435,#167436,#167437,#167438, #167439,#167440,#167441,#167442,#167443,#167444,#167445,#167446,#167447, #167448,#167449,#167450,#167451,#167452,#167453,#167454,#167455,#167456, #167457,#167458,#167459,#167460,#167461,#167462,#167463,#167464,#167465, #167466,#167467,#167468,#167469,#167470,#167471,#167472,#167473,#167474, #167475,#167476,#167477,#167478,#167479,#167480,#167481,#167482,#167483, #167484,#167485,#167486,#167487,#167488,#167489,#167490,#167491,#167492, #167493,#167494,#167495,#167496,#167497,#167498,#167499,#167500,#167501, #167502,#167503,#167504,#167505,#167506,#167507,#167508,#167509,#167510, #167511,#167512,#167513,#167514,#167515,#167516,#167517,#167518,#167519, #167520,#167521,#167522,#167523,#167524,#167525,#167526,#167527,#167528, #167529,#167530,#167531,#167532,#167533,#167534,#167535,#167536,#167537, #167538,#167539,#167540,#167541,#167542,#167543,#167544,#167545,#167546, #167547,#167548,#167549,#167550,#167551,#167552,#167553,#167554,#167555, #167556,#167557,#167558,#167559,#167560,#167561,#167562),(#167563,#167564, #167565,#167566,#167567,#167568,#167569,#167570,#167571,#167572,#167573, #167574,#167575,#167576,#167577,#167578,#167579,#167580,#167581,#167582, #167583,#167584,#167585,#167586,#167587,#167588,#167589,#167590,#167591, #167592,#167593,#167594,#167595,#167596,#167597,#167598,#167599,#167600, #167601,#167602,#167603,#167604,#167605,#167606,#167607,#167608,#167609, #167610,#167611,#167612,#167613,#167614,#167615,#167616,#167617,#167618, #167619,#167620,#167621,#167622,#167623,#167624,#167625,#167626,#167627, #167628,#167629,#167630,#167631,#167632,#167633,#167634,#167635,#167636, #167637,#167638,#167639,#167640,#167641,#167642,#167643,#167644,#167645, #167646,#167647,#167648,#167649,#167650,#167651,#167652,#167653,#167654, #167655,#167656,#167657,#167658,#167659,#167660,#167661,#167662,#167663, #167664,#167665,#167666,#167667,#167668,#167669,#167670,#167671,#167672, #167673,#167674,#167675,#167676,#167677,#167678,#167679,#167680,#167681, #167682,#167683,#167684,#167685,#167686,#167687,#167688,#167689,#167690, #167691,#167692,#167693,#167694,#167695,#167696,#167697,#167698,#167699, #167700,#167701,#167702,#167703,#167704,#167705,#167706,#167707,#167708, #167709,#167710,#167711,#167712,#167713,#167714,#167715,#167716,#167717, #167718,#167719,#167720,#167721,#167722,#167723,#167724,#167725,#167726, #167727,#167728,#167729,#167730,#167731,#167732,#167733,#167734,#167735, #167736,#167737,#167738,#167739,#167740,#167741,#167742,#167743,#167744, #167745,#167746,#167747,#167748,#167749,#167750,#167751,#167752,#167753, #167754,#167755,#167756,#167757,#167758,#167759,#167760,#167761,#167762, #167763,#167764,#167765,#167766,#167767,#167768,#167769,#167770,#167771, #167772,#167773,#167774,#167775,#167776,#167777,#167778,#167779,#167780, #167781,#167782,#167783,#167784,#167785,#167786,#167787,#167788,#167789, #167790,#167791,#167792,#167793,#167794,#167795,#167796,#167797,#167798, #167799,#167800,#167801,#167802,#167803,#167804,#167805,#167806,#167807, #167808,#167809,#167810,#167811,#167812,#167813,#167814,#167815,#167816, #167817,#167818,#167819,#167820,#167821,#167822,#167823,#167824,#167825, #167826,#167827,#167828,#167829,#167830,#167831,#167832,#167833,#167834, #167835,#167836,#167837,#167838,#167839,#167840,#167841,#167842,#167843, #167844,#167845,#167846,#167847,#167848,#167849,#167850,#167851,#167852, #167853,#167854,#167855,#167856,#167857,#167858,#167859,#167860,#167861, #167862,#167863,#167864,#167865,#167866,#167867,#167868,#167869,#167870, #167871,#167872,#167873,#167874,#167875,#167876,#167877,#167878,#167879, #167880,#167881,#167882,#167883,#167884,#167885,#167886,#167887,#167888, #167889,#167890,#167891,#167892,#167893,#167894,#167895,#167896,#167897, #167898,#167899)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((2,2),(3,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,3),(-6.14793080608231E-6,1.),(0.,0.00595238095238095,0.0119047619047619, 0.0178571428571429,0.0238095238095238,0.0297619047619048,0.0357142857142857, 0.0416666666666667,0.0476190476190476,0.0535714285714286,0.0595238095238095, 0.0654761904761905,0.0714285714285714,0.0773809523809524,0.0833333333333333, 0.0892857142857143,0.0952380952380952,0.101190476190476,0.107142857142857, 0.113095238095238,0.119047619047619,0.125,0.130952380952381,0.136904761904762, 0.142857142857143,0.148809523809524,0.154761904761905,0.160714285714286, 0.166666666666667,0.172619047619048,0.178571428571429,0.18452380952381, 0.19047619047619,0.196428571428571,0.202380952380952,0.208333333333333, 0.214285714285714,0.220238095238095,0.226190476190476,0.232142857142857, 0.238095238095238,0.244047619047619,0.25,0.255952380952381,0.261904761904762, 0.267857142857143,0.273809523809524,0.279761904761905,0.285714285714286, 0.291666666666667,0.297619047619048,0.303571428571429,0.30952380952381, 0.31547619047619,0.321428571428571,0.327380952380952,0.333333333333333, 0.339285714285714,0.345238095238095,0.351190476190476,0.357142857142857, 0.363095238095238,0.369047619047619,0.375,0.380952380952381,0.386904761904762, 0.392857142857143,0.398809523809524,0.404761904761905,0.410714285714286, 0.416666666666667,0.422619047619048,0.428571428571429,0.43452380952381, 0.44047619047619,0.446428571428571,0.452380952380952,0.458333333333333, 0.464285714285714,0.470238095238095,0.476190476190476,0.482142857142857, 0.488095238095238,0.494047619047619,0.5,0.505952380952381,0.511904761904762, 0.517857142857143,0.523809523809524,0.529761904761905,0.535714285714286, 0.541666666666667,0.547619047619048,0.553571428571429,0.55952380952381, 0.56547619047619,0.571428571428571,0.577380952380952,0.583333333333333, 0.589285714285714,0.595238095238095,0.601190476190476,0.607142857142857, 0.613095238095238,0.619047619047619,0.625,0.630952380952381,0.636904761904762, 0.642857142857143,0.648809523809524,0.654761904761905,0.660714285714286, 0.666666666666667,0.672619047619048,0.678571428571429,0.68452380952381, 0.69047619047619,0.696428571428571,0.702380952380952,0.708333333333333, 0.714285714285714,0.720238095238095,0.726190476190476,0.732142857142857, 0.738095238095238,0.744047619047619,0.75,0.755952380952381,0.761904761904762, 0.767857142857143,0.773809523809524,0.779761904761905,0.785714285714286, 0.791666666666667,0.797619047619048,0.803571428571429,0.80952380952381, 0.81547619047619,0.821428571428571,0.827380952380952,0.833333333333333, 0.839285714285714,0.845238095238095,0.851190476190476,0.857142857142857, 0.863095238095238,0.869047619047619,0.875,0.880952380952381,0.886904761904762, 0.892857142857143,0.898809523809524,0.904761904761905,0.910714285714286, 0.916666666666667,0.922619047619048,0.928571428571429,0.93452380952381, 0.94047619047619,0.946428571428571,0.952380952380952,0.958333333333333, 0.964285714285714,0.970238095238095,0.976190476190476,0.982142857142857, 0.988095238095238,0.994047619047619,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.923879532511287,1.,0.923879532511287,1., 0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.),(1.,0.923879532511287,1., 0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287, 1.,0.923879532511287,1.,0.923879532511287,1.,0.923879532511287,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4695=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#172288,#172289,#172290),(#172291,#172292,#172293), (#172294,#172295,#172296)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.6797455315489,-1.59101880695869), (-1.25266372651128,-0.422312337512499),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.915045468117857,1.),(0.999016107425799, 0.91414516167672,0.999016107425799),(1.,0.915045468117857,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4696=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#174756,#174757,#174758),(#174759,#174760,#174761), (#174762,#174763,#174764)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.67974553154836,-1.59101942393607), (-2.71933757999456,-1.88892892707851),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.915033919022085,1.),(0.999016121107116, 0.914133636462886,0.999016121107116),(1.,0.915033919022085,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4697=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#174765,#174766,#174767,#174768,#174769),(#174770, #174771,#174772,#174773,#174774),(#174775,#174776,#174777,#174778,#174779)), .UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,3),(-1.67974553154895,-1.57842929132232), (-2.7997447579909,-1.57089779768528,-0.342050837379658),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.817105939183911,1.,0.817105939183911,1.), (0.998717151810503,0.816057716309202,0.998717151810503,0.816057716309202, 0.998717151810503),(1.,0.817105939183911,1.,0.817105939183911,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4698=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#174865,#174866,#174867,#174868,#174869,#174870, #174871,#174872,#174873),(#174874,#174875,#174876,#174877,#174878,#174879, #174880,#174881,#174882),(#174883,#174884,#174885,#174886,#174887,#174888, #174889,#174890,#174891),(#174892,#174893,#174894,#174895,#174896,#174897, #174898,#174899,#174900),(#174901,#174902,#174903,#174904,#174905,#174906, #174907,#174908,#174909),(#174910,#174911,#174912,#174913,#174914,#174915, #174916,#174917,#174918),(#174919,#174920,#174921,#174922,#174923,#174924, #174925,#174926,#174927),(#174928,#174929,#174930,#174931,#174932,#174933, #174934,#174935,#174936),(#174937,#174938,#174939,#174940,#174941,#174942, #174943,#174944,#174945)),.UNSPECIFIED.,.T.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,2,2,2,3),(3,2,2,2,3),(-7.85398163397448, -6.28318530717959,-4.71238898038469,-3.14159265358979,-1.5707963267949), (0.,1.5707963267949,3.14159265358979,4.71238898038469,6.28318530717959), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.707106781186545,0.499999999999998, 0.707106781186545,0.499999999999998,0.707106781186545,0.499999999999998, 0.707106781186545,0.499999999999998,0.707106781186545),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(0.707106781186549, 0.500000000000001,0.707106781186549,0.500000000000001,0.707106781186549, 0.500000000000001,0.707106781186549,0.500000000000001,0.707106781186549), (1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(0.707106781186545,0.499999999999998,0.707106781186545,0.499999999999998, 0.707106781186545,0.499999999999998,0.707106781186545,0.499999999999998, 0.707106781186545),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(0.707106781186549,0.500000000000001,0.707106781186549, 0.500000000000001,0.707106781186549,0.500000000000001,0.707106781186549, 0.500000000000001,0.707106781186549),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4699=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#176736,#176737,#176738,#176739,#176740,#176741, #176742,#176743,#176744),(#176745,#176746,#176747,#176748,#176749,#176750, #176751,#176752,#176753),(#176754,#176755,#176756,#176757,#176758,#176759, #176760,#176761,#176762)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(0.176351256619447,1.5707963267949), (-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.766628526892649,0.542088230016846, 0.766628526892649,0.542088230016846,0.766628526892649,0.542088230016846, 0.766628526892649,0.542088230016846,0.766628526892649),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4700=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#176773,#176774,#176775,#176776,#176777,#176778, #176779,#176780,#176781),(#176782,#176783,#176784,#176785,#176786,#176787, #176788,#176789,#176790),(#176791,#176792,#176793,#176794,#176795,#176796, #176797,#176798,#176799)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(0.176351256619447,1.5707963267949), (-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.766628526892649,0.542088230016846, 0.766628526892649,0.542088230016846,0.766628526892649,0.542088230016846, 0.766628526892649,0.542088230016846,0.766628526892649),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4701=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#176810,#176811,#176812,#176813,#176814,#176815, #176816,#176817,#176818),(#176819,#176820,#176821,#176822,#176823,#176824, #176825,#176826,#176827),(#176828,#176829,#176830,#176831,#176832,#176833, #176834,#176835,#176836)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(0.176351256619447,1.5707963267949), (-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.766628526892649,0.542088230016846, 0.766628526892649,0.542088230016846,0.766628526892649,0.542088230016846, 0.766628526892649,0.542088230016846,0.766628526892649),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4702=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#176852,#176853,#176854,#176855,#176856,#176857, #176858,#176859,#176860),(#176861,#176862,#176863,#176864,#176865,#176866, #176867,#176868,#176869),(#176870,#176871,#176872,#176873,#176874,#176875, #176876,#176877,#176878)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(0.176351256619477,1.57079632679489), (-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.766628526892662,0.542088230016855, 0.766628526892662,0.542088230016855,0.766628526892662,0.542088230016855, 0.766628526892662,0.542088230016855,0.766628526892662),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4703=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#176889,#176890,#176891,#176892,#176893,#176894, #176895,#176896,#176897),(#176898,#176899,#176900,#176901,#176902,#176903, #176904,#176905,#176906),(#176907,#176908,#176909,#176910,#176911,#176912, #176913,#176914,#176915)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(0.176351256619477,1.57079632679489), (-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.766628526892662,0.542088230016855, 0.766628526892662,0.542088230016855,0.766628526892662,0.542088230016855, 0.766628526892662,0.542088230016855,0.766628526892662),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4704=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#176926,#176927,#176928,#176929,#176930,#176931, #176932,#176933,#176934),(#176935,#176936,#176937,#176938,#176939,#176940, #176941,#176942,#176943),(#176944,#176945,#176946,#176947,#176948,#176949, #176950,#176951,#176952)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(0.176351256619477,1.57079632679489), (-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.766628526892662,0.542088230016855, 0.766628526892662,0.542088230016855,0.766628526892662,0.542088230016855, 0.766628526892662,0.542088230016855,0.766628526892662),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4705=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#176966,#176967,#176968,#176969,#176970,#176971, #176972,#176973,#176974),(#176975,#176976,#176977,#176978,#176979,#176980, #176981,#176982,#176983),(#176984,#176985,#176986,#176987,#176988,#176989, #176990,#176991,#176992)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(0.176351256619447,1.5707963267949), (-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.766628526892649,0.542088230016846, 0.766628526892649,0.542088230016846,0.766628526892649,0.542088230016846, 0.766628526892649,0.542088230016846,0.766628526892649),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4706=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177001,#177002,#177003,#177004,#177005,#177006, #177007,#177008,#177009),(#177010,#177011,#177012,#177013,#177014,#177015, #177016,#177017,#177018),(#177019,#177020,#177021,#177022,#177023,#177024, #177025,#177026,#177027)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(0.176351256619477,1.57079632679489), (-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(0.766628526892662,0.542088230016855, 0.766628526892662,0.542088230016855,0.766628526892662,0.542088230016855, 0.766628526892662,0.542088230016855,0.766628526892662),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4707=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177467,#177468,#177469),(#177470,#177471,#177472), (#177473,#177474,#177475)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,0.),(0.577870418529506, 1.5707963267949),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.879272818897051,1.),(0.707106781186547, 0.621739772755116,0.707106781186547),(1.,0.879272818897051,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4708=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177487,#177488,#177489),(#177490,#177491,#177492), (#177493,#177494,#177495)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,0.),(2.78721871503801E-15, 1.51325302882758),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.727155948438113,1.),(0.707106781186546, 0.514176902120725,0.707106781186546),(1.,0.727155948438113,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4709=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177507,#177508,#177509),(#177510,#177511,#177512), (#177513,#177514,#177515)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,0.),(-1.5707963267949, 2.75896984536634E-15),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186547,1.),(0.707106781186549, 0.5,0.707106781186549),(1.,0.707106781186547,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4710=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177527,#177528,#177529),(#177530,#177531,#177532), (#177533,#177534,#177535)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,0.),(-3.14159265358979, -1.5707963267949),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186547,1.),(0.707106781186543, 0.499999999999997,0.707106781186543),(1.,0.707106781186547,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4711=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177547,#177548,#177549),(#177550,#177551,#177552), (#177553,#177554,#177555)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,0.),(1.62833962476223, 3.14159265358979),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.727155948438118,1.),(0.707106781186543, 0.514176902120726,0.707106781186543),(1.,0.727155948438118,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4712=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177567,#177568,#177569),(#177570,#177571,#177572), (#177573,#177574,#177575)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,0.),(1.5707963267949, 2.56372223506029),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.879272818897051,1.),(0.707106781186546, 0.621739772755115,0.707106781186546),(1.,0.879272818897051,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4713=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177653,#177654,#177655),(#177656,#177657,#177658), (#177659,#177660,#177661)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,1.79826772043287E-16), (-1.51325302882757,1.70785461178807E-14),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.72715594843811,1.),(0.707106781186546, 0.514176902120722,0.707106781186546),(1.,0.72715594843811,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4714=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177673,#177674,#177675),(#177676,#177677,#177678), (#177679,#177680,#177681)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,2.72659435758739E-16), (-1.5707963267949,-0.577870418529503),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.879272818897051,1.),(0.707106781186543, 0.621739772755112,0.707106781186543),(1.,0.879272818897051,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4715=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177693,#177694,#177695),(#177696,#177697,#177698), (#177699,#177700,#177701)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,2.72659435758739E-16), (-2.56372223506029,-1.5707963267949),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.87927281889705,1.),(0.707106781186547, 0.621739772755115,0.707106781186547),(1.,0.87927281889705,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4716=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177713,#177714,#177715),(#177716,#177717,#177718), (#177719,#177720,#177721)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.57079632679491,1.79826772043286E-16), (-3.14159265358979,-1.62833962476223),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.727155948438118,1.),(0.707106781186542, 0.514176902120725,0.707106781186542),(1.,0.727155948438118,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4717=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177733,#177734,#177735),(#177736,#177737,#177738), (#177739,#177740,#177741)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,5.78328340834771E-18), (1.5707963267949,3.14159265358979),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186547,1.),(0.707106781186543, 0.499999999999997,0.707106781186543),(1.,0.707106781186547,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4718=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#177753,#177754,#177755),(#177756,#177757,#177758), (#177759,#177760,#177761)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,5.78328340834444E-18), (4.35769399879159E-15,1.57079632679491),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186546,1.),(0.707106781186549, 0.5,0.707106781186549),(1.,0.707106781186546,1.))) REPRESENTATION_ITEM('') SURFACE() ); #4719=LINE('',#120584,#11253); #4720=LINE('',#120594,#11254); #4721=LINE('',#120617,#11255); #4722=LINE('',#120643,#11256); #4723=LINE('',#120663,#11257); #4724=LINE('',#120673,#11258); #4725=LINE('',#120696,#11259); #4726=LINE('',#120907,#11260); #4727=LINE('',#120910,#11261); #4728=LINE('',#120913,#11262); #4729=LINE('',#120917,#11263); #4730=LINE('',#120921,#11264); #4731=LINE('',#120990,#11265); #4732=LINE('',#120993,#11266); #4733=LINE('',#120996,#11267); #4734=LINE('',#121000,#11268); #4735=LINE('',#121004,#11269); #4736=LINE('',#121014,#11270); #4737=LINE('',#121030,#11271); #4738=LINE('',#121037,#11272); #4739=LINE('',#121055,#11273); #4740=LINE('',#121059,#11274); #4741=LINE('',#121075,#11275); #4742=LINE('',#121079,#11276); #4743=LINE('',#121095,#11277); #4744=LINE('',#121099,#11278); #4745=LINE('',#121115,#11279); #4746=LINE('',#121119,#11280); #4747=LINE('',#121135,#11281); #4748=LINE('',#121139,#11282); #4749=LINE('',#121157,#11283); #4750=LINE('',#121164,#11284); #4751=LINE('',#121180,#11285); #4752=LINE('',#121198,#11286); #4753=LINE('',#121214,#11287); #4754=LINE('',#121216,#11288); #4755=LINE('',#121218,#11289); #4756=LINE('',#121236,#11290); #4757=LINE('',#121238,#11291); #4758=LINE('',#121240,#11292); #4759=LINE('',#121256,#11293); #4760=LINE('',#121276,#11294); #4761=LINE('',#121292,#11295); #4762=LINE('',#121312,#11296); #4763=LINE('',#121328,#11297); #4764=LINE('',#121330,#11298); #4765=LINE('',#121332,#11299); #4766=LINE('',#121350,#11300); #4767=LINE('',#121352,#11301); #4768=LINE('',#121354,#11302); #4769=LINE('',#121370,#11303); #4770=LINE('',#121458,#11304); #4771=LINE('',#121459,#11305); #4772=LINE('',#121461,#11306); #4773=LINE('',#121497,#11307); #4774=LINE('',#121500,#11308); #4775=LINE('',#121503,#11309); #4776=LINE('',#121507,#11310); #4777=LINE('',#121511,#11311); #4778=LINE('',#121554,#11312); #4779=LINE('',#121556,#11313); #4780=LINE('',#121558,#11314); #4781=LINE('',#122162,#11315); #4782=LINE('',#122163,#11316); #4783=LINE('',#122412,#11317); #4784=LINE('',#122413,#11318); #4785=LINE('',#122594,#11319); #4786=LINE('',#122595,#11320); #4787=LINE('',#122844,#11321); #4788=LINE('',#122845,#11322); #4789=LINE('',#123237,#11323); #4790=LINE('',#123238,#11324); #4791=LINE('',#123339,#11325); #4792=LINE('',#123340,#11326); #4793=LINE('',#123525,#11327); #4794=LINE('',#123526,#11328); #4795=LINE('',#123629,#11329); #4796=LINE('',#123630,#11330); #4797=LINE('',#123815,#11331); #4798=LINE('',#123816,#11332); #4799=LINE('',#123917,#11333); #4800=LINE('',#123918,#11334); #4801=LINE('',#124103,#11335); #4802=LINE('',#124104,#11336); #4803=LINE('',#124205,#11337); #4804=LINE('',#124206,#11338); #4805=LINE('',#124299,#11339); #4806=LINE('',#124302,#11340); #4807=LINE('',#124305,#11341); #4808=LINE('',#124312,#11342); #4809=LINE('',#124319,#11343); #4810=LINE('',#124327,#11344); #4811=LINE('',#124331,#11345); #4812=LINE('',#124339,#11346); #4813=LINE('',#124346,#11347); #4814=LINE('',#124353,#11348); #4815=LINE('',#124357,#11349); #4816=LINE('',#124364,#11350); #4817=LINE('',#124368,#11351); #4818=LINE('',#124375,#11352); #4819=LINE('',#124379,#11353); #4820=LINE('',#124386,#11354); #4821=LINE('',#124393,#11355); #4822=LINE('',#124401,#11356); #4823=LINE('',#124405,#11357); #4824=LINE('',#124413,#11358); #4825=LINE('',#124420,#11359); #4826=LINE('',#124427,#11360); #4827=LINE('',#124431,#11361); #4828=LINE('',#124438,#11362); #4829=LINE('',#124442,#11363); #4830=LINE('',#124451,#11364); #4831=LINE('',#125675,#11365); #4832=LINE('',#125676,#11366); #4833=LINE('',#125678,#11367); #4834=LINE('',#125680,#11368); #4835=LINE('',#125682,#11369); #4836=LINE('',#125684,#11370); #4837=LINE('',#125686,#11371); #4838=LINE('',#125688,#11372); #4839=LINE('',#125690,#11373); #4840=LINE('',#125692,#11374); #4841=LINE('',#125694,#11375); #4842=LINE('',#125696,#11376); #4843=LINE('',#125698,#11377); #4844=LINE('',#125700,#11378); #4845=LINE('',#125702,#11379); #4846=LINE('',#125704,#11380); #4847=LINE('',#125706,#11381); #4848=LINE('',#125708,#11382); #4849=LINE('',#125710,#11383); #4850=LINE('',#125712,#11384); #4851=LINE('',#125714,#11385); #4852=LINE('',#125718,#11386); #4853=LINE('',#125737,#11387); #4854=LINE('',#125741,#11388); #4855=LINE('',#125756,#11389); #4856=LINE('',#126188,#11390); #4857=LINE('',#126224,#11391); #4858=LINE('',#126273,#11392); #4859=LINE('',#126280,#11393); #4860=LINE('',#126283,#11394); #4861=LINE('',#126287,#11395); #4862=LINE('',#126295,#11396); #4863=LINE('',#126302,#11397); #4864=LINE('',#126310,#11398); #4865=LINE('',#126314,#11399); #4866=LINE('',#126322,#11400); #4867=LINE('',#126329,#11401); #4868=LINE('',#126337,#11402); #4869=LINE('',#126341,#11403); #4870=LINE('',#126376,#11404); #4871=LINE('',#126905,#11405); #4872=LINE('',#126907,#11406); #4873=LINE('',#126909,#11407); #4874=LINE('',#126911,#11408); #4875=LINE('',#126913,#11409); #4876=LINE('',#126915,#11410); #4877=LINE('',#126917,#11411); #4878=LINE('',#126919,#11412); #4879=LINE('',#126924,#11413); #4880=LINE('',#126926,#11414); #4881=LINE('',#126928,#11415); #4882=LINE('',#126929,#11416); #4883=LINE('',#126932,#11417); #4884=LINE('',#126934,#11418); #4885=LINE('',#126935,#11419); #4886=LINE('',#126938,#11420); #4887=LINE('',#126940,#11421); #4888=LINE('',#126941,#11422); #4889=LINE('',#126944,#11423); #4890=LINE('',#126946,#11424); #4891=LINE('',#126947,#11425); #4892=LINE('',#126950,#11426); #4893=LINE('',#126952,#11427); #4894=LINE('',#126953,#11428); #4895=LINE('',#126956,#11429); #4896=LINE('',#126958,#11430); #4897=LINE('',#126959,#11431); #4898=LINE('',#126962,#11432); #4899=LINE('',#126964,#11433); #4900=LINE('',#126965,#11434); #4901=LINE('',#126968,#11435); #4902=LINE('',#126970,#11436); #4903=LINE('',#126971,#11437); #4904=LINE('',#126974,#11438); #4905=LINE('',#126976,#11439); #4906=LINE('',#126977,#11440); #4907=LINE('',#126979,#11441); #4908=LINE('',#126980,#11442); #4909=LINE('',#126985,#11443); #4910=LINE('',#126987,#11444); #4911=LINE('',#126989,#11445); #4912=LINE('',#126990,#11446); #4913=LINE('',#126993,#11447); #4914=LINE('',#126995,#11448); #4915=LINE('',#126996,#11449); #4916=LINE('',#126999,#11450); #4917=LINE('',#127001,#11451); #4918=LINE('',#127002,#11452); #4919=LINE('',#127004,#11453); #4920=LINE('',#127005,#11454); #4921=LINE('',#127010,#11455); #4922=LINE('',#127012,#11456); #4923=LINE('',#127014,#11457); #4924=LINE('',#127015,#11458); #4925=LINE('',#127018,#11459); #4926=LINE('',#127020,#11460); #4927=LINE('',#127021,#11461); #4928=LINE('',#127024,#11462); #4929=LINE('',#127026,#11463); #4930=LINE('',#127027,#11464); #4931=LINE('',#127029,#11465); #4932=LINE('',#127030,#11466); #4933=LINE('',#127047,#11467); #4934=LINE('',#127053,#11468); #4935=LINE('',#127072,#11469); #4936=LINE('',#127091,#11470); #4937=LINE('',#127110,#11471); #4938=LINE('',#127129,#11472); #4939=LINE('',#127161,#11473); #4940=LINE('',#127167,#11474); #4941=LINE('',#127182,#11475); #4942=LINE('',#127201,#11476); #4943=LINE('',#127220,#11477); #4944=LINE('',#127239,#11478); #4945=LINE('',#127258,#11479); #4946=LINE('',#127277,#11480); #4947=LINE('',#127302,#11481); #4948=LINE('',#127304,#11482); #4949=LINE('',#127306,#11483); #4950=LINE('',#127307,#11484); #4951=LINE('',#127310,#11485); #4952=LINE('',#127312,#11486); #4953=LINE('',#127313,#11487); #4954=LINE('',#127316,#11488); #4955=LINE('',#127318,#11489); #4956=LINE('',#127319,#11490); #4957=LINE('',#127321,#11491); #4958=LINE('',#127322,#11492); #4959=LINE('',#127327,#11493); #4960=LINE('',#127329,#11494); #4961=LINE('',#127331,#11495); #4962=LINE('',#127332,#11496); #4963=LINE('',#127335,#11497); #4964=LINE('',#127337,#11498); #4965=LINE('',#127338,#11499); #4966=LINE('',#127341,#11500); #4967=LINE('',#127343,#11501); #4968=LINE('',#127344,#11502); #4969=LINE('',#127347,#11503); #4970=LINE('',#127349,#11504); #4971=LINE('',#127350,#11505); #4972=LINE('',#127353,#11506); #4973=LINE('',#127355,#11507); #4974=LINE('',#127356,#11508); #4975=LINE('',#127359,#11509); #4976=LINE('',#127361,#11510); #4977=LINE('',#127362,#11511); #4978=LINE('',#127365,#11512); #4979=LINE('',#127367,#11513); #4980=LINE('',#127368,#11514); #4981=LINE('',#127371,#11515); #4982=LINE('',#127373,#11516); #4983=LINE('',#127374,#11517); #4984=LINE('',#127377,#11518); #4985=LINE('',#127379,#11519); #4986=LINE('',#127380,#11520); #4987=LINE('',#127382,#11521); #4988=LINE('',#127383,#11522); #4989=LINE('',#127388,#11523); #4990=LINE('',#127390,#11524); #4991=LINE('',#127392,#11525); #4992=LINE('',#127393,#11526); #4993=LINE('',#127396,#11527); #4994=LINE('',#127398,#11528); #4995=LINE('',#127399,#11529); #4996=LINE('',#127402,#11530); #4997=LINE('',#127404,#11531); #4998=LINE('',#127405,#11532); #4999=LINE('',#127408,#11533); #5000=LINE('',#127410,#11534); #5001=LINE('',#127411,#11535); #5002=LINE('',#127414,#11536); #5003=LINE('',#127416,#11537); #5004=LINE('',#127417,#11538); #5005=LINE('',#127420,#11539); #5006=LINE('',#127422,#11540); #5007=LINE('',#127423,#11541); #5008=LINE('',#127426,#11542); #5009=LINE('',#127428,#11543); #5010=LINE('',#127429,#11544); #5011=LINE('',#127432,#11545); #5012=LINE('',#127434,#11546); #5013=LINE('',#127435,#11547); #5014=LINE('',#127438,#11548); #5015=LINE('',#127440,#11549); #5016=LINE('',#127441,#11550); #5017=LINE('',#127444,#11551); #5018=LINE('',#127446,#11552); #5019=LINE('',#127447,#11553); #5020=LINE('',#127450,#11554); #5021=LINE('',#127452,#11555); #5022=LINE('',#127453,#11556); #5023=LINE('',#127455,#11557); #5024=LINE('',#127456,#11558); #5025=LINE('',#127473,#11559); #5026=LINE('',#127479,#11560); #5027=LINE('',#127482,#11561); #5028=LINE('',#127484,#11562); #5029=LINE('',#127485,#11563); #5030=LINE('',#127488,#11564); #5031=LINE('',#127490,#11565); #5032=LINE('',#127491,#11566); #5033=LINE('',#127494,#11567); #5034=LINE('',#127496,#11568); #5035=LINE('',#127497,#11569); #5036=LINE('',#127516,#11570); #5037=LINE('',#127535,#11571); #5038=LINE('',#127555,#11572); #5039=LINE('',#127557,#11573); #5040=LINE('',#127559,#11574); #5041=LINE('',#127560,#11575); #5042=LINE('',#127575,#11576); #5043=LINE('',#127594,#11577); #5044=LINE('',#127613,#11578); #5045=LINE('',#127620,#11579); #5046=LINE('',#127622,#11580); #5047=LINE('',#127623,#11581); #5048=LINE('',#127626,#11582); #5049=LINE('',#127628,#11583); #5050=LINE('',#127629,#11584); #5051=LINE('',#127632,#11585); #5052=LINE('',#127634,#11586); #5053=LINE('',#127635,#11587); #5054=LINE('',#127638,#11588); #5055=LINE('',#127640,#11589); #5056=LINE('',#127641,#11590); #5057=LINE('',#127644,#11591); #5058=LINE('',#127646,#11592); #5059=LINE('',#127647,#11593); #5060=LINE('',#127650,#11594); #5061=LINE('',#127652,#11595); #5062=LINE('',#127653,#11596); #5063=LINE('',#127655,#11597); #5064=LINE('',#127656,#11598); #5065=LINE('',#127673,#11599); #5066=LINE('',#127679,#11600); #5067=LINE('',#127682,#11601); #5068=LINE('',#127684,#11602); #5069=LINE('',#127685,#11603); #5070=LINE('',#127688,#11604); #5071=LINE('',#127690,#11605); #5072=LINE('',#127691,#11606); #5073=LINE('',#127694,#11607); #5074=LINE('',#127696,#11608); #5075=LINE('',#127697,#11609); #5076=LINE('',#127716,#11610); #5077=LINE('',#127735,#11611); #5078=LINE('',#127767,#11612); #5079=LINE('',#127773,#11613); #5080=LINE('',#127776,#11614); #5081=LINE('',#127778,#11615); #5082=LINE('',#127779,#11616); #5083=LINE('',#127782,#11617); #5084=LINE('',#127784,#11618); #5085=LINE('',#127785,#11619); #5086=LINE('',#127788,#11620); #5087=LINE('',#127790,#11621); #5088=LINE('',#127791,#11622); #5089=LINE('',#127810,#11623); #5090=LINE('',#127829,#11624); #5091=LINE('',#127861,#11625); #5092=LINE('',#127867,#11626); #5093=LINE('',#127870,#11627); #5094=LINE('',#127872,#11628); #5095=LINE('',#127873,#11629); #5096=LINE('',#127888,#11630); #5097=LINE('',#127907,#11631); #5098=LINE('',#127926,#11632); #5099=LINE('',#127933,#11633); #5100=LINE('',#127935,#11634); #5101=LINE('',#127936,#11635); #5102=LINE('',#127939,#11636); #5103=LINE('',#127941,#11637); #5104=LINE('',#127942,#11638); #5105=LINE('',#127945,#11639); #5106=LINE('',#127947,#11640); #5107=LINE('',#127948,#11641); #5108=LINE('',#127963,#11642); #5109=LINE('',#127988,#11643); #5110=LINE('',#127990,#11644); #5111=LINE('',#127992,#11645); #5112=LINE('',#127993,#11646); #5113=LINE('',#128011,#11647); #5114=LINE('',#128019,#11648); #5115=LINE('',#128021,#11649); #5116=LINE('',#128022,#11650); #5117=LINE('',#128034,#11651); #5118=LINE('',#128052,#11652); #5119=LINE('',#128059,#11653); #5120=LINE('',#128061,#11654); #5121=LINE('',#128062,#11655); #5122=LINE('',#128065,#11656); #5123=LINE('',#128067,#11657); #5124=LINE('',#128068,#11658); #5125=LINE('',#128070,#11659); #5126=LINE('',#128071,#11660); #5127=LINE('',#128088,#11661); #5128=LINE('',#128094,#11662); #5129=LINE('',#128106,#11663); #5130=LINE('',#128121,#11664); #5131=LINE('',#128127,#11665); #5132=LINE('',#128129,#11666); #5133=LINE('',#128130,#11667); #5134=LINE('',#128142,#11668); #5135=LINE('',#128157,#11669); #5136=LINE('',#128172,#11670); #5137=LINE('',#128187,#11671); #5138=LINE('',#128192,#11672); #5139=LINE('',#128193,#11673); #5140=LINE('',#128198,#11674); #5141=LINE('',#128200,#11675); #5142=LINE('',#128202,#11676); #5143=LINE('',#128203,#11677); #5144=LINE('',#128218,#11678); #5145=LINE('',#128240,#11679); #5146=LINE('',#128260,#11680); #5147=LINE('',#128279,#11681); #5148=LINE('',#128286,#11682); #5149=LINE('',#128288,#11683); #5150=LINE('',#128289,#11684); #5151=LINE('',#128304,#11685); #5152=LINE('',#128323,#11686); #5153=LINE('',#128342,#11687); #5154=LINE('',#128361,#11688); #5155=LINE('',#128377,#11689); #5156=LINE('',#128383,#11690); #5157=LINE('',#128385,#11691); #5158=LINE('',#128386,#11692); #5159=LINE('',#128388,#11693); #5160=LINE('',#128389,#11694); #5161=LINE('',#128406,#11695); #5162=LINE('',#128412,#11696); #5163=LINE('',#128431,#11697); #5164=LINE('',#128450,#11698); #5165=LINE('',#128465,#11699); #5166=LINE('',#128468,#11700); #5167=LINE('',#128470,#11701); #5168=LINE('',#128471,#11702); #5169=LINE('',#128474,#11703); #5170=LINE('',#128476,#11704); #5171=LINE('',#128477,#11705); #5172=LINE('',#128479,#11706); #5173=LINE('',#128480,#11707); #5174=LINE('',#128484,#11708); #5175=LINE('',#128486,#11709); #5176=LINE('',#128488,#11710); #5177=LINE('',#128489,#11711); #5178=LINE('',#128513,#11712); #5179=LINE('',#128535,#11713); #5180=LINE('',#128551,#11714); #5181=LINE('',#128566,#11715); #5182=LINE('',#128572,#11716); #5183=LINE('',#128574,#11717); #5184=LINE('',#128575,#11718); #5185=LINE('',#128577,#11719); #5186=LINE('',#128578,#11720); #5187=LINE('',#128583,#11721); #5188=LINE('',#128585,#11722); #5189=LINE('',#128587,#11723); #5190=LINE('',#128588,#11724); #5191=LINE('',#128591,#11725); #5192=LINE('',#128593,#11726); #5193=LINE('',#128594,#11727); #5194=LINE('',#128597,#11728); #5195=LINE('',#128599,#11729); #5196=LINE('',#128600,#11730); #5197=LINE('',#128603,#11731); #5198=LINE('',#128605,#11732); #5199=LINE('',#128606,#11733); #5200=LINE('',#128609,#11734); #5201=LINE('',#128611,#11735); #5202=LINE('',#128612,#11736); #5203=LINE('',#128615,#11737); #5204=LINE('',#128617,#11738); #5205=LINE('',#128618,#11739); #5206=LINE('',#128621,#11740); #5207=LINE('',#128623,#11741); #5208=LINE('',#128624,#11742); #5209=LINE('',#128627,#11743); #5210=LINE('',#128629,#11744); #5211=LINE('',#128630,#11745); #5212=LINE('',#128633,#11746); #5213=LINE('',#128635,#11747); #5214=LINE('',#128636,#11748); #5215=LINE('',#128639,#11749); #5216=LINE('',#128641,#11750); #5217=LINE('',#128642,#11751); #5218=LINE('',#128645,#11752); #5219=LINE('',#128647,#11753); #5220=LINE('',#128648,#11754); #5221=LINE('',#128650,#11755); #5222=LINE('',#128651,#11756); #5223=LINE('',#128656,#11757); #5224=LINE('',#128658,#11758); #5225=LINE('',#128660,#11759); #5226=LINE('',#128661,#11760); #5227=LINE('',#128664,#11761); #5228=LINE('',#128666,#11762); #5229=LINE('',#128667,#11763); #5230=LINE('',#128682,#11764); #5231=LINE('',#128689,#11765); #5232=LINE('',#128691,#11766); #5233=LINE('',#128692,#11767); #5234=LINE('',#128707,#11768); #5235=LINE('',#128714,#11769); #5236=LINE('',#128716,#11770); #5237=LINE('',#128717,#11771); #5238=LINE('',#128719,#11772); #5239=LINE('',#128720,#11773); #5240=LINE('',#128725,#11774); #5241=LINE('',#128727,#11775); #5242=LINE('',#128729,#11776); #5243=LINE('',#128730,#11777); #5244=LINE('',#128733,#11778); #5245=LINE('',#128735,#11779); #5246=LINE('',#128736,#11780); #5247=LINE('',#128751,#11781); #5248=LINE('',#128773,#11782); #5249=LINE('',#128790,#11783); #5250=LINE('',#128811,#11784); #5251=LINE('',#128834,#11785); #5252=LINE('',#128842,#11786); #5253=LINE('',#128844,#11787); #5254=LINE('',#128845,#11788); #5255=LINE('',#128863,#11789); #5256=LINE('',#128886,#11790); #5257=LINE('',#128909,#11791); #5258=LINE('',#128929,#11792); #5259=LINE('',#128936,#11793); #5260=LINE('',#128938,#11794); #5261=LINE('',#128939,#11795); #5262=LINE('',#128942,#11796); #5263=LINE('',#128944,#11797); #5264=LINE('',#128945,#11798); #5265=LINE('',#128947,#11799); #5266=LINE('',#128948,#11800); #5267=LINE('',#128953,#11801); #5268=LINE('',#128955,#11802); #5269=LINE('',#128957,#11803); #5270=LINE('',#128958,#11804); #5271=LINE('',#128961,#11805); #5272=LINE('',#128963,#11806); #5273=LINE('',#128964,#11807); #5274=LINE('',#128967,#11808); #5275=LINE('',#128969,#11809); #5276=LINE('',#128970,#11810); #5277=LINE('',#128972,#11811); #5278=LINE('',#128973,#11812); #5279=LINE('',#128990,#11813); #5280=LINE('',#128996,#11814); #5281=LINE('',#129015,#11815); #5282=LINE('',#129034,#11816); #5283=LINE('',#129070,#11817); #5284=LINE('',#129076,#11818); #5285=LINE('',#129088,#11819); #5286=LINE('',#129103,#11820); #5287=LINE('',#129121,#11821); #5288=LINE('',#129143,#11822); #5289=LINE('',#129163,#11823); #5290=LINE('',#129182,#11824); #5291=LINE('',#129201,#11825); #5292=LINE('',#129217,#11826); #5293=LINE('',#129232,#11827); #5294=LINE('',#129247,#11828); #5295=LINE('',#129252,#11829); #5296=LINE('',#129253,#11830); #5297=LINE('',#129258,#11831); #5298=LINE('',#129260,#11832); #5299=LINE('',#129262,#11833); #5300=LINE('',#129263,#11834); #5301=LINE('',#129278,#11835); #5302=LINE('',#129293,#11836); #5303=LINE('',#129296,#11837); #5304=LINE('',#129298,#11838); #5305=LINE('',#129299,#11839); #5306=LINE('',#129301,#11840); #5307=LINE('',#129302,#11841); #5308=LINE('',#129306,#11842); #5309=LINE('',#129308,#11843); #5310=LINE('',#129310,#11844); #5311=LINE('',#129311,#11845); #5312=LINE('',#129314,#11846); #5313=LINE('',#129316,#11847); #5314=LINE('',#129317,#11848); #5315=LINE('',#129320,#11849); #5316=LINE('',#129322,#11850); #5317=LINE('',#129323,#11851); #5318=LINE('',#129326,#11852); #5319=LINE('',#129328,#11853); #5320=LINE('',#129329,#11854); #5321=LINE('',#129332,#11855); #5322=LINE('',#129334,#11856); #5323=LINE('',#129335,#11857); #5324=LINE('',#129338,#11858); #5325=LINE('',#129340,#11859); #5326=LINE('',#129341,#11860); #5327=LINE('',#129344,#11861); #5328=LINE('',#129346,#11862); #5329=LINE('',#129347,#11863); #5330=LINE('',#129349,#11864); #5331=LINE('',#129350,#11865); #5332=LINE('',#129367,#11866); #5333=LINE('',#129373,#11867); #5334=LINE('',#129392,#11868); #5335=LINE('',#129407,#11869); #5336=LINE('',#129410,#11870); #5337=LINE('',#129412,#11871); #5338=LINE('',#129413,#11872); #5339=LINE('',#129416,#11873); #5340=LINE('',#129418,#11874); #5341=LINE('',#129419,#11875); #5342=LINE('',#129421,#11876); #5343=LINE('',#129422,#11877); #5344=LINE('',#129426,#11878); #5345=LINE('',#129428,#11879); #5346=LINE('',#129430,#11880); #5347=LINE('',#129431,#11881); #5348=LINE('',#129434,#11882); #5349=LINE('',#129436,#11883); #5350=LINE('',#129437,#11884); #5351=LINE('',#129440,#11885); #5352=LINE('',#129442,#11886); #5353=LINE('',#129443,#11887); #5354=LINE('',#129458,#11888); #5355=LINE('',#129480,#11889); #5356=LINE('',#129497,#11890); #5357=LINE('',#129503,#11891); #5358=LINE('',#129505,#11892); #5359=LINE('',#129506,#11893); #5360=LINE('',#129508,#11894); #5361=LINE('',#129509,#11895); #5362=LINE('',#129514,#11896); #5363=LINE('',#129516,#11897); #5364=LINE('',#129518,#11898); #5365=LINE('',#129519,#11899); #5366=LINE('',#129522,#11900); #5367=LINE('',#129524,#11901); #5368=LINE('',#129525,#11902); #5369=LINE('',#129528,#11903); #5370=LINE('',#129530,#11904); #5371=LINE('',#129531,#11905); #5372=LINE('',#129534,#11906); #5373=LINE('',#129536,#11907); #5374=LINE('',#129537,#11908); #5375=LINE('',#129540,#11909); #5376=LINE('',#129542,#11910); #5377=LINE('',#129543,#11911); #5378=LINE('',#129546,#11912); #5379=LINE('',#129548,#11913); #5380=LINE('',#129549,#11914); #5381=LINE('',#129551,#11915); #5382=LINE('',#129552,#11916); #5383=LINE('',#129557,#11917); #5384=LINE('',#129559,#11918); #5385=LINE('',#129561,#11919); #5386=LINE('',#129562,#11920); #5387=LINE('',#129565,#11921); #5388=LINE('',#129567,#11922); #5389=LINE('',#129568,#11923); #5390=LINE('',#129571,#11924); #5391=LINE('',#129573,#11925); #5392=LINE('',#129574,#11926); #5393=LINE('',#129577,#11927); #5394=LINE('',#129579,#11928); #5395=LINE('',#129580,#11929); #5396=LINE('',#129583,#11930); #5397=LINE('',#129585,#11931); #5398=LINE('',#129586,#11932); #5399=LINE('',#129589,#11933); #5400=LINE('',#129591,#11934); #5401=LINE('',#129592,#11935); #5402=LINE('',#129594,#11936); #5403=LINE('',#129595,#11937); #5404=LINE('',#129600,#11938); #5405=LINE('',#129602,#11939); #5406=LINE('',#129604,#11940); #5407=LINE('',#129605,#11941); #5408=LINE('',#129608,#11942); #5409=LINE('',#129610,#11943); #5410=LINE('',#129611,#11944); #5411=LINE('',#129614,#11945); #5412=LINE('',#129616,#11946); #5413=LINE('',#129617,#11947); #5414=LINE('',#129620,#11948); #5415=LINE('',#129622,#11949); #5416=LINE('',#129623,#11950); #5417=LINE('',#129626,#11951); #5418=LINE('',#129628,#11952); #5419=LINE('',#129629,#11953); #5420=LINE('',#129632,#11954); #5421=LINE('',#129634,#11955); #5422=LINE('',#129635,#11956); #5423=LINE('',#129637,#11957); #5424=LINE('',#129638,#11958); #5425=LINE('',#129643,#11959); #5426=LINE('',#129645,#11960); #5427=LINE('',#129647,#11961); #5428=LINE('',#129648,#11962); #5429=LINE('',#129651,#11963); #5430=LINE('',#129653,#11964); #5431=LINE('',#129654,#11965); #5432=LINE('',#129657,#11966); #5433=LINE('',#129659,#11967); #5434=LINE('',#129660,#11968); #5435=LINE('',#129663,#11969); #5436=LINE('',#129665,#11970); #5437=LINE('',#129666,#11971); #5438=LINE('',#129669,#11972); #5439=LINE('',#129671,#11973); #5440=LINE('',#129672,#11974); #5441=LINE('',#129675,#11975); #5442=LINE('',#129677,#11976); #5443=LINE('',#129678,#11977); #5444=LINE('',#129681,#11978); #5445=LINE('',#129683,#11979); #5446=LINE('',#129684,#11980); #5447=LINE('',#129687,#11981); #5448=LINE('',#129689,#11982); #5449=LINE('',#129690,#11983); #5450=LINE('',#129693,#11984); #5451=LINE('',#129695,#11985); #5452=LINE('',#129696,#11986); #5453=LINE('',#129699,#11987); #5454=LINE('',#129701,#11988); #5455=LINE('',#129702,#11989); #5456=LINE('',#129705,#11990); #5457=LINE('',#129707,#11991); #5458=LINE('',#129708,#11992); #5459=LINE('',#129711,#11993); #5460=LINE('',#129713,#11994); #5461=LINE('',#129714,#11995); #5462=LINE('',#129717,#11996); #5463=LINE('',#129719,#11997); #5464=LINE('',#129720,#11998); #5465=LINE('',#129723,#11999); #5466=LINE('',#129725,#12000); #5467=LINE('',#129726,#12001); #5468=LINE('',#129729,#12002); #5469=LINE('',#129731,#12003); #5470=LINE('',#129732,#12004); #5471=LINE('',#129735,#12005); #5472=LINE('',#129737,#12006); #5473=LINE('',#129738,#12007); #5474=LINE('',#129741,#12008); #5475=LINE('',#129743,#12009); #5476=LINE('',#129744,#12010); #5477=LINE('',#129746,#12011); #5478=LINE('',#129747,#12012); #5479=LINE('',#129751,#12013); #5480=LINE('',#129753,#12014); #5481=LINE('',#129755,#12015); #5482=LINE('',#129756,#12016); #5483=LINE('',#129759,#12017); #5484=LINE('',#129761,#12018); #5485=LINE('',#129762,#12019); #5486=LINE('',#129765,#12020); #5487=LINE('',#129767,#12021); #5488=LINE('',#129768,#12022); #5489=LINE('',#129771,#12023); #5490=LINE('',#129773,#12024); #5491=LINE('',#129774,#12025); #5492=LINE('',#129777,#12026); #5493=LINE('',#129779,#12027); #5494=LINE('',#129780,#12028); #5495=LINE('',#129783,#12029); #5496=LINE('',#129785,#12030); #5497=LINE('',#129786,#12031); #5498=LINE('',#129789,#12032); #5499=LINE('',#129791,#12033); #5500=LINE('',#129792,#12034); #5501=LINE('',#129795,#12035); #5502=LINE('',#129797,#12036); #5503=LINE('',#129798,#12037); #5504=LINE('',#129801,#12038); #5505=LINE('',#129803,#12039); #5506=LINE('',#129804,#12040); #5507=LINE('',#129807,#12041); #5508=LINE('',#129809,#12042); #5509=LINE('',#129810,#12043); #5510=LINE('',#129813,#12044); #5511=LINE('',#129815,#12045); #5512=LINE('',#129816,#12046); #5513=LINE('',#129819,#12047); #5514=LINE('',#129821,#12048); #5515=LINE('',#129822,#12049); #5516=LINE('',#129825,#12050); #5517=LINE('',#129827,#12051); #5518=LINE('',#129828,#12052); #5519=LINE('',#129831,#12053); #5520=LINE('',#129833,#12054); #5521=LINE('',#129834,#12055); #5522=LINE('',#129837,#12056); #5523=LINE('',#129839,#12057); #5524=LINE('',#129840,#12058); #5525=LINE('',#129843,#12059); #5526=LINE('',#129845,#12060); #5527=LINE('',#129846,#12061); #5528=LINE('',#129849,#12062); #5529=LINE('',#129851,#12063); #5530=LINE('',#129852,#12064); #5531=LINE('',#129855,#12065); #5532=LINE('',#129857,#12066); #5533=LINE('',#129858,#12067); #5534=LINE('',#129861,#12068); #5535=LINE('',#129863,#12069); #5536=LINE('',#129864,#12070); #5537=LINE('',#129867,#12071); #5538=LINE('',#129869,#12072); #5539=LINE('',#129870,#12073); #5540=LINE('',#129873,#12074); #5541=LINE('',#129875,#12075); #5542=LINE('',#129876,#12076); #5543=LINE('',#129879,#12077); #5544=LINE('',#129881,#12078); #5545=LINE('',#129882,#12079); #5546=LINE('',#129885,#12080); #5547=LINE('',#129887,#12081); #5548=LINE('',#129888,#12082); #5549=LINE('',#129891,#12083); #5550=LINE('',#129893,#12084); #5551=LINE('',#129894,#12085); #5552=LINE('',#129897,#12086); #5553=LINE('',#129899,#12087); #5554=LINE('',#129900,#12088); #5555=LINE('',#129902,#12089); #5556=LINE('',#129903,#12090); #5557=LINE('',#129908,#12091); #5558=LINE('',#129910,#12092); #5559=LINE('',#129912,#12093); #5560=LINE('',#129913,#12094); #5561=LINE('',#129916,#12095); #5562=LINE('',#129918,#12096); #5563=LINE('',#129919,#12097); #5564=LINE('',#129922,#12098); #5565=LINE('',#129924,#12099); #5566=LINE('',#129925,#12100); #5567=LINE('',#129928,#12101); #5568=LINE('',#129930,#12102); #5569=LINE('',#129931,#12103); #5570=LINE('',#129934,#12104); #5571=LINE('',#129936,#12105); #5572=LINE('',#129937,#12106); #5573=LINE('',#129940,#12107); #5574=LINE('',#129942,#12108); #5575=LINE('',#129943,#12109); #5576=LINE('',#129945,#12110); #5577=LINE('',#129946,#12111); #5578=LINE('',#129951,#12112); #5579=LINE('',#129953,#12113); #5580=LINE('',#129955,#12114); #5581=LINE('',#129956,#12115); #5582=LINE('',#129959,#12116); #5583=LINE('',#129961,#12117); #5584=LINE('',#129962,#12118); #5585=LINE('',#129965,#12119); #5586=LINE('',#129967,#12120); #5587=LINE('',#129968,#12121); #5588=LINE('',#129971,#12122); #5589=LINE('',#129973,#12123); #5590=LINE('',#129974,#12124); #5591=LINE('',#129977,#12125); #5592=LINE('',#129979,#12126); #5593=LINE('',#129980,#12127); #5594=LINE('',#129983,#12128); #5595=LINE('',#129985,#12129); #5596=LINE('',#129986,#12130); #5597=LINE('',#129989,#12131); #5598=LINE('',#129991,#12132); #5599=LINE('',#129992,#12133); #5600=LINE('',#129995,#12134); #5601=LINE('',#129997,#12135); #5602=LINE('',#129998,#12136); #5603=LINE('',#130001,#12137); #5604=LINE('',#130003,#12138); #5605=LINE('',#130004,#12139); #5606=LINE('',#130007,#12140); #5607=LINE('',#130009,#12141); #5608=LINE('',#130010,#12142); #5609=LINE('',#130013,#12143); #5610=LINE('',#130015,#12144); #5611=LINE('',#130016,#12145); #5612=LINE('',#130019,#12146); #5613=LINE('',#130021,#12147); #5614=LINE('',#130022,#12148); #5615=LINE('',#130025,#12149); #5616=LINE('',#130027,#12150); #5617=LINE('',#130028,#12151); #5618=LINE('',#130031,#12152); #5619=LINE('',#130033,#12153); #5620=LINE('',#130034,#12154); #5621=LINE('',#130037,#12155); #5622=LINE('',#130039,#12156); #5623=LINE('',#130040,#12157); #5624=LINE('',#130043,#12158); #5625=LINE('',#130045,#12159); #5626=LINE('',#130046,#12160); #5627=LINE('',#130049,#12161); #5628=LINE('',#130051,#12162); #5629=LINE('',#130052,#12163); #5630=LINE('',#130055,#12164); #5631=LINE('',#130057,#12165); #5632=LINE('',#130058,#12166); #5633=LINE('',#130061,#12167); #5634=LINE('',#130063,#12168); #5635=LINE('',#130064,#12169); #5636=LINE('',#130067,#12170); #5637=LINE('',#130069,#12171); #5638=LINE('',#130070,#12172); #5639=LINE('',#130073,#12173); #5640=LINE('',#130075,#12174); #5641=LINE('',#130076,#12175); #5642=LINE('',#130079,#12176); #5643=LINE('',#130081,#12177); #5644=LINE('',#130082,#12178); #5645=LINE('',#130085,#12179); #5646=LINE('',#130087,#12180); #5647=LINE('',#130088,#12181); #5648=LINE('',#130091,#12182); #5649=LINE('',#130093,#12183); #5650=LINE('',#130094,#12184); #5651=LINE('',#130097,#12185); #5652=LINE('',#130099,#12186); #5653=LINE('',#130100,#12187); #5654=LINE('',#130103,#12188); #5655=LINE('',#130105,#12189); #5656=LINE('',#130106,#12190); #5657=LINE('',#130109,#12191); #5658=LINE('',#130111,#12192); #5659=LINE('',#130112,#12193); #5660=LINE('',#130114,#12194); #5661=LINE('',#130115,#12195); #5662=LINE('',#130120,#12196); #5663=LINE('',#130122,#12197); #5664=LINE('',#130124,#12198); #5665=LINE('',#130125,#12199); #5666=LINE('',#130128,#12200); #5667=LINE('',#130130,#12201); #5668=LINE('',#130131,#12202); #5669=LINE('',#130134,#12203); #5670=LINE('',#130136,#12204); #5671=LINE('',#130137,#12205); #5672=LINE('',#130140,#12206); #5673=LINE('',#130142,#12207); #5674=LINE('',#130143,#12208); #5675=LINE('',#130146,#12209); #5676=LINE('',#130148,#12210); #5677=LINE('',#130149,#12211); #5678=LINE('',#130152,#12212); #5679=LINE('',#130154,#12213); #5680=LINE('',#130155,#12214); #5681=LINE('',#130157,#12215); #5682=LINE('',#130158,#12216); #5683=LINE('',#130163,#12217); #5684=LINE('',#130165,#12218); #5685=LINE('',#130167,#12219); #5686=LINE('',#130168,#12220); #5687=LINE('',#130171,#12221); #5688=LINE('',#130173,#12222); #5689=LINE('',#130174,#12223); #5690=LINE('',#130177,#12224); #5691=LINE('',#130179,#12225); #5692=LINE('',#130180,#12226); #5693=LINE('',#130183,#12227); #5694=LINE('',#130185,#12228); #5695=LINE('',#130186,#12229); #5696=LINE('',#130189,#12230); #5697=LINE('',#130191,#12231); #5698=LINE('',#130192,#12232); #5699=LINE('',#130195,#12233); #5700=LINE('',#130197,#12234); #5701=LINE('',#130198,#12235); #5702=LINE('',#130201,#12236); #5703=LINE('',#130203,#12237); #5704=LINE('',#130204,#12238); #5705=LINE('',#130207,#12239); #5706=LINE('',#130209,#12240); #5707=LINE('',#130210,#12241); #5708=LINE('',#130213,#12242); #5709=LINE('',#130215,#12243); #5710=LINE('',#130216,#12244); #5711=LINE('',#130219,#12245); #5712=LINE('',#130221,#12246); #5713=LINE('',#130222,#12247); #5714=LINE('',#130225,#12248); #5715=LINE('',#130227,#12249); #5716=LINE('',#130228,#12250); #5717=LINE('',#130231,#12251); #5718=LINE('',#130233,#12252); #5719=LINE('',#130234,#12253); #5720=LINE('',#130237,#12254); #5721=LINE('',#130239,#12255); #5722=LINE('',#130240,#12256); #5723=LINE('',#130243,#12257); #5724=LINE('',#130245,#12258); #5725=LINE('',#130246,#12259); #5726=LINE('',#130249,#12260); #5727=LINE('',#130251,#12261); #5728=LINE('',#130252,#12262); #5729=LINE('',#130255,#12263); #5730=LINE('',#130257,#12264); #5731=LINE('',#130258,#12265); #5732=LINE('',#130261,#12266); #5733=LINE('',#130263,#12267); #5734=LINE('',#130264,#12268); #5735=LINE('',#130267,#12269); #5736=LINE('',#130269,#12270); #5737=LINE('',#130270,#12271); #5738=LINE('',#130273,#12272); #5739=LINE('',#130275,#12273); #5740=LINE('',#130276,#12274); #5741=LINE('',#130279,#12275); #5742=LINE('',#130281,#12276); #5743=LINE('',#130282,#12277); #5744=LINE('',#130285,#12278); #5745=LINE('',#130287,#12279); #5746=LINE('',#130288,#12280); #5747=LINE('',#130291,#12281); #5748=LINE('',#130293,#12282); #5749=LINE('',#130294,#12283); #5750=LINE('',#130297,#12284); #5751=LINE('',#130299,#12285); #5752=LINE('',#130300,#12286); #5753=LINE('',#130303,#12287); #5754=LINE('',#130305,#12288); #5755=LINE('',#130306,#12289); #5756=LINE('',#130309,#12290); #5757=LINE('',#130311,#12291); #5758=LINE('',#130312,#12292); #5759=LINE('',#130315,#12293); #5760=LINE('',#130317,#12294); #5761=LINE('',#130318,#12295); #5762=LINE('',#130321,#12296); #5763=LINE('',#130323,#12297); #5764=LINE('',#130324,#12298); #5765=LINE('',#130327,#12299); #5766=LINE('',#130329,#12300); #5767=LINE('',#130330,#12301); #5768=LINE('',#130333,#12302); #5769=LINE('',#130335,#12303); #5770=LINE('',#130336,#12304); #5771=LINE('',#130339,#12305); #5772=LINE('',#130341,#12306); #5773=LINE('',#130342,#12307); #5774=LINE('',#130345,#12308); #5775=LINE('',#130347,#12309); #5776=LINE('',#130348,#12310); #5777=LINE('',#130351,#12311); #5778=LINE('',#130353,#12312); #5779=LINE('',#130354,#12313); #5780=LINE('',#130357,#12314); #5781=LINE('',#130359,#12315); #5782=LINE('',#130360,#12316); #5783=LINE('',#130363,#12317); #5784=LINE('',#130365,#12318); #5785=LINE('',#130366,#12319); #5786=LINE('',#130369,#12320); #5787=LINE('',#130371,#12321); #5788=LINE('',#130372,#12322); #5789=LINE('',#130375,#12323); #5790=LINE('',#130377,#12324); #5791=LINE('',#130378,#12325); #5792=LINE('',#130381,#12326); #5793=LINE('',#130383,#12327); #5794=LINE('',#130384,#12328); #5795=LINE('',#130387,#12329); #5796=LINE('',#130389,#12330); #5797=LINE('',#130390,#12331); #5798=LINE('',#130393,#12332); #5799=LINE('',#130395,#12333); #5800=LINE('',#130396,#12334); #5801=LINE('',#130398,#12335); #5802=LINE('',#130399,#12336); #5803=LINE('',#130404,#12337); #5804=LINE('',#130406,#12338); #5805=LINE('',#130408,#12339); #5806=LINE('',#130409,#12340); #5807=LINE('',#130412,#12341); #5808=LINE('',#130414,#12342); #5809=LINE('',#130415,#12343); #5810=LINE('',#130417,#12344); #5811=LINE('',#130418,#12345); #5812=LINE('',#130422,#12346); #5813=LINE('',#130424,#12347); #5814=LINE('',#130426,#12348); #5815=LINE('',#130427,#12349); #5816=LINE('',#130430,#12350); #5817=LINE('',#130432,#12351); #5818=LINE('',#130433,#12352); #5819=LINE('',#130436,#12353); #5820=LINE('',#130438,#12354); #5821=LINE('',#130439,#12355); #5822=LINE('',#130442,#12356); #5823=LINE('',#130444,#12357); #5824=LINE('',#130445,#12358); #5825=LINE('',#130448,#12359); #5826=LINE('',#130450,#12360); #5827=LINE('',#130451,#12361); #5828=LINE('',#130454,#12362); #5829=LINE('',#130456,#12363); #5830=LINE('',#130457,#12364); #5831=LINE('',#130460,#12365); #5832=LINE('',#130462,#12366); #5833=LINE('',#130463,#12367); #5834=LINE('',#130466,#12368); #5835=LINE('',#130468,#12369); #5836=LINE('',#130469,#12370); #5837=LINE('',#130472,#12371); #5838=LINE('',#130474,#12372); #5839=LINE('',#130475,#12373); #5840=LINE('',#130478,#12374); #5841=LINE('',#130480,#12375); #5842=LINE('',#130481,#12376); #5843=LINE('',#130483,#12377); #5844=LINE('',#130484,#12378); #5845=LINE('',#130489,#12379); #5846=LINE('',#130491,#12380); #5847=LINE('',#130493,#12381); #5848=LINE('',#130494,#12382); #5849=LINE('',#130497,#12383); #5850=LINE('',#130499,#12384); #5851=LINE('',#130500,#12385); #5852=LINE('',#130505,#12386); #5853=LINE('',#130509,#12387); #5854=LINE('',#130511,#12388); #5855=LINE('',#130512,#12389); #5856=LINE('',#130515,#12390); #5857=LINE('',#130517,#12391); #5858=LINE('',#130518,#12392); #5859=LINE('',#130521,#12393); #5860=LINE('',#130523,#12394); #5861=LINE('',#130524,#12395); #5862=LINE('',#130529,#12396); #5863=LINE('',#130533,#12397); #5864=LINE('',#130535,#12398); #5865=LINE('',#130536,#12399); #5866=LINE('',#130539,#12400); #5867=LINE('',#130541,#12401); #5868=LINE('',#130542,#12402); #5869=LINE('',#130545,#12403); #5870=LINE('',#130547,#12404); #5871=LINE('',#130548,#12405); #5872=LINE('',#130550,#12406); #5873=LINE('',#130551,#12407); #5874=LINE('',#130556,#12408); #5875=LINE('',#130558,#12409); #5876=LINE('',#130560,#12410); #5877=LINE('',#130561,#12411); #5878=LINE('',#130566,#12412); #5879=LINE('',#130570,#12413); #5880=LINE('',#130572,#12414); #5881=LINE('',#130573,#12415); #5882=LINE('',#130576,#12416); #5883=LINE('',#130578,#12417); #5884=LINE('',#130579,#12418); #5885=LINE('',#130582,#12419); #5886=LINE('',#130584,#12420); #5887=LINE('',#130585,#12421); #5888=LINE('',#130590,#12422); #5889=LINE('',#130594,#12423); #5890=LINE('',#130596,#12424); #5891=LINE('',#130597,#12425); #5892=LINE('',#130600,#12426); #5893=LINE('',#130602,#12427); #5894=LINE('',#130603,#12428); #5895=LINE('',#130606,#12429); #5896=LINE('',#130608,#12430); #5897=LINE('',#130609,#12431); #5898=LINE('',#130612,#12432); #5899=LINE('',#130614,#12433); #5900=LINE('',#130615,#12434); #5901=LINE('',#130617,#12435); #5902=LINE('',#130618,#12436); #5903=LINE('',#130623,#12437); #5904=LINE('',#130625,#12438); #5905=LINE('',#130627,#12439); #5906=LINE('',#130628,#12440); #5907=LINE('',#130631,#12441); #5908=LINE('',#130633,#12442); #5909=LINE('',#130634,#12443); #5910=LINE('',#130639,#12444); #5911=LINE('',#130643,#12445); #5912=LINE('',#130645,#12446); #5913=LINE('',#130646,#12447); #5914=LINE('',#130649,#12448); #5915=LINE('',#130651,#12449); #5916=LINE('',#130652,#12450); #5917=LINE('',#130655,#12451); #5918=LINE('',#130657,#12452); #5919=LINE('',#130658,#12453); #5920=LINE('',#130661,#12454); #5921=LINE('',#130663,#12455); #5922=LINE('',#130664,#12456); #5923=LINE('',#130667,#12457); #5924=LINE('',#130669,#12458); #5925=LINE('',#130670,#12459); #5926=LINE('',#130673,#12460); #5927=LINE('',#130675,#12461); #5928=LINE('',#130676,#12462); #5929=LINE('',#130681,#12463); #5930=LINE('',#130684,#12464); #5931=LINE('',#130685,#12465); #5932=LINE('',#130691,#12466); #5933=LINE('',#130696,#12467); #5934=LINE('',#130698,#12468); #5935=LINE('',#130700,#12469); #5936=LINE('',#130701,#12470); #5937=LINE('',#130707,#12471); #5938=LINE('',#130710,#12472); #5939=LINE('',#130712,#12473); #5940=LINE('',#130713,#12474); #5941=LINE('',#130722,#12475); #5942=LINE('',#130725,#12476); #5943=LINE('',#130728,#12477); #5944=LINE('',#130730,#12478); #5945=LINE('',#130731,#12479); #5946=LINE('',#130737,#12480); #5947=LINE('',#130739,#12481); #5948=LINE('',#130740,#12482); #5949=LINE('',#130744,#12483); #5950=LINE('',#130746,#12484); #5951=LINE('',#130748,#12485); #5952=LINE('',#130749,#12486); #5953=LINE('',#130755,#12487); #5954=LINE('',#130758,#12488); #5955=LINE('',#130760,#12489); #5956=LINE('',#130761,#12490); #5957=LINE('',#130768,#12491); #5958=LINE('',#130770,#12492); #5959=LINE('',#130772,#12493); #5960=LINE('',#130773,#12494); #5961=LINE('',#130779,#12495); #5962=LINE('',#130782,#12496); #5963=LINE('',#130784,#12497); #5964=LINE('',#130785,#12498); #5965=LINE('',#130792,#12499); #5966=LINE('',#130794,#12500); #5967=LINE('',#130796,#12501); #5968=LINE('',#130797,#12502); #5969=LINE('',#130803,#12503); #5970=LINE('',#130806,#12504); #5971=LINE('',#130808,#12505); #5972=LINE('',#130809,#12506); #5973=LINE('',#130818,#12507); #5974=LINE('',#130821,#12508); #5975=LINE('',#130824,#12509); #5976=LINE('',#130826,#12510); #5977=LINE('',#130827,#12511); #5978=LINE('',#130833,#12512); #5979=LINE('',#130835,#12513); #5980=LINE('',#130836,#12514); #5981=LINE('',#130842,#12515); #5982=LINE('',#130845,#12516); #5983=LINE('',#130848,#12517); #5984=LINE('',#130850,#12518); #5985=LINE('',#130851,#12519); #5986=LINE('',#130857,#12520); #5987=LINE('',#130859,#12521); #5988=LINE('',#130860,#12522); #5989=LINE('',#130864,#12523); #5990=LINE('',#130866,#12524); #5991=LINE('',#130868,#12525); #5992=LINE('',#130869,#12526); #5993=LINE('',#130875,#12527); #5994=LINE('',#130878,#12528); #5995=LINE('',#130880,#12529); #5996=LINE('',#130881,#12530); #5997=LINE('',#130888,#12531); #5998=LINE('',#130890,#12532); #5999=LINE('',#130892,#12533); #6000=LINE('',#130893,#12534); #6001=LINE('',#130899,#12535); #6002=LINE('',#130902,#12536); #6003=LINE('',#130904,#12537); #6004=LINE('',#130905,#12538); #6005=LINE('',#130914,#12539); #6006=LINE('',#130917,#12540); #6007=LINE('',#130920,#12541); #6008=LINE('',#130922,#12542); #6009=LINE('',#130923,#12543); #6010=LINE('',#130929,#12544); #6011=LINE('',#130931,#12545); #6012=LINE('',#130932,#12546); #6013=LINE('',#130936,#12547); #6014=LINE('',#130938,#12548); #6015=LINE('',#130940,#12549); #6016=LINE('',#130941,#12550); #6017=LINE('',#130947,#12551); #6018=LINE('',#130950,#12552); #6019=LINE('',#130952,#12553); #6020=LINE('',#130953,#12554); #6021=LINE('',#130960,#12555); #6022=LINE('',#130962,#12556); #6023=LINE('',#130964,#12557); #6024=LINE('',#130965,#12558); #6025=LINE('',#130971,#12559); #6026=LINE('',#130974,#12560); #6027=LINE('',#130976,#12561); #6028=LINE('',#130977,#12562); #6029=LINE('',#130985,#12563); #6030=LINE('',#130991,#12564); #6031=LINE('',#130993,#12565); #6032=LINE('',#130995,#12566); #6033=LINE('',#130996,#12567); #6034=LINE('',#130999,#12568); #6035=LINE('',#131001,#12569); #6036=LINE('',#131002,#12570); #6037=LINE('',#131005,#12571); #6038=LINE('',#131007,#12572); #6039=LINE('',#131008,#12573); #6040=LINE('',#131011,#12574); #6041=LINE('',#131013,#12575); #6042=LINE('',#131014,#12576); #6043=LINE('',#131017,#12577); #6044=LINE('',#131019,#12578); #6045=LINE('',#131020,#12579); #6046=LINE('',#131023,#12580); #6047=LINE('',#131025,#12581); #6048=LINE('',#131026,#12582); #6049=LINE('',#131029,#12583); #6050=LINE('',#131031,#12584); #6051=LINE('',#131032,#12585); #6052=LINE('',#131035,#12586); #6053=LINE('',#131037,#12587); #6054=LINE('',#131038,#12588); #6055=LINE('',#131041,#12589); #6056=LINE('',#131043,#12590); #6057=LINE('',#131044,#12591); #6058=LINE('',#131047,#12592); #6059=LINE('',#131049,#12593); #6060=LINE('',#131050,#12594); #6061=LINE('',#131053,#12595); #6062=LINE('',#131055,#12596); #6063=LINE('',#131056,#12597); #6064=LINE('',#131059,#12598); #6065=LINE('',#131061,#12599); #6066=LINE('',#131062,#12600); #6067=LINE('',#131065,#12601); #6068=LINE('',#131067,#12602); #6069=LINE('',#131068,#12603); #6070=LINE('',#131071,#12604); #6071=LINE('',#131073,#12605); #6072=LINE('',#131074,#12606); #6073=LINE('',#131077,#12607); #6074=LINE('',#131079,#12608); #6075=LINE('',#131080,#12609); #6076=LINE('',#131083,#12610); #6077=LINE('',#131085,#12611); #6078=LINE('',#131086,#12612); #6079=LINE('',#131089,#12613); #6080=LINE('',#131091,#12614); #6081=LINE('',#131092,#12615); #6082=LINE('',#131095,#12616); #6083=LINE('',#131097,#12617); #6084=LINE('',#131098,#12618); #6085=LINE('',#131101,#12619); #6086=LINE('',#131103,#12620); #6087=LINE('',#131104,#12621); #6088=LINE('',#131106,#12622); #6089=LINE('',#131107,#12623); #6090=LINE('',#131111,#12624); #6091=LINE('',#131113,#12625); #6092=LINE('',#131115,#12626); #6093=LINE('',#131116,#12627); #6094=LINE('',#131119,#12628); #6095=LINE('',#131121,#12629); #6096=LINE('',#131122,#12630); #6097=LINE('',#131125,#12631); #6098=LINE('',#131127,#12632); #6099=LINE('',#131128,#12633); #6100=LINE('',#131131,#12634); #6101=LINE('',#131133,#12635); #6102=LINE('',#131134,#12636); #6103=LINE('',#131137,#12637); #6104=LINE('',#131139,#12638); #6105=LINE('',#131140,#12639); #6106=LINE('',#131143,#12640); #6107=LINE('',#131145,#12641); #6108=LINE('',#131146,#12642); #6109=LINE('',#131149,#12643); #6110=LINE('',#131151,#12644); #6111=LINE('',#131152,#12645); #6112=LINE('',#131155,#12646); #6113=LINE('',#131157,#12647); #6114=LINE('',#131158,#12648); #6115=LINE('',#131161,#12649); #6116=LINE('',#131163,#12650); #6117=LINE('',#131164,#12651); #6118=LINE('',#131167,#12652); #6119=LINE('',#131169,#12653); #6120=LINE('',#131170,#12654); #6121=LINE('',#131173,#12655); #6122=LINE('',#131175,#12656); #6123=LINE('',#131176,#12657); #6124=LINE('',#131179,#12658); #6125=LINE('',#131181,#12659); #6126=LINE('',#131182,#12660); #6127=LINE('',#131185,#12661); #6128=LINE('',#131187,#12662); #6129=LINE('',#131188,#12663); #6130=LINE('',#131191,#12664); #6131=LINE('',#131193,#12665); #6132=LINE('',#131194,#12666); #6133=LINE('',#131197,#12667); #6134=LINE('',#131199,#12668); #6135=LINE('',#131200,#12669); #6136=LINE('',#131203,#12670); #6137=LINE('',#131205,#12671); #6138=LINE('',#131206,#12672); #6139=LINE('',#131209,#12673); #6140=LINE('',#131211,#12674); #6141=LINE('',#131212,#12675); #6142=LINE('',#131215,#12676); #6143=LINE('',#131217,#12677); #6144=LINE('',#131218,#12678); #6145=LINE('',#131221,#12679); #6146=LINE('',#131223,#12680); #6147=LINE('',#131224,#12681); #6148=LINE('',#131227,#12682); #6149=LINE('',#131229,#12683); #6150=LINE('',#131230,#12684); #6151=LINE('',#131233,#12685); #6152=LINE('',#131235,#12686); #6153=LINE('',#131236,#12687); #6154=LINE('',#131239,#12688); #6155=LINE('',#131241,#12689); #6156=LINE('',#131242,#12690); #6157=LINE('',#131245,#12691); #6158=LINE('',#131247,#12692); #6159=LINE('',#131248,#12693); #6160=LINE('',#131251,#12694); #6161=LINE('',#131253,#12695); #6162=LINE('',#131254,#12696); #6163=LINE('',#131257,#12697); #6164=LINE('',#131259,#12698); #6165=LINE('',#131260,#12699); #6166=LINE('',#131263,#12700); #6167=LINE('',#131265,#12701); #6168=LINE('',#131266,#12702); #6169=LINE('',#131269,#12703); #6170=LINE('',#131271,#12704); #6171=LINE('',#131272,#12705); #6172=LINE('',#131275,#12706); #6173=LINE('',#131277,#12707); #6174=LINE('',#131278,#12708); #6175=LINE('',#131281,#12709); #6176=LINE('',#131283,#12710); #6177=LINE('',#131284,#12711); #6178=LINE('',#131287,#12712); #6179=LINE('',#131289,#12713); #6180=LINE('',#131290,#12714); #6181=LINE('',#131293,#12715); #6182=LINE('',#131295,#12716); #6183=LINE('',#131296,#12717); #6184=LINE('',#131299,#12718); #6185=LINE('',#131301,#12719); #6186=LINE('',#131302,#12720); #6187=LINE('',#131305,#12721); #6188=LINE('',#131307,#12722); #6189=LINE('',#131308,#12723); #6190=LINE('',#131311,#12724); #6191=LINE('',#131313,#12725); #6192=LINE('',#131314,#12726); #6193=LINE('',#131317,#12727); #6194=LINE('',#131319,#12728); #6195=LINE('',#131320,#12729); #6196=LINE('',#131323,#12730); #6197=LINE('',#131325,#12731); #6198=LINE('',#131326,#12732); #6199=LINE('',#131329,#12733); #6200=LINE('',#131331,#12734); #6201=LINE('',#131332,#12735); #6202=LINE('',#131335,#12736); #6203=LINE('',#131337,#12737); #6204=LINE('',#131338,#12738); #6205=LINE('',#131341,#12739); #6206=LINE('',#131343,#12740); #6207=LINE('',#131344,#12741); #6208=LINE('',#131346,#12742); #6209=LINE('',#131347,#12743); #6210=LINE('',#131352,#12744); #6211=LINE('',#131354,#12745); #6212=LINE('',#131356,#12746); #6213=LINE('',#131357,#12747); #6214=LINE('',#131360,#12748); #6215=LINE('',#131362,#12749); #6216=LINE('',#131363,#12750); #6217=LINE('',#131366,#12751); #6218=LINE('',#131368,#12752); #6219=LINE('',#131369,#12753); #6220=LINE('',#131372,#12754); #6221=LINE('',#131374,#12755); #6222=LINE('',#131375,#12756); #6223=LINE('',#131378,#12757); #6224=LINE('',#131380,#12758); #6225=LINE('',#131381,#12759); #6226=LINE('',#131384,#12760); #6227=LINE('',#131386,#12761); #6228=LINE('',#131387,#12762); #6229=LINE('',#131390,#12763); #6230=LINE('',#131392,#12764); #6231=LINE('',#131393,#12765); #6232=LINE('',#131396,#12766); #6233=LINE('',#131398,#12767); #6234=LINE('',#131399,#12768); #6235=LINE('',#131402,#12769); #6236=LINE('',#131404,#12770); #6237=LINE('',#131405,#12771); #6238=LINE('',#131408,#12772); #6239=LINE('',#131410,#12773); #6240=LINE('',#131411,#12774); #6241=LINE('',#131414,#12775); #6242=LINE('',#131416,#12776); #6243=LINE('',#131417,#12777); #6244=LINE('',#131420,#12778); #6245=LINE('',#131422,#12779); #6246=LINE('',#131423,#12780); #6247=LINE('',#131426,#12781); #6248=LINE('',#131428,#12782); #6249=LINE('',#131429,#12783); #6250=LINE('',#131432,#12784); #6251=LINE('',#131434,#12785); #6252=LINE('',#131435,#12786); #6253=LINE('',#131438,#12787); #6254=LINE('',#131440,#12788); #6255=LINE('',#131441,#12789); #6256=LINE('',#131444,#12790); #6257=LINE('',#131446,#12791); #6258=LINE('',#131447,#12792); #6259=LINE('',#131450,#12793); #6260=LINE('',#131452,#12794); #6261=LINE('',#131453,#12795); #6262=LINE('',#131456,#12796); #6263=LINE('',#131458,#12797); #6264=LINE('',#131459,#12798); #6265=LINE('',#131462,#12799); #6266=LINE('',#131464,#12800); #6267=LINE('',#131465,#12801); #6268=LINE('',#131468,#12802); #6269=LINE('',#131470,#12803); #6270=LINE('',#131471,#12804); #6271=LINE('',#131474,#12805); #6272=LINE('',#131476,#12806); #6273=LINE('',#131477,#12807); #6274=LINE('',#131480,#12808); #6275=LINE('',#131482,#12809); #6276=LINE('',#131483,#12810); #6277=LINE('',#131486,#12811); #6278=LINE('',#131488,#12812); #6279=LINE('',#131489,#12813); #6280=LINE('',#131492,#12814); #6281=LINE('',#131494,#12815); #6282=LINE('',#131495,#12816); #6283=LINE('',#131498,#12817); #6284=LINE('',#131500,#12818); #6285=LINE('',#131501,#12819); #6286=LINE('',#131504,#12820); #6287=LINE('',#131506,#12821); #6288=LINE('',#131507,#12822); #6289=LINE('',#131510,#12823); #6290=LINE('',#131512,#12824); #6291=LINE('',#131513,#12825); #6292=LINE('',#131516,#12826); #6293=LINE('',#131518,#12827); #6294=LINE('',#131519,#12828); #6295=LINE('',#131522,#12829); #6296=LINE('',#131524,#12830); #6297=LINE('',#131525,#12831); #6298=LINE('',#131528,#12832); #6299=LINE('',#131530,#12833); #6300=LINE('',#131531,#12834); #6301=LINE('',#131534,#12835); #6302=LINE('',#131536,#12836); #6303=LINE('',#131537,#12837); #6304=LINE('',#131540,#12838); #6305=LINE('',#131542,#12839); #6306=LINE('',#131543,#12840); #6307=LINE('',#131546,#12841); #6308=LINE('',#131548,#12842); #6309=LINE('',#131549,#12843); #6310=LINE('',#131552,#12844); #6311=LINE('',#131554,#12845); #6312=LINE('',#131555,#12846); #6313=LINE('',#131558,#12847); #6314=LINE('',#131560,#12848); #6315=LINE('',#131561,#12849); #6316=LINE('',#131564,#12850); #6317=LINE('',#131566,#12851); #6318=LINE('',#131567,#12852); #6319=LINE('',#131570,#12853); #6320=LINE('',#131572,#12854); #6321=LINE('',#131573,#12855); #6322=LINE('',#131576,#12856); #6323=LINE('',#131578,#12857); #6324=LINE('',#131579,#12858); #6325=LINE('',#131582,#12859); #6326=LINE('',#131584,#12860); #6327=LINE('',#131585,#12861); #6328=LINE('',#131588,#12862); #6329=LINE('',#131590,#12863); #6330=LINE('',#131591,#12864); #6331=LINE('',#131594,#12865); #6332=LINE('',#131596,#12866); #6333=LINE('',#131597,#12867); #6334=LINE('',#131600,#12868); #6335=LINE('',#131602,#12869); #6336=LINE('',#131603,#12870); #6337=LINE('',#131606,#12871); #6338=LINE('',#131608,#12872); #6339=LINE('',#131609,#12873); #6340=LINE('',#131612,#12874); #6341=LINE('',#131614,#12875); #6342=LINE('',#131615,#12876); #6343=LINE('',#131618,#12877); #6344=LINE('',#131620,#12878); #6345=LINE('',#131621,#12879); #6346=LINE('',#131624,#12880); #6347=LINE('',#131626,#12881); #6348=LINE('',#131627,#12882); #6349=LINE('',#131630,#12883); #6350=LINE('',#131632,#12884); #6351=LINE('',#131633,#12885); #6352=LINE('',#131636,#12886); #6353=LINE('',#131638,#12887); #6354=LINE('',#131639,#12888); #6355=LINE('',#131642,#12889); #6356=LINE('',#131644,#12890); #6357=LINE('',#131645,#12891); #6358=LINE('',#131648,#12892); #6359=LINE('',#131650,#12893); #6360=LINE('',#131651,#12894); #6361=LINE('',#131654,#12895); #6362=LINE('',#131656,#12896); #6363=LINE('',#131657,#12897); #6364=LINE('',#131660,#12898); #6365=LINE('',#131662,#12899); #6366=LINE('',#131663,#12900); #6367=LINE('',#131666,#12901); #6368=LINE('',#131668,#12902); #6369=LINE('',#131669,#12903); #6370=LINE('',#131672,#12904); #6371=LINE('',#131674,#12905); #6372=LINE('',#131675,#12906); #6373=LINE('',#131678,#12907); #6374=LINE('',#131680,#12908); #6375=LINE('',#131681,#12909); #6376=LINE('',#131684,#12910); #6377=LINE('',#131686,#12911); #6378=LINE('',#131687,#12912); #6379=LINE('',#131689,#12913); #6380=LINE('',#131690,#12914); #6381=LINE('',#131695,#12915); #6382=LINE('',#131697,#12916); #6383=LINE('',#131699,#12917); #6384=LINE('',#131700,#12918); #6385=LINE('',#131703,#12919); #6386=LINE('',#131705,#12920); #6387=LINE('',#131706,#12921); #6388=LINE('',#131709,#12922); #6389=LINE('',#131711,#12923); #6390=LINE('',#131712,#12924); #6391=LINE('',#131715,#12925); #6392=LINE('',#131717,#12926); #6393=LINE('',#131718,#12927); #6394=LINE('',#131721,#12928); #6395=LINE('',#131723,#12929); #6396=LINE('',#131724,#12930); #6397=LINE('',#131727,#12931); #6398=LINE('',#131729,#12932); #6399=LINE('',#131730,#12933); #6400=LINE('',#131733,#12934); #6401=LINE('',#131735,#12935); #6402=LINE('',#131736,#12936); #6403=LINE('',#131739,#12937); #6404=LINE('',#131741,#12938); #6405=LINE('',#131742,#12939); #6406=LINE('',#131745,#12940); #6407=LINE('',#131747,#12941); #6408=LINE('',#131748,#12942); #6409=LINE('',#131751,#12943); #6410=LINE('',#131753,#12944); #6411=LINE('',#131754,#12945); #6412=LINE('',#131757,#12946); #6413=LINE('',#131759,#12947); #6414=LINE('',#131760,#12948); #6415=LINE('',#131763,#12949); #6416=LINE('',#131765,#12950); #6417=LINE('',#131766,#12951); #6418=LINE('',#131769,#12952); #6419=LINE('',#131771,#12953); #6420=LINE('',#131772,#12954); #6421=LINE('',#131775,#12955); #6422=LINE('',#131777,#12956); #6423=LINE('',#131778,#12957); #6424=LINE('',#131781,#12958); #6425=LINE('',#131783,#12959); #6426=LINE('',#131784,#12960); #6427=LINE('',#131786,#12961); #6428=LINE('',#131787,#12962); #6429=LINE('',#131791,#12963); #6430=LINE('',#131793,#12964); #6431=LINE('',#131795,#12965); #6432=LINE('',#131796,#12966); #6433=LINE('',#131799,#12967); #6434=LINE('',#131801,#12968); #6435=LINE('',#131802,#12969); #6436=LINE('',#131805,#12970); #6437=LINE('',#131807,#12971); #6438=LINE('',#131808,#12972); #6439=LINE('',#131811,#12973); #6440=LINE('',#131813,#12974); #6441=LINE('',#131814,#12975); #6442=LINE('',#131817,#12976); #6443=LINE('',#131819,#12977); #6444=LINE('',#131820,#12978); #6445=LINE('',#131823,#12979); #6446=LINE('',#131825,#12980); #6447=LINE('',#131826,#12981); #6448=LINE('',#131829,#12982); #6449=LINE('',#131831,#12983); #6450=LINE('',#131832,#12984); #6451=LINE('',#131835,#12985); #6452=LINE('',#131837,#12986); #6453=LINE('',#131838,#12987); #6454=LINE('',#131841,#12988); #6455=LINE('',#131843,#12989); #6456=LINE('',#131844,#12990); #6457=LINE('',#131847,#12991); #6458=LINE('',#131849,#12992); #6459=LINE('',#131850,#12993); #6460=LINE('',#131853,#12994); #6461=LINE('',#131855,#12995); #6462=LINE('',#131856,#12996); #6463=LINE('',#131859,#12997); #6464=LINE('',#131861,#12998); #6465=LINE('',#131862,#12999); #6466=LINE('',#131865,#13000); #6467=LINE('',#131867,#13001); #6468=LINE('',#131868,#13002); #6469=LINE('',#131871,#13003); #6470=LINE('',#131873,#13004); #6471=LINE('',#131874,#13005); #6472=LINE('',#131877,#13006); #6473=LINE('',#131879,#13007); #6474=LINE('',#131880,#13008); #6475=LINE('',#131882,#13009); #6476=LINE('',#131883,#13010); #6477=LINE('',#131887,#13011); #6478=LINE('',#131889,#13012); #6479=LINE('',#131891,#13013); #6480=LINE('',#131892,#13014); #6481=LINE('',#131895,#13015); #6482=LINE('',#131897,#13016); #6483=LINE('',#131898,#13017); #6484=LINE('',#131901,#13018); #6485=LINE('',#131903,#13019); #6486=LINE('',#131904,#13020); #6487=LINE('',#131907,#13021); #6488=LINE('',#131909,#13022); #6489=LINE('',#131910,#13023); #6490=LINE('',#131913,#13024); #6491=LINE('',#131915,#13025); #6492=LINE('',#131916,#13026); #6493=LINE('',#131919,#13027); #6494=LINE('',#131921,#13028); #6495=LINE('',#131922,#13029); #6496=LINE('',#131925,#13030); #6497=LINE('',#131927,#13031); #6498=LINE('',#131928,#13032); #6499=LINE('',#131931,#13033); #6500=LINE('',#131933,#13034); #6501=LINE('',#131934,#13035); #6502=LINE('',#131937,#13036); #6503=LINE('',#131939,#13037); #6504=LINE('',#131940,#13038); #6505=LINE('',#131943,#13039); #6506=LINE('',#131945,#13040); #6507=LINE('',#131946,#13041); #6508=LINE('',#131949,#13042); #6509=LINE('',#131951,#13043); #6510=LINE('',#131952,#13044); #6511=LINE('',#131955,#13045); #6512=LINE('',#131957,#13046); #6513=LINE('',#131958,#13047); #6514=LINE('',#131961,#13048); #6515=LINE('',#131963,#13049); #6516=LINE('',#131964,#13050); #6517=LINE('',#131967,#13051); #6518=LINE('',#131969,#13052); #6519=LINE('',#131970,#13053); #6520=LINE('',#131973,#13054); #6521=LINE('',#131975,#13055); #6522=LINE('',#131976,#13056); #6523=LINE('',#131979,#13057); #6524=LINE('',#131981,#13058); #6525=LINE('',#131982,#13059); #6526=LINE('',#131985,#13060); #6527=LINE('',#131987,#13061); #6528=LINE('',#131988,#13062); #6529=LINE('',#131991,#13063); #6530=LINE('',#131993,#13064); #6531=LINE('',#131994,#13065); #6532=LINE('',#131997,#13066); #6533=LINE('',#131999,#13067); #6534=LINE('',#132000,#13068); #6535=LINE('',#132003,#13069); #6536=LINE('',#132005,#13070); #6537=LINE('',#132006,#13071); #6538=LINE('',#132009,#13072); #6539=LINE('',#132011,#13073); #6540=LINE('',#132012,#13074); #6541=LINE('',#132015,#13075); #6542=LINE('',#132017,#13076); #6543=LINE('',#132018,#13077); #6544=LINE('',#132021,#13078); #6545=LINE('',#132023,#13079); #6546=LINE('',#132024,#13080); #6547=LINE('',#132027,#13081); #6548=LINE('',#132029,#13082); #6549=LINE('',#132030,#13083); #6550=LINE('',#132033,#13084); #6551=LINE('',#132035,#13085); #6552=LINE('',#132036,#13086); #6553=LINE('',#132039,#13087); #6554=LINE('',#132041,#13088); #6555=LINE('',#132042,#13089); #6556=LINE('',#132045,#13090); #6557=LINE('',#132047,#13091); #6558=LINE('',#132048,#13092); #6559=LINE('',#132051,#13093); #6560=LINE('',#132053,#13094); #6561=LINE('',#132054,#13095); #6562=LINE('',#132057,#13096); #6563=LINE('',#132059,#13097); #6564=LINE('',#132060,#13098); #6565=LINE('',#132063,#13099); #6566=LINE('',#132065,#13100); #6567=LINE('',#132066,#13101); #6568=LINE('',#132069,#13102); #6569=LINE('',#132071,#13103); #6570=LINE('',#132072,#13104); #6571=LINE('',#132075,#13105); #6572=LINE('',#132077,#13106); #6573=LINE('',#132078,#13107); #6574=LINE('',#132081,#13108); #6575=LINE('',#132083,#13109); #6576=LINE('',#132084,#13110); #6577=LINE('',#132086,#13111); #6578=LINE('',#132087,#13112); #6579=LINE('',#132092,#13113); #6580=LINE('',#132094,#13114); #6581=LINE('',#132096,#13115); #6582=LINE('',#132097,#13116); #6583=LINE('',#132100,#13117); #6584=LINE('',#132102,#13118); #6585=LINE('',#132103,#13119); #6586=LINE('',#132106,#13120); #6587=LINE('',#132108,#13121); #6588=LINE('',#132109,#13122); #6589=LINE('',#132112,#13123); #6590=LINE('',#132114,#13124); #6591=LINE('',#132115,#13125); #6592=LINE('',#132118,#13126); #6593=LINE('',#132120,#13127); #6594=LINE('',#132121,#13128); #6595=LINE('',#132124,#13129); #6596=LINE('',#132126,#13130); #6597=LINE('',#132127,#13131); #6598=LINE('',#132129,#13132); #6599=LINE('',#132130,#13133); #6600=LINE('',#132135,#13134); #6601=LINE('',#132137,#13135); #6602=LINE('',#132139,#13136); #6603=LINE('',#132140,#13137); #6604=LINE('',#132143,#13138); #6605=LINE('',#132145,#13139); #6606=LINE('',#132146,#13140); #6607=LINE('',#132149,#13141); #6608=LINE('',#132151,#13142); #6609=LINE('',#132152,#13143); #6610=LINE('',#132155,#13144); #6611=LINE('',#132157,#13145); #6612=LINE('',#132158,#13146); #6613=LINE('',#132161,#13147); #6614=LINE('',#132163,#13148); #6615=LINE('',#132164,#13149); #6616=LINE('',#132167,#13150); #6617=LINE('',#132169,#13151); #6618=LINE('',#132170,#13152); #6619=LINE('',#132173,#13153); #6620=LINE('',#132175,#13154); #6621=LINE('',#132176,#13155); #6622=LINE('',#132179,#13156); #6623=LINE('',#132181,#13157); #6624=LINE('',#132182,#13158); #6625=LINE('',#132185,#13159); #6626=LINE('',#132187,#13160); #6627=LINE('',#132188,#13161); #6628=LINE('',#132191,#13162); #6629=LINE('',#132193,#13163); #6630=LINE('',#132194,#13164); #6631=LINE('',#132197,#13165); #6632=LINE('',#132199,#13166); #6633=LINE('',#132200,#13167); #6634=LINE('',#132203,#13168); #6635=LINE('',#132205,#13169); #6636=LINE('',#132206,#13170); #6637=LINE('',#132209,#13171); #6638=LINE('',#132211,#13172); #6639=LINE('',#132212,#13173); #6640=LINE('',#132215,#13174); #6641=LINE('',#132217,#13175); #6642=LINE('',#132218,#13176); #6643=LINE('',#132221,#13177); #6644=LINE('',#132223,#13178); #6645=LINE('',#132224,#13179); #6646=LINE('',#132227,#13180); #6647=LINE('',#132229,#13181); #6648=LINE('',#132230,#13182); #6649=LINE('',#132233,#13183); #6650=LINE('',#132235,#13184); #6651=LINE('',#132236,#13185); #6652=LINE('',#132239,#13186); #6653=LINE('',#132241,#13187); #6654=LINE('',#132242,#13188); #6655=LINE('',#132245,#13189); #6656=LINE('',#132247,#13190); #6657=LINE('',#132248,#13191); #6658=LINE('',#132250,#13192); #6659=LINE('',#132251,#13193); #6660=LINE('',#132255,#13194); #6661=LINE('',#132257,#13195); #6662=LINE('',#132259,#13196); #6663=LINE('',#132260,#13197); #6664=LINE('',#132263,#13198); #6665=LINE('',#132265,#13199); #6666=LINE('',#132266,#13200); #6667=LINE('',#132269,#13201); #6668=LINE('',#132271,#13202); #6669=LINE('',#132272,#13203); #6670=LINE('',#132275,#13204); #6671=LINE('',#132277,#13205); #6672=LINE('',#132278,#13206); #6673=LINE('',#132281,#13207); #6674=LINE('',#132283,#13208); #6675=LINE('',#132284,#13209); #6676=LINE('',#132287,#13210); #6677=LINE('',#132289,#13211); #6678=LINE('',#132290,#13212); #6679=LINE('',#132293,#13213); #6680=LINE('',#132295,#13214); #6681=LINE('',#132296,#13215); #6682=LINE('',#132299,#13216); #6683=LINE('',#132301,#13217); #6684=LINE('',#132302,#13218); #6685=LINE('',#132305,#13219); #6686=LINE('',#132307,#13220); #6687=LINE('',#132308,#13221); #6688=LINE('',#132311,#13222); #6689=LINE('',#132313,#13223); #6690=LINE('',#132314,#13224); #6691=LINE('',#132317,#13225); #6692=LINE('',#132319,#13226); #6693=LINE('',#132320,#13227); #6694=LINE('',#132323,#13228); #6695=LINE('',#132325,#13229); #6696=LINE('',#132326,#13230); #6697=LINE('',#132329,#13231); #6698=LINE('',#132331,#13232); #6699=LINE('',#132332,#13233); #6700=LINE('',#132335,#13234); #6701=LINE('',#132337,#13235); #6702=LINE('',#132338,#13236); #6703=LINE('',#132341,#13237); #6704=LINE('',#132343,#13238); #6705=LINE('',#132344,#13239); #6706=LINE('',#132347,#13240); #6707=LINE('',#132349,#13241); #6708=LINE('',#132350,#13242); #6709=LINE('',#132353,#13243); #6710=LINE('',#132355,#13244); #6711=LINE('',#132356,#13245); #6712=LINE('',#132359,#13246); #6713=LINE('',#132361,#13247); #6714=LINE('',#132362,#13248); #6715=LINE('',#132365,#13249); #6716=LINE('',#132367,#13250); #6717=LINE('',#132368,#13251); #6718=LINE('',#132371,#13252); #6719=LINE('',#132373,#13253); #6720=LINE('',#132374,#13254); #6721=LINE('',#132377,#13255); #6722=LINE('',#132379,#13256); #6723=LINE('',#132380,#13257); #6724=LINE('',#132383,#13258); #6725=LINE('',#132385,#13259); #6726=LINE('',#132386,#13260); #6727=LINE('',#132389,#13261); #6728=LINE('',#132391,#13262); #6729=LINE('',#132392,#13263); #6730=LINE('',#132395,#13264); #6731=LINE('',#132397,#13265); #6732=LINE('',#132398,#13266); #6733=LINE('',#132401,#13267); #6734=LINE('',#132403,#13268); #6735=LINE('',#132404,#13269); #6736=LINE('',#132407,#13270); #6737=LINE('',#132409,#13271); #6738=LINE('',#132410,#13272); #6739=LINE('',#132413,#13273); #6740=LINE('',#132415,#13274); #6741=LINE('',#132416,#13275); #6742=LINE('',#132419,#13276); #6743=LINE('',#132421,#13277); #6744=LINE('',#132422,#13278); #6745=LINE('',#132425,#13279); #6746=LINE('',#132427,#13280); #6747=LINE('',#132428,#13281); #6748=LINE('',#132431,#13282); #6749=LINE('',#132433,#13283); #6750=LINE('',#132434,#13284); #6751=LINE('',#132437,#13285); #6752=LINE('',#132439,#13286); #6753=LINE('',#132440,#13287); #6754=LINE('',#132443,#13288); #6755=LINE('',#132445,#13289); #6756=LINE('',#132446,#13290); #6757=LINE('',#132449,#13291); #6758=LINE('',#132451,#13292); #6759=LINE('',#132452,#13293); #6760=LINE('',#132455,#13294); #6761=LINE('',#132457,#13295); #6762=LINE('',#132458,#13296); #6763=LINE('',#132461,#13297); #6764=LINE('',#132463,#13298); #6765=LINE('',#132464,#13299); #6766=LINE('',#132467,#13300); #6767=LINE('',#132469,#13301); #6768=LINE('',#132470,#13302); #6769=LINE('',#132473,#13303); #6770=LINE('',#132475,#13304); #6771=LINE('',#132476,#13305); #6772=LINE('',#132479,#13306); #6773=LINE('',#132481,#13307); #6774=LINE('',#132482,#13308); #6775=LINE('',#132485,#13309); #6776=LINE('',#132487,#13310); #6777=LINE('',#132488,#13311); #6778=LINE('',#132490,#13312); #6779=LINE('',#132491,#13313); #6780=LINE('',#132496,#13314); #6781=LINE('',#132498,#13315); #6782=LINE('',#132500,#13316); #6783=LINE('',#132501,#13317); #6784=LINE('',#132504,#13318); #6785=LINE('',#132506,#13319); #6786=LINE('',#132507,#13320); #6787=LINE('',#132510,#13321); #6788=LINE('',#132512,#13322); #6789=LINE('',#132513,#13323); #6790=LINE('',#132516,#13324); #6791=LINE('',#132518,#13325); #6792=LINE('',#132519,#13326); #6793=LINE('',#132522,#13327); #6794=LINE('',#132524,#13328); #6795=LINE('',#132525,#13329); #6796=LINE('',#132528,#13330); #6797=LINE('',#132530,#13331); #6798=LINE('',#132531,#13332); #6799=LINE('',#132533,#13333); #6800=LINE('',#132534,#13334); #6801=LINE('',#132539,#13335); #6802=LINE('',#132541,#13336); #6803=LINE('',#132543,#13337); #6804=LINE('',#132544,#13338); #6805=LINE('',#132547,#13339); #6806=LINE('',#132549,#13340); #6807=LINE('',#132550,#13341); #6808=LINE('',#132553,#13342); #6809=LINE('',#132555,#13343); #6810=LINE('',#132556,#13344); #6811=LINE('',#132559,#13345); #6812=LINE('',#132561,#13346); #6813=LINE('',#132562,#13347); #6814=LINE('',#132565,#13348); #6815=LINE('',#132567,#13349); #6816=LINE('',#132568,#13350); #6817=LINE('',#132571,#13351); #6818=LINE('',#132573,#13352); #6819=LINE('',#132574,#13353); #6820=LINE('',#132576,#13354); #6821=LINE('',#132577,#13355); #6822=LINE('',#132582,#13356); #6823=LINE('',#132584,#13357); #6824=LINE('',#132586,#13358); #6825=LINE('',#132587,#13359); #6826=LINE('',#132590,#13360); #6827=LINE('',#132592,#13361); #6828=LINE('',#132593,#13362); #6829=LINE('',#132596,#13363); #6830=LINE('',#132598,#13364); #6831=LINE('',#132599,#13365); #6832=LINE('',#132602,#13366); #6833=LINE('',#132604,#13367); #6834=LINE('',#132605,#13368); #6835=LINE('',#132608,#13369); #6836=LINE('',#132610,#13370); #6837=LINE('',#132611,#13371); #6838=LINE('',#132614,#13372); #6839=LINE('',#132616,#13373); #6840=LINE('',#132617,#13374); #6841=LINE('',#132620,#13375); #6842=LINE('',#132622,#13376); #6843=LINE('',#132623,#13377); #6844=LINE('',#132626,#13378); #6845=LINE('',#132628,#13379); #6846=LINE('',#132629,#13380); #6847=LINE('',#132632,#13381); #6848=LINE('',#132634,#13382); #6849=LINE('',#132635,#13383); #6850=LINE('',#132638,#13384); #6851=LINE('',#132640,#13385); #6852=LINE('',#132641,#13386); #6853=LINE('',#132644,#13387); #6854=LINE('',#132646,#13388); #6855=LINE('',#132647,#13389); #6856=LINE('',#132650,#13390); #6857=LINE('',#132652,#13391); #6858=LINE('',#132653,#13392); #6859=LINE('',#132656,#13393); #6860=LINE('',#132658,#13394); #6861=LINE('',#132659,#13395); #6862=LINE('',#132662,#13396); #6863=LINE('',#132664,#13397); #6864=LINE('',#132665,#13398); #6865=LINE('',#132668,#13399); #6866=LINE('',#132670,#13400); #6867=LINE('',#132671,#13401); #6868=LINE('',#132674,#13402); #6869=LINE('',#132676,#13403); #6870=LINE('',#132677,#13404); #6871=LINE('',#132680,#13405); #6872=LINE('',#132682,#13406); #6873=LINE('',#132683,#13407); #6874=LINE('',#132686,#13408); #6875=LINE('',#132688,#13409); #6876=LINE('',#132689,#13410); #6877=LINE('',#132692,#13411); #6878=LINE('',#132694,#13412); #6879=LINE('',#132695,#13413); #6880=LINE('',#132698,#13414); #6881=LINE('',#132700,#13415); #6882=LINE('',#132701,#13416); #6883=LINE('',#132704,#13417); #6884=LINE('',#132706,#13418); #6885=LINE('',#132707,#13419); #6886=LINE('',#132710,#13420); #6887=LINE('',#132712,#13421); #6888=LINE('',#132713,#13422); #6889=LINE('',#132716,#13423); #6890=LINE('',#132718,#13424); #6891=LINE('',#132719,#13425); #6892=LINE('',#132722,#13426); #6893=LINE('',#132724,#13427); #6894=LINE('',#132725,#13428); #6895=LINE('',#132728,#13429); #6896=LINE('',#132730,#13430); #6897=LINE('',#132731,#13431); #6898=LINE('',#132734,#13432); #6899=LINE('',#132736,#13433); #6900=LINE('',#132737,#13434); #6901=LINE('',#132740,#13435); #6902=LINE('',#132742,#13436); #6903=LINE('',#132743,#13437); #6904=LINE('',#132745,#13438); #6905=LINE('',#132746,#13439); #6906=LINE('',#132751,#13440); #6907=LINE('',#132753,#13441); #6908=LINE('',#132755,#13442); #6909=LINE('',#132756,#13443); #6910=LINE('',#132759,#13444); #6911=LINE('',#132761,#13445); #6912=LINE('',#132762,#13446); #6913=LINE('',#132765,#13447); #6914=LINE('',#132767,#13448); #6915=LINE('',#132768,#13449); #6916=LINE('',#132771,#13450); #6917=LINE('',#132773,#13451); #6918=LINE('',#132774,#13452); #6919=LINE('',#132777,#13453); #6920=LINE('',#132779,#13454); #6921=LINE('',#132780,#13455); #6922=LINE('',#132783,#13456); #6923=LINE('',#132785,#13457); #6924=LINE('',#132786,#13458); #6925=LINE('',#132789,#13459); #6926=LINE('',#132791,#13460); #6927=LINE('',#132792,#13461); #6928=LINE('',#132795,#13462); #6929=LINE('',#132797,#13463); #6930=LINE('',#132798,#13464); #6931=LINE('',#132801,#13465); #6932=LINE('',#132803,#13466); #6933=LINE('',#132804,#13467); #6934=LINE('',#132807,#13468); #6935=LINE('',#132809,#13469); #6936=LINE('',#132810,#13470); #6937=LINE('',#132813,#13471); #6938=LINE('',#132815,#13472); #6939=LINE('',#132816,#13473); #6940=LINE('',#132819,#13474); #6941=LINE('',#132821,#13475); #6942=LINE('',#132822,#13476); #6943=LINE('',#132825,#13477); #6944=LINE('',#132827,#13478); #6945=LINE('',#132828,#13479); #6946=LINE('',#132831,#13480); #6947=LINE('',#132833,#13481); #6948=LINE('',#132834,#13482); #6949=LINE('',#132837,#13483); #6950=LINE('',#132839,#13484); #6951=LINE('',#132840,#13485); #6952=LINE('',#132842,#13486); #6953=LINE('',#132843,#13487); #6954=LINE('',#132847,#13488); #6955=LINE('',#132849,#13489); #6956=LINE('',#132851,#13490); #6957=LINE('',#132852,#13491); #6958=LINE('',#132855,#13492); #6959=LINE('',#132857,#13493); #6960=LINE('',#132858,#13494); #6961=LINE('',#132861,#13495); #6962=LINE('',#132863,#13496); #6963=LINE('',#132864,#13497); #6964=LINE('',#132867,#13498); #6965=LINE('',#132869,#13499); #6966=LINE('',#132870,#13500); #6967=LINE('',#132873,#13501); #6968=LINE('',#132875,#13502); #6969=LINE('',#132876,#13503); #6970=LINE('',#132879,#13504); #6971=LINE('',#132881,#13505); #6972=LINE('',#132882,#13506); #6973=LINE('',#132885,#13507); #6974=LINE('',#132887,#13508); #6975=LINE('',#132888,#13509); #6976=LINE('',#132891,#13510); #6977=LINE('',#132893,#13511); #6978=LINE('',#132894,#13512); #6979=LINE('',#132897,#13513); #6980=LINE('',#132899,#13514); #6981=LINE('',#132900,#13515); #6982=LINE('',#132903,#13516); #6983=LINE('',#132905,#13517); #6984=LINE('',#132906,#13518); #6985=LINE('',#132909,#13519); #6986=LINE('',#132911,#13520); #6987=LINE('',#132912,#13521); #6988=LINE('',#132915,#13522); #6989=LINE('',#132917,#13523); #6990=LINE('',#132918,#13524); #6991=LINE('',#132921,#13525); #6992=LINE('',#132923,#13526); #6993=LINE('',#132924,#13527); #6994=LINE('',#132927,#13528); #6995=LINE('',#132929,#13529); #6996=LINE('',#132930,#13530); #6997=LINE('',#132933,#13531); #6998=LINE('',#132935,#13532); #6999=LINE('',#132936,#13533); #7000=LINE('',#132938,#13534); #7001=LINE('',#132939,#13535); #7002=LINE('',#132943,#13536); #7003=LINE('',#132945,#13537); #7004=LINE('',#132947,#13538); #7005=LINE('',#132948,#13539); #7006=LINE('',#132951,#13540); #7007=LINE('',#132953,#13541); #7008=LINE('',#132954,#13542); #7009=LINE('',#132957,#13543); #7010=LINE('',#132959,#13544); #7011=LINE('',#132960,#13545); #7012=LINE('',#132963,#13546); #7013=LINE('',#132965,#13547); #7014=LINE('',#132966,#13548); #7015=LINE('',#132969,#13549); #7016=LINE('',#132971,#13550); #7017=LINE('',#132972,#13551); #7018=LINE('',#132975,#13552); #7019=LINE('',#132977,#13553); #7020=LINE('',#132978,#13554); #7021=LINE('',#132981,#13555); #7022=LINE('',#132983,#13556); #7023=LINE('',#132984,#13557); #7024=LINE('',#132987,#13558); #7025=LINE('',#132989,#13559); #7026=LINE('',#132990,#13560); #7027=LINE('',#132993,#13561); #7028=LINE('',#132995,#13562); #7029=LINE('',#132996,#13563); #7030=LINE('',#132999,#13564); #7031=LINE('',#133001,#13565); #7032=LINE('',#133002,#13566); #7033=LINE('',#133005,#13567); #7034=LINE('',#133007,#13568); #7035=LINE('',#133008,#13569); #7036=LINE('',#133011,#13570); #7037=LINE('',#133013,#13571); #7038=LINE('',#133014,#13572); #7039=LINE('',#133017,#13573); #7040=LINE('',#133019,#13574); #7041=LINE('',#133020,#13575); #7042=LINE('',#133023,#13576); #7043=LINE('',#133025,#13577); #7044=LINE('',#133026,#13578); #7045=LINE('',#133029,#13579); #7046=LINE('',#133031,#13580); #7047=LINE('',#133032,#13581); #7048=LINE('',#133035,#13582); #7049=LINE('',#133037,#13583); #7050=LINE('',#133038,#13584); #7051=LINE('',#133041,#13585); #7052=LINE('',#133043,#13586); #7053=LINE('',#133044,#13587); #7054=LINE('',#133047,#13588); #7055=LINE('',#133049,#13589); #7056=LINE('',#133050,#13590); #7057=LINE('',#133053,#13591); #7058=LINE('',#133055,#13592); #7059=LINE('',#133056,#13593); #7060=LINE('',#133059,#13594); #7061=LINE('',#133061,#13595); #7062=LINE('',#133062,#13596); #7063=LINE('',#133065,#13597); #7064=LINE('',#133067,#13598); #7065=LINE('',#133068,#13599); #7066=LINE('',#133071,#13600); #7067=LINE('',#133073,#13601); #7068=LINE('',#133074,#13602); #7069=LINE('',#133077,#13603); #7070=LINE('',#133079,#13604); #7071=LINE('',#133080,#13605); #7072=LINE('',#133083,#13606); #7073=LINE('',#133085,#13607); #7074=LINE('',#133086,#13608); #7075=LINE('',#133089,#13609); #7076=LINE('',#133091,#13610); #7077=LINE('',#133092,#13611); #7078=LINE('',#133095,#13612); #7079=LINE('',#133097,#13613); #7080=LINE('',#133098,#13614); #7081=LINE('',#133101,#13615); #7082=LINE('',#133103,#13616); #7083=LINE('',#133104,#13617); #7084=LINE('',#133107,#13618); #7085=LINE('',#133109,#13619); #7086=LINE('',#133110,#13620); #7087=LINE('',#133113,#13621); #7088=LINE('',#133115,#13622); #7089=LINE('',#133116,#13623); #7090=LINE('',#133119,#13624); #7091=LINE('',#133121,#13625); #7092=LINE('',#133122,#13626); #7093=LINE('',#133125,#13627); #7094=LINE('',#133127,#13628); #7095=LINE('',#133128,#13629); #7096=LINE('',#133131,#13630); #7097=LINE('',#133133,#13631); #7098=LINE('',#133134,#13632); #7099=LINE('',#133137,#13633); #7100=LINE('',#133139,#13634); #7101=LINE('',#133140,#13635); #7102=LINE('',#133142,#13636); #7103=LINE('',#133143,#13637); #7104=LINE('',#133148,#13638); #7105=LINE('',#133150,#13639); #7106=LINE('',#133152,#13640); #7107=LINE('',#133153,#13641); #7108=LINE('',#133156,#13642); #7109=LINE('',#133158,#13643); #7110=LINE('',#133159,#13644); #7111=LINE('',#133162,#13645); #7112=LINE('',#133164,#13646); #7113=LINE('',#133165,#13647); #7114=LINE('',#133168,#13648); #7115=LINE('',#133170,#13649); #7116=LINE('',#133171,#13650); #7117=LINE('',#133174,#13651); #7118=LINE('',#133176,#13652); #7119=LINE('',#133177,#13653); #7120=LINE('',#133180,#13654); #7121=LINE('',#133182,#13655); #7122=LINE('',#133183,#13656); #7123=LINE('',#133185,#13657); #7124=LINE('',#133186,#13658); #7125=LINE('',#133191,#13659); #7126=LINE('',#133193,#13660); #7127=LINE('',#133195,#13661); #7128=LINE('',#133196,#13662); #7129=LINE('',#133208,#13663); #7130=LINE('',#133223,#13664); #7131=LINE('',#133238,#13665); #7132=LINE('',#133253,#13666); #7133=LINE('',#133259,#13667); #7134=LINE('',#133261,#13668); #7135=LINE('',#133262,#13669); #7136=LINE('',#133274,#13670); #7137=LINE('',#133289,#13671); #7138=LINE('',#133313,#13672); #7139=LINE('',#133315,#13673); #7140=LINE('',#133317,#13674); #7141=LINE('',#133318,#13675); #7142=LINE('',#133321,#13676); #7143=LINE('',#133323,#13677); #7144=LINE('',#133324,#13678); #7145=LINE('',#133327,#13679); #7146=LINE('',#133329,#13680); #7147=LINE('',#133330,#13681); #7148=LINE('',#133333,#13682); #7149=LINE('',#133335,#13683); #7150=LINE('',#133336,#13684); #7151=LINE('',#133339,#13685); #7152=LINE('',#133341,#13686); #7153=LINE('',#133342,#13687); #7154=LINE('',#133345,#13688); #7155=LINE('',#133347,#13689); #7156=LINE('',#133348,#13690); #7157=LINE('',#133351,#13691); #7158=LINE('',#133353,#13692); #7159=LINE('',#133354,#13693); #7160=LINE('',#133357,#13694); #7161=LINE('',#133359,#13695); #7162=LINE('',#133360,#13696); #7163=LINE('',#133363,#13697); #7164=LINE('',#133365,#13698); #7165=LINE('',#133366,#13699); #7166=LINE('',#133368,#13700); #7167=LINE('',#133369,#13701); #7168=LINE('',#133386,#13702); #7169=LINE('',#133392,#13703); #7170=LINE('',#133404,#13704); #7171=LINE('',#133419,#13705); #7172=LINE('',#133437,#13706); #7173=LINE('',#133453,#13707); #7174=LINE('',#133459,#13708); #7175=LINE('',#133461,#13709); #7176=LINE('',#133462,#13710); #7177=LINE('',#133465,#13711); #7178=LINE('',#133467,#13712); #7179=LINE('',#133468,#13713); #7180=LINE('',#133471,#13714); #7181=LINE('',#133473,#13715); #7182=LINE('',#133474,#13716); #7183=LINE('',#133477,#13717); #7184=LINE('',#133479,#13718); #7185=LINE('',#133480,#13719); #7186=LINE('',#133483,#13720); #7187=LINE('',#133485,#13721); #7188=LINE('',#133486,#13722); #7189=LINE('',#133489,#13723); #7190=LINE('',#133491,#13724); #7191=LINE('',#133492,#13725); #7192=LINE('',#133507,#13726); #7193=LINE('',#133526,#13727); #7194=LINE('',#133545,#13728); #7195=LINE('',#133564,#13729); #7196=LINE('',#133570,#13730); #7197=LINE('',#133571,#13731); #7198=LINE('',#133576,#13732); #7199=LINE('',#133578,#13733); #7200=LINE('',#133580,#13734); #7201=LINE('',#133581,#13735); #7202=LINE('',#133584,#13736); #7203=LINE('',#133586,#13737); #7204=LINE('',#133587,#13738); #7205=LINE('',#133590,#13739); #7206=LINE('',#133592,#13740); #7207=LINE('',#133593,#13741); #7208=LINE('',#133596,#13742); #7209=LINE('',#133598,#13743); #7210=LINE('',#133599,#13744); #7211=LINE('',#133602,#13745); #7212=LINE('',#133604,#13746); #7213=LINE('',#133605,#13747); #7214=LINE('',#133608,#13748); #7215=LINE('',#133610,#13749); #7216=LINE('',#133611,#13750); #7217=LINE('',#133614,#13751); #7218=LINE('',#133616,#13752); #7219=LINE('',#133617,#13753); #7220=LINE('',#133620,#13754); #7221=LINE('',#133622,#13755); #7222=LINE('',#133623,#13756); #7223=LINE('',#133626,#13757); #7224=LINE('',#133628,#13758); #7225=LINE('',#133629,#13759); #7226=LINE('',#133632,#13760); #7227=LINE('',#133634,#13761); #7228=LINE('',#133635,#13762); #7229=LINE('',#133647,#13763); #7230=LINE('',#133662,#13764); #7231=LINE('',#133668,#13765); #7232=LINE('',#133670,#13766); #7233=LINE('',#133671,#13767); #7234=LINE('',#133674,#13768); #7235=LINE('',#133676,#13769); #7236=LINE('',#133677,#13770); #7237=LINE('',#133679,#13771); #7238=LINE('',#133680,#13772); #7239=LINE('',#133697,#13773); #7240=LINE('',#133703,#13774); #7241=LINE('',#133715,#13775); #7242=LINE('',#133730,#13776); #7243=LINE('',#133748,#13777); #7244=LINE('',#133764,#13778); #7245=LINE('',#133770,#13779); #7246=LINE('',#133772,#13780); #7247=LINE('',#133773,#13781); #7248=LINE('',#133776,#13782); #7249=LINE('',#133778,#13783); #7250=LINE('',#133779,#13784); #7251=LINE('',#133782,#13785); #7252=LINE('',#133784,#13786); #7253=LINE('',#133785,#13787); #7254=LINE('',#133788,#13788); #7255=LINE('',#133790,#13789); #7256=LINE('',#133791,#13790); #7257=LINE('',#133794,#13791); #7258=LINE('',#133796,#13792); #7259=LINE('',#133797,#13793); #7260=LINE('',#133800,#13794); #7261=LINE('',#133802,#13795); #7262=LINE('',#133803,#13796); #7263=LINE('',#133818,#13797); #7264=LINE('',#133837,#13798); #7265=LINE('',#133856,#13799); #7266=LINE('',#133875,#13800); #7267=LINE('',#133881,#13801); #7268=LINE('',#133882,#13802); #7269=LINE('',#133887,#13803); #7270=LINE('',#133889,#13804); #7271=LINE('',#133891,#13805); #7272=LINE('',#133892,#13806); #7273=LINE('',#133895,#13807); #7274=LINE('',#133897,#13808); #7275=LINE('',#133898,#13809); #7276=LINE('',#133901,#13810); #7277=LINE('',#133903,#13811); #7278=LINE('',#133904,#13812); #7279=LINE('',#133907,#13813); #7280=LINE('',#133909,#13814); #7281=LINE('',#133910,#13815); #7282=LINE('',#133913,#13816); #7283=LINE('',#133915,#13817); #7284=LINE('',#133916,#13818); #7285=LINE('',#133919,#13819); #7286=LINE('',#133921,#13820); #7287=LINE('',#133922,#13821); #7288=LINE('',#133924,#13822); #7289=LINE('',#133925,#13823); #7290=LINE('',#133930,#13824); #7291=LINE('',#133932,#13825); #7292=LINE('',#133934,#13826); #7293=LINE('',#133935,#13827); #7294=LINE('',#133938,#13828); #7295=LINE('',#133940,#13829); #7296=LINE('',#133941,#13830); #7297=LINE('',#133944,#13831); #7298=LINE('',#133946,#13832); #7299=LINE('',#133947,#13833); #7300=LINE('',#133950,#13834); #7301=LINE('',#133952,#13835); #7302=LINE('',#133953,#13836); #7303=LINE('',#133956,#13837); #7304=LINE('',#133958,#13838); #7305=LINE('',#133959,#13839); #7306=LINE('',#133962,#13840); #7307=LINE('',#133964,#13841); #7308=LINE('',#133965,#13842); #7309=LINE('',#133968,#13843); #7310=LINE('',#133970,#13844); #7311=LINE('',#133971,#13845); #7312=LINE('',#133974,#13846); #7313=LINE('',#133976,#13847); #7314=LINE('',#133977,#13848); #7315=LINE('',#133980,#13849); #7316=LINE('',#133982,#13850); #7317=LINE('',#133983,#13851); #7318=LINE('',#133986,#13852); #7319=LINE('',#133988,#13853); #7320=LINE('',#133989,#13854); #7321=LINE('',#133992,#13855); #7322=LINE('',#133994,#13856); #7323=LINE('',#133995,#13857); #7324=LINE('',#133997,#13858); #7325=LINE('',#133998,#13859); #7326=LINE('',#134003,#13860); #7327=LINE('',#134005,#13861); #7328=LINE('',#134007,#13862); #7329=LINE('',#134008,#13863); #7330=LINE('',#134011,#13864); #7331=LINE('',#134013,#13865); #7332=LINE('',#134014,#13866); #7333=LINE('',#134017,#13867); #7334=LINE('',#134019,#13868); #7335=LINE('',#134020,#13869); #7336=LINE('',#134023,#13870); #7337=LINE('',#134025,#13871); #7338=LINE('',#134026,#13872); #7339=LINE('',#134029,#13873); #7340=LINE('',#134031,#13874); #7341=LINE('',#134032,#13875); #7342=LINE('',#134035,#13876); #7343=LINE('',#134037,#13877); #7344=LINE('',#134038,#13878); #7345=LINE('',#134041,#13879); #7346=LINE('',#134043,#13880); #7347=LINE('',#134044,#13881); #7348=LINE('',#134047,#13882); #7349=LINE('',#134049,#13883); #7350=LINE('',#134050,#13884); #7351=LINE('',#134053,#13885); #7352=LINE('',#134055,#13886); #7353=LINE('',#134056,#13887); #7354=LINE('',#134059,#13888); #7355=LINE('',#134061,#13889); #7356=LINE('',#134062,#13890); #7357=LINE('',#134065,#13891); #7358=LINE('',#134067,#13892); #7359=LINE('',#134068,#13893); #7360=LINE('',#134070,#13894); #7361=LINE('',#134071,#13895); #7362=LINE('',#134076,#13896); #7363=LINE('',#134078,#13897); #7364=LINE('',#134080,#13898); #7365=LINE('',#134081,#13899); #7366=LINE('',#134084,#13900); #7367=LINE('',#134086,#13901); #7368=LINE('',#134087,#13902); #7369=LINE('',#134089,#13903); #7370=LINE('',#134090,#13904); #7371=LINE('',#134094,#13905); #7372=LINE('',#134096,#13906); #7373=LINE('',#134098,#13907); #7374=LINE('',#134099,#13908); #7375=LINE('',#134102,#13909); #7376=LINE('',#134104,#13910); #7377=LINE('',#134105,#13911); #7378=LINE('',#134108,#13912); #7379=LINE('',#134110,#13913); #7380=LINE('',#134111,#13914); #7381=LINE('',#134114,#13915); #7382=LINE('',#134116,#13916); #7383=LINE('',#134117,#13917); #7384=LINE('',#134120,#13918); #7385=LINE('',#134122,#13919); #7386=LINE('',#134123,#13920); #7387=LINE('',#134126,#13921); #7388=LINE('',#134128,#13922); #7389=LINE('',#134129,#13923); #7390=LINE('',#134132,#13924); #7391=LINE('',#134134,#13925); #7392=LINE('',#134135,#13926); #7393=LINE('',#134137,#13927); #7394=LINE('',#134138,#13928); #7395=LINE('',#134155,#13929); #7396=LINE('',#134161,#13930); #7397=LINE('',#134164,#13931); #7398=LINE('',#134166,#13932); #7399=LINE('',#134167,#13933); #7400=LINE('',#134170,#13934); #7401=LINE('',#134172,#13935); #7402=LINE('',#134173,#13936); #7403=LINE('',#134176,#13937); #7404=LINE('',#134178,#13938); #7405=LINE('',#134179,#13939); #7406=LINE('',#134198,#13940); #7407=LINE('',#134217,#13941); #7408=LINE('',#134237,#13942); #7409=LINE('',#134239,#13943); #7410=LINE('',#134241,#13944); #7411=LINE('',#134242,#13945); #7412=LINE('',#134257,#13946); #7413=LINE('',#134276,#13947); #7414=LINE('',#134295,#13948); #7415=LINE('',#134302,#13949); #7416=LINE('',#134304,#13950); #7417=LINE('',#134305,#13951); #7418=LINE('',#134308,#13952); #7419=LINE('',#134310,#13953); #7420=LINE('',#134311,#13954); #7421=LINE('',#134314,#13955); #7422=LINE('',#134316,#13956); #7423=LINE('',#134317,#13957); #7424=LINE('',#134320,#13958); #7425=LINE('',#134322,#13959); #7426=LINE('',#134323,#13960); #7427=LINE('',#134326,#13961); #7428=LINE('',#134328,#13962); #7429=LINE('',#134329,#13963); #7430=LINE('',#134332,#13964); #7431=LINE('',#134334,#13965); #7432=LINE('',#134335,#13966); #7433=LINE('',#134337,#13967); #7434=LINE('',#134338,#13968); #7435=LINE('',#134355,#13969); #7436=LINE('',#134361,#13970); #7437=LINE('',#134364,#13971); #7438=LINE('',#134366,#13972); #7439=LINE('',#134367,#13973); #7440=LINE('',#134370,#13974); #7441=LINE('',#134372,#13975); #7442=LINE('',#134373,#13976); #7443=LINE('',#134376,#13977); #7444=LINE('',#134378,#13978); #7445=LINE('',#134379,#13979); #7446=LINE('',#134398,#13980); #7447=LINE('',#134417,#13981); #7448=LINE('',#134449,#13982); #7449=LINE('',#134455,#13983); #7450=LINE('',#134470,#13984); #7451=LINE('',#134477,#13985); #7452=LINE('',#134479,#13986); #7453=LINE('',#134480,#13987); #7454=LINE('',#134483,#13988); #7455=LINE('',#134485,#13989); #7456=LINE('',#134486,#13990); #7457=LINE('',#134489,#13991); #7458=LINE('',#134491,#13992); #7459=LINE('',#134492,#13993); #7460=LINE('',#134507,#13994); #7461=LINE('',#134532,#13995); #7462=LINE('',#134534,#13996); #7463=LINE('',#134536,#13997); #7464=LINE('',#134537,#13998); #7465=LINE('',#134540,#13999); #7466=LINE('',#134542,#14000); #7467=LINE('',#134543,#14001); #7468=LINE('',#134546,#14002); #7469=LINE('',#134548,#14003); #7470=LINE('',#134549,#14004); #7471=LINE('',#134552,#14005); #7472=LINE('',#134554,#14006); #7473=LINE('',#134555,#14007); #7474=LINE('',#134558,#14008); #7475=LINE('',#134560,#14009); #7476=LINE('',#134561,#14010); #7477=LINE('',#134564,#14011); #7478=LINE('',#134566,#14012); #7479=LINE('',#134567,#14013); #7480=LINE('',#134570,#14014); #7481=LINE('',#134572,#14015); #7482=LINE('',#134573,#14016); #7483=LINE('',#134576,#14017); #7484=LINE('',#134578,#14018); #7485=LINE('',#134579,#14019); #7486=LINE('',#134582,#14020); #7487=LINE('',#134584,#14021); #7488=LINE('',#134585,#14022); #7489=LINE('',#134588,#14023); #7490=LINE('',#134590,#14024); #7491=LINE('',#134591,#14025); #7492=LINE('',#134594,#14026); #7493=LINE('',#134596,#14027); #7494=LINE('',#134597,#14028); #7495=LINE('',#134600,#14029); #7496=LINE('',#134602,#14030); #7497=LINE('',#134603,#14031); #7498=LINE('',#134605,#14032); #7499=LINE('',#134606,#14033); #7500=LINE('',#134623,#14034); #7501=LINE('',#134629,#14035); #7502=LINE('',#134644,#14036); #7503=LINE('',#134651,#14037); #7504=LINE('',#134653,#14038); #7505=LINE('',#134654,#14039); #7506=LINE('',#134657,#14040); #7507=LINE('',#134659,#14041); #7508=LINE('',#134660,#14042); #7509=LINE('',#134663,#14043); #7510=LINE('',#134665,#14044); #7511=LINE('',#134666,#14045); #7512=LINE('',#134669,#14046); #7513=LINE('',#134671,#14047); #7514=LINE('',#134672,#14048); #7515=LINE('',#134675,#14049); #7516=LINE('',#134677,#14050); #7517=LINE('',#134678,#14051); #7518=LINE('',#134693,#14052); #7519=LINE('',#134712,#14053); #7520=LINE('',#134731,#14054); #7521=LINE('',#134750,#14055); #7522=LINE('',#134769,#14056); #7523=LINE('',#134788,#14057); #7524=LINE('',#134795,#14058); #7525=LINE('',#134797,#14059); #7526=LINE('',#134798,#14060); #7527=LINE('',#134801,#14061); #7528=LINE('',#134803,#14062); #7529=LINE('',#134804,#14063); #7530=LINE('',#134819,#14064); #7531=LINE('',#134838,#14065); #7532=LINE('',#134857,#14066); #7533=LINE('',#134876,#14067); #7534=LINE('',#134895,#14068); #7535=LINE('',#134932,#14069); #7536=LINE('',#134938,#14070); #7537=LINE('',#134953,#14071); #7538=LINE('',#134960,#14072); #7539=LINE('',#134962,#14073); #7540=LINE('',#134963,#14074); #7541=LINE('',#134966,#14075); #7542=LINE('',#134968,#14076); #7543=LINE('',#134969,#14077); #7544=LINE('',#134984,#14078); #7545=LINE('',#135003,#14079); #7546=LINE('',#135022,#14080); #7547=LINE('',#135041,#14081); #7548=LINE('',#135060,#14082); #7549=LINE('',#135079,#14083); #7550=LINE('',#135098,#14084); #7551=LINE('',#135117,#14085); #7552=LINE('',#135124,#14086); #7553=LINE('',#135126,#14087); #7554=LINE('',#135127,#14088); #7555=LINE('',#135130,#14089); #7556=LINE('',#135132,#14090); #7557=LINE('',#135133,#14091); #7558=LINE('',#135148,#14092); #7559=LINE('',#135167,#14093); #7560=LINE('',#135186,#14094); #7561=LINE('',#135205,#14095); #7562=LINE('',#135224,#14096); #7563=LINE('',#135261,#14097); #7564=LINE('',#135267,#14098); #7565=LINE('',#135270,#14099); #7566=LINE('',#135272,#14100); #7567=LINE('',#135273,#14101); #7568=LINE('',#135276,#14102); #7569=LINE('',#135278,#14103); #7570=LINE('',#135279,#14104); #7571=LINE('',#135282,#14105); #7572=LINE('',#135284,#14106); #7573=LINE('',#135285,#14107); #7574=LINE('',#135304,#14108); #7575=LINE('',#135323,#14109); #7576=LINE('',#135355,#14110); #7577=LINE('',#135361,#14111); #7578=LINE('',#135376,#14112); #7579=LINE('',#135383,#14113); #7580=LINE('',#135385,#14114); #7581=LINE('',#135386,#14115); #7582=LINE('',#135389,#14116); #7583=LINE('',#135391,#14117); #7584=LINE('',#135392,#14118); #7585=LINE('',#135395,#14119); #7586=LINE('',#135397,#14120); #7587=LINE('',#135398,#14121); #7588=LINE('',#135401,#14122); #7589=LINE('',#135403,#14123); #7590=LINE('',#135404,#14124); #7591=LINE('',#135407,#14125); #7592=LINE('',#135409,#14126); #7593=LINE('',#135410,#14127); #7594=LINE('',#135425,#14128); #7595=LINE('',#135450,#14129); #7596=LINE('',#135452,#14130); #7597=LINE('',#135454,#14131); #7598=LINE('',#135455,#14132); #7599=LINE('',#135458,#14133); #7600=LINE('',#135460,#14134); #7601=LINE('',#135461,#14135); #7602=LINE('',#135463,#14136); #7603=LINE('',#135464,#14137); #7604=LINE('',#135468,#14138); #7605=LINE('',#135470,#14139); #7606=LINE('',#135472,#14140); #7607=LINE('',#135473,#14141); #7608=LINE('',#135476,#14142); #7609=LINE('',#135478,#14143); #7610=LINE('',#135479,#14144); #7611=LINE('',#135482,#14145); #7612=LINE('',#135484,#14146); #7613=LINE('',#135485,#14147); #7614=LINE('',#135488,#14148); #7615=LINE('',#135490,#14149); #7616=LINE('',#135491,#14150); #7617=LINE('',#135494,#14151); #7618=LINE('',#135496,#14152); #7619=LINE('',#135497,#14153); #7620=LINE('',#135500,#14154); #7621=LINE('',#135502,#14155); #7622=LINE('',#135503,#14156); #7623=LINE('',#135506,#14157); #7624=LINE('',#135508,#14158); #7625=LINE('',#135509,#14159); #7626=LINE('',#135511,#14160); #7627=LINE('',#135512,#14161); #7628=LINE('',#135517,#14162); #7629=LINE('',#135519,#14163); #7630=LINE('',#135521,#14164); #7631=LINE('',#135522,#14165); #7632=LINE('',#135525,#14166); #7633=LINE('',#135527,#14167); #7634=LINE('',#135528,#14168); #7635=LINE('',#135531,#14169); #7636=LINE('',#135533,#14170); #7637=LINE('',#135534,#14171); #7638=LINE('',#135537,#14172); #7639=LINE('',#135539,#14173); #7640=LINE('',#135540,#14174); #7641=LINE('',#135543,#14175); #7642=LINE('',#135545,#14176); #7643=LINE('',#135546,#14177); #7644=LINE('',#135549,#14178); #7645=LINE('',#135551,#14179); #7646=LINE('',#135552,#14180); #7647=LINE('',#135555,#14181); #7648=LINE('',#135557,#14182); #7649=LINE('',#135558,#14183); #7650=LINE('',#135560,#14184); #7651=LINE('',#135561,#14185); #7652=LINE('',#135578,#14186); #7653=LINE('',#135584,#14187); #7654=LINE('',#135587,#14188); #7655=LINE('',#135589,#14189); #7656=LINE('',#135590,#14190); #7657=LINE('',#135593,#14191); #7658=LINE('',#135595,#14192); #7659=LINE('',#135596,#14193); #7660=LINE('',#135599,#14194); #7661=LINE('',#135601,#14195); #7662=LINE('',#135602,#14196); #7663=LINE('',#135621,#14197); #7664=LINE('',#135640,#14198); #7665=LINE('',#135672,#14199); #7666=LINE('',#135678,#14200); #7667=LINE('',#135693,#14201); #7668=LINE('',#135700,#14202); #7669=LINE('',#135702,#14203); #7670=LINE('',#135703,#14204); #7671=LINE('',#135706,#14205); #7672=LINE('',#135708,#14206); #7673=LINE('',#135709,#14207); #7674=LINE('',#135712,#14208); #7675=LINE('',#135714,#14209); #7676=LINE('',#135715,#14210); #7677=LINE('',#135718,#14211); #7678=LINE('',#135720,#14212); #7679=LINE('',#135721,#14213); #7680=LINE('',#135724,#14214); #7681=LINE('',#135726,#14215); #7682=LINE('',#135727,#14216); #7683=LINE('',#135742,#14217); #7684=LINE('',#135767,#14218); #7685=LINE('',#135769,#14219); #7686=LINE('',#135771,#14220); #7687=LINE('',#135772,#14221); #7688=LINE('',#135775,#14222); #7689=LINE('',#135777,#14223); #7690=LINE('',#135778,#14224); #7691=LINE('',#135781,#14225); #7692=LINE('',#135783,#14226); #7693=LINE('',#135784,#14227); #7694=LINE('',#135787,#14228); #7695=LINE('',#135789,#14229); #7696=LINE('',#135790,#14230); #7697=LINE('',#135793,#14231); #7698=LINE('',#135795,#14232); #7699=LINE('',#135796,#14233); #7700=LINE('',#135799,#14234); #7701=LINE('',#135801,#14235); #7702=LINE('',#135802,#14236); #7703=LINE('',#135805,#14237); #7704=LINE('',#135807,#14238); #7705=LINE('',#135808,#14239); #7706=LINE('',#135811,#14240); #7707=LINE('',#135813,#14241); #7708=LINE('',#135814,#14242); #7709=LINE('',#135817,#14243); #7710=LINE('',#135819,#14244); #7711=LINE('',#135820,#14245); #7712=LINE('',#135823,#14246); #7713=LINE('',#135825,#14247); #7714=LINE('',#135826,#14248); #7715=LINE('',#135829,#14249); #7716=LINE('',#135831,#14250); #7717=LINE('',#135832,#14251); #7718=LINE('',#135834,#14252); #7719=LINE('',#135835,#14253); #7720=LINE('',#135852,#14254); #7721=LINE('',#135858,#14255); #7722=LINE('',#135861,#14256); #7723=LINE('',#135863,#14257); #7724=LINE('',#135864,#14258); #7725=LINE('',#135867,#14259); #7726=LINE('',#135869,#14260); #7727=LINE('',#135870,#14261); #7728=LINE('',#135873,#14262); #7729=LINE('',#135875,#14263); #7730=LINE('',#135876,#14264); #7731=LINE('',#135895,#14265); #7732=LINE('',#135914,#14266); #7733=LINE('',#135946,#14267); #7734=LINE('',#135952,#14268); #7735=LINE('',#135967,#14269); #7736=LINE('',#135974,#14270); #7737=LINE('',#135976,#14271); #7738=LINE('',#135977,#14272); #7739=LINE('',#135980,#14273); #7740=LINE('',#135982,#14274); #7741=LINE('',#135983,#14275); #7742=LINE('',#135986,#14276); #7743=LINE('',#135988,#14277); #7744=LINE('',#135989,#14278); #7745=LINE('',#136004,#14279); #7746=LINE('',#136029,#14280); #7747=LINE('',#136031,#14281); #7748=LINE('',#136033,#14282); #7749=LINE('',#136034,#14283); #7750=LINE('',#136037,#14284); #7751=LINE('',#136039,#14285); #7752=LINE('',#136040,#14286); #7753=LINE('',#136043,#14287); #7754=LINE('',#136045,#14288); #7755=LINE('',#136046,#14289); #7756=LINE('',#136048,#14290); #7757=LINE('',#136049,#14291); #7758=LINE('',#136054,#14292); #7759=LINE('',#136058,#14293); #7760=LINE('',#136062,#14294); #7761=LINE('',#136066,#14295); #7762=LINE('',#136070,#14296); #7763=LINE('',#136076,#14297); #7764=LINE('',#136093,#14298); #7765=LINE('',#136097,#14299); #7766=LINE('',#136114,#14300); #7767=LINE('',#136118,#14301); #7768=LINE('',#136135,#14302); #7769=LINE('',#136139,#14303); #7770=LINE('',#136156,#14304); #7771=LINE('',#136160,#14305); #7772=LINE('',#136177,#14306); #7773=LINE('',#136183,#14307); #7774=LINE('',#136187,#14308); #7775=LINE('',#136191,#14309); #7776=LINE('',#136195,#14310); #7777=LINE('',#136199,#14311); #7778=LINE('',#136212,#14312); #7779=LINE('',#136286,#14313); #7780=LINE('',#136290,#14314); #7781=LINE('',#136294,#14315); #7782=LINE('',#136451,#14316); #7783=LINE('',#136460,#14317); #7784=LINE('',#136468,#14318); #7785=LINE('',#136997,#14319); #7786=LINE('',#136999,#14320); #7787=LINE('',#137001,#14321); #7788=LINE('',#137003,#14322); #7789=LINE('',#137036,#14323); #7790=LINE('',#137038,#14324); #7791=LINE('',#137058,#14325); #7792=LINE('',#137061,#14326); #7793=LINE('',#137067,#14327); #7794=LINE('',#137070,#14328); #7795=LINE('',#137080,#14329); #7796=LINE('',#137081,#14330); #7797=LINE('',#137087,#14331); #7798=LINE('',#137089,#14332); #7799=LINE('',#137094,#14333); #7800=LINE('',#137097,#14334); #7801=LINE('',#137103,#14335); #7802=LINE('',#137105,#14336); #7803=LINE('',#137119,#14337); #7804=LINE('',#137122,#14338); #7805=LINE('',#137125,#14339); #7806=LINE('',#137131,#14340); #7807=LINE('',#137134,#14341); #7808=LINE('',#137143,#14342); #7809=LINE('',#137145,#14343); #7810=LINE('',#137152,#14344); #7811=LINE('',#137156,#14345); #7812=LINE('',#137157,#14346); #7813=LINE('',#137164,#14347); #7814=LINE('',#137167,#14348); #7815=LINE('',#137173,#14349); #7816=LINE('',#137175,#14350); #7817=LINE('',#137186,#14351); #7818=LINE('',#137187,#14352); #7819=LINE('',#137195,#14353); #7820=LINE('',#137197,#14354); #7821=LINE('',#137205,#14355); #7822=LINE('',#137207,#14356); #7823=LINE('',#137217,#14357); #7824=LINE('',#137218,#14358); #7825=LINE('',#137225,#14359); #7826=LINE('',#137228,#14360); #7827=LINE('',#137231,#14361); #7828=LINE('',#137232,#14362); #7829=LINE('',#137235,#14363); #7830=LINE('',#137239,#14364); #7831=LINE('',#137245,#14365); #7832=LINE('',#137248,#14366); #7833=LINE('',#137258,#14367); #7834=LINE('',#137260,#14368); #7835=LINE('',#137271,#14369); #7836=LINE('',#137274,#14370); #7837=LINE('',#137280,#14371); #7838=LINE('',#137283,#14372); #7839=LINE('',#137293,#14373); #7840=LINE('',#137294,#14374); #7841=LINE('',#137300,#14375); #7842=LINE('',#137302,#14376); #7843=LINE('',#137307,#14377); #7844=LINE('',#137310,#14378); #7845=LINE('',#137316,#14379); #7846=LINE('',#137318,#14380); #7847=LINE('',#137332,#14381); #7848=LINE('',#137340,#14382); #7849=LINE('',#137343,#14383); #7850=LINE('',#137345,#14384); #7851=LINE('',#137360,#14385); #7852=LINE('',#137372,#14386); #7853=LINE('',#137376,#14387); #7854=LINE('',#137380,#14388); #7855=LINE('',#137402,#14389); #7856=LINE('',#137406,#14390); #7857=LINE('',#137410,#14391); #7858=LINE('',#137417,#14392); #7859=LINE('',#137425,#14393); #7860=LINE('',#137432,#14394); #7861=LINE('',#137435,#14395); #7862=LINE('',#137441,#14396); #7863=LINE('',#137443,#14397); #7864=LINE('',#137454,#14398); #7865=LINE('',#137455,#14399); #7866=LINE('',#137463,#14400); #7867=LINE('',#137465,#14401); #7868=LINE('',#137473,#14402); #7869=LINE('',#137475,#14403); #7870=LINE('',#137485,#14404); #7871=LINE('',#137486,#14405); #7872=LINE('',#137493,#14406); #7873=LINE('',#137501,#14407); #7874=LINE('',#137504,#14408); #7875=LINE('',#137506,#14409); #7876=LINE('',#137521,#14410); #7877=LINE('',#137533,#14411); #7878=LINE('',#137537,#14412); #7879=LINE('',#137541,#14413); #7880=LINE('',#137579,#14414); #7881=LINE('',#137583,#14415); #7882=LINE('',#137587,#14416); #7883=LINE('',#137599,#14417); #7884=LINE('',#137623,#14418); #7885=LINE('',#137757,#14419); #7886=LINE('',#137768,#14420); #7887=LINE('',#137769,#14421); #7888=LINE('',#137901,#14422); #7889=LINE('',#137931,#14423); #7890=LINE('',#137964,#14424); #7891=LINE('',#137997,#14425); #7892=LINE('',#138122,#14426); #7893=LINE('',#138123,#14427); #7894=LINE('',#138131,#14428); #7895=LINE('',#138281,#14429); #7896=LINE('',#138283,#14430); #7897=LINE('',#138285,#14431); #7898=LINE('',#138287,#14432); #7899=LINE('',#138289,#14433); #7900=LINE('',#138345,#14434); #7901=LINE('',#138346,#14435); #7902=LINE('',#138580,#14436); #7903=LINE('',#138933,#14437); #7904=LINE('',#138997,#14438); #7905=LINE('',#139000,#14439); #7906=LINE('',#139003,#14440); #7907=LINE('',#139005,#14441); #7908=LINE('',#139012,#14442); #7909=LINE('',#139298,#14443); #7910=LINE('',#139300,#14444); #7911=LINE('',#139308,#14445); #7912=LINE('',#139309,#14446); #7913=LINE('',#139621,#14447); #7914=LINE('',#139635,#14448); #7915=LINE('',#139731,#14449); #7916=LINE('',#139733,#14450); #7917=LINE('',#139734,#14451); #7918=LINE('',#139737,#14452); #7919=LINE('',#139913,#14453); #7920=LINE('',#139927,#14454); #7921=LINE('',#140100,#14455); #7922=LINE('',#140103,#14456); #7923=LINE('',#140266,#14457); #7924=LINE('',#140331,#14458); #7925=LINE('',#140334,#14459); #7926=LINE('',#140623,#14460); #7927=LINE('',#140744,#14461); #7928=LINE('',#140819,#14462); #7929=LINE('',#140822,#14463); #7930=LINE('',#140986,#14464); #7931=LINE('',#141079,#14465); #7932=LINE('',#141216,#14466); #7933=LINE('',#141224,#14467); #7934=LINE('',#141225,#14468); #7935=LINE('',#141227,#14469); #7936=LINE('',#141229,#14470); #7937=LINE('',#141428,#14471); #7938=LINE('',#141500,#14472); #7939=LINE('',#141678,#14473); #7940=LINE('',#141750,#14474); #7941=LINE('',#142193,#14475); #7942=LINE('',#142265,#14476); #7943=LINE('',#142577,#14477); #7944=LINE('',#142645,#14478); #7945=LINE('',#142882,#14479); #7946=LINE('',#142954,#14480); #7947=LINE('',#143273,#14481); #7948=LINE('',#143341,#14482); #7949=LINE('',#143404,#14483); #7950=LINE('',#143407,#14484); #7951=LINE('',#143448,#14485); #7952=LINE('',#143477,#14486); #7953=LINE('',#143481,#14487); #7954=LINE('',#143559,#14488); #7955=LINE('',#143560,#14489); #7956=LINE('',#143564,#14490); #7957=LINE('',#143666,#14491); #7958=LINE('',#143724,#14492); #7959=LINE('',#143825,#14493); #7960=LINE('',#143891,#14494); #7961=LINE('',#143963,#14495); #7962=LINE('',#144020,#14496); #7963=LINE('',#144293,#14497); #7964=LINE('',#144369,#14498); #7965=LINE('',#144811,#14499); #7966=LINE('',#144883,#14500); #7967=LINE('',#145162,#14501); #7968=LINE('',#145474,#14502); #7969=LINE('',#145546,#14503); #7970=LINE('',#145943,#14504); #7971=LINE('',#146180,#14505); #7972=LINE('',#146256,#14506); #7973=LINE('',#146439,#14507); #7974=LINE('',#146572,#14508); #7975=LINE('',#146618,#14509); #7976=LINE('',#146619,#14510); #7977=LINE('',#146727,#14511); #7978=LINE('',#146731,#14512); #7979=LINE('',#146761,#14513); #7980=LINE('',#146861,#14514); #7981=LINE('',#146913,#14515); #7982=LINE('',#147050,#14516); #7983=LINE('',#147122,#14517); #7984=LINE('',#147240,#14518); #7985=LINE('',#147437,#14519); #7986=LINE('',#148087,#14520); #7987=LINE('',#148350,#14521); #7988=LINE('',#148493,#14522); #7989=LINE('',#148554,#14523); #7990=LINE('',#148616,#14524); #7991=LINE('',#148691,#14525); #7992=LINE('',#148793,#14526); #7993=LINE('',#148895,#14527); #7994=LINE('',#149357,#14528); #7995=LINE('',#149403,#14529); #7996=LINE('',#149684,#14530); #7997=LINE('',#149949,#14531); #7998=LINE('',#150299,#14532); #7999=LINE('',#150930,#14533); #8000=LINE('',#150938,#14534); #8001=LINE('',#151152,#14535); #8002=LINE('',#151154,#14536); #8003=LINE('',#151198,#14537); #8004=LINE('',#151205,#14538); #8005=LINE('',#151281,#14539); #8006=LINE('',#151296,#14540); #8007=LINE('',#151377,#14541); #8008=LINE('',#151529,#14542); #8009=LINE('',#151682,#14543); #8010=LINE('',#151696,#14544); #8011=LINE('',#151754,#14545); #8012=LINE('',#151781,#14546); #8013=LINE('',#152023,#14547); #8014=LINE('',#152048,#14548); #8015=LINE('',#152051,#14549); #8016=LINE('',#152054,#14550); #8017=LINE('',#152056,#14551); #8018=LINE('',#152057,#14552); #8019=LINE('',#152060,#14553); #8020=LINE('',#152064,#14554); #8021=LINE('',#152068,#14555); #8022=LINE('',#152070,#14556); #8023=LINE('',#152072,#14557); #8024=LINE('',#152074,#14558); #8025=LINE('',#152076,#14559); #8026=LINE('',#152080,#14560); #8027=LINE('',#152084,#14561); #8028=LINE('',#152088,#14562); #8029=LINE('',#152092,#14563); #8030=LINE('',#152096,#14564); #8031=LINE('',#152100,#14565); #8032=LINE('',#152104,#14566); #8033=LINE('',#152108,#14567); #8034=LINE('',#152114,#14568); #8035=LINE('',#152115,#14569); #8036=LINE('',#152118,#14570); #8037=LINE('',#152119,#14571); #8038=LINE('',#152125,#14572); #8039=LINE('',#152128,#14573); #8040=LINE('',#152131,#14574); #8041=LINE('',#152133,#14575); #8042=LINE('',#152134,#14576); #8043=LINE('',#152137,#14577); #8044=LINE('',#152141,#14578); #8045=LINE('',#152145,#14579); #8046=LINE('',#152152,#14580); #8047=LINE('',#152159,#14581); #8048=LINE('',#152163,#14582); #8049=LINE('',#152167,#14583); #8050=LINE('',#152171,#14584); #8051=LINE('',#152175,#14585); #8052=LINE('',#152181,#14586); #8053=LINE('',#152182,#14587); #8054=LINE('',#152185,#14588); #8055=LINE('',#152186,#14589); #8056=LINE('',#152192,#14590); #8057=LINE('',#152195,#14591); #8058=LINE('',#152289,#14592); #8059=LINE('',#152306,#14593); #8060=LINE('',#152340,#14594); #8061=LINE('',#152342,#14595); #8062=LINE('',#152346,#14596); #8063=LINE('',#152350,#14597); #8064=LINE('',#152352,#14598); #8065=LINE('',#152357,#14599); #8066=LINE('',#152361,#14600); #8067=LINE('',#152363,#14601); #8068=LINE('',#152377,#14602); #8069=LINE('',#152381,#14603); #8070=LINE('',#152383,#14604); #8071=LINE('',#152385,#14605); #8072=LINE('',#152387,#14606); #8073=LINE('',#152389,#14607); #8074=LINE('',#152393,#14608); #8075=LINE('',#152407,#14609); #8076=LINE('',#152414,#14610); #8077=LINE('',#152417,#14611); #8078=LINE('',#152469,#14612); #8079=LINE('',#152470,#14613); #8080=LINE('',#152472,#14614); #8081=LINE('',#152475,#14615); #8082=LINE('',#152480,#14616); #8083=LINE('',#152481,#14617); #8084=LINE('',#152484,#14618); #8085=LINE('',#152488,#14619); #8086=LINE('',#152489,#14620); #8087=LINE('',#152492,#14621); #8088=LINE('',#152495,#14622); #8089=LINE('',#152498,#14623); #8090=LINE('',#152501,#14624); #8091=LINE('',#152504,#14625); #8092=LINE('',#152505,#14626); #8093=LINE('',#152510,#14627); #8094=LINE('',#152513,#14628); #8095=LINE('',#152515,#14629); #8096=LINE('',#152518,#14630); #8097=LINE('',#152522,#14631); #8098=LINE('',#152523,#14632); #8099=LINE('',#152528,#14633); #8100=LINE('',#152531,#14634); #8101=LINE('',#152534,#14635); #8102=LINE('',#152535,#14636); #8103=LINE('',#152538,#14637); #8104=LINE('',#152541,#14638); #8105=LINE('',#152544,#14639); #8106=LINE('',#152545,#14640); #8107=LINE('',#152548,#14641); #8108=LINE('',#152551,#14642); #8109=LINE('',#152554,#14643); #8110=LINE('',#152557,#14644); #8111=LINE('',#152589,#14645); #8112=LINE('',#152592,#14646); #8113=LINE('',#152594,#14647); #8114=LINE('',#152596,#14648); #8115=LINE('',#152598,#14649); #8116=LINE('',#152599,#14650); #8117=LINE('',#152622,#14651); #8118=LINE('',#152623,#14652); #8119=LINE('',#152625,#14653); #8120=LINE('',#152661,#14654); #8121=LINE('',#152801,#14655); #8122=LINE('',#153057,#14656); #8123=LINE('',#153067,#14657); #8124=LINE('',#153080,#14658); #8125=LINE('',#153213,#14659); #8126=LINE('',#153227,#14660); #8127=LINE('',#153236,#14661); #8128=LINE('',#153240,#14662); #8129=LINE('',#153242,#14663); #8130=LINE('',#153244,#14664); #8131=LINE('',#153245,#14665); #8132=LINE('',#153248,#14666); #8133=LINE('',#153250,#14667); #8134=LINE('',#153251,#14668); #8135=LINE('',#153254,#14669); #8136=LINE('',#153255,#14670); #8137=LINE('',#153368,#14671); #8138=LINE('',#153369,#14672); #8139=LINE('',#153371,#14673); #8140=LINE('',#153556,#14674); #8141=LINE('',#153558,#14675); #8142=LINE('',#153560,#14676); #8143=LINE('',#153561,#14677); #8144=LINE('',#153564,#14678); #8145=LINE('',#153566,#14679); #8146=LINE('',#153567,#14680); #8147=LINE('',#153570,#14681); #8148=LINE('',#153571,#14682); #8149=LINE('',#153681,#14683); #8150=LINE('',#153682,#14684); #8151=LINE('',#153684,#14685); #8152=LINE('',#153870,#14686); #8153=LINE('',#153872,#14687); #8154=LINE('',#153874,#14688); #8155=LINE('',#153875,#14689); #8156=LINE('',#153878,#14690); #8157=LINE('',#153880,#14691); #8158=LINE('',#153881,#14692); #8159=LINE('',#153884,#14693); #8160=LINE('',#153886,#14694); #8161=LINE('',#153887,#14695); #8162=LINE('',#153889,#14696); #8163=LINE('',#153890,#14697); #8164=LINE('',#153895,#14698); #8165=LINE('',#153897,#14699); #8166=LINE('',#153899,#14700); #8167=LINE('',#153900,#14701); #8168=LINE('',#153903,#14702); #8169=LINE('',#153905,#14703); #8170=LINE('',#153906,#14704); #8171=LINE('',#153909,#14705); #8172=LINE('',#153911,#14706); #8173=LINE('',#153912,#14707); #8174=LINE('',#153914,#14708); #8175=LINE('',#153915,#14709); #8176=LINE('',#153920,#14710); #8177=LINE('',#153922,#14711); #8178=LINE('',#153924,#14712); #8179=LINE('',#153925,#14713); #8180=LINE('',#153928,#14714); #8181=LINE('',#153930,#14715); #8182=LINE('',#153931,#14716); #8183=LINE('',#153934,#14717); #8184=LINE('',#153936,#14718); #8185=LINE('',#153937,#14719); #8186=LINE('',#153939,#14720); #8187=LINE('',#153940,#14721); #8188=LINE('',#154028,#14722); #8189=LINE('',#154565,#14723); #8190=LINE('',#154588,#14724); #8191=LINE('',#155030,#14725); #8192=LINE('',#155213,#14726); #8193=LINE('',#155220,#14727); #8194=LINE('',#155521,#14728); #8195=LINE('',#155522,#14729); #8196=LINE('',#155524,#14730); #8197=LINE('',#155526,#14731); #8198=LINE('',#155528,#14732); #8199=LINE('',#155530,#14733); #8200=LINE('',#155532,#14734); #8201=LINE('',#155534,#14735); #8202=LINE('',#155539,#14736); #8203=LINE('',#155636,#14737); #8204=LINE('',#155638,#14738); #8205=LINE('',#155655,#14739); #8206=LINE('',#155982,#14740); #8207=LINE('',#155986,#14741); #8208=LINE('',#155993,#14742); #8209=LINE('',#156021,#14743); #8210=LINE('',#156070,#14744); #8211=LINE('',#157028,#14745); #8212=LINE('',#157031,#14746); #8213=LINE('',#157034,#14747); #8214=LINE('',#157041,#14748); #8215=LINE('',#157043,#14749); #8216=LINE('',#157044,#14750); #8217=LINE('',#157047,#14751); #8218=LINE('',#157048,#14752); #8219=LINE('',#157051,#14753); #8220=LINE('',#157055,#14754); #8221=LINE('',#157056,#14755); #8222=LINE('',#157059,#14756); #8223=LINE('',#157063,#14757); #8224=LINE('',#157064,#14758); #8225=LINE('',#157067,#14759); #8226=LINE('',#157071,#14760); #8227=LINE('',#157072,#14761); #8228=LINE('',#157075,#14762); #8229=LINE('',#157079,#14763); #8230=LINE('',#157080,#14764); #8231=LINE('',#157081,#14765); #8232=LINE('',#157084,#14766); #8233=LINE('',#157088,#14767); #8234=LINE('',#157089,#14768); #8235=LINE('',#157092,#14769); #8236=LINE('',#157096,#14770); #8237=LINE('',#157097,#14771); #8238=LINE('',#157100,#14772); #8239=LINE('',#157104,#14773); #8240=LINE('',#157105,#14774); #8241=LINE('',#157108,#14775); #8242=LINE('',#157112,#14776); #8243=LINE('',#157113,#14777); #8244=LINE('',#157116,#14778); #8245=LINE('',#157120,#14779); #8246=LINE('',#157131,#14780); #8247=LINE('',#157139,#14781); #8248=LINE('',#157140,#14782); #8249=LINE('',#157388,#14783); #8250=LINE('',#157420,#14784); #8251=LINE('',#157424,#14785); #8252=LINE('',#157429,#14786); #8253=LINE('',#157461,#14787); #8254=LINE('',#157493,#14788); #8255=LINE('',#157499,#14789); #8256=LINE('',#157531,#14790); #8257=LINE('',#157563,#14791); #8258=LINE('',#157569,#14792); #8259=LINE('',#157601,#14793); #8260=LINE('',#157632,#14794); #8261=LINE('',#157682,#14795); #8262=LINE('',#157735,#14796); #8263=LINE('',#158129,#14797); #8264=LINE('',#158133,#14798); #8265=LINE('',#158173,#14799); #8266=LINE('',#158176,#14800); #8267=LINE('',#158180,#14801); #8268=LINE('',#158184,#14802); #8269=LINE('',#158188,#14803); #8270=LINE('',#158192,#14804); #8271=LINE('',#158208,#14805); #8272=LINE('',#158212,#14806); #8273=LINE('',#158216,#14807); #8274=LINE('',#158226,#14808); #8275=LINE('',#158346,#14809); #8276=LINE('',#158671,#14810); #8277=LINE('',#158675,#14811); #8278=LINE('',#158761,#14812); #8279=LINE('',#158784,#14813); #8280=LINE('',#158887,#14814); #8281=LINE('',#159504,#14815); #8282=LINE('',#159513,#14816); #8283=LINE('',#159515,#14817); #8284=LINE('',#159540,#14818); #8285=LINE('',#160034,#14819); #8286=LINE('',#160055,#14820); #8287=LINE('',#160117,#14821); #8288=LINE('',#160140,#14822); #8289=LINE('',#160649,#14823); #8290=LINE('',#160673,#14824); #8291=LINE('',#161395,#14825); #8292=LINE('',#161479,#14826); #8293=LINE('',#161540,#14827); #8294=LINE('',#161569,#14828); #8295=LINE('',#161587,#14829); #8296=LINE('',#162248,#14830); #8297=LINE('',#162288,#14831); #8298=LINE('',#162322,#14832); #8299=LINE('',#162357,#14833); #8300=LINE('',#162359,#14834); #8301=LINE('',#162360,#14835); #8302=LINE('',#162363,#14836); #8303=LINE('',#162367,#14837); #8304=LINE('',#162369,#14838); #8305=LINE('',#162370,#14839); #8306=LINE('',#162375,#14840); #8307=LINE('',#162379,#14841); #8308=LINE('',#162380,#14842); #8309=LINE('',#162384,#14843); #8310=LINE('',#162387,#14844); #8311=LINE('',#162389,#14845); #8312=LINE('',#162390,#14846); #8313=LINE('',#162393,#14847); #8314=LINE('',#162394,#14848); #8315=LINE('',#162399,#14849); #8316=LINE('',#162400,#14850); #8317=LINE('',#162404,#14851); #8318=LINE('',#162409,#14852); #8319=LINE('',#162424,#14853); #8320=LINE('',#162426,#14854); #8321=LINE('',#162428,#14855); #8322=LINE('',#162430,#14856); #8323=LINE('',#162432,#14857); #8324=LINE('',#163276,#14858); #8325=LINE('',#163278,#14859); #8326=LINE('',#163281,#14860); #8327=LINE('',#163283,#14861); #8328=LINE('',#163287,#14862); #8329=LINE('',#163289,#14863); #8330=LINE('',#163327,#14864); #8331=LINE('',#163577,#14865); #8332=LINE('',#163578,#14866); #8333=LINE('',#163580,#14867); #8334=LINE('',#163582,#14868); #8335=LINE('',#163584,#14869); #8336=LINE('',#163586,#14870); #8337=LINE('',#163588,#14871); #8338=LINE('',#163589,#14872); #8339=LINE('',#163591,#14873); #8340=LINE('',#163593,#14874); #8341=LINE('',#163596,#14875); #8342=LINE('',#163598,#14876); #8343=LINE('',#163600,#14877); #8344=LINE('',#163602,#14878); #8345=LINE('',#163604,#14879); #8346=LINE('',#163606,#14880); #8347=LINE('',#163613,#14881); #8348=LINE('',#163615,#14882); #8349=LINE('',#163617,#14883); #8350=LINE('',#163618,#14884); #8351=LINE('',#163621,#14885); #8352=LINE('',#163623,#14886); #8353=LINE('',#163624,#14887); #8354=LINE('',#163627,#14888); #8355=LINE('',#163629,#14889); #8356=LINE('',#163630,#14890); #8357=LINE('',#163632,#14891); #8358=LINE('',#163633,#14892); #8359=LINE('',#163638,#14893); #8360=LINE('',#163640,#14894); #8361=LINE('',#163642,#14895); #8362=LINE('',#163643,#14896); #8363=LINE('',#163646,#14897); #8364=LINE('',#163648,#14898); #8365=LINE('',#163649,#14899); #8366=LINE('',#163652,#14900); #8367=LINE('',#163654,#14901); #8368=LINE('',#163655,#14902); #8369=LINE('',#163657,#14903); #8370=LINE('',#163658,#14904); #8371=LINE('',#163663,#14905); #8372=LINE('',#163665,#14906); #8373=LINE('',#163667,#14907); #8374=LINE('',#163668,#14908); #8375=LINE('',#163671,#14909); #8376=LINE('',#163673,#14910); #8377=LINE('',#163674,#14911); #8378=LINE('',#163677,#14912); #8379=LINE('',#163679,#14913); #8380=LINE('',#163680,#14914); #8381=LINE('',#163682,#14915); #8382=LINE('',#163683,#14916); #8383=LINE('',#163878,#14917); #8384=LINE('',#163879,#14918); #8385=LINE('',#163881,#14919); #8386=LINE('',#163883,#14920); #8387=LINE('',#163884,#14921); #8388=LINE('',#163887,#14922); #8389=LINE('',#163888,#14923); #8390=LINE('',#163890,#14924); #8391=LINE('',#163893,#14925); #8392=LINE('',#163895,#14926); #8393=LINE('',#163897,#14927); #8394=LINE('',#163899,#14928); #8395=LINE('',#163901,#14929); #8396=LINE('',#163903,#14930); #8397=LINE('',#163905,#14931); #8398=LINE('',#163907,#14932); #8399=LINE('',#163913,#14933); #8400=LINE('',#163920,#14934); #8401=LINE('',#163951,#14935); #8402=LINE('',#163989,#14936); #8403=LINE('',#164027,#14937); #8404=LINE('',#164065,#14938); #8405=LINE('',#164103,#14939); #8406=LINE('',#164141,#14940); #8407=LINE('',#164179,#14941); #8408=LINE('',#164217,#14942); #8409=LINE('',#164255,#14943); #8410=LINE('',#164293,#14944); #8411=LINE('',#164331,#14945); #8412=LINE('',#164369,#14946); #8413=LINE('',#164407,#14947); #8414=LINE('',#164445,#14948); #8415=LINE('',#164483,#14949); #8416=LINE('',#164521,#14950); #8417=LINE('',#164559,#14951); #8418=LINE('',#164597,#14952); #8419=LINE('',#164635,#14953); #8420=LINE('',#164673,#14954); #8421=LINE('',#164707,#14955); #8422=LINE('',#164748,#14956); #8423=LINE('',#164787,#14957); #8424=LINE('',#164863,#14958); #8425=LINE('',#164939,#14959); #8426=LINE('',#165015,#14960); #8427=LINE('',#165091,#14961); #8428=LINE('',#165167,#14962); #8429=LINE('',#165243,#14963); #8430=LINE('',#165319,#14964); #8431=LINE('',#165395,#14965); #8432=LINE('',#165471,#14966); #8433=LINE('',#165547,#14967); #8434=LINE('',#165623,#14968); #8435=LINE('',#165699,#14969); #8436=LINE('',#165775,#14970); #8437=LINE('',#165851,#14971); #8438=LINE('',#165927,#14972); #8439=LINE('',#166003,#14973); #8440=LINE('',#166079,#14974); #8441=LINE('',#166155,#14975); #8442=LINE('',#166157,#14976); #8443=LINE('',#166159,#14977); #8444=LINE('',#166160,#14978); #8445=LINE('',#166163,#14979); #8446=LINE('',#166165,#14980); #8447=LINE('',#166166,#14981); #8448=LINE('',#166169,#14982); #8449=LINE('',#166171,#14983); #8450=LINE('',#166172,#14984); #8451=LINE('',#166174,#14985); #8452=LINE('',#166175,#14986); #8453=LINE('',#166180,#14987); #8454=LINE('',#166182,#14988); #8455=LINE('',#166184,#14989); #8456=LINE('',#166185,#14990); #8457=LINE('',#166188,#14991); #8458=LINE('',#166190,#14992); #8459=LINE('',#166191,#14993); #8460=LINE('',#166194,#14994); #8461=LINE('',#166196,#14995); #8462=LINE('',#166197,#14996); #8463=LINE('',#166199,#14997); #8464=LINE('',#166200,#14998); #8465=LINE('',#166205,#14999); #8466=LINE('',#166207,#15000); #8467=LINE('',#166209,#15001); #8468=LINE('',#166210,#15002); #8469=LINE('',#166213,#15003); #8470=LINE('',#166215,#15004); #8471=LINE('',#166216,#15005); #8472=LINE('',#166219,#15006); #8473=LINE('',#166221,#15007); #8474=LINE('',#166222,#15008); #8475=LINE('',#166224,#15009); #8476=LINE('',#166225,#15010); #8477=LINE('',#167074,#15011); #8478=LINE('',#167077,#15012); #8479=LINE('',#167080,#15013); #8480=LINE('',#167090,#15014); #8481=LINE('',#167094,#15015); #8482=LINE('',#167104,#15016); #8483=LINE('',#167108,#15017); #8484=LINE('',#167118,#15018); #8485=LINE('',#167122,#15019); #8486=LINE('',#167186,#15020); #8487=LINE('',#167188,#15021); #8488=LINE('',#167189,#15022); #8489=LINE('',#167191,#15023); #8490=LINE('',#167192,#15024); #8491=LINE('',#167194,#15025); #8492=LINE('',#167196,#15026); #8493=LINE('',#167909,#15027); #8494=LINE('',#167916,#15028); #8495=LINE('',#167932,#15029); #8496=LINE('',#167938,#15030); #8497=LINE('',#167944,#15031); #8498=LINE('',#167947,#15032); #8499=LINE('',#167950,#15033); #8500=LINE('',#167951,#15034); #8501=LINE('',#167966,#15035); #8502=LINE('',#168046,#15036); #8503=LINE('',#168047,#15037); #8504=LINE('',#168228,#15038); #8505=LINE('',#168264,#15039); #8506=LINE('',#168370,#15040); #8507=LINE('',#168371,#15041); #8508=LINE('',#168528,#15042); #8509=LINE('',#168548,#15043); #8510=LINE('',#168552,#15044); #8511=LINE('',#168556,#15045); #8512=LINE('',#168618,#15046); #8513=LINE('',#168622,#15047); #8514=LINE('',#168624,#15048); #8515=LINE('',#168626,#15049); #8516=LINE('',#168631,#15050); #8517=LINE('',#168633,#15051); #8518=LINE('',#168659,#15052); #8519=LINE('',#168660,#15053); #8520=LINE('',#168670,#15054); #8521=LINE('',#168677,#15055); #8522=LINE('',#168679,#15056); #8523=LINE('',#168681,#15057); #8524=LINE('',#168707,#15058); #8525=LINE('',#168708,#15059); #8526=LINE('',#168723,#15060); #8527=LINE('',#168724,#15061); #8528=LINE('',#168738,#15062); #8529=LINE('',#168742,#15063); #8530=LINE('',#168744,#15064); #8531=LINE('',#168753,#15065); #8532=LINE('',#168829,#15066); #8533=LINE('',#168837,#15067); #8534=LINE('',#168885,#15068); #8535=LINE('',#168894,#15069); #8536=LINE('',#169018,#15070); #8537=LINE('',#169029,#15071); #8538=LINE('',#169030,#15072); #8539=LINE('',#169087,#15073); #8540=LINE('',#169088,#15074); #8541=LINE('',#169097,#15075); #8542=LINE('',#169183,#15076); #8543=LINE('',#169185,#15077); #8544=LINE('',#169305,#15078); #8545=LINE('',#169309,#15079); #8546=LINE('',#169312,#15080); #8547=LINE('',#169325,#15081); #8548=LINE('',#169336,#15082); #8549=LINE('',#169338,#15083); #8550=LINE('',#170399,#15084); #8551=LINE('',#170400,#15085); #8552=LINE('',#170608,#15086); #8553=LINE('',#170614,#15087); #8554=LINE('',#170617,#15088); #8555=LINE('',#170621,#15089); #8556=LINE('',#170929,#15090); #8557=LINE('',#170938,#15091); #8558=LINE('',#170941,#15092); #8559=LINE('',#170944,#15093); #8560=LINE('',#170947,#15094); #8561=LINE('',#170949,#15095); #8562=LINE('',#170952,#15096); #8563=LINE('',#170985,#15097); #8564=LINE('',#170989,#15098); #8565=LINE('',#170997,#15099); #8566=LINE('',#171003,#15100); #8567=LINE('',#171011,#15101); #8568=LINE('',#171023,#15102); #8569=LINE('',#171209,#15103); #8570=LINE('',#171234,#15104); #8571=LINE('',#171283,#15105); #8572=LINE('',#171302,#15106); #8573=LINE('',#171490,#15107); #8574=LINE('',#171516,#15108); #8575=LINE('',#172305,#15109); #8576=LINE('',#172307,#15110); #8577=LINE('',#172315,#15111); #8578=LINE('',#172367,#15112); #8579=LINE('',#172383,#15113); #8580=LINE('',#172456,#15114); #8581=LINE('',#172459,#15115); #8582=LINE('',#172465,#15116); #8583=LINE('',#172468,#15117); #8584=LINE('',#172544,#15118); #8585=LINE('',#172545,#15119); #8586=LINE('',#172658,#15120); #8587=LINE('',#172753,#15121); #8588=LINE('',#172818,#15122); #8589=LINE('',#172821,#15123); #8590=LINE('',#172824,#15124); #8591=LINE('',#172827,#15125); #8592=LINE('',#172862,#15126); #8593=LINE('',#172863,#15127); #8594=LINE('',#172895,#15128); #8595=LINE('',#172896,#15129); #8596=LINE('',#172899,#15130); #8597=LINE('',#172901,#15131); #8598=LINE('',#172905,#15132); #8599=LINE('',#172907,#15133); #8600=LINE('',#172910,#15134); #8601=LINE('',#172915,#15135); #8602=LINE('',#172919,#15136); #8603=LINE('',#172920,#15137); #8604=LINE('',#172923,#15138); #8605=LINE('',#172929,#15139); #8606=LINE('',#172931,#15140); #8607=LINE('',#172934,#15141); #8608=LINE('',#172940,#15142); #8609=LINE('',#172983,#15143); #8610=LINE('',#172984,#15144); #8611=LINE('',#173051,#15145); #8612=LINE('',#173350,#15146); #8613=LINE('',#173351,#15147); #8614=LINE('',#173543,#15148); #8615=LINE('',#173572,#15149); #8616=LINE('',#173575,#15150); #8617=LINE('',#173608,#15151); #8618=LINE('',#173610,#15152); #8619=LINE('',#173641,#15153); #8620=LINE('',#173673,#15154); #8621=LINE('',#173705,#15155); #8622=LINE('',#173737,#15156); #8623=LINE('',#173768,#15157); #8624=LINE('',#173771,#15158); #8625=LINE('',#173773,#15159); #8626=LINE('',#173777,#15160); #8627=LINE('',#174676,#15161); #8628=LINE('',#174678,#15162); #8629=LINE('',#174680,#15163); #8630=LINE('',#174682,#15164); #8631=LINE('',#174684,#15165); #8632=LINE('',#174686,#15166); #8633=LINE('',#174688,#15167); #8634=LINE('',#174690,#15168); #8635=LINE('',#174692,#15169); #8636=LINE('',#174695,#15170); #8637=LINE('',#174712,#15171); #8638=LINE('',#174753,#15172); #8639=LINE('',#174755,#15173); #8640=LINE('',#174842,#15174); #8641=LINE('',#174859,#15175); #8642=LINE('',#174863,#15176); #8643=LINE('',#174954,#15177); #8644=LINE('',#174965,#15178); #8645=LINE('',#174977,#15179); #8646=LINE('',#175004,#15180); #8647=LINE('',#175010,#15181); #8648=LINE('',#175029,#15182); #8649=LINE('',#175048,#15183); #8650=LINE('',#175063,#15184); #8651=LINE('',#175066,#15185); #8652=LINE('',#175068,#15186); #8653=LINE('',#175069,#15187); #8654=LINE('',#175072,#15188); #8655=LINE('',#175074,#15189); #8656=LINE('',#175075,#15190); #8657=LINE('',#175077,#15191); #8658=LINE('',#175078,#15192); #8659=LINE('',#175082,#15193); #8660=LINE('',#175084,#15194); #8661=LINE('',#175086,#15195); #8662=LINE('',#175087,#15196); #8663=LINE('',#175111,#15197); #8664=LINE('',#175133,#15198); #8665=LINE('',#175149,#15199); #8666=LINE('',#175164,#15200); #8667=LINE('',#175170,#15201); #8668=LINE('',#175172,#15202); #8669=LINE('',#175173,#15203); #8670=LINE('',#175175,#15204); #8671=LINE('',#175176,#15205); #8672=LINE('',#175196,#15206); #8673=LINE('',#175203,#15207); #8674=LINE('',#175222,#15208); #8675=LINE('',#175225,#15209); #8676=LINE('',#175227,#15210); #8677=LINE('',#175228,#15211); #8678=LINE('',#175231,#15212); #8679=LINE('',#175233,#15213); #8680=LINE('',#175234,#15214); #8681=LINE('',#175236,#15215); #8682=LINE('',#175237,#15216); #8683=LINE('',#175241,#15217); #8684=LINE('',#175243,#15218); #8685=LINE('',#175245,#15219); #8686=LINE('',#175246,#15220); #8687=LINE('',#175249,#15221); #8688=LINE('',#175251,#15222); #8689=LINE('',#175252,#15223); #8690=LINE('',#175255,#15224); #8691=LINE('',#175257,#15225); #8692=LINE('',#175258,#15226); #8693=LINE('',#175270,#15227); #8694=LINE('',#175291,#15228); #8695=LINE('',#175299,#15229); #8696=LINE('',#175301,#15230); #8697=LINE('',#175302,#15231); #8698=LINE('',#175305,#15232); #8699=LINE('',#175307,#15233); #8700=LINE('',#175308,#15234); #8701=LINE('',#175311,#15235); #8702=LINE('',#175313,#15236); #8703=LINE('',#175314,#15237); #8704=LINE('',#175326,#15238); #8705=LINE('',#175341,#15239); #8706=LINE('',#175359,#15240); #8707=LINE('',#175381,#15241); #8708=LINE('',#175389,#15242); #8709=LINE('',#175391,#15243); #8710=LINE('',#175392,#15244); #8711=LINE('',#175394,#15245); #8712=LINE('',#175395,#15246); #8713=LINE('',#175400,#15247); #8714=LINE('',#175402,#15248); #8715=LINE('',#175404,#15249); #8716=LINE('',#175405,#15250); #8717=LINE('',#175420,#15251); #8718=LINE('',#175435,#15252); #8719=LINE('',#175438,#15253); #8720=LINE('',#175440,#15254); #8721=LINE('',#175441,#15255); #8722=LINE('',#175443,#15256); #8723=LINE('',#175444,#15257); #8724=LINE('',#175448,#15258); #8725=LINE('',#175450,#15259); #8726=LINE('',#175452,#15260); #8727=LINE('',#175453,#15261); #8728=LINE('',#175456,#15262); #8729=LINE('',#175458,#15263); #8730=LINE('',#175459,#15264); #8731=LINE('',#175462,#15265); #8732=LINE('',#175464,#15266); #8733=LINE('',#175465,#15267); #8734=LINE('',#175468,#15268); #8735=LINE('',#175470,#15269); #8736=LINE('',#175471,#15270); #8737=LINE('',#175474,#15271); #8738=LINE('',#175476,#15272); #8739=LINE('',#175477,#15273); #8740=LINE('',#175480,#15274); #8741=LINE('',#175482,#15275); #8742=LINE('',#175483,#15276); #8743=LINE('',#175486,#15277); #8744=LINE('',#175488,#15278); #8745=LINE('',#175489,#15279); #8746=LINE('',#175491,#15280); #8747=LINE('',#175492,#15281); #8748=LINE('',#175497,#15282); #8749=LINE('',#175499,#15283); #8750=LINE('',#175501,#15284); #8751=LINE('',#175502,#15285); #8752=LINE('',#175505,#15286); #8753=LINE('',#175507,#15287); #8754=LINE('',#175508,#15288); #8755=LINE('',#175511,#15289); #8756=LINE('',#175513,#15290); #8757=LINE('',#175514,#15291); #8758=LINE('',#175517,#15292); #8759=LINE('',#175519,#15293); #8760=LINE('',#175520,#15294); #8761=LINE('',#175523,#15295); #8762=LINE('',#175525,#15296); #8763=LINE('',#175526,#15297); #8764=LINE('',#175529,#15298); #8765=LINE('',#175531,#15299); #8766=LINE('',#175532,#15300); #8767=LINE('',#175535,#15301); #8768=LINE('',#175537,#15302); #8769=LINE('',#175538,#15303); #8770=LINE('',#175541,#15304); #8771=LINE('',#175543,#15305); #8772=LINE('',#175544,#15306); #8773=LINE('',#175547,#15307); #8774=LINE('',#175549,#15308); #8775=LINE('',#175550,#15309); #8776=LINE('',#175553,#15310); #8777=LINE('',#175555,#15311); #8778=LINE('',#175556,#15312); #8779=LINE('',#175559,#15313); #8780=LINE('',#175561,#15314); #8781=LINE('',#175562,#15315); #8782=LINE('',#175564,#15316); #8783=LINE('',#175565,#15317); #8784=LINE('',#175570,#15318); #8785=LINE('',#175572,#15319); #8786=LINE('',#175574,#15320); #8787=LINE('',#175575,#15321); #8788=LINE('',#175578,#15322); #8789=LINE('',#175580,#15323); #8790=LINE('',#175581,#15324); #8791=LINE('',#175584,#15325); #8792=LINE('',#175586,#15326); #8793=LINE('',#175587,#15327); #8794=LINE('',#175590,#15328); #8795=LINE('',#175592,#15329); #8796=LINE('',#175593,#15330); #8797=LINE('',#175596,#15331); #8798=LINE('',#175598,#15332); #8799=LINE('',#175599,#15333); #8800=LINE('',#175602,#15334); #8801=LINE('',#175604,#15335); #8802=LINE('',#175605,#15336); #8803=LINE('',#175608,#15337); #8804=LINE('',#175610,#15338); #8805=LINE('',#175611,#15339); #8806=LINE('',#175614,#15340); #8807=LINE('',#175616,#15341); #8808=LINE('',#175617,#15342); #8809=LINE('',#175620,#15343); #8810=LINE('',#175622,#15344); #8811=LINE('',#175623,#15345); #8812=LINE('',#175626,#15346); #8813=LINE('',#175628,#15347); #8814=LINE('',#175629,#15348); #8815=LINE('',#175632,#15349); #8816=LINE('',#175634,#15350); #8817=LINE('',#175635,#15351); #8818=LINE('',#175637,#15352); #8819=LINE('',#175638,#15353); #8820=LINE('',#175652,#15354); #8821=LINE('',#175657,#15355); #8822=LINE('',#175676,#15356); #8823=LINE('',#175699,#15357); #8824=LINE('',#175702,#15358); #8825=LINE('',#175704,#15359); #8826=LINE('',#175705,#15360); #8827=LINE('',#175708,#15361); #8828=LINE('',#175710,#15362); #8829=LINE('',#175711,#15363); #8830=LINE('',#175713,#15364); #8831=LINE('',#175714,#15365); #8832=LINE('',#175727,#15366); #8833=LINE('',#175732,#15367); #8834=LINE('',#175755,#15368); #8835=LINE('',#175778,#15369); #8836=LINE('',#175781,#15370); #8837=LINE('',#175783,#15371); #8838=LINE('',#175784,#15372); #8839=LINE('',#175787,#15373); #8840=LINE('',#175789,#15374); #8841=LINE('',#175790,#15375); #8842=LINE('',#175792,#15376); #8843=LINE('',#175793,#15377); #8844=LINE('',#175797,#15378); #8845=LINE('',#175799,#15379); #8846=LINE('',#175801,#15380); #8847=LINE('',#175802,#15381); #8848=LINE('',#175823,#15382); #8849=LINE('',#175844,#15383); #8850=LINE('',#175863,#15384); #8851=LINE('',#175885,#15385); #8852=LINE('',#175893,#15386); #8853=LINE('',#175895,#15387); #8854=LINE('',#175896,#15388); #8855=LINE('',#175898,#15389); #8856=LINE('',#175899,#15390); #8857=LINE('',#175919,#15391); #8858=LINE('',#175926,#15392); #8859=LINE('',#175945,#15393); #8860=LINE('',#175948,#15394); #8861=LINE('',#175950,#15395); #8862=LINE('',#175951,#15396); #8863=LINE('',#175954,#15397); #8864=LINE('',#175956,#15398); #8865=LINE('',#175957,#15399); #8866=LINE('',#175959,#15400); #8867=LINE('',#175960,#15401); #8868=LINE('',#175964,#15402); #8869=LINE('',#175966,#15403); #8870=LINE('',#175968,#15404); #8871=LINE('',#175969,#15405); #8872=LINE('',#175972,#15406); #8873=LINE('',#175974,#15407); #8874=LINE('',#175975,#15408); #8875=LINE('',#175978,#15409); #8876=LINE('',#175980,#15410); #8877=LINE('',#175981,#15411); #8878=LINE('',#175993,#15412); #8879=LINE('',#176014,#15413); #8880=LINE('',#176022,#15414); #8881=LINE('',#176024,#15415); #8882=LINE('',#176025,#15416); #8883=LINE('',#176028,#15417); #8884=LINE('',#176030,#15418); #8885=LINE('',#176031,#15419); #8886=LINE('',#176034,#15420); #8887=LINE('',#176036,#15421); #8888=LINE('',#176037,#15422); #8889=LINE('',#176049,#15423); #8890=LINE('',#176064,#15424); #8891=LINE('',#176082,#15425); #8892=LINE('',#176104,#15426); #8893=LINE('',#176112,#15427); #8894=LINE('',#176114,#15428); #8895=LINE('',#176115,#15429); #8896=LINE('',#176117,#15430); #8897=LINE('',#176118,#15431); #8898=LINE('',#176123,#15432); #8899=LINE('',#176125,#15433); #8900=LINE('',#176127,#15434); #8901=LINE('',#176128,#15435); #8902=LINE('',#176131,#15436); #8903=LINE('',#176133,#15437); #8904=LINE('',#176134,#15438); #8905=LINE('',#176137,#15439); #8906=LINE('',#176139,#15440); #8907=LINE('',#176140,#15441); #8908=LINE('',#176143,#15442); #8909=LINE('',#176145,#15443); #8910=LINE('',#176146,#15444); #8911=LINE('',#176149,#15445); #8912=LINE('',#176151,#15446); #8913=LINE('',#176152,#15447); #8914=LINE('',#176155,#15448); #8915=LINE('',#176157,#15449); #8916=LINE('',#176158,#15450); #8917=LINE('',#176161,#15451); #8918=LINE('',#176163,#15452); #8919=LINE('',#176164,#15453); #8920=LINE('',#176167,#15454); #8921=LINE('',#176169,#15455); #8922=LINE('',#176170,#15456); #8923=LINE('',#176173,#15457); #8924=LINE('',#176175,#15458); #8925=LINE('',#176176,#15459); #8926=LINE('',#176179,#15460); #8927=LINE('',#176181,#15461); #8928=LINE('',#176182,#15462); #8929=LINE('',#176185,#15463); #8930=LINE('',#176187,#15464); #8931=LINE('',#176188,#15465); #8932=LINE('',#176190,#15466); #8933=LINE('',#176191,#15467); #8934=LINE('',#176196,#15468); #8935=LINE('',#176200,#15469); #8936=LINE('',#176204,#15470); #8937=LINE('',#176216,#15471); #8938=LINE('',#176220,#15472); #8939=LINE('',#176222,#15473); #8940=LINE('',#176224,#15474); #8941=LINE('',#176228,#15475); #8942=LINE('',#176240,#15476); #8943=LINE('',#176244,#15477); #8944=LINE('',#176259,#15478); #8945=LINE('',#176260,#15479); #8946=LINE('',#176263,#15480); #8947=LINE('',#176264,#15481); #8948=LINE('',#176268,#15482); #8949=LINE('',#176271,#15483); #8950=LINE('',#176275,#15484); #8951=LINE('',#176277,#15485); #8952=LINE('',#176279,#15486); #8953=LINE('',#176295,#15487); #8954=LINE('',#176307,#15488); #8955=LINE('',#176312,#15489); #8956=LINE('',#176316,#15490); #8957=LINE('',#176320,#15491); #8958=LINE('',#176344,#15492); #8959=LINE('',#176370,#15493); #8960=LINE('',#176375,#15494); #8961=LINE('',#176388,#15495); #8962=LINE('',#176393,#15496); #8963=LINE('',#176396,#15497); #8964=LINE('',#176409,#15498); #8965=LINE('',#176413,#15499); #8966=LINE('',#176416,#15500); #8967=LINE('',#176434,#15501); #8968=LINE('',#176482,#15502); #8969=LINE('',#176492,#15503); #8970=LINE('',#176496,#15504); #8971=LINE('',#176498,#15505); #8972=LINE('',#176500,#15506); #8973=LINE('',#176504,#15507); #8974=LINE('',#176514,#15508); #8975=LINE('',#176518,#15509); #8976=LINE('',#176525,#15510); #8977=LINE('',#176527,#15511); #8978=LINE('',#176529,#15512); #8979=LINE('',#176535,#15513); #8980=LINE('',#176538,#15514); #8981=LINE('',#176541,#15515); #8982=LINE('',#176543,#15516); #8983=LINE('',#176544,#15517); #8984=LINE('',#176547,#15518); #8985=LINE('',#176549,#15519); #8986=LINE('',#176551,#15520); #8987=LINE('',#176555,#15521); #8988=LINE('',#176559,#15522); #8989=LINE('',#176564,#15523); #8990=LINE('',#176566,#15524); #8991=LINE('',#176567,#15525); #8992=LINE('',#176569,#15526); #8993=LINE('',#176571,#15527); #8994=LINE('',#176573,#15528); #8995=LINE('',#176574,#15529); #8996=LINE('',#176576,#15530); #8997=LINE('',#176578,#15531); #8998=LINE('',#176579,#15532); #8999=LINE('',#176581,#15533); #9000=LINE('',#176584,#15534); #9001=LINE('',#176587,#15535); #9002=LINE('',#176590,#15536); #9003=LINE('',#176593,#15537); #9004=LINE('',#176595,#15538); #9005=LINE('',#176596,#15539); #9006=LINE('',#176598,#15540); #9007=LINE('',#176600,#15541); #9008=LINE('',#176604,#15542); #9009=LINE('',#176606,#15543); #9010=LINE('',#176607,#15544); #9011=LINE('',#176611,#15545); #9012=LINE('',#176614,#15546); #9013=LINE('',#176615,#15547); #9014=LINE('',#176621,#15548); #9015=LINE('',#176625,#15549); #9016=LINE('',#176628,#15550); #9017=LINE('',#176629,#15551); #9018=LINE('',#176631,#15552); #9019=LINE('',#176634,#15553); #9020=LINE('',#176637,#15554); #9021=LINE('',#176640,#15555); #9022=LINE('',#176643,#15556); #9023=LINE('',#176647,#15557); #9024=LINE('',#176651,#15558); #9025=LINE('',#176655,#15559); #9026=LINE('',#176656,#15560); #9027=LINE('',#176657,#15561); #9028=LINE('',#176660,#15562); #9029=LINE('',#176661,#15563); #9030=LINE('',#176663,#15564); #9031=LINE('',#176666,#15565); #9032=LINE('',#176669,#15566); #9033=LINE('',#176672,#15567); #9034=LINE('',#176675,#15568); #9035=LINE('',#176678,#15569); #9036=LINE('',#176680,#15570); #9037=LINE('',#176681,#15571); #9038=LINE('',#176684,#15572); #9039=LINE('',#176685,#15573); #9040=LINE('',#176688,#15574); #9041=LINE('',#176692,#15575); #9042=LINE('',#176693,#15576); #9043=LINE('',#176696,#15577); #9044=LINE('',#176709,#15578); #9045=LINE('',#176711,#15579); #9046=LINE('',#176713,#15580); #9047=LINE('',#176714,#15581); #9048=LINE('',#176720,#15582); #9049=LINE('',#176723,#15583); #9050=LINE('',#176725,#15584); #9051=LINE('',#176726,#15585); #9052=LINE('',#177033,#15586); #9053=LINE('',#177047,#15587); #9054=LINE('',#177090,#15588); #9055=LINE('',#177142,#15589); #9056=LINE('',#177145,#15590); #9057=LINE('',#177147,#15591); #9058=LINE('',#177160,#15592); #9059=LINE('',#177169,#15593); #9060=LINE('',#177188,#15594); #9061=LINE('',#177194,#15595); #9062=LINE('',#177214,#15596); #9063=LINE('',#177227,#15597); #9064=LINE('',#177236,#15598); #9065=LINE('',#177240,#15599); #9066=LINE('',#177247,#15600); #9067=LINE('',#177251,#15601); #9068=LINE('',#177259,#15602); #9069=LINE('',#177265,#15603); #9070=LINE('',#177315,#15604); #9071=LINE('',#177317,#15605); #9072=LINE('',#177318,#15606); #9073=LINE('',#177321,#15607); #9074=LINE('',#177322,#15608); #9075=LINE('',#177325,#15609); #9076=LINE('',#177326,#15610); #9077=LINE('',#177329,#15611); #9078=LINE('',#177330,#15612); #9079=LINE('',#177333,#15613); #9080=LINE('',#177334,#15614); #9081=LINE('',#177336,#15615); #9082=LINE('',#177342,#15616); #9083=LINE('',#177346,#15617); #9084=LINE('',#177353,#15618); #9085=LINE('',#177361,#15619); #9086=LINE('',#177370,#15620); #9087=LINE('',#177373,#15621); #9088=LINE('',#177376,#15622); #9089=LINE('',#177378,#15623); #9090=LINE('',#177379,#15624); #9091=LINE('',#177382,#15625); #9092=LINE('',#177386,#15626); #9093=LINE('',#177388,#15627); #9094=LINE('',#177390,#15628); #9095=LINE('',#177392,#15629); #9096=LINE('',#177394,#15630); #9097=LINE('',#177395,#15631); #9098=LINE('',#177398,#15632); #9099=LINE('',#177400,#15633); #9100=LINE('',#177402,#15634); #9101=LINE('',#177404,#15635); #9102=LINE('',#177406,#15636); #9103=LINE('',#177408,#15637); #9104=LINE('',#177411,#15638); #9105=LINE('',#177413,#15639); #9106=LINE('',#177415,#15640); #9107=LINE('',#177424,#15641); #9108=LINE('',#177425,#15642); #9109=LINE('',#177430,#15643); #9110=LINE('',#177438,#15644); #9111=LINE('',#177445,#15645); #9112=LINE('',#177448,#15646); #9113=LINE('',#177449,#15647); #9114=LINE('',#177463,#15648); #9115=LINE('',#177466,#15649); #9116=LINE('',#177505,#15650); #9117=LINE('',#177506,#15651); #9118=LINE('',#177525,#15652); #9119=LINE('',#177526,#15653); #9120=LINE('',#177545,#15654); #9121=LINE('',#177546,#15655); #9122=LINE('',#177580,#15656); #9123=LINE('',#177583,#15657); #9124=LINE('',#177586,#15658); #9125=LINE('',#177590,#15659); #9126=LINE('',#177592,#15660); #9127=LINE('',#177594,#15661); #9128=LINE('',#177598,#15662); #9129=LINE('',#177602,#15663); #9130=LINE('',#177606,#15664); #9131=LINE('',#177610,#15665); #9132=LINE('',#177612,#15666); #9133=LINE('',#177616,#15667); #9134=LINE('',#177618,#15668); #9135=LINE('',#177630,#15669); #9136=LINE('',#177652,#15670); #9137=LINE('',#177691,#15671); #9138=LINE('',#177692,#15672); #9139=LINE('',#177731,#15673); #9140=LINE('',#177732,#15674); #9141=LINE('',#177751,#15675); #9142=LINE('',#177752,#15676); #9143=LINE('',#177766,#15677); #9144=LINE('',#177769,#15678); #9145=LINE('',#177772,#15679); #9146=LINE('',#177784,#15680); #9147=LINE('',#177802,#15681); #9148=LINE('',#177806,#15682); #9149=LINE('',#177845,#15683); #9150=LINE('',#177905,#15684); #9151=LINE('',#178153,#15685); #9152=LINE('',#178156,#15686); #9153=LINE('',#178167,#15687); #9154=LINE('',#178168,#15688); #9155=LINE('',#178171,#15689); #9156=LINE('',#178175,#15690); #9157=LINE('',#178176,#15691); #9158=LINE('',#178179,#15692); #9159=LINE('',#178180,#15693); #9160=LINE('',#178183,#15694); #9161=LINE('',#178186,#15695); #9162=LINE('',#178189,#15696); #9163=LINE('',#178190,#15697); #9164=LINE('',#178193,#15698); #9165=LINE('',#178194,#15699); #9166=LINE('',#178205,#15700); #9167=LINE('',#178207,#15701); #9168=LINE('',#178208,#15702); #9169=LINE('',#178214,#15703); #9170=LINE('',#178215,#15704); #9171=LINE('',#178217,#15705); #9172=LINE('',#178275,#15706); #9173=LINE('',#178276,#15707); #9174=LINE('',#178286,#15708); #9175=LINE('',#178288,#15709); #9176=LINE('',#178290,#15710); #9177=LINE('',#178291,#15711); #9178=LINE('',#178295,#15712); #9179=LINE('',#178297,#15713); #9180=LINE('',#178299,#15714); #9181=LINE('',#178300,#15715); #9182=LINE('',#178303,#15716); #9183=LINE('',#178305,#15717); #9184=LINE('',#178306,#15718); #9185=LINE('',#178310,#15719); #9186=LINE('',#178311,#15720); #9187=LINE('',#178312,#15721); #9188=LINE('',#178316,#15722); #9189=LINE('',#178318,#15723); #9190=LINE('',#178320,#15724); #9191=LINE('',#178321,#15725); #9192=LINE('',#178327,#15726); #9193=LINE('',#178331,#15727); #9194=LINE('',#178348,#15728); #9195=LINE('',#178350,#15729); #9196=LINE('',#178352,#15730); #9197=LINE('',#178353,#15731); #9198=LINE('',#178356,#15732); #9199=LINE('',#178363,#15733); #9200=LINE('',#178366,#15734); #9201=LINE('',#178372,#15735); #9202=LINE('',#178374,#15736); #9203=LINE('',#178376,#15737); #9204=LINE('',#178377,#15738); #9205=LINE('',#178380,#15739); #9206=LINE('',#178381,#15740); #9207=LINE('',#178383,#15741); #9208=LINE('',#178385,#15742); #9209=LINE('',#178390,#15743); #9210=LINE('',#178392,#15744); #9211=LINE('',#178394,#15745); #9212=LINE('',#178395,#15746); #9213=LINE('',#178398,#15747); #9214=LINE('',#178400,#15748); #9215=LINE('',#178401,#15749); #9216=LINE('',#178404,#15750); #9217=LINE('',#178406,#15751); #9218=LINE('',#178407,#15752); #9219=LINE('',#178409,#15753); #9220=LINE('',#178410,#15754); #9221=LINE('',#178416,#15755); #9222=LINE('',#178419,#15756); #9223=LINE('',#178422,#15757); #9224=LINE('',#178424,#15758); #9225=LINE('',#178425,#15759); #9226=LINE('',#178432,#15760); #9227=LINE('',#178440,#15761); #9228=LINE('',#178446,#15762); #9229=LINE('',#178447,#15763); #9230=LINE('',#178452,#15764); #9231=LINE('',#178453,#15765); #9232=LINE('',#178456,#15766); #9233=LINE('',#178457,#15767); #9234=LINE('',#178460,#15768); #9235=LINE('',#178462,#15769); #9236=LINE('',#178468,#15770); #9237=LINE('',#178476,#15771); #9238=LINE('',#178478,#15772); #9239=LINE('',#178480,#15773); #9240=LINE('',#178482,#15774); #9241=LINE('',#178484,#15775); #9242=LINE('',#178486,#15776); #9243=LINE('',#178488,#15777); #9244=LINE('',#178494,#15778); #9245=LINE('',#178496,#15779); #9246=LINE('',#178498,#15780); #9247=LINE('',#178499,#15781); #9248=LINE('',#178503,#15782); #9249=LINE('',#178504,#15783); #9250=LINE('',#178505,#15784); #9251=LINE('',#178515,#15785); #9252=LINE('',#178523,#15786); #9253=LINE('',#178527,#15787); #9254=LINE('',#178530,#15788); #9255=LINE('',#178532,#15789); #9256=LINE('',#178534,#15790); #9257=LINE('',#178535,#15791); #9258=LINE('',#178538,#15792); #9259=LINE('',#178542,#15793); #9260=LINE('',#178543,#15794); #9261=LINE('',#178548,#15795); #9262=LINE('',#178549,#15796); #9263=LINE('',#178552,#15797); #9264=LINE('',#178553,#15798); #9265=LINE('',#178558,#15799); #9266=LINE('',#178562,#15800); #9267=LINE('',#178566,#15801); #9268=LINE('',#178567,#15802); #9269=LINE('',#178571,#15803); #9270=LINE('',#178578,#15804); #9271=LINE('',#178580,#15805); #9272=LINE('',#178582,#15806); #9273=LINE('',#178583,#15807); #9274=LINE('',#178585,#15808); #9275=LINE('',#178588,#15809); #9276=LINE('',#178589,#15810); #9277=LINE('',#178591,#15811); #9278=LINE('',#178593,#15812); #9279=LINE('',#178598,#15813); #9280=LINE('',#178600,#15814); #9281=LINE('',#178602,#15815); #9282=LINE('',#178603,#15816); #9283=LINE('',#178606,#15817); #9284=LINE('',#178608,#15818); #9285=LINE('',#178609,#15819); #9286=LINE('',#178612,#15820); #9287=LINE('',#178614,#15821); #9288=LINE('',#178615,#15822); #9289=LINE('',#178617,#15823); #9290=LINE('',#178618,#15824); #9291=LINE('',#178624,#15825); #9292=LINE('',#178627,#15826); #9293=LINE('',#178630,#15827); #9294=LINE('',#178632,#15828); #9295=LINE('',#178633,#15829); #9296=LINE('',#178640,#15830); #9297=LINE('',#178648,#15831); #9298=LINE('',#178654,#15832); #9299=LINE('',#178655,#15833); #9300=LINE('',#178660,#15834); #9301=LINE('',#178661,#15835); #9302=LINE('',#178664,#15836); #9303=LINE('',#178665,#15837); #9304=LINE('',#178668,#15838); #9305=LINE('',#178670,#15839); #9306=LINE('',#178676,#15840); #9307=LINE('',#178684,#15841); #9308=LINE('',#178685,#15842); #9309=LINE('',#178687,#15843); #9310=LINE('',#178689,#15844); #9311=LINE('',#178691,#15845); #9312=LINE('',#178695,#15846); #9313=LINE('',#178697,#15847); #9314=LINE('',#178702,#15848); #9315=LINE('',#178704,#15849); #9316=LINE('',#178706,#15850); #9317=LINE('',#178707,#15851); #9318=LINE('',#178710,#15852); #9319=LINE('',#178712,#15853); #9320=LINE('',#178713,#15854); #9321=LINE('',#178716,#15855); #9322=LINE('',#178718,#15856); #9323=LINE('',#178719,#15857); #9324=LINE('',#178721,#15858); #9325=LINE('',#178722,#15859); #9326=LINE('',#178728,#15860); #9327=LINE('',#178731,#15861); #9328=LINE('',#178736,#15862); #9329=LINE('',#178740,#15863); #9330=LINE('',#178742,#15864); #9331=LINE('',#178744,#15865); #9332=LINE('',#178750,#15866); #9333=LINE('',#178758,#15867); #9334=LINE('',#178762,#15868); #9335=LINE('',#178766,#15869); #9336=LINE('',#178767,#15870); #9337=LINE('',#178772,#15871); #9338=LINE('',#178773,#15872); #9339=LINE('',#178776,#15873); #9340=LINE('',#178777,#15874); #9341=LINE('',#178781,#15875); #9342=LINE('',#178785,#15876); #9343=LINE('',#178788,#15877); #9344=LINE('',#178789,#15878); #9345=LINE('',#178792,#15879); #9346=LINE('',#178797,#15880); #9347=LINE('',#178798,#15881); #9348=LINE('',#178800,#15882); #9349=LINE('',#178908,#15883); #9350=LINE('',#178909,#15884); #9351=LINE('',#179009,#15885); #9352=LINE('',#179010,#15886); #9353=LINE('',#179013,#15887); #9354=LINE('',#179016,#15888); #9355=LINE('',#179019,#15889); #9356=LINE('',#179023,#15890); #9357=LINE('',#179024,#15891); #9358=LINE('',#179027,#15892); #9359=LINE('',#179032,#15893); #9360=LINE('',#179034,#15894); #9361=LINE('',#179038,#15895); #9362=LINE('',#179053,#15896); #9363=LINE('',#179061,#15897); #9364=LINE('',#179065,#15898); #9365=LINE('',#179073,#15899); #9366=LINE('',#179088,#15900); #9367=LINE('',#179101,#15901); #9368=LINE('',#179114,#15902); #9369=LINE('',#179116,#15903); #9370=LINE('',#179122,#15904); #9371=LINE('',#179124,#15905); #9372=LINE('',#179140,#15906); #9373=LINE('',#179143,#15907); #9374=LINE('',#179149,#15908); #9375=LINE('',#179153,#15909); #9376=LINE('',#179160,#15910); #9377=LINE('',#179175,#15911); #9378=LINE('',#179178,#15912); #9379=LINE('',#179185,#15913); #9380=LINE('',#179187,#15914); #9381=LINE('',#179193,#15915); #9382=LINE('',#179260,#15916); #9383=LINE('',#179324,#15917); #9384=LINE('',#179327,#15918); #9385=LINE('',#179335,#15919); #9386=LINE('',#179339,#15920); #9387=LINE('',#179341,#15921); #9388=LINE('',#179343,#15922); #9389=LINE('',#179344,#15923); #9390=LINE('',#179348,#15924); #9391=LINE('',#179350,#15925); #9392=LINE('',#179352,#15926); #9393=LINE('',#179353,#15927); #9394=LINE('',#179361,#15928); #9395=LINE('',#179367,#15929); #9396=LINE('',#179376,#15930); #9397=LINE('',#179380,#15931); #9398=LINE('',#179388,#15932); #9399=LINE('',#179393,#15933); #9400=LINE('',#179606,#15934); #9401=LINE('',#179613,#15935); #9402=LINE('',#179621,#15936); #9403=LINE('',#179637,#15937); #9404=LINE('',#179641,#15938); #9405=LINE('',#179645,#15939); #9406=LINE('',#179648,#15940); #9407=LINE('',#179650,#15941); #9408=LINE('',#179654,#15942); #9409=LINE('',#179657,#15943); #9410=LINE('',#179661,#15944); #9411=LINE('',#179665,#15945); #9412=LINE('',#179669,#15946); #9413=LINE('',#179673,#15947); #9414=LINE('',#179677,#15948); #9415=LINE('',#179681,#15949); #9416=LINE('',#179685,#15950); #9417=LINE('',#179689,#15951); #9418=LINE('',#179693,#15952); #9419=LINE('',#179697,#15953); #9420=LINE('',#179701,#15954); #9421=LINE('',#179705,#15955); #9422=LINE('',#179709,#15956); #9423=LINE('',#179713,#15957); #9424=LINE('',#179717,#15958); #9425=LINE('',#179721,#15959); #9426=LINE('',#179725,#15960); #9427=LINE('',#179729,#15961); #9428=LINE('',#179733,#15962); #9429=LINE('',#179737,#15963); #9430=LINE('',#179741,#15964); #9431=LINE('',#179745,#15965); #9432=LINE('',#179749,#15966); #9433=LINE('',#179753,#15967); #9434=LINE('',#179757,#15968); #9435=LINE('',#179761,#15969); #9436=LINE('',#179803,#15970); #9437=LINE('',#179807,#15971); #9438=LINE('',#179811,#15972); #9439=LINE('',#179853,#15973); #9440=LINE('',#179857,#15974); #9441=LINE('',#179861,#15975); #9442=LINE('',#179865,#15976); #9443=LINE('',#179906,#15977); #9444=LINE('',#179910,#15978); #9445=LINE('',#179914,#15979); #9446=LINE('',#179955,#15980); #9447=LINE('',#179959,#15981); #9448=LINE('',#180009,#15982); #9449=LINE('',#180047,#15983); #9450=LINE('',#180049,#15984); #9451=LINE('',#180050,#15985); #9452=LINE('',#180053,#15986); #9453=LINE('',#180055,#15987); #9454=LINE('',#180056,#15988); #9455=LINE('',#180061,#15989); #9456=LINE('',#180067,#15990); #9457=LINE('',#180073,#15991); #9458=LINE('',#180077,#15992); #9459=LINE('',#180079,#15993); #9460=LINE('',#180080,#15994); #9461=LINE('',#180082,#15995); #9462=LINE('',#180083,#15996); #9463=LINE('',#180089,#15997); #9464=LINE('',#180091,#15998); #9465=LINE('',#180093,#15999); #9466=LINE('',#180094,#16000); #9467=LINE('',#180097,#16001); #9468=LINE('',#180099,#16002); #9469=LINE('',#180100,#16003); #9470=LINE('',#180115,#16004); #9471=LINE('',#180130,#16005); #9472=LINE('',#180133,#16006); #9473=LINE('',#180135,#16007); #9474=LINE('',#180136,#16008); #9475=LINE('',#180139,#16009); #9476=LINE('',#180141,#16010); #9477=LINE('',#180142,#16011); #9478=LINE('',#180145,#16012); #9479=LINE('',#180147,#16013); #9480=LINE('',#180148,#16014); #9481=LINE('',#180151,#16015); #9482=LINE('',#180153,#16016); #9483=LINE('',#180154,#16017); #9484=LINE('',#180157,#16018); #9485=LINE('',#180159,#16019); #9486=LINE('',#180160,#16020); #9487=LINE('',#180163,#16021); #9488=LINE('',#180165,#16022); #9489=LINE('',#180166,#16023); #9490=LINE('',#180181,#16024); #9491=LINE('',#180196,#16025); #9492=LINE('',#180199,#16026); #9493=LINE('',#180201,#16027); #9494=LINE('',#180202,#16028); #9495=LINE('',#180205,#16029); #9496=LINE('',#180207,#16030); #9497=LINE('',#180208,#16031); #9498=LINE('',#180211,#16032); #9499=LINE('',#180213,#16033); #9500=LINE('',#180214,#16034); #9501=LINE('',#180216,#16035); #9502=LINE('',#180217,#16036); #9503=LINE('',#180222,#16037); #9504=LINE('',#180224,#16038); #9505=LINE('',#180226,#16039); #9506=LINE('',#180227,#16040); #9507=LINE('',#180230,#16041); #9508=LINE('',#180232,#16042); #9509=LINE('',#180233,#16043); #9510=LINE('',#180248,#16044); #9511=LINE('',#180263,#16045); #9512=LINE('',#180266,#16046); #9513=LINE('',#180268,#16047); #9514=LINE('',#180269,#16048); #9515=LINE('',#180272,#16049); #9516=LINE('',#180274,#16050); #9517=LINE('',#180275,#16051); #9518=LINE('',#180278,#16052); #9519=LINE('',#180280,#16053); #9520=LINE('',#180281,#16054); #9521=LINE('',#180284,#16055); #9522=LINE('',#180286,#16056); #9523=LINE('',#180287,#16057); #9524=LINE('',#180290,#16058); #9525=LINE('',#180292,#16059); #9526=LINE('',#180293,#16060); #9527=LINE('',#180296,#16061); #9528=LINE('',#180298,#16062); #9529=LINE('',#180299,#16063); #9530=LINE('',#180314,#16064); #9531=LINE('',#180329,#16065); #9532=LINE('',#180332,#16066); #9533=LINE('',#180334,#16067); #9534=LINE('',#180335,#16068); #9535=LINE('',#180338,#16069); #9536=LINE('',#180340,#16070); #9537=LINE('',#180341,#16071); #9538=LINE('',#180344,#16072); #9539=LINE('',#180346,#16073); #9540=LINE('',#180347,#16074); #9541=LINE('',#180349,#16075); #9542=LINE('',#180350,#16076); #9543=LINE('',#180355,#16077); #9544=LINE('',#180357,#16078); #9545=LINE('',#180359,#16079); #9546=LINE('',#180360,#16080); #9547=LINE('',#180363,#16081); #9548=LINE('',#180365,#16082); #9549=LINE('',#180366,#16083); #9550=LINE('',#180381,#16084); #9551=LINE('',#180396,#16085); #9552=LINE('',#180399,#16086); #9553=LINE('',#180401,#16087); #9554=LINE('',#180402,#16088); #9555=LINE('',#180405,#16089); #9556=LINE('',#180407,#16090); #9557=LINE('',#180408,#16091); #9558=LINE('',#180411,#16092); #9559=LINE('',#180413,#16093); #9560=LINE('',#180414,#16094); #9561=LINE('',#180417,#16095); #9562=LINE('',#180419,#16096); #9563=LINE('',#180420,#16097); #9564=LINE('',#180423,#16098); #9565=LINE('',#180425,#16099); #9566=LINE('',#180426,#16100); #9567=LINE('',#180429,#16101); #9568=LINE('',#180431,#16102); #9569=LINE('',#180432,#16103); #9570=LINE('',#180447,#16104); #9571=LINE('',#180462,#16105); #9572=LINE('',#180465,#16106); #9573=LINE('',#180467,#16107); #9574=LINE('',#180468,#16108); #9575=LINE('',#180471,#16109); #9576=LINE('',#180473,#16110); #9577=LINE('',#180474,#16111); #9578=LINE('',#180477,#16112); #9579=LINE('',#180479,#16113); #9580=LINE('',#180480,#16114); #9581=LINE('',#180482,#16115); #9582=LINE('',#180483,#16116); #9583=LINE('',#180488,#16117); #9584=LINE('',#180490,#16118); #9585=LINE('',#180492,#16119); #9586=LINE('',#180493,#16120); #9587=LINE('',#180496,#16121); #9588=LINE('',#180498,#16122); #9589=LINE('',#180499,#16123); #9590=LINE('',#180514,#16124); #9591=LINE('',#180529,#16125); #9592=LINE('',#180532,#16126); #9593=LINE('',#180534,#16127); #9594=LINE('',#180535,#16128); #9595=LINE('',#180538,#16129); #9596=LINE('',#180540,#16130); #9597=LINE('',#180541,#16131); #9598=LINE('',#180544,#16132); #9599=LINE('',#180546,#16133); #9600=LINE('',#180547,#16134); #9601=LINE('',#180550,#16135); #9602=LINE('',#180552,#16136); #9603=LINE('',#180553,#16137); #9604=LINE('',#180556,#16138); #9605=LINE('',#180558,#16139); #9606=LINE('',#180559,#16140); #9607=LINE('',#180562,#16141); #9608=LINE('',#180564,#16142); #9609=LINE('',#180565,#16143); #9610=LINE('',#180580,#16144); #9611=LINE('',#180595,#16145); #9612=LINE('',#180598,#16146); #9613=LINE('',#180600,#16147); #9614=LINE('',#180601,#16148); #9615=LINE('',#180604,#16149); #9616=LINE('',#180606,#16150); #9617=LINE('',#180607,#16151); #9618=LINE('',#180610,#16152); #9619=LINE('',#180612,#16153); #9620=LINE('',#180613,#16154); #9621=LINE('',#180615,#16155); #9622=LINE('',#180616,#16156); #9623=LINE('',#180621,#16157); #9624=LINE('',#180622,#16158); #9625=LINE('',#180624,#16159); #9626=LINE('',#180626,#16160); #9627=LINE('',#180628,#16161); #9628=LINE('',#180629,#16162); #9629=LINE('',#180631,#16163); #9630=LINE('',#180632,#16164); #9631=LINE('',#180634,#16165); #9632=LINE('',#180635,#16166); #9633=LINE('',#180637,#16167); #9634=LINE('',#180639,#16168); #9635=LINE('',#180641,#16169); #9636=LINE('',#180642,#16170); #9637=LINE('',#180644,#16171); #9638=LINE('',#180645,#16172); #9639=LINE('',#180647,#16173); #9640=LINE('',#180649,#16174); #9641=LINE('',#180651,#16175); #9642=LINE('',#180652,#16176); #9643=LINE('',#180654,#16177); #9644=LINE('',#180655,#16178); #9645=LINE('',#180657,#16179); #9646=LINE('',#180659,#16180); #9647=LINE('',#180661,#16181); #9648=LINE('',#180662,#16182); #9649=LINE('',#180664,#16183); #9650=LINE('',#180666,#16184); #9651=LINE('',#180668,#16185); #9652=LINE('',#180669,#16186); #9653=LINE('',#180671,#16187); #9654=LINE('',#180672,#16188); #9655=LINE('',#180674,#16189); #9656=LINE('',#180676,#16190); #9657=LINE('',#180678,#16191); #9658=LINE('',#180679,#16192); #9659=LINE('',#180681,#16193); #9660=LINE('',#180682,#16194); #9661=LINE('',#180684,#16195); #9662=LINE('',#180686,#16196); #9663=LINE('',#180688,#16197); #9664=LINE('',#180689,#16198); #9665=LINE('',#180691,#16199); #9666=LINE('',#180696,#16200); #9667=LINE('',#180698,#16201); #9668=LINE('',#180700,#16202); #9669=LINE('',#180702,#16203); #9670=LINE('',#180704,#16204); #9671=LINE('',#180709,#16205); #9672=LINE('',#180711,#16206); #9673=LINE('',#180712,#16207); #9674=LINE('',#180715,#16208); #9675=LINE('',#180716,#16209); #9676=LINE('',#180719,#16210); #9677=LINE('',#180720,#16211); #9678=LINE('',#180722,#16212); #9679=LINE('',#180725,#16213); #9680=LINE('',#180727,#16214); #9681=LINE('',#180728,#16215); #9682=LINE('',#180729,#16216); #9683=LINE('',#180733,#16217); #9684=LINE('',#180736,#16218); #9685=LINE('',#180737,#16219); #9686=LINE('',#180740,#16220); #9687=LINE('',#180742,#16221); #9688=LINE('',#180745,#16222); #9689=LINE('',#180749,#16223); #9690=LINE('',#180753,#16224); #9691=LINE('',#180755,#16225); #9692=LINE('',#180760,#16226); #9693=LINE('',#180762,#16227); #9694=LINE('',#180765,#16228); #9695=LINE('',#180767,#16229); #9696=LINE('',#180779,#16230); #9697=LINE('',#180781,#16231); #9698=LINE('',#180783,#16232); #9699=LINE('',#180784,#16233); #9700=LINE('',#180787,#16234); #9701=LINE('',#180789,#16235); #9702=LINE('',#180790,#16236); #9703=LINE('',#180793,#16237); #9704=LINE('',#180795,#16238); #9705=LINE('',#180796,#16239); #9706=LINE('',#180798,#16240); #9707=LINE('',#180799,#16241); #9708=LINE('',#180832,#16242); #9709=LINE('',#180834,#16243); #9710=LINE('',#180835,#16244); #9711=LINE('',#180836,#16245); #9712=LINE('',#180837,#16246); #9713=LINE('',#180840,#16247); #9714=LINE('',#180841,#16248); #9715=LINE('',#180844,#16249); #9716=LINE('',#180845,#16250); #9717=LINE('',#180847,#16251); #9718=LINE('',#180849,#16252); #9719=LINE('',#180852,#16253); #9720=LINE('',#180854,#16254); #9721=LINE('',#180857,#16255); #9722=LINE('',#180858,#16256); #9723=LINE('',#180861,#16257); #9724=LINE('',#180862,#16258); #9725=LINE('',#180867,#16259); #9726=LINE('',#180872,#16260); #9727=LINE('',#180875,#16261); #9728=LINE('',#180882,#16262); #9729=LINE('',#180884,#16263); #9730=LINE('',#180914,#16264); #9731=LINE('',#180916,#16265); #9732=LINE('',#180917,#16266); #9733=LINE('',#180918,#16267); #9734=LINE('',#180919,#16268); #9735=LINE('',#180922,#16269); #9736=LINE('',#180923,#16270); #9737=LINE('',#180926,#16271); #9738=LINE('',#180927,#16272); #9739=LINE('',#180929,#16273); #9740=LINE('',#180931,#16274); #9741=LINE('',#180932,#16275); #9742=LINE('',#180934,#16276); #9743=LINE('',#180937,#16277); #9744=LINE('',#180952,#16278); #9745=LINE('',#180953,#16279); #9746=LINE('',#180955,#16280); #9747=LINE('',#180958,#16281); #9748=LINE('',#180959,#16282); #9749=LINE('',#180961,#16283); #9750=LINE('',#180962,#16284); #9751=LINE('',#180973,#16285); #9752=LINE('',#180975,#16286); #9753=LINE('',#180979,#16287); #9754=LINE('',#180987,#16288); #9755=LINE('',#180991,#16289); #9756=LINE('',#180993,#16290); #9757=LINE('',#180999,#16291); #9758=LINE('',#181001,#16292); #9759=LINE('',#181002,#16293); #9760=LINE('',#181005,#16294); #9761=LINE('',#181006,#16295); #9762=LINE('',#181010,#16296); #9763=LINE('',#181013,#16297); #9764=LINE('',#181014,#16298); #9765=LINE('',#181018,#16299); #9766=LINE('',#181022,#16300); #9767=LINE('',#181026,#16301); #9768=LINE('',#181029,#16302); #9769=LINE('',#181030,#16303); #9770=LINE('',#181034,#16304); #9771=LINE('',#181037,#16305); #9772=LINE('',#181038,#16306); #9773=LINE('',#181041,#16307); #9774=LINE('',#181042,#16308); #9775=LINE('',#181046,#16309); #9776=LINE('',#181050,#16310); #9777=LINE('',#181066,#16311); #9778=LINE('',#181072,#16312); #9779=LINE('',#181080,#16313); #9780=LINE('',#181090,#16314); #9781=LINE('',#181096,#16315); #9782=LINE('',#181122,#16316); #9783=LINE('',#181127,#16317); #9784=LINE('',#181130,#16318); #9785=LINE('',#181136,#16319); #9786=LINE('',#181141,#16320); #9787=LINE('',#181154,#16321); #9788=LINE('',#181156,#16322); #9789=LINE('',#181162,#16323); #9790=LINE('',#181164,#16324); #9791=LINE('',#181166,#16325); #9792=LINE('',#181167,#16326); #9793=LINE('',#181170,#16327); #9794=LINE('',#181172,#16328); #9795=LINE('',#181173,#16329); #9796=LINE('',#181176,#16330); #9797=LINE('',#181178,#16331); #9798=LINE('',#181179,#16332); #9799=LINE('',#181182,#16333); #9800=LINE('',#181184,#16334); #9801=LINE('',#181185,#16335); #9802=LINE('',#181188,#16336); #9803=LINE('',#181190,#16337); #9804=LINE('',#181191,#16338); #9805=LINE('',#181194,#16339); #9806=LINE('',#181196,#16340); #9807=LINE('',#181197,#16341); #9808=LINE('',#181200,#16342); #9809=LINE('',#181202,#16343); #9810=LINE('',#181203,#16344); #9811=LINE('',#181206,#16345); #9812=LINE('',#181208,#16346); #9813=LINE('',#181209,#16347); #9814=LINE('',#181212,#16348); #9815=LINE('',#181214,#16349); #9816=LINE('',#181215,#16350); #9817=LINE('',#181217,#16351); #9818=LINE('',#181218,#16352); #9819=LINE('',#181223,#16353); #9820=LINE('',#181225,#16354); #9821=LINE('',#181227,#16355); #9822=LINE('',#181228,#16356); #9823=LINE('',#181231,#16357); #9824=LINE('',#181233,#16358); #9825=LINE('',#181234,#16359); #9826=LINE('',#181237,#16360); #9827=LINE('',#181239,#16361); #9828=LINE('',#181240,#16362); #9829=LINE('',#181243,#16363); #9830=LINE('',#181245,#16364); #9831=LINE('',#181246,#16365); #9832=LINE('',#181249,#16366); #9833=LINE('',#181251,#16367); #9834=LINE('',#181252,#16368); #9835=LINE('',#181255,#16369); #9836=LINE('',#181257,#16370); #9837=LINE('',#181258,#16371); #9838=LINE('',#181261,#16372); #9839=LINE('',#181263,#16373); #9840=LINE('',#181264,#16374); #9841=LINE('',#181267,#16375); #9842=LINE('',#181269,#16376); #9843=LINE('',#181270,#16377); #9844=LINE('',#181273,#16378); #9845=LINE('',#181275,#16379); #9846=LINE('',#181276,#16380); #9847=LINE('',#181278,#16381); #9848=LINE('',#181279,#16382); #9849=LINE('',#181284,#16383); #9850=LINE('',#181286,#16384); #9851=LINE('',#181288,#16385); #9852=LINE('',#181289,#16386); #9853=LINE('',#181292,#16387); #9854=LINE('',#181294,#16388); #9855=LINE('',#181295,#16389); #9856=LINE('',#181298,#16390); #9857=LINE('',#181300,#16391); #9858=LINE('',#181301,#16392); #9859=LINE('',#181304,#16393); #9860=LINE('',#181306,#16394); #9861=LINE('',#181307,#16395); #9862=LINE('',#181310,#16396); #9863=LINE('',#181312,#16397); #9864=LINE('',#181313,#16398); #9865=LINE('',#181316,#16399); #9866=LINE('',#181318,#16400); #9867=LINE('',#181319,#16401); #9868=LINE('',#181322,#16402); #9869=LINE('',#181324,#16403); #9870=LINE('',#181325,#16404); #9871=LINE('',#181327,#16405); #9872=LINE('',#181328,#16406); #9873=LINE('',#181333,#16407); #9874=LINE('',#181335,#16408); #9875=LINE('',#181337,#16409); #9876=LINE('',#181338,#16410); #9877=LINE('',#181341,#16411); #9878=LINE('',#181343,#16412); #9879=LINE('',#181344,#16413); #9880=LINE('',#181347,#16414); #9881=LINE('',#181349,#16415); #9882=LINE('',#181350,#16416); #9883=LINE('',#181353,#16417); #9884=LINE('',#181355,#16418); #9885=LINE('',#181356,#16419); #9886=LINE('',#181359,#16420); #9887=LINE('',#181361,#16421); #9888=LINE('',#181362,#16422); #9889=LINE('',#181365,#16423); #9890=LINE('',#181367,#16424); #9891=LINE('',#181368,#16425); #9892=LINE('',#181371,#16426); #9893=LINE('',#181373,#16427); #9894=LINE('',#181374,#16428); #9895=LINE('',#181377,#16429); #9896=LINE('',#181379,#16430); #9897=LINE('',#181380,#16431); #9898=LINE('',#181383,#16432); #9899=LINE('',#181385,#16433); #9900=LINE('',#181386,#16434); #9901=LINE('',#181389,#16435); #9902=LINE('',#181391,#16436); #9903=LINE('',#181392,#16437); #9904=LINE('',#181395,#16438); #9905=LINE('',#181397,#16439); #9906=LINE('',#181398,#16440); #9907=LINE('',#181400,#16441); #9908=LINE('',#181401,#16442); #9909=LINE('',#181406,#16443); #9910=LINE('',#181408,#16444); #9911=LINE('',#181410,#16445); #9912=LINE('',#181411,#16446); #9913=LINE('',#181414,#16447); #9914=LINE('',#181416,#16448); #9915=LINE('',#181417,#16449); #9916=LINE('',#181420,#16450); #9917=LINE('',#181422,#16451); #9918=LINE('',#181423,#16452); #9919=LINE('',#181426,#16453); #9920=LINE('',#181428,#16454); #9921=LINE('',#181429,#16455); #9922=LINE('',#181432,#16456); #9923=LINE('',#181434,#16457); #9924=LINE('',#181435,#16458); #9925=LINE('',#181438,#16459); #9926=LINE('',#181440,#16460); #9927=LINE('',#181441,#16461); #9928=LINE('',#181444,#16462); #9929=LINE('',#181446,#16463); #9930=LINE('',#181447,#16464); #9931=LINE('',#181450,#16465); #9932=LINE('',#181452,#16466); #9933=LINE('',#181453,#16467); #9934=LINE('',#181456,#16468); #9935=LINE('',#181458,#16469); #9936=LINE('',#181459,#16470); #9937=LINE('',#181462,#16471); #9938=LINE('',#181464,#16472); #9939=LINE('',#181465,#16473); #9940=LINE('',#181468,#16474); #9941=LINE('',#181470,#16475); #9942=LINE('',#181471,#16476); #9943=LINE('',#181473,#16477); #9944=LINE('',#181474,#16478); #9945=LINE('',#181494,#16479); #9946=LINE('',#181501,#16480); #9947=LINE('',#181520,#16481); #9948=LINE('',#181523,#16482); #9949=LINE('',#181525,#16483); #9950=LINE('',#181526,#16484); #9951=LINE('',#181529,#16485); #9952=LINE('',#181531,#16486); #9953=LINE('',#181532,#16487); #9954=LINE('',#181534,#16488); #9955=LINE('',#181535,#16489); #9956=LINE('',#181539,#16490); #9957=LINE('',#181541,#16491); #9958=LINE('',#181543,#16492); #9959=LINE('',#181544,#16493); #9960=LINE('',#181547,#16494); #9961=LINE('',#181549,#16495); #9962=LINE('',#181550,#16496); #9963=LINE('',#181553,#16497); #9964=LINE('',#181555,#16498); #9965=LINE('',#181556,#16499); #9966=LINE('',#181568,#16500); #9967=LINE('',#181589,#16501); #9968=LINE('',#181597,#16502); #9969=LINE('',#181599,#16503); #9970=LINE('',#181600,#16504); #9971=LINE('',#181603,#16505); #9972=LINE('',#181605,#16506); #9973=LINE('',#181606,#16507); #9974=LINE('',#181609,#16508); #9975=LINE('',#181611,#16509); #9976=LINE('',#181612,#16510); #9977=LINE('',#181624,#16511); #9978=LINE('',#181639,#16512); #9979=LINE('',#181657,#16513); #9980=LINE('',#181679,#16514); #9981=LINE('',#181687,#16515); #9982=LINE('',#181689,#16516); #9983=LINE('',#181690,#16517); #9984=LINE('',#181692,#16518); #9985=LINE('',#181693,#16519); #9986=LINE('',#181707,#16520); #9987=LINE('',#181712,#16521); #9988=LINE('',#181731,#16522); #9989=LINE('',#181754,#16523); #9990=LINE('',#181757,#16524); #9991=LINE('',#181759,#16525); #9992=LINE('',#181760,#16526); #9993=LINE('',#181763,#16527); #9994=LINE('',#181765,#16528); #9995=LINE('',#181766,#16529); #9996=LINE('',#181768,#16530); #9997=LINE('',#181769,#16531); #9998=LINE('',#181782,#16532); #9999=LINE('',#181787,#16533); #10000=LINE('',#181810,#16534); #10001=LINE('',#181833,#16535); #10002=LINE('',#181836,#16536); #10003=LINE('',#181838,#16537); #10004=LINE('',#181839,#16538); #10005=LINE('',#181842,#16539); #10006=LINE('',#181844,#16540); #10007=LINE('',#181845,#16541); #10008=LINE('',#181847,#16542); #10009=LINE('',#181848,#16543); #10010=LINE('',#181852,#16544); #10011=LINE('',#181854,#16545); #10012=LINE('',#181856,#16546); #10013=LINE('',#181857,#16547); #10014=LINE('',#181878,#16548); #10015=LINE('',#181899,#16549); #10016=LINE('',#181918,#16550); #10017=LINE('',#181940,#16551); #10018=LINE('',#181948,#16552); #10019=LINE('',#181950,#16553); #10020=LINE('',#181951,#16554); #10021=LINE('',#181953,#16555); #10022=LINE('',#181954,#16556); #10023=LINE('',#181959,#16557); #10024=LINE('',#181961,#16558); #10025=LINE('',#181963,#16559); #10026=LINE('',#181964,#16560); #10027=LINE('',#181967,#16561); #10028=LINE('',#181969,#16562); #10029=LINE('',#181970,#16563); #10030=LINE('',#181973,#16564); #10031=LINE('',#181975,#16565); #10032=LINE('',#181976,#16566); #10033=LINE('',#181979,#16567); #10034=LINE('',#181981,#16568); #10035=LINE('',#181982,#16569); #10036=LINE('',#181985,#16570); #10037=LINE('',#181987,#16571); #10038=LINE('',#181988,#16572); #10039=LINE('',#181991,#16573); #10040=LINE('',#181993,#16574); #10041=LINE('',#181994,#16575); #10042=LINE('',#181997,#16576); #10043=LINE('',#181999,#16577); #10044=LINE('',#182000,#16578); #10045=LINE('',#182003,#16579); #10046=LINE('',#182005,#16580); #10047=LINE('',#182006,#16581); #10048=LINE('',#182009,#16582); #10049=LINE('',#182011,#16583); #10050=LINE('',#182012,#16584); #10051=LINE('',#182015,#16585); #10052=LINE('',#182017,#16586); #10053=LINE('',#182018,#16587); #10054=LINE('',#182021,#16588); #10055=LINE('',#182023,#16589); #10056=LINE('',#182024,#16590); #10057=LINE('',#182026,#16591); #10058=LINE('',#182027,#16592); #10059=LINE('',#182032,#16593); #10060=LINE('',#182034,#16594); #10061=LINE('',#182036,#16595); #10062=LINE('',#182037,#16596); #10063=LINE('',#182040,#16597); #10064=LINE('',#182042,#16598); #10065=LINE('',#182043,#16599); #10066=LINE('',#182046,#16600); #10067=LINE('',#182048,#16601); #10068=LINE('',#182049,#16602); #10069=LINE('',#182052,#16603); #10070=LINE('',#182054,#16604); #10071=LINE('',#182055,#16605); #10072=LINE('',#182058,#16606); #10073=LINE('',#182060,#16607); #10074=LINE('',#182061,#16608); #10075=LINE('',#182064,#16609); #10076=LINE('',#182066,#16610); #10077=LINE('',#182067,#16611); #10078=LINE('',#182070,#16612); #10079=LINE('',#182072,#16613); #10080=LINE('',#182073,#16614); #10081=LINE('',#182076,#16615); #10082=LINE('',#182078,#16616); #10083=LINE('',#182079,#16617); #10084=LINE('',#182082,#16618); #10085=LINE('',#182084,#16619); #10086=LINE('',#182085,#16620); #10087=LINE('',#182088,#16621); #10088=LINE('',#182090,#16622); #10089=LINE('',#182091,#16623); #10090=LINE('',#182094,#16624); #10091=LINE('',#182096,#16625); #10092=LINE('',#182097,#16626); #10093=LINE('',#182099,#16627); #10094=LINE('',#182100,#16628); #10095=LINE('',#182105,#16629); #10096=LINE('',#182107,#16630); #10097=LINE('',#182109,#16631); #10098=LINE('',#182110,#16632); #10099=LINE('',#182125,#16633); #10100=LINE('',#182140,#16634); #10101=LINE('',#182143,#16635); #10102=LINE('',#182145,#16636); #10103=LINE('',#182146,#16637); #10104=LINE('',#182148,#16638); #10105=LINE('',#182149,#16639); #10106=LINE('',#182153,#16640); #10107=LINE('',#182155,#16641); #10108=LINE('',#182157,#16642); #10109=LINE('',#182158,#16643); #10110=LINE('',#182161,#16644); #10111=LINE('',#182163,#16645); #10112=LINE('',#182164,#16646); #10113=LINE('',#182167,#16647); #10114=LINE('',#182169,#16648); #10115=LINE('',#182170,#16649); #10116=LINE('',#182173,#16650); #10117=LINE('',#182175,#16651); #10118=LINE('',#182176,#16652); #10119=LINE('',#182179,#16653); #10120=LINE('',#182181,#16654); #10121=LINE('',#182182,#16655); #10122=LINE('',#182185,#16656); #10123=LINE('',#182187,#16657); #10124=LINE('',#182188,#16658); #10125=LINE('',#182191,#16659); #10126=LINE('',#182193,#16660); #10127=LINE('',#182194,#16661); #10128=LINE('',#182196,#16662); #10129=LINE('',#182197,#16663); #10130=LINE('',#182217,#16664); #10131=LINE('',#182224,#16665); #10132=LINE('',#182243,#16666); #10133=LINE('',#182246,#16667); #10134=LINE('',#182248,#16668); #10135=LINE('',#182249,#16669); #10136=LINE('',#182252,#16670); #10137=LINE('',#182254,#16671); #10138=LINE('',#182255,#16672); #10139=LINE('',#182257,#16673); #10140=LINE('',#182258,#16674); #10141=LINE('',#182262,#16675); #10142=LINE('',#182264,#16676); #10143=LINE('',#182266,#16677); #10144=LINE('',#182267,#16678); #10145=LINE('',#182270,#16679); #10146=LINE('',#182272,#16680); #10147=LINE('',#182273,#16681); #10148=LINE('',#182276,#16682); #10149=LINE('',#182278,#16683); #10150=LINE('',#182279,#16684); #10151=LINE('',#182291,#16685); #10152=LINE('',#182312,#16686); #10153=LINE('',#182320,#16687); #10154=LINE('',#182322,#16688); #10155=LINE('',#182323,#16689); #10156=LINE('',#182326,#16690); #10157=LINE('',#182328,#16691); #10158=LINE('',#182329,#16692); #10159=LINE('',#182332,#16693); #10160=LINE('',#182334,#16694); #10161=LINE('',#182335,#16695); #10162=LINE('',#182347,#16696); #10163=LINE('',#182362,#16697); #10164=LINE('',#182380,#16698); #10165=LINE('',#182402,#16699); #10166=LINE('',#182410,#16700); #10167=LINE('',#182412,#16701); #10168=LINE('',#182413,#16702); #10169=LINE('',#182415,#16703); #10170=LINE('',#182416,#16704); #10171=LINE('',#182433,#16705); #10172=LINE('',#182439,#16706); #10173=LINE('',#182458,#16707); #10174=LINE('',#182477,#16708); #10175=LINE('',#182492,#16709); #10176=LINE('',#182495,#16710); #10177=LINE('',#182497,#16711); #10178=LINE('',#182498,#16712); #10179=LINE('',#182501,#16713); #10180=LINE('',#182503,#16714); #10181=LINE('',#182504,#16715); #10182=LINE('',#182506,#16716); #10183=LINE('',#182507,#16717); #10184=LINE('',#182511,#16718); #10185=LINE('',#182513,#16719); #10186=LINE('',#182515,#16720); #10187=LINE('',#182516,#16721); #10188=LINE('',#182540,#16722); #10189=LINE('',#182562,#16723); #10190=LINE('',#182578,#16724); #10191=LINE('',#182593,#16725); #10192=LINE('',#182599,#16726); #10193=LINE('',#182601,#16727); #10194=LINE('',#182602,#16728); #10195=LINE('',#182604,#16729); #10196=LINE('',#182605,#16730); #10197=LINE('',#182622,#16731); #10198=LINE('',#182628,#16732); #10199=LINE('',#182647,#16733); #10200=LINE('',#182662,#16734); #10201=LINE('',#182665,#16735); #10202=LINE('',#182667,#16736); #10203=LINE('',#182668,#16737); #10204=LINE('',#182671,#16738); #10205=LINE('',#182673,#16739); #10206=LINE('',#182674,#16740); #10207=LINE('',#182676,#16741); #10208=LINE('',#182677,#16742); #10209=LINE('',#182681,#16743); #10210=LINE('',#182683,#16744); #10211=LINE('',#182685,#16745); #10212=LINE('',#182686,#16746); #10213=LINE('',#182689,#16747); #10214=LINE('',#182691,#16748); #10215=LINE('',#182692,#16749); #10216=LINE('',#182695,#16750); #10217=LINE('',#182697,#16751); #10218=LINE('',#182698,#16752); #10219=LINE('',#182713,#16753); #10220=LINE('',#182735,#16754); #10221=LINE('',#182752,#16755); #10222=LINE('',#182758,#16756); #10223=LINE('',#182760,#16757); #10224=LINE('',#182761,#16758); #10225=LINE('',#182763,#16759); #10226=LINE('',#182764,#16760); #10227=LINE('',#182769,#16761); #10228=LINE('',#182771,#16762); #10229=LINE('',#182773,#16763); #10230=LINE('',#182774,#16764); #10231=LINE('',#182789,#16765); #10232=LINE('',#182804,#16766); #10233=LINE('',#182807,#16767); #10234=LINE('',#182809,#16768); #10235=LINE('',#182810,#16769); #10236=LINE('',#182812,#16770); #10237=LINE('',#182813,#16771); #10238=LINE('',#182817,#16772); #10239=LINE('',#182819,#16773); #10240=LINE('',#182821,#16774); #10241=LINE('',#182822,#16775); #10242=LINE('',#182825,#16776); #10243=LINE('',#182827,#16777); #10244=LINE('',#182828,#16778); #10245=LINE('',#182831,#16779); #10246=LINE('',#182833,#16780); #10247=LINE('',#182834,#16781); #10248=LINE('',#182837,#16782); #10249=LINE('',#182839,#16783); #10250=LINE('',#182840,#16784); #10251=LINE('',#182843,#16785); #10252=LINE('',#182845,#16786); #10253=LINE('',#182846,#16787); #10254=LINE('',#182849,#16788); #10255=LINE('',#182851,#16789); #10256=LINE('',#182852,#16790); #10257=LINE('',#182855,#16791); #10258=LINE('',#182857,#16792); #10259=LINE('',#182858,#16793); #10260=LINE('',#182860,#16794); #10261=LINE('',#182861,#16795); #10262=LINE('',#182866,#16796); #10263=LINE('',#182868,#16797); #10264=LINE('',#182870,#16798); #10265=LINE('',#182871,#16799); #10266=LINE('',#182874,#16800); #10267=LINE('',#182876,#16801); #10268=LINE('',#182877,#16802); #10269=LINE('',#182880,#16803); #10270=LINE('',#182882,#16804); #10271=LINE('',#182883,#16805); #10272=LINE('',#182886,#16806); #10273=LINE('',#182888,#16807); #10274=LINE('',#182889,#16808); #10275=LINE('',#182892,#16809); #10276=LINE('',#182894,#16810); #10277=LINE('',#182895,#16811); #10278=LINE('',#182898,#16812); #10279=LINE('',#182900,#16813); #10280=LINE('',#182901,#16814); #10281=LINE('',#182904,#16815); #10282=LINE('',#182906,#16816); #10283=LINE('',#182907,#16817); #10284=LINE('',#182909,#16818); #10285=LINE('',#182910,#16819); #10286=LINE('',#182915,#16820); #10287=LINE('',#182917,#16821); #10288=LINE('',#182919,#16822); #10289=LINE('',#182920,#16823); #10290=LINE('',#182923,#16824); #10291=LINE('',#182925,#16825); #10292=LINE('',#182926,#16826); #10293=LINE('',#182929,#16827); #10294=LINE('',#182931,#16828); #10295=LINE('',#182932,#16829); #10296=LINE('',#182935,#16830); #10297=LINE('',#182937,#16831); #10298=LINE('',#182938,#16832); #10299=LINE('',#182941,#16833); #10300=LINE('',#182943,#16834); #10301=LINE('',#182944,#16835); #10302=LINE('',#182947,#16836); #10303=LINE('',#182949,#16837); #10304=LINE('',#182950,#16838); #10305=LINE('',#182953,#16839); #10306=LINE('',#182955,#16840); #10307=LINE('',#182956,#16841); #10308=LINE('',#182959,#16842); #10309=LINE('',#182961,#16843); #10310=LINE('',#182962,#16844); #10311=LINE('',#182965,#16845); #10312=LINE('',#182967,#16846); #10313=LINE('',#182968,#16847); #10314=LINE('',#182970,#16848); #10315=LINE('',#182971,#16849); #10316=LINE('',#182988,#16850); #10317=LINE('',#182994,#16851); #10318=LINE('',#183013,#16852); #10319=LINE('',#183028,#16853); #10320=LINE('',#183031,#16854); #10321=LINE('',#183033,#16855); #10322=LINE('',#183034,#16856); #10323=LINE('',#183037,#16857); #10324=LINE('',#183039,#16858); #10325=LINE('',#183040,#16859); #10326=LINE('',#183042,#16860); #10327=LINE('',#183043,#16861); #10328=LINE('',#183047,#16862); #10329=LINE('',#183049,#16863); #10330=LINE('',#183051,#16864); #10331=LINE('',#183052,#16865); #10332=LINE('',#183055,#16866); #10333=LINE('',#183057,#16867); #10334=LINE('',#183058,#16868); #10335=LINE('',#183061,#16869); #10336=LINE('',#183063,#16870); #10337=LINE('',#183064,#16871); #10338=LINE('',#183079,#16872); #10339=LINE('',#183101,#16873); #10340=LINE('',#183118,#16874); #10341=LINE('',#183124,#16875); #10342=LINE('',#183126,#16876); #10343=LINE('',#183127,#16877); #10344=LINE('',#183129,#16878); #10345=LINE('',#183130,#16879); #10346=LINE('',#183135,#16880); #10347=LINE('',#183137,#16881); #10348=LINE('',#183139,#16882); #10349=LINE('',#183140,#16883); #10350=LINE('',#183143,#16884); #10351=LINE('',#183145,#16885); #10352=LINE('',#183146,#16886); #10353=LINE('',#183149,#16887); #10354=LINE('',#183151,#16888); #10355=LINE('',#183152,#16889); #10356=LINE('',#183155,#16890); #10357=LINE('',#183157,#16891); #10358=LINE('',#183158,#16892); #10359=LINE('',#183161,#16893); #10360=LINE('',#183163,#16894); #10361=LINE('',#183164,#16895); #10362=LINE('',#183167,#16896); #10363=LINE('',#183169,#16897); #10364=LINE('',#183170,#16898); #10365=LINE('',#183173,#16899); #10366=LINE('',#183175,#16900); #10367=LINE('',#183176,#16901); #10368=LINE('',#183179,#16902); #10369=LINE('',#183181,#16903); #10370=LINE('',#183182,#16904); #10371=LINE('',#183185,#16905); #10372=LINE('',#183187,#16906); #10373=LINE('',#183188,#16907); #10374=LINE('',#183191,#16908); #10375=LINE('',#183193,#16909); #10376=LINE('',#183194,#16910); #10377=LINE('',#183197,#16911); #10378=LINE('',#183199,#16912); #10379=LINE('',#183200,#16913); #10380=LINE('',#183202,#16914); #10381=LINE('',#183203,#16915); #10382=LINE('',#183220,#16916); #10383=LINE('',#183226,#16917); #10384=LINE('',#183245,#16918); #10385=LINE('',#183264,#16919); #10386=LINE('',#183279,#16920); #10387=LINE('',#183282,#16921); #10388=LINE('',#183284,#16922); #10389=LINE('',#183285,#16923); #10390=LINE('',#183288,#16924); #10391=LINE('',#183290,#16925); #10392=LINE('',#183291,#16926); #10393=LINE('',#183293,#16927); #10394=LINE('',#183294,#16928); #10395=LINE('',#183298,#16929); #10396=LINE('',#183300,#16930); #10397=LINE('',#183302,#16931); #10398=LINE('',#183303,#16932); #10399=LINE('',#183327,#16933); #10400=LINE('',#183349,#16934); #10401=LINE('',#183365,#16935); #10402=LINE('',#183380,#16936); #10403=LINE('',#183386,#16937); #10404=LINE('',#183388,#16938); #10405=LINE('',#183389,#16939); #10406=LINE('',#183391,#16940); #10407=LINE('',#183392,#16941); #10408=LINE('',#183397,#16942); #10409=LINE('',#183399,#16943); #10410=LINE('',#183401,#16944); #10411=LINE('',#183402,#16945); #10412=LINE('',#183405,#16946); #10413=LINE('',#183407,#16947); #10414=LINE('',#183408,#16948); #10415=LINE('',#183411,#16949); #10416=LINE('',#183413,#16950); #10417=LINE('',#183414,#16951); #10418=LINE('',#183416,#16952); #10419=LINE('',#183417,#16953); #10420=LINE('',#183422,#16954); #10421=LINE('',#183424,#16955); #10422=LINE('',#183426,#16956); #10423=LINE('',#183427,#16957); #10424=LINE('',#183430,#16958); #10425=LINE('',#183432,#16959); #10426=LINE('',#183433,#16960); #10427=LINE('',#183448,#16961); #10428=LINE('',#183470,#16962); #10429=LINE('',#183487,#16963); #10430=LINE('',#183508,#16964); #10431=LINE('',#183531,#16965); #10432=LINE('',#183539,#16966); #10433=LINE('',#183541,#16967); #10434=LINE('',#183542,#16968); #10435=LINE('',#183560,#16969); #10436=LINE('',#183583,#16970); #10437=LINE('',#183606,#16971); #10438=LINE('',#183626,#16972); #10439=LINE('',#183633,#16973); #10440=LINE('',#183635,#16974); #10441=LINE('',#183636,#16975); #10442=LINE('',#183639,#16976); #10443=LINE('',#183641,#16977); #10444=LINE('',#183642,#16978); #10445=LINE('',#183644,#16979); #10446=LINE('',#183645,#16980); #10447=LINE('',#183650,#16981); #10448=LINE('',#183652,#16982); #10449=LINE('',#183654,#16983); #10450=LINE('',#183655,#16984); #10451=LINE('',#183670,#16985); #10452=LINE('',#183679,#16986); #10453=LINE('',#183688,#16987); #10454=LINE('',#183695,#16988); #10455=LINE('',#183704,#16989); #10456=LINE('',#183713,#16990); #10457=LINE('',#183720,#16991); #10458=LINE('',#183723,#16992); #10459=LINE('',#183725,#16993); #10460=LINE('',#183726,#16994); #10461=LINE('',#183729,#16995); #10462=LINE('',#183733,#16996); #10463=LINE('',#183737,#16997); #10464=LINE('',#183738,#16998); #10465=LINE('',#183744,#16999); #10466=LINE('',#183747,#17000); #10467=LINE('',#183750,#17001); #10468=LINE('',#183752,#17002); #10469=LINE('',#183753,#17003); #10470=LINE('',#183755,#17004); #10471=LINE('',#183757,#17005); #10472=LINE('',#183759,#17006); #10473=LINE('',#183763,#17007); #10474=LINE('',#183769,#17008); #10475=LINE('',#183770,#17009); #10476=LINE('',#183773,#17010); #10477=LINE('',#183774,#17011); #10478=LINE('',#183779,#17012); #10479=LINE('',#183780,#17013); #10480=LINE('',#183783,#17014); #10481=LINE('',#183784,#17015); #10482=LINE('',#183788,#17016); #10483=LINE('',#183790,#17017); #10484=LINE('',#183794,#17018); #10485=LINE('',#183796,#17019); #10486=LINE('',#183797,#17020); #10487=LINE('',#183798,#17021); #10488=LINE('',#183825,#17022); #10489=LINE('',#183838,#17023); #10490=LINE('',#183840,#17024); #10491=LINE('',#183848,#17025); #10492=LINE('',#183853,#17026); #10493=LINE('',#183855,#17027); #10494=LINE('',#183886,#17028); #10495=LINE('',#183894,#17029); #10496=LINE('',#183896,#17030); #10497=LINE('',#183897,#17031); #10498=LINE('',#183924,#17032); #10499=LINE('',#183937,#17033); #10500=LINE('',#183939,#17034); #10501=LINE('',#183947,#17035); #10502=LINE('',#183952,#17036); #10503=LINE('',#183958,#17037); #10504=LINE('',#183964,#17038); #10505=LINE('',#183966,#17039); #10506=LINE('',#183975,#17040); #10507=LINE('',#183982,#17041); #10508=LINE('',#183987,#17042); #10509=LINE('',#184023,#17043); #10510=LINE('',#184025,#17044); #10511=LINE('',#184026,#17045); #10512=LINE('',#184029,#17046); #10513=LINE('',#184033,#17047); #10514=LINE('',#184036,#17048); #10515=LINE('',#184038,#17049); #10516=LINE('',#184040,#17050); #10517=LINE('',#184041,#17051); #10518=LINE('',#184046,#17052); #10519=LINE('',#184047,#17053); #10520=LINE('',#184050,#17054); #10521=LINE('',#184054,#17055); #10522=LINE('',#184055,#17056); #10523=LINE('',#184058,#17057); #10524=LINE('',#184061,#17058); #10525=LINE('',#184063,#17059); #10526=LINE('',#184064,#17060); #10527=LINE('',#184068,#17061); #10528=LINE('',#184071,#17062); #10529=LINE('',#184073,#17063); #10530=LINE('',#184074,#17064); #10531=LINE('',#184077,#17065); #10532=LINE('',#184079,#17066); #10533=LINE('',#184080,#17067); #10534=LINE('',#184083,#17068); #10535=LINE('',#184085,#17069); #10536=LINE('',#184089,#17070); #10537=LINE('',#184091,#17071); #10538=LINE('',#184092,#17072); #10539=LINE('',#184095,#17073); #10540=LINE('',#184100,#17074); #10541=LINE('',#184103,#17075); #10542=LINE('',#184104,#17076); #10543=LINE('',#184105,#17077); #10544=LINE('',#184110,#17078); #10545=LINE('',#184113,#17079); #10546=LINE('',#184115,#17080); #10547=LINE('',#184118,#17081); #10548=LINE('',#184119,#17082); #10549=LINE('',#184122,#17083); #10550=LINE('',#184129,#17084); #10551=LINE('',#184133,#17085); #10552=LINE('',#184135,#17086); #10553=LINE('',#184137,#17087); #10554=LINE('',#184138,#17088); #10555=LINE('',#184217,#17089); #10556=LINE('',#184282,#17090); #10557=LINE('',#184837,#17091); #10558=LINE('',#184838,#17092); #10559=LINE('',#184908,#17093); #10560=LINE('',#184909,#17094); #10561=LINE('',#184953,#17095); #10562=LINE('',#184984,#17096); #10563=LINE('',#184987,#17097); #10564=LINE('',#185056,#17098); #10565=LINE('',#185119,#17099); #10566=LINE('',#185120,#17100); #10567=LINE('',#185728,#17101); #10568=LINE('',#185886,#17102); #10569=LINE('',#186292,#17103); #10570=LINE('',#186705,#17104); #10571=LINE('',#186719,#17105); #10572=LINE('',#186722,#17106); #10573=LINE('',#186738,#17107); #10574=LINE('',#186741,#17108); #10575=LINE('',#186825,#17109); #10576=LINE('',#187199,#17110); #10577=LINE('',#187200,#17111); #10578=LINE('',#187205,#17112); #10579=LINE('',#187206,#17113); #10580=LINE('',#187250,#17114); #10581=LINE('',#187674,#17115); #10582=LINE('',#187675,#17116); #10583=LINE('',#187680,#17117); #10584=LINE('',#187681,#17118); #10585=LINE('',#187725,#17119); #10586=LINE('',#187811,#17120); #10587=LINE('',#187904,#17121); #10588=LINE('',#187911,#17122); #10589=LINE('',#188004,#17123); #10590=LINE('',#188610,#17124); #10591=LINE('',#188657,#17125); #10592=LINE('',#188659,#17126); #10593=LINE('',#188776,#17127); #10594=LINE('',#188790,#17128); #10595=LINE('',#188802,#17129); #10596=LINE('',#188864,#17130); #10597=LINE('',#188922,#17131); #10598=LINE('',#188963,#17132); #10599=LINE('',#189038,#17133); #10600=LINE('',#189039,#17134); #10601=LINE('',#189044,#17135); #10602=LINE('',#189113,#17136); #10603=LINE('',#189235,#17137); #10604=LINE('',#189245,#17138); #10605=LINE('',#189249,#17139); #10606=LINE('',#189254,#17140); #10607=LINE('',#189257,#17141); #10608=LINE('',#189259,#17142); #10609=LINE('',#189261,#17143); #10610=LINE('',#189262,#17144); #10611=LINE('',#189267,#17145); #10612=LINE('',#189271,#17146); #10613=LINE('',#189275,#17147); #10614=LINE('',#189277,#17148); #10615=LINE('',#189279,#17149); #10616=LINE('',#189280,#17150); #10617=LINE('',#189285,#17151); #10618=LINE('',#189289,#17152); #10619=LINE('',#189293,#17153); #10620=LINE('',#189295,#17154); #10621=LINE('',#189297,#17155); #10622=LINE('',#189298,#17156); #10623=LINE('',#189303,#17157); #10624=LINE('',#189307,#17158); #10625=LINE('',#189311,#17159); #10626=LINE('',#189313,#17160); #10627=LINE('',#189315,#17161); #10628=LINE('',#189316,#17162); #10629=LINE('',#189321,#17163); #10630=LINE('',#189325,#17164); #10631=LINE('',#189329,#17165); #10632=LINE('',#189331,#17166); #10633=LINE('',#189333,#17167); #10634=LINE('',#189334,#17168); #10635=LINE('',#189339,#17169); #10636=LINE('',#189343,#17170); #10637=LINE('',#189347,#17171); #10638=LINE('',#189349,#17172); #10639=LINE('',#189351,#17173); #10640=LINE('',#189352,#17174); #10641=LINE('',#189357,#17175); #10642=LINE('',#189361,#17176); #10643=LINE('',#189365,#17177); #10644=LINE('',#189367,#17178); #10645=LINE('',#189369,#17179); #10646=LINE('',#189370,#17180); #10647=LINE('',#189375,#17181); #10648=LINE('',#189379,#17182); #10649=LINE('',#189383,#17183); #10650=LINE('',#189385,#17184); #10651=LINE('',#189387,#17185); #10652=LINE('',#189388,#17186); #10653=LINE('',#189393,#17187); #10654=LINE('',#189397,#17188); #10655=LINE('',#189401,#17189); #10656=LINE('',#189403,#17190); #10657=LINE('',#189405,#17191); #10658=LINE('',#189406,#17192); #10659=LINE('',#189412,#17193); #10660=LINE('',#189416,#17194); #10661=LINE('',#189419,#17195); #10662=LINE('',#189425,#17196); #10663=LINE('',#189429,#17197); #10664=LINE('',#189432,#17198); #10665=LINE('',#189438,#17199); #10666=LINE('',#189442,#17200); #10667=LINE('',#189445,#17201); #10668=LINE('',#189451,#17202); #10669=LINE('',#189455,#17203); #10670=LINE('',#189458,#17204); #10671=LINE('',#189464,#17205); #10672=LINE('',#189468,#17206); #10673=LINE('',#189471,#17207); #10674=LINE('',#189477,#17208); #10675=LINE('',#189481,#17209); #10676=LINE('',#189484,#17210); #10677=LINE('',#189490,#17211); #10678=LINE('',#189494,#17212); #10679=LINE('',#189497,#17213); #10680=LINE('',#189503,#17214); #10681=LINE('',#189507,#17215); #10682=LINE('',#189510,#17216); #10683=LINE('',#189512,#17217); #10684=LINE('',#189514,#17218); #10685=LINE('',#189515,#17219); #10686=LINE('',#189517,#17220); #10687=LINE('',#189519,#17221); #10688=LINE('',#189520,#17222); #10689=LINE('',#189522,#17223); #10690=LINE('',#189524,#17224); #10691=LINE('',#189525,#17225); #10692=LINE('',#189527,#17226); #10693=LINE('',#189529,#17227); #10694=LINE('',#189530,#17228); #10695=LINE('',#189532,#17229); #10696=LINE('',#189534,#17230); #10697=LINE('',#189535,#17231); #10698=LINE('',#189537,#17232); #10699=LINE('',#189539,#17233); #10700=LINE('',#189540,#17234); #10701=LINE('',#189542,#17235); #10702=LINE('',#189544,#17236); #10703=LINE('',#189545,#17237); #10704=LINE('',#189547,#17238); #10705=LINE('',#189549,#17239); #10706=LINE('',#189550,#17240); #10707=LINE('',#189554,#17241); #10708=LINE('',#189555,#17242); #10709=LINE('',#189557,#17243); #10710=LINE('',#189558,#17244); #10711=LINE('',#189563,#17245); #10712=LINE('',#189605,#17246); #10713=LINE('',#189608,#17247); #10714=LINE('',#189613,#17248); #10715=LINE('',#189616,#17249); #10716=LINE('',#189621,#17250); #10717=LINE('',#189624,#17251); #10718=LINE('',#189630,#17252); #10719=LINE('',#189633,#17253); #10720=LINE('',#189646,#17254); #10721=LINE('',#189658,#17255); #10722=LINE('',#189662,#17256); #10723=LINE('',#189672,#17257); #10724=LINE('',#189688,#17258); #10725=LINE('',#189692,#17259); #10726=LINE('',#189696,#17260); #10727=LINE('',#189700,#17261); #10728=LINE('',#189706,#17262); #10729=LINE('',#189710,#17263); #10730=LINE('',#189714,#17264); #10731=LINE('',#189717,#17265); #10732=LINE('',#189723,#17266); #10733=LINE('',#189726,#17267); #10734=LINE('',#189731,#17268); #10735=LINE('',#189734,#17269); #10736=LINE('',#189739,#17270); #10737=LINE('',#189742,#17271); #10738=LINE('',#189748,#17272); #10739=LINE('',#189751,#17273); #10740=LINE('',#189780,#17274); #10741=LINE('',#189783,#17275); #10742=LINE('',#189788,#17276); #10743=LINE('',#189791,#17277); #10744=LINE('',#189796,#17278); #10745=LINE('',#189799,#17279); #10746=LINE('',#189805,#17280); #10747=LINE('',#189808,#17281); #10748=LINE('',#189836,#17282); #10749=LINE('',#189841,#17283); #10750=LINE('',#189894,#17284); #10751=LINE('',#189903,#17285); #10752=LINE('',#189906,#17286); #10753=LINE('',#189916,#17287); #10754=LINE('',#189935,#17288); #10755=LINE('',#189945,#17289); #10756=LINE('',#189961,#17290); #10757=LINE('',#190004,#17291); #10758=LINE('',#190012,#17292); #10759=LINE('',#190014,#17293); #10760=LINE('',#190016,#17294); #10761=LINE('',#190017,#17295); #10762=LINE('',#190020,#17296); #10763=LINE('',#190022,#17297); #10764=LINE('',#190023,#17298); #10765=LINE('',#190041,#17299); #10766=LINE('',#190046,#17300); #10767=LINE('',#190063,#17301); #10768=LINE('',#190069,#17302); #10769=LINE('',#190142,#17303); #10770=LINE('',#190150,#17304); #10771=LINE('',#190152,#17305); #10772=LINE('',#190154,#17306); #10773=LINE('',#190155,#17307); #10774=LINE('',#190158,#17308); #10775=LINE('',#190160,#17309); #10776=LINE('',#190161,#17310); #10777=LINE('',#190179,#17311); #10778=LINE('',#190184,#17312); #10779=LINE('',#190201,#17313); #10780=LINE('',#190207,#17314); #10781=LINE('',#190280,#17315); #10782=LINE('',#190288,#17316); #10783=LINE('',#190290,#17317); #10784=LINE('',#190292,#17318); #10785=LINE('',#190293,#17319); #10786=LINE('',#190296,#17320); #10787=LINE('',#190298,#17321); #10788=LINE('',#190299,#17322); #10789=LINE('',#190317,#17323); #10790=LINE('',#190322,#17324); #10791=LINE('',#190339,#17325); #10792=LINE('',#190345,#17326); #10793=LINE('',#190418,#17327); #10794=LINE('',#190426,#17328); #10795=LINE('',#190428,#17329); #10796=LINE('',#190430,#17330); #10797=LINE('',#190431,#17331); #10798=LINE('',#190434,#17332); #10799=LINE('',#190436,#17333); #10800=LINE('',#190437,#17334); #10801=LINE('',#190455,#17335); #10802=LINE('',#190460,#17336); #10803=LINE('',#190477,#17337); #10804=LINE('',#190483,#17338); #10805=LINE('',#190556,#17339); #10806=LINE('',#190564,#17340); #10807=LINE('',#190566,#17341); #10808=LINE('',#190568,#17342); #10809=LINE('',#190569,#17343); #10810=LINE('',#190572,#17344); #10811=LINE('',#190574,#17345); #10812=LINE('',#190575,#17346); #10813=LINE('',#190593,#17347); #10814=LINE('',#190598,#17348); #10815=LINE('',#190615,#17349); #10816=LINE('',#190621,#17350); #10817=LINE('',#190688,#17351); #10818=LINE('',#190692,#17352); #10819=LINE('',#190770,#17353); #10820=LINE('',#190777,#17354); #10821=LINE('',#190778,#17355); #10822=LINE('',#190780,#17356); #10823=LINE('',#190781,#17357); #10824=LINE('',#190784,#17358); #10825=LINE('',#190786,#17359); #10826=LINE('',#190787,#17360); #10827=LINE('',#190824,#17361); #10828=LINE('',#190830,#17362); #10829=LINE('',#190855,#17363); #10830=LINE('',#190857,#17364); #10831=LINE('',#190859,#17365); #10832=LINE('',#190868,#17366); #10833=LINE('',#190888,#17367); #10834=LINE('',#190889,#17368); #10835=LINE('',#190924,#17369); #10836=LINE('',#190927,#17370); #10837=LINE('',#190928,#17371); #10838=LINE('',#190931,#17372); #10839=LINE('',#190933,#17373); #10840=LINE('',#190935,#17374); #10841=LINE('',#190944,#17375); #10842=LINE('',#190964,#17376); #10843=LINE('',#190965,#17377); #10844=LINE('',#191000,#17378); #10845=LINE('',#191003,#17379); #10846=LINE('',#191004,#17380); #10847=LINE('',#191007,#17381); #10848=LINE('',#191009,#17382); #10849=LINE('',#191011,#17383); #10850=LINE('',#191020,#17384); #10851=LINE('',#191040,#17385); #10852=LINE('',#191041,#17386); #10853=LINE('',#191076,#17387); #10854=LINE('',#191079,#17388); #10855=LINE('',#191080,#17389); #10856=LINE('',#191083,#17390); #10857=LINE('',#191085,#17391); #10858=LINE('',#191087,#17392); #10859=LINE('',#191096,#17393); #10860=LINE('',#191116,#17394); #10861=LINE('',#191117,#17395); #10862=LINE('',#191152,#17396); #10863=LINE('',#191155,#17397); #10864=LINE('',#191156,#17398); #10865=LINE('',#191159,#17399); #10866=LINE('',#191161,#17400); #10867=LINE('',#191163,#17401); #10868=LINE('',#191172,#17402); #10869=LINE('',#191192,#17403); #10870=LINE('',#191193,#17404); #10871=LINE('',#191228,#17405); #10872=LINE('',#191231,#17406); #10873=LINE('',#191232,#17407); #10874=LINE('',#191235,#17408); #10875=LINE('',#191237,#17409); #10876=LINE('',#191239,#17410); #10877=LINE('',#191248,#17411); #10878=LINE('',#191268,#17412); #10879=LINE('',#191269,#17413); #10880=LINE('',#191304,#17414); #10881=LINE('',#191307,#17415); #10882=LINE('',#191308,#17416); #10883=LINE('',#191311,#17417); #10884=LINE('',#191313,#17418); #10885=LINE('',#191315,#17419); #10886=LINE('',#191324,#17420); #10887=LINE('',#191344,#17421); #10888=LINE('',#191345,#17422); #10889=LINE('',#191380,#17423); #10890=LINE('',#191383,#17424); #10891=LINE('',#191384,#17425); #10892=LINE('',#191387,#17426); #10893=LINE('',#191389,#17427); #10894=LINE('',#191391,#17428); #10895=LINE('',#191400,#17429); #10896=LINE('',#191420,#17430); #10897=LINE('',#191421,#17431); #10898=LINE('',#191456,#17432); #10899=LINE('',#191459,#17433); #10900=LINE('',#191460,#17434); #10901=LINE('',#191463,#17435); #10902=LINE('',#191465,#17436); #10903=LINE('',#191467,#17437); #10904=LINE('',#191476,#17438); #10905=LINE('',#191496,#17439); #10906=LINE('',#191497,#17440); #10907=LINE('',#191532,#17441); #10908=LINE('',#191535,#17442); #10909=LINE('',#191536,#17443); #10910=LINE('',#191539,#17444); #10911=LINE('',#191541,#17445); #10912=LINE('',#191543,#17446); #10913=LINE('',#191552,#17447); #10914=LINE('',#191572,#17448); #10915=LINE('',#191573,#17449); #10916=LINE('',#191608,#17450); #10917=LINE('',#191611,#17451); #10918=LINE('',#191612,#17452); #10919=LINE('',#191615,#17453); #10920=LINE('',#191617,#17454); #10921=LINE('',#191619,#17455); #10922=LINE('',#191628,#17456); #10923=LINE('',#191648,#17457); #10924=LINE('',#191649,#17458); #10925=LINE('',#191684,#17459); #10926=LINE('',#191687,#17460); #10927=LINE('',#191688,#17461); #10928=LINE('',#191692,#17462); #10929=LINE('',#191715,#17463); #10930=LINE('',#191717,#17464); #10931=LINE('',#191726,#17465); #10932=LINE('',#191746,#17466); #10933=LINE('',#191747,#17467); #10934=LINE('',#191782,#17468); #10935=LINE('',#191785,#17469); #10936=LINE('',#191786,#17470); #10937=LINE('',#191796,#17471); #10938=LINE('',#191818,#17472); #10939=LINE('',#191824,#17473); #10940=LINE('',#191845,#17474); #10941=LINE('',#191849,#17475); #10942=LINE('',#191852,#17476); #10943=LINE('',#191855,#17477); #10944=LINE('',#191856,#17478); #10945=LINE('',#191859,#17479); #10946=LINE('',#191868,#17480); #10947=LINE('',#191883,#17481); #10948=LINE('',#191893,#17482); #10949=LINE('',#191897,#17483); #10950=LINE('',#191898,#17484); #10951=LINE('',#191901,#17485); #10952=LINE('',#191906,#17486); #10953=LINE('',#191909,#17487); #10954=LINE('',#191910,#17488); #10955=LINE('',#191914,#17489); #10956=LINE('',#191928,#17490); #10957=LINE('',#191943,#17491); #10958=LINE('',#191947,#17492); #10959=LINE('',#191950,#17493); #10960=LINE('',#191951,#17494); #10961=LINE('',#191959,#17495); #10962=LINE('',#191962,#17496); #10963=LINE('',#191965,#17497); #10964=LINE('',#191967,#17498); #10965=LINE('',#191968,#17499); #10966=LINE('',#191973,#17500); #10967=LINE('',#191977,#17501); #10968=LINE('',#191979,#17502); #10969=LINE('',#191980,#17503); #10970=LINE('',#191986,#17504); #10971=LINE('',#191988,#17505); #10972=LINE('',#191989,#17506); #10973=LINE('',#191996,#17507); #10974=LINE('',#192000,#17508); #10975=LINE('',#192003,#17509); #10976=LINE('',#192006,#17510); #10977=LINE('',#192009,#17511); #10978=LINE('',#192012,#17512); #10979=LINE('',#192013,#17513); #10980=LINE('',#192016,#17514); #10981=LINE('',#192020,#17515); #10982=LINE('',#192021,#17516); #10983=LINE('',#192025,#17517); #10984=LINE('',#192027,#17518); #10985=LINE('',#192031,#17519); #10986=LINE('',#192033,#17520); #10987=LINE('',#192035,#17521); #10988=LINE('',#192036,#17522); #10989=LINE('',#192041,#17523); #10990=LINE('',#192045,#17524); #10991=LINE('',#192047,#17525); #10992=LINE('',#192048,#17526); #10993=LINE('',#192054,#17527); #10994=LINE('',#192057,#17528); #10995=LINE('',#192059,#17529); #10996=LINE('',#192060,#17530); #10997=LINE('',#192070,#17531); #10998=LINE('',#192074,#17532); #10999=LINE('',#192077,#17533); #11000=LINE('',#192080,#17534); #11001=LINE('',#192083,#17535); #11002=LINE('',#192086,#17536); #11003=LINE('',#192087,#17537); #11004=LINE('',#192090,#17538); #11005=LINE('',#192094,#17539); #11006=LINE('',#192095,#17540); #11007=LINE('',#192099,#17541); #11008=LINE('',#192101,#17542); #11009=LINE('',#192107,#17543); #11010=LINE('',#192110,#17544); #11011=LINE('',#192113,#17545); #11012=LINE('',#192115,#17546); #11013=LINE('',#192116,#17547); #11014=LINE('',#192121,#17548); #11015=LINE('',#192138,#17549); #11016=LINE('',#192160,#17550); #11017=LINE('',#192171,#17551); #11018=LINE('',#192175,#17552); #11019=LINE('',#192177,#17553); #11020=LINE('',#192178,#17554); #11021=LINE('',#192183,#17555); #11022=LINE('',#192190,#17556); #11023=LINE('',#192193,#17557); #11024=LINE('',#192195,#17558); #11025=LINE('',#192196,#17559); #11026=LINE('',#192202,#17560); #11027=LINE('',#192225,#17561); #11028=LINE('',#192248,#17562); #11029=LINE('',#192254,#17563); #11030=LINE('',#192257,#17564); #11031=LINE('',#192259,#17565); #11032=LINE('',#192260,#17566); #11033=LINE('',#192270,#17567); #11034=LINE('',#192292,#17568); #11035=LINE('',#192298,#17569); #11036=LINE('',#192319,#17570); #11037=LINE('',#192323,#17571); #11038=LINE('',#192326,#17572); #11039=LINE('',#192329,#17573); #11040=LINE('',#192330,#17574); #11041=LINE('',#192333,#17575); #11042=LINE('',#192342,#17576); #11043=LINE('',#192357,#17577); #11044=LINE('',#192367,#17578); #11045=LINE('',#192371,#17579); #11046=LINE('',#192372,#17580); #11047=LINE('',#192375,#17581); #11048=LINE('',#192380,#17582); #11049=LINE('',#192383,#17583); #11050=LINE('',#192384,#17584); #11051=LINE('',#192388,#17585); #11052=LINE('',#192402,#17586); #11053=LINE('',#192417,#17587); #11054=LINE('',#192421,#17588); #11055=LINE('',#192424,#17589); #11056=LINE('',#192425,#17590); #11057=LINE('',#192433,#17591); #11058=LINE('',#192436,#17592); #11059=LINE('',#192439,#17593); #11060=LINE('',#192441,#17594); #11061=LINE('',#192442,#17595); #11062=LINE('',#192447,#17596); #11063=LINE('',#192464,#17597); #11064=LINE('',#192486,#17598); #11065=LINE('',#192497,#17599); #11066=LINE('',#192501,#17600); #11067=LINE('',#192503,#17601); #11068=LINE('',#192504,#17602); #11069=LINE('',#192509,#17603); #11070=LINE('',#192516,#17604); #11071=LINE('',#192519,#17605); #11072=LINE('',#192521,#17606); #11073=LINE('',#192522,#17607); #11074=LINE('',#192528,#17608); #11075=LINE('',#192551,#17609); #11076=LINE('',#192574,#17610); #11077=LINE('',#192580,#17611); #11078=LINE('',#192583,#17612); #11079=LINE('',#192585,#17613); #11080=LINE('',#192586,#17614); #11081=LINE('',#192596,#17615); #11082=LINE('',#192618,#17616); #11083=LINE('',#192624,#17617); #11084=LINE('',#192645,#17618); #11085=LINE('',#192649,#17619); #11086=LINE('',#192652,#17620); #11087=LINE('',#192655,#17621); #11088=LINE('',#192656,#17622); #11089=LINE('',#192659,#17623); #11090=LINE('',#192668,#17624); #11091=LINE('',#192683,#17625); #11092=LINE('',#192693,#17626); #11093=LINE('',#192697,#17627); #11094=LINE('',#192698,#17628); #11095=LINE('',#192701,#17629); #11096=LINE('',#192706,#17630); #11097=LINE('',#192709,#17631); #11098=LINE('',#192710,#17632); #11099=LINE('',#192714,#17633); #11100=LINE('',#192728,#17634); #11101=LINE('',#192743,#17635); #11102=LINE('',#192747,#17636); #11103=LINE('',#192750,#17637); #11104=LINE('',#192751,#17638); #11105=LINE('',#192759,#17639); #11106=LINE('',#192762,#17640); #11107=LINE('',#192765,#17641); #11108=LINE('',#192767,#17642); #11109=LINE('',#192768,#17643); #11110=LINE('',#192773,#17644); #11111=LINE('',#192790,#17645); #11112=LINE('',#192812,#17646); #11113=LINE('',#192823,#17647); #11114=LINE('',#192827,#17648); #11115=LINE('',#192829,#17649); #11116=LINE('',#192830,#17650); #11117=LINE('',#192835,#17651); #11118=LINE('',#192842,#17652); #11119=LINE('',#192845,#17653); #11120=LINE('',#192847,#17654); #11121=LINE('',#192848,#17655); #11122=LINE('',#192854,#17656); #11123=LINE('',#192877,#17657); #11124=LINE('',#192900,#17658); #11125=LINE('',#192906,#17659); #11126=LINE('',#192909,#17660); #11127=LINE('',#192911,#17661); #11128=LINE('',#192912,#17662); #11129=LINE('',#192922,#17663); #11130=LINE('',#192944,#17664); #11131=LINE('',#192950,#17665); #11132=LINE('',#192971,#17666); #11133=LINE('',#192975,#17667); #11134=LINE('',#192978,#17668); #11135=LINE('',#192981,#17669); #11136=LINE('',#192982,#17670); #11137=LINE('',#192985,#17671); #11138=LINE('',#192994,#17672); #11139=LINE('',#193009,#17673); #11140=LINE('',#193019,#17674); #11141=LINE('',#193023,#17675); #11142=LINE('',#193024,#17676); #11143=LINE('',#193027,#17677); #11144=LINE('',#193032,#17678); #11145=LINE('',#193035,#17679); #11146=LINE('',#193036,#17680); #11147=LINE('',#193040,#17681); #11148=LINE('',#193054,#17682); #11149=LINE('',#193069,#17683); #11150=LINE('',#193073,#17684); #11151=LINE('',#193076,#17685); #11152=LINE('',#193077,#17686); #11153=LINE('',#193085,#17687); #11154=LINE('',#193088,#17688); #11155=LINE('',#193091,#17689); #11156=LINE('',#193093,#17690); #11157=LINE('',#193094,#17691); #11158=LINE('',#193099,#17692); #11159=LINE('',#193116,#17693); #11160=LINE('',#193138,#17694); #11161=LINE('',#193149,#17695); #11162=LINE('',#193153,#17696); #11163=LINE('',#193155,#17697); #11164=LINE('',#193156,#17698); #11165=LINE('',#193161,#17699); #11166=LINE('',#193168,#17700); #11167=LINE('',#193171,#17701); #11168=LINE('',#193173,#17702); #11169=LINE('',#193174,#17703); #11170=LINE('',#193180,#17704); #11171=LINE('',#193203,#17705); #11172=LINE('',#193226,#17706); #11173=LINE('',#193232,#17707); #11174=LINE('',#193235,#17708); #11175=LINE('',#193237,#17709); #11176=LINE('',#193238,#17710); #11177=LINE('',#193248,#17711); #11178=LINE('',#193270,#17712); #11179=LINE('',#193276,#17713); #11180=LINE('',#193297,#17714); #11181=LINE('',#193301,#17715); #11182=LINE('',#193304,#17716); #11183=LINE('',#193307,#17717); #11184=LINE('',#193308,#17718); #11185=LINE('',#193311,#17719); #11186=LINE('',#193320,#17720); #11187=LINE('',#193335,#17721); #11188=LINE('',#193345,#17722); #11189=LINE('',#193349,#17723); #11190=LINE('',#193350,#17724); #11191=LINE('',#193353,#17725); #11192=LINE('',#193358,#17726); #11193=LINE('',#193361,#17727); #11194=LINE('',#193362,#17728); #11195=LINE('',#193366,#17729); #11196=LINE('',#193380,#17730); #11197=LINE('',#193395,#17731); #11198=LINE('',#193399,#17732); #11199=LINE('',#193402,#17733); #11200=LINE('',#193403,#17734); #11201=LINE('',#193411,#17735); #11202=LINE('',#193414,#17736); #11203=LINE('',#193417,#17737); #11204=LINE('',#193419,#17738); #11205=LINE('',#193420,#17739); #11206=LINE('',#193425,#17740); #11207=LINE('',#193442,#17741); #11208=LINE('',#193464,#17742); #11209=LINE('',#193475,#17743); #11210=LINE('',#193479,#17744); #11211=LINE('',#193481,#17745); #11212=LINE('',#193482,#17746); #11213=LINE('',#193487,#17747); #11214=LINE('',#193494,#17748); #11215=LINE('',#193497,#17749); #11216=LINE('',#193499,#17750); #11217=LINE('',#193500,#17751); #11218=LINE('',#193506,#17752); #11219=LINE('',#193529,#17753); #11220=LINE('',#193552,#17754); #11221=LINE('',#193558,#17755); #11222=LINE('',#193561,#17756); #11223=LINE('',#193563,#17757); #11224=LINE('',#193564,#17758); #11225=LINE('',#193574,#17759); #11226=LINE('',#193577,#17760); #11227=LINE('',#193591,#17761); #11228=LINE('',#193604,#17762); #11229=LINE('',#193606,#17763); #11230=LINE('',#193607,#17764); #11231=LINE('',#193621,#17765); #11232=LINE('',#193645,#17766); #11233=LINE('',#193660,#17767); #11234=LINE('',#193664,#17768); #11235=LINE('',#193677,#17769); #11236=LINE('',#193678,#17770); #11237=LINE('',#193703,#17771); #11238=LINE('',#193705,#17772); #11239=LINE('',#193727,#17773); #11240=LINE('',#193729,#17774); #11241=LINE('',#193760,#17775); #11242=LINE('',#193776,#17776); #11243=LINE('',#193800,#17777); #11244=LINE('',#193816,#17778); #11245=LINE('',#193840,#17779); #11246=LINE('',#193856,#17780); #11247=LINE('',#193880,#17781); #11248=LINE('',#193896,#17782); #11249=LINE('',#193920,#17783); #11250=LINE('',#193936,#17784); #11251=LINE('',#193960,#17785); #11252=LINE('',#193976,#17786); #11253=VECTOR('',#98645,0.0925240499999999); #11254=VECTOR('',#98650,0.0925240499999999); #11255=VECTOR('',#98655,0.125); #11256=VECTOR('',#98660,0.125); #11257=VECTOR('',#98665,0.0925240499999999); #11258=VECTOR('',#98670,0.0925240499999999); #11259=VECTOR('',#98675,0.125); #11260=VECTOR('',#98856,0.393700787401575); #11261=VECTOR('',#98859,0.393700787401575); #11262=VECTOR('',#98862,0.393700787401575); #11263=VECTOR('',#98867,0.393700787401575); #11264=VECTOR('',#98872,0.393700787401575); #11265=VECTOR('',#98959,0.393700787401575); #11266=VECTOR('',#98962,0.393700787401575); #11267=VECTOR('',#98965,0.393700787401575); #11268=VECTOR('',#98970,0.393700787401575); #11269=VECTOR('',#98975,0.393700787401575); #11270=VECTOR('',#98980,0.393700787401575); #11271=VECTOR('',#98983,0.393700787401575); #11272=VECTOR('',#98984,0.393700787401575); #11273=VECTOR('',#98989,0.393700787401575); #11274=VECTOR('',#98992,0.393700787401575); #11275=VECTOR('',#98995,0.393700787401575); #11276=VECTOR('',#98998,0.393700787401575); #11277=VECTOR('',#99001,0.393700787401575); #11278=VECTOR('',#99004,0.393700787401575); #11279=VECTOR('',#99007,0.393700787401575); #11280=VECTOR('',#99010,0.393700787401575); #11281=VECTOR('',#99013,0.393700787401575); #11282=VECTOR('',#99016,0.393700787401575); #11283=VECTOR('',#99021,0.393700787401575); #11284=VECTOR('',#99022,0.393700787401575); #11285=VECTOR('',#99025,0.393700787401575); #11286=VECTOR('',#99026,0.393700787401575); #11287=VECTOR('',#99029,0.393700787401575); #11288=VECTOR('',#99030,0.393700787401575); #11289=VECTOR('',#99031,0.393700787401575); #11290=VECTOR('',#99036,0.393700787401575); #11291=VECTOR('',#99037,0.393700787401575); #11292=VECTOR('',#99038,0.393700787401575); #11293=VECTOR('',#99041,0.393700787401575); #11294=VECTOR('',#99042,0.393700787401575); #11295=VECTOR('',#99045,0.393700787401575); #11296=VECTOR('',#99046,0.393700787401575); #11297=VECTOR('',#99049,0.393700787401575); #11298=VECTOR('',#99050,0.393700787401575); #11299=VECTOR('',#99051,0.393700787401575); #11300=VECTOR('',#99056,0.393700787401575); #11301=VECTOR('',#99057,0.393700787401575); #11302=VECTOR('',#99058,0.393700787401575); #11303=VECTOR('',#99061,0.393700787401575); #11304=VECTOR('',#99142,0.393700787401575); #11305=VECTOR('',#99143,0.393700787401575); #11306=VECTOR('',#99146,0.393700787401575); #11307=VECTOR('',#99199,0.393700787401575); #11308=VECTOR('',#99202,0.393700787401575); #11309=VECTOR('',#99205,0.393700787401575); #11310=VECTOR('',#99210,0.393700787401575); #11311=VECTOR('',#99215,0.393700787401575); #11312=VECTOR('',#99270,0.393700787401575); #11313=VECTOR('',#99273,0.393700787401575); #11314=VECTOR('',#99276,0.393700787401575); #11315=VECTOR('',#99307,0.393700787401575); #11316=VECTOR('',#99308,0.393700787401575); #11317=VECTOR('',#99329,0.393700787401575); #11318=VECTOR('',#99330,0.393700787401575); #11319=VECTOR('',#99347,0.393700787401575); #11320=VECTOR('',#99348,0.393700787401575); #11321=VECTOR('',#99369,0.393700787401575); #11322=VECTOR('',#99370,0.393700787401575); #11323=VECTOR('',#99445,0.393700787401575); #11324=VECTOR('',#99446,0.393700787401575); #11325=VECTOR('',#99463,0.393700787401575); #11326=VECTOR('',#99464,0.393700787401575); #11327=VECTOR('',#99497,0.393700787401575); #11328=VECTOR('',#99498,0.393700787401575); #11329=VECTOR('',#99517,0.393700787401575); #11330=VECTOR('',#99518,0.393700787401575); #11331=VECTOR('',#99551,0.393700787401575); #11332=VECTOR('',#99552,0.393700787401575); #11333=VECTOR('',#99569,0.393700787401575); #11334=VECTOR('',#99570,0.393700787401575); #11335=VECTOR('',#99603,0.393700787401575); #11336=VECTOR('',#99604,0.393700787401575); #11337=VECTOR('',#99621,0.393700787401575); #11338=VECTOR('',#99622,0.393700787401575); #11339=VECTOR('',#99641,0.393700787401575); #11340=VECTOR('',#99644,0.393700787401575); #11341=VECTOR('',#99647,0.393700787401575); #11342=VECTOR('',#99650,0.393700787401575); #11343=VECTOR('',#99653,0.393700787401575); #11344=VECTOR('',#99656,0.393700787401575); #11345=VECTOR('',#99661,0.393700787401575); #11346=VECTOR('',#99664,0.393700787401575); #11347=VECTOR('',#99667,0.393700787401575); #11348=VECTOR('',#99670,0.393700787401575); #11349=VECTOR('',#99675,0.393700787401575); #11350=VECTOR('',#99678,0.393700787401575); #11351=VECTOR('',#99683,0.393700787401575); #11352=VECTOR('',#99686,0.393700787401575); #11353=VECTOR('',#99691,0.393700787401575); #11354=VECTOR('',#99694,0.393700787401575); #11355=VECTOR('',#99697,0.393700787401575); #11356=VECTOR('',#99700,0.393700787401575); #11357=VECTOR('',#99705,0.393700787401575); #11358=VECTOR('',#99708,0.393700787401575); #11359=VECTOR('',#99711,0.393700787401575); #11360=VECTOR('',#99714,0.393700787401575); #11361=VECTOR('',#99719,0.393700787401575); #11362=VECTOR('',#99722,0.393700787401575); #11363=VECTOR('',#99727,0.393700787401575); #11364=VECTOR('',#99732,0.36397529); #11365=VECTOR('',#99883,0.393700787401575); #11366=VECTOR('',#99884,0.393700787401575); #11367=VECTOR('',#99887,0.393700787401575); #11368=VECTOR('',#99890,0.393700787401575); #11369=VECTOR('',#99893,0.393700787401575); #11370=VECTOR('',#99896,0.393700787401575); #11371=VECTOR('',#99899,0.393700787401575); #11372=VECTOR('',#99902,0.393700787401575); #11373=VECTOR('',#99905,0.393700787401575); #11374=VECTOR('',#99908,0.393700787401575); #11375=VECTOR('',#99911,0.393700787401575); #11376=VECTOR('',#99914,0.393700787401575); #11377=VECTOR('',#99917,0.393700787401575); #11378=VECTOR('',#99920,0.393700787401575); #11379=VECTOR('',#99923,0.393700787401575); #11380=VECTOR('',#99926,0.393700787401575); #11381=VECTOR('',#99929,0.393700787401575); #11382=VECTOR('',#99932,0.393700787401575); #11383=VECTOR('',#99935,0.393700787401575); #11384=VECTOR('',#99938,0.393700787401575); #11385=VECTOR('',#99941,0.393700787401575); #11386=VECTOR('',#99946,0.276470435); #11387=VECTOR('',#99961,0.393700787401575); #11388=VECTOR('',#99964,0.393700787401575); #11389=VECTOR('',#99969,0.634741985); #11390=VECTOR('',#100056,0.393700787401575); #11391=VECTOR('',#100067,0.393700787401575); #11392=VECTOR('',#100104,0.393700787401575); #11393=VECTOR('',#100105,0.393700787401575); #11394=VECTOR('',#100108,0.393700787401575); #11395=VECTOR('',#100113,0.393700787401575); #11396=VECTOR('',#100116,0.393700787401575); #11397=VECTOR('',#100119,0.393700787401575); #11398=VECTOR('',#100122,0.393700787401575); #11399=VECTOR('',#100127,0.393700787401575); #11400=VECTOR('',#100130,0.393700787401575); #11401=VECTOR('',#100133,0.393700787401575); #11402=VECTOR('',#100136,0.393700787401575); #11403=VECTOR('',#100141,0.393700787401575); #11404=VECTOR('',#100162,0.4825); #11405=VECTOR('',#100233,0.393700787401575); #11406=VECTOR('',#100236,0.393700787401575); #11407=VECTOR('',#100239,0.393700787401575); #11408=VECTOR('',#100242,0.393700787401575); #11409=VECTOR('',#100245,0.393700787401575); #11410=VECTOR('',#100248,0.393700787401575); #11411=VECTOR('',#100251,0.393700787401575); #11412=VECTOR('',#100254,0.393700787401575); #11413=VECTOR('',#100259,0.393700787401575); #11414=VECTOR('',#100260,0.393700787401575); #11415=VECTOR('',#100261,0.393700787401575); #11416=VECTOR('',#100262,0.393700787401575); #11417=VECTOR('',#100265,0.393700787401575); #11418=VECTOR('',#100266,0.393700787401575); #11419=VECTOR('',#100267,0.393700787401575); #11420=VECTOR('',#100270,0.393700787401575); #11421=VECTOR('',#100271,0.393700787401575); #11422=VECTOR('',#100272,0.393700787401575); #11423=VECTOR('',#100275,0.393700787401575); #11424=VECTOR('',#100276,0.393700787401575); #11425=VECTOR('',#100277,0.393700787401575); #11426=VECTOR('',#100280,0.393700787401575); #11427=VECTOR('',#100281,0.393700787401575); #11428=VECTOR('',#100282,0.393700787401575); #11429=VECTOR('',#100285,0.393700787401575); #11430=VECTOR('',#100286,0.393700787401575); #11431=VECTOR('',#100287,0.393700787401575); #11432=VECTOR('',#100290,0.393700787401575); #11433=VECTOR('',#100291,0.393700787401575); #11434=VECTOR('',#100292,0.393700787401575); #11435=VECTOR('',#100295,0.393700787401575); #11436=VECTOR('',#100296,0.393700787401575); #11437=VECTOR('',#100297,0.393700787401575); #11438=VECTOR('',#100300,0.393700787401575); #11439=VECTOR('',#100301,0.393700787401575); #11440=VECTOR('',#100302,0.393700787401575); #11441=VECTOR('',#100305,0.393700787401575); #11442=VECTOR('',#100306,0.393700787401575); #11443=VECTOR('',#100311,0.393700787401575); #11444=VECTOR('',#100312,0.393700787401575); #11445=VECTOR('',#100313,0.393700787401575); #11446=VECTOR('',#100314,0.393700787401575); #11447=VECTOR('',#100317,0.393700787401575); #11448=VECTOR('',#100318,0.393700787401575); #11449=VECTOR('',#100319,0.393700787401575); #11450=VECTOR('',#100322,0.393700787401575); #11451=VECTOR('',#100323,0.393700787401575); #11452=VECTOR('',#100324,0.393700787401575); #11453=VECTOR('',#100327,0.393700787401575); #11454=VECTOR('',#100328,0.393700787401575); #11455=VECTOR('',#100333,0.393700787401575); #11456=VECTOR('',#100334,0.393700787401575); #11457=VECTOR('',#100335,0.393700787401575); #11458=VECTOR('',#100336,0.393700787401575); #11459=VECTOR('',#100339,0.393700787401575); #11460=VECTOR('',#100340,0.393700787401575); #11461=VECTOR('',#100341,0.393700787401575); #11462=VECTOR('',#100344,0.393700787401575); #11463=VECTOR('',#100345,0.393700787401575); #11464=VECTOR('',#100346,0.393700787401575); #11465=VECTOR('',#100349,0.393700787401575); #11466=VECTOR('',#100350,0.393700787401575); #11467=VECTOR('',#100353,0.393700787401575); #11468=VECTOR('',#100354,0.393700787401575); #11469=VECTOR('',#100355,0.393700787401575); #11470=VECTOR('',#100356,0.393700787401575); #11471=VECTOR('',#100357,0.393700787401575); #11472=VECTOR('',#100358,0.393700787401575); #11473=VECTOR('',#100359,0.393700787401575); #11474=VECTOR('',#100360,0.393700787401575); #11475=VECTOR('',#100361,0.393700787401575); #11476=VECTOR('',#100362,0.393700787401575); #11477=VECTOR('',#100363,0.393700787401575); #11478=VECTOR('',#100364,0.393700787401575); #11479=VECTOR('',#100365,0.393700787401575); #11480=VECTOR('',#100366,0.393700787401575); #11481=VECTOR('',#100371,0.393700787401575); #11482=VECTOR('',#100372,0.393700787401575); #11483=VECTOR('',#100373,0.393700787401575); #11484=VECTOR('',#100374,0.393700787401575); #11485=VECTOR('',#100377,0.393700787401575); #11486=VECTOR('',#100378,0.393700787401575); #11487=VECTOR('',#100379,0.393700787401575); #11488=VECTOR('',#100382,0.393700787401575); #11489=VECTOR('',#100383,0.393700787401575); #11490=VECTOR('',#100384,0.393700787401575); #11491=VECTOR('',#100387,0.393700787401575); #11492=VECTOR('',#100388,0.393700787401575); #11493=VECTOR('',#100393,0.393700787401575); #11494=VECTOR('',#100394,0.393700787401575); #11495=VECTOR('',#100395,0.393700787401575); #11496=VECTOR('',#100396,0.393700787401575); #11497=VECTOR('',#100399,0.393700787401575); #11498=VECTOR('',#100400,0.393700787401575); #11499=VECTOR('',#100401,0.393700787401575); #11500=VECTOR('',#100404,0.393700787401575); #11501=VECTOR('',#100405,0.393700787401575); #11502=VECTOR('',#100406,0.393700787401575); #11503=VECTOR('',#100409,0.393700787401575); #11504=VECTOR('',#100410,0.393700787401575); #11505=VECTOR('',#100411,0.393700787401575); #11506=VECTOR('',#100414,0.393700787401575); #11507=VECTOR('',#100415,0.393700787401575); #11508=VECTOR('',#100416,0.393700787401575); #11509=VECTOR('',#100419,0.393700787401575); #11510=VECTOR('',#100420,0.393700787401575); #11511=VECTOR('',#100421,0.393700787401575); #11512=VECTOR('',#100424,0.393700787401575); #11513=VECTOR('',#100425,0.393700787401575); #11514=VECTOR('',#100426,0.393700787401575); #11515=VECTOR('',#100429,0.393700787401575); #11516=VECTOR('',#100430,0.393700787401575); #11517=VECTOR('',#100431,0.393700787401575); #11518=VECTOR('',#100434,0.393700787401575); #11519=VECTOR('',#100435,0.393700787401575); #11520=VECTOR('',#100436,0.393700787401575); #11521=VECTOR('',#100439,0.393700787401575); #11522=VECTOR('',#100440,0.393700787401575); #11523=VECTOR('',#100445,0.393700787401575); #11524=VECTOR('',#100446,0.393700787401575); #11525=VECTOR('',#100447,0.393700787401575); #11526=VECTOR('',#100448,0.393700787401575); #11527=VECTOR('',#100451,0.393700787401575); #11528=VECTOR('',#100452,0.393700787401575); #11529=VECTOR('',#100453,0.393700787401575); #11530=VECTOR('',#100456,0.393700787401575); #11531=VECTOR('',#100457,0.393700787401575); #11532=VECTOR('',#100458,0.393700787401575); #11533=VECTOR('',#100461,0.393700787401575); #11534=VECTOR('',#100462,0.393700787401575); #11535=VECTOR('',#100463,0.393700787401575); #11536=VECTOR('',#100466,0.393700787401575); #11537=VECTOR('',#100467,0.393700787401575); #11538=VECTOR('',#100468,0.393700787401575); #11539=VECTOR('',#100471,0.393700787401575); #11540=VECTOR('',#100472,0.393700787401575); #11541=VECTOR('',#100473,0.393700787401575); #11542=VECTOR('',#100476,0.393700787401575); #11543=VECTOR('',#100477,0.393700787401575); #11544=VECTOR('',#100478,0.393700787401575); #11545=VECTOR('',#100481,0.393700787401575); #11546=VECTOR('',#100482,0.393700787401575); #11547=VECTOR('',#100483,0.393700787401575); #11548=VECTOR('',#100486,0.393700787401575); #11549=VECTOR('',#100487,0.393700787401575); #11550=VECTOR('',#100488,0.393700787401575); #11551=VECTOR('',#100491,0.393700787401575); #11552=VECTOR('',#100492,0.393700787401575); #11553=VECTOR('',#100493,0.393700787401575); #11554=VECTOR('',#100496,0.393700787401575); #11555=VECTOR('',#100497,0.393700787401575); #11556=VECTOR('',#100498,0.393700787401575); #11557=VECTOR('',#100501,0.393700787401575); #11558=VECTOR('',#100502,0.393700787401575); #11559=VECTOR('',#100505,0.393700787401575); #11560=VECTOR('',#100506,0.393700787401575); #11561=VECTOR('',#100509,0.393700787401575); #11562=VECTOR('',#100510,0.393700787401575); #11563=VECTOR('',#100511,0.393700787401575); #11564=VECTOR('',#100514,0.393700787401575); #11565=VECTOR('',#100515,0.393700787401575); #11566=VECTOR('',#100516,0.393700787401575); #11567=VECTOR('',#100519,0.393700787401575); #11568=VECTOR('',#100520,0.393700787401575); #11569=VECTOR('',#100521,0.393700787401575); #11570=VECTOR('',#100522,0.393700787401575); #11571=VECTOR('',#100523,0.393700787401575); #11572=VECTOR('',#100526,0.393700787401575); #11573=VECTOR('',#100527,0.393700787401575); #11574=VECTOR('',#100528,0.393700787401575); #11575=VECTOR('',#100529,0.393700787401575); #11576=VECTOR('',#100530,0.393700787401575); #11577=VECTOR('',#100531,0.393700787401575); #11578=VECTOR('',#100532,0.393700787401575); #11579=VECTOR('',#100535,0.393700787401575); #11580=VECTOR('',#100536,0.393700787401575); #11581=VECTOR('',#100537,0.393700787401575); #11582=VECTOR('',#100540,0.393700787401575); #11583=VECTOR('',#100541,0.393700787401575); #11584=VECTOR('',#100542,0.393700787401575); #11585=VECTOR('',#100545,0.393700787401575); #11586=VECTOR('',#100546,0.393700787401575); #11587=VECTOR('',#100547,0.393700787401575); #11588=VECTOR('',#100550,0.393700787401575); #11589=VECTOR('',#100551,0.393700787401575); #11590=VECTOR('',#100552,0.393700787401575); #11591=VECTOR('',#100555,0.393700787401575); #11592=VECTOR('',#100556,0.393700787401575); #11593=VECTOR('',#100557,0.393700787401575); #11594=VECTOR('',#100560,0.393700787401575); #11595=VECTOR('',#100561,0.393700787401575); #11596=VECTOR('',#100562,0.393700787401575); #11597=VECTOR('',#100565,0.393700787401575); #11598=VECTOR('',#100566,0.393700787401575); #11599=VECTOR('',#100569,0.393700787401575); #11600=VECTOR('',#100570,0.393700787401575); #11601=VECTOR('',#100573,0.393700787401575); #11602=VECTOR('',#100574,0.393700787401575); #11603=VECTOR('',#100575,0.393700787401575); #11604=VECTOR('',#100578,0.393700787401575); #11605=VECTOR('',#100579,0.393700787401575); #11606=VECTOR('',#100580,0.393700787401575); #11607=VECTOR('',#100583,0.393700787401575); #11608=VECTOR('',#100584,0.393700787401575); #11609=VECTOR('',#100585,0.393700787401575); #11610=VECTOR('',#100586,0.393700787401575); #11611=VECTOR('',#100587,0.393700787401575); #11612=VECTOR('',#100588,0.393700787401575); #11613=VECTOR('',#100589,0.393700787401575); #11614=VECTOR('',#100592,0.393700787401575); #11615=VECTOR('',#100593,0.393700787401575); #11616=VECTOR('',#100594,0.393700787401575); #11617=VECTOR('',#100597,0.393700787401575); #11618=VECTOR('',#100598,0.393700787401575); #11619=VECTOR('',#100599,0.393700787401575); #11620=VECTOR('',#100602,0.393700787401575); #11621=VECTOR('',#100603,0.393700787401575); #11622=VECTOR('',#100604,0.393700787401575); #11623=VECTOR('',#100605,0.393700787401575); #11624=VECTOR('',#100606,0.393700787401575); #11625=VECTOR('',#100607,0.393700787401575); #11626=VECTOR('',#100608,0.393700787401575); #11627=VECTOR('',#100611,0.393700787401575); #11628=VECTOR('',#100612,0.393700787401575); #11629=VECTOR('',#100613,0.393700787401575); #11630=VECTOR('',#100614,0.393700787401575); #11631=VECTOR('',#100615,0.393700787401575); #11632=VECTOR('',#100616,0.393700787401575); #11633=VECTOR('',#100619,0.393700787401575); #11634=VECTOR('',#100620,0.393700787401575); #11635=VECTOR('',#100621,0.393700787401575); #11636=VECTOR('',#100624,0.393700787401575); #11637=VECTOR('',#100625,0.393700787401575); #11638=VECTOR('',#100626,0.393700787401575); #11639=VECTOR('',#100629,0.393700787401575); #11640=VECTOR('',#100630,0.393700787401575); #11641=VECTOR('',#100631,0.393700787401575); #11642=VECTOR('',#100632,0.393700787401575); #11643=VECTOR('',#100637,0.393700787401575); #11644=VECTOR('',#100638,0.393700787401575); #11645=VECTOR('',#100639,0.393700787401575); #11646=VECTOR('',#100640,0.393700787401575); #11647=VECTOR('',#100641,0.393700787401575); #11648=VECTOR('',#100644,0.393700787401575); #11649=VECTOR('',#100645,0.393700787401575); #11650=VECTOR('',#100646,0.393700787401575); #11651=VECTOR('',#100647,0.393700787401575); #11652=VECTOR('',#100648,0.393700787401575); #11653=VECTOR('',#100651,0.393700787401575); #11654=VECTOR('',#100652,0.393700787401575); #11655=VECTOR('',#100653,0.393700787401575); #11656=VECTOR('',#100656,0.393700787401575); #11657=VECTOR('',#100657,0.393700787401575); #11658=VECTOR('',#100658,0.393700787401575); #11659=VECTOR('',#100661,0.393700787401575); #11660=VECTOR('',#100662,0.393700787401575); #11661=VECTOR('',#100665,0.393700787401575); #11662=VECTOR('',#100666,0.393700787401575); #11663=VECTOR('',#100667,0.393700787401575); #11664=VECTOR('',#100668,0.393700787401575); #11665=VECTOR('',#100671,0.393700787401575); #11666=VECTOR('',#100672,0.393700787401575); #11667=VECTOR('',#100673,0.393700787401575); #11668=VECTOR('',#100674,0.393700787401575); #11669=VECTOR('',#100675,0.393700787401575); #11670=VECTOR('',#100676,0.393700787401575); #11671=VECTOR('',#100677,0.393700787401575); #11672=VECTOR('',#100680,0.393700787401575); #11673=VECTOR('',#100681,0.393700787401575); #11674=VECTOR('',#100686,0.393700787401575); #11675=VECTOR('',#100687,0.393700787401575); #11676=VECTOR('',#100688,0.393700787401575); #11677=VECTOR('',#100689,0.393700787401575); #11678=VECTOR('',#100690,0.393700787401575); #11679=VECTOR('',#100691,0.393700787401575); #11680=VECTOR('',#100692,0.393700787401575); #11681=VECTOR('',#100693,0.393700787401575); #11682=VECTOR('',#100696,0.393700787401575); #11683=VECTOR('',#100697,0.393700787401575); #11684=VECTOR('',#100698,0.393700787401575); #11685=VECTOR('',#100699,0.393700787401575); #11686=VECTOR('',#100700,0.393700787401575); #11687=VECTOR('',#100701,0.393700787401575); #11688=VECTOR('',#100702,0.393700787401575); #11689=VECTOR('',#100703,0.393700787401575); #11690=VECTOR('',#100706,0.393700787401575); #11691=VECTOR('',#100707,0.393700787401575); #11692=VECTOR('',#100708,0.393700787401575); #11693=VECTOR('',#100711,0.393700787401575); #11694=VECTOR('',#100712,0.393700787401575); #11695=VECTOR('',#100715,0.393700787401575); #11696=VECTOR('',#100716,0.393700787401575); #11697=VECTOR('',#100717,0.393700787401575); #11698=VECTOR('',#100718,0.393700787401575); #11699=VECTOR('',#100719,0.393700787401575); #11700=VECTOR('',#100722,0.393700787401575); #11701=VECTOR('',#100723,0.393700787401575); #11702=VECTOR('',#100724,0.393700787401575); #11703=VECTOR('',#100727,0.393700787401575); #11704=VECTOR('',#100728,0.393700787401575); #11705=VECTOR('',#100729,0.393700787401575); #11706=VECTOR('',#100732,0.393700787401575); #11707=VECTOR('',#100733,0.393700787401575); #11708=VECTOR('',#100736,0.393700787401575); #11709=VECTOR('',#100737,0.393700787401575); #11710=VECTOR('',#100738,0.393700787401575); #11711=VECTOR('',#100739,0.393700787401575); #11712=VECTOR('',#100740,0.393700787401575); #11713=VECTOR('',#100741,0.393700787401575); #11714=VECTOR('',#100742,0.393700787401575); #11715=VECTOR('',#100743,0.393700787401575); #11716=VECTOR('',#100746,0.393700787401575); #11717=VECTOR('',#100747,0.393700787401575); #11718=VECTOR('',#100748,0.393700787401575); #11719=VECTOR('',#100751,0.393700787401575); #11720=VECTOR('',#100752,0.393700787401575); #11721=VECTOR('',#100757,0.393700787401575); #11722=VECTOR('',#100758,0.393700787401575); #11723=VECTOR('',#100759,0.393700787401575); #11724=VECTOR('',#100760,0.393700787401575); #11725=VECTOR('',#100763,0.393700787401575); #11726=VECTOR('',#100764,0.393700787401575); #11727=VECTOR('',#100765,0.393700787401575); #11728=VECTOR('',#100768,0.393700787401575); #11729=VECTOR('',#100769,0.393700787401575); #11730=VECTOR('',#100770,0.393700787401575); #11731=VECTOR('',#100773,0.393700787401575); #11732=VECTOR('',#100774,0.393700787401575); #11733=VECTOR('',#100775,0.393700787401575); #11734=VECTOR('',#100778,0.393700787401575); #11735=VECTOR('',#100779,0.393700787401575); #11736=VECTOR('',#100780,0.393700787401575); #11737=VECTOR('',#100783,0.393700787401575); #11738=VECTOR('',#100784,0.393700787401575); #11739=VECTOR('',#100785,0.393700787401575); #11740=VECTOR('',#100788,0.393700787401575); #11741=VECTOR('',#100789,0.393700787401575); #11742=VECTOR('',#100790,0.393700787401575); #11743=VECTOR('',#100793,0.393700787401575); #11744=VECTOR('',#100794,0.393700787401575); #11745=VECTOR('',#100795,0.393700787401575); #11746=VECTOR('',#100798,0.393700787401575); #11747=VECTOR('',#100799,0.393700787401575); #11748=VECTOR('',#100800,0.393700787401575); #11749=VECTOR('',#100803,0.393700787401575); #11750=VECTOR('',#100804,0.393700787401575); #11751=VECTOR('',#100805,0.393700787401575); #11752=VECTOR('',#100808,0.393700787401575); #11753=VECTOR('',#100809,0.393700787401575); #11754=VECTOR('',#100810,0.393700787401575); #11755=VECTOR('',#100813,0.393700787401575); #11756=VECTOR('',#100814,0.393700787401575); #11757=VECTOR('',#100819,0.393700787401575); #11758=VECTOR('',#100820,0.393700787401575); #11759=VECTOR('',#100821,0.393700787401575); #11760=VECTOR('',#100822,0.393700787401575); #11761=VECTOR('',#100825,0.393700787401575); #11762=VECTOR('',#100826,0.393700787401575); #11763=VECTOR('',#100827,0.393700787401575); #11764=VECTOR('',#100828,0.393700787401575); #11765=VECTOR('',#100831,0.393700787401575); #11766=VECTOR('',#100832,0.393700787401575); #11767=VECTOR('',#100833,0.393700787401575); #11768=VECTOR('',#100834,0.393700787401575); #11769=VECTOR('',#100837,0.393700787401575); #11770=VECTOR('',#100838,0.393700787401575); #11771=VECTOR('',#100839,0.393700787401575); #11772=VECTOR('',#100842,0.393700787401575); #11773=VECTOR('',#100843,0.393700787401575); #11774=VECTOR('',#100848,0.393700787401575); #11775=VECTOR('',#100849,0.393700787401575); #11776=VECTOR('',#100850,0.393700787401575); #11777=VECTOR('',#100851,0.393700787401575); #11778=VECTOR('',#100854,0.393700787401575); #11779=VECTOR('',#100855,0.393700787401575); #11780=VECTOR('',#100856,0.393700787401575); #11781=VECTOR('',#100857,0.393700787401575); #11782=VECTOR('',#100858,0.393700787401575); #11783=VECTOR('',#100859,0.393700787401575); #11784=VECTOR('',#100860,0.393700787401575); #11785=VECTOR('',#100861,0.393700787401575); #11786=VECTOR('',#100864,0.393700787401575); #11787=VECTOR('',#100865,0.393700787401575); #11788=VECTOR('',#100866,0.393700787401575); #11789=VECTOR('',#100867,0.393700787401575); #11790=VECTOR('',#100868,0.393700787401575); #11791=VECTOR('',#100869,0.393700787401575); #11792=VECTOR('',#100870,0.393700787401575); #11793=VECTOR('',#100873,0.393700787401575); #11794=VECTOR('',#100874,0.393700787401575); #11795=VECTOR('',#100875,0.393700787401575); #11796=VECTOR('',#100878,0.393700787401575); #11797=VECTOR('',#100879,0.393700787401575); #11798=VECTOR('',#100880,0.393700787401575); #11799=VECTOR('',#100883,0.393700787401575); #11800=VECTOR('',#100884,0.393700787401575); #11801=VECTOR('',#100889,0.393700787401575); #11802=VECTOR('',#100890,0.393700787401575); #11803=VECTOR('',#100891,0.393700787401575); #11804=VECTOR('',#100892,0.393700787401575); #11805=VECTOR('',#100895,0.393700787401575); #11806=VECTOR('',#100896,0.393700787401575); #11807=VECTOR('',#100897,0.393700787401575); #11808=VECTOR('',#100900,0.393700787401575); #11809=VECTOR('',#100901,0.393700787401575); #11810=VECTOR('',#100902,0.393700787401575); #11811=VECTOR('',#100905,0.393700787401575); #11812=VECTOR('',#100906,0.393700787401575); #11813=VECTOR('',#100909,0.393700787401575); #11814=VECTOR('',#100910,0.393700787401575); #11815=VECTOR('',#100911,0.393700787401575); #11816=VECTOR('',#100912,0.393700787401575); #11817=VECTOR('',#100913,0.393700787401575); #11818=VECTOR('',#100914,0.393700787401575); #11819=VECTOR('',#100915,0.393700787401575); #11820=VECTOR('',#100916,0.393700787401575); #11821=VECTOR('',#100917,0.393700787401575); #11822=VECTOR('',#100918,0.393700787401575); #11823=VECTOR('',#100919,0.393700787401575); #11824=VECTOR('',#100920,0.393700787401575); #11825=VECTOR('',#100921,0.393700787401575); #11826=VECTOR('',#100922,0.393700787401575); #11827=VECTOR('',#100923,0.393700787401575); #11828=VECTOR('',#100924,0.393700787401575); #11829=VECTOR('',#100927,0.393700787401575); #11830=VECTOR('',#100928,0.393700787401575); #11831=VECTOR('',#100933,0.393700787401575); #11832=VECTOR('',#100934,0.393700787401575); #11833=VECTOR('',#100935,0.393700787401575); #11834=VECTOR('',#100936,0.393700787401575); #11835=VECTOR('',#100937,0.393700787401575); #11836=VECTOR('',#100938,0.393700787401575); #11837=VECTOR('',#100941,0.393700787401575); #11838=VECTOR('',#100942,0.393700787401575); #11839=VECTOR('',#100943,0.393700787401575); #11840=VECTOR('',#100946,0.393700787401575); #11841=VECTOR('',#100947,0.393700787401575); #11842=VECTOR('',#100950,0.393700787401575); #11843=VECTOR('',#100951,0.393700787401575); #11844=VECTOR('',#100952,0.393700787401575); #11845=VECTOR('',#100953,0.393700787401575); #11846=VECTOR('',#100956,0.393700787401575); #11847=VECTOR('',#100957,0.393700787401575); #11848=VECTOR('',#100958,0.393700787401575); #11849=VECTOR('',#100961,0.393700787401575); #11850=VECTOR('',#100962,0.393700787401575); #11851=VECTOR('',#100963,0.393700787401575); #11852=VECTOR('',#100966,0.393700787401575); #11853=VECTOR('',#100967,0.393700787401575); #11854=VECTOR('',#100968,0.393700787401575); #11855=VECTOR('',#100971,0.393700787401575); #11856=VECTOR('',#100972,0.393700787401575); #11857=VECTOR('',#100973,0.393700787401575); #11858=VECTOR('',#100976,0.393700787401575); #11859=VECTOR('',#100977,0.393700787401575); #11860=VECTOR('',#100978,0.393700787401575); #11861=VECTOR('',#100981,0.393700787401575); #11862=VECTOR('',#100982,0.393700787401575); #11863=VECTOR('',#100983,0.393700787401575); #11864=VECTOR('',#100986,0.393700787401575); #11865=VECTOR('',#100987,0.393700787401575); #11866=VECTOR('',#100990,0.393700787401575); #11867=VECTOR('',#100991,0.393700787401575); #11868=VECTOR('',#100992,0.393700787401575); #11869=VECTOR('',#100993,0.393700787401575); #11870=VECTOR('',#100996,0.393700787401575); #11871=VECTOR('',#100997,0.393700787401575); #11872=VECTOR('',#100998,0.393700787401575); #11873=VECTOR('',#101001,0.393700787401575); #11874=VECTOR('',#101002,0.393700787401575); #11875=VECTOR('',#101003,0.393700787401575); #11876=VECTOR('',#101006,0.393700787401575); #11877=VECTOR('',#101007,0.393700787401575); #11878=VECTOR('',#101010,0.393700787401575); #11879=VECTOR('',#101011,0.393700787401575); #11880=VECTOR('',#101012,0.393700787401575); #11881=VECTOR('',#101013,0.393700787401575); #11882=VECTOR('',#101016,0.393700787401575); #11883=VECTOR('',#101017,0.393700787401575); #11884=VECTOR('',#101018,0.393700787401575); #11885=VECTOR('',#101021,0.393700787401575); #11886=VECTOR('',#101022,0.393700787401575); #11887=VECTOR('',#101023,0.393700787401575); #11888=VECTOR('',#101024,0.393700787401575); #11889=VECTOR('',#101025,0.393700787401575); #11890=VECTOR('',#101026,0.393700787401575); #11891=VECTOR('',#101029,0.393700787401575); #11892=VECTOR('',#101030,0.393700787401575); #11893=VECTOR('',#101031,0.393700787401575); #11894=VECTOR('',#101034,0.393700787401575); #11895=VECTOR('',#101035,0.393700787401575); #11896=VECTOR('',#101040,0.393700787401575); #11897=VECTOR('',#101041,0.393700787401575); #11898=VECTOR('',#101042,0.393700787401575); #11899=VECTOR('',#101043,0.393700787401575); #11900=VECTOR('',#101046,0.393700787401575); #11901=VECTOR('',#101047,0.393700787401575); #11902=VECTOR('',#101048,0.393700787401575); #11903=VECTOR('',#101051,0.393700787401575); #11904=VECTOR('',#101052,0.393700787401575); #11905=VECTOR('',#101053,0.393700787401575); #11906=VECTOR('',#101056,0.393700787401575); #11907=VECTOR('',#101057,0.393700787401575); #11908=VECTOR('',#101058,0.393700787401575); #11909=VECTOR('',#101061,0.393700787401575); #11910=VECTOR('',#101062,0.393700787401575); #11911=VECTOR('',#101063,0.393700787401575); #11912=VECTOR('',#101066,0.393700787401575); #11913=VECTOR('',#101067,0.393700787401575); #11914=VECTOR('',#101068,0.393700787401575); #11915=VECTOR('',#101071,0.393700787401575); #11916=VECTOR('',#101072,0.393700787401575); #11917=VECTOR('',#101077,0.393700787401575); #11918=VECTOR('',#101078,0.393700787401575); #11919=VECTOR('',#101079,0.393700787401575); #11920=VECTOR('',#101080,0.393700787401575); #11921=VECTOR('',#101083,0.393700787401575); #11922=VECTOR('',#101084,0.393700787401575); #11923=VECTOR('',#101085,0.393700787401575); #11924=VECTOR('',#101088,0.393700787401575); #11925=VECTOR('',#101089,0.393700787401575); #11926=VECTOR('',#101090,0.393700787401575); #11927=VECTOR('',#101093,0.393700787401575); #11928=VECTOR('',#101094,0.393700787401575); #11929=VECTOR('',#101095,0.393700787401575); #11930=VECTOR('',#101098,0.393700787401575); #11931=VECTOR('',#101099,0.393700787401575); #11932=VECTOR('',#101100,0.393700787401575); #11933=VECTOR('',#101103,0.393700787401575); #11934=VECTOR('',#101104,0.393700787401575); #11935=VECTOR('',#101105,0.393700787401575); #11936=VECTOR('',#101108,0.393700787401575); #11937=VECTOR('',#101109,0.393700787401575); #11938=VECTOR('',#101114,0.393700787401575); #11939=VECTOR('',#101115,0.393700787401575); #11940=VECTOR('',#101116,0.393700787401575); #11941=VECTOR('',#101117,0.393700787401575); #11942=VECTOR('',#101120,0.393700787401575); #11943=VECTOR('',#101121,0.393700787401575); #11944=VECTOR('',#101122,0.393700787401575); #11945=VECTOR('',#101125,0.393700787401575); #11946=VECTOR('',#101126,0.393700787401575); #11947=VECTOR('',#101127,0.393700787401575); #11948=VECTOR('',#101130,0.393700787401575); #11949=VECTOR('',#101131,0.393700787401575); #11950=VECTOR('',#101132,0.393700787401575); #11951=VECTOR('',#101135,0.393700787401575); #11952=VECTOR('',#101136,0.393700787401575); #11953=VECTOR('',#101137,0.393700787401575); #11954=VECTOR('',#101140,0.393700787401575); #11955=VECTOR('',#101141,0.393700787401575); #11956=VECTOR('',#101142,0.393700787401575); #11957=VECTOR('',#101145,0.393700787401575); #11958=VECTOR('',#101146,0.393700787401575); #11959=VECTOR('',#101151,0.393700787401575); #11960=VECTOR('',#101152,0.393700787401575); #11961=VECTOR('',#101153,0.393700787401575); #11962=VECTOR('',#101154,0.393700787401575); #11963=VECTOR('',#101157,0.393700787401575); #11964=VECTOR('',#101158,0.393700787401575); #11965=VECTOR('',#101159,0.393700787401575); #11966=VECTOR('',#101162,0.393700787401575); #11967=VECTOR('',#101163,0.393700787401575); #11968=VECTOR('',#101164,0.393700787401575); #11969=VECTOR('',#101167,0.393700787401575); #11970=VECTOR('',#101168,0.393700787401575); #11971=VECTOR('',#101169,0.393700787401575); #11972=VECTOR('',#101172,0.393700787401575); #11973=VECTOR('',#101173,0.393700787401575); #11974=VECTOR('',#101174,0.393700787401575); #11975=VECTOR('',#101177,0.393700787401575); #11976=VECTOR('',#101178,0.393700787401575); #11977=VECTOR('',#101179,0.393700787401575); #11978=VECTOR('',#101182,0.393700787401575); #11979=VECTOR('',#101183,0.393700787401575); #11980=VECTOR('',#101184,0.393700787401575); #11981=VECTOR('',#101187,0.393700787401575); #11982=VECTOR('',#101188,0.393700787401575); #11983=VECTOR('',#101189,0.393700787401575); #11984=VECTOR('',#101192,0.393700787401575); #11985=VECTOR('',#101193,0.393700787401575); #11986=VECTOR('',#101194,0.393700787401575); #11987=VECTOR('',#101197,0.393700787401575); #11988=VECTOR('',#101198,0.393700787401575); #11989=VECTOR('',#101199,0.393700787401575); #11990=VECTOR('',#101202,0.393700787401575); #11991=VECTOR('',#101203,0.393700787401575); #11992=VECTOR('',#101204,0.393700787401575); #11993=VECTOR('',#101207,0.393700787401575); #11994=VECTOR('',#101208,0.393700787401575); #11995=VECTOR('',#101209,0.393700787401575); #11996=VECTOR('',#101212,0.393700787401575); #11997=VECTOR('',#101213,0.393700787401575); #11998=VECTOR('',#101214,0.393700787401575); #11999=VECTOR('',#101217,0.393700787401575); #12000=VECTOR('',#101218,0.393700787401575); #12001=VECTOR('',#101219,0.393700787401575); #12002=VECTOR('',#101222,0.393700787401575); #12003=VECTOR('',#101223,0.393700787401575); #12004=VECTOR('',#101224,0.393700787401575); #12005=VECTOR('',#101227,0.393700787401575); #12006=VECTOR('',#101228,0.393700787401575); #12007=VECTOR('',#101229,0.393700787401575); #12008=VECTOR('',#101232,0.393700787401575); #12009=VECTOR('',#101233,0.393700787401575); #12010=VECTOR('',#101234,0.393700787401575); #12011=VECTOR('',#101237,0.393700787401575); #12012=VECTOR('',#101238,0.393700787401575); #12013=VECTOR('',#101241,0.393700787401575); #12014=VECTOR('',#101242,0.393700787401575); #12015=VECTOR('',#101243,0.393700787401575); #12016=VECTOR('',#101244,0.393700787401575); #12017=VECTOR('',#101247,0.393700787401575); #12018=VECTOR('',#101248,0.393700787401575); #12019=VECTOR('',#101249,0.393700787401575); #12020=VECTOR('',#101252,0.393700787401575); #12021=VECTOR('',#101253,0.393700787401575); #12022=VECTOR('',#101254,0.393700787401575); #12023=VECTOR('',#101257,0.393700787401575); #12024=VECTOR('',#101258,0.393700787401575); #12025=VECTOR('',#101259,0.393700787401575); #12026=VECTOR('',#101262,0.393700787401575); #12027=VECTOR('',#101263,0.393700787401575); #12028=VECTOR('',#101264,0.393700787401575); #12029=VECTOR('',#101267,0.393700787401575); #12030=VECTOR('',#101268,0.393700787401575); #12031=VECTOR('',#101269,0.393700787401575); #12032=VECTOR('',#101272,0.393700787401575); #12033=VECTOR('',#101273,0.393700787401575); #12034=VECTOR('',#101274,0.393700787401575); #12035=VECTOR('',#101277,0.393700787401575); #12036=VECTOR('',#101278,0.393700787401575); #12037=VECTOR('',#101279,0.393700787401575); #12038=VECTOR('',#101282,0.393700787401575); #12039=VECTOR('',#101283,0.393700787401575); #12040=VECTOR('',#101284,0.393700787401575); #12041=VECTOR('',#101287,0.393700787401575); #12042=VECTOR('',#101288,0.393700787401575); #12043=VECTOR('',#101289,0.393700787401575); #12044=VECTOR('',#101292,0.393700787401575); #12045=VECTOR('',#101293,0.393700787401575); #12046=VECTOR('',#101294,0.393700787401575); #12047=VECTOR('',#101297,0.393700787401575); #12048=VECTOR('',#101298,0.393700787401575); #12049=VECTOR('',#101299,0.393700787401575); #12050=VECTOR('',#101302,0.393700787401575); #12051=VECTOR('',#101303,0.393700787401575); #12052=VECTOR('',#101304,0.393700787401575); #12053=VECTOR('',#101307,0.393700787401575); #12054=VECTOR('',#101308,0.393700787401575); #12055=VECTOR('',#101309,0.393700787401575); #12056=VECTOR('',#101312,0.393700787401575); #12057=VECTOR('',#101313,0.393700787401575); #12058=VECTOR('',#101314,0.393700787401575); #12059=VECTOR('',#101317,0.393700787401575); #12060=VECTOR('',#101318,0.393700787401575); #12061=VECTOR('',#101319,0.393700787401575); #12062=VECTOR('',#101322,0.393700787401575); #12063=VECTOR('',#101323,0.393700787401575); #12064=VECTOR('',#101324,0.393700787401575); #12065=VECTOR('',#101327,0.393700787401575); #12066=VECTOR('',#101328,0.393700787401575); #12067=VECTOR('',#101329,0.393700787401575); #12068=VECTOR('',#101332,0.393700787401575); #12069=VECTOR('',#101333,0.393700787401575); #12070=VECTOR('',#101334,0.393700787401575); #12071=VECTOR('',#101337,0.393700787401575); #12072=VECTOR('',#101338,0.393700787401575); #12073=VECTOR('',#101339,0.393700787401575); #12074=VECTOR('',#101342,0.393700787401575); #12075=VECTOR('',#101343,0.393700787401575); #12076=VECTOR('',#101344,0.393700787401575); #12077=VECTOR('',#101347,0.393700787401575); #12078=VECTOR('',#101348,0.393700787401575); #12079=VECTOR('',#101349,0.393700787401575); #12080=VECTOR('',#101352,0.393700787401575); #12081=VECTOR('',#101353,0.393700787401575); #12082=VECTOR('',#101354,0.393700787401575); #12083=VECTOR('',#101357,0.393700787401575); #12084=VECTOR('',#101358,0.393700787401575); #12085=VECTOR('',#101359,0.393700787401575); #12086=VECTOR('',#101362,0.393700787401575); #12087=VECTOR('',#101363,0.393700787401575); #12088=VECTOR('',#101364,0.393700787401575); #12089=VECTOR('',#101367,0.393700787401575); #12090=VECTOR('',#101368,0.393700787401575); #12091=VECTOR('',#101373,0.393700787401575); #12092=VECTOR('',#101374,0.393700787401575); #12093=VECTOR('',#101375,0.393700787401575); #12094=VECTOR('',#101376,0.393700787401575); #12095=VECTOR('',#101379,0.393700787401575); #12096=VECTOR('',#101380,0.393700787401575); #12097=VECTOR('',#101381,0.393700787401575); #12098=VECTOR('',#101384,0.393700787401575); #12099=VECTOR('',#101385,0.393700787401575); #12100=VECTOR('',#101386,0.393700787401575); #12101=VECTOR('',#101389,0.393700787401575); #12102=VECTOR('',#101390,0.393700787401575); #12103=VECTOR('',#101391,0.393700787401575); #12104=VECTOR('',#101394,0.393700787401575); #12105=VECTOR('',#101395,0.393700787401575); #12106=VECTOR('',#101396,0.393700787401575); #12107=VECTOR('',#101399,0.393700787401575); #12108=VECTOR('',#101400,0.393700787401575); #12109=VECTOR('',#101401,0.393700787401575); #12110=VECTOR('',#101404,0.393700787401575); #12111=VECTOR('',#101405,0.393700787401575); #12112=VECTOR('',#101410,0.393700787401575); #12113=VECTOR('',#101411,0.393700787401575); #12114=VECTOR('',#101412,0.393700787401575); #12115=VECTOR('',#101413,0.393700787401575); #12116=VECTOR('',#101416,0.393700787401575); #12117=VECTOR('',#101417,0.393700787401575); #12118=VECTOR('',#101418,0.393700787401575); #12119=VECTOR('',#101421,0.393700787401575); #12120=VECTOR('',#101422,0.393700787401575); #12121=VECTOR('',#101423,0.393700787401575); #12122=VECTOR('',#101426,0.393700787401575); #12123=VECTOR('',#101427,0.393700787401575); #12124=VECTOR('',#101428,0.393700787401575); #12125=VECTOR('',#101431,0.393700787401575); #12126=VECTOR('',#101432,0.393700787401575); #12127=VECTOR('',#101433,0.393700787401575); #12128=VECTOR('',#101436,0.393700787401575); #12129=VECTOR('',#101437,0.393700787401575); #12130=VECTOR('',#101438,0.393700787401575); #12131=VECTOR('',#101441,0.393700787401575); #12132=VECTOR('',#101442,0.393700787401575); #12133=VECTOR('',#101443,0.393700787401575); #12134=VECTOR('',#101446,0.393700787401575); #12135=VECTOR('',#101447,0.393700787401575); #12136=VECTOR('',#101448,0.393700787401575); #12137=VECTOR('',#101451,0.393700787401575); #12138=VECTOR('',#101452,0.393700787401575); #12139=VECTOR('',#101453,0.393700787401575); #12140=VECTOR('',#101456,0.393700787401575); #12141=VECTOR('',#101457,0.393700787401575); #12142=VECTOR('',#101458,0.393700787401575); #12143=VECTOR('',#101461,0.393700787401575); #12144=VECTOR('',#101462,0.393700787401575); #12145=VECTOR('',#101463,0.393700787401575); #12146=VECTOR('',#101466,0.393700787401575); #12147=VECTOR('',#101467,0.393700787401575); #12148=VECTOR('',#101468,0.393700787401575); #12149=VECTOR('',#101471,0.393700787401575); #12150=VECTOR('',#101472,0.393700787401575); #12151=VECTOR('',#101473,0.393700787401575); #12152=VECTOR('',#101476,0.393700787401575); #12153=VECTOR('',#101477,0.393700787401575); #12154=VECTOR('',#101478,0.393700787401575); #12155=VECTOR('',#101481,0.393700787401575); #12156=VECTOR('',#101482,0.393700787401575); #12157=VECTOR('',#101483,0.393700787401575); #12158=VECTOR('',#101486,0.393700787401575); #12159=VECTOR('',#101487,0.393700787401575); #12160=VECTOR('',#101488,0.393700787401575); #12161=VECTOR('',#101491,0.393700787401575); #12162=VECTOR('',#101492,0.393700787401575); #12163=VECTOR('',#101493,0.393700787401575); #12164=VECTOR('',#101496,0.393700787401575); #12165=VECTOR('',#101497,0.393700787401575); #12166=VECTOR('',#101498,0.393700787401575); #12167=VECTOR('',#101501,0.393700787401575); #12168=VECTOR('',#101502,0.393700787401575); #12169=VECTOR('',#101503,0.393700787401575); #12170=VECTOR('',#101506,0.393700787401575); #12171=VECTOR('',#101507,0.393700787401575); #12172=VECTOR('',#101508,0.393700787401575); #12173=VECTOR('',#101511,0.393700787401575); #12174=VECTOR('',#101512,0.393700787401575); #12175=VECTOR('',#101513,0.393700787401575); #12176=VECTOR('',#101516,0.393700787401575); #12177=VECTOR('',#101517,0.393700787401575); #12178=VECTOR('',#101518,0.393700787401575); #12179=VECTOR('',#101521,0.393700787401575); #12180=VECTOR('',#101522,0.393700787401575); #12181=VECTOR('',#101523,0.393700787401575); #12182=VECTOR('',#101526,0.393700787401575); #12183=VECTOR('',#101527,0.393700787401575); #12184=VECTOR('',#101528,0.393700787401575); #12185=VECTOR('',#101531,0.393700787401575); #12186=VECTOR('',#101532,0.393700787401575); #12187=VECTOR('',#101533,0.393700787401575); #12188=VECTOR('',#101536,0.393700787401575); #12189=VECTOR('',#101537,0.393700787401575); #12190=VECTOR('',#101538,0.393700787401575); #12191=VECTOR('',#101541,0.393700787401575); #12192=VECTOR('',#101542,0.393700787401575); #12193=VECTOR('',#101543,0.393700787401575); #12194=VECTOR('',#101546,0.393700787401575); #12195=VECTOR('',#101547,0.393700787401575); #12196=VECTOR('',#101552,0.393700787401575); #12197=VECTOR('',#101553,0.393700787401575); #12198=VECTOR('',#101554,0.393700787401575); #12199=VECTOR('',#101555,0.393700787401575); #12200=VECTOR('',#101558,0.393700787401575); #12201=VECTOR('',#101559,0.393700787401575); #12202=VECTOR('',#101560,0.393700787401575); #12203=VECTOR('',#101563,0.393700787401575); #12204=VECTOR('',#101564,0.393700787401575); #12205=VECTOR('',#101565,0.393700787401575); #12206=VECTOR('',#101568,0.393700787401575); #12207=VECTOR('',#101569,0.393700787401575); #12208=VECTOR('',#101570,0.393700787401575); #12209=VECTOR('',#101573,0.393700787401575); #12210=VECTOR('',#101574,0.393700787401575); #12211=VECTOR('',#101575,0.393700787401575); #12212=VECTOR('',#101578,0.393700787401575); #12213=VECTOR('',#101579,0.393700787401575); #12214=VECTOR('',#101580,0.393700787401575); #12215=VECTOR('',#101583,0.393700787401575); #12216=VECTOR('',#101584,0.393700787401575); #12217=VECTOR('',#101589,0.393700787401575); #12218=VECTOR('',#101590,0.393700787401575); #12219=VECTOR('',#101591,0.393700787401575); #12220=VECTOR('',#101592,0.393700787401575); #12221=VECTOR('',#101595,0.393700787401575); #12222=VECTOR('',#101596,0.393700787401575); #12223=VECTOR('',#101597,0.393700787401575); #12224=VECTOR('',#101600,0.393700787401575); #12225=VECTOR('',#101601,0.393700787401575); #12226=VECTOR('',#101602,0.393700787401575); #12227=VECTOR('',#101605,0.393700787401575); #12228=VECTOR('',#101606,0.393700787401575); #12229=VECTOR('',#101607,0.393700787401575); #12230=VECTOR('',#101610,0.393700787401575); #12231=VECTOR('',#101611,0.393700787401575); #12232=VECTOR('',#101612,0.393700787401575); #12233=VECTOR('',#101615,0.393700787401575); #12234=VECTOR('',#101616,0.393700787401575); #12235=VECTOR('',#101617,0.393700787401575); #12236=VECTOR('',#101620,0.393700787401575); #12237=VECTOR('',#101621,0.393700787401575); #12238=VECTOR('',#101622,0.393700787401575); #12239=VECTOR('',#101625,0.393700787401575); #12240=VECTOR('',#101626,0.393700787401575); #12241=VECTOR('',#101627,0.393700787401575); #12242=VECTOR('',#101630,0.393700787401575); #12243=VECTOR('',#101631,0.393700787401575); #12244=VECTOR('',#101632,0.393700787401575); #12245=VECTOR('',#101635,0.393700787401575); #12246=VECTOR('',#101636,0.393700787401575); #12247=VECTOR('',#101637,0.393700787401575); #12248=VECTOR('',#101640,0.393700787401575); #12249=VECTOR('',#101641,0.393700787401575); #12250=VECTOR('',#101642,0.393700787401575); #12251=VECTOR('',#101645,0.393700787401575); #12252=VECTOR('',#101646,0.393700787401575); #12253=VECTOR('',#101647,0.393700787401575); #12254=VECTOR('',#101650,0.393700787401575); #12255=VECTOR('',#101651,0.393700787401575); #12256=VECTOR('',#101652,0.393700787401575); #12257=VECTOR('',#101655,0.393700787401575); #12258=VECTOR('',#101656,0.393700787401575); #12259=VECTOR('',#101657,0.393700787401575); #12260=VECTOR('',#101660,0.393700787401575); #12261=VECTOR('',#101661,0.393700787401575); #12262=VECTOR('',#101662,0.393700787401575); #12263=VECTOR('',#101665,0.393700787401575); #12264=VECTOR('',#101666,0.393700787401575); #12265=VECTOR('',#101667,0.393700787401575); #12266=VECTOR('',#101670,0.393700787401575); #12267=VECTOR('',#101671,0.393700787401575); #12268=VECTOR('',#101672,0.393700787401575); #12269=VECTOR('',#101675,0.393700787401575); #12270=VECTOR('',#101676,0.393700787401575); #12271=VECTOR('',#101677,0.393700787401575); #12272=VECTOR('',#101680,0.393700787401575); #12273=VECTOR('',#101681,0.393700787401575); #12274=VECTOR('',#101682,0.393700787401575); #12275=VECTOR('',#101685,0.393700787401575); #12276=VECTOR('',#101686,0.393700787401575); #12277=VECTOR('',#101687,0.393700787401575); #12278=VECTOR('',#101690,0.393700787401575); #12279=VECTOR('',#101691,0.393700787401575); #12280=VECTOR('',#101692,0.393700787401575); #12281=VECTOR('',#101695,0.393700787401575); #12282=VECTOR('',#101696,0.393700787401575); #12283=VECTOR('',#101697,0.393700787401575); #12284=VECTOR('',#101700,0.393700787401575); #12285=VECTOR('',#101701,0.393700787401575); #12286=VECTOR('',#101702,0.393700787401575); #12287=VECTOR('',#101705,0.393700787401575); #12288=VECTOR('',#101706,0.393700787401575); #12289=VECTOR('',#101707,0.393700787401575); #12290=VECTOR('',#101710,0.393700787401575); #12291=VECTOR('',#101711,0.393700787401575); #12292=VECTOR('',#101712,0.393700787401575); #12293=VECTOR('',#101715,0.393700787401575); #12294=VECTOR('',#101716,0.393700787401575); #12295=VECTOR('',#101717,0.393700787401575); #12296=VECTOR('',#101720,0.393700787401575); #12297=VECTOR('',#101721,0.393700787401575); #12298=VECTOR('',#101722,0.393700787401575); #12299=VECTOR('',#101725,0.393700787401575); #12300=VECTOR('',#101726,0.393700787401575); #12301=VECTOR('',#101727,0.393700787401575); #12302=VECTOR('',#101730,0.393700787401575); #12303=VECTOR('',#101731,0.393700787401575); #12304=VECTOR('',#101732,0.393700787401575); #12305=VECTOR('',#101735,0.393700787401575); #12306=VECTOR('',#101736,0.393700787401575); #12307=VECTOR('',#101737,0.393700787401575); #12308=VECTOR('',#101740,0.393700787401575); #12309=VECTOR('',#101741,0.393700787401575); #12310=VECTOR('',#101742,0.393700787401575); #12311=VECTOR('',#101745,0.393700787401575); #12312=VECTOR('',#101746,0.393700787401575); #12313=VECTOR('',#101747,0.393700787401575); #12314=VECTOR('',#101750,0.393700787401575); #12315=VECTOR('',#101751,0.393700787401575); #12316=VECTOR('',#101752,0.393700787401575); #12317=VECTOR('',#101755,0.393700787401575); #12318=VECTOR('',#101756,0.393700787401575); #12319=VECTOR('',#101757,0.393700787401575); #12320=VECTOR('',#101760,0.393700787401575); #12321=VECTOR('',#101761,0.393700787401575); #12322=VECTOR('',#101762,0.393700787401575); #12323=VECTOR('',#101765,0.393700787401575); #12324=VECTOR('',#101766,0.393700787401575); #12325=VECTOR('',#101767,0.393700787401575); #12326=VECTOR('',#101770,0.393700787401575); #12327=VECTOR('',#101771,0.393700787401575); #12328=VECTOR('',#101772,0.393700787401575); #12329=VECTOR('',#101775,0.393700787401575); #12330=VECTOR('',#101776,0.393700787401575); #12331=VECTOR('',#101777,0.393700787401575); #12332=VECTOR('',#101780,0.393700787401575); #12333=VECTOR('',#101781,0.393700787401575); #12334=VECTOR('',#101782,0.393700787401575); #12335=VECTOR('',#101785,0.393700787401575); #12336=VECTOR('',#101786,0.393700787401575); #12337=VECTOR('',#101791,0.393700787401575); #12338=VECTOR('',#101792,0.393700787401575); #12339=VECTOR('',#101793,0.393700787401575); #12340=VECTOR('',#101794,0.393700787401575); #12341=VECTOR('',#101797,0.393700787401575); #12342=VECTOR('',#101798,0.393700787401575); #12343=VECTOR('',#101799,0.393700787401575); #12344=VECTOR('',#101802,0.393700787401575); #12345=VECTOR('',#101803,0.393700787401575); #12346=VECTOR('',#101806,0.393700787401575); #12347=VECTOR('',#101807,0.393700787401575); #12348=VECTOR('',#101808,0.393700787401575); #12349=VECTOR('',#101809,0.393700787401575); #12350=VECTOR('',#101812,0.393700787401575); #12351=VECTOR('',#101813,0.393700787401575); #12352=VECTOR('',#101814,0.393700787401575); #12353=VECTOR('',#101817,0.393700787401575); #12354=VECTOR('',#101818,0.393700787401575); #12355=VECTOR('',#101819,0.393700787401575); #12356=VECTOR('',#101822,0.393700787401575); #12357=VECTOR('',#101823,0.393700787401575); #12358=VECTOR('',#101824,0.393700787401575); #12359=VECTOR('',#101827,0.393700787401575); #12360=VECTOR('',#101828,0.393700787401575); #12361=VECTOR('',#101829,0.393700787401575); #12362=VECTOR('',#101832,0.393700787401575); #12363=VECTOR('',#101833,0.393700787401575); #12364=VECTOR('',#101834,0.393700787401575); #12365=VECTOR('',#101837,0.393700787401575); #12366=VECTOR('',#101838,0.393700787401575); #12367=VECTOR('',#101839,0.393700787401575); #12368=VECTOR('',#101842,0.393700787401575); #12369=VECTOR('',#101843,0.393700787401575); #12370=VECTOR('',#101844,0.393700787401575); #12371=VECTOR('',#101847,0.393700787401575); #12372=VECTOR('',#101848,0.393700787401575); #12373=VECTOR('',#101849,0.393700787401575); #12374=VECTOR('',#101852,0.393700787401575); #12375=VECTOR('',#101853,0.393700787401575); #12376=VECTOR('',#101854,0.393700787401575); #12377=VECTOR('',#101857,0.393700787401575); #12378=VECTOR('',#101858,0.393700787401575); #12379=VECTOR('',#101863,0.393700787401575); #12380=VECTOR('',#101864,0.393700787401575); #12381=VECTOR('',#101865,0.393700787401575); #12382=VECTOR('',#101866,0.393700787401575); #12383=VECTOR('',#101869,0.393700787401575); #12384=VECTOR('',#101870,0.393700787401575); #12385=VECTOR('',#101871,0.393700787401575); #12386=VECTOR('',#101876,0.393700787401575); #12387=VECTOR('',#101881,0.393700787401575); #12388=VECTOR('',#101882,0.393700787401575); #12389=VECTOR('',#101883,0.393700787401575); #12390=VECTOR('',#101886,0.393700787401575); #12391=VECTOR('',#101887,0.393700787401575); #12392=VECTOR('',#101888,0.393700787401575); #12393=VECTOR('',#101891,0.393700787401575); #12394=VECTOR('',#101892,0.393700787401575); #12395=VECTOR('',#101893,0.393700787401575); #12396=VECTOR('',#101898,0.393700787401575); #12397=VECTOR('',#101903,0.393700787401575); #12398=VECTOR('',#101904,0.393700787401575); #12399=VECTOR('',#101905,0.393700787401575); #12400=VECTOR('',#101908,0.393700787401575); #12401=VECTOR('',#101909,0.393700787401575); #12402=VECTOR('',#101910,0.393700787401575); #12403=VECTOR('',#101913,0.393700787401575); #12404=VECTOR('',#101914,0.393700787401575); #12405=VECTOR('',#101915,0.393700787401575); #12406=VECTOR('',#101918,0.393700787401575); #12407=VECTOR('',#101919,0.393700787401575); #12408=VECTOR('',#101924,0.393700787401575); #12409=VECTOR('',#101925,0.393700787401575); #12410=VECTOR('',#101926,0.393700787401575); #12411=VECTOR('',#101927,0.393700787401575); #12412=VECTOR('',#101932,0.393700787401575); #12413=VECTOR('',#101937,0.393700787401575); #12414=VECTOR('',#101938,0.393700787401575); #12415=VECTOR('',#101939,0.393700787401575); #12416=VECTOR('',#101942,0.393700787401575); #12417=VECTOR('',#101943,0.393700787401575); #12418=VECTOR('',#101944,0.393700787401575); #12419=VECTOR('',#101947,0.393700787401575); #12420=VECTOR('',#101948,0.393700787401575); #12421=VECTOR('',#101949,0.393700787401575); #12422=VECTOR('',#101954,0.393700787401575); #12423=VECTOR('',#101959,0.393700787401575); #12424=VECTOR('',#101960,0.393700787401575); #12425=VECTOR('',#101961,0.393700787401575); #12426=VECTOR('',#101964,0.393700787401575); #12427=VECTOR('',#101965,0.393700787401575); #12428=VECTOR('',#101966,0.393700787401575); #12429=VECTOR('',#101969,0.393700787401575); #12430=VECTOR('',#101970,0.393700787401575); #12431=VECTOR('',#101971,0.393700787401575); #12432=VECTOR('',#101974,0.393700787401575); #12433=VECTOR('',#101975,0.393700787401575); #12434=VECTOR('',#101976,0.393700787401575); #12435=VECTOR('',#101979,0.393700787401575); #12436=VECTOR('',#101980,0.393700787401575); #12437=VECTOR('',#101985,0.393700787401575); #12438=VECTOR('',#101986,0.393700787401575); #12439=VECTOR('',#101987,0.393700787401575); #12440=VECTOR('',#101988,0.393700787401575); #12441=VECTOR('',#101991,0.393700787401575); #12442=VECTOR('',#101992,0.393700787401575); #12443=VECTOR('',#101993,0.393700787401575); #12444=VECTOR('',#101998,0.393700787401575); #12445=VECTOR('',#102003,0.393700787401575); #12446=VECTOR('',#102004,0.393700787401575); #12447=VECTOR('',#102005,0.393700787401575); #12448=VECTOR('',#102008,0.393700787401575); #12449=VECTOR('',#102009,0.393700787401575); #12450=VECTOR('',#102010,0.393700787401575); #12451=VECTOR('',#102013,0.393700787401575); #12452=VECTOR('',#102014,0.393700787401575); #12453=VECTOR('',#102015,0.393700787401575); #12454=VECTOR('',#102018,0.393700787401575); #12455=VECTOR('',#102019,0.393700787401575); #12456=VECTOR('',#102020,0.393700787401575); #12457=VECTOR('',#102023,0.393700787401575); #12458=VECTOR('',#102024,0.393700787401575); #12459=VECTOR('',#102025,0.393700787401575); #12460=VECTOR('',#102028,0.393700787401575); #12461=VECTOR('',#102029,0.393700787401575); #12462=VECTOR('',#102030,0.393700787401575); #12463=VECTOR('',#102035,0.393700787401575); #12464=VECTOR('',#102040,0.393700787401575); #12465=VECTOR('',#102041,0.393700787401575); #12466=VECTOR('',#102048,0.0843644531249999); #12467=VECTOR('',#102053,0.393700787401575); #12468=VECTOR('',#102054,0.393700787401575); #12469=VECTOR('',#102055,0.393700787401575); #12470=VECTOR('',#102056,0.393700787401575); #12471=VECTOR('',#102063,0.393700787401575); #12472=VECTOR('',#102066,0.393700787401575); #12473=VECTOR('',#102067,0.393700787401575); #12474=VECTOR('',#102068,0.393700787401575); #12475=VECTOR('',#102079,0.393700787401575); #12476=VECTOR('',#102082,0.393700787401575); #12477=VECTOR('',#102085,0.393700787401575); #12478=VECTOR('',#102086,0.393700787401575); #12479=VECTOR('',#102087,0.393700787401575); #12480=VECTOR('',#102094,0.393700787401575); #12481=VECTOR('',#102097,0.393700787401575); #12482=VECTOR('',#102098,0.393700787401575); #12483=VECTOR('',#102101,0.393700787401575); #12484=VECTOR('',#102102,0.393700787401575); #12485=VECTOR('',#102103,0.393700787401575); #12486=VECTOR('',#102104,0.393700787401575); #12487=VECTOR('',#102111,0.393700787401575); #12488=VECTOR('',#102114,0.393700787401575); #12489=VECTOR('',#102115,0.393700787401575); #12490=VECTOR('',#102116,0.393700787401575); #12491=VECTOR('',#102125,0.393700787401575); #12492=VECTOR('',#102126,0.393700787401575); #12493=VECTOR('',#102127,0.393700787401575); #12494=VECTOR('',#102128,0.393700787401575); #12495=VECTOR('',#102135,0.393700787401575); #12496=VECTOR('',#102138,0.393700787401575); #12497=VECTOR('',#102139,0.393700787401575); #12498=VECTOR('',#102140,0.393700787401575); #12499=VECTOR('',#102149,0.393700787401575); #12500=VECTOR('',#102150,0.393700787401575); #12501=VECTOR('',#102151,0.393700787401575); #12502=VECTOR('',#102152,0.393700787401575); #12503=VECTOR('',#102159,0.393700787401575); #12504=VECTOR('',#102162,0.393700787401575); #12505=VECTOR('',#102163,0.393700787401575); #12506=VECTOR('',#102164,0.393700787401575); #12507=VECTOR('',#102175,0.393700787401575); #12508=VECTOR('',#102178,0.393700787401575); #12509=VECTOR('',#102181,0.393700787401575); #12510=VECTOR('',#102182,0.393700787401575); #12511=VECTOR('',#102183,0.393700787401575); #12512=VECTOR('',#102190,0.393700787401575); #12513=VECTOR('',#102193,0.393700787401575); #12514=VECTOR('',#102194,0.393700787401575); #12515=VECTOR('',#102199,0.393700787401575); #12516=VECTOR('',#102202,0.393700787401575); #12517=VECTOR('',#102205,0.393700787401575); #12518=VECTOR('',#102206,0.393700787401575); #12519=VECTOR('',#102207,0.393700787401575); #12520=VECTOR('',#102214,0.393700787401575); #12521=VECTOR('',#102217,0.393700787401575); #12522=VECTOR('',#102218,0.393700787401575); #12523=VECTOR('',#102221,0.393700787401575); #12524=VECTOR('',#102222,0.393700787401575); #12525=VECTOR('',#102223,0.393700787401575); #12526=VECTOR('',#102224,0.393700787401575); #12527=VECTOR('',#102231,0.393700787401575); #12528=VECTOR('',#102234,0.393700787401575); #12529=VECTOR('',#102235,0.393700787401575); #12530=VECTOR('',#102236,0.393700787401575); #12531=VECTOR('',#102245,0.393700787401575); #12532=VECTOR('',#102246,0.393700787401575); #12533=VECTOR('',#102247,0.393700787401575); #12534=VECTOR('',#102248,0.393700787401575); #12535=VECTOR('',#102255,0.393700787401575); #12536=VECTOR('',#102258,0.393700787401575); #12537=VECTOR('',#102259,0.393700787401575); #12538=VECTOR('',#102260,0.393700787401575); #12539=VECTOR('',#102271,0.393700787401575); #12540=VECTOR('',#102274,0.393700787401575); #12541=VECTOR('',#102277,0.393700787401575); #12542=VECTOR('',#102278,0.393700787401575); #12543=VECTOR('',#102279,0.393700787401575); #12544=VECTOR('',#102286,0.393700787401575); #12545=VECTOR('',#102289,0.393700787401575); #12546=VECTOR('',#102290,0.393700787401575); #12547=VECTOR('',#102293,0.393700787401575); #12548=VECTOR('',#102294,0.393700787401575); #12549=VECTOR('',#102295,0.393700787401575); #12550=VECTOR('',#102296,0.393700787401575); #12551=VECTOR('',#102303,0.393700787401575); #12552=VECTOR('',#102306,0.393700787401575); #12553=VECTOR('',#102307,0.393700787401575); #12554=VECTOR('',#102308,0.393700787401575); #12555=VECTOR('',#102317,0.393700787401575); #12556=VECTOR('',#102318,0.393700787401575); #12557=VECTOR('',#102319,0.393700787401575); #12558=VECTOR('',#102320,0.393700787401575); #12559=VECTOR('',#102327,0.393700787401575); #12560=VECTOR('',#102330,0.393700787401575); #12561=VECTOR('',#102331,0.393700787401575); #12562=VECTOR('',#102332,0.393700787401575); #12563=VECTOR('',#102343,0.196850390625); #12564=VECTOR('',#102350,0.393700787401575); #12565=VECTOR('',#102351,0.393700787401575); #12566=VECTOR('',#102352,0.393700787401575); #12567=VECTOR('',#102353,0.393700787401575); #12568=VECTOR('',#102356,0.393700787401575); #12569=VECTOR('',#102357,0.393700787401575); #12570=VECTOR('',#102358,0.393700787401575); #12571=VECTOR('',#102361,0.393700787401575); #12572=VECTOR('',#102362,0.393700787401575); #12573=VECTOR('',#102363,0.393700787401575); #12574=VECTOR('',#102366,0.393700787401575); #12575=VECTOR('',#102367,0.393700787401575); #12576=VECTOR('',#102368,0.393700787401575); #12577=VECTOR('',#102371,0.393700787401575); #12578=VECTOR('',#102372,0.393700787401575); #12579=VECTOR('',#102373,0.393700787401575); #12580=VECTOR('',#102376,0.393700787401575); #12581=VECTOR('',#102377,0.393700787401575); #12582=VECTOR('',#102378,0.393700787401575); #12583=VECTOR('',#102381,0.393700787401575); #12584=VECTOR('',#102382,0.393700787401575); #12585=VECTOR('',#102383,0.393700787401575); #12586=VECTOR('',#102386,0.393700787401575); #12587=VECTOR('',#102387,0.393700787401575); #12588=VECTOR('',#102388,0.393700787401575); #12589=VECTOR('',#102391,0.393700787401575); #12590=VECTOR('',#102392,0.393700787401575); #12591=VECTOR('',#102393,0.393700787401575); #12592=VECTOR('',#102396,0.393700787401575); #12593=VECTOR('',#102397,0.393700787401575); #12594=VECTOR('',#102398,0.393700787401575); #12595=VECTOR('',#102401,0.393700787401575); #12596=VECTOR('',#102402,0.393700787401575); #12597=VECTOR('',#102403,0.393700787401575); #12598=VECTOR('',#102406,0.393700787401575); #12599=VECTOR('',#102407,0.393700787401575); #12600=VECTOR('',#102408,0.393700787401575); #12601=VECTOR('',#102411,0.393700787401575); #12602=VECTOR('',#102412,0.393700787401575); #12603=VECTOR('',#102413,0.393700787401575); #12604=VECTOR('',#102416,0.393700787401575); #12605=VECTOR('',#102417,0.393700787401575); #12606=VECTOR('',#102418,0.393700787401575); #12607=VECTOR('',#102421,0.393700787401575); #12608=VECTOR('',#102422,0.393700787401575); #12609=VECTOR('',#102423,0.393700787401575); #12610=VECTOR('',#102426,0.393700787401575); #12611=VECTOR('',#102427,0.393700787401575); #12612=VECTOR('',#102428,0.393700787401575); #12613=VECTOR('',#102431,0.393700787401575); #12614=VECTOR('',#102432,0.393700787401575); #12615=VECTOR('',#102433,0.393700787401575); #12616=VECTOR('',#102436,0.393700787401575); #12617=VECTOR('',#102437,0.393700787401575); #12618=VECTOR('',#102438,0.393700787401575); #12619=VECTOR('',#102441,0.393700787401575); #12620=VECTOR('',#102442,0.393700787401575); #12621=VECTOR('',#102443,0.393700787401575); #12622=VECTOR('',#102446,0.393700787401575); #12623=VECTOR('',#102447,0.393700787401575); #12624=VECTOR('',#102450,0.393700787401575); #12625=VECTOR('',#102451,0.393700787401575); #12626=VECTOR('',#102452,0.393700787401575); #12627=VECTOR('',#102453,0.393700787401575); #12628=VECTOR('',#102456,0.393700787401575); #12629=VECTOR('',#102457,0.393700787401575); #12630=VECTOR('',#102458,0.393700787401575); #12631=VECTOR('',#102461,0.393700787401575); #12632=VECTOR('',#102462,0.393700787401575); #12633=VECTOR('',#102463,0.393700787401575); #12634=VECTOR('',#102466,0.393700787401575); #12635=VECTOR('',#102467,0.393700787401575); #12636=VECTOR('',#102468,0.393700787401575); #12637=VECTOR('',#102471,0.393700787401575); #12638=VECTOR('',#102472,0.393700787401575); #12639=VECTOR('',#102473,0.393700787401575); #12640=VECTOR('',#102476,0.393700787401575); #12641=VECTOR('',#102477,0.393700787401575); #12642=VECTOR('',#102478,0.393700787401575); #12643=VECTOR('',#102481,0.393700787401575); #12644=VECTOR('',#102482,0.393700787401575); #12645=VECTOR('',#102483,0.393700787401575); #12646=VECTOR('',#102486,0.393700787401575); #12647=VECTOR('',#102487,0.393700787401575); #12648=VECTOR('',#102488,0.393700787401575); #12649=VECTOR('',#102491,0.393700787401575); #12650=VECTOR('',#102492,0.393700787401575); #12651=VECTOR('',#102493,0.393700787401575); #12652=VECTOR('',#102496,0.393700787401575); #12653=VECTOR('',#102497,0.393700787401575); #12654=VECTOR('',#102498,0.393700787401575); #12655=VECTOR('',#102501,0.393700787401575); #12656=VECTOR('',#102502,0.393700787401575); #12657=VECTOR('',#102503,0.393700787401575); #12658=VECTOR('',#102506,0.393700787401575); #12659=VECTOR('',#102507,0.393700787401575); #12660=VECTOR('',#102508,0.393700787401575); #12661=VECTOR('',#102511,0.393700787401575); #12662=VECTOR('',#102512,0.393700787401575); #12663=VECTOR('',#102513,0.393700787401575); #12664=VECTOR('',#102516,0.393700787401575); #12665=VECTOR('',#102517,0.393700787401575); #12666=VECTOR('',#102518,0.393700787401575); #12667=VECTOR('',#102521,0.393700787401575); #12668=VECTOR('',#102522,0.393700787401575); #12669=VECTOR('',#102523,0.393700787401575); #12670=VECTOR('',#102526,0.393700787401575); #12671=VECTOR('',#102527,0.393700787401575); #12672=VECTOR('',#102528,0.393700787401575); #12673=VECTOR('',#102531,0.393700787401575); #12674=VECTOR('',#102532,0.393700787401575); #12675=VECTOR('',#102533,0.393700787401575); #12676=VECTOR('',#102536,0.393700787401575); #12677=VECTOR('',#102537,0.393700787401575); #12678=VECTOR('',#102538,0.393700787401575); #12679=VECTOR('',#102541,0.393700787401575); #12680=VECTOR('',#102542,0.393700787401575); #12681=VECTOR('',#102543,0.393700787401575); #12682=VECTOR('',#102546,0.393700787401575); #12683=VECTOR('',#102547,0.393700787401575); #12684=VECTOR('',#102548,0.393700787401575); #12685=VECTOR('',#102551,0.393700787401575); #12686=VECTOR('',#102552,0.393700787401575); #12687=VECTOR('',#102553,0.393700787401575); #12688=VECTOR('',#102556,0.393700787401575); #12689=VECTOR('',#102557,0.393700787401575); #12690=VECTOR('',#102558,0.393700787401575); #12691=VECTOR('',#102561,0.393700787401575); #12692=VECTOR('',#102562,0.393700787401575); #12693=VECTOR('',#102563,0.393700787401575); #12694=VECTOR('',#102566,0.393700787401575); #12695=VECTOR('',#102567,0.393700787401575); #12696=VECTOR('',#102568,0.393700787401575); #12697=VECTOR('',#102571,0.393700787401575); #12698=VECTOR('',#102572,0.393700787401575); #12699=VECTOR('',#102573,0.393700787401575); #12700=VECTOR('',#102576,0.393700787401575); #12701=VECTOR('',#102577,0.393700787401575); #12702=VECTOR('',#102578,0.393700787401575); #12703=VECTOR('',#102581,0.393700787401575); #12704=VECTOR('',#102582,0.393700787401575); #12705=VECTOR('',#102583,0.393700787401575); #12706=VECTOR('',#102586,0.393700787401575); #12707=VECTOR('',#102587,0.393700787401575); #12708=VECTOR('',#102588,0.393700787401575); #12709=VECTOR('',#102591,0.393700787401575); #12710=VECTOR('',#102592,0.393700787401575); #12711=VECTOR('',#102593,0.393700787401575); #12712=VECTOR('',#102596,0.393700787401575); #12713=VECTOR('',#102597,0.393700787401575); #12714=VECTOR('',#102598,0.393700787401575); #12715=VECTOR('',#102601,0.393700787401575); #12716=VECTOR('',#102602,0.393700787401575); #12717=VECTOR('',#102603,0.393700787401575); #12718=VECTOR('',#102606,0.393700787401575); #12719=VECTOR('',#102607,0.393700787401575); #12720=VECTOR('',#102608,0.393700787401575); #12721=VECTOR('',#102611,0.393700787401575); #12722=VECTOR('',#102612,0.393700787401575); #12723=VECTOR('',#102613,0.393700787401575); #12724=VECTOR('',#102616,0.393700787401575); #12725=VECTOR('',#102617,0.393700787401575); #12726=VECTOR('',#102618,0.393700787401575); #12727=VECTOR('',#102621,0.393700787401575); #12728=VECTOR('',#102622,0.393700787401575); #12729=VECTOR('',#102623,0.393700787401575); #12730=VECTOR('',#102626,0.393700787401575); #12731=VECTOR('',#102627,0.393700787401575); #12732=VECTOR('',#102628,0.393700787401575); #12733=VECTOR('',#102631,0.393700787401575); #12734=VECTOR('',#102632,0.393700787401575); #12735=VECTOR('',#102633,0.393700787401575); #12736=VECTOR('',#102636,0.393700787401575); #12737=VECTOR('',#102637,0.393700787401575); #12738=VECTOR('',#102638,0.393700787401575); #12739=VECTOR('',#102641,0.393700787401575); #12740=VECTOR('',#102642,0.393700787401575); #12741=VECTOR('',#102643,0.393700787401575); #12742=VECTOR('',#102646,0.393700787401575); #12743=VECTOR('',#102647,0.393700787401575); #12744=VECTOR('',#102652,0.393700787401575); #12745=VECTOR('',#102653,0.393700787401575); #12746=VECTOR('',#102654,0.393700787401575); #12747=VECTOR('',#102655,0.393700787401575); #12748=VECTOR('',#102658,0.393700787401575); #12749=VECTOR('',#102659,0.393700787401575); #12750=VECTOR('',#102660,0.393700787401575); #12751=VECTOR('',#102663,0.393700787401575); #12752=VECTOR('',#102664,0.393700787401575); #12753=VECTOR('',#102665,0.393700787401575); #12754=VECTOR('',#102668,0.393700787401575); #12755=VECTOR('',#102669,0.393700787401575); #12756=VECTOR('',#102670,0.393700787401575); #12757=VECTOR('',#102673,0.393700787401575); #12758=VECTOR('',#102674,0.393700787401575); #12759=VECTOR('',#102675,0.393700787401575); #12760=VECTOR('',#102678,0.393700787401575); #12761=VECTOR('',#102679,0.393700787401575); #12762=VECTOR('',#102680,0.393700787401575); #12763=VECTOR('',#102683,0.393700787401575); #12764=VECTOR('',#102684,0.393700787401575); #12765=VECTOR('',#102685,0.393700787401575); #12766=VECTOR('',#102688,0.393700787401575); #12767=VECTOR('',#102689,0.393700787401575); #12768=VECTOR('',#102690,0.393700787401575); #12769=VECTOR('',#102693,0.393700787401575); #12770=VECTOR('',#102694,0.393700787401575); #12771=VECTOR('',#102695,0.393700787401575); #12772=VECTOR('',#102698,0.393700787401575); #12773=VECTOR('',#102699,0.393700787401575); #12774=VECTOR('',#102700,0.393700787401575); #12775=VECTOR('',#102703,0.393700787401575); #12776=VECTOR('',#102704,0.393700787401575); #12777=VECTOR('',#102705,0.393700787401575); #12778=VECTOR('',#102708,0.393700787401575); #12779=VECTOR('',#102709,0.393700787401575); #12780=VECTOR('',#102710,0.393700787401575); #12781=VECTOR('',#102713,0.393700787401575); #12782=VECTOR('',#102714,0.393700787401575); #12783=VECTOR('',#102715,0.393700787401575); #12784=VECTOR('',#102718,0.393700787401575); #12785=VECTOR('',#102719,0.393700787401575); #12786=VECTOR('',#102720,0.393700787401575); #12787=VECTOR('',#102723,0.393700787401575); #12788=VECTOR('',#102724,0.393700787401575); #12789=VECTOR('',#102725,0.393700787401575); #12790=VECTOR('',#102728,0.393700787401575); #12791=VECTOR('',#102729,0.393700787401575); #12792=VECTOR('',#102730,0.393700787401575); #12793=VECTOR('',#102733,0.393700787401575); #12794=VECTOR('',#102734,0.393700787401575); #12795=VECTOR('',#102735,0.393700787401575); #12796=VECTOR('',#102738,0.393700787401575); #12797=VECTOR('',#102739,0.393700787401575); #12798=VECTOR('',#102740,0.393700787401575); #12799=VECTOR('',#102743,0.393700787401575); #12800=VECTOR('',#102744,0.393700787401575); #12801=VECTOR('',#102745,0.393700787401575); #12802=VECTOR('',#102748,0.393700787401575); #12803=VECTOR('',#102749,0.393700787401575); #12804=VECTOR('',#102750,0.393700787401575); #12805=VECTOR('',#102753,0.393700787401575); #12806=VECTOR('',#102754,0.393700787401575); #12807=VECTOR('',#102755,0.393700787401575); #12808=VECTOR('',#102758,0.393700787401575); #12809=VECTOR('',#102759,0.393700787401575); #12810=VECTOR('',#102760,0.393700787401575); #12811=VECTOR('',#102763,0.393700787401575); #12812=VECTOR('',#102764,0.393700787401575); #12813=VECTOR('',#102765,0.393700787401575); #12814=VECTOR('',#102768,0.393700787401575); #12815=VECTOR('',#102769,0.393700787401575); #12816=VECTOR('',#102770,0.393700787401575); #12817=VECTOR('',#102773,0.393700787401575); #12818=VECTOR('',#102774,0.393700787401575); #12819=VECTOR('',#102775,0.393700787401575); #12820=VECTOR('',#102778,0.393700787401575); #12821=VECTOR('',#102779,0.393700787401575); #12822=VECTOR('',#102780,0.393700787401575); #12823=VECTOR('',#102783,0.393700787401575); #12824=VECTOR('',#102784,0.393700787401575); #12825=VECTOR('',#102785,0.393700787401575); #12826=VECTOR('',#102788,0.393700787401575); #12827=VECTOR('',#102789,0.393700787401575); #12828=VECTOR('',#102790,0.393700787401575); #12829=VECTOR('',#102793,0.393700787401575); #12830=VECTOR('',#102794,0.393700787401575); #12831=VECTOR('',#102795,0.393700787401575); #12832=VECTOR('',#102798,0.393700787401575); #12833=VECTOR('',#102799,0.393700787401575); #12834=VECTOR('',#102800,0.393700787401575); #12835=VECTOR('',#102803,0.393700787401575); #12836=VECTOR('',#102804,0.393700787401575); #12837=VECTOR('',#102805,0.393700787401575); #12838=VECTOR('',#102808,0.393700787401575); #12839=VECTOR('',#102809,0.393700787401575); #12840=VECTOR('',#102810,0.393700787401575); #12841=VECTOR('',#102813,0.393700787401575); #12842=VECTOR('',#102814,0.393700787401575); #12843=VECTOR('',#102815,0.393700787401575); #12844=VECTOR('',#102818,0.393700787401575); #12845=VECTOR('',#102819,0.393700787401575); #12846=VECTOR('',#102820,0.393700787401575); #12847=VECTOR('',#102823,0.393700787401575); #12848=VECTOR('',#102824,0.393700787401575); #12849=VECTOR('',#102825,0.393700787401575); #12850=VECTOR('',#102828,0.393700787401575); #12851=VECTOR('',#102829,0.393700787401575); #12852=VECTOR('',#102830,0.393700787401575); #12853=VECTOR('',#102833,0.393700787401575); #12854=VECTOR('',#102834,0.393700787401575); #12855=VECTOR('',#102835,0.393700787401575); #12856=VECTOR('',#102838,0.393700787401575); #12857=VECTOR('',#102839,0.393700787401575); #12858=VECTOR('',#102840,0.393700787401575); #12859=VECTOR('',#102843,0.393700787401575); #12860=VECTOR('',#102844,0.393700787401575); #12861=VECTOR('',#102845,0.393700787401575); #12862=VECTOR('',#102848,0.393700787401575); #12863=VECTOR('',#102849,0.393700787401575); #12864=VECTOR('',#102850,0.393700787401575); #12865=VECTOR('',#102853,0.393700787401575); #12866=VECTOR('',#102854,0.393700787401575); #12867=VECTOR('',#102855,0.393700787401575); #12868=VECTOR('',#102858,0.393700787401575); #12869=VECTOR('',#102859,0.393700787401575); #12870=VECTOR('',#102860,0.393700787401575); #12871=VECTOR('',#102863,0.393700787401575); #12872=VECTOR('',#102864,0.393700787401575); #12873=VECTOR('',#102865,0.393700787401575); #12874=VECTOR('',#102868,0.393700787401575); #12875=VECTOR('',#102869,0.393700787401575); #12876=VECTOR('',#102870,0.393700787401575); #12877=VECTOR('',#102873,0.393700787401575); #12878=VECTOR('',#102874,0.393700787401575); #12879=VECTOR('',#102875,0.393700787401575); #12880=VECTOR('',#102878,0.393700787401575); #12881=VECTOR('',#102879,0.393700787401575); #12882=VECTOR('',#102880,0.393700787401575); #12883=VECTOR('',#102883,0.393700787401575); #12884=VECTOR('',#102884,0.393700787401575); #12885=VECTOR('',#102885,0.393700787401575); #12886=VECTOR('',#102888,0.393700787401575); #12887=VECTOR('',#102889,0.393700787401575); #12888=VECTOR('',#102890,0.393700787401575); #12889=VECTOR('',#102893,0.393700787401575); #12890=VECTOR('',#102894,0.393700787401575); #12891=VECTOR('',#102895,0.393700787401575); #12892=VECTOR('',#102898,0.393700787401575); #12893=VECTOR('',#102899,0.393700787401575); #12894=VECTOR('',#102900,0.393700787401575); #12895=VECTOR('',#102903,0.393700787401575); #12896=VECTOR('',#102904,0.393700787401575); #12897=VECTOR('',#102905,0.393700787401575); #12898=VECTOR('',#102908,0.393700787401575); #12899=VECTOR('',#102909,0.393700787401575); #12900=VECTOR('',#102910,0.393700787401575); #12901=VECTOR('',#102913,0.393700787401575); #12902=VECTOR('',#102914,0.393700787401575); #12903=VECTOR('',#102915,0.393700787401575); #12904=VECTOR('',#102918,0.393700787401575); #12905=VECTOR('',#102919,0.393700787401575); #12906=VECTOR('',#102920,0.393700787401575); #12907=VECTOR('',#102923,0.393700787401575); #12908=VECTOR('',#102924,0.393700787401575); #12909=VECTOR('',#102925,0.393700787401575); #12910=VECTOR('',#102928,0.393700787401575); #12911=VECTOR('',#102929,0.393700787401575); #12912=VECTOR('',#102930,0.393700787401575); #12913=VECTOR('',#102933,0.393700787401575); #12914=VECTOR('',#102934,0.393700787401575); #12915=VECTOR('',#102939,0.393700787401575); #12916=VECTOR('',#102940,0.393700787401575); #12917=VECTOR('',#102941,0.393700787401575); #12918=VECTOR('',#102942,0.393700787401575); #12919=VECTOR('',#102945,0.393700787401575); #12920=VECTOR('',#102946,0.393700787401575); #12921=VECTOR('',#102947,0.393700787401575); #12922=VECTOR('',#102950,0.393700787401575); #12923=VECTOR('',#102951,0.393700787401575); #12924=VECTOR('',#102952,0.393700787401575); #12925=VECTOR('',#102955,0.393700787401575); #12926=VECTOR('',#102956,0.393700787401575); #12927=VECTOR('',#102957,0.393700787401575); #12928=VECTOR('',#102960,0.393700787401575); #12929=VECTOR('',#102961,0.393700787401575); #12930=VECTOR('',#102962,0.393700787401575); #12931=VECTOR('',#102965,0.393700787401575); #12932=VECTOR('',#102966,0.393700787401575); #12933=VECTOR('',#102967,0.393700787401575); #12934=VECTOR('',#102970,0.393700787401575); #12935=VECTOR('',#102971,0.393700787401575); #12936=VECTOR('',#102972,0.393700787401575); #12937=VECTOR('',#102975,0.393700787401575); #12938=VECTOR('',#102976,0.393700787401575); #12939=VECTOR('',#102977,0.393700787401575); #12940=VECTOR('',#102980,0.393700787401575); #12941=VECTOR('',#102981,0.393700787401575); #12942=VECTOR('',#102982,0.393700787401575); #12943=VECTOR('',#102985,0.393700787401575); #12944=VECTOR('',#102986,0.393700787401575); #12945=VECTOR('',#102987,0.393700787401575); #12946=VECTOR('',#102990,0.393700787401575); #12947=VECTOR('',#102991,0.393700787401575); #12948=VECTOR('',#102992,0.393700787401575); #12949=VECTOR('',#102995,0.393700787401575); #12950=VECTOR('',#102996,0.393700787401575); #12951=VECTOR('',#102997,0.393700787401575); #12952=VECTOR('',#103000,0.393700787401575); #12953=VECTOR('',#103001,0.393700787401575); #12954=VECTOR('',#103002,0.393700787401575); #12955=VECTOR('',#103005,0.393700787401575); #12956=VECTOR('',#103006,0.393700787401575); #12957=VECTOR('',#103007,0.393700787401575); #12958=VECTOR('',#103010,0.393700787401575); #12959=VECTOR('',#103011,0.393700787401575); #12960=VECTOR('',#103012,0.393700787401575); #12961=VECTOR('',#103015,0.393700787401575); #12962=VECTOR('',#103016,0.393700787401575); #12963=VECTOR('',#103019,0.393700787401575); #12964=VECTOR('',#103020,0.393700787401575); #12965=VECTOR('',#103021,0.393700787401575); #12966=VECTOR('',#103022,0.393700787401575); #12967=VECTOR('',#103025,0.393700787401575); #12968=VECTOR('',#103026,0.393700787401575); #12969=VECTOR('',#103027,0.393700787401575); #12970=VECTOR('',#103030,0.393700787401575); #12971=VECTOR('',#103031,0.393700787401575); #12972=VECTOR('',#103032,0.393700787401575); #12973=VECTOR('',#103035,0.393700787401575); #12974=VECTOR('',#103036,0.393700787401575); #12975=VECTOR('',#103037,0.393700787401575); #12976=VECTOR('',#103040,0.393700787401575); #12977=VECTOR('',#103041,0.393700787401575); #12978=VECTOR('',#103042,0.393700787401575); #12979=VECTOR('',#103045,0.393700787401575); #12980=VECTOR('',#103046,0.393700787401575); #12981=VECTOR('',#103047,0.393700787401575); #12982=VECTOR('',#103050,0.393700787401575); #12983=VECTOR('',#103051,0.393700787401575); #12984=VECTOR('',#103052,0.393700787401575); #12985=VECTOR('',#103055,0.393700787401575); #12986=VECTOR('',#103056,0.393700787401575); #12987=VECTOR('',#103057,0.393700787401575); #12988=VECTOR('',#103060,0.393700787401575); #12989=VECTOR('',#103061,0.393700787401575); #12990=VECTOR('',#103062,0.393700787401575); #12991=VECTOR('',#103065,0.393700787401575); #12992=VECTOR('',#103066,0.393700787401575); #12993=VECTOR('',#103067,0.393700787401575); #12994=VECTOR('',#103070,0.393700787401575); #12995=VECTOR('',#103071,0.393700787401575); #12996=VECTOR('',#103072,0.393700787401575); #12997=VECTOR('',#103075,0.393700787401575); #12998=VECTOR('',#103076,0.393700787401575); #12999=VECTOR('',#103077,0.393700787401575); #13000=VECTOR('',#103080,0.393700787401575); #13001=VECTOR('',#103081,0.393700787401575); #13002=VECTOR('',#103082,0.393700787401575); #13003=VECTOR('',#103085,0.393700787401575); #13004=VECTOR('',#103086,0.393700787401575); #13005=VECTOR('',#103087,0.393700787401575); #13006=VECTOR('',#103090,0.393700787401575); #13007=VECTOR('',#103091,0.393700787401575); #13008=VECTOR('',#103092,0.393700787401575); #13009=VECTOR('',#103095,0.393700787401575); #13010=VECTOR('',#103096,0.393700787401575); #13011=VECTOR('',#103099,0.393700787401575); #13012=VECTOR('',#103100,0.393700787401575); #13013=VECTOR('',#103101,0.393700787401575); #13014=VECTOR('',#103102,0.393700787401575); #13015=VECTOR('',#103105,0.393700787401575); #13016=VECTOR('',#103106,0.393700787401575); #13017=VECTOR('',#103107,0.393700787401575); #13018=VECTOR('',#103110,0.393700787401575); #13019=VECTOR('',#103111,0.393700787401575); #13020=VECTOR('',#103112,0.393700787401575); #13021=VECTOR('',#103115,0.393700787401575); #13022=VECTOR('',#103116,0.393700787401575); #13023=VECTOR('',#103117,0.393700787401575); #13024=VECTOR('',#103120,0.393700787401575); #13025=VECTOR('',#103121,0.393700787401575); #13026=VECTOR('',#103122,0.393700787401575); #13027=VECTOR('',#103125,0.393700787401575); #13028=VECTOR('',#103126,0.393700787401575); #13029=VECTOR('',#103127,0.393700787401575); #13030=VECTOR('',#103130,0.393700787401575); #13031=VECTOR('',#103131,0.393700787401575); #13032=VECTOR('',#103132,0.393700787401575); #13033=VECTOR('',#103135,0.393700787401575); #13034=VECTOR('',#103136,0.393700787401575); #13035=VECTOR('',#103137,0.393700787401575); #13036=VECTOR('',#103140,0.393700787401575); #13037=VECTOR('',#103141,0.393700787401575); #13038=VECTOR('',#103142,0.393700787401575); #13039=VECTOR('',#103145,0.393700787401575); #13040=VECTOR('',#103146,0.393700787401575); #13041=VECTOR('',#103147,0.393700787401575); #13042=VECTOR('',#103150,0.393700787401575); #13043=VECTOR('',#103151,0.393700787401575); #13044=VECTOR('',#103152,0.393700787401575); #13045=VECTOR('',#103155,0.393700787401575); #13046=VECTOR('',#103156,0.393700787401575); #13047=VECTOR('',#103157,0.393700787401575); #13048=VECTOR('',#103160,0.393700787401575); #13049=VECTOR('',#103161,0.393700787401575); #13050=VECTOR('',#103162,0.393700787401575); #13051=VECTOR('',#103165,0.393700787401575); #13052=VECTOR('',#103166,0.393700787401575); #13053=VECTOR('',#103167,0.393700787401575); #13054=VECTOR('',#103170,0.393700787401575); #13055=VECTOR('',#103171,0.393700787401575); #13056=VECTOR('',#103172,0.393700787401575); #13057=VECTOR('',#103175,0.393700787401575); #13058=VECTOR('',#103176,0.393700787401575); #13059=VECTOR('',#103177,0.393700787401575); #13060=VECTOR('',#103180,0.393700787401575); #13061=VECTOR('',#103181,0.393700787401575); #13062=VECTOR('',#103182,0.393700787401575); #13063=VECTOR('',#103185,0.393700787401575); #13064=VECTOR('',#103186,0.393700787401575); #13065=VECTOR('',#103187,0.393700787401575); #13066=VECTOR('',#103190,0.393700787401575); #13067=VECTOR('',#103191,0.393700787401575); #13068=VECTOR('',#103192,0.393700787401575); #13069=VECTOR('',#103195,0.393700787401575); #13070=VECTOR('',#103196,0.393700787401575); #13071=VECTOR('',#103197,0.393700787401575); #13072=VECTOR('',#103200,0.393700787401575); #13073=VECTOR('',#103201,0.393700787401575); #13074=VECTOR('',#103202,0.393700787401575); #13075=VECTOR('',#103205,0.393700787401575); #13076=VECTOR('',#103206,0.393700787401575); #13077=VECTOR('',#103207,0.393700787401575); #13078=VECTOR('',#103210,0.393700787401575); #13079=VECTOR('',#103211,0.393700787401575); #13080=VECTOR('',#103212,0.393700787401575); #13081=VECTOR('',#103215,0.393700787401575); #13082=VECTOR('',#103216,0.393700787401575); #13083=VECTOR('',#103217,0.393700787401575); #13084=VECTOR('',#103220,0.393700787401575); #13085=VECTOR('',#103221,0.393700787401575); #13086=VECTOR('',#103222,0.393700787401575); #13087=VECTOR('',#103225,0.393700787401575); #13088=VECTOR('',#103226,0.393700787401575); #13089=VECTOR('',#103227,0.393700787401575); #13090=VECTOR('',#103230,0.393700787401575); #13091=VECTOR('',#103231,0.393700787401575); #13092=VECTOR('',#103232,0.393700787401575); #13093=VECTOR('',#103235,0.393700787401575); #13094=VECTOR('',#103236,0.393700787401575); #13095=VECTOR('',#103237,0.393700787401575); #13096=VECTOR('',#103240,0.393700787401575); #13097=VECTOR('',#103241,0.393700787401575); #13098=VECTOR('',#103242,0.393700787401575); #13099=VECTOR('',#103245,0.393700787401575); #13100=VECTOR('',#103246,0.393700787401575); #13101=VECTOR('',#103247,0.393700787401575); #13102=VECTOR('',#103250,0.393700787401575); #13103=VECTOR('',#103251,0.393700787401575); #13104=VECTOR('',#103252,0.393700787401575); #13105=VECTOR('',#103255,0.393700787401575); #13106=VECTOR('',#103256,0.393700787401575); #13107=VECTOR('',#103257,0.393700787401575); #13108=VECTOR('',#103260,0.393700787401575); #13109=VECTOR('',#103261,0.393700787401575); #13110=VECTOR('',#103262,0.393700787401575); #13111=VECTOR('',#103265,0.393700787401575); #13112=VECTOR('',#103266,0.393700787401575); #13113=VECTOR('',#103271,0.393700787401575); #13114=VECTOR('',#103272,0.393700787401575); #13115=VECTOR('',#103273,0.393700787401575); #13116=VECTOR('',#103274,0.393700787401575); #13117=VECTOR('',#103277,0.393700787401575); #13118=VECTOR('',#103278,0.393700787401575); #13119=VECTOR('',#103279,0.393700787401575); #13120=VECTOR('',#103282,0.393700787401575); #13121=VECTOR('',#103283,0.393700787401575); #13122=VECTOR('',#103284,0.393700787401575); #13123=VECTOR('',#103287,0.393700787401575); #13124=VECTOR('',#103288,0.393700787401575); #13125=VECTOR('',#103289,0.393700787401575); #13126=VECTOR('',#103292,0.393700787401575); #13127=VECTOR('',#103293,0.393700787401575); #13128=VECTOR('',#103294,0.393700787401575); #13129=VECTOR('',#103297,0.393700787401575); #13130=VECTOR('',#103298,0.393700787401575); #13131=VECTOR('',#103299,0.393700787401575); #13132=VECTOR('',#103302,0.393700787401575); #13133=VECTOR('',#103303,0.393700787401575); #13134=VECTOR('',#103308,0.393700787401575); #13135=VECTOR('',#103309,0.393700787401575); #13136=VECTOR('',#103310,0.393700787401575); #13137=VECTOR('',#103311,0.393700787401575); #13138=VECTOR('',#103314,0.393700787401575); #13139=VECTOR('',#103315,0.393700787401575); #13140=VECTOR('',#103316,0.393700787401575); #13141=VECTOR('',#103319,0.393700787401575); #13142=VECTOR('',#103320,0.393700787401575); #13143=VECTOR('',#103321,0.393700787401575); #13144=VECTOR('',#103324,0.393700787401575); #13145=VECTOR('',#103325,0.393700787401575); #13146=VECTOR('',#103326,0.393700787401575); #13147=VECTOR('',#103329,0.393700787401575); #13148=VECTOR('',#103330,0.393700787401575); #13149=VECTOR('',#103331,0.393700787401575); #13150=VECTOR('',#103334,0.393700787401575); #13151=VECTOR('',#103335,0.393700787401575); #13152=VECTOR('',#103336,0.393700787401575); #13153=VECTOR('',#103339,0.393700787401575); #13154=VECTOR('',#103340,0.393700787401575); #13155=VECTOR('',#103341,0.393700787401575); #13156=VECTOR('',#103344,0.393700787401575); #13157=VECTOR('',#103345,0.393700787401575); #13158=VECTOR('',#103346,0.393700787401575); #13159=VECTOR('',#103349,0.393700787401575); #13160=VECTOR('',#103350,0.393700787401575); #13161=VECTOR('',#103351,0.393700787401575); #13162=VECTOR('',#103354,0.393700787401575); #13163=VECTOR('',#103355,0.393700787401575); #13164=VECTOR('',#103356,0.393700787401575); #13165=VECTOR('',#103359,0.393700787401575); #13166=VECTOR('',#103360,0.393700787401575); #13167=VECTOR('',#103361,0.393700787401575); #13168=VECTOR('',#103364,0.393700787401575); #13169=VECTOR('',#103365,0.393700787401575); #13170=VECTOR('',#103366,0.393700787401575); #13171=VECTOR('',#103369,0.393700787401575); #13172=VECTOR('',#103370,0.393700787401575); #13173=VECTOR('',#103371,0.393700787401575); #13174=VECTOR('',#103374,0.393700787401575); #13175=VECTOR('',#103375,0.393700787401575); #13176=VECTOR('',#103376,0.393700787401575); #13177=VECTOR('',#103379,0.393700787401575); #13178=VECTOR('',#103380,0.393700787401575); #13179=VECTOR('',#103381,0.393700787401575); #13180=VECTOR('',#103384,0.393700787401575); #13181=VECTOR('',#103385,0.393700787401575); #13182=VECTOR('',#103386,0.393700787401575); #13183=VECTOR('',#103389,0.393700787401575); #13184=VECTOR('',#103390,0.393700787401575); #13185=VECTOR('',#103391,0.393700787401575); #13186=VECTOR('',#103394,0.393700787401575); #13187=VECTOR('',#103395,0.393700787401575); #13188=VECTOR('',#103396,0.393700787401575); #13189=VECTOR('',#103399,0.393700787401575); #13190=VECTOR('',#103400,0.393700787401575); #13191=VECTOR('',#103401,0.393700787401575); #13192=VECTOR('',#103404,0.393700787401575); #13193=VECTOR('',#103405,0.393700787401575); #13194=VECTOR('',#103408,0.393700787401575); #13195=VECTOR('',#103409,0.393700787401575); #13196=VECTOR('',#103410,0.393700787401575); #13197=VECTOR('',#103411,0.393700787401575); #13198=VECTOR('',#103414,0.393700787401575); #13199=VECTOR('',#103415,0.393700787401575); #13200=VECTOR('',#103416,0.393700787401575); #13201=VECTOR('',#103419,0.393700787401575); #13202=VECTOR('',#103420,0.393700787401575); #13203=VECTOR('',#103421,0.393700787401575); #13204=VECTOR('',#103424,0.393700787401575); #13205=VECTOR('',#103425,0.393700787401575); #13206=VECTOR('',#103426,0.393700787401575); #13207=VECTOR('',#103429,0.393700787401575); #13208=VECTOR('',#103430,0.393700787401575); #13209=VECTOR('',#103431,0.393700787401575); #13210=VECTOR('',#103434,0.393700787401575); #13211=VECTOR('',#103435,0.393700787401575); #13212=VECTOR('',#103436,0.393700787401575); #13213=VECTOR('',#103439,0.393700787401575); #13214=VECTOR('',#103440,0.393700787401575); #13215=VECTOR('',#103441,0.393700787401575); #13216=VECTOR('',#103444,0.393700787401575); #13217=VECTOR('',#103445,0.393700787401575); #13218=VECTOR('',#103446,0.393700787401575); #13219=VECTOR('',#103449,0.393700787401575); #13220=VECTOR('',#103450,0.393700787401575); #13221=VECTOR('',#103451,0.393700787401575); #13222=VECTOR('',#103454,0.393700787401575); #13223=VECTOR('',#103455,0.393700787401575); #13224=VECTOR('',#103456,0.393700787401575); #13225=VECTOR('',#103459,0.393700787401575); #13226=VECTOR('',#103460,0.393700787401575); #13227=VECTOR('',#103461,0.393700787401575); #13228=VECTOR('',#103464,0.393700787401575); #13229=VECTOR('',#103465,0.393700787401575); #13230=VECTOR('',#103466,0.393700787401575); #13231=VECTOR('',#103469,0.393700787401575); #13232=VECTOR('',#103470,0.393700787401575); #13233=VECTOR('',#103471,0.393700787401575); #13234=VECTOR('',#103474,0.393700787401575); #13235=VECTOR('',#103475,0.393700787401575); #13236=VECTOR('',#103476,0.393700787401575); #13237=VECTOR('',#103479,0.393700787401575); #13238=VECTOR('',#103480,0.393700787401575); #13239=VECTOR('',#103481,0.393700787401575); #13240=VECTOR('',#103484,0.393700787401575); #13241=VECTOR('',#103485,0.393700787401575); #13242=VECTOR('',#103486,0.393700787401575); #13243=VECTOR('',#103489,0.393700787401575); #13244=VECTOR('',#103490,0.393700787401575); #13245=VECTOR('',#103491,0.393700787401575); #13246=VECTOR('',#103494,0.393700787401575); #13247=VECTOR('',#103495,0.393700787401575); #13248=VECTOR('',#103496,0.393700787401575); #13249=VECTOR('',#103499,0.393700787401575); #13250=VECTOR('',#103500,0.393700787401575); #13251=VECTOR('',#103501,0.393700787401575); #13252=VECTOR('',#103504,0.393700787401575); #13253=VECTOR('',#103505,0.393700787401575); #13254=VECTOR('',#103506,0.393700787401575); #13255=VECTOR('',#103509,0.393700787401575); #13256=VECTOR('',#103510,0.393700787401575); #13257=VECTOR('',#103511,0.393700787401575); #13258=VECTOR('',#103514,0.393700787401575); #13259=VECTOR('',#103515,0.393700787401575); #13260=VECTOR('',#103516,0.393700787401575); #13261=VECTOR('',#103519,0.393700787401575); #13262=VECTOR('',#103520,0.393700787401575); #13263=VECTOR('',#103521,0.393700787401575); #13264=VECTOR('',#103524,0.393700787401575); #13265=VECTOR('',#103525,0.393700787401575); #13266=VECTOR('',#103526,0.393700787401575); #13267=VECTOR('',#103529,0.393700787401575); #13268=VECTOR('',#103530,0.393700787401575); #13269=VECTOR('',#103531,0.393700787401575); #13270=VECTOR('',#103534,0.393700787401575); #13271=VECTOR('',#103535,0.393700787401575); #13272=VECTOR('',#103536,0.393700787401575); #13273=VECTOR('',#103539,0.393700787401575); #13274=VECTOR('',#103540,0.393700787401575); #13275=VECTOR('',#103541,0.393700787401575); #13276=VECTOR('',#103544,0.393700787401575); #13277=VECTOR('',#103545,0.393700787401575); #13278=VECTOR('',#103546,0.393700787401575); #13279=VECTOR('',#103549,0.393700787401575); #13280=VECTOR('',#103550,0.393700787401575); #13281=VECTOR('',#103551,0.393700787401575); #13282=VECTOR('',#103554,0.393700787401575); #13283=VECTOR('',#103555,0.393700787401575); #13284=VECTOR('',#103556,0.393700787401575); #13285=VECTOR('',#103559,0.393700787401575); #13286=VECTOR('',#103560,0.393700787401575); #13287=VECTOR('',#103561,0.393700787401575); #13288=VECTOR('',#103564,0.393700787401575); #13289=VECTOR('',#103565,0.393700787401575); #13290=VECTOR('',#103566,0.393700787401575); #13291=VECTOR('',#103569,0.393700787401575); #13292=VECTOR('',#103570,0.393700787401575); #13293=VECTOR('',#103571,0.393700787401575); #13294=VECTOR('',#103574,0.393700787401575); #13295=VECTOR('',#103575,0.393700787401575); #13296=VECTOR('',#103576,0.393700787401575); #13297=VECTOR('',#103579,0.393700787401575); #13298=VECTOR('',#103580,0.393700787401575); #13299=VECTOR('',#103581,0.393700787401575); #13300=VECTOR('',#103584,0.393700787401575); #13301=VECTOR('',#103585,0.393700787401575); #13302=VECTOR('',#103586,0.393700787401575); #13303=VECTOR('',#103589,0.393700787401575); #13304=VECTOR('',#103590,0.393700787401575); #13305=VECTOR('',#103591,0.393700787401575); #13306=VECTOR('',#103594,0.393700787401575); #13307=VECTOR('',#103595,0.393700787401575); #13308=VECTOR('',#103596,0.393700787401575); #13309=VECTOR('',#103599,0.393700787401575); #13310=VECTOR('',#103600,0.393700787401575); #13311=VECTOR('',#103601,0.393700787401575); #13312=VECTOR('',#103604,0.393700787401575); #13313=VECTOR('',#103605,0.393700787401575); #13314=VECTOR('',#103610,0.393700787401575); #13315=VECTOR('',#103611,0.393700787401575); #13316=VECTOR('',#103612,0.393700787401575); #13317=VECTOR('',#103613,0.393700787401575); #13318=VECTOR('',#103616,0.393700787401575); #13319=VECTOR('',#103617,0.393700787401575); #13320=VECTOR('',#103618,0.393700787401575); #13321=VECTOR('',#103621,0.393700787401575); #13322=VECTOR('',#103622,0.393700787401575); #13323=VECTOR('',#103623,0.393700787401575); #13324=VECTOR('',#103626,0.393700787401575); #13325=VECTOR('',#103627,0.393700787401575); #13326=VECTOR('',#103628,0.393700787401575); #13327=VECTOR('',#103631,0.393700787401575); #13328=VECTOR('',#103632,0.393700787401575); #13329=VECTOR('',#103633,0.393700787401575); #13330=VECTOR('',#103636,0.393700787401575); #13331=VECTOR('',#103637,0.393700787401575); #13332=VECTOR('',#103638,0.393700787401575); #13333=VECTOR('',#103641,0.393700787401575); #13334=VECTOR('',#103642,0.393700787401575); #13335=VECTOR('',#103647,0.393700787401575); #13336=VECTOR('',#103648,0.393700787401575); #13337=VECTOR('',#103649,0.393700787401575); #13338=VECTOR('',#103650,0.393700787401575); #13339=VECTOR('',#103653,0.393700787401575); #13340=VECTOR('',#103654,0.393700787401575); #13341=VECTOR('',#103655,0.393700787401575); #13342=VECTOR('',#103658,0.393700787401575); #13343=VECTOR('',#103659,0.393700787401575); #13344=VECTOR('',#103660,0.393700787401575); #13345=VECTOR('',#103663,0.393700787401575); #13346=VECTOR('',#103664,0.393700787401575); #13347=VECTOR('',#103665,0.393700787401575); #13348=VECTOR('',#103668,0.393700787401575); #13349=VECTOR('',#103669,0.393700787401575); #13350=VECTOR('',#103670,0.393700787401575); #13351=VECTOR('',#103673,0.393700787401575); #13352=VECTOR('',#103674,0.393700787401575); #13353=VECTOR('',#103675,0.393700787401575); #13354=VECTOR('',#103678,0.393700787401575); #13355=VECTOR('',#103679,0.393700787401575); #13356=VECTOR('',#103684,0.393700787401575); #13357=VECTOR('',#103685,0.393700787401575); #13358=VECTOR('',#103686,0.393700787401575); #13359=VECTOR('',#103687,0.393700787401575); #13360=VECTOR('',#103690,0.393700787401575); #13361=VECTOR('',#103691,0.393700787401575); #13362=VECTOR('',#103692,0.393700787401575); #13363=VECTOR('',#103695,0.393700787401575); #13364=VECTOR('',#103696,0.393700787401575); #13365=VECTOR('',#103697,0.393700787401575); #13366=VECTOR('',#103700,0.393700787401575); #13367=VECTOR('',#103701,0.393700787401575); #13368=VECTOR('',#103702,0.393700787401575); #13369=VECTOR('',#103705,0.393700787401575); #13370=VECTOR('',#103706,0.393700787401575); #13371=VECTOR('',#103707,0.393700787401575); #13372=VECTOR('',#103710,0.393700787401575); #13373=VECTOR('',#103711,0.393700787401575); #13374=VECTOR('',#103712,0.393700787401575); #13375=VECTOR('',#103715,0.393700787401575); #13376=VECTOR('',#103716,0.393700787401575); #13377=VECTOR('',#103717,0.393700787401575); #13378=VECTOR('',#103720,0.393700787401575); #13379=VECTOR('',#103721,0.393700787401575); #13380=VECTOR('',#103722,0.393700787401575); #13381=VECTOR('',#103725,0.393700787401575); #13382=VECTOR('',#103726,0.393700787401575); #13383=VECTOR('',#103727,0.393700787401575); #13384=VECTOR('',#103730,0.393700787401575); #13385=VECTOR('',#103731,0.393700787401575); #13386=VECTOR('',#103732,0.393700787401575); #13387=VECTOR('',#103735,0.393700787401575); #13388=VECTOR('',#103736,0.393700787401575); #13389=VECTOR('',#103737,0.393700787401575); #13390=VECTOR('',#103740,0.393700787401575); #13391=VECTOR('',#103741,0.393700787401575); #13392=VECTOR('',#103742,0.393700787401575); #13393=VECTOR('',#103745,0.393700787401575); #13394=VECTOR('',#103746,0.393700787401575); #13395=VECTOR('',#103747,0.393700787401575); #13396=VECTOR('',#103750,0.393700787401575); #13397=VECTOR('',#103751,0.393700787401575); #13398=VECTOR('',#103752,0.393700787401575); #13399=VECTOR('',#103755,0.393700787401575); #13400=VECTOR('',#103756,0.393700787401575); #13401=VECTOR('',#103757,0.393700787401575); #13402=VECTOR('',#103760,0.393700787401575); #13403=VECTOR('',#103761,0.393700787401575); #13404=VECTOR('',#103762,0.393700787401575); #13405=VECTOR('',#103765,0.393700787401575); #13406=VECTOR('',#103766,0.393700787401575); #13407=VECTOR('',#103767,0.393700787401575); #13408=VECTOR('',#103770,0.393700787401575); #13409=VECTOR('',#103771,0.393700787401575); #13410=VECTOR('',#103772,0.393700787401575); #13411=VECTOR('',#103775,0.393700787401575); #13412=VECTOR('',#103776,0.393700787401575); #13413=VECTOR('',#103777,0.393700787401575); #13414=VECTOR('',#103780,0.393700787401575); #13415=VECTOR('',#103781,0.393700787401575); #13416=VECTOR('',#103782,0.393700787401575); #13417=VECTOR('',#103785,0.393700787401575); #13418=VECTOR('',#103786,0.393700787401575); #13419=VECTOR('',#103787,0.393700787401575); #13420=VECTOR('',#103790,0.393700787401575); #13421=VECTOR('',#103791,0.393700787401575); #13422=VECTOR('',#103792,0.393700787401575); #13423=VECTOR('',#103795,0.393700787401575); #13424=VECTOR('',#103796,0.393700787401575); #13425=VECTOR('',#103797,0.393700787401575); #13426=VECTOR('',#103800,0.393700787401575); #13427=VECTOR('',#103801,0.393700787401575); #13428=VECTOR('',#103802,0.393700787401575); #13429=VECTOR('',#103805,0.393700787401575); #13430=VECTOR('',#103806,0.393700787401575); #13431=VECTOR('',#103807,0.393700787401575); #13432=VECTOR('',#103810,0.393700787401575); #13433=VECTOR('',#103811,0.393700787401575); #13434=VECTOR('',#103812,0.393700787401575); #13435=VECTOR('',#103815,0.393700787401575); #13436=VECTOR('',#103816,0.393700787401575); #13437=VECTOR('',#103817,0.393700787401575); #13438=VECTOR('',#103820,0.393700787401575); #13439=VECTOR('',#103821,0.393700787401575); #13440=VECTOR('',#103826,0.393700787401575); #13441=VECTOR('',#103827,0.393700787401575); #13442=VECTOR('',#103828,0.393700787401575); #13443=VECTOR('',#103829,0.393700787401575); #13444=VECTOR('',#103832,0.393700787401575); #13445=VECTOR('',#103833,0.393700787401575); #13446=VECTOR('',#103834,0.393700787401575); #13447=VECTOR('',#103837,0.393700787401575); #13448=VECTOR('',#103838,0.393700787401575); #13449=VECTOR('',#103839,0.393700787401575); #13450=VECTOR('',#103842,0.393700787401575); #13451=VECTOR('',#103843,0.393700787401575); #13452=VECTOR('',#103844,0.393700787401575); #13453=VECTOR('',#103847,0.393700787401575); #13454=VECTOR('',#103848,0.393700787401575); #13455=VECTOR('',#103849,0.393700787401575); #13456=VECTOR('',#103852,0.393700787401575); #13457=VECTOR('',#103853,0.393700787401575); #13458=VECTOR('',#103854,0.393700787401575); #13459=VECTOR('',#103857,0.393700787401575); #13460=VECTOR('',#103858,0.393700787401575); #13461=VECTOR('',#103859,0.393700787401575); #13462=VECTOR('',#103862,0.393700787401575); #13463=VECTOR('',#103863,0.393700787401575); #13464=VECTOR('',#103864,0.393700787401575); #13465=VECTOR('',#103867,0.393700787401575); #13466=VECTOR('',#103868,0.393700787401575); #13467=VECTOR('',#103869,0.393700787401575); #13468=VECTOR('',#103872,0.393700787401575); #13469=VECTOR('',#103873,0.393700787401575); #13470=VECTOR('',#103874,0.393700787401575); #13471=VECTOR('',#103877,0.393700787401575); #13472=VECTOR('',#103878,0.393700787401575); #13473=VECTOR('',#103879,0.393700787401575); #13474=VECTOR('',#103882,0.393700787401575); #13475=VECTOR('',#103883,0.393700787401575); #13476=VECTOR('',#103884,0.393700787401575); #13477=VECTOR('',#103887,0.393700787401575); #13478=VECTOR('',#103888,0.393700787401575); #13479=VECTOR('',#103889,0.393700787401575); #13480=VECTOR('',#103892,0.393700787401575); #13481=VECTOR('',#103893,0.393700787401575); #13482=VECTOR('',#103894,0.393700787401575); #13483=VECTOR('',#103897,0.393700787401575); #13484=VECTOR('',#103898,0.393700787401575); #13485=VECTOR('',#103899,0.393700787401575); #13486=VECTOR('',#103902,0.393700787401575); #13487=VECTOR('',#103903,0.393700787401575); #13488=VECTOR('',#103906,0.393700787401575); #13489=VECTOR('',#103907,0.393700787401575); #13490=VECTOR('',#103908,0.393700787401575); #13491=VECTOR('',#103909,0.393700787401575); #13492=VECTOR('',#103912,0.393700787401575); #13493=VECTOR('',#103913,0.393700787401575); #13494=VECTOR('',#103914,0.393700787401575); #13495=VECTOR('',#103917,0.393700787401575); #13496=VECTOR('',#103918,0.393700787401575); #13497=VECTOR('',#103919,0.393700787401575); #13498=VECTOR('',#103922,0.393700787401575); #13499=VECTOR('',#103923,0.393700787401575); #13500=VECTOR('',#103924,0.393700787401575); #13501=VECTOR('',#103927,0.393700787401575); #13502=VECTOR('',#103928,0.393700787401575); #13503=VECTOR('',#103929,0.393700787401575); #13504=VECTOR('',#103932,0.393700787401575); #13505=VECTOR('',#103933,0.393700787401575); #13506=VECTOR('',#103934,0.393700787401575); #13507=VECTOR('',#103937,0.393700787401575); #13508=VECTOR('',#103938,0.393700787401575); #13509=VECTOR('',#103939,0.393700787401575); #13510=VECTOR('',#103942,0.393700787401575); #13511=VECTOR('',#103943,0.393700787401575); #13512=VECTOR('',#103944,0.393700787401575); #13513=VECTOR('',#103947,0.393700787401575); #13514=VECTOR('',#103948,0.393700787401575); #13515=VECTOR('',#103949,0.393700787401575); #13516=VECTOR('',#103952,0.393700787401575); #13517=VECTOR('',#103953,0.393700787401575); #13518=VECTOR('',#103954,0.393700787401575); #13519=VECTOR('',#103957,0.393700787401575); #13520=VECTOR('',#103958,0.393700787401575); #13521=VECTOR('',#103959,0.393700787401575); #13522=VECTOR('',#103962,0.393700787401575); #13523=VECTOR('',#103963,0.393700787401575); #13524=VECTOR('',#103964,0.393700787401575); #13525=VECTOR('',#103967,0.393700787401575); #13526=VECTOR('',#103968,0.393700787401575); #13527=VECTOR('',#103969,0.393700787401575); #13528=VECTOR('',#103972,0.393700787401575); #13529=VECTOR('',#103973,0.393700787401575); #13530=VECTOR('',#103974,0.393700787401575); #13531=VECTOR('',#103977,0.393700787401575); #13532=VECTOR('',#103978,0.393700787401575); #13533=VECTOR('',#103979,0.393700787401575); #13534=VECTOR('',#103982,0.393700787401575); #13535=VECTOR('',#103983,0.393700787401575); #13536=VECTOR('',#103986,0.393700787401575); #13537=VECTOR('',#103987,0.393700787401575); #13538=VECTOR('',#103988,0.393700787401575); #13539=VECTOR('',#103989,0.393700787401575); #13540=VECTOR('',#103992,0.393700787401575); #13541=VECTOR('',#103993,0.393700787401575); #13542=VECTOR('',#103994,0.393700787401575); #13543=VECTOR('',#103997,0.393700787401575); #13544=VECTOR('',#103998,0.393700787401575); #13545=VECTOR('',#103999,0.393700787401575); #13546=VECTOR('',#104002,0.393700787401575); #13547=VECTOR('',#104003,0.393700787401575); #13548=VECTOR('',#104004,0.393700787401575); #13549=VECTOR('',#104007,0.393700787401575); #13550=VECTOR('',#104008,0.393700787401575); #13551=VECTOR('',#104009,0.393700787401575); #13552=VECTOR('',#104012,0.393700787401575); #13553=VECTOR('',#104013,0.393700787401575); #13554=VECTOR('',#104014,0.393700787401575); #13555=VECTOR('',#104017,0.393700787401575); #13556=VECTOR('',#104018,0.393700787401575); #13557=VECTOR('',#104019,0.393700787401575); #13558=VECTOR('',#104022,0.393700787401575); #13559=VECTOR('',#104023,0.393700787401575); #13560=VECTOR('',#104024,0.393700787401575); #13561=VECTOR('',#104027,0.393700787401575); #13562=VECTOR('',#104028,0.393700787401575); #13563=VECTOR('',#104029,0.393700787401575); #13564=VECTOR('',#104032,0.393700787401575); #13565=VECTOR('',#104033,0.393700787401575); #13566=VECTOR('',#104034,0.393700787401575); #13567=VECTOR('',#104037,0.393700787401575); #13568=VECTOR('',#104038,0.393700787401575); #13569=VECTOR('',#104039,0.393700787401575); #13570=VECTOR('',#104042,0.393700787401575); #13571=VECTOR('',#104043,0.393700787401575); #13572=VECTOR('',#104044,0.393700787401575); #13573=VECTOR('',#104047,0.393700787401575); #13574=VECTOR('',#104048,0.393700787401575); #13575=VECTOR('',#104049,0.393700787401575); #13576=VECTOR('',#104052,0.393700787401575); #13577=VECTOR('',#104053,0.393700787401575); #13578=VECTOR('',#104054,0.393700787401575); #13579=VECTOR('',#104057,0.393700787401575); #13580=VECTOR('',#104058,0.393700787401575); #13581=VECTOR('',#104059,0.393700787401575); #13582=VECTOR('',#104062,0.393700787401575); #13583=VECTOR('',#104063,0.393700787401575); #13584=VECTOR('',#104064,0.393700787401575); #13585=VECTOR('',#104067,0.393700787401575); #13586=VECTOR('',#104068,0.393700787401575); #13587=VECTOR('',#104069,0.393700787401575); #13588=VECTOR('',#104072,0.393700787401575); #13589=VECTOR('',#104073,0.393700787401575); #13590=VECTOR('',#104074,0.393700787401575); #13591=VECTOR('',#104077,0.393700787401575); #13592=VECTOR('',#104078,0.393700787401575); #13593=VECTOR('',#104079,0.393700787401575); #13594=VECTOR('',#104082,0.393700787401575); #13595=VECTOR('',#104083,0.393700787401575); #13596=VECTOR('',#104084,0.393700787401575); #13597=VECTOR('',#104087,0.393700787401575); #13598=VECTOR('',#104088,0.393700787401575); #13599=VECTOR('',#104089,0.393700787401575); #13600=VECTOR('',#104092,0.393700787401575); #13601=VECTOR('',#104093,0.393700787401575); #13602=VECTOR('',#104094,0.393700787401575); #13603=VECTOR('',#104097,0.393700787401575); #13604=VECTOR('',#104098,0.393700787401575); #13605=VECTOR('',#104099,0.393700787401575); #13606=VECTOR('',#104102,0.393700787401575); #13607=VECTOR('',#104103,0.393700787401575); #13608=VECTOR('',#104104,0.393700787401575); #13609=VECTOR('',#104107,0.393700787401575); #13610=VECTOR('',#104108,0.393700787401575); #13611=VECTOR('',#104109,0.393700787401575); #13612=VECTOR('',#104112,0.393700787401575); #13613=VECTOR('',#104113,0.393700787401575); #13614=VECTOR('',#104114,0.393700787401575); #13615=VECTOR('',#104117,0.393700787401575); #13616=VECTOR('',#104118,0.393700787401575); #13617=VECTOR('',#104119,0.393700787401575); #13618=VECTOR('',#104122,0.393700787401575); #13619=VECTOR('',#104123,0.393700787401575); #13620=VECTOR('',#104124,0.393700787401575); #13621=VECTOR('',#104127,0.393700787401575); #13622=VECTOR('',#104128,0.393700787401575); #13623=VECTOR('',#104129,0.393700787401575); #13624=VECTOR('',#104132,0.393700787401575); #13625=VECTOR('',#104133,0.393700787401575); #13626=VECTOR('',#104134,0.393700787401575); #13627=VECTOR('',#104137,0.393700787401575); #13628=VECTOR('',#104138,0.393700787401575); #13629=VECTOR('',#104139,0.393700787401575); #13630=VECTOR('',#104142,0.393700787401575); #13631=VECTOR('',#104143,0.393700787401575); #13632=VECTOR('',#104144,0.393700787401575); #13633=VECTOR('',#104147,0.393700787401575); #13634=VECTOR('',#104148,0.393700787401575); #13635=VECTOR('',#104149,0.393700787401575); #13636=VECTOR('',#104152,0.393700787401575); #13637=VECTOR('',#104153,0.393700787401575); #13638=VECTOR('',#104158,0.393700787401575); #13639=VECTOR('',#104159,0.393700787401575); #13640=VECTOR('',#104160,0.393700787401575); #13641=VECTOR('',#104161,0.393700787401575); #13642=VECTOR('',#104164,0.393700787401575); #13643=VECTOR('',#104165,0.393700787401575); #13644=VECTOR('',#104166,0.393700787401575); #13645=VECTOR('',#104169,0.393700787401575); #13646=VECTOR('',#104170,0.393700787401575); #13647=VECTOR('',#104171,0.393700787401575); #13648=VECTOR('',#104174,0.393700787401575); #13649=VECTOR('',#104175,0.393700787401575); #13650=VECTOR('',#104176,0.393700787401575); #13651=VECTOR('',#104179,0.393700787401575); #13652=VECTOR('',#104180,0.393700787401575); #13653=VECTOR('',#104181,0.393700787401575); #13654=VECTOR('',#104184,0.393700787401575); #13655=VECTOR('',#104185,0.393700787401575); #13656=VECTOR('',#104186,0.393700787401575); #13657=VECTOR('',#104189,0.393700787401575); #13658=VECTOR('',#104190,0.393700787401575); #13659=VECTOR('',#104195,0.393700787401575); #13660=VECTOR('',#104196,0.393700787401575); #13661=VECTOR('',#104197,0.393700787401575); #13662=VECTOR('',#104198,0.393700787401575); #13663=VECTOR('',#104199,0.393700787401575); #13664=VECTOR('',#104200,0.393700787401575); #13665=VECTOR('',#104201,0.393700787401575); #13666=VECTOR('',#104202,0.393700787401575); #13667=VECTOR('',#104205,0.393700787401575); #13668=VECTOR('',#104206,0.393700787401575); #13669=VECTOR('',#104207,0.393700787401575); #13670=VECTOR('',#104208,0.393700787401575); #13671=VECTOR('',#104209,0.393700787401575); #13672=VECTOR('',#104214,0.393700787401575); #13673=VECTOR('',#104215,0.393700787401575); #13674=VECTOR('',#104216,0.393700787401575); #13675=VECTOR('',#104217,0.393700787401575); #13676=VECTOR('',#104220,0.393700787401575); #13677=VECTOR('',#104221,0.393700787401575); #13678=VECTOR('',#104222,0.393700787401575); #13679=VECTOR('',#104225,0.393700787401575); #13680=VECTOR('',#104226,0.393700787401575); #13681=VECTOR('',#104227,0.393700787401575); #13682=VECTOR('',#104230,0.393700787401575); #13683=VECTOR('',#104231,0.393700787401575); #13684=VECTOR('',#104232,0.393700787401575); #13685=VECTOR('',#104235,0.393700787401575); #13686=VECTOR('',#104236,0.393700787401575); #13687=VECTOR('',#104237,0.393700787401575); #13688=VECTOR('',#104240,0.393700787401575); #13689=VECTOR('',#104241,0.393700787401575); #13690=VECTOR('',#104242,0.393700787401575); #13691=VECTOR('',#104245,0.393700787401575); #13692=VECTOR('',#104246,0.393700787401575); #13693=VECTOR('',#104247,0.393700787401575); #13694=VECTOR('',#104250,0.393700787401575); #13695=VECTOR('',#104251,0.393700787401575); #13696=VECTOR('',#104252,0.393700787401575); #13697=VECTOR('',#104255,0.393700787401575); #13698=VECTOR('',#104256,0.393700787401575); #13699=VECTOR('',#104257,0.393700787401575); #13700=VECTOR('',#104260,0.393700787401575); #13701=VECTOR('',#104261,0.393700787401575); #13702=VECTOR('',#104264,0.393700787401575); #13703=VECTOR('',#104265,0.393700787401575); #13704=VECTOR('',#104266,0.393700787401575); #13705=VECTOR('',#104267,0.393700787401575); #13706=VECTOR('',#104268,0.393700787401575); #13707=VECTOR('',#104269,0.393700787401575); #13708=VECTOR('',#104272,0.393700787401575); #13709=VECTOR('',#104273,0.393700787401575); #13710=VECTOR('',#104274,0.393700787401575); #13711=VECTOR('',#104277,0.393700787401575); #13712=VECTOR('',#104278,0.393700787401575); #13713=VECTOR('',#104279,0.393700787401575); #13714=VECTOR('',#104282,0.393700787401575); #13715=VECTOR('',#104283,0.393700787401575); #13716=VECTOR('',#104284,0.393700787401575); #13717=VECTOR('',#104287,0.393700787401575); #13718=VECTOR('',#104288,0.393700787401575); #13719=VECTOR('',#104289,0.393700787401575); #13720=VECTOR('',#104292,0.393700787401575); #13721=VECTOR('',#104293,0.393700787401575); #13722=VECTOR('',#104294,0.393700787401575); #13723=VECTOR('',#104297,0.393700787401575); #13724=VECTOR('',#104298,0.393700787401575); #13725=VECTOR('',#104299,0.393700787401575); #13726=VECTOR('',#104300,0.393700787401575); #13727=VECTOR('',#104301,0.393700787401575); #13728=VECTOR('',#104302,0.393700787401575); #13729=VECTOR('',#104303,0.393700787401575); #13730=VECTOR('',#104306,0.393700787401575); #13731=VECTOR('',#104307,0.393700787401575); #13732=VECTOR('',#104312,0.393700787401575); #13733=VECTOR('',#104313,0.393700787401575); #13734=VECTOR('',#104314,0.393700787401575); #13735=VECTOR('',#104315,0.393700787401575); #13736=VECTOR('',#104318,0.393700787401575); #13737=VECTOR('',#104319,0.393700787401575); #13738=VECTOR('',#104320,0.393700787401575); #13739=VECTOR('',#104323,0.393700787401575); #13740=VECTOR('',#104324,0.393700787401575); #13741=VECTOR('',#104325,0.393700787401575); #13742=VECTOR('',#104328,0.393700787401575); #13743=VECTOR('',#104329,0.393700787401575); #13744=VECTOR('',#104330,0.393700787401575); #13745=VECTOR('',#104333,0.393700787401575); #13746=VECTOR('',#104334,0.393700787401575); #13747=VECTOR('',#104335,0.393700787401575); #13748=VECTOR('',#104338,0.393700787401575); #13749=VECTOR('',#104339,0.393700787401575); #13750=VECTOR('',#104340,0.393700787401575); #13751=VECTOR('',#104343,0.393700787401575); #13752=VECTOR('',#104344,0.393700787401575); #13753=VECTOR('',#104345,0.393700787401575); #13754=VECTOR('',#104348,0.393700787401575); #13755=VECTOR('',#104349,0.393700787401575); #13756=VECTOR('',#104350,0.393700787401575); #13757=VECTOR('',#104353,0.393700787401575); #13758=VECTOR('',#104354,0.393700787401575); #13759=VECTOR('',#104355,0.393700787401575); #13760=VECTOR('',#104358,0.393700787401575); #13761=VECTOR('',#104359,0.393700787401575); #13762=VECTOR('',#104360,0.393700787401575); #13763=VECTOR('',#104361,0.393700787401575); #13764=VECTOR('',#104362,0.393700787401575); #13765=VECTOR('',#104365,0.393700787401575); #13766=VECTOR('',#104366,0.393700787401575); #13767=VECTOR('',#104367,0.393700787401575); #13768=VECTOR('',#104370,0.393700787401575); #13769=VECTOR('',#104371,0.393700787401575); #13770=VECTOR('',#104372,0.393700787401575); #13771=VECTOR('',#104375,0.393700787401575); #13772=VECTOR('',#104376,0.393700787401575); #13773=VECTOR('',#104379,0.393700787401575); #13774=VECTOR('',#104380,0.393700787401575); #13775=VECTOR('',#104381,0.393700787401575); #13776=VECTOR('',#104382,0.393700787401575); #13777=VECTOR('',#104383,0.393700787401575); #13778=VECTOR('',#104384,0.393700787401575); #13779=VECTOR('',#104387,0.393700787401575); #13780=VECTOR('',#104388,0.393700787401575); #13781=VECTOR('',#104389,0.393700787401575); #13782=VECTOR('',#104392,0.393700787401575); #13783=VECTOR('',#104393,0.393700787401575); #13784=VECTOR('',#104394,0.393700787401575); #13785=VECTOR('',#104397,0.393700787401575); #13786=VECTOR('',#104398,0.393700787401575); #13787=VECTOR('',#104399,0.393700787401575); #13788=VECTOR('',#104402,0.393700787401575); #13789=VECTOR('',#104403,0.393700787401575); #13790=VECTOR('',#104404,0.393700787401575); #13791=VECTOR('',#104407,0.393700787401575); #13792=VECTOR('',#104408,0.393700787401575); #13793=VECTOR('',#104409,0.393700787401575); #13794=VECTOR('',#104412,0.393700787401575); #13795=VECTOR('',#104413,0.393700787401575); #13796=VECTOR('',#104414,0.393700787401575); #13797=VECTOR('',#104415,0.393700787401575); #13798=VECTOR('',#104416,0.393700787401575); #13799=VECTOR('',#104417,0.393700787401575); #13800=VECTOR('',#104418,0.393700787401575); #13801=VECTOR('',#104421,0.393700787401575); #13802=VECTOR('',#104422,0.393700787401575); #13803=VECTOR('',#104427,0.393700787401575); #13804=VECTOR('',#104428,0.393700787401575); #13805=VECTOR('',#104429,0.393700787401575); #13806=VECTOR('',#104430,0.393700787401575); #13807=VECTOR('',#104433,0.393700787401575); #13808=VECTOR('',#104434,0.393700787401575); #13809=VECTOR('',#104435,0.393700787401575); #13810=VECTOR('',#104438,0.393700787401575); #13811=VECTOR('',#104439,0.393700787401575); #13812=VECTOR('',#104440,0.393700787401575); #13813=VECTOR('',#104443,0.393700787401575); #13814=VECTOR('',#104444,0.393700787401575); #13815=VECTOR('',#104445,0.393700787401575); #13816=VECTOR('',#104448,0.393700787401575); #13817=VECTOR('',#104449,0.393700787401575); #13818=VECTOR('',#104450,0.393700787401575); #13819=VECTOR('',#104453,0.393700787401575); #13820=VECTOR('',#104454,0.393700787401575); #13821=VECTOR('',#104455,0.393700787401575); #13822=VECTOR('',#104458,0.393700787401575); #13823=VECTOR('',#104459,0.393700787401575); #13824=VECTOR('',#104464,0.393700787401575); #13825=VECTOR('',#104465,0.393700787401575); #13826=VECTOR('',#104466,0.393700787401575); #13827=VECTOR('',#104467,0.393700787401575); #13828=VECTOR('',#104470,0.393700787401575); #13829=VECTOR('',#104471,0.393700787401575); #13830=VECTOR('',#104472,0.393700787401575); #13831=VECTOR('',#104475,0.393700787401575); #13832=VECTOR('',#104476,0.393700787401575); #13833=VECTOR('',#104477,0.393700787401575); #13834=VECTOR('',#104480,0.393700787401575); #13835=VECTOR('',#104481,0.393700787401575); #13836=VECTOR('',#104482,0.393700787401575); #13837=VECTOR('',#104485,0.393700787401575); #13838=VECTOR('',#104486,0.393700787401575); #13839=VECTOR('',#104487,0.393700787401575); #13840=VECTOR('',#104490,0.393700787401575); #13841=VECTOR('',#104491,0.393700787401575); #13842=VECTOR('',#104492,0.393700787401575); #13843=VECTOR('',#104495,0.393700787401575); #13844=VECTOR('',#104496,0.393700787401575); #13845=VECTOR('',#104497,0.393700787401575); #13846=VECTOR('',#104500,0.393700787401575); #13847=VECTOR('',#104501,0.393700787401575); #13848=VECTOR('',#104502,0.393700787401575); #13849=VECTOR('',#104505,0.393700787401575); #13850=VECTOR('',#104506,0.393700787401575); #13851=VECTOR('',#104507,0.393700787401575); #13852=VECTOR('',#104510,0.393700787401575); #13853=VECTOR('',#104511,0.393700787401575); #13854=VECTOR('',#104512,0.393700787401575); #13855=VECTOR('',#104515,0.393700787401575); #13856=VECTOR('',#104516,0.393700787401575); #13857=VECTOR('',#104517,0.393700787401575); #13858=VECTOR('',#104520,0.393700787401575); #13859=VECTOR('',#104521,0.393700787401575); #13860=VECTOR('',#104526,0.393700787401575); #13861=VECTOR('',#104527,0.393700787401575); #13862=VECTOR('',#104528,0.393700787401575); #13863=VECTOR('',#104529,0.393700787401575); #13864=VECTOR('',#104532,0.393700787401575); #13865=VECTOR('',#104533,0.393700787401575); #13866=VECTOR('',#104534,0.393700787401575); #13867=VECTOR('',#104537,0.393700787401575); #13868=VECTOR('',#104538,0.393700787401575); #13869=VECTOR('',#104539,0.393700787401575); #13870=VECTOR('',#104542,0.393700787401575); #13871=VECTOR('',#104543,0.393700787401575); #13872=VECTOR('',#104544,0.393700787401575); #13873=VECTOR('',#104547,0.393700787401575); #13874=VECTOR('',#104548,0.393700787401575); #13875=VECTOR('',#104549,0.393700787401575); #13876=VECTOR('',#104552,0.393700787401575); #13877=VECTOR('',#104553,0.393700787401575); #13878=VECTOR('',#104554,0.393700787401575); #13879=VECTOR('',#104557,0.393700787401575); #13880=VECTOR('',#104558,0.393700787401575); #13881=VECTOR('',#104559,0.393700787401575); #13882=VECTOR('',#104562,0.393700787401575); #13883=VECTOR('',#104563,0.393700787401575); #13884=VECTOR('',#104564,0.393700787401575); #13885=VECTOR('',#104567,0.393700787401575); #13886=VECTOR('',#104568,0.393700787401575); #13887=VECTOR('',#104569,0.393700787401575); #13888=VECTOR('',#104572,0.393700787401575); #13889=VECTOR('',#104573,0.393700787401575); #13890=VECTOR('',#104574,0.393700787401575); #13891=VECTOR('',#104577,0.393700787401575); #13892=VECTOR('',#104578,0.393700787401575); #13893=VECTOR('',#104579,0.393700787401575); #13894=VECTOR('',#104582,0.393700787401575); #13895=VECTOR('',#104583,0.393700787401575); #13896=VECTOR('',#104588,0.393700787401575); #13897=VECTOR('',#104589,0.393700787401575); #13898=VECTOR('',#104590,0.393700787401575); #13899=VECTOR('',#104591,0.393700787401575); #13900=VECTOR('',#104594,0.393700787401575); #13901=VECTOR('',#104595,0.393700787401575); #13902=VECTOR('',#104596,0.393700787401575); #13903=VECTOR('',#104599,0.393700787401575); #13904=VECTOR('',#104600,0.393700787401575); #13905=VECTOR('',#104603,0.393700787401575); #13906=VECTOR('',#104604,0.393700787401575); #13907=VECTOR('',#104605,0.393700787401575); #13908=VECTOR('',#104606,0.393700787401575); #13909=VECTOR('',#104609,0.393700787401575); #13910=VECTOR('',#104610,0.393700787401575); #13911=VECTOR('',#104611,0.393700787401575); #13912=VECTOR('',#104614,0.393700787401575); #13913=VECTOR('',#104615,0.393700787401575); #13914=VECTOR('',#104616,0.393700787401575); #13915=VECTOR('',#104619,0.393700787401575); #13916=VECTOR('',#104620,0.393700787401575); #13917=VECTOR('',#104621,0.393700787401575); #13918=VECTOR('',#104624,0.393700787401575); #13919=VECTOR('',#104625,0.393700787401575); #13920=VECTOR('',#104626,0.393700787401575); #13921=VECTOR('',#104629,0.393700787401575); #13922=VECTOR('',#104630,0.393700787401575); #13923=VECTOR('',#104631,0.393700787401575); #13924=VECTOR('',#104634,0.393700787401575); #13925=VECTOR('',#104635,0.393700787401575); #13926=VECTOR('',#104636,0.393700787401575); #13927=VECTOR('',#104639,0.393700787401575); #13928=VECTOR('',#104640,0.393700787401575); #13929=VECTOR('',#104643,0.393700787401575); #13930=VECTOR('',#104644,0.393700787401575); #13931=VECTOR('',#104647,0.393700787401575); #13932=VECTOR('',#104648,0.393700787401575); #13933=VECTOR('',#104649,0.393700787401575); #13934=VECTOR('',#104652,0.393700787401575); #13935=VECTOR('',#104653,0.393700787401575); #13936=VECTOR('',#104654,0.393700787401575); #13937=VECTOR('',#104657,0.393700787401575); #13938=VECTOR('',#104658,0.393700787401575); #13939=VECTOR('',#104659,0.393700787401575); #13940=VECTOR('',#104660,0.393700787401575); #13941=VECTOR('',#104661,0.393700787401575); #13942=VECTOR('',#104664,0.393700787401575); #13943=VECTOR('',#104665,0.393700787401575); #13944=VECTOR('',#104666,0.393700787401575); #13945=VECTOR('',#104667,0.393700787401575); #13946=VECTOR('',#104668,0.393700787401575); #13947=VECTOR('',#104669,0.393700787401575); #13948=VECTOR('',#104670,0.393700787401575); #13949=VECTOR('',#104673,0.393700787401575); #13950=VECTOR('',#104674,0.393700787401575); #13951=VECTOR('',#104675,0.393700787401575); #13952=VECTOR('',#104678,0.393700787401575); #13953=VECTOR('',#104679,0.393700787401575); #13954=VECTOR('',#104680,0.393700787401575); #13955=VECTOR('',#104683,0.393700787401575); #13956=VECTOR('',#104684,0.393700787401575); #13957=VECTOR('',#104685,0.393700787401575); #13958=VECTOR('',#104688,0.393700787401575); #13959=VECTOR('',#104689,0.393700787401575); #13960=VECTOR('',#104690,0.393700787401575); #13961=VECTOR('',#104693,0.393700787401575); #13962=VECTOR('',#104694,0.393700787401575); #13963=VECTOR('',#104695,0.393700787401575); #13964=VECTOR('',#104698,0.393700787401575); #13965=VECTOR('',#104699,0.393700787401575); #13966=VECTOR('',#104700,0.393700787401575); #13967=VECTOR('',#104703,0.393700787401575); #13968=VECTOR('',#104704,0.393700787401575); #13969=VECTOR('',#104707,0.393700787401575); #13970=VECTOR('',#104708,0.393700787401575); #13971=VECTOR('',#104711,0.393700787401575); #13972=VECTOR('',#104712,0.393700787401575); #13973=VECTOR('',#104713,0.393700787401575); #13974=VECTOR('',#104716,0.393700787401575); #13975=VECTOR('',#104717,0.393700787401575); #13976=VECTOR('',#104718,0.393700787401575); #13977=VECTOR('',#104721,0.393700787401575); #13978=VECTOR('',#104722,0.393700787401575); #13979=VECTOR('',#104723,0.393700787401575); #13980=VECTOR('',#104724,0.393700787401575); #13981=VECTOR('',#104725,0.393700787401575); #13982=VECTOR('',#104726,0.393700787401575); #13983=VECTOR('',#104727,0.393700787401575); #13984=VECTOR('',#104728,0.393700787401575); #13985=VECTOR('',#104731,0.393700787401575); #13986=VECTOR('',#104732,0.393700787401575); #13987=VECTOR('',#104733,0.393700787401575); #13988=VECTOR('',#104736,0.393700787401575); #13989=VECTOR('',#104737,0.393700787401575); #13990=VECTOR('',#104738,0.393700787401575); #13991=VECTOR('',#104741,0.393700787401575); #13992=VECTOR('',#104742,0.393700787401575); #13993=VECTOR('',#104743,0.393700787401575); #13994=VECTOR('',#104744,0.393700787401575); #13995=VECTOR('',#104749,0.393700787401575); #13996=VECTOR('',#104750,0.393700787401575); #13997=VECTOR('',#104751,0.393700787401575); #13998=VECTOR('',#104752,0.393700787401575); #13999=VECTOR('',#104755,0.393700787401575); #14000=VECTOR('',#104756,0.393700787401575); #14001=VECTOR('',#104757,0.393700787401575); #14002=VECTOR('',#104760,0.393700787401575); #14003=VECTOR('',#104761,0.393700787401575); #14004=VECTOR('',#104762,0.393700787401575); #14005=VECTOR('',#104765,0.393700787401575); #14006=VECTOR('',#104766,0.393700787401575); #14007=VECTOR('',#104767,0.393700787401575); #14008=VECTOR('',#104770,0.393700787401575); #14009=VECTOR('',#104771,0.393700787401575); #14010=VECTOR('',#104772,0.393700787401575); #14011=VECTOR('',#104775,0.393700787401575); #14012=VECTOR('',#104776,0.393700787401575); #14013=VECTOR('',#104777,0.393700787401575); #14014=VECTOR('',#104780,0.393700787401575); #14015=VECTOR('',#104781,0.393700787401575); #14016=VECTOR('',#104782,0.393700787401575); #14017=VECTOR('',#104785,0.393700787401575); #14018=VECTOR('',#104786,0.393700787401575); #14019=VECTOR('',#104787,0.393700787401575); #14020=VECTOR('',#104790,0.393700787401575); #14021=VECTOR('',#104791,0.393700787401575); #14022=VECTOR('',#104792,0.393700787401575); #14023=VECTOR('',#104795,0.393700787401575); #14024=VECTOR('',#104796,0.393700787401575); #14025=VECTOR('',#104797,0.393700787401575); #14026=VECTOR('',#104800,0.393700787401575); #14027=VECTOR('',#104801,0.393700787401575); #14028=VECTOR('',#104802,0.393700787401575); #14029=VECTOR('',#104805,0.393700787401575); #14030=VECTOR('',#104806,0.393700787401575); #14031=VECTOR('',#104807,0.393700787401575); #14032=VECTOR('',#104810,0.393700787401575); #14033=VECTOR('',#104811,0.393700787401575); #14034=VECTOR('',#104814,0.393700787401575); #14035=VECTOR('',#104815,0.393700787401575); #14036=VECTOR('',#104816,0.393700787401575); #14037=VECTOR('',#104819,0.393700787401575); #14038=VECTOR('',#104820,0.393700787401575); #14039=VECTOR('',#104821,0.393700787401575); #14040=VECTOR('',#104824,0.393700787401575); #14041=VECTOR('',#104825,0.393700787401575); #14042=VECTOR('',#104826,0.393700787401575); #14043=VECTOR('',#104829,0.393700787401575); #14044=VECTOR('',#104830,0.393700787401575); #14045=VECTOR('',#104831,0.393700787401575); #14046=VECTOR('',#104834,0.393700787401575); #14047=VECTOR('',#104835,0.393700787401575); #14048=VECTOR('',#104836,0.393700787401575); #14049=VECTOR('',#104839,0.393700787401575); #14050=VECTOR('',#104840,0.393700787401575); #14051=VECTOR('',#104841,0.393700787401575); #14052=VECTOR('',#104842,0.393700787401575); #14053=VECTOR('',#104843,0.393700787401575); #14054=VECTOR('',#104844,0.393700787401575); #14055=VECTOR('',#104845,0.393700787401575); #14056=VECTOR('',#104846,0.393700787401575); #14057=VECTOR('',#104847,0.393700787401575); #14058=VECTOR('',#104850,0.393700787401575); #14059=VECTOR('',#104851,0.393700787401575); #14060=VECTOR('',#104852,0.393700787401575); #14061=VECTOR('',#104855,0.393700787401575); #14062=VECTOR('',#104856,0.393700787401575); #14063=VECTOR('',#104857,0.393700787401575); #14064=VECTOR('',#104858,0.393700787401575); #14065=VECTOR('',#104859,0.393700787401575); #14066=VECTOR('',#104860,0.393700787401575); #14067=VECTOR('',#104861,0.393700787401575); #14068=VECTOR('',#104862,0.393700787401575); #14069=VECTOR('',#104865,0.393700787401575); #14070=VECTOR('',#104866,0.393700787401575); #14071=VECTOR('',#104867,0.393700787401575); #14072=VECTOR('',#104870,0.393700787401575); #14073=VECTOR('',#104871,0.393700787401575); #14074=VECTOR('',#104872,0.393700787401575); #14075=VECTOR('',#104875,0.393700787401575); #14076=VECTOR('',#104876,0.393700787401575); #14077=VECTOR('',#104877,0.393700787401575); #14078=VECTOR('',#104878,0.393700787401575); #14079=VECTOR('',#104879,0.393700787401575); #14080=VECTOR('',#104880,0.393700787401575); #14081=VECTOR('',#104881,0.393700787401575); #14082=VECTOR('',#104882,0.393700787401575); #14083=VECTOR('',#104883,0.393700787401575); #14084=VECTOR('',#104884,0.393700787401575); #14085=VECTOR('',#104885,0.393700787401575); #14086=VECTOR('',#104888,0.393700787401575); #14087=VECTOR('',#104889,0.393700787401575); #14088=VECTOR('',#104890,0.393700787401575); #14089=VECTOR('',#104893,0.393700787401575); #14090=VECTOR('',#104894,0.393700787401575); #14091=VECTOR('',#104895,0.393700787401575); #14092=VECTOR('',#104896,0.393700787401575); #14093=VECTOR('',#104897,0.393700787401575); #14094=VECTOR('',#104898,0.393700787401575); #14095=VECTOR('',#104899,0.393700787401575); #14096=VECTOR('',#104900,0.393700787401575); #14097=VECTOR('',#104903,0.393700787401575); #14098=VECTOR('',#104904,0.393700787401575); #14099=VECTOR('',#104907,0.393700787401575); #14100=VECTOR('',#104908,0.393700787401575); #14101=VECTOR('',#104909,0.393700787401575); #14102=VECTOR('',#104912,0.393700787401575); #14103=VECTOR('',#104913,0.393700787401575); #14104=VECTOR('',#104914,0.393700787401575); #14105=VECTOR('',#104917,0.393700787401575); #14106=VECTOR('',#104918,0.393700787401575); #14107=VECTOR('',#104919,0.393700787401575); #14108=VECTOR('',#104920,0.393700787401575); #14109=VECTOR('',#104921,0.393700787401575); #14110=VECTOR('',#104922,0.393700787401575); #14111=VECTOR('',#104923,0.393700787401575); #14112=VECTOR('',#104924,0.393700787401575); #14113=VECTOR('',#104927,0.393700787401575); #14114=VECTOR('',#104928,0.393700787401575); #14115=VECTOR('',#104929,0.393700787401575); #14116=VECTOR('',#104932,0.393700787401575); #14117=VECTOR('',#104933,0.393700787401575); #14118=VECTOR('',#104934,0.393700787401575); #14119=VECTOR('',#104937,0.393700787401575); #14120=VECTOR('',#104938,0.393700787401575); #14121=VECTOR('',#104939,0.393700787401575); #14122=VECTOR('',#104942,0.393700787401575); #14123=VECTOR('',#104943,0.393700787401575); #14124=VECTOR('',#104944,0.393700787401575); #14125=VECTOR('',#104947,0.393700787401575); #14126=VECTOR('',#104948,0.393700787401575); #14127=VECTOR('',#104949,0.393700787401575); #14128=VECTOR('',#104950,0.393700787401575); #14129=VECTOR('',#104955,0.393700787401575); #14130=VECTOR('',#104956,0.393700787401575); #14131=VECTOR('',#104957,0.393700787401575); #14132=VECTOR('',#104958,0.393700787401575); #14133=VECTOR('',#104961,0.393700787401575); #14134=VECTOR('',#104962,0.393700787401575); #14135=VECTOR('',#104963,0.393700787401575); #14136=VECTOR('',#104966,0.393700787401575); #14137=VECTOR('',#104967,0.393700787401575); #14138=VECTOR('',#104970,0.393700787401575); #14139=VECTOR('',#104971,0.393700787401575); #14140=VECTOR('',#104972,0.393700787401575); #14141=VECTOR('',#104973,0.393700787401575); #14142=VECTOR('',#104976,0.393700787401575); #14143=VECTOR('',#104977,0.393700787401575); #14144=VECTOR('',#104978,0.393700787401575); #14145=VECTOR('',#104981,0.393700787401575); #14146=VECTOR('',#104982,0.393700787401575); #14147=VECTOR('',#104983,0.393700787401575); #14148=VECTOR('',#104986,0.393700787401575); #14149=VECTOR('',#104987,0.393700787401575); #14150=VECTOR('',#104988,0.393700787401575); #14151=VECTOR('',#104991,0.393700787401575); #14152=VECTOR('',#104992,0.393700787401575); #14153=VECTOR('',#104993,0.393700787401575); #14154=VECTOR('',#104996,0.393700787401575); #14155=VECTOR('',#104997,0.393700787401575); #14156=VECTOR('',#104998,0.393700787401575); #14157=VECTOR('',#105001,0.393700787401575); #14158=VECTOR('',#105002,0.393700787401575); #14159=VECTOR('',#105003,0.393700787401575); #14160=VECTOR('',#105006,0.393700787401575); #14161=VECTOR('',#105007,0.393700787401575); #14162=VECTOR('',#105012,0.393700787401575); #14163=VECTOR('',#105013,0.393700787401575); #14164=VECTOR('',#105014,0.393700787401575); #14165=VECTOR('',#105015,0.393700787401575); #14166=VECTOR('',#105018,0.393700787401575); #14167=VECTOR('',#105019,0.393700787401575); #14168=VECTOR('',#105020,0.393700787401575); #14169=VECTOR('',#105023,0.393700787401575); #14170=VECTOR('',#105024,0.393700787401575); #14171=VECTOR('',#105025,0.393700787401575); #14172=VECTOR('',#105028,0.393700787401575); #14173=VECTOR('',#105029,0.393700787401575); #14174=VECTOR('',#105030,0.393700787401575); #14175=VECTOR('',#105033,0.393700787401575); #14176=VECTOR('',#105034,0.393700787401575); #14177=VECTOR('',#105035,0.393700787401575); #14178=VECTOR('',#105038,0.393700787401575); #14179=VECTOR('',#105039,0.393700787401575); #14180=VECTOR('',#105040,0.393700787401575); #14181=VECTOR('',#105043,0.393700787401575); #14182=VECTOR('',#105044,0.393700787401575); #14183=VECTOR('',#105045,0.393700787401575); #14184=VECTOR('',#105048,0.393700787401575); #14185=VECTOR('',#105049,0.393700787401575); #14186=VECTOR('',#105052,0.393700787401575); #14187=VECTOR('',#105053,0.393700787401575); #14188=VECTOR('',#105056,0.393700787401575); #14189=VECTOR('',#105057,0.393700787401575); #14190=VECTOR('',#105058,0.393700787401575); #14191=VECTOR('',#105061,0.393700787401575); #14192=VECTOR('',#105062,0.393700787401575); #14193=VECTOR('',#105063,0.393700787401575); #14194=VECTOR('',#105066,0.393700787401575); #14195=VECTOR('',#105067,0.393700787401575); #14196=VECTOR('',#105068,0.393700787401575); #14197=VECTOR('',#105069,0.393700787401575); #14198=VECTOR('',#105070,0.393700787401575); #14199=VECTOR('',#105071,0.393700787401575); #14200=VECTOR('',#105072,0.393700787401575); #14201=VECTOR('',#105073,0.393700787401575); #14202=VECTOR('',#105076,0.393700787401575); #14203=VECTOR('',#105077,0.393700787401575); #14204=VECTOR('',#105078,0.393700787401575); #14205=VECTOR('',#105081,0.393700787401575); #14206=VECTOR('',#105082,0.393700787401575); #14207=VECTOR('',#105083,0.393700787401575); #14208=VECTOR('',#105086,0.393700787401575); #14209=VECTOR('',#105087,0.393700787401575); #14210=VECTOR('',#105088,0.393700787401575); #14211=VECTOR('',#105091,0.393700787401575); #14212=VECTOR('',#105092,0.393700787401575); #14213=VECTOR('',#105093,0.393700787401575); #14214=VECTOR('',#105096,0.393700787401575); #14215=VECTOR('',#105097,0.393700787401575); #14216=VECTOR('',#105098,0.393700787401575); #14217=VECTOR('',#105099,0.393700787401575); #14218=VECTOR('',#105104,0.393700787401575); #14219=VECTOR('',#105105,0.393700787401575); #14220=VECTOR('',#105106,0.393700787401575); #14221=VECTOR('',#105107,0.393700787401575); #14222=VECTOR('',#105110,0.393700787401575); #14223=VECTOR('',#105111,0.393700787401575); #14224=VECTOR('',#105112,0.393700787401575); #14225=VECTOR('',#105115,0.393700787401575); #14226=VECTOR('',#105116,0.393700787401575); #14227=VECTOR('',#105117,0.393700787401575); #14228=VECTOR('',#105120,0.393700787401575); #14229=VECTOR('',#105121,0.393700787401575); #14230=VECTOR('',#105122,0.393700787401575); #14231=VECTOR('',#105125,0.393700787401575); #14232=VECTOR('',#105126,0.393700787401575); #14233=VECTOR('',#105127,0.393700787401575); #14234=VECTOR('',#105130,0.393700787401575); #14235=VECTOR('',#105131,0.393700787401575); #14236=VECTOR('',#105132,0.393700787401575); #14237=VECTOR('',#105135,0.393700787401575); #14238=VECTOR('',#105136,0.393700787401575); #14239=VECTOR('',#105137,0.393700787401575); #14240=VECTOR('',#105140,0.393700787401575); #14241=VECTOR('',#105141,0.393700787401575); #14242=VECTOR('',#105142,0.393700787401575); #14243=VECTOR('',#105145,0.393700787401575); #14244=VECTOR('',#105146,0.393700787401575); #14245=VECTOR('',#105147,0.393700787401575); #14246=VECTOR('',#105150,0.393700787401575); #14247=VECTOR('',#105151,0.393700787401575); #14248=VECTOR('',#105152,0.393700787401575); #14249=VECTOR('',#105155,0.393700787401575); #14250=VECTOR('',#105156,0.393700787401575); #14251=VECTOR('',#105157,0.393700787401575); #14252=VECTOR('',#105160,0.393700787401575); #14253=VECTOR('',#105161,0.393700787401575); #14254=VECTOR('',#105164,0.393700787401575); #14255=VECTOR('',#105165,0.393700787401575); #14256=VECTOR('',#105168,0.393700787401575); #14257=VECTOR('',#105169,0.393700787401575); #14258=VECTOR('',#105170,0.393700787401575); #14259=VECTOR('',#105173,0.393700787401575); #14260=VECTOR('',#105174,0.393700787401575); #14261=VECTOR('',#105175,0.393700787401575); #14262=VECTOR('',#105178,0.393700787401575); #14263=VECTOR('',#105179,0.393700787401575); #14264=VECTOR('',#105180,0.393700787401575); #14265=VECTOR('',#105181,0.393700787401575); #14266=VECTOR('',#105182,0.393700787401575); #14267=VECTOR('',#105183,0.393700787401575); #14268=VECTOR('',#105184,0.393700787401575); #14269=VECTOR('',#105185,0.393700787401575); #14270=VECTOR('',#105188,0.393700787401575); #14271=VECTOR('',#105189,0.393700787401575); #14272=VECTOR('',#105190,0.393700787401575); #14273=VECTOR('',#105193,0.393700787401575); #14274=VECTOR('',#105194,0.393700787401575); #14275=VECTOR('',#105195,0.393700787401575); #14276=VECTOR('',#105198,0.393700787401575); #14277=VECTOR('',#105199,0.393700787401575); #14278=VECTOR('',#105200,0.393700787401575); #14279=VECTOR('',#105201,0.393700787401575); #14280=VECTOR('',#105206,0.393700787401575); #14281=VECTOR('',#105207,0.393700787401575); #14282=VECTOR('',#105208,0.393700787401575); #14283=VECTOR('',#105209,0.393700787401575); #14284=VECTOR('',#105212,0.393700787401575); #14285=VECTOR('',#105213,0.393700787401575); #14286=VECTOR('',#105214,0.393700787401575); #14287=VECTOR('',#105217,0.393700787401575); #14288=VECTOR('',#105218,0.393700787401575); #14289=VECTOR('',#105219,0.393700787401575); #14290=VECTOR('',#105222,0.393700787401575); #14291=VECTOR('',#105223,0.393700787401575); #14292=VECTOR('',#105228,0.393700787401575); #14293=VECTOR('',#105231,0.393700787401575); #14294=VECTOR('',#105234,0.393700787401575); #14295=VECTOR('',#105237,0.393700787401575); #14296=VECTOR('',#105240,0.393700787401575); #14297=VECTOR('',#105245,0.393700787401575); #14298=VECTOR('',#105246,0.393700787401575); #14299=VECTOR('',#105249,0.393700787401575); #14300=VECTOR('',#105250,0.393700787401575); #14301=VECTOR('',#105253,0.393700787401575); #14302=VECTOR('',#105254,0.393700787401575); #14303=VECTOR('',#105257,0.393700787401575); #14304=VECTOR('',#105258,0.393700787401575); #14305=VECTOR('',#105261,0.393700787401575); #14306=VECTOR('',#105262,0.393700787401575); #14307=VECTOR('',#105267,0.393700787401575); #14308=VECTOR('',#105270,0.393700787401575); #14309=VECTOR('',#105273,0.393700787401575); #14310=VECTOR('',#105276,0.393700787401575); #14311=VECTOR('',#105279,0.393700787401575); #14312=VECTOR('',#105286,0.393700787401575); #14313=VECTOR('',#105371,0.393700787401575); #14314=VECTOR('',#105374,0.393700787401575); #14315=VECTOR('',#105377,0.393700787401575); #14316=VECTOR('',#105390,0.393700787401575); #14317=VECTOR('',#105403,0.393700787401575); #14318=VECTOR('',#105414,0.393700787401575); #14319=VECTOR('',#105449,0.393700787401575); #14320=VECTOR('',#105452,0.393700787401575); #14321=VECTOR('',#105455,0.393700787401575); #14322=VECTOR('',#105458,0.393700787401575); #14323=VECTOR('',#105511,0.393700787401575); #14324=VECTOR('',#105514,0.393700787401575); #14325=VECTOR('',#105529,0.393700787401575); #14326=VECTOR('',#105532,0.393700787401575); #14327=VECTOR('',#105537,0.393700787401575); #14328=VECTOR('',#105540,0.393700787401575); #14329=VECTOR('',#105553,0.393700787401575); #14330=VECTOR('',#105554,0.393700787401575); #14331=VECTOR('',#105559,0.393700787401575); #14332=VECTOR('',#105562,0.393700787401575); #14333=VECTOR('',#105567,0.393700787401575); #14334=VECTOR('',#105570,0.393700787401575); #14335=VECTOR('',#105575,0.393700787401575); #14336=VECTOR('',#105578,0.393700787401575); #14337=VECTOR('',#105603,0.393700787401575); #14338=VECTOR('',#105606,0.393700787401575); #14339=VECTOR('',#105609,0.393700787401575); #14340=VECTOR('',#105610,0.393700787401575); #14341=VECTOR('',#105613,0.393700787401575); #14342=VECTOR('',#105616,0.393700787401575); #14343=VECTOR('',#105617,0.393700787401575); #14344=VECTOR('',#105620,0.393700787401575); #14345=VECTOR('',#105625,0.393700787401575); #14346=VECTOR('',#105626,0.393700787401575); #14347=VECTOR('',#105633,0.393700787401575); #14348=VECTOR('',#105636,0.393700787401575); #14349=VECTOR('',#105641,0.393700787401575); #14350=VECTOR('',#105644,0.393700787401575); #14351=VECTOR('',#105657,0.393700787401575); #14352=VECTOR('',#105658,0.393700787401575); #14353=VECTOR('',#105667,0.393700787401575); #14354=VECTOR('',#105670,0.393700787401575); #14355=VECTOR('',#105679,0.393700787401575); #14356=VECTOR('',#105682,0.393700787401575); #14357=VECTOR('',#105695,0.393700787401575); #14358=VECTOR('',#105696,0.393700787401575); #14359=VECTOR('',#105707,0.393700787401575); #14360=VECTOR('',#105710,0.393700787401575); #14361=VECTOR('',#105713,0.393700787401575); #14362=VECTOR('',#105714,0.393700787401575); #14363=VECTOR('',#105717,0.393700787401575); #14364=VECTOR('',#105722,0.393700787401575); #14365=VECTOR('',#105723,0.393700787401575); #14366=VECTOR('',#105726,0.393700787401575); #14367=VECTOR('',#105729,0.393700787401575); #14368=VECTOR('',#105730,0.393700787401575); #14369=VECTOR('',#105737,0.393700787401575); #14370=VECTOR('',#105740,0.393700787401575); #14371=VECTOR('',#105745,0.393700787401575); #14372=VECTOR('',#105748,0.393700787401575); #14373=VECTOR('',#105761,0.393700787401575); #14374=VECTOR('',#105762,0.393700787401575); #14375=VECTOR('',#105767,0.393700787401575); #14376=VECTOR('',#105770,0.393700787401575); #14377=VECTOR('',#105775,0.393700787401575); #14378=VECTOR('',#105778,0.393700787401575); #14379=VECTOR('',#105783,0.393700787401575); #14380=VECTOR('',#105786,0.393700787401575); #14381=VECTOR('',#105811,0.393700787401575); #14382=VECTOR('',#105812,0.393700787401575); #14383=VECTOR('',#105815,0.393700787401575); #14384=VECTOR('',#105816,0.393700787401575); #14385=VECTOR('',#105819,0.393700787401575); #14386=VECTOR('',#105822,0.393700787401575); #14387=VECTOR('',#105827,0.393700787401575); #14388=VECTOR('',#105830,0.393700787401575); #14389=VECTOR('',#105831,0.393700787401575); #14390=VECTOR('',#105836,0.393700787401575); #14391=VECTOR('',#105841,0.393700787401575); #14392=VECTOR('',#105842,0.393700787401575); #14393=VECTOR('',#105843,0.393700787401575); #14394=VECTOR('',#105850,0.393700787401575); #14395=VECTOR('',#105853,0.393700787401575); #14396=VECTOR('',#105858,0.393700787401575); #14397=VECTOR('',#105861,0.393700787401575); #14398=VECTOR('',#105874,0.393700787401575); #14399=VECTOR('',#105875,0.393700787401575); #14400=VECTOR('',#105884,0.393700787401575); #14401=VECTOR('',#105887,0.393700787401575); #14402=VECTOR('',#105896,0.393700787401575); #14403=VECTOR('',#105899,0.393700787401575); #14404=VECTOR('',#105912,0.393700787401575); #14405=VECTOR('',#105913,0.393700787401575); #14406=VECTOR('',#105924,0.393700787401575); #14407=VECTOR('',#105925,0.393700787401575); #14408=VECTOR('',#105928,0.393700787401575); #14409=VECTOR('',#105929,0.393700787401575); #14410=VECTOR('',#105932,0.393700787401575); #14411=VECTOR('',#105935,0.393700787401575); #14412=VECTOR('',#105940,0.393700787401575); #14413=VECTOR('',#105943,0.393700787401575); #14414=VECTOR('',#105944,0.393700787401575); #14415=VECTOR('',#105949,0.393700787401575); #14416=VECTOR('',#105954,0.393700787401575); #14417=VECTOR('',#105955,0.393700787401575); #14418=VECTOR('',#105956,0.393700787401575); #14419=VECTOR('',#105985,0.393700787401575); #14420=VECTOR('',#105998,0.393700787401575); #14421=VECTOR('',#105999,0.393700787401575); #14422=VECTOR('',#106010,0.393700787401575); #14423=VECTOR('',#106017,0.393700787401575); #14424=VECTOR('',#106032,0.393700787401575); #14425=VECTOR('',#106043,0.393700787401575); #14426=VECTOR('',#106054,0.393700787401575); #14427=VECTOR('',#106055,0.393700787401575); #14428=VECTOR('',#106066,0.393700787401575); #14429=VECTOR('',#106097,0.393700787401575); #14430=VECTOR('',#106100,0.393700787401575); #14431=VECTOR('',#106103,0.393700787401575); #14432=VECTOR('',#106106,0.393700787401575); #14433=VECTOR('',#106109,0.393700787401575); #14434=VECTOR('',#106116,0.393700787401575); #14435=VECTOR('',#106117,0.393700787401575); #14436=VECTOR('',#106148,0.393700787401575); #14437=VECTOR('',#106185,0.393700787401575); #14438=VECTOR('',#106190,0.393700787401575); #14439=VECTOR('',#106193,0.393700787401575); #14440=VECTOR('',#106196,0.393700787401575); #14441=VECTOR('',#106197,0.393700787401575); #14442=VECTOR('',#106198,0.393700787401575); #14443=VECTOR('',#106227,0.393700787401575); #14444=VECTOR('',#106230,0.393700787401575); #14445=VECTOR('',#106233,0.393700787401575); #14446=VECTOR('',#106234,0.393700787401575); #14447=VECTOR('',#106259,0.393700787401575); #14448=VECTOR('',#106260,0.393700787401575); #14449=VECTOR('',#106269,0.393700787401575); #14450=VECTOR('',#106270,0.393700787401575); #14451=VECTOR('',#106271,0.393700787401575); #14452=VECTOR('',#106274,0.393700787401575); #14453=VECTOR('',#106285,0.393700787401575); #14454=VECTOR('',#106286,0.393700787401575); #14455=VECTOR('',#106301,0.393700787401575); #14456=VECTOR('',#106304,0.393700787401575); #14457=VECTOR('',#106315,0.393700787401575); #14458=VECTOR('',#106324,0.393700787401575); #14459=VECTOR('',#106327,0.393700787401575); #14460=VECTOR('',#106366,0.393700787401575); #14461=VECTOR('',#106383,0.393700787401575); #14462=VECTOR('',#106400,0.393700787401575); #14463=VECTOR('',#106403,0.393700787401575); #14464=VECTOR('',#106432,0.393700787401575); #14465=VECTOR('',#106445,0.393700787401575); #14466=VECTOR('',#106466,0.393700787401575); #14467=VECTOR('',#106473,0.393700787401575); #14468=VECTOR('',#106474,0.393700787401575); #14469=VECTOR('',#106477,0.393700787401575); #14470=VECTOR('',#106480,0.393700787401575); #14471=VECTOR('',#106491,0.393700787401575); #14472=VECTOR('',#106506,0.393700787401575); #14473=VECTOR('',#106519,0.393700787401575); #14474=VECTOR('',#106534,0.393700787401575); #14475=VECTOR('',#106557,0.393700787401575); #14476=VECTOR('',#106572,0.393700787401575); #14477=VECTOR('',#106589,0.393700787401575); #14478=VECTOR('',#106606,0.393700787401575); #14479=VECTOR('',#106629,0.393700787401575); #14480=VECTOR('',#106644,0.393700787401575); #14481=VECTOR('',#106661,0.393700787401575); #14482=VECTOR('',#106678,0.393700787401575); #14483=VECTOR('',#106689,0.393700787401575); #14484=VECTOR('',#106692,0.393700787401575); #14485=VECTOR('',#106701,0.393700787401575); #14486=VECTOR('',#106706,0.393700787401575); #14487=VECTOR('',#106709,0.393700787401575); #14488=VECTOR('',#106726,0.393700787401575); #14489=VECTOR('',#106727,0.393700787401575); #14490=VECTOR('',#106732,0.393700787401575); #14491=VECTOR('',#106757,0.393700787401575); #14492=VECTOR('',#106760,0.393700787401575); #14493=VECTOR('',#106783,0.393700787401575); #14494=VECTOR('',#106800,0.393700787401575); #14495=VECTOR('',#106809,0.393700787401575); #14496=VECTOR('',#106818,0.393700787401575); #14497=VECTOR('',#106851,0.393700787401575); #14498=VECTOR('',#106872,0.393700787401575); #14499=VECTOR('',#106901,0.393700787401575); #14500=VECTOR('',#106916,0.393700787401575); #14501=VECTOR('',#106931,0.393700787401575); #14502=VECTOR('',#106964,0.393700787401575); #14503=VECTOR('',#106979,0.393700787401575); #14504=VECTOR('',#107004,0.393700787401575); #14505=VECTOR('',#107027,0.393700787401575); #14506=VECTOR('',#107048,0.393700787401575); #14507=VECTOR('',#107057,0.393700787401575); #14508=VECTOR('',#107078,0.393700787401575); #14509=VECTOR('',#107091,0.393700787401575); #14510=VECTOR('',#107092,0.393700787401575); #14511=VECTOR('',#107099,0.393700787401575); #14512=VECTOR('',#107102,0.393700787401575); #14513=VECTOR('',#107107,0.393700787401575); #14514=VECTOR('',#107130,0.393700787401575); #14515=VECTOR('',#107133,0.393700787401575); #14516=VECTOR('',#107152,0.393700787401575); #14517=VECTOR('',#107167,0.393700787401575); #14518=VECTOR('',#107174,0.393700787401575); #14519=VECTOR('',#107215,0.393700787401575); #14520=VECTOR('',#107344,0.718540552332537); #14521=VECTOR('',#107403,0.393700787401575); #14522=VECTOR('',#107442,0.393700787401575); #14523=VECTOR('',#107469,0.393700787401575); #14524=VECTOR('',#107488,0.393700787401575); #14525=VECTOR('',#107515,0.393700787401575); #14526=VECTOR('',#107536,0.393700787401575); #14527=VECTOR('',#107567,0.393700787401575); #14528=VECTOR('',#107670,0.393700787401575); #14529=VECTOR('',#107683,0.393700787401575); #14530=VECTOR('',#107742,0.393700787401575); #14531=VECTOR('',#107789,0.460965630308493); #14532=VECTOR('',#107856,0.404508533825834); #14533=VECTOR('',#107955,0.404508533825834); #14534=VECTOR('',#107962,0.393700787401575); #14535=VECTOR('',#108005,0.393700787401575); #14536=VECTOR('',#108008,0.393700787401575); #14537=VECTOR('',#108017,0.393700787401575); #14538=VECTOR('',#108024,0.393700787401575); #14539=VECTOR('',#108031,0.512721697170684); #14540=VECTOR('',#108032,0.804533896779817); #14541=VECTOR('',#108045,0.477805386692636); #14542=VECTOR('',#108050,0.477805386692636); #14543=VECTOR('',#108061,0.804533896779817); #14544=VECTOR('',#108062,0.512721697170684); #14545=VECTOR('',#108083,0.393700787401575); #14546=VECTOR('',#108084,0.460965630308493); #14547=VECTOR('',#108127,0.393700787401575); #14548=VECTOR('',#108134,0.393700787401575); #14549=VECTOR('',#108137,0.393700787401575); #14550=VECTOR('',#108140,0.393700787401575); #14551=VECTOR('',#108141,0.393700787401575); #14552=VECTOR('',#108142,0.393700787401575); #14553=VECTOR('',#108145,0.393700787401575); #14554=VECTOR('',#108148,0.393700787401575); #14555=VECTOR('',#108151,0.393700787401575); #14556=VECTOR('',#108152,0.393700787401575); #14557=VECTOR('',#108153,0.393700787401575); #14558=VECTOR('',#108154,0.393700787401575); #14559=VECTOR('',#108155,0.393700787401575); #14560=VECTOR('',#108158,0.393700787401575); #14561=VECTOR('',#108161,0.393700787401575); #14562=VECTOR('',#108164,0.393700787401575); #14563=VECTOR('',#108167,0.393700787401575); #14564=VECTOR('',#108170,0.393700787401575); #14565=VECTOR('',#108173,0.393700787401575); #14566=VECTOR('',#108176,0.393700787401575); #14567=VECTOR('',#108179,0.393700787401575); #14568=VECTOR('',#108186,0.393700787401575); #14569=VECTOR('',#108187,0.393700787401575); #14570=VECTOR('',#108190,0.393700787401575); #14571=VECTOR('',#108191,0.393700787401575); #14572=VECTOR('',#108196,0.393700787401575); #14573=VECTOR('',#108199,0.393700787401575); #14574=VECTOR('',#108202,0.393700787401575); #14575=VECTOR('',#108203,0.393700787401575); #14576=VECTOR('',#108204,0.393700787401575); #14577=VECTOR('',#108207,0.393700787401575); #14578=VECTOR('',#108210,0.393700787401575); #14579=VECTOR('',#108213,0.393700787401575); #14580=VECTOR('',#108214,0.393700787401575); #14581=VECTOR('',#108215,0.393700787401575); #14582=VECTOR('',#108218,0.393700787401575); #14583=VECTOR('',#108221,0.393700787401575); #14584=VECTOR('',#108224,0.393700787401575); #14585=VECTOR('',#108227,0.393700787401575); #14586=VECTOR('',#108234,0.393700787401575); #14587=VECTOR('',#108235,0.393700787401575); #14588=VECTOR('',#108238,0.393700787401575); #14589=VECTOR('',#108239,0.393700787401575); #14590=VECTOR('',#108244,0.393700787401575); #14591=VECTOR('',#108247,0.393700787401575); #14592=VECTOR('',#108260,0.393700787401575); #14593=VECTOR('',#108263,0.393700787401575); #14594=VECTOR('',#108268,0.393700787401575); #14595=VECTOR('',#108269,0.393700787401575); #14596=VECTOR('',#108274,0.393700787401575); #14597=VECTOR('',#108277,0.393700787401575); #14598=VECTOR('',#108278,0.393700787401575); #14599=VECTOR('',#108285,0.393700787401575); #14600=VECTOR('',#108288,0.393700787401575); #14601=VECTOR('',#108289,0.393700787401575); #14602=VECTOR('',#108290,0.393700787401575); #14603=VECTOR('',#108293,0.393700787401575); #14604=VECTOR('',#108294,0.393700787401575); #14605=VECTOR('',#108295,0.393700787401575); #14606=VECTOR('',#108296,0.393700787401575); #14607=VECTOR('',#108297,0.393700787401575); #14608=VECTOR('',#108300,0.393700787401575); #14609=VECTOR('',#108301,0.393700787401575); #14610=VECTOR('',#108308,0.393700787401575); #14611=VECTOR('',#108311,0.393700787401575); #14612=VECTOR('',#108326,0.393700787401575); #14613=VECTOR('',#108327,0.393700787401575); #14614=VECTOR('',#108330,0.393700787401575); #14615=VECTOR('',#108333,0.393700787401575); #14616=VECTOR('',#108340,0.393700787401575); #14617=VECTOR('',#108341,0.393700787401575); #14618=VECTOR('',#108344,0.393700787401575); #14619=VECTOR('',#108349,0.393700787401575); #14620=VECTOR('',#108350,0.393700787401575); #14621=VECTOR('',#108353,0.393700787401575); #14622=VECTOR('',#108358,0.393700787401575); #14623=VECTOR('',#108361,0.393700787401575); #14624=VECTOR('',#108364,0.393700787401575); #14625=VECTOR('',#108367,0.393700787401575); #14626=VECTOR('',#108368,0.393700787401575); #14627=VECTOR('',#108375,0.393700787401575); #14628=VECTOR('',#108378,0.393700787401575); #14629=VECTOR('',#108381,0.393700787401575); #14630=VECTOR('',#108384,0.393700787401575); #14631=VECTOR('',#108389,0.393700787401575); #14632=VECTOR('',#108390,0.393700787401575); #14633=VECTOR('',#108397,0.393700787401575); #14634=VECTOR('',#108400,0.393700787401575); #14635=VECTOR('',#108403,0.393700787401575); #14636=VECTOR('',#108404,0.393700787401575); #14637=VECTOR('',#108407,0.393700787401575); #14638=VECTOR('',#108410,0.393700787401575); #14639=VECTOR('',#108413,0.393700787401575); #14640=VECTOR('',#108414,0.393700787401575); #14641=VECTOR('',#108417,0.393700787401575); #14642=VECTOR('',#108422,0.393700787401575); #14643=VECTOR('',#108425,0.393700787401575); #14644=VECTOR('',#108430,0.393700787401575); #14645=VECTOR('',#108439,0.393700787401575); #14646=VECTOR('',#108444,0.393700787401575); #14647=VECTOR('',#108445,0.393700787401575); #14648=VECTOR('',#108446,0.393700787401575); #14649=VECTOR('',#108447,0.393700787401575); #14650=VECTOR('',#108448,0.393700787401575); #14651=VECTOR('',#108453,0.393700787401575); #14652=VECTOR('',#108454,0.393700787401575); #14653=VECTOR('',#108457,0.25753492); #14654=VECTOR('',#108468,0.3225); #14655=VECTOR('',#108483,0.3225); #14656=VECTOR('',#108494,0.393700787401575); #14657=VECTOR('',#108495,0.393700787401575); #14658=VECTOR('',#108496,0.393700787401575); #14659=VECTOR('',#108503,0.393700787401575); #14660=VECTOR('',#108504,0.393700787401575); #14661=VECTOR('',#108505,0.393700787401575); #14662=VECTOR('',#108508,0.393700787401575); #14663=VECTOR('',#108509,0.393700787401575); #14664=VECTOR('',#108510,0.393700787401575); #14665=VECTOR('',#108511,0.393700787401575); #14666=VECTOR('',#108514,0.393700787401575); #14667=VECTOR('',#108515,0.393700787401575); #14668=VECTOR('',#108516,0.393700787401575); #14669=VECTOR('',#108519,0.393700787401575); #14670=VECTOR('',#108520,0.393700787401575); #14671=VECTOR('',#108525,0.393700787401575); #14672=VECTOR('',#108526,0.393700787401575); #14673=VECTOR('',#108529,0.393700787401575); #14674=VECTOR('',#108536,0.393700787401575); #14675=VECTOR('',#108537,0.393700787401575); #14676=VECTOR('',#108538,0.393700787401575); #14677=VECTOR('',#108539,0.393700787401575); #14678=VECTOR('',#108542,0.393700787401575); #14679=VECTOR('',#108543,0.393700787401575); #14680=VECTOR('',#108544,0.393700787401575); #14681=VECTOR('',#108547,0.393700787401575); #14682=VECTOR('',#108548,0.393700787401575); #14683=VECTOR('',#108551,0.393700787401575); #14684=VECTOR('',#108552,0.393700787401575); #14685=VECTOR('',#108555,0.393700787401575); #14686=VECTOR('',#108562,0.393700787401575); #14687=VECTOR('',#108563,0.393700787401575); #14688=VECTOR('',#108564,0.393700787401575); #14689=VECTOR('',#108565,0.393700787401575); #14690=VECTOR('',#108568,0.393700787401575); #14691=VECTOR('',#108569,0.393700787401575); #14692=VECTOR('',#108570,0.393700787401575); #14693=VECTOR('',#108573,0.393700787401575); #14694=VECTOR('',#108574,0.393700787401575); #14695=VECTOR('',#108575,0.393700787401575); #14696=VECTOR('',#108578,0.393700787401575); #14697=VECTOR('',#108579,0.393700787401575); #14698=VECTOR('',#108584,0.393700787401575); #14699=VECTOR('',#108585,0.393700787401575); #14700=VECTOR('',#108586,0.393700787401575); #14701=VECTOR('',#108587,0.393700787401575); #14702=VECTOR('',#108590,0.393700787401575); #14703=VECTOR('',#108591,0.393700787401575); #14704=VECTOR('',#108592,0.393700787401575); #14705=VECTOR('',#108595,0.393700787401575); #14706=VECTOR('',#108596,0.393700787401575); #14707=VECTOR('',#108597,0.393700787401575); #14708=VECTOR('',#108600,0.393700787401575); #14709=VECTOR('',#108601,0.393700787401575); #14710=VECTOR('',#108606,0.393700787401575); #14711=VECTOR('',#108607,0.393700787401575); #14712=VECTOR('',#108608,0.393700787401575); #14713=VECTOR('',#108609,0.393700787401575); #14714=VECTOR('',#108612,0.393700787401575); #14715=VECTOR('',#108613,0.393700787401575); #14716=VECTOR('',#108614,0.393700787401575); #14717=VECTOR('',#108617,0.393700787401575); #14718=VECTOR('',#108618,0.393700787401575); #14719=VECTOR('',#108619,0.393700787401575); #14720=VECTOR('',#108622,0.393700787401575); #14721=VECTOR('',#108623,0.393700787401575); #14722=VECTOR('',#108626,0.393700787401575); #14723=VECTOR('',#108633,0.393700787401575); #14724=VECTOR('',#108634,0.393700787401575); #14725=VECTOR('',#108639,0.393700787401575); #14726=VECTOR('',#108652,0.393700787401575); #14727=VECTOR('',#108663,0.393700787401575); #14728=VECTOR('',#108738,0.393700787401575); #14729=VECTOR('',#108739,0.393700787401575); #14730=VECTOR('',#108742,0.393700787401575); #14731=VECTOR('',#108745,0.393700787401575); #14732=VECTOR('',#108748,0.393700787401575); #14733=VECTOR('',#108751,0.393700787401575); #14734=VECTOR('',#108754,0.393700787401575); #14735=VECTOR('',#108757,0.393700787401575); #14736=VECTOR('',#108764,0.393700787401575); #14737=VECTOR('',#108771,0.393700787401575); #14738=VECTOR('',#108772,0.393700787401575); #14739=VECTOR('',#108773,0.393700787401575); #14740=VECTOR('',#108842,0.393700787401575); #14741=VECTOR('',#108845,0.393700787401575); #14742=VECTOR('',#108846,0.393700787401575); #14743=VECTOR('',#108849,0.393700787401575); #14744=VECTOR('',#108854,0.393700787401575); #14745=VECTOR('',#108957,0.393700787401575); #14746=VECTOR('',#108960,0.393700787401575); #14747=VECTOR('',#108963,0.393700787401575); #14748=VECTOR('',#108966,0.393700787401575); #14749=VECTOR('',#108967,0.393700787401575); #14750=VECTOR('',#108968,0.393700787401575); #14751=VECTOR('',#108971,0.393700787401575); #14752=VECTOR('',#108972,0.393700787401575); #14753=VECTOR('',#108975,0.393700787401575); #14754=VECTOR('',#108980,0.393700787401575); #14755=VECTOR('',#108981,0.393700787401575); #14756=VECTOR('',#108984,0.393700787401575); #14757=VECTOR('',#108989,0.393700787401575); #14758=VECTOR('',#108990,0.393700787401575); #14759=VECTOR('',#108993,0.393700787401575); #14760=VECTOR('',#108998,0.393700787401575); #14761=VECTOR('',#108999,0.393700787401575); #14762=VECTOR('',#109002,0.393700787401575); #14763=VECTOR('',#109007,0.393700787401575); #14764=VECTOR('',#109008,0.393700787401575); #14765=VECTOR('',#109009,0.393700787401575); #14766=VECTOR('',#109012,0.393700787401575); #14767=VECTOR('',#109017,0.393700787401575); #14768=VECTOR('',#109018,0.393700787401575); #14769=VECTOR('',#109021,0.393700787401575); #14770=VECTOR('',#109026,0.393700787401575); #14771=VECTOR('',#109027,0.393700787401575); #14772=VECTOR('',#109030,0.393700787401575); #14773=VECTOR('',#109035,0.393700787401575); #14774=VECTOR('',#109036,0.393700787401575); #14775=VECTOR('',#109039,0.393700787401575); #14776=VECTOR('',#109044,0.393700787401575); #14777=VECTOR('',#109045,0.393700787401575); #14778=VECTOR('',#109048,0.393700787401575); #14779=VECTOR('',#109053,0.393700787401575); #14780=VECTOR('',#109056,0.393700787401575); #14781=VECTOR('',#109061,0.393700787401575); #14782=VECTOR('',#109062,0.393700787401575); #14783=VECTOR('',#109105,0.393700787401575); #14784=VECTOR('',#109114,0.393700787401575); #14785=VECTOR('',#109119,0.393700787401575); #14786=VECTOR('',#109126,0.393700787401575); #14787=VECTOR('',#109135,0.393700787401575); #14788=VECTOR('',#109144,0.393700787401575); #14789=VECTOR('',#109153,0.393700787401575); #14790=VECTOR('',#109162,0.393700787401575); #14791=VECTOR('',#109171,0.393700787401575); #14792=VECTOR('',#109180,0.393700787401575); #14793=VECTOR('',#109189,0.393700787401575); #14794=VECTOR('',#109198,0.393700787401575); #14795=VECTOR('',#109215,0.393700787401575); #14796=VECTOR('',#109224,0.393700787401575); #14797=VECTOR('',#109251,0.393700787401575); #14798=VECTOR('',#109254,0.393700787401575); #14799=VECTOR('',#109263,0.393700787401575); #14800=VECTOR('',#109266,0.393700787401575); #14801=VECTOR('',#109269,0.393700787401575); #14802=VECTOR('',#109272,0.393700787401575); #14803=VECTOR('',#109275,0.393700787401575); #14804=VECTOR('',#109278,0.251968504); #14805=VECTOR('',#109281,0.393700787401575); #14806=VECTOR('',#109284,0.393700787401575); #14807=VECTOR('',#109287,0.393700787401575); #14808=VECTOR('',#109292,0.251968504); #14809=VECTOR('',#109311,0.0393700785); #14810=VECTOR('',#109344,0.393700787401575); #14811=VECTOR('',#109347,0.393700787401575); #14812=VECTOR('',#109350,0.811286993991142); #14813=VECTOR('',#109351,0.718540552332537); #14814=VECTOR('',#109356,0.811286993991142); #14815=VECTOR('',#109381,0.393700787401575); #14816=VECTOR('',#109386,0.393700787401575); #14817=VECTOR('',#109387,0.393700787401575); #14818=VECTOR('',#109390,0.393700787401575); #14819=VECTOR('',#109419,0.393700787401575); #14820=VECTOR('',#109422,0.393700787401575); #14821=VECTOR('',#109427,0.393700787401575); #14822=VECTOR('',#109440,0.395344782907478); #14823=VECTOR('',#109477,0.889615016715168); #14824=VECTOR('',#109478,0.889615016715168); #14825=VECTOR('',#109511,0.945496108104974); #14826=VECTOR('',#109512,0.945496108104974); #14827=VECTOR('',#109513,0.945496108104974); #14828=VECTOR('',#109514,0.889615016715168); #14829=VECTOR('',#109515,0.889615016715168); #14830=VECTOR('',#109558,0.393700787401575); #14831=VECTOR('',#109559,0.393700787401575); #14832=VECTOR('',#109564,0.393700787401575); #14833=VECTOR('',#109571,0.393700787401575); #14834=VECTOR('',#109572,0.393700787401575); #14835=VECTOR('',#109573,0.393700787401575); #14836=VECTOR('',#109576,0.393700787401575); #14837=VECTOR('',#109581,0.393700787401575); #14838=VECTOR('',#109582,0.393700787401575); #14839=VECTOR('',#109583,0.393700787401575); #14840=VECTOR('',#109588,0.393700787401575); #14841=VECTOR('',#109593,0.393700787401575); #14842=VECTOR('',#109594,0.393700787401575); #14843=VECTOR('',#109599,0.393700787401575); #14844=VECTOR('',#109602,0.393700787401575); #14845=VECTOR('',#109603,0.393700787401575); #14846=VECTOR('',#109604,0.393700787401575); #14847=VECTOR('',#109607,0.393700787401575); #14848=VECTOR('',#109608,0.393700787401575); #14849=VECTOR('',#109615,0.393700787401575); #14850=VECTOR('',#109616,0.393700787401575); #14851=VECTOR('',#109623,0.3307086615); #14852=VECTOR('',#109630,0.393700787401575); #14853=VECTOR('',#109653,0.393700787401575); #14854=VECTOR('',#109656,0.393700787401575); #14855=VECTOR('',#109659,0.393700787401575); #14856=VECTOR('',#109662,0.393700787401575); #14857=VECTOR('',#109665,0.393700787401575); #14858=VECTOR('',#109684,0.393700787401575); #14859=VECTOR('',#109687,0.393700787401575); #14860=VECTOR('',#109692,0.393700787401575); #14861=VECTOR('',#109695,0.393700787401575); #14862=VECTOR('',#109702,0.393700787401575); #14863=VECTOR('',#109705,0.393700787401575); #14864=VECTOR('',#109708,0.945496108104974); #14865=VECTOR('',#109807,0.393700787401575); #14866=VECTOR('',#109808,0.393700787401575); #14867=VECTOR('',#109811,0.393700787401575); #14868=VECTOR('',#109814,0.393700787401575); #14869=VECTOR('',#109817,0.393700787401575); #14870=VECTOR('',#109820,0.393700787401575); #14871=VECTOR('',#109823,0.393700787401575); #14872=VECTOR('',#109824,0.393700787401575); #14873=VECTOR('',#109827,0.393700787401575); #14874=VECTOR('',#109830,0.393700787401575); #14875=VECTOR('',#109835,0.393700787401575); #14876=VECTOR('',#109838,0.393700787401575); #14877=VECTOR('',#109841,0.393700787401575); #14878=VECTOR('',#109844,0.393700787401575); #14879=VECTOR('',#109847,0.393700787401575); #14880=VECTOR('',#109850,0.393700787401575); #14881=VECTOR('',#109859,0.393700787401575); #14882=VECTOR('',#109860,0.393700787401575); #14883=VECTOR('',#109861,0.393700787401575); #14884=VECTOR('',#109862,0.393700787401575); #14885=VECTOR('',#109865,0.393700787401575); #14886=VECTOR('',#109866,0.393700787401575); #14887=VECTOR('',#109867,0.393700787401575); #14888=VECTOR('',#109870,0.393700787401575); #14889=VECTOR('',#109871,0.393700787401575); #14890=VECTOR('',#109872,0.393700787401575); #14891=VECTOR('',#109875,0.393700787401575); #14892=VECTOR('',#109876,0.393700787401575); #14893=VECTOR('',#109881,0.393700787401575); #14894=VECTOR('',#109882,0.393700787401575); #14895=VECTOR('',#109883,0.393700787401575); #14896=VECTOR('',#109884,0.393700787401575); #14897=VECTOR('',#109887,0.393700787401575); #14898=VECTOR('',#109888,0.393700787401575); #14899=VECTOR('',#109889,0.393700787401575); #14900=VECTOR('',#109892,0.393700787401575); #14901=VECTOR('',#109893,0.393700787401575); #14902=VECTOR('',#109894,0.393700787401575); #14903=VECTOR('',#109897,0.393700787401575); #14904=VECTOR('',#109898,0.393700787401575); #14905=VECTOR('',#109903,0.393700787401575); #14906=VECTOR('',#109904,0.393700787401575); #14907=VECTOR('',#109905,0.393700787401575); #14908=VECTOR('',#109906,0.393700787401575); #14909=VECTOR('',#109909,0.393700787401575); #14910=VECTOR('',#109910,0.393700787401575); #14911=VECTOR('',#109911,0.393700787401575); #14912=VECTOR('',#109914,0.393700787401575); #14913=VECTOR('',#109915,0.393700787401575); #14914=VECTOR('',#109916,0.393700787401575); #14915=VECTOR('',#109919,0.393700787401575); #14916=VECTOR('',#109920,0.393700787401575); #14917=VECTOR('',#110021,0.393700787401575); #14918=VECTOR('',#110022,0.393700787401575); #14919=VECTOR('',#110025,0.393700787401575); #14920=VECTOR('',#110028,0.393700787401575); #14921=VECTOR('',#110029,0.393700787401575); #14922=VECTOR('',#110034,0.393700787401575); #14923=VECTOR('',#110035,0.393700787401575); #14924=VECTOR('',#110038,0.393700787401575); #14925=VECTOR('',#110043,0.393700787401575); #14926=VECTOR('',#110046,0.393700787401575); #14927=VECTOR('',#110049,0.393700787401575); #14928=VECTOR('',#110052,0.393700787401575); #14929=VECTOR('',#110055,0.393700787401575); #14930=VECTOR('',#110058,0.393700787401575); #14931=VECTOR('',#110061,0.393700787401575); #14932=VECTOR('',#110064,0.393700787401575); #14933=VECTOR('',#110075,0.393700787401575); #14934=VECTOR('',#110084,0.0925240473580835); #14935=VECTOR('',#110089,0.0925240473580835); #14936=VECTOR('',#110092,0.0925240473580835); #14937=VECTOR('',#110095,0.0925240473580835); #14938=VECTOR('',#110098,0.0925240473580835); #14939=VECTOR('',#110101,0.0925240473580835); #14940=VECTOR('',#110104,0.0925240473580835); #14941=VECTOR('',#110107,0.0925240473580835); #14942=VECTOR('',#110110,0.0925240473580835); #14943=VECTOR('',#110113,0.0925240473580835); #14944=VECTOR('',#110116,0.0925240473580835); #14945=VECTOR('',#110119,0.0925240473580835); #14946=VECTOR('',#110122,0.0925240473580835); #14947=VECTOR('',#110125,0.0925240473580835); #14948=VECTOR('',#110128,0.0925240473580835); #14949=VECTOR('',#110131,0.0925240473580835); #14950=VECTOR('',#110134,0.0925240473580835); #14951=VECTOR('',#110137,0.0925240473580835); #14952=VECTOR('',#110140,0.0925240473580835); #14953=VECTOR('',#110143,0.0925240473580835); #14954=VECTOR('',#110146,0.0944000000000002); #14955=VECTOR('',#110151,0.125); #14956=VECTOR('',#110154,0.125); #14957=VECTOR('',#110161,0.125); #14958=VECTOR('',#110164,0.125); #14959=VECTOR('',#110167,0.125); #14960=VECTOR('',#110170,0.125); #14961=VECTOR('',#110173,0.125); #14962=VECTOR('',#110176,0.125); #14963=VECTOR('',#110179,0.125); #14964=VECTOR('',#110182,0.125); #14965=VECTOR('',#110185,0.125); #14966=VECTOR('',#110188,0.125); #14967=VECTOR('',#110191,0.125); #14968=VECTOR('',#110194,0.125); #14969=VECTOR('',#110197,0.125); #14970=VECTOR('',#110200,0.125); #14971=VECTOR('',#110203,0.125); #14972=VECTOR('',#110206,0.125); #14973=VECTOR('',#110209,0.125); #14974=VECTOR('',#110212,0.125); #14975=VECTOR('',#110215,0.393700787401575); #14976=VECTOR('',#110216,0.393700787401575); #14977=VECTOR('',#110217,0.393700787401575); #14978=VECTOR('',#110218,0.393700787401575); #14979=VECTOR('',#110221,0.393700787401575); #14980=VECTOR('',#110222,0.393700787401575); #14981=VECTOR('',#110223,0.393700787401575); #14982=VECTOR('',#110226,0.393700787401575); #14983=VECTOR('',#110227,0.393700787401575); #14984=VECTOR('',#110228,0.393700787401575); #14985=VECTOR('',#110231,0.393700787401575); #14986=VECTOR('',#110232,0.393700787401575); #14987=VECTOR('',#110237,0.393700787401575); #14988=VECTOR('',#110238,0.393700787401575); #14989=VECTOR('',#110239,0.393700787401575); #14990=VECTOR('',#110240,0.393700787401575); #14991=VECTOR('',#110243,0.393700787401575); #14992=VECTOR('',#110244,0.393700787401575); #14993=VECTOR('',#110245,0.393700787401575); #14994=VECTOR('',#110248,0.393700787401575); #14995=VECTOR('',#110249,0.393700787401575); #14996=VECTOR('',#110250,0.393700787401575); #14997=VECTOR('',#110253,0.393700787401575); #14998=VECTOR('',#110254,0.393700787401575); #14999=VECTOR('',#110259,0.393700787401575); #15000=VECTOR('',#110260,0.393700787401575); #15001=VECTOR('',#110261,0.393700787401575); #15002=VECTOR('',#110262,0.393700787401575); #15003=VECTOR('',#110265,0.393700787401575); #15004=VECTOR('',#110266,0.393700787401575); #15005=VECTOR('',#110267,0.393700787401575); #15006=VECTOR('',#110270,0.393700787401575); #15007=VECTOR('',#110271,0.393700787401575); #15008=VECTOR('',#110272,0.393700787401575); #15009=VECTOR('',#110275,0.393700787401575); #15010=VECTOR('',#110276,0.393700787401575); #15011=VECTOR('',#110339,0.393700787401575); #15012=VECTOR('',#110342,0.393700787401575); #15013=VECTOR('',#110345,0.393700787401575); #15014=VECTOR('',#110348,0.393700787401575); #15015=VECTOR('',#110353,0.393700787401575); #15016=VECTOR('',#110356,0.393700787401575); #15017=VECTOR('',#110361,0.393700787401575); #15018=VECTOR('',#110364,0.393700787401575); #15019=VECTOR('',#110369,0.393700787401575); #15020=VECTOR('',#110412,0.393700787401575); #15021=VECTOR('',#110415,0.393700787401575); #15022=VECTOR('',#110416,0.393700787401575); #15023=VECTOR('',#110419,0.393700787401575); #15024=VECTOR('',#110420,0.393700787401575); #15025=VECTOR('',#110423,0.393700787401575); #15026=VECTOR('',#110426,0.393700787401575); #15027=VECTOR('',#110459,0.393700787401575); #15028=VECTOR('',#110460,0.393700787401575); #15029=VECTOR('',#110469,0.393700787401575); #15030=VECTOR('',#110470,0.393700787401575); #15031=VECTOR('',#110479,0.393700787401575); #15032=VECTOR('',#110482,0.393700787401575); #15033=VECTOR('',#110485,0.393700787401575); #15034=VECTOR('',#110486,0.393700787401575); #15035=VECTOR('',#110505,0.098); #15036=VECTOR('',#110518,0.393700787401575); #15037=VECTOR('',#110519,0.393700787401575); #15038=VECTOR('',#110530,0.393700787401575); #15039=VECTOR('',#110537,0.393700787401575); #15040=VECTOR('',#110550,0.393700787401575); #15041=VECTOR('',#110551,0.393700787401575); #15042=VECTOR('',#110560,0.393700787401575); #15043=VECTOR('',#110589,0.393700787401575); #15044=VECTOR('',#110592,0.393700787401575); #15045=VECTOR('',#110597,0.2734375); #15046=VECTOR('',#110606,0.393700787401575); #15047=VECTOR('',#110613,0.393700787401575); #15048=VECTOR('',#110616,0.393700787401575); #15049=VECTOR('',#110619,0.393700787401575); #15050=VECTOR('',#110624,0.393700787401575); #15051=VECTOR('',#110625,0.393700787401575); #15052=VECTOR('',#110626,0.393700787401575); #15053=VECTOR('',#110627,0.393700787401575); #15054=VECTOR('',#110640,0.393700787401575); #15055=VECTOR('',#110649,0.393700787401575); #15056=VECTOR('',#110650,0.393700787401575); #15057=VECTOR('',#110651,0.393700787401575); #15058=VECTOR('',#110652,0.393700787401575); #15059=VECTOR('',#110653,0.393700787401575); #15060=VECTOR('',#110670,0.393700787401575); #15061=VECTOR('',#110671,0.393700787401575); #15062=VECTOR('',#110694,0.393700787401575); #15063=VECTOR('',#110699,0.393700787401575); #15064=VECTOR('',#110700,0.393700787401575); #15065=VECTOR('',#110701,0.393700787401575); #15066=VECTOR('',#110712,0.393700787401575); #15067=VECTOR('',#110723,0.393700787401575); #15068=VECTOR('',#110730,0.393700787401575); #15069=VECTOR('',#110743,0.393700787401575); #15070=VECTOR('',#110754,0.393700787401575); #15071=VECTOR('',#110767,0.393700787401575); #15072=VECTOR('',#110768,0.393700787401575); #15073=VECTOR('',#110775,0.393700787401575); #15074=VECTOR('',#110776,0.393700787401575); #15075=VECTOR('',#110789,0.393700787401575); #15076=VECTOR('',#110802,0.393700787401575); #15077=VECTOR('',#110805,0.393700787401575); #15078=VECTOR('',#110832,0.1085); #15079=VECTOR('',#110837,0.0985); #15080=VECTOR('',#110842,0.1085); #15081=VECTOR('',#110857,0.2205); #15082=VECTOR('',#110874,0.2734375); #15083=VECTOR('',#110877,0.113625); #15084=VECTOR('',#111002,0.393700787401575); #15085=VECTOR('',#111003,0.393700787401575); #15086=VECTOR('',#111020,0.393700787401575); #15087=VECTOR('',#111021,0.393700787401575); #15088=VECTOR('',#111024,0.393700787401575); #15089=VECTOR('',#111029,0.393700787401575); #15090=VECTOR('',#111054,0.393700787401575); #15091=VECTOR('',#111059,0.393700787401575); #15092=VECTOR('',#111062,0.393700787401575); #15093=VECTOR('',#111065,0.393700787401575); #15094=VECTOR('',#111068,0.393700787401575); #15095=VECTOR('',#111071,0.393700787401575); #15096=VECTOR('',#111074,0.393700787401575); #15097=VECTOR('',#111079,0.393700787401575); #15098=VECTOR('',#111084,0.393700787401575); #15099=VECTOR('',#111087,0.393700787401575); #15100=VECTOR('',#111092,0.393700787401575); #15101=VECTOR('',#111099,0.393700787401575); #15102=VECTOR('',#111108,0.393700787401575); #15103=VECTOR('',#111117,0.393700787401575); #15104=VECTOR('',#111122,0.393700787401575); #15105=VECTOR('',#111129,0.1845); #15106=VECTOR('',#111154,0.1845); #15107=VECTOR('',#111167,0.393700787401575); #15108=VECTOR('',#111172,0.393700787401575); #15109=VECTOR('',#111227,0.393700787401575); #15110=VECTOR('',#111230,0.393700787401575); #15111=VECTOR('',#111241,0.46224046); #15112=VECTOR('',#111274,0.393700787401575); #15113=VECTOR('',#111297,0.393700787401575); #15114=VECTOR('',#111316,0.393700787401575); #15115=VECTOR('',#111319,0.393700787401575); #15116=VECTOR('',#111330,0.393700787401575); #15117=VECTOR('',#111333,0.393700787401575); #15118=VECTOR('',#111342,0.393700787401575); #15119=VECTOR('',#111343,0.393700787401575); #15120=VECTOR('',#111354,0.393700787401575); #15121=VECTOR('',#111365,0.393700787401575); #15122=VECTOR('',#111370,0.393700787401575); #15123=VECTOR('',#111373,0.393700787401575); #15124=VECTOR('',#111376,0.393700787401575); #15125=VECTOR('',#111379,0.393700787401575); #15126=VECTOR('',#111390,0.393700787401575); #15127=VECTOR('',#111391,0.393700787401575); #15128=VECTOR('',#111400,0.393700787401575); #15129=VECTOR('',#111401,0.393700787401575); #15130=VECTOR('',#111404,0.393700787401575); #15131=VECTOR('',#111405,0.393700787401575); #15132=VECTOR('',#111408,0.393700787401575); #15133=VECTOR('',#111409,0.393700787401575); #15134=VECTOR('',#111412,0.393700787401575); #15135=VECTOR('',#111417,0.393700787401575); #15136=VECTOR('',#111422,0.393700787401575); #15137=VECTOR('',#111423,0.393700787401575); #15138=VECTOR('',#111426,0.393700787401575); #15139=VECTOR('',#111433,0.393700787401575); #15140=VECTOR('',#111434,0.393700787401575); #15141=VECTOR('',#111437,0.393700787401575); #15142=VECTOR('',#111444,0.393700787401575); #15143=VECTOR('',#111451,0.393700787401575); #15144=VECTOR('',#111452,0.393700787401575); #15145=VECTOR('',#111461,0.393700787401575); #15146=VECTOR('',#111492,0.393700787401575); #15147=VECTOR('',#111493,0.393700787401575); #15148=VECTOR('',#111510,0.393700787401575); #15149=VECTOR('',#111513,0.393700787401575); #15150=VECTOR('',#111516,0.393700787401575); #15151=VECTOR('',#111527,0.393700787401575); #15152=VECTOR('',#111530,0.393700787401575); #15153=VECTOR('',#111539,0.393700787401575); #15154=VECTOR('',#111548,0.393700787401575); #15155=VECTOR('',#111557,0.393700787401575); #15156=VECTOR('',#111566,0.393700787401575); #15157=VECTOR('',#111575,0.393700787401575); #15158=VECTOR('',#111580,0.393700787401575); #15159=VECTOR('',#111583,0.393700787401575); #15160=VECTOR('',#111590,0.393700787401575); #15161=VECTOR('',#111617,0.393700787401575); #15162=VECTOR('',#111620,0.393700787401575); #15163=VECTOR('',#111623,0.393700787401575); #15164=VECTOR('',#111626,0.393700787401575); #15165=VECTOR('',#111629,0.393700787401575); #15166=VECTOR('',#111632,0.393700787401575); #15167=VECTOR('',#111635,0.393700787401575); #15168=VECTOR('',#111638,0.393700787401575); #15169=VECTOR('',#111641,0.393700787401575); #15170=VECTOR('',#111646,0.393700787401575); #15171=VECTOR('',#111647,0.393700787401575); #15172=VECTOR('',#111656,0.095); #15173=VECTOR('',#111659,0.095); #15174=VECTOR('',#111676,0.0925000008287535); #15175=VECTOR('',#111699,0.06875); #15176=VECTOR('',#111704,0.034375); #15177=VECTOR('',#111717,0.33070866); #15178=VECTOR('',#111732,0.395344785); #15179=VECTOR('',#111749,0.4475); #15180=VECTOR('',#111772,0.393700787401575); #15181=VECTOR('',#111773,0.393700787401575); #15182=VECTOR('',#111774,0.393700787401575); #15183=VECTOR('',#111775,0.393700787401575); #15184=VECTOR('',#111776,0.393700787401575); #15185=VECTOR('',#111779,0.393700787401575); #15186=VECTOR('',#111780,0.393700787401575); #15187=VECTOR('',#111781,0.393700787401575); #15188=VECTOR('',#111784,0.393700787401575); #15189=VECTOR('',#111785,0.393700787401575); #15190=VECTOR('',#111786,0.393700787401575); #15191=VECTOR('',#111789,0.393700787401575); #15192=VECTOR('',#111790,0.393700787401575); #15193=VECTOR('',#111793,0.393700787401575); #15194=VECTOR('',#111794,0.393700787401575); #15195=VECTOR('',#111795,0.393700787401575); #15196=VECTOR('',#111796,0.393700787401575); #15197=VECTOR('',#111797,0.393700787401575); #15198=VECTOR('',#111798,0.393700787401575); #15199=VECTOR('',#111799,0.393700787401575); #15200=VECTOR('',#111800,0.393700787401575); #15201=VECTOR('',#111803,0.393700787401575); #15202=VECTOR('',#111804,0.393700787401575); #15203=VECTOR('',#111805,0.393700787401575); #15204=VECTOR('',#111808,0.393700787401575); #15205=VECTOR('',#111809,0.393700787401575); #15206=VECTOR('',#111812,0.393700787401575); #15207=VECTOR('',#111813,0.393700787401575); #15208=VECTOR('',#111814,0.393700787401575); #15209=VECTOR('',#111817,0.393700787401575); #15210=VECTOR('',#111818,0.393700787401575); #15211=VECTOR('',#111819,0.393700787401575); #15212=VECTOR('',#111822,0.393700787401575); #15213=VECTOR('',#111823,0.393700787401575); #15214=VECTOR('',#111824,0.393700787401575); #15215=VECTOR('',#111827,0.393700787401575); #15216=VECTOR('',#111828,0.393700787401575); #15217=VECTOR('',#111831,0.393700787401575); #15218=VECTOR('',#111832,0.393700787401575); #15219=VECTOR('',#111833,0.393700787401575); #15220=VECTOR('',#111834,0.393700787401575); #15221=VECTOR('',#111837,0.393700787401575); #15222=VECTOR('',#111838,0.393700787401575); #15223=VECTOR('',#111839,0.393700787401575); #15224=VECTOR('',#111842,0.393700787401575); #15225=VECTOR('',#111843,0.393700787401575); #15226=VECTOR('',#111844,0.393700787401575); #15227=VECTOR('',#111845,0.393700787401575); #15228=VECTOR('',#111846,0.393700787401575); #15229=VECTOR('',#111849,0.393700787401575); #15230=VECTOR('',#111850,0.393700787401575); #15231=VECTOR('',#111851,0.393700787401575); #15232=VECTOR('',#111854,0.393700787401575); #15233=VECTOR('',#111855,0.393700787401575); #15234=VECTOR('',#111856,0.393700787401575); #15235=VECTOR('',#111859,0.393700787401575); #15236=VECTOR('',#111860,0.393700787401575); #15237=VECTOR('',#111861,0.393700787401575); #15238=VECTOR('',#111862,0.393700787401575); #15239=VECTOR('',#111863,0.393700787401575); #15240=VECTOR('',#111864,0.393700787401575); #15241=VECTOR('',#111865,0.393700787401575); #15242=VECTOR('',#111868,0.393700787401575); #15243=VECTOR('',#111869,0.393700787401575); #15244=VECTOR('',#111870,0.393700787401575); #15245=VECTOR('',#111873,0.393700787401575); #15246=VECTOR('',#111874,0.393700787401575); #15247=VECTOR('',#111879,0.393700787401575); #15248=VECTOR('',#111880,0.393700787401575); #15249=VECTOR('',#111881,0.393700787401575); #15250=VECTOR('',#111882,0.393700787401575); #15251=VECTOR('',#111883,0.393700787401575); #15252=VECTOR('',#111884,0.393700787401575); #15253=VECTOR('',#111887,0.393700787401575); #15254=VECTOR('',#111888,0.393700787401575); #15255=VECTOR('',#111889,0.393700787401575); #15256=VECTOR('',#111892,0.393700787401575); #15257=VECTOR('',#111893,0.393700787401575); #15258=VECTOR('',#111896,0.393700787401575); #15259=VECTOR('',#111897,0.393700787401575); #15260=VECTOR('',#111898,0.393700787401575); #15261=VECTOR('',#111899,0.393700787401575); #15262=VECTOR('',#111902,0.393700787401575); #15263=VECTOR('',#111903,0.393700787401575); #15264=VECTOR('',#111904,0.393700787401575); #15265=VECTOR('',#111907,0.393700787401575); #15266=VECTOR('',#111908,0.393700787401575); #15267=VECTOR('',#111909,0.393700787401575); #15268=VECTOR('',#111912,0.393700787401575); #15269=VECTOR('',#111913,0.393700787401575); #15270=VECTOR('',#111914,0.393700787401575); #15271=VECTOR('',#111917,0.393700787401575); #15272=VECTOR('',#111918,0.393700787401575); #15273=VECTOR('',#111919,0.393700787401575); #15274=VECTOR('',#111922,0.393700787401575); #15275=VECTOR('',#111923,0.393700787401575); #15276=VECTOR('',#111924,0.393700787401575); #15277=VECTOR('',#111927,0.393700787401575); #15278=VECTOR('',#111928,0.393700787401575); #15279=VECTOR('',#111929,0.393700787401575); #15280=VECTOR('',#111932,0.393700787401575); #15281=VECTOR('',#111933,0.393700787401575); #15282=VECTOR('',#111938,0.393700787401575); #15283=VECTOR('',#111939,0.393700787401575); #15284=VECTOR('',#111940,0.393700787401575); #15285=VECTOR('',#111941,0.393700787401575); #15286=VECTOR('',#111944,0.393700787401575); #15287=VECTOR('',#111945,0.393700787401575); #15288=VECTOR('',#111946,0.393700787401575); #15289=VECTOR('',#111949,0.393700787401575); #15290=VECTOR('',#111950,0.393700787401575); #15291=VECTOR('',#111951,0.393700787401575); #15292=VECTOR('',#111954,0.393700787401575); #15293=VECTOR('',#111955,0.393700787401575); #15294=VECTOR('',#111956,0.393700787401575); #15295=VECTOR('',#111959,0.393700787401575); #15296=VECTOR('',#111960,0.393700787401575); #15297=VECTOR('',#111961,0.393700787401575); #15298=VECTOR('',#111964,0.393700787401575); #15299=VECTOR('',#111965,0.393700787401575); #15300=VECTOR('',#111966,0.393700787401575); #15301=VECTOR('',#111969,0.393700787401575); #15302=VECTOR('',#111970,0.393700787401575); #15303=VECTOR('',#111971,0.393700787401575); #15304=VECTOR('',#111974,0.393700787401575); #15305=VECTOR('',#111975,0.393700787401575); #15306=VECTOR('',#111976,0.393700787401575); #15307=VECTOR('',#111979,0.393700787401575); #15308=VECTOR('',#111980,0.393700787401575); #15309=VECTOR('',#111981,0.393700787401575); #15310=VECTOR('',#111984,0.393700787401575); #15311=VECTOR('',#111985,0.393700787401575); #15312=VECTOR('',#111986,0.393700787401575); #15313=VECTOR('',#111989,0.393700787401575); #15314=VECTOR('',#111990,0.393700787401575); #15315=VECTOR('',#111991,0.393700787401575); #15316=VECTOR('',#111994,0.393700787401575); #15317=VECTOR('',#111995,0.393700787401575); #15318=VECTOR('',#112000,0.393700787401575); #15319=VECTOR('',#112001,0.393700787401575); #15320=VECTOR('',#112002,0.393700787401575); #15321=VECTOR('',#112003,0.393700787401575); #15322=VECTOR('',#112006,0.393700787401575); #15323=VECTOR('',#112007,0.393700787401575); #15324=VECTOR('',#112008,0.393700787401575); #15325=VECTOR('',#112011,0.393700787401575); #15326=VECTOR('',#112012,0.393700787401575); #15327=VECTOR('',#112013,0.393700787401575); #15328=VECTOR('',#112016,0.393700787401575); #15329=VECTOR('',#112017,0.393700787401575); #15330=VECTOR('',#112018,0.393700787401575); #15331=VECTOR('',#112021,0.393700787401575); #15332=VECTOR('',#112022,0.393700787401575); #15333=VECTOR('',#112023,0.393700787401575); #15334=VECTOR('',#112026,0.393700787401575); #15335=VECTOR('',#112027,0.393700787401575); #15336=VECTOR('',#112028,0.393700787401575); #15337=VECTOR('',#112031,0.393700787401575); #15338=VECTOR('',#112032,0.393700787401575); #15339=VECTOR('',#112033,0.393700787401575); #15340=VECTOR('',#112036,0.393700787401575); #15341=VECTOR('',#112037,0.393700787401575); #15342=VECTOR('',#112038,0.393700787401575); #15343=VECTOR('',#112041,0.393700787401575); #15344=VECTOR('',#112042,0.393700787401575); #15345=VECTOR('',#112043,0.393700787401575); #15346=VECTOR('',#112046,0.393700787401575); #15347=VECTOR('',#112047,0.393700787401575); #15348=VECTOR('',#112048,0.393700787401575); #15349=VECTOR('',#112051,0.393700787401575); #15350=VECTOR('',#112052,0.393700787401575); #15351=VECTOR('',#112053,0.393700787401575); #15352=VECTOR('',#112056,0.393700787401575); #15353=VECTOR('',#112057,0.393700787401575); #15354=VECTOR('',#112060,0.393700787401575); #15355=VECTOR('',#112061,0.393700787401575); #15356=VECTOR('',#112062,0.393700787401575); #15357=VECTOR('',#112063,0.393700787401575); #15358=VECTOR('',#112066,0.393700787401575); #15359=VECTOR('',#112067,0.393700787401575); #15360=VECTOR('',#112068,0.393700787401575); #15361=VECTOR('',#112071,0.393700787401575); #15362=VECTOR('',#112072,0.393700787401575); #15363=VECTOR('',#112073,0.393700787401575); #15364=VECTOR('',#112076,0.393700787401575); #15365=VECTOR('',#112077,0.393700787401575); #15366=VECTOR('',#112078,0.393700787401575); #15367=VECTOR('',#112079,0.393700787401575); #15368=VECTOR('',#112080,0.393700787401575); #15369=VECTOR('',#112081,0.393700787401575); #15370=VECTOR('',#112084,0.393700787401575); #15371=VECTOR('',#112085,0.393700787401575); #15372=VECTOR('',#112086,0.393700787401575); #15373=VECTOR('',#112089,0.393700787401575); #15374=VECTOR('',#112090,0.393700787401575); #15375=VECTOR('',#112091,0.393700787401575); #15376=VECTOR('',#112094,0.393700787401575); #15377=VECTOR('',#112095,0.393700787401575); #15378=VECTOR('',#112098,0.393700787401575); #15379=VECTOR('',#112099,0.393700787401575); #15380=VECTOR('',#112100,0.393700787401575); #15381=VECTOR('',#112101,0.393700787401575); #15382=VECTOR('',#112102,0.393700787401575); #15383=VECTOR('',#112103,0.393700787401575); #15384=VECTOR('',#112104,0.393700787401575); #15385=VECTOR('',#112105,0.393700787401575); #15386=VECTOR('',#112108,0.393700787401575); #15387=VECTOR('',#112109,0.393700787401575); #15388=VECTOR('',#112110,0.393700787401575); #15389=VECTOR('',#112113,0.393700787401575); #15390=VECTOR('',#112114,0.393700787401575); #15391=VECTOR('',#112117,0.393700787401575); #15392=VECTOR('',#112118,0.393700787401575); #15393=VECTOR('',#112119,0.393700787401575); #15394=VECTOR('',#112122,0.393700787401575); #15395=VECTOR('',#112123,0.393700787401575); #15396=VECTOR('',#112124,0.393700787401575); #15397=VECTOR('',#112127,0.393700787401575); #15398=VECTOR('',#112128,0.393700787401575); #15399=VECTOR('',#112129,0.393700787401575); #15400=VECTOR('',#112132,0.393700787401575); #15401=VECTOR('',#112133,0.393700787401575); #15402=VECTOR('',#112136,0.393700787401575); #15403=VECTOR('',#112137,0.393700787401575); #15404=VECTOR('',#112138,0.393700787401575); #15405=VECTOR('',#112139,0.393700787401575); #15406=VECTOR('',#112142,0.393700787401575); #15407=VECTOR('',#112143,0.393700787401575); #15408=VECTOR('',#112144,0.393700787401575); #15409=VECTOR('',#112147,0.393700787401575); #15410=VECTOR('',#112148,0.393700787401575); #15411=VECTOR('',#112149,0.393700787401575); #15412=VECTOR('',#112150,0.393700787401575); #15413=VECTOR('',#112151,0.393700787401575); #15414=VECTOR('',#112154,0.393700787401575); #15415=VECTOR('',#112155,0.393700787401575); #15416=VECTOR('',#112156,0.393700787401575); #15417=VECTOR('',#112159,0.393700787401575); #15418=VECTOR('',#112160,0.393700787401575); #15419=VECTOR('',#112161,0.393700787401575); #15420=VECTOR('',#112164,0.393700787401575); #15421=VECTOR('',#112165,0.393700787401575); #15422=VECTOR('',#112166,0.393700787401575); #15423=VECTOR('',#112167,0.393700787401575); #15424=VECTOR('',#112168,0.393700787401575); #15425=VECTOR('',#112169,0.393700787401575); #15426=VECTOR('',#112170,0.393700787401575); #15427=VECTOR('',#112173,0.393700787401575); #15428=VECTOR('',#112174,0.393700787401575); #15429=VECTOR('',#112175,0.393700787401575); #15430=VECTOR('',#112178,0.393700787401575); #15431=VECTOR('',#112179,0.393700787401575); #15432=VECTOR('',#112184,0.393700787401575); #15433=VECTOR('',#112185,0.393700787401575); #15434=VECTOR('',#112186,0.393700787401575); #15435=VECTOR('',#112187,0.393700787401575); #15436=VECTOR('',#112190,0.393700787401575); #15437=VECTOR('',#112191,0.393700787401575); #15438=VECTOR('',#112192,0.393700787401575); #15439=VECTOR('',#112195,0.393700787401575); #15440=VECTOR('',#112196,0.393700787401575); #15441=VECTOR('',#112197,0.393700787401575); #15442=VECTOR('',#112200,0.393700787401575); #15443=VECTOR('',#112201,0.393700787401575); #15444=VECTOR('',#112202,0.393700787401575); #15445=VECTOR('',#112205,0.393700787401575); #15446=VECTOR('',#112206,0.393700787401575); #15447=VECTOR('',#112207,0.393700787401575); #15448=VECTOR('',#112210,0.393700787401575); #15449=VECTOR('',#112211,0.393700787401575); #15450=VECTOR('',#112212,0.393700787401575); #15451=VECTOR('',#112215,0.393700787401575); #15452=VECTOR('',#112216,0.393700787401575); #15453=VECTOR('',#112217,0.393700787401575); #15454=VECTOR('',#112220,0.393700787401575); #15455=VECTOR('',#112221,0.393700787401575); #15456=VECTOR('',#112222,0.393700787401575); #15457=VECTOR('',#112225,0.393700787401575); #15458=VECTOR('',#112226,0.393700787401575); #15459=VECTOR('',#112227,0.393700787401575); #15460=VECTOR('',#112230,0.393700787401575); #15461=VECTOR('',#112231,0.393700787401575); #15462=VECTOR('',#112232,0.393700787401575); #15463=VECTOR('',#112235,0.393700787401575); #15464=VECTOR('',#112236,0.393700787401575); #15465=VECTOR('',#112237,0.393700787401575); #15466=VECTOR('',#112240,0.393700787401575); #15467=VECTOR('',#112241,0.393700787401575); #15468=VECTOR('',#112246,0.393700787401575); #15469=VECTOR('',#112249,0.393700787401575); #15470=VECTOR('',#112252,0.393700787401575); #15471=VECTOR('',#112263,0.393700787401575); #15472=VECTOR('',#112266,0.393700787401575); #15473=VECTOR('',#112267,0.393700787401575); #15474=VECTOR('',#112268,0.393700787401575); #15475=VECTOR('',#112271,0.393700787401575); #15476=VECTOR('',#112282,0.393700787401575); #15477=VECTOR('',#112285,0.393700787401575); #15478=VECTOR('',#112306,0.393700787401575); #15479=VECTOR('',#112307,0.393700787401575); #15480=VECTOR('',#112310,0.393700787401575); #15481=VECTOR('',#112311,0.393700787401575); #15482=VECTOR('',#112316,0.393700787401575); #15483=VECTOR('',#112319,0.393700787401575); #15484=VECTOR('',#112322,0.393700787401575); #15485=VECTOR('',#112323,0.393700787401575); #15486=VECTOR('',#112324,0.393700787401575); #15487=VECTOR('',#112329,0.393700787401575); #15488=VECTOR('',#112330,0.393700787401575); #15489=VECTOR('',#112335,0.393700787401575); #15490=VECTOR('',#112340,0.393700787401575); #15491=VECTOR('',#112343,0.393700787401575); #15492=VECTOR('',#112346,0.393700787401575); #15493=VECTOR('',#112351,0.393700787401575); #15494=VECTOR('',#112358,0.393700787401575); #15495=VECTOR('',#112361,0.393700787401575); #15496=VECTOR('',#112366,0.393700787401575); #15497=VECTOR('',#112369,0.393700787401575); #15498=VECTOR('',#112372,0.393700787401575); #15499=VECTOR('',#112377,0.393700787401575); #15500=VECTOR('',#112380,0.393700787401575); #15501=VECTOR('',#112391,0.393700787401575); #15502=VECTOR('',#112410,0.393700787401575); #15503=VECTOR('',#112421,0.393700787401575); #15504=VECTOR('',#112424,0.393700787401575); #15505=VECTOR('',#112425,0.393700787401575); #15506=VECTOR('',#112426,0.393700787401575); #15507=VECTOR('',#112429,0.393700787401575); #15508=VECTOR('',#112438,0.393700787401575); #15509=VECTOR('',#112441,0.393700787401575); #15510=VECTOR('',#112450,0.393700787401575); #15511=VECTOR('',#112453,0.393700787401575); #15512=VECTOR('',#112456,0.393700787401575); #15513=VECTOR('',#112461,0.393700787401575); #15514=VECTOR('',#112464,0.393700787401575); #15515=VECTOR('',#112467,0.393700787401575); #15516=VECTOR('',#112468,0.393700787401575); #15517=VECTOR('',#112469,0.393700787401575); #15518=VECTOR('',#112472,0.172624); #15519=VECTOR('',#112473,0.393700787401575); #15520=VECTOR('',#112474,0.172624); #15521=VECTOR('',#112477,0.393700787401575); #15522=VECTOR('',#112480,0.393700787401575); #15523=VECTOR('',#112487,0.393700787401575); #15524=VECTOR('',#112490,0.393700787401575); #15525=VECTOR('',#112491,0.393700787401575); #15526=VECTOR('',#112494,0.393700787401575); #15527=VECTOR('',#112497,0.393700787401575); #15528=VECTOR('',#112500,0.393700787401575); #15529=VECTOR('',#112501,0.393700787401575); #15530=VECTOR('',#112504,0.393700787401575); #15531=VECTOR('',#112507,0.393700787401575); #15532=VECTOR('',#112508,0.393700787401575); #15533=VECTOR('',#112511,0.393700787401575); #15534=VECTOR('',#112514,0.15625); #15535=VECTOR('',#112519,0.125); #15536=VECTOR('',#112522,0.15625); #15537=VECTOR('',#112527,0.125); #15538=VECTOR('',#112530,0.393700787401575); #15539=VECTOR('',#112531,0.393700787401575); #15540=VECTOR('',#112534,0.393700787401575); #15541=VECTOR('',#112537,0.393700787401575); #15542=VECTOR('',#112542,0.393700787401575); #15543=VECTOR('',#112543,0.393700787401575); #15544=VECTOR('',#112544,0.393700787401575); #15545=VECTOR('',#112549,0.393700787401575); #15546=VECTOR('',#112552,0.393700787401575); #15547=VECTOR('',#112553,0.393700787401575); #15548=VECTOR('',#112560,0.393700787401575); #15549=VECTOR('',#112563,0.393700787401575); #15550=VECTOR('',#112568,0.172624); #15551=VECTOR('',#112569,0.393700787401575); #15552=VECTOR('',#112572,0.393700787401575); #15553=VECTOR('',#112575,0.393700787401575); #15554=VECTOR('',#112578,0.393700787401575); #15555=VECTOR('',#112583,0.393700787401575); #15556=VECTOR('',#112588,0.393700787401575); #15557=VECTOR('',#112591,0.393700787401575); #15558=VECTOR('',#112594,0.393700787401575); #15559=VECTOR('',#112599,0.393700787401575); #15560=VECTOR('',#112600,0.172624); #15561=VECTOR('',#112601,0.172624); #15562=VECTOR('',#112604,0.393700787401575); #15563=VECTOR('',#112605,0.172624); #15564=VECTOR('',#112608,0.393700787401575); #15565=VECTOR('',#112611,0.393700787401575); #15566=VECTOR('',#112614,0.393700787401575); #15567=VECTOR('',#112619,0.393700787401575); #15568=VECTOR('',#112624,0.393700787401575); #15569=VECTOR('',#112627,0.172624); #15570=VECTOR('',#112628,0.393700787401575); #15571=VECTOR('',#112629,0.393700787401575); #15572=VECTOR('',#112632,0.172624); #15573=VECTOR('',#112633,0.393700787401575); #15574=VECTOR('',#112636,0.393700787401575); #15575=VECTOR('',#112643,0.172624); #15576=VECTOR('',#112644,0.393700787401575); #15577=VECTOR('',#112649,0.393700787401575); #15578=VECTOR('',#112670,0.393700787401575); #15579=VECTOR('',#112671,0.393700787401575); #15580=VECTOR('',#112672,0.393700787401575); #15581=VECTOR('',#112673,0.393700787401575); #15582=VECTOR('',#112680,0.393700787401575); #15583=VECTOR('',#112683,0.393700787401575); #15584=VECTOR('',#112684,0.393700787401575); #15585=VECTOR('',#112685,0.393700787401575); #15586=VECTOR('',#112814,0.531); #15587=VECTOR('',#112833,0.149403567296446); #15588=VECTOR('',#112844,0.057); #15589=VECTOR('',#112849,0.10625); #15590=VECTOR('',#112854,0.12125); #15591=VECTOR('',#112855,0.12125); #15592=VECTOR('',#112874,0.12); #15593=VECTOR('',#112885,0.1925); #15594=VECTOR('',#112914,0.125); #15595=VECTOR('',#112921,0.3125); #15596=VECTOR('',#112948,0.345); #15597=VECTOR('',#112965,0.2275); #15598=VECTOR('',#112978,0.3185); #15599=VECTOR('',#112983,0.164); #15600=VECTOR('',#112992,0.1075); #15601=VECTOR('',#112997,0.1225); #15602=VECTOR('',#113008,0.094); #15603=VECTOR('',#113015,0.0905); #15604=VECTOR('',#113018,0.393700787401575); #15605=VECTOR('',#113019,0.393700787401575); #15606=VECTOR('',#113020,0.393700787401575); #15607=VECTOR('',#113023,0.393700787401575); #15608=VECTOR('',#113024,0.393700787401575); #15609=VECTOR('',#113027,0.393700787401575); #15610=VECTOR('',#113028,0.393700787401575); #15611=VECTOR('',#113031,0.393700787401575); #15612=VECTOR('',#113032,0.393700787401575); #15613=VECTOR('',#113035,0.393700787401575); #15614=VECTOR('',#113036,0.393700787401575); #15615=VECTOR('',#113039,0.393700787401575); #15616=VECTOR('',#113046,0.2); #15617=VECTOR('',#113051,0.215); #15618=VECTOR('',#113060,0.1495); #15619=VECTOR('',#113071,0.1545); #15620=VECTOR('',#113082,0.393700787401575); #15621=VECTOR('',#113085,0.393700787401575); #15622=VECTOR('',#113088,0.393700787401575); #15623=VECTOR('',#113089,0.393700787401575); #15624=VECTOR('',#113090,0.393700787401575); #15625=VECTOR('',#113093,0.393700787401575); #15626=VECTOR('',#113096,0.393700787401575); #15627=VECTOR('',#113097,0.393700787401575); #15628=VECTOR('',#113098,0.393700787401575); #15629=VECTOR('',#113099,0.393700787401575); #15630=VECTOR('',#113100,0.393700787401575); #15631=VECTOR('',#113101,0.393700787401575); #15632=VECTOR('',#113104,0.393700787401575); #15633=VECTOR('',#113105,0.393700787401575); #15634=VECTOR('',#113106,0.393700787401575); #15635=VECTOR('',#113107,0.393700787401575); #15636=VECTOR('',#113108,0.393700787401575); #15637=VECTOR('',#113109,0.393700787401575); #15638=VECTOR('',#113112,0.393700787401575); #15639=VECTOR('',#113115,0.393700787401575); #15640=VECTOR('',#113118,0.393700787401575); #15641=VECTOR('',#113129,0.393700787401575); #15642=VECTOR('',#113130,0.393700787401575); #15643=VECTOR('',#113135,0.115); #15644=VECTOR('',#113146,0.147); #15645=VECTOR('',#113157,0.393700787401575); #15646=VECTOR('',#113162,0.393700787401575); #15647=VECTOR('',#113163,0.393700787401575); #15648=VECTOR('',#113184,0.393700787401575); #15649=VECTOR('',#113187,0.393700787401575); #15650=VECTOR('',#113212,0.393700787401575); #15651=VECTOR('',#113213,0.393700787401575); #15652=VECTOR('',#113224,0.393700787401575); #15653=VECTOR('',#113225,0.393700787401575); #15654=VECTOR('',#113236,0.393700787401575); #15655=VECTOR('',#113237,0.393700787401575); #15656=VECTOR('',#113258,0.393700787401575); #15657=VECTOR('',#113261,0.393700787401575); #15658=VECTOR('',#113264,0.393700787401575); #15659=VECTOR('',#113267,0.393700787401575); #15660=VECTOR('',#113268,0.393700787401575); #15661=VECTOR('',#113269,0.393700787401575); #15662=VECTOR('',#113274,0.088); #15663=VECTOR('',#113279,0.088); #15664=VECTOR('',#113284,0.393700787401575); #15665=VECTOR('',#113289,0.393700787401575); #15666=VECTOR('',#113290,0.393700787401575); #15667=VECTOR('',#113293,0.393700787401575); #15668=VECTOR('',#113294,0.393700787401575); #15669=VECTOR('',#113295,0.393700787401575); #15670=VECTOR('',#113304,0.393700787401575); #15671=VECTOR('',#113329,0.393700787401575); #15672=VECTOR('',#113330,0.393700787401575); #15673=VECTOR('',#113355,0.393700787401575); #15674=VECTOR('',#113356,0.393700787401575); #15675=VECTOR('',#113367,0.393700787401575); #15676=VECTOR('',#113368,0.393700787401575); #15677=VECTOR('',#113375,0.393700787401575); #15678=VECTOR('',#113378,0.088); #15679=VECTOR('',#113381,0.393700787401575); #15680=VECTOR('',#113382,0.393700787401575); #15681=VECTOR('',#113385,0.088); #15682=VECTOR('',#113390,0.088); #15683=VECTOR('',#113401,0.393700787401575); #15684=VECTOR('',#113404,0.393700787401575); #15685=VECTOR('',#113425,0.393700787401575); #15686=VECTOR('',#113428,0.393700787401575); #15687=VECTOR('',#113441,0.393700787401575); #15688=VECTOR('',#113442,0.393700787401575); #15689=VECTOR('',#113445,0.393700787401575); #15690=VECTOR('',#113448,0.393700787401575); #15691=VECTOR('',#113449,0.393700787401575); #15692=VECTOR('',#113452,0.393700787401575); #15693=VECTOR('',#113453,0.393700787401575); #15694=VECTOR('',#113456,0.393700787401575); #15695=VECTOR('',#113459,0.393700787401575); #15696=VECTOR('',#113462,0.393700787401575); #15697=VECTOR('',#113463,0.393700787401575); #15698=VECTOR('',#113466,0.393700787401575); #15699=VECTOR('',#113467,0.393700787401575); #15700=VECTOR('',#113484,0.393700787401575); #15701=VECTOR('',#113487,0.088); #15702=VECTOR('',#113488,0.088); #15703=VECTOR('',#113495,0.088); #15704=VECTOR('',#113496,0.088); #15705=VECTOR('',#113499,0.088); #15706=VECTOR('',#113522,0.393700787401575); #15707=VECTOR('',#113523,0.393700787401575); #15708=VECTOR('',#113538,0.393700787401575); #15709=VECTOR('',#113539,0.393700787401575); #15710=VECTOR('',#113540,0.393700787401575); #15711=VECTOR('',#113541,0.393700787401575); #15712=VECTOR('',#113544,0.393700787401575); #15713=VECTOR('',#113545,0.393700787401575); #15714=VECTOR('',#113546,0.393700787401575); #15715=VECTOR('',#113547,0.393700787401575); #15716=VECTOR('',#113550,0.393700787401575); #15717=VECTOR('',#113551,0.393700787401575); #15718=VECTOR('',#113552,0.393700787401575); #15719=VECTOR('',#113555,0.393700787401575); #15720=VECTOR('',#113556,0.393700787401575); #15721=VECTOR('',#113557,0.393700787401575); #15722=VECTOR('',#113560,0.393700787401575); #15723=VECTOR('',#113561,0.393700787401575); #15724=VECTOR('',#113562,0.393700787401575); #15725=VECTOR('',#113563,0.393700787401575); #15726=VECTOR('',#113570,0.393700787401575); #15727=VECTOR('',#113573,0.393700787401575); #15728=VECTOR('',#113596,0.393700787401575); #15729=VECTOR('',#113597,0.393700787401575); #15730=VECTOR('',#113598,0.393700787401575); #15731=VECTOR('',#113599,0.393700787401575); #15732=VECTOR('',#113602,0.393700787401575); #15733=VECTOR('',#113613,0.393700787401575); #15734=VECTOR('',#113616,0.393700787401575); #15735=VECTOR('',#113623,0.393700787401575); #15736=VECTOR('',#113624,0.393700787401575); #15737=VECTOR('',#113625,0.393700787401575); #15738=VECTOR('',#113626,0.393700787401575); #15739=VECTOR('',#113631,0.393700787401575); #15740=VECTOR('',#113632,0.393700787401575); #15741=VECTOR('',#113635,0.393700787401575); #15742=VECTOR('',#113638,0.393700787401575); #15743=VECTOR('',#113643,0.393700787401575); #15744=VECTOR('',#113644,0.393700787401575); #15745=VECTOR('',#113645,0.393700787401575); #15746=VECTOR('',#113646,0.393700787401575); #15747=VECTOR('',#113649,0.393700787401575); #15748=VECTOR('',#113650,0.393700787401575); #15749=VECTOR('',#113651,0.393700787401575); #15750=VECTOR('',#113654,0.393700787401575); #15751=VECTOR('',#113655,0.393700787401575); #15752=VECTOR('',#113656,0.393700787401575); #15753=VECTOR('',#113659,0.393700787401575); #15754=VECTOR('',#113660,0.393700787401575); #15755=VECTOR('',#113665,0.393700787401575); #15756=VECTOR('',#113668,0.393700787401575); #15757=VECTOR('',#113671,0.393700787401575); #15758=VECTOR('',#113672,0.393700787401575); #15759=VECTOR('',#113673,0.393700787401575); #15760=VECTOR('',#113680,0.393700787401575); #15761=VECTOR('',#113687,0.393700787401575); #15762=VECTOR('',#113692,0.393700787401575); #15763=VECTOR('',#113693,0.393700787401575); #15764=VECTOR('',#113698,0.393700787401575); #15765=VECTOR('',#113699,0.393700787401575); #15766=VECTOR('',#113702,0.393700787401575); #15767=VECTOR('',#113703,0.393700787401575); #15768=VECTOR('',#113706,0.393700787401575); #15769=VECTOR('',#113707,0.393700787401575); #15770=VECTOR('',#113712,0.393700787401575); #15771=VECTOR('',#113723,0.393700787401575); #15772=VECTOR('',#113726,0.393700787401575); #15773=VECTOR('',#113729,0.393700787401575); #15774=VECTOR('',#113732,0.393700787401575); #15775=VECTOR('',#113735,0.393700787401575); #15776=VECTOR('',#113738,0.393700787401575); #15777=VECTOR('',#113741,0.393700787401575); #15778=VECTOR('',#113748,0.393700787401575); #15779=VECTOR('',#113749,0.393700787401575); #15780=VECTOR('',#113750,0.393700787401575); #15781=VECTOR('',#113751,0.393700787401575); #15782=VECTOR('',#113754,0.393700787401575); #15783=VECTOR('',#113755,0.393700787401575); #15784=VECTOR('',#113756,0.393700787401575); #15785=VECTOR('',#113765,0.393700787401575); #15786=VECTOR('',#113772,0.393700787401575); #15787=VECTOR('',#113777,0.393700787401575); #15788=VECTOR('',#113778,0.393700787401575); #15789=VECTOR('',#113779,0.393700787401575); #15790=VECTOR('',#113780,0.393700787401575); #15791=VECTOR('',#113781,0.393700787401575); #15792=VECTOR('',#113784,0.393700787401575); #15793=VECTOR('',#113789,0.393700787401575); #15794=VECTOR('',#113790,0.393700787401575); #15795=VECTOR('',#113795,0.393700787401575); #15796=VECTOR('',#113796,0.393700787401575); #15797=VECTOR('',#113799,0.393700787401575); #15798=VECTOR('',#113800,0.393700787401575); #15799=VECTOR('',#113807,0.393700787401575); #15800=VECTOR('',#113812,0.393700787401575); #15801=VECTOR('',#113817,0.393700787401575); #15802=VECTOR('',#113818,0.393700787401575); #15803=VECTOR('',#113823,0.393700787401575); #15804=VECTOR('',#113830,0.393700787401575); #15805=VECTOR('',#113831,0.393700787401575); #15806=VECTOR('',#113832,0.393700787401575); #15807=VECTOR('',#113833,0.393700787401575); #15808=VECTOR('',#113836,0.393700787401575); #15809=VECTOR('',#113841,0.393700787401575); #15810=VECTOR('',#113842,0.393700787401575); #15811=VECTOR('',#113845,0.393700787401575); #15812=VECTOR('',#113848,0.393700787401575); #15813=VECTOR('',#113853,0.393700787401575); #15814=VECTOR('',#113854,0.393700787401575); #15815=VECTOR('',#113855,0.393700787401575); #15816=VECTOR('',#113856,0.393700787401575); #15817=VECTOR('',#113859,0.393700787401575); #15818=VECTOR('',#113860,0.393700787401575); #15819=VECTOR('',#113861,0.393700787401575); #15820=VECTOR('',#113864,0.393700787401575); #15821=VECTOR('',#113865,0.393700787401575); #15822=VECTOR('',#113866,0.393700787401575); #15823=VECTOR('',#113869,0.393700787401575); #15824=VECTOR('',#113870,0.393700787401575); #15825=VECTOR('',#113875,0.393700787401575); #15826=VECTOR('',#113878,0.393700787401575); #15827=VECTOR('',#113881,0.393700787401575); #15828=VECTOR('',#113882,0.393700787401575); #15829=VECTOR('',#113883,0.393700787401575); #15830=VECTOR('',#113890,0.393700787401575); #15831=VECTOR('',#113897,0.393700787401575); #15832=VECTOR('',#113902,0.393700787401575); #15833=VECTOR('',#113903,0.393700787401575); #15834=VECTOR('',#113908,0.393700787401575); #15835=VECTOR('',#113909,0.393700787401575); #15836=VECTOR('',#113912,0.393700787401575); #15837=VECTOR('',#113913,0.393700787401575); #15838=VECTOR('',#113916,0.393700787401575); #15839=VECTOR('',#113917,0.393700787401575); #15840=VECTOR('',#113922,0.393700787401575); #15841=VECTOR('',#113933,0.393700787401575); #15842=VECTOR('',#113934,0.393700787401575); #15843=VECTOR('',#113937,0.393700787401575); #15844=VECTOR('',#113940,0.393700787401575); #15845=VECTOR('',#113943,0.393700787401575); #15846=VECTOR('',#113950,0.393700787401575); #15847=VECTOR('',#113953,0.393700787401575); #15848=VECTOR('',#113958,0.393700787401575); #15849=VECTOR('',#113959,0.393700787401575); #15850=VECTOR('',#113960,0.393700787401575); #15851=VECTOR('',#113961,0.393700787401575); #15852=VECTOR('',#113964,0.393700787401575); #15853=VECTOR('',#113965,0.393700787401575); #15854=VECTOR('',#113966,0.393700787401575); #15855=VECTOR('',#113969,0.393700787401575); #15856=VECTOR('',#113970,0.393700787401575); #15857=VECTOR('',#113971,0.393700787401575); #15858=VECTOR('',#113974,0.393700787401575); #15859=VECTOR('',#113975,0.393700787401575); #15860=VECTOR('',#113980,0.393700787401575); #15861=VECTOR('',#113983,0.393700787401575); #15862=VECTOR('',#113988,0.393700787401575); #15863=VECTOR('',#113993,0.393700787401575); #15864=VECTOR('',#113994,0.393700787401575); #15865=VECTOR('',#113995,0.393700787401575); #15866=VECTOR('',#114000,0.393700787401575); #15867=VECTOR('',#114007,0.393700787401575); #15868=VECTOR('',#114012,0.393700787401575); #15869=VECTOR('',#114017,0.393700787401575); #15870=VECTOR('',#114018,0.393700787401575); #15871=VECTOR('',#114023,0.393700787401575); #15872=VECTOR('',#114024,0.393700787401575); #15873=VECTOR('',#114027,0.393700787401575); #15874=VECTOR('',#114028,0.393700787401575); #15875=VECTOR('',#114033,0.393700787401575); #15876=VECTOR('',#114038,0.393700787401575); #15877=VECTOR('',#114041,0.393700787401575); #15878=VECTOR('',#114042,0.393700787401575); #15879=VECTOR('',#114045,0.393700787401575); #15880=VECTOR('',#114052,0.393700787401575); #15881=VECTOR('',#114053,0.393700787401575); #15882=VECTOR('',#114056,0.393700787401575); #15883=VECTOR('',#114081,0.393700787401575); #15884=VECTOR('',#114082,0.393700787401575); #15885=VECTOR('',#114099,0.393700787401575); #15886=VECTOR('',#114100,0.393700787401575); #15887=VECTOR('',#114103,0.393700787401575); #15888=VECTOR('',#114106,0.393700787401575); #15889=VECTOR('',#114109,0.393700787401575); #15890=VECTOR('',#114114,0.393700787401575); #15891=VECTOR('',#114115,0.393700787401575); #15892=VECTOR('',#114118,0.393700787401575); #15893=VECTOR('',#114125,0.3125); #15894=VECTOR('',#114126,0.3125); #15895=VECTOR('',#114129,0.393700787401575); #15896=VECTOR('',#114132,0.393700787401575); #15897=VECTOR('',#114139,0.393700787401575); #15898=VECTOR('',#114142,0.393700787401575); #15899=VECTOR('',#114149,0.393700787401575); #15900=VECTOR('',#114152,0.393700787401575); #15901=VECTOR('',#114169,0.393700787401575); #15902=VECTOR('',#114188,0.393700787401575); #15903=VECTOR('',#114191,0.393700787401575); #15904=VECTOR('',#114202,0.393700787401575); #15905=VECTOR('',#114205,0.393700787401575); #15906=VECTOR('',#114210,0.393700787401575); #15907=VECTOR('',#114213,0.393700787401575); #15908=VECTOR('',#114222,0.393700787401575); #15909=VECTOR('',#114227,0.393700787401575); #15910=VECTOR('',#114228,0.393700787401575); #15911=VECTOR('',#114231,0.393700787401575); #15912=VECTOR('',#114234,0.393700787401575); #15913=VECTOR('',#114245,0.393700787401575); #15914=VECTOR('',#114248,0.393700787401575); #15915=VECTOR('',#114255,0.393700787401575); #15916=VECTOR('',#114270,0.393700787401575); #15917=VECTOR('',#114277,0.393700787401575); #15918=VECTOR('',#114282,0.393700787401575); #15919=VECTOR('',#114295,0.393700787401575); #15920=VECTOR('',#114298,0.393700787401575); #15921=VECTOR('',#114299,0.393700787401575); #15922=VECTOR('',#114300,0.393700787401575); #15923=VECTOR('',#114301,0.393700787401575); #15924=VECTOR('',#114304,0.393700787401575); #15925=VECTOR('',#114305,0.393700787401575); #15926=VECTOR('',#114306,0.393700787401575); #15927=VECTOR('',#114307,0.393700787401575); #15928=VECTOR('',#114318,0.393700787401575); #15929=VECTOR('',#114323,0.313); #15930=VECTOR('',#114332,0.393700787401575); #15931=VECTOR('',#114335,0.393700787401575); #15932=VECTOR('',#114342,0.393700787401575); #15933=VECTOR('',#114349,0.393700787401575); #15934=VECTOR('',#114394,0.0811249999999999); #15935=VECTOR('',#114403,0.074); #15936=VECTOR('',#114414,0.0882499999999999); #15937=VECTOR('',#114433,0.393700787401575); #15938=VECTOR('',#114436,0.393700787401575); #15939=VECTOR('',#114439,0.393700787401575); #15940=VECTOR('',#114442,0.393700787401575); #15941=VECTOR('',#114443,0.24925); #15942=VECTOR('',#114446,0.393700787401575); #15943=VECTOR('',#114449,0.24925); #15944=VECTOR('',#114452,0.393700787401575); #15945=VECTOR('',#114455,0.393700787401575); #15946=VECTOR('',#114458,0.393700787401575); #15947=VECTOR('',#114461,0.393700787401575); #15948=VECTOR('',#114464,0.393700787401575); #15949=VECTOR('',#114467,0.393700787401575); #15950=VECTOR('',#114470,0.393700787401575); #15951=VECTOR('',#114473,0.393700787401575); #15952=VECTOR('',#114476,0.393700787401575); #15953=VECTOR('',#114479,0.393700787401575); #15954=VECTOR('',#114482,0.393700787401575); #15955=VECTOR('',#114485,0.393700787401575); #15956=VECTOR('',#114488,0.393700787401575); #15957=VECTOR('',#114491,0.393700787401575); #15958=VECTOR('',#114494,0.393700787401575); #15959=VECTOR('',#114497,0.393700787401575); #15960=VECTOR('',#114500,0.393700787401575); #15961=VECTOR('',#114503,0.393700787401575); #15962=VECTOR('',#114506,0.393700787401575); #15963=VECTOR('',#114509,0.393700787401575); #15964=VECTOR('',#114512,0.393700787401575); #15965=VECTOR('',#114515,0.393700787401575); #15966=VECTOR('',#114518,0.393700787401575); #15967=VECTOR('',#114521,0.393700787401575); #15968=VECTOR('',#114524,0.393700787401575); #15969=VECTOR('',#114527,0.393700787401575); #15970=VECTOR('',#114532,0.393700787401575); #15971=VECTOR('',#114535,0.393700787401575); #15972=VECTOR('',#114538,0.393700787401575); #15973=VECTOR('',#114543,0.393700787401575); #15974=VECTOR('',#114546,0.393700787401575); #15975=VECTOR('',#114549,0.393700787401575); #15976=VECTOR('',#114552,0.393700787401575); #15977=VECTOR('',#114557,0.393700787401575); #15978=VECTOR('',#114560,0.393700787401575); #15979=VECTOR('',#114563,0.393700787401575); #15980=VECTOR('',#114568,0.393700787401575); #15981=VECTOR('',#114571,0.393700787401575); #15982=VECTOR('',#114590,0.24925); #15983=VECTOR('',#114601,0.393700787401575); #15984=VECTOR('',#114602,0.393700787401575); #15985=VECTOR('',#114603,0.393700787401575); #15986=VECTOR('',#114606,0.393700787401575); #15987=VECTOR('',#114607,0.393700787401575); #15988=VECTOR('',#114608,0.393700787401575); #15989=VECTOR('',#114613,0.393700787401575); #15990=VECTOR('',#114620,0.393700787401575); #15991=VECTOR('',#114627,0.393700787401575); #15992=VECTOR('',#114632,0.393700787401575); #15993=VECTOR('',#114633,0.393700787401575); #15994=VECTOR('',#114634,0.393700787401575); #15995=VECTOR('',#114637,0.393700787401575); #15996=VECTOR('',#114638,0.393700787401575); #15997=VECTOR('',#114645,0.393700787401575); #15998=VECTOR('',#114646,0.393700787401575); #15999=VECTOR('',#114647,0.393700787401575); #16000=VECTOR('',#114648,0.393700787401575); #16001=VECTOR('',#114651,0.393700787401575); #16002=VECTOR('',#114652,0.393700787401575); #16003=VECTOR('',#114653,0.393700787401575); #16004=VECTOR('',#114654,0.393700787401575); #16005=VECTOR('',#114655,0.393700787401575); #16006=VECTOR('',#114658,0.393700787401575); #16007=VECTOR('',#114659,0.393700787401575); #16008=VECTOR('',#114660,0.393700787401575); #16009=VECTOR('',#114663,0.393700787401575); #16010=VECTOR('',#114664,0.393700787401575); #16011=VECTOR('',#114665,0.393700787401575); #16012=VECTOR('',#114668,0.393700787401575); #16013=VECTOR('',#114669,0.393700787401575); #16014=VECTOR('',#114670,0.393700787401575); #16015=VECTOR('',#114673,0.393700787401575); #16016=VECTOR('',#114674,0.393700787401575); #16017=VECTOR('',#114675,0.393700787401575); #16018=VECTOR('',#114678,0.393700787401575); #16019=VECTOR('',#114679,0.393700787401575); #16020=VECTOR('',#114680,0.393700787401575); #16021=VECTOR('',#114683,0.393700787401575); #16022=VECTOR('',#114684,0.393700787401575); #16023=VECTOR('',#114685,0.393700787401575); #16024=VECTOR('',#114686,0.393700787401575); #16025=VECTOR('',#114687,0.393700787401575); #16026=VECTOR('',#114690,0.393700787401575); #16027=VECTOR('',#114691,0.393700787401575); #16028=VECTOR('',#114692,0.393700787401575); #16029=VECTOR('',#114695,0.393700787401575); #16030=VECTOR('',#114696,0.393700787401575); #16031=VECTOR('',#114697,0.393700787401575); #16032=VECTOR('',#114700,0.393700787401575); #16033=VECTOR('',#114701,0.393700787401575); #16034=VECTOR('',#114702,0.393700787401575); #16035=VECTOR('',#114705,0.393700787401575); #16036=VECTOR('',#114706,0.393700787401575); #16037=VECTOR('',#114711,0.393700787401575); #16038=VECTOR('',#114712,0.393700787401575); #16039=VECTOR('',#114713,0.393700787401575); #16040=VECTOR('',#114714,0.393700787401575); #16041=VECTOR('',#114717,0.393700787401575); #16042=VECTOR('',#114718,0.393700787401575); #16043=VECTOR('',#114719,0.393700787401575); #16044=VECTOR('',#114720,0.393700787401575); #16045=VECTOR('',#114721,0.393700787401575); #16046=VECTOR('',#114724,0.393700787401575); #16047=VECTOR('',#114725,0.393700787401575); #16048=VECTOR('',#114726,0.393700787401575); #16049=VECTOR('',#114729,0.393700787401575); #16050=VECTOR('',#114730,0.393700787401575); #16051=VECTOR('',#114731,0.393700787401575); #16052=VECTOR('',#114734,0.393700787401575); #16053=VECTOR('',#114735,0.393700787401575); #16054=VECTOR('',#114736,0.393700787401575); #16055=VECTOR('',#114739,0.393700787401575); #16056=VECTOR('',#114740,0.393700787401575); #16057=VECTOR('',#114741,0.393700787401575); #16058=VECTOR('',#114744,0.393700787401575); #16059=VECTOR('',#114745,0.393700787401575); #16060=VECTOR('',#114746,0.393700787401575); #16061=VECTOR('',#114749,0.393700787401575); #16062=VECTOR('',#114750,0.393700787401575); #16063=VECTOR('',#114751,0.393700787401575); #16064=VECTOR('',#114752,0.393700787401575); #16065=VECTOR('',#114753,0.393700787401575); #16066=VECTOR('',#114756,0.393700787401575); #16067=VECTOR('',#114757,0.393700787401575); #16068=VECTOR('',#114758,0.393700787401575); #16069=VECTOR('',#114761,0.393700787401575); #16070=VECTOR('',#114762,0.393700787401575); #16071=VECTOR('',#114763,0.393700787401575); #16072=VECTOR('',#114766,0.393700787401575); #16073=VECTOR('',#114767,0.393700787401575); #16074=VECTOR('',#114768,0.393700787401575); #16075=VECTOR('',#114771,0.393700787401575); #16076=VECTOR('',#114772,0.393700787401575); #16077=VECTOR('',#114777,0.393700787401575); #16078=VECTOR('',#114778,0.393700787401575); #16079=VECTOR('',#114779,0.393700787401575); #16080=VECTOR('',#114780,0.393700787401575); #16081=VECTOR('',#114783,0.393700787401575); #16082=VECTOR('',#114784,0.393700787401575); #16083=VECTOR('',#114785,0.393700787401575); #16084=VECTOR('',#114786,0.393700787401575); #16085=VECTOR('',#114787,0.393700787401575); #16086=VECTOR('',#114790,0.393700787401575); #16087=VECTOR('',#114791,0.393700787401575); #16088=VECTOR('',#114792,0.393700787401575); #16089=VECTOR('',#114795,0.393700787401575); #16090=VECTOR('',#114796,0.393700787401575); #16091=VECTOR('',#114797,0.393700787401575); #16092=VECTOR('',#114800,0.393700787401575); #16093=VECTOR('',#114801,0.393700787401575); #16094=VECTOR('',#114802,0.393700787401575); #16095=VECTOR('',#114805,0.393700787401575); #16096=VECTOR('',#114806,0.393700787401575); #16097=VECTOR('',#114807,0.393700787401575); #16098=VECTOR('',#114810,0.393700787401575); #16099=VECTOR('',#114811,0.393700787401575); #16100=VECTOR('',#114812,0.393700787401575); #16101=VECTOR('',#114815,0.393700787401575); #16102=VECTOR('',#114816,0.393700787401575); #16103=VECTOR('',#114817,0.393700787401575); #16104=VECTOR('',#114818,0.393700787401575); #16105=VECTOR('',#114819,0.393700787401575); #16106=VECTOR('',#114822,0.393700787401575); #16107=VECTOR('',#114823,0.393700787401575); #16108=VECTOR('',#114824,0.393700787401575); #16109=VECTOR('',#114827,0.393700787401575); #16110=VECTOR('',#114828,0.393700787401575); #16111=VECTOR('',#114829,0.393700787401575); #16112=VECTOR('',#114832,0.393700787401575); #16113=VECTOR('',#114833,0.393700787401575); #16114=VECTOR('',#114834,0.393700787401575); #16115=VECTOR('',#114837,0.393700787401575); #16116=VECTOR('',#114838,0.393700787401575); #16117=VECTOR('',#114843,0.393700787401575); #16118=VECTOR('',#114844,0.393700787401575); #16119=VECTOR('',#114845,0.393700787401575); #16120=VECTOR('',#114846,0.393700787401575); #16121=VECTOR('',#114849,0.393700787401575); #16122=VECTOR('',#114850,0.393700787401575); #16123=VECTOR('',#114851,0.393700787401575); #16124=VECTOR('',#114852,0.393700787401575); #16125=VECTOR('',#114853,0.393700787401575); #16126=VECTOR('',#114856,0.393700787401575); #16127=VECTOR('',#114857,0.393700787401575); #16128=VECTOR('',#114858,0.393700787401575); #16129=VECTOR('',#114861,0.393700787401575); #16130=VECTOR('',#114862,0.393700787401575); #16131=VECTOR('',#114863,0.393700787401575); #16132=VECTOR('',#114866,0.393700787401575); #16133=VECTOR('',#114867,0.393700787401575); #16134=VECTOR('',#114868,0.393700787401575); #16135=VECTOR('',#114871,0.393700787401575); #16136=VECTOR('',#114872,0.393700787401575); #16137=VECTOR('',#114873,0.393700787401575); #16138=VECTOR('',#114876,0.393700787401575); #16139=VECTOR('',#114877,0.393700787401575); #16140=VECTOR('',#114878,0.393700787401575); #16141=VECTOR('',#114881,0.393700787401575); #16142=VECTOR('',#114882,0.393700787401575); #16143=VECTOR('',#114883,0.393700787401575); #16144=VECTOR('',#114884,0.393700787401575); #16145=VECTOR('',#114885,0.393700787401575); #16146=VECTOR('',#114888,0.393700787401575); #16147=VECTOR('',#114889,0.393700787401575); #16148=VECTOR('',#114890,0.393700787401575); #16149=VECTOR('',#114893,0.393700787401575); #16150=VECTOR('',#114894,0.393700787401575); #16151=VECTOR('',#114895,0.393700787401575); #16152=VECTOR('',#114898,0.393700787401575); #16153=VECTOR('',#114899,0.393700787401575); #16154=VECTOR('',#114900,0.393700787401575); #16155=VECTOR('',#114903,0.393700787401575); #16156=VECTOR('',#114904,0.393700787401575); #16157=VECTOR('',#114913,0.393700787401575); #16158=VECTOR('',#114914,0.393700787401575); #16159=VECTOR('',#114917,0.393700787401575); #16160=VECTOR('',#114918,0.393700787401575); #16161=VECTOR('',#114919,0.393700787401575); #16162=VECTOR('',#114920,0.393700787401575); #16163=VECTOR('',#114923,0.393700787401575); #16164=VECTOR('',#114924,0.393700787401575); #16165=VECTOR('',#114927,0.393700787401575); #16166=VECTOR('',#114928,0.393700787401575); #16167=VECTOR('',#114931,0.393700787401575); #16168=VECTOR('',#114932,0.393700787401575); #16169=VECTOR('',#114933,0.393700787401575); #16170=VECTOR('',#114934,0.393700787401575); #16171=VECTOR('',#114937,0.393700787401575); #16172=VECTOR('',#114938,0.393700787401575); #16173=VECTOR('',#114941,0.393700787401575); #16174=VECTOR('',#114942,0.393700787401575); #16175=VECTOR('',#114943,0.393700787401575); #16176=VECTOR('',#114944,0.393700787401575); #16177=VECTOR('',#114947,0.393700787401575); #16178=VECTOR('',#114948,0.393700787401575); #16179=VECTOR('',#114951,0.393700787401575); #16180=VECTOR('',#114952,0.393700787401575); #16181=VECTOR('',#114953,0.393700787401575); #16182=VECTOR('',#114954,0.393700787401575); #16183=VECTOR('',#114957,0.393700787401575); #16184=VECTOR('',#114958,0.393700787401575); #16185=VECTOR('',#114959,0.393700787401575); #16186=VECTOR('',#114960,0.393700787401575); #16187=VECTOR('',#114963,0.393700787401575); #16188=VECTOR('',#114964,0.393700787401575); #16189=VECTOR('',#114967,0.393700787401575); #16190=VECTOR('',#114968,0.393700787401575); #16191=VECTOR('',#114969,0.393700787401575); #16192=VECTOR('',#114970,0.393700787401575); #16193=VECTOR('',#114973,0.393700787401575); #16194=VECTOR('',#114974,0.393700787401575); #16195=VECTOR('',#114977,0.393700787401575); #16196=VECTOR('',#114978,0.393700787401575); #16197=VECTOR('',#114979,0.393700787401575); #16198=VECTOR('',#114980,0.393700787401575); #16199=VECTOR('',#114983,0.393700787401575); #16200=VECTOR('',#114992,0.393700787401575); #16201=VECTOR('',#114995,0.393700787401575); #16202=VECTOR('',#114998,0.393700787401575); #16203=VECTOR('',#115001,0.393700787401575); #16204=VECTOR('',#115004,0.393700787401575); #16205=VECTOR('',#115011,0.393700787401575); #16206=VECTOR('',#115012,0.393700787401575); #16207=VECTOR('',#115013,0.393700787401575); #16208=VECTOR('',#115016,0.393700787401575); #16209=VECTOR('',#115017,0.393700787401575); #16210=VECTOR('',#115020,0.393700787401575); #16211=VECTOR('',#115021,0.393700787401575); #16212=VECTOR('',#115024,0.393700787401575); #16213=VECTOR('',#115027,0.393700787401575); #16214=VECTOR('',#115028,0.393700787401575); #16215=VECTOR('',#115029,0.393700787401575); #16216=VECTOR('',#115030,0.393700787401575); #16217=VECTOR('',#115035,0.393700787401575); #16218=VECTOR('',#115038,0.393700787401575); #16219=VECTOR('',#115039,0.393700787401575); #16220=VECTOR('',#115042,0.393700787401575); #16221=VECTOR('',#115043,0.393700787401575); #16222=VECTOR('',#115048,0.393700787401575); #16223=VECTOR('',#115055,0.393700787401575); #16224=VECTOR('',#115062,0.393700787401575); #16225=VECTOR('',#115065,0.393700787401575); #16226=VECTOR('',#115074,0.393700787401575); #16227=VECTOR('',#115077,0.393700787401575); #16228=VECTOR('',#115082,0.393700787401575); #16229=VECTOR('',#115085,0.393700787401575); #16230=VECTOR('',#115104,0.393700787401575); #16231=VECTOR('',#115105,0.393700787401575); #16232=VECTOR('',#115106,0.393700787401575); #16233=VECTOR('',#115107,0.393700787401575); #16234=VECTOR('',#115110,0.393700787401575); #16235=VECTOR('',#115111,0.393700787401575); #16236=VECTOR('',#115112,0.393700787401575); #16237=VECTOR('',#115115,0.393700787401575); #16238=VECTOR('',#115116,0.393700787401575); #16239=VECTOR('',#115117,0.393700787401575); #16240=VECTOR('',#115120,0.393700787401575); #16241=VECTOR('',#115121,0.393700787401575); #16242=VECTOR('',#115134,0.393700787401575); #16243=VECTOR('',#115135,0.393700787401575); #16244=VECTOR('',#115136,0.393700787401575); #16245=VECTOR('',#115137,0.393700787401575); #16246=VECTOR('',#115138,0.393700787401575); #16247=VECTOR('',#115141,0.393700787401575); #16248=VECTOR('',#115142,0.393700787401575); #16249=VECTOR('',#115145,0.393700787401575); #16250=VECTOR('',#115146,0.393700787401575); #16251=VECTOR('',#115149,0.393700787401575); #16252=VECTOR('',#115152,0.393700787401575); #16253=VECTOR('',#115155,0.393700787401575); #16254=VECTOR('',#115158,0.393700787401575); #16255=VECTOR('',#115161,0.393700787401575); #16256=VECTOR('',#115162,0.393700787401575); #16257=VECTOR('',#115165,0.393700787401575); #16258=VECTOR('',#115166,0.393700787401575); #16259=VECTOR('',#115173,0.393700787401575); #16260=VECTOR('',#115180,0.393700787401575); #16261=VECTOR('',#115183,0.393700787401575); #16262=VECTOR('',#115190,0.393700787401575); #16263=VECTOR('',#115191,0.325); #16264=VECTOR('',#115202,0.393700787401575); #16265=VECTOR('',#115203,0.393700787401575); #16266=VECTOR('',#115204,0.393700787401575); #16267=VECTOR('',#115205,0.393700787401575); #16268=VECTOR('',#115206,0.393700787401575); #16269=VECTOR('',#115209,0.393700787401575); #16270=VECTOR('',#115210,0.393700787401575); #16271=VECTOR('',#115213,0.393700787401575); #16272=VECTOR('',#115214,0.393700787401575); #16273=VECTOR('',#115217,0.393700787401575); #16274=VECTOR('',#115220,0.393700787401575); #16275=VECTOR('',#115221,0.393700787401575); #16276=VECTOR('',#115224,0.393700787401575); #16277=VECTOR('',#115229,0.393700787401575); #16278=VECTOR('',#115252,0.393700787401575); #16279=VECTOR('',#115253,0.393700787401575); #16280=VECTOR('',#115256,0.393700787401575); #16281=VECTOR('',#115261,0.393700787401575); #16282=VECTOR('',#115262,0.393700787401575); #16283=VECTOR('',#115265,0.393700787401575); #16284=VECTOR('',#115266,0.393700787401575); #16285=VECTOR('',#115281,0.393700787401575); #16286=VECTOR('',#115282,0.393700787401575); #16287=VECTOR('',#115285,0.393700787401575); #16288=VECTOR('',#115292,0.393700787401575); #16289=VECTOR('',#115295,0.393700787401575); #16290=VECTOR('',#115296,0.393700787401575); #16291=VECTOR('',#115303,0.393700787401575); #16292=VECTOR('',#115304,0.393700787401575); #16293=VECTOR('',#115305,0.393700787401575); #16294=VECTOR('',#115308,0.393700787401575); #16295=VECTOR('',#115309,0.393700787401575); #16296=VECTOR('',#115314,0.393700787401575); #16297=VECTOR('',#115317,0.393700787401575); #16298=VECTOR('',#115318,0.393700787401575); #16299=VECTOR('',#115323,0.393700787401575); #16300=VECTOR('',#115328,0.393700787401575); #16301=VECTOR('',#115333,0.393700787401575); #16302=VECTOR('',#115336,0.393700787401575); #16303=VECTOR('',#115337,0.393700787401575); #16304=VECTOR('',#115342,0.393700787401575); #16305=VECTOR('',#115345,0.393700787401575); #16306=VECTOR('',#115346,0.393700787401575); #16307=VECTOR('',#115349,0.393700787401575); #16308=VECTOR('',#115350,0.393700787401575); #16309=VECTOR('',#115355,0.393700787401575); #16310=VECTOR('',#115360,0.393700787401575); #16311=VECTOR('',#115385,0.1058125); #16312=VECTOR('',#115392,0.098); #16313=VECTOR('',#115403,0.113); #16314=VECTOR('',#115416,0.205313831966935); #16315=VECTOR('',#115423,0.223028357520903); #16316=VECTOR('',#115426,0.393700787401575); #16317=VECTOR('',#115427,0.393700787401575); #16318=VECTOR('',#115430,0.393700787401575); #16319=VECTOR('',#115433,0.393700787401575); #16320=VECTOR('',#115436,0.223028357520903); #16321=VECTOR('',#115439,0.393700787401575); #16322=VECTOR('',#115442,0.393700787401575); #16323=VECTOR('',#115449,0.393700787401575); #16324=VECTOR('',#115450,0.393700787401575); #16325=VECTOR('',#115451,0.393700787401575); #16326=VECTOR('',#115452,0.393700787401575); #16327=VECTOR('',#115455,0.393700787401575); #16328=VECTOR('',#115456,0.393700787401575); #16329=VECTOR('',#115457,0.393700787401575); #16330=VECTOR('',#115460,0.393700787401575); #16331=VECTOR('',#115461,0.393700787401575); #16332=VECTOR('',#115462,0.393700787401575); #16333=VECTOR('',#115465,0.393700787401575); #16334=VECTOR('',#115466,0.393700787401575); #16335=VECTOR('',#115467,0.393700787401575); #16336=VECTOR('',#115470,0.393700787401575); #16337=VECTOR('',#115471,0.393700787401575); #16338=VECTOR('',#115472,0.393700787401575); #16339=VECTOR('',#115475,0.393700787401575); #16340=VECTOR('',#115476,0.393700787401575); #16341=VECTOR('',#115477,0.393700787401575); #16342=VECTOR('',#115480,0.393700787401575); #16343=VECTOR('',#115481,0.393700787401575); #16344=VECTOR('',#115482,0.393700787401575); #16345=VECTOR('',#115485,0.393700787401575); #16346=VECTOR('',#115486,0.393700787401575); #16347=VECTOR('',#115487,0.393700787401575); #16348=VECTOR('',#115490,0.393700787401575); #16349=VECTOR('',#115491,0.393700787401575); #16350=VECTOR('',#115492,0.393700787401575); #16351=VECTOR('',#115495,0.393700787401575); #16352=VECTOR('',#115496,0.393700787401575); #16353=VECTOR('',#115501,0.393700787401575); #16354=VECTOR('',#115502,0.393700787401575); #16355=VECTOR('',#115503,0.393700787401575); #16356=VECTOR('',#115504,0.393700787401575); #16357=VECTOR('',#115507,0.393700787401575); #16358=VECTOR('',#115508,0.393700787401575); #16359=VECTOR('',#115509,0.393700787401575); #16360=VECTOR('',#115512,0.393700787401575); #16361=VECTOR('',#115513,0.393700787401575); #16362=VECTOR('',#115514,0.393700787401575); #16363=VECTOR('',#115517,0.393700787401575); #16364=VECTOR('',#115518,0.393700787401575); #16365=VECTOR('',#115519,0.393700787401575); #16366=VECTOR('',#115522,0.393700787401575); #16367=VECTOR('',#115523,0.393700787401575); #16368=VECTOR('',#115524,0.393700787401575); #16369=VECTOR('',#115527,0.393700787401575); #16370=VECTOR('',#115528,0.393700787401575); #16371=VECTOR('',#115529,0.393700787401575); #16372=VECTOR('',#115532,0.393700787401575); #16373=VECTOR('',#115533,0.393700787401575); #16374=VECTOR('',#115534,0.393700787401575); #16375=VECTOR('',#115537,0.393700787401575); #16376=VECTOR('',#115538,0.393700787401575); #16377=VECTOR('',#115539,0.393700787401575); #16378=VECTOR('',#115542,0.393700787401575); #16379=VECTOR('',#115543,0.393700787401575); #16380=VECTOR('',#115544,0.393700787401575); #16381=VECTOR('',#115547,0.393700787401575); #16382=VECTOR('',#115548,0.393700787401575); #16383=VECTOR('',#115553,0.393700787401575); #16384=VECTOR('',#115554,0.393700787401575); #16385=VECTOR('',#115555,0.393700787401575); #16386=VECTOR('',#115556,0.393700787401575); #16387=VECTOR('',#115559,0.393700787401575); #16388=VECTOR('',#115560,0.393700787401575); #16389=VECTOR('',#115561,0.393700787401575); #16390=VECTOR('',#115564,0.393700787401575); #16391=VECTOR('',#115565,0.393700787401575); #16392=VECTOR('',#115566,0.393700787401575); #16393=VECTOR('',#115569,0.393700787401575); #16394=VECTOR('',#115570,0.393700787401575); #16395=VECTOR('',#115571,0.393700787401575); #16396=VECTOR('',#115574,0.393700787401575); #16397=VECTOR('',#115575,0.393700787401575); #16398=VECTOR('',#115576,0.393700787401575); #16399=VECTOR('',#115579,0.393700787401575); #16400=VECTOR('',#115580,0.393700787401575); #16401=VECTOR('',#115581,0.393700787401575); #16402=VECTOR('',#115584,0.393700787401575); #16403=VECTOR('',#115585,0.393700787401575); #16404=VECTOR('',#115586,0.393700787401575); #16405=VECTOR('',#115589,0.393700787401575); #16406=VECTOR('',#115590,0.393700787401575); #16407=VECTOR('',#115595,0.393700787401575); #16408=VECTOR('',#115596,0.393700787401575); #16409=VECTOR('',#115597,0.393700787401575); #16410=VECTOR('',#115598,0.393700787401575); #16411=VECTOR('',#115601,0.393700787401575); #16412=VECTOR('',#115602,0.393700787401575); #16413=VECTOR('',#115603,0.393700787401575); #16414=VECTOR('',#115606,0.393700787401575); #16415=VECTOR('',#115607,0.393700787401575); #16416=VECTOR('',#115608,0.393700787401575); #16417=VECTOR('',#115611,0.393700787401575); #16418=VECTOR('',#115612,0.393700787401575); #16419=VECTOR('',#115613,0.393700787401575); #16420=VECTOR('',#115616,0.393700787401575); #16421=VECTOR('',#115617,0.393700787401575); #16422=VECTOR('',#115618,0.393700787401575); #16423=VECTOR('',#115621,0.393700787401575); #16424=VECTOR('',#115622,0.393700787401575); #16425=VECTOR('',#115623,0.393700787401575); #16426=VECTOR('',#115626,0.393700787401575); #16427=VECTOR('',#115627,0.393700787401575); #16428=VECTOR('',#115628,0.393700787401575); #16429=VECTOR('',#115631,0.393700787401575); #16430=VECTOR('',#115632,0.393700787401575); #16431=VECTOR('',#115633,0.393700787401575); #16432=VECTOR('',#115636,0.393700787401575); #16433=VECTOR('',#115637,0.393700787401575); #16434=VECTOR('',#115638,0.393700787401575); #16435=VECTOR('',#115641,0.393700787401575); #16436=VECTOR('',#115642,0.393700787401575); #16437=VECTOR('',#115643,0.393700787401575); #16438=VECTOR('',#115646,0.393700787401575); #16439=VECTOR('',#115647,0.393700787401575); #16440=VECTOR('',#115648,0.393700787401575); #16441=VECTOR('',#115651,0.393700787401575); #16442=VECTOR('',#115652,0.393700787401575); #16443=VECTOR('',#115657,0.393700787401575); #16444=VECTOR('',#115658,0.393700787401575); #16445=VECTOR('',#115659,0.393700787401575); #16446=VECTOR('',#115660,0.393700787401575); #16447=VECTOR('',#115663,0.393700787401575); #16448=VECTOR('',#115664,0.393700787401575); #16449=VECTOR('',#115665,0.393700787401575); #16450=VECTOR('',#115668,0.393700787401575); #16451=VECTOR('',#115669,0.393700787401575); #16452=VECTOR('',#115670,0.393700787401575); #16453=VECTOR('',#115673,0.393700787401575); #16454=VECTOR('',#115674,0.393700787401575); #16455=VECTOR('',#115675,0.393700787401575); #16456=VECTOR('',#115678,0.393700787401575); #16457=VECTOR('',#115679,0.393700787401575); #16458=VECTOR('',#115680,0.393700787401575); #16459=VECTOR('',#115683,0.393700787401575); #16460=VECTOR('',#115684,0.393700787401575); #16461=VECTOR('',#115685,0.393700787401575); #16462=VECTOR('',#115688,0.393700787401575); #16463=VECTOR('',#115689,0.393700787401575); #16464=VECTOR('',#115690,0.393700787401575); #16465=VECTOR('',#115693,0.393700787401575); #16466=VECTOR('',#115694,0.393700787401575); #16467=VECTOR('',#115695,0.393700787401575); #16468=VECTOR('',#115698,0.393700787401575); #16469=VECTOR('',#115699,0.393700787401575); #16470=VECTOR('',#115700,0.393700787401575); #16471=VECTOR('',#115703,0.393700787401575); #16472=VECTOR('',#115704,0.393700787401575); #16473=VECTOR('',#115705,0.393700787401575); #16474=VECTOR('',#115708,0.393700787401575); #16475=VECTOR('',#115709,0.393700787401575); #16476=VECTOR('',#115710,0.393700787401575); #16477=VECTOR('',#115713,0.393700787401575); #16478=VECTOR('',#115714,0.393700787401575); #16479=VECTOR('',#115717,0.393700787401575); #16480=VECTOR('',#115718,0.393700787401575); #16481=VECTOR('',#115719,0.393700787401575); #16482=VECTOR('',#115722,0.393700787401575); #16483=VECTOR('',#115723,0.393700787401575); #16484=VECTOR('',#115724,0.393700787401575); #16485=VECTOR('',#115727,0.393700787401575); #16486=VECTOR('',#115728,0.393700787401575); #16487=VECTOR('',#115729,0.393700787401575); #16488=VECTOR('',#115732,0.393700787401575); #16489=VECTOR('',#115733,0.393700787401575); #16490=VECTOR('',#115736,0.393700787401575); #16491=VECTOR('',#115737,0.393700787401575); #16492=VECTOR('',#115738,0.393700787401575); #16493=VECTOR('',#115739,0.393700787401575); #16494=VECTOR('',#115742,0.393700787401575); #16495=VECTOR('',#115743,0.393700787401575); #16496=VECTOR('',#115744,0.393700787401575); #16497=VECTOR('',#115747,0.393700787401575); #16498=VECTOR('',#115748,0.393700787401575); #16499=VECTOR('',#115749,0.393700787401575); #16500=VECTOR('',#115750,0.393700787401575); #16501=VECTOR('',#115751,0.393700787401575); #16502=VECTOR('',#115754,0.393700787401575); #16503=VECTOR('',#115755,0.393700787401575); #16504=VECTOR('',#115756,0.393700787401575); #16505=VECTOR('',#115759,0.393700787401575); #16506=VECTOR('',#115760,0.393700787401575); #16507=VECTOR('',#115761,0.393700787401575); #16508=VECTOR('',#115764,0.393700787401575); #16509=VECTOR('',#115765,0.393700787401575); #16510=VECTOR('',#115766,0.393700787401575); #16511=VECTOR('',#115767,0.393700787401575); #16512=VECTOR('',#115768,0.393700787401575); #16513=VECTOR('',#115769,0.393700787401575); #16514=VECTOR('',#115770,0.393700787401575); #16515=VECTOR('',#115773,0.393700787401575); #16516=VECTOR('',#115774,0.393700787401575); #16517=VECTOR('',#115775,0.393700787401575); #16518=VECTOR('',#115778,0.393700787401575); #16519=VECTOR('',#115779,0.393700787401575); #16520=VECTOR('',#115782,0.393700787401575); #16521=VECTOR('',#115783,0.393700787401575); #16522=VECTOR('',#115784,0.393700787401575); #16523=VECTOR('',#115785,0.393700787401575); #16524=VECTOR('',#115788,0.393700787401575); #16525=VECTOR('',#115789,0.393700787401575); #16526=VECTOR('',#115790,0.393700787401575); #16527=VECTOR('',#115793,0.393700787401575); #16528=VECTOR('',#115794,0.393700787401575); #16529=VECTOR('',#115795,0.393700787401575); #16530=VECTOR('',#115798,0.393700787401575); #16531=VECTOR('',#115799,0.393700787401575); #16532=VECTOR('',#115800,0.393700787401575); #16533=VECTOR('',#115801,0.393700787401575); #16534=VECTOR('',#115802,0.393700787401575); #16535=VECTOR('',#115803,0.393700787401575); #16536=VECTOR('',#115806,0.393700787401575); #16537=VECTOR('',#115807,0.393700787401575); #16538=VECTOR('',#115808,0.393700787401575); #16539=VECTOR('',#115811,0.393700787401575); #16540=VECTOR('',#115812,0.393700787401575); #16541=VECTOR('',#115813,0.393700787401575); #16542=VECTOR('',#115816,0.393700787401575); #16543=VECTOR('',#115817,0.393700787401575); #16544=VECTOR('',#115820,0.393700787401575); #16545=VECTOR('',#115821,0.393700787401575); #16546=VECTOR('',#115822,0.393700787401575); #16547=VECTOR('',#115823,0.393700787401575); #16548=VECTOR('',#115824,0.393700787401575); #16549=VECTOR('',#115825,0.393700787401575); #16550=VECTOR('',#115826,0.393700787401575); #16551=VECTOR('',#115827,0.393700787401575); #16552=VECTOR('',#115830,0.393700787401575); #16553=VECTOR('',#115831,0.393700787401575); #16554=VECTOR('',#115832,0.393700787401575); #16555=VECTOR('',#115835,0.393700787401575); #16556=VECTOR('',#115836,0.393700787401575); #16557=VECTOR('',#115841,0.393700787401575); #16558=VECTOR('',#115842,0.393700787401575); #16559=VECTOR('',#115843,0.393700787401575); #16560=VECTOR('',#115844,0.393700787401575); #16561=VECTOR('',#115847,0.393700787401575); #16562=VECTOR('',#115848,0.393700787401575); #16563=VECTOR('',#115849,0.393700787401575); #16564=VECTOR('',#115852,0.393700787401575); #16565=VECTOR('',#115853,0.393700787401575); #16566=VECTOR('',#115854,0.393700787401575); #16567=VECTOR('',#115857,0.393700787401575); #16568=VECTOR('',#115858,0.393700787401575); #16569=VECTOR('',#115859,0.393700787401575); #16570=VECTOR('',#115862,0.393700787401575); #16571=VECTOR('',#115863,0.393700787401575); #16572=VECTOR('',#115864,0.393700787401575); #16573=VECTOR('',#115867,0.393700787401575); #16574=VECTOR('',#115868,0.393700787401575); #16575=VECTOR('',#115869,0.393700787401575); #16576=VECTOR('',#115872,0.393700787401575); #16577=VECTOR('',#115873,0.393700787401575); #16578=VECTOR('',#115874,0.393700787401575); #16579=VECTOR('',#115877,0.393700787401575); #16580=VECTOR('',#115878,0.393700787401575); #16581=VECTOR('',#115879,0.393700787401575); #16582=VECTOR('',#115882,0.393700787401575); #16583=VECTOR('',#115883,0.393700787401575); #16584=VECTOR('',#115884,0.393700787401575); #16585=VECTOR('',#115887,0.393700787401575); #16586=VECTOR('',#115888,0.393700787401575); #16587=VECTOR('',#115889,0.393700787401575); #16588=VECTOR('',#115892,0.393700787401575); #16589=VECTOR('',#115893,0.393700787401575); #16590=VECTOR('',#115894,0.393700787401575); #16591=VECTOR('',#115897,0.393700787401575); #16592=VECTOR('',#115898,0.393700787401575); #16593=VECTOR('',#115903,0.393700787401575); #16594=VECTOR('',#115904,0.393700787401575); #16595=VECTOR('',#115905,0.393700787401575); #16596=VECTOR('',#115906,0.393700787401575); #16597=VECTOR('',#115909,0.393700787401575); #16598=VECTOR('',#115910,0.393700787401575); #16599=VECTOR('',#115911,0.393700787401575); #16600=VECTOR('',#115914,0.393700787401575); #16601=VECTOR('',#115915,0.393700787401575); #16602=VECTOR('',#115916,0.393700787401575); #16603=VECTOR('',#115919,0.393700787401575); #16604=VECTOR('',#115920,0.393700787401575); #16605=VECTOR('',#115921,0.393700787401575); #16606=VECTOR('',#115924,0.393700787401575); #16607=VECTOR('',#115925,0.393700787401575); #16608=VECTOR('',#115926,0.393700787401575); #16609=VECTOR('',#115929,0.393700787401575); #16610=VECTOR('',#115930,0.393700787401575); #16611=VECTOR('',#115931,0.393700787401575); #16612=VECTOR('',#115934,0.393700787401575); #16613=VECTOR('',#115935,0.393700787401575); #16614=VECTOR('',#115936,0.393700787401575); #16615=VECTOR('',#115939,0.393700787401575); #16616=VECTOR('',#115940,0.393700787401575); #16617=VECTOR('',#115941,0.393700787401575); #16618=VECTOR('',#115944,0.393700787401575); #16619=VECTOR('',#115945,0.393700787401575); #16620=VECTOR('',#115946,0.393700787401575); #16621=VECTOR('',#115949,0.393700787401575); #16622=VECTOR('',#115950,0.393700787401575); #16623=VECTOR('',#115951,0.393700787401575); #16624=VECTOR('',#115954,0.393700787401575); #16625=VECTOR('',#115955,0.393700787401575); #16626=VECTOR('',#115956,0.393700787401575); #16627=VECTOR('',#115959,0.393700787401575); #16628=VECTOR('',#115960,0.393700787401575); #16629=VECTOR('',#115965,0.393700787401575); #16630=VECTOR('',#115966,0.393700787401575); #16631=VECTOR('',#115967,0.393700787401575); #16632=VECTOR('',#115968,0.393700787401575); #16633=VECTOR('',#115969,0.393700787401575); #16634=VECTOR('',#115970,0.393700787401575); #16635=VECTOR('',#115973,0.393700787401575); #16636=VECTOR('',#115974,0.393700787401575); #16637=VECTOR('',#115975,0.393700787401575); #16638=VECTOR('',#115978,0.393700787401575); #16639=VECTOR('',#115979,0.393700787401575); #16640=VECTOR('',#115982,0.393700787401575); #16641=VECTOR('',#115983,0.393700787401575); #16642=VECTOR('',#115984,0.393700787401575); #16643=VECTOR('',#115985,0.393700787401575); #16644=VECTOR('',#115988,0.393700787401575); #16645=VECTOR('',#115989,0.393700787401575); #16646=VECTOR('',#115990,0.393700787401575); #16647=VECTOR('',#115993,0.393700787401575); #16648=VECTOR('',#115994,0.393700787401575); #16649=VECTOR('',#115995,0.393700787401575); #16650=VECTOR('',#115998,0.393700787401575); #16651=VECTOR('',#115999,0.393700787401575); #16652=VECTOR('',#116000,0.393700787401575); #16653=VECTOR('',#116003,0.393700787401575); #16654=VECTOR('',#116004,0.393700787401575); #16655=VECTOR('',#116005,0.393700787401575); #16656=VECTOR('',#116008,0.393700787401575); #16657=VECTOR('',#116009,0.393700787401575); #16658=VECTOR('',#116010,0.393700787401575); #16659=VECTOR('',#116013,0.393700787401575); #16660=VECTOR('',#116014,0.393700787401575); #16661=VECTOR('',#116015,0.393700787401575); #16662=VECTOR('',#116018,0.393700787401575); #16663=VECTOR('',#116019,0.393700787401575); #16664=VECTOR('',#116022,0.393700787401575); #16665=VECTOR('',#116023,0.393700787401575); #16666=VECTOR('',#116024,0.393700787401575); #16667=VECTOR('',#116027,0.393700787401575); #16668=VECTOR('',#116028,0.393700787401575); #16669=VECTOR('',#116029,0.393700787401575); #16670=VECTOR('',#116032,0.393700787401575); #16671=VECTOR('',#116033,0.393700787401575); #16672=VECTOR('',#116034,0.393700787401575); #16673=VECTOR('',#116037,0.393700787401575); #16674=VECTOR('',#116038,0.393700787401575); #16675=VECTOR('',#116041,0.393700787401575); #16676=VECTOR('',#116042,0.393700787401575); #16677=VECTOR('',#116043,0.393700787401575); #16678=VECTOR('',#116044,0.393700787401575); #16679=VECTOR('',#116047,0.393700787401575); #16680=VECTOR('',#116048,0.393700787401575); #16681=VECTOR('',#116049,0.393700787401575); #16682=VECTOR('',#116052,0.393700787401575); #16683=VECTOR('',#116053,0.393700787401575); #16684=VECTOR('',#116054,0.393700787401575); #16685=VECTOR('',#116055,0.393700787401575); #16686=VECTOR('',#116056,0.393700787401575); #16687=VECTOR('',#116059,0.393700787401575); #16688=VECTOR('',#116060,0.393700787401575); #16689=VECTOR('',#116061,0.393700787401575); #16690=VECTOR('',#116064,0.393700787401575); #16691=VECTOR('',#116065,0.393700787401575); #16692=VECTOR('',#116066,0.393700787401575); #16693=VECTOR('',#116069,0.393700787401575); #16694=VECTOR('',#116070,0.393700787401575); #16695=VECTOR('',#116071,0.393700787401575); #16696=VECTOR('',#116072,0.393700787401575); #16697=VECTOR('',#116073,0.393700787401575); #16698=VECTOR('',#116074,0.393700787401575); #16699=VECTOR('',#116075,0.393700787401575); #16700=VECTOR('',#116078,0.393700787401575); #16701=VECTOR('',#116079,0.393700787401575); #16702=VECTOR('',#116080,0.393700787401575); #16703=VECTOR('',#116083,0.393700787401575); #16704=VECTOR('',#116084,0.393700787401575); #16705=VECTOR('',#116087,0.393700787401575); #16706=VECTOR('',#116088,0.393700787401575); #16707=VECTOR('',#116089,0.393700787401575); #16708=VECTOR('',#116090,0.393700787401575); #16709=VECTOR('',#116091,0.393700787401575); #16710=VECTOR('',#116094,0.393700787401575); #16711=VECTOR('',#116095,0.393700787401575); #16712=VECTOR('',#116096,0.393700787401575); #16713=VECTOR('',#116099,0.393700787401575); #16714=VECTOR('',#116100,0.393700787401575); #16715=VECTOR('',#116101,0.393700787401575); #16716=VECTOR('',#116104,0.393700787401575); #16717=VECTOR('',#116105,0.393700787401575); #16718=VECTOR('',#116108,0.393700787401575); #16719=VECTOR('',#116109,0.393700787401575); #16720=VECTOR('',#116110,0.393700787401575); #16721=VECTOR('',#116111,0.393700787401575); #16722=VECTOR('',#116112,0.393700787401575); #16723=VECTOR('',#116113,0.393700787401575); #16724=VECTOR('',#116114,0.393700787401575); #16725=VECTOR('',#116115,0.393700787401575); #16726=VECTOR('',#116118,0.393700787401575); #16727=VECTOR('',#116119,0.393700787401575); #16728=VECTOR('',#116120,0.393700787401575); #16729=VECTOR('',#116123,0.393700787401575); #16730=VECTOR('',#116124,0.393700787401575); #16731=VECTOR('',#116127,0.393700787401575); #16732=VECTOR('',#116128,0.393700787401575); #16733=VECTOR('',#116129,0.393700787401575); #16734=VECTOR('',#116130,0.393700787401575); #16735=VECTOR('',#116133,0.393700787401575); #16736=VECTOR('',#116134,0.393700787401575); #16737=VECTOR('',#116135,0.393700787401575); #16738=VECTOR('',#116138,0.393700787401575); #16739=VECTOR('',#116139,0.393700787401575); #16740=VECTOR('',#116140,0.393700787401575); #16741=VECTOR('',#116143,0.393700787401575); #16742=VECTOR('',#116144,0.393700787401575); #16743=VECTOR('',#116147,0.393700787401575); #16744=VECTOR('',#116148,0.393700787401575); #16745=VECTOR('',#116149,0.393700787401575); #16746=VECTOR('',#116150,0.393700787401575); #16747=VECTOR('',#116153,0.393700787401575); #16748=VECTOR('',#116154,0.393700787401575); #16749=VECTOR('',#116155,0.393700787401575); #16750=VECTOR('',#116158,0.393700787401575); #16751=VECTOR('',#116159,0.393700787401575); #16752=VECTOR('',#116160,0.393700787401575); #16753=VECTOR('',#116161,0.393700787401575); #16754=VECTOR('',#116162,0.393700787401575); #16755=VECTOR('',#116163,0.393700787401575); #16756=VECTOR('',#116166,0.393700787401575); #16757=VECTOR('',#116167,0.393700787401575); #16758=VECTOR('',#116168,0.393700787401575); #16759=VECTOR('',#116171,0.393700787401575); #16760=VECTOR('',#116172,0.393700787401575); #16761=VECTOR('',#116177,0.393700787401575); #16762=VECTOR('',#116178,0.393700787401575); #16763=VECTOR('',#116179,0.393700787401575); #16764=VECTOR('',#116180,0.393700787401575); #16765=VECTOR('',#116181,0.393700787401575); #16766=VECTOR('',#116182,0.393700787401575); #16767=VECTOR('',#116185,0.393700787401575); #16768=VECTOR('',#116186,0.393700787401575); #16769=VECTOR('',#116187,0.393700787401575); #16770=VECTOR('',#116190,0.393700787401575); #16771=VECTOR('',#116191,0.393700787401575); #16772=VECTOR('',#116194,0.393700787401575); #16773=VECTOR('',#116195,0.393700787401575); #16774=VECTOR('',#116196,0.393700787401575); #16775=VECTOR('',#116197,0.393700787401575); #16776=VECTOR('',#116200,0.393700787401575); #16777=VECTOR('',#116201,0.393700787401575); #16778=VECTOR('',#116202,0.393700787401575); #16779=VECTOR('',#116205,0.393700787401575); #16780=VECTOR('',#116206,0.393700787401575); #16781=VECTOR('',#116207,0.393700787401575); #16782=VECTOR('',#116210,0.393700787401575); #16783=VECTOR('',#116211,0.393700787401575); #16784=VECTOR('',#116212,0.393700787401575); #16785=VECTOR('',#116215,0.393700787401575); #16786=VECTOR('',#116216,0.393700787401575); #16787=VECTOR('',#116217,0.393700787401575); #16788=VECTOR('',#116220,0.393700787401575); #16789=VECTOR('',#116221,0.393700787401575); #16790=VECTOR('',#116222,0.393700787401575); #16791=VECTOR('',#116225,0.393700787401575); #16792=VECTOR('',#116226,0.393700787401575); #16793=VECTOR('',#116227,0.393700787401575); #16794=VECTOR('',#116230,0.393700787401575); #16795=VECTOR('',#116231,0.393700787401575); #16796=VECTOR('',#116236,0.393700787401575); #16797=VECTOR('',#116237,0.393700787401575); #16798=VECTOR('',#116238,0.393700787401575); #16799=VECTOR('',#116239,0.393700787401575); #16800=VECTOR('',#116242,0.393700787401575); #16801=VECTOR('',#116243,0.393700787401575); #16802=VECTOR('',#116244,0.393700787401575); #16803=VECTOR('',#116247,0.393700787401575); #16804=VECTOR('',#116248,0.393700787401575); #16805=VECTOR('',#116249,0.393700787401575); #16806=VECTOR('',#116252,0.393700787401575); #16807=VECTOR('',#116253,0.393700787401575); #16808=VECTOR('',#116254,0.393700787401575); #16809=VECTOR('',#116257,0.393700787401575); #16810=VECTOR('',#116258,0.393700787401575); #16811=VECTOR('',#116259,0.393700787401575); #16812=VECTOR('',#116262,0.393700787401575); #16813=VECTOR('',#116263,0.393700787401575); #16814=VECTOR('',#116264,0.393700787401575); #16815=VECTOR('',#116267,0.393700787401575); #16816=VECTOR('',#116268,0.393700787401575); #16817=VECTOR('',#116269,0.393700787401575); #16818=VECTOR('',#116272,0.393700787401575); #16819=VECTOR('',#116273,0.393700787401575); #16820=VECTOR('',#116278,0.393700787401575); #16821=VECTOR('',#116279,0.393700787401575); #16822=VECTOR('',#116280,0.393700787401575); #16823=VECTOR('',#116281,0.393700787401575); #16824=VECTOR('',#116284,0.393700787401575); #16825=VECTOR('',#116285,0.393700787401575); #16826=VECTOR('',#116286,0.393700787401575); #16827=VECTOR('',#116289,0.393700787401575); #16828=VECTOR('',#116290,0.393700787401575); #16829=VECTOR('',#116291,0.393700787401575); #16830=VECTOR('',#116294,0.393700787401575); #16831=VECTOR('',#116295,0.393700787401575); #16832=VECTOR('',#116296,0.393700787401575); #16833=VECTOR('',#116299,0.393700787401575); #16834=VECTOR('',#116300,0.393700787401575); #16835=VECTOR('',#116301,0.393700787401575); #16836=VECTOR('',#116304,0.393700787401575); #16837=VECTOR('',#116305,0.393700787401575); #16838=VECTOR('',#116306,0.393700787401575); #16839=VECTOR('',#116309,0.393700787401575); #16840=VECTOR('',#116310,0.393700787401575); #16841=VECTOR('',#116311,0.393700787401575); #16842=VECTOR('',#116314,0.393700787401575); #16843=VECTOR('',#116315,0.393700787401575); #16844=VECTOR('',#116316,0.393700787401575); #16845=VECTOR('',#116319,0.393700787401575); #16846=VECTOR('',#116320,0.393700787401575); #16847=VECTOR('',#116321,0.393700787401575); #16848=VECTOR('',#116324,0.393700787401575); #16849=VECTOR('',#116325,0.393700787401575); #16850=VECTOR('',#116328,0.393700787401575); #16851=VECTOR('',#116329,0.393700787401575); #16852=VECTOR('',#116330,0.393700787401575); #16853=VECTOR('',#116331,0.393700787401575); #16854=VECTOR('',#116334,0.393700787401575); #16855=VECTOR('',#116335,0.393700787401575); #16856=VECTOR('',#116336,0.393700787401575); #16857=VECTOR('',#116339,0.393700787401575); #16858=VECTOR('',#116340,0.393700787401575); #16859=VECTOR('',#116341,0.393700787401575); #16860=VECTOR('',#116344,0.393700787401575); #16861=VECTOR('',#116345,0.393700787401575); #16862=VECTOR('',#116348,0.393700787401575); #16863=VECTOR('',#116349,0.393700787401575); #16864=VECTOR('',#116350,0.393700787401575); #16865=VECTOR('',#116351,0.393700787401575); #16866=VECTOR('',#116354,0.393700787401575); #16867=VECTOR('',#116355,0.393700787401575); #16868=VECTOR('',#116356,0.393700787401575); #16869=VECTOR('',#116359,0.393700787401575); #16870=VECTOR('',#116360,0.393700787401575); #16871=VECTOR('',#116361,0.393700787401575); #16872=VECTOR('',#116362,0.393700787401575); #16873=VECTOR('',#116363,0.393700787401575); #16874=VECTOR('',#116364,0.393700787401575); #16875=VECTOR('',#116367,0.393700787401575); #16876=VECTOR('',#116368,0.393700787401575); #16877=VECTOR('',#116369,0.393700787401575); #16878=VECTOR('',#116372,0.393700787401575); #16879=VECTOR('',#116373,0.393700787401575); #16880=VECTOR('',#116378,0.393700787401575); #16881=VECTOR('',#116379,0.393700787401575); #16882=VECTOR('',#116380,0.393700787401575); #16883=VECTOR('',#116381,0.393700787401575); #16884=VECTOR('',#116384,0.393700787401575); #16885=VECTOR('',#116385,0.393700787401575); #16886=VECTOR('',#116386,0.393700787401575); #16887=VECTOR('',#116389,0.393700787401575); #16888=VECTOR('',#116390,0.393700787401575); #16889=VECTOR('',#116391,0.393700787401575); #16890=VECTOR('',#116394,0.393700787401575); #16891=VECTOR('',#116395,0.393700787401575); #16892=VECTOR('',#116396,0.393700787401575); #16893=VECTOR('',#116399,0.393700787401575); #16894=VECTOR('',#116400,0.393700787401575); #16895=VECTOR('',#116401,0.393700787401575); #16896=VECTOR('',#116404,0.393700787401575); #16897=VECTOR('',#116405,0.393700787401575); #16898=VECTOR('',#116406,0.393700787401575); #16899=VECTOR('',#116409,0.393700787401575); #16900=VECTOR('',#116410,0.393700787401575); #16901=VECTOR('',#116411,0.393700787401575); #16902=VECTOR('',#116414,0.393700787401575); #16903=VECTOR('',#116415,0.393700787401575); #16904=VECTOR('',#116416,0.393700787401575); #16905=VECTOR('',#116419,0.393700787401575); #16906=VECTOR('',#116420,0.393700787401575); #16907=VECTOR('',#116421,0.393700787401575); #16908=VECTOR('',#116424,0.393700787401575); #16909=VECTOR('',#116425,0.393700787401575); #16910=VECTOR('',#116426,0.393700787401575); #16911=VECTOR('',#116429,0.393700787401575); #16912=VECTOR('',#116430,0.393700787401575); #16913=VECTOR('',#116431,0.393700787401575); #16914=VECTOR('',#116434,0.393700787401575); #16915=VECTOR('',#116435,0.393700787401575); #16916=VECTOR('',#116438,0.393700787401575); #16917=VECTOR('',#116439,0.393700787401575); #16918=VECTOR('',#116440,0.393700787401575); #16919=VECTOR('',#116441,0.393700787401575); #16920=VECTOR('',#116442,0.393700787401575); #16921=VECTOR('',#116445,0.393700787401575); #16922=VECTOR('',#116446,0.393700787401575); #16923=VECTOR('',#116447,0.393700787401575); #16924=VECTOR('',#116450,0.393700787401575); #16925=VECTOR('',#116451,0.393700787401575); #16926=VECTOR('',#116452,0.393700787401575); #16927=VECTOR('',#116455,0.393700787401575); #16928=VECTOR('',#116456,0.393700787401575); #16929=VECTOR('',#116459,0.393700787401575); #16930=VECTOR('',#116460,0.393700787401575); #16931=VECTOR('',#116461,0.393700787401575); #16932=VECTOR('',#116462,0.393700787401575); #16933=VECTOR('',#116463,0.393700787401575); #16934=VECTOR('',#116464,0.393700787401575); #16935=VECTOR('',#116465,0.393700787401575); #16936=VECTOR('',#116466,0.393700787401575); #16937=VECTOR('',#116469,0.393700787401575); #16938=VECTOR('',#116470,0.393700787401575); #16939=VECTOR('',#116471,0.393700787401575); #16940=VECTOR('',#116474,0.393700787401575); #16941=VECTOR('',#116475,0.393700787401575); #16942=VECTOR('',#116480,0.393700787401575); #16943=VECTOR('',#116481,0.393700787401575); #16944=VECTOR('',#116482,0.393700787401575); #16945=VECTOR('',#116483,0.393700787401575); #16946=VECTOR('',#116486,0.393700787401575); #16947=VECTOR('',#116487,0.393700787401575); #16948=VECTOR('',#116488,0.393700787401575); #16949=VECTOR('',#116491,0.393700787401575); #16950=VECTOR('',#116492,0.393700787401575); #16951=VECTOR('',#116493,0.393700787401575); #16952=VECTOR('',#116496,0.393700787401575); #16953=VECTOR('',#116497,0.393700787401575); #16954=VECTOR('',#116502,0.393700787401575); #16955=VECTOR('',#116503,0.393700787401575); #16956=VECTOR('',#116504,0.393700787401575); #16957=VECTOR('',#116505,0.393700787401575); #16958=VECTOR('',#116508,0.393700787401575); #16959=VECTOR('',#116509,0.393700787401575); #16960=VECTOR('',#116510,0.393700787401575); #16961=VECTOR('',#116511,0.393700787401575); #16962=VECTOR('',#116512,0.393700787401575); #16963=VECTOR('',#116513,0.393700787401575); #16964=VECTOR('',#116514,0.393700787401575); #16965=VECTOR('',#116515,0.393700787401575); #16966=VECTOR('',#116518,0.393700787401575); #16967=VECTOR('',#116519,0.393700787401575); #16968=VECTOR('',#116520,0.393700787401575); #16969=VECTOR('',#116521,0.393700787401575); #16970=VECTOR('',#116522,0.393700787401575); #16971=VECTOR('',#116523,0.393700787401575); #16972=VECTOR('',#116524,0.393700787401575); #16973=VECTOR('',#116527,0.393700787401575); #16974=VECTOR('',#116528,0.393700787401575); #16975=VECTOR('',#116529,0.393700787401575); #16976=VECTOR('',#116532,0.393700787401575); #16977=VECTOR('',#116533,0.393700787401575); #16978=VECTOR('',#116534,0.393700787401575); #16979=VECTOR('',#116537,0.393700787401575); #16980=VECTOR('',#116538,0.393700787401575); #16981=VECTOR('',#116543,0.393700787401575); #16982=VECTOR('',#116544,0.393700787401575); #16983=VECTOR('',#116545,0.393700787401575); #16984=VECTOR('',#116546,0.393700787401575); #16985=VECTOR('',#116569,0.1875); #16986=VECTOR('',#116572,0.1875); #16987=VECTOR('',#116577,0.393700787401575); #16988=VECTOR('',#116584,0.1875); #16989=VECTOR('',#116587,0.1875); #16990=VECTOR('',#116592,0.393700787401575); #16991=VECTOR('',#116599,0.393700787401575); #16992=VECTOR('',#116602,0.393700787401575); #16993=VECTOR('',#116605,0.393700787401575); #16994=VECTOR('',#116606,0.393700787401575); #16995=VECTOR('',#116609,0.171024); #16996=VECTOR('',#116612,0.393700787401575); #16997=VECTOR('',#116615,0.171024); #16998=VECTOR('',#116616,0.393700787401575); #16999=VECTOR('',#116621,0.393700787401575); #17000=VECTOR('',#116624,0.393700787401575); #17001=VECTOR('',#116627,0.171024); #17002=VECTOR('',#116628,0.393700787401575); #17003=VECTOR('',#116629,0.171024); #17004=VECTOR('',#116632,0.393700787401575); #17005=VECTOR('',#116633,0.393700787401575); #17006=VECTOR('',#116634,0.171024); #17007=VECTOR('',#116637,0.393700787401575); #17008=VECTOR('',#116644,0.393700787401575); #17009=VECTOR('',#116645,0.393700787401575); #17010=VECTOR('',#116648,0.393700787401575); #17011=VECTOR('',#116649,0.393700787401575); #17012=VECTOR('',#116654,0.393700787401575); #17013=VECTOR('',#116655,0.393700787401575); #17014=VECTOR('',#116658,0.393700787401575); #17015=VECTOR('',#116659,0.393700787401575); #17016=VECTOR('',#116664,0.393700787401575); #17017=VECTOR('',#116667,0.393700787401575); #17018=VECTOR('',#116672,0.393700787401575); #17019=VECTOR('',#116675,0.393700787401575); #17020=VECTOR('',#116676,0.393700787401575); #17021=VECTOR('',#116677,0.393700787401575); #17022=VECTOR('',#116678,0.393700787401575); #17023=VECTOR('',#116679,0.393700787401575); #17024=VECTOR('',#116680,0.393700787401575); #17025=VECTOR('',#116681,0.393700787401575); #17026=VECTOR('',#116686,0.393700787401575); #17027=VECTOR('',#116687,0.393700787401575); #17028=VECTOR('',#116690,0.393700787401575); #17029=VECTOR('',#116693,0.393700787401575); #17030=VECTOR('',#116694,0.393700787401575); #17031=VECTOR('',#116695,0.393700787401575); #17032=VECTOR('',#116696,0.393700787401575); #17033=VECTOR('',#116697,0.393700787401575); #17034=VECTOR('',#116698,0.393700787401575); #17035=VECTOR('',#116699,0.393700787401575); #17036=VECTOR('',#116704,0.393700787401575); #17037=VECTOR('',#116711,0.393700787401575); #17038=VECTOR('',#116718,0.393700787401575); #17039=VECTOR('',#116719,0.393700787401575); #17040=VECTOR('',#116732,0.393700787401575); #17041=VECTOR('',#116741,0.393700787401575); #17042=VECTOR('',#116746,0.393700787401575); #17043=VECTOR('',#116751,0.393700787401575); #17044=VECTOR('',#116752,0.393700787401575); #17045=VECTOR('',#116753,0.393700787401575); #17046=VECTOR('',#116756,0.393700787401575); #17047=VECTOR('',#116761,0.393700787401575); #17048=VECTOR('',#116764,0.393700787401575); #17049=VECTOR('',#116767,0.393700787401575); #17050=VECTOR('',#116768,0.393700787401575); #17051=VECTOR('',#116769,0.393700787401575); #17052=VECTOR('',#116774,0.393700787401575); #17053=VECTOR('',#116775,0.393700787401575); #17054=VECTOR('',#116778,0.393700787401575); #17055=VECTOR('',#116783,0.393700787401575); #17056=VECTOR('',#116784,0.393700787401575); #17057=VECTOR('',#116787,0.393700787401575); #17058=VECTOR('',#116792,0.393700787401575); #17059=VECTOR('',#116793,0.393700787401575); #17060=VECTOR('',#116794,0.393700787401575); #17061=VECTOR('',#116799,0.393700787401575); #17062=VECTOR('',#116802,0.393700787401575); #17063=VECTOR('',#116803,0.393700787401575); #17064=VECTOR('',#116804,0.393700787401575); #17065=VECTOR('',#116807,0.393700787401575); #17066=VECTOR('',#116808,0.393700787401575); #17067=VECTOR('',#116809,0.393700787401575); #17068=VECTOR('',#116814,0.393700787401575); #17069=VECTOR('',#116817,0.393700787401575); #17070=VECTOR('',#116822,0.393700787401575); #17071=VECTOR('',#116825,0.393700787401575); #17072=VECTOR('',#116826,0.393700787401575); #17073=VECTOR('',#116829,0.393700787401575); #17074=VECTOR('',#116838,0.393700787401575); #17075=VECTOR('',#116841,0.393700787401575); #17076=VECTOR('',#116842,0.393700787401575); #17077=VECTOR('',#116843,0.393700787401575); #17078=VECTOR('',#116850,0.393700787401575); #17079=VECTOR('',#116855,0.393700787401575); #17080=VECTOR('',#116858,0.393700787401575); #17081=VECTOR('',#116863,0.393700787401575); #17082=VECTOR('',#116864,0.393700787401575); #17083=VECTOR('',#116869,0.393700787401575); #17084=VECTOR('',#116878,0.393700787401575); #17085=VECTOR('',#116885,0.1405); #17086=VECTOR('',#116888,0.1405); #17087=VECTOR('',#116891,0.171024); #17088=VECTOR('',#116892,0.393700787401575); #17089=VECTOR('',#116913,0.393700787401575); #17090=VECTOR('',#116920,0.393700787401575); #17091=VECTOR('',#116945,0.393700787401575); #17092=VECTOR('',#116946,0.393700787401575); #17093=VECTOR('',#116961,0.393700787401575); #17094=VECTOR('',#116962,0.393700787401575); #17095=VECTOR('',#116969,0.393700787401575); #17096=VECTOR('',#116974,0.393700787401575); #17097=VECTOR('',#116977,0.393700787401575); #17098=VECTOR('',#116996,0.393700787401575); #17099=VECTOR('',#117009,0.393700787401575); #17100=VECTOR('',#117010,0.393700787401575); #17101=VECTOR('',#117051,0.393700787401575); #17102=VECTOR('',#117076,0.393700787401575); #17103=VECTOR('',#117113,0.393700787401575); #17104=VECTOR('',#117150,0.393700787401575); #17105=VECTOR('',#117165,0.393700787401575); #17106=VECTOR('',#117168,0.393700787401575); #17107=VECTOR('',#117191,0.393700787401575); #17108=VECTOR('',#117194,0.393700787401575); #17109=VECTOR('',#117213,0.393700787401575); #17110=VECTOR('',#117234,0.393700787401575); #17111=VECTOR('',#117235,0.393700787401575); #17112=VECTOR('',#117244,0.393700787401575); #17113=VECTOR('',#117245,0.393700787401575); #17114=VECTOR('',#117254,0.393700787401575); #17115=VECTOR('',#117281,0.393700787401575); #17116=VECTOR('',#117282,0.393700787401575); #17117=VECTOR('',#117291,0.393700787401575); #17118=VECTOR('',#117292,0.393700787401575); #17119=VECTOR('',#117301,0.393700787401575); #17120=VECTOR('',#117320,0.393700787401575); #17121=VECTOR('',#117335,0.393700787401575); #17122=VECTOR('',#117338,0.393700787401575); #17123=VECTOR('',#117353,0.393700787401575); #17124=VECTOR('',#117412,0.393700787401575); #17125=VECTOR('',#117417,0.393700787401575); #17126=VECTOR('',#117420,0.393700787401575); #17127=VECTOR('',#117457,0.393700787401575); #17128=VECTOR('',#117460,0.393700787401575); #17129=VECTOR('',#117469,0.382977139167284); #17130=VECTOR('',#117486,0.393700787401575); #17131=VECTOR('',#117499,0.393700787401575); #17132=VECTOR('',#117508,0.393700787401575); #17133=VECTOR('',#117533,0.393700787401575); #17134=VECTOR('',#117534,0.393700787401575); #17135=VECTOR('',#117541,0.393700787401575); #17136=VECTOR('',#117564,0.393700787401575); #17137=VECTOR('',#117603,0.393700787401575); #17138=VECTOR('',#117614,0.393700787401575); #17139=VECTOR('',#117617,0.393700787401575); #17140=VECTOR('',#117622,0.393700787401575); #17141=VECTOR('',#117625,0.393700787401575); #17142=VECTOR('',#117626,0.393700787401575); #17143=VECTOR('',#117627,0.393700787401575); #17144=VECTOR('',#117628,0.393700787401575); #17145=VECTOR('',#117633,0.393700787401575); #17146=VECTOR('',#117636,0.393700787401575); #17147=VECTOR('',#117641,0.393700787401575); #17148=VECTOR('',#117642,0.393700787401575); #17149=VECTOR('',#117643,0.393700787401575); #17150=VECTOR('',#117644,0.393700787401575); #17151=VECTOR('',#117649,0.393700787401575); #17152=VECTOR('',#117652,0.393700787401575); #17153=VECTOR('',#117657,0.393700787401575); #17154=VECTOR('',#117658,0.393700787401575); #17155=VECTOR('',#117659,0.393700787401575); #17156=VECTOR('',#117660,0.393700787401575); #17157=VECTOR('',#117665,0.393700787401575); #17158=VECTOR('',#117668,0.393700787401575); #17159=VECTOR('',#117673,0.393700787401575); #17160=VECTOR('',#117674,0.393700787401575); #17161=VECTOR('',#117675,0.393700787401575); #17162=VECTOR('',#117676,0.393700787401575); #17163=VECTOR('',#117681,0.393700787401575); #17164=VECTOR('',#117684,0.393700787401575); #17165=VECTOR('',#117689,0.393700787401575); #17166=VECTOR('',#117690,0.393700787401575); #17167=VECTOR('',#117691,0.393700787401575); #17168=VECTOR('',#117692,0.393700787401575); #17169=VECTOR('',#117697,0.393700787401575); #17170=VECTOR('',#117700,0.393700787401575); #17171=VECTOR('',#117705,0.393700787401575); #17172=VECTOR('',#117706,0.393700787401575); #17173=VECTOR('',#117707,0.393700787401575); #17174=VECTOR('',#117708,0.393700787401575); #17175=VECTOR('',#117713,0.393700787401575); #17176=VECTOR('',#117716,0.393700787401575); #17177=VECTOR('',#117721,0.393700787401575); #17178=VECTOR('',#117722,0.393700787401575); #17179=VECTOR('',#117723,0.393700787401575); #17180=VECTOR('',#117724,0.393700787401575); #17181=VECTOR('',#117729,0.393700787401575); #17182=VECTOR('',#117732,0.393700787401575); #17183=VECTOR('',#117737,0.393700787401575); #17184=VECTOR('',#117738,0.393700787401575); #17185=VECTOR('',#117739,0.393700787401575); #17186=VECTOR('',#117740,0.393700787401575); #17187=VECTOR('',#117745,0.393700787401575); #17188=VECTOR('',#117748,0.393700787401575); #17189=VECTOR('',#117753,0.393700787401575); #17190=VECTOR('',#117754,0.393700787401575); #17191=VECTOR('',#117755,0.393700787401575); #17192=VECTOR('',#117756,0.393700787401575); #17193=VECTOR('',#117761,0.393700787401575); #17194=VECTOR('',#117764,0.393700787401575); #17195=VECTOR('',#117767,0.393700787401575); #17196=VECTOR('',#117772,0.393700787401575); #17197=VECTOR('',#117775,0.393700787401575); #17198=VECTOR('',#117778,0.393700787401575); #17199=VECTOR('',#117783,0.393700787401575); #17200=VECTOR('',#117786,0.393700787401575); #17201=VECTOR('',#117789,0.393700787401575); #17202=VECTOR('',#117794,0.393700787401575); #17203=VECTOR('',#117797,0.393700787401575); #17204=VECTOR('',#117800,0.393700787401575); #17205=VECTOR('',#117805,0.393700787401575); #17206=VECTOR('',#117808,0.393700787401575); #17207=VECTOR('',#117811,0.393700787401575); #17208=VECTOR('',#117816,0.393700787401575); #17209=VECTOR('',#117819,0.393700787401575); #17210=VECTOR('',#117822,0.393700787401575); #17211=VECTOR('',#117827,0.393700787401575); #17212=VECTOR('',#117830,0.393700787401575); #17213=VECTOR('',#117833,0.393700787401575); #17214=VECTOR('',#117838,0.393700787401575); #17215=VECTOR('',#117841,0.393700787401575); #17216=VECTOR('',#117844,0.393700787401575); #17217=VECTOR('',#117847,0.393700787401575); #17218=VECTOR('',#117848,0.393700787401575); #17219=VECTOR('',#117849,0.393700787401575); #17220=VECTOR('',#117852,0.393700787401575); #17221=VECTOR('',#117853,0.393700787401575); #17222=VECTOR('',#117854,0.393700787401575); #17223=VECTOR('',#117857,0.393700787401575); #17224=VECTOR('',#117858,0.393700787401575); #17225=VECTOR('',#117859,0.393700787401575); #17226=VECTOR('',#117862,0.393700787401575); #17227=VECTOR('',#117863,0.393700787401575); #17228=VECTOR('',#117864,0.393700787401575); #17229=VECTOR('',#117867,0.393700787401575); #17230=VECTOR('',#117868,0.393700787401575); #17231=VECTOR('',#117869,0.393700787401575); #17232=VECTOR('',#117872,0.393700787401575); #17233=VECTOR('',#117873,0.393700787401575); #17234=VECTOR('',#117874,0.393700787401575); #17235=VECTOR('',#117877,0.393700787401575); #17236=VECTOR('',#117878,0.393700787401575); #17237=VECTOR('',#117879,0.393700787401575); #17238=VECTOR('',#117882,0.393700787401575); #17239=VECTOR('',#117883,0.393700787401575); #17240=VECTOR('',#117884,0.393700787401575); #17241=VECTOR('',#117887,0.393700787401575); #17242=VECTOR('',#117888,0.393700787401575); #17243=VECTOR('',#117889,0.393700787401575); #17244=VECTOR('',#117890,0.393700787401575); #17245=VECTOR('',#117895,0.393700787401575); #17246=VECTOR('',#117972,0.393700787401575); #17247=VECTOR('',#117975,0.393700787401575); #17248=VECTOR('',#117980,0.393700787401575); #17249=VECTOR('',#117983,0.393700787401575); #17250=VECTOR('',#117988,0.393700787401575); #17251=VECTOR('',#117991,0.393700787401575); #17252=VECTOR('',#118000,0.393700787401575); #17253=VECTOR('',#118003,0.393700787401575); #17254=VECTOR('',#118024,0.393700787401575); #17255=VECTOR('',#118041,0.393700787401575); #17256=VECTOR('',#118046,0.393700787401575); #17257=VECTOR('',#118061,0.393700787401575); #17258=VECTOR('',#118076,0.393700787401575); #17259=VECTOR('',#118079,0.393700787401575); #17260=VECTOR('',#118082,0.393700787401575); #17261=VECTOR('',#118085,0.393700787401575); #17262=VECTOR('',#118090,0.393700787401575); #17263=VECTOR('',#118093,0.393700787401575); #17264=VECTOR('',#118096,0.393700787401575); #17265=VECTOR('',#118099,0.393700787401575); #17266=VECTOR('',#118104,0.393700787401575); #17267=VECTOR('',#118107,0.393700787401575); #17268=VECTOR('',#118112,0.393700787401575); #17269=VECTOR('',#118115,0.393700787401575); #17270=VECTOR('',#118120,0.393700787401575); #17271=VECTOR('',#118123,0.393700787401575); #17272=VECTOR('',#118132,0.393700787401575); #17273=VECTOR('',#118135,0.393700787401575); #17274=VECTOR('',#118186,0.393700787401575); #17275=VECTOR('',#118189,0.393700787401575); #17276=VECTOR('',#118194,0.393700787401575); #17277=VECTOR('',#118197,0.393700787401575); #17278=VECTOR('',#118202,0.393700787401575); #17279=VECTOR('',#118205,0.393700787401575); #17280=VECTOR('',#118214,0.393700787401575); #17281=VECTOR('',#118217,0.393700787401575); #17282=VECTOR('',#118268,0.10966375); #17283=VECTOR('',#118275,0.125); #17284=VECTOR('',#118294,0.393700787401575); #17285=VECTOR('',#118295,0.393700787401575); #17286=VECTOR('',#118298,0.393700787401575); #17287=VECTOR('',#118301,0.393700787401575); #17288=VECTOR('',#118304,0.393700787401575); #17289=VECTOR('',#118307,0.393700787401575); #17290=VECTOR('',#118320,0.28); #17291=VECTOR('',#118325,0.393700787401575); #17292=VECTOR('',#118328,0.393700787401575); #17293=VECTOR('',#118329,0.393700787401575); #17294=VECTOR('',#118330,0.393700787401575); #17295=VECTOR('',#118331,0.393700787401575); #17296=VECTOR('',#118334,0.393700787401575); #17297=VECTOR('',#118335,0.393700787401575); #17298=VECTOR('',#118336,0.393700787401575); #17299=VECTOR('',#118337,0.393700787401575); #17300=VECTOR('',#118338,0.393700787401575); #17301=VECTOR('',#118339,0.393700787401575); #17302=VECTOR('',#118340,0.393700787401575); #17303=VECTOR('',#118341,0.393700787401575); #17304=VECTOR('',#118344,0.393700787401575); #17305=VECTOR('',#118345,0.393700787401575); #17306=VECTOR('',#118346,0.393700787401575); #17307=VECTOR('',#118347,0.393700787401575); #17308=VECTOR('',#118350,0.393700787401575); #17309=VECTOR('',#118351,0.393700787401575); #17310=VECTOR('',#118352,0.393700787401575); #17311=VECTOR('',#118353,0.393700787401575); #17312=VECTOR('',#118354,0.393700787401575); #17313=VECTOR('',#118355,0.393700787401575); #17314=VECTOR('',#118356,0.393700787401575); #17315=VECTOR('',#118357,0.393700787401575); #17316=VECTOR('',#118360,0.393700787401575); #17317=VECTOR('',#118361,0.393700787401575); #17318=VECTOR('',#118362,0.393700787401575); #17319=VECTOR('',#118363,0.393700787401575); #17320=VECTOR('',#118366,0.393700787401575); #17321=VECTOR('',#118367,0.393700787401575); #17322=VECTOR('',#118368,0.393700787401575); #17323=VECTOR('',#118369,0.393700787401575); #17324=VECTOR('',#118370,0.393700787401575); #17325=VECTOR('',#118371,0.393700787401575); #17326=VECTOR('',#118372,0.393700787401575); #17327=VECTOR('',#118373,0.393700787401575); #17328=VECTOR('',#118376,0.393700787401575); #17329=VECTOR('',#118377,0.393700787401575); #17330=VECTOR('',#118378,0.393700787401575); #17331=VECTOR('',#118379,0.393700787401575); #17332=VECTOR('',#118382,0.393700787401575); #17333=VECTOR('',#118383,0.393700787401575); #17334=VECTOR('',#118384,0.393700787401575); #17335=VECTOR('',#118385,0.393700787401575); #17336=VECTOR('',#118386,0.393700787401575); #17337=VECTOR('',#118387,0.393700787401575); #17338=VECTOR('',#118388,0.393700787401575); #17339=VECTOR('',#118389,0.393700787401575); #17340=VECTOR('',#118392,0.393700787401575); #17341=VECTOR('',#118393,0.393700787401575); #17342=VECTOR('',#118394,0.393700787401575); #17343=VECTOR('',#118395,0.393700787401575); #17344=VECTOR('',#118398,0.393700787401575); #17345=VECTOR('',#118399,0.393700787401575); #17346=VECTOR('',#118400,0.393700787401575); #17347=VECTOR('',#118401,0.393700787401575); #17348=VECTOR('',#118402,0.393700787401575); #17349=VECTOR('',#118403,0.393700787401575); #17350=VECTOR('',#118404,0.393700787401575); #17351=VECTOR('',#118435,0.393700787401575); #17352=VECTOR('',#118438,0.393700787401575); #17353=VECTOR('',#118501,0.393700787401575); #17354=VECTOR('',#118504,0.393700787401575); #17355=VECTOR('',#118505,0.393700787401575); #17356=VECTOR('',#118506,0.393700787401575); #17357=VECTOR('',#118507,0.393700787401575); #17358=VECTOR('',#118510,0.393700787401575); #17359=VECTOR('',#118511,0.393700787401575); #17360=VECTOR('',#118512,0.393700787401575); #17361=VECTOR('',#118513,0.393700787401575); #17362=VECTOR('',#118514,0.393700787401575); #17363=VECTOR('',#118521,0.393700787401575); #17364=VECTOR('',#118522,0.393700787401575); #17365=VECTOR('',#118523,0.393700787401575); #17366=VECTOR('',#118528,0.393700787401575); #17367=VECTOR('',#118531,0.393700787401575); #17368=VECTOR('',#118532,0.393700787401575); #17369=VECTOR('',#118533,0.393700787401575); #17370=VECTOR('',#118536,0.393700787401575); #17371=VECTOR('',#118537,0.393700787401575); #17372=VECTOR('',#118540,0.393700787401575); #17373=VECTOR('',#118541,0.393700787401575); #17374=VECTOR('',#118542,0.393700787401575); #17375=VECTOR('',#118547,0.393700787401575); #17376=VECTOR('',#118550,0.393700787401575); #17377=VECTOR('',#118551,0.393700787401575); #17378=VECTOR('',#118552,0.393700787401575); #17379=VECTOR('',#118555,0.393700787401575); #17380=VECTOR('',#118556,0.393700787401575); #17381=VECTOR('',#118559,0.393700787401575); #17382=VECTOR('',#118560,0.393700787401575); #17383=VECTOR('',#118561,0.393700787401575); #17384=VECTOR('',#118566,0.393700787401575); #17385=VECTOR('',#118569,0.393700787401575); #17386=VECTOR('',#118570,0.393700787401575); #17387=VECTOR('',#118571,0.393700787401575); #17388=VECTOR('',#118574,0.393700787401575); #17389=VECTOR('',#118575,0.393700787401575); #17390=VECTOR('',#118578,0.393700787401575); #17391=VECTOR('',#118579,0.393700787401575); #17392=VECTOR('',#118580,0.393700787401575); #17393=VECTOR('',#118585,0.393700787401575); #17394=VECTOR('',#118588,0.393700787401575); #17395=VECTOR('',#118589,0.393700787401575); #17396=VECTOR('',#118590,0.393700787401575); #17397=VECTOR('',#118593,0.393700787401575); #17398=VECTOR('',#118594,0.393700787401575); #17399=VECTOR('',#118597,0.393700787401575); #17400=VECTOR('',#118598,0.393700787401575); #17401=VECTOR('',#118599,0.393700787401575); #17402=VECTOR('',#118604,0.393700787401575); #17403=VECTOR('',#118607,0.393700787401575); #17404=VECTOR('',#118608,0.393700787401575); #17405=VECTOR('',#118609,0.393700787401575); #17406=VECTOR('',#118612,0.393700787401575); #17407=VECTOR('',#118613,0.393700787401575); #17408=VECTOR('',#118616,0.393700787401575); #17409=VECTOR('',#118617,0.393700787401575); #17410=VECTOR('',#118618,0.393700787401575); #17411=VECTOR('',#118623,0.393700787401575); #17412=VECTOR('',#118626,0.393700787401575); #17413=VECTOR('',#118627,0.393700787401575); #17414=VECTOR('',#118628,0.393700787401575); #17415=VECTOR('',#118631,0.393700787401575); #17416=VECTOR('',#118632,0.393700787401575); #17417=VECTOR('',#118635,0.393700787401575); #17418=VECTOR('',#118636,0.393700787401575); #17419=VECTOR('',#118637,0.393700787401575); #17420=VECTOR('',#118642,0.393700787401575); #17421=VECTOR('',#118645,0.393700787401575); #17422=VECTOR('',#118646,0.393700787401575); #17423=VECTOR('',#118647,0.393700787401575); #17424=VECTOR('',#118650,0.393700787401575); #17425=VECTOR('',#118651,0.393700787401575); #17426=VECTOR('',#118654,0.393700787401575); #17427=VECTOR('',#118655,0.393700787401575); #17428=VECTOR('',#118656,0.393700787401575); #17429=VECTOR('',#118661,0.393700787401575); #17430=VECTOR('',#118664,0.393700787401575); #17431=VECTOR('',#118665,0.393700787401575); #17432=VECTOR('',#118666,0.393700787401575); #17433=VECTOR('',#118669,0.393700787401575); #17434=VECTOR('',#118670,0.393700787401575); #17435=VECTOR('',#118673,0.393700787401575); #17436=VECTOR('',#118674,0.393700787401575); #17437=VECTOR('',#118675,0.393700787401575); #17438=VECTOR('',#118680,0.393700787401575); #17439=VECTOR('',#118683,0.393700787401575); #17440=VECTOR('',#118684,0.393700787401575); #17441=VECTOR('',#118685,0.393700787401575); #17442=VECTOR('',#118688,0.393700787401575); #17443=VECTOR('',#118689,0.393700787401575); #17444=VECTOR('',#118692,0.393700787401575); #17445=VECTOR('',#118693,0.393700787401575); #17446=VECTOR('',#118694,0.393700787401575); #17447=VECTOR('',#118699,0.393700787401575); #17448=VECTOR('',#118702,0.393700787401575); #17449=VECTOR('',#118703,0.393700787401575); #17450=VECTOR('',#118704,0.393700787401575); #17451=VECTOR('',#118707,0.393700787401575); #17452=VECTOR('',#118708,0.393700787401575); #17453=VECTOR('',#118711,0.393700787401575); #17454=VECTOR('',#118712,0.393700787401575); #17455=VECTOR('',#118713,0.393700787401575); #17456=VECTOR('',#118718,0.393700787401575); #17457=VECTOR('',#118721,0.393700787401575); #17458=VECTOR('',#118722,0.393700787401575); #17459=VECTOR('',#118723,0.393700787401575); #17460=VECTOR('',#118726,0.393700787401575); #17461=VECTOR('',#118727,0.393700787401575); #17462=VECTOR('',#118732,0.393700787401575); #17463=VECTOR('',#118775,0.393700787401575); #17464=VECTOR('',#118776,0.393700787401575); #17465=VECTOR('',#118781,0.393700787401575); #17466=VECTOR('',#118784,0.393700787401575); #17467=VECTOR('',#118785,0.393700787401575); #17468=VECTOR('',#118786,0.393700787401575); #17469=VECTOR('',#118789,0.393700787401575); #17470=VECTOR('',#118790,0.393700787401575); #17471=VECTOR('',#118803,0.393700787401575); #17472=VECTOR('',#118808,0.393700787401575); #17473=VECTOR('',#118813,0.393700787401575); #17474=VECTOR('',#118818,0.393700787401575); #17475=VECTOR('',#118823,0.393700787401575); #17476=VECTOR('',#118826,0.393700787401575); #17477=VECTOR('',#118829,0.393700787401575); #17478=VECTOR('',#118830,0.393700787401575); #17479=VECTOR('',#118833,0.393700787401575); #17480=VECTOR('',#118836,0.393700787401575); #17481=VECTOR('',#118837,0.393700787401575); #17482=VECTOR('',#118840,0.393700787401575); #17483=VECTOR('',#118845,0.393700787401575); #17484=VECTOR('',#118846,0.393700787401575); #17485=VECTOR('',#118849,0.393700787401575); #17486=VECTOR('',#118856,0.393700787401575); #17487=VECTOR('',#118859,0.393700787401575); #17488=VECTOR('',#118860,0.393700787401575); #17489=VECTOR('',#118865,0.393700787401575); #17490=VECTOR('',#118866,0.393700787401575); #17491=VECTOR('',#118867,0.393700787401575); #17492=VECTOR('',#118872,0.393700787401575); #17493=VECTOR('',#118875,0.393700787401575); #17494=VECTOR('',#118876,0.393700787401575); #17495=VECTOR('',#118885,0.393700787401575); #17496=VECTOR('',#118888,0.393700787401575); #17497=VECTOR('',#118891,0.393700787401575); #17498=VECTOR('',#118892,0.393700787401575); #17499=VECTOR('',#118893,0.393700787401575); #17500=VECTOR('',#118898,0.393700787401575); #17501=VECTOR('',#118903,0.393700787401575); #17502=VECTOR('',#118904,0.393700787401575); #17503=VECTOR('',#118905,0.393700787401575); #17504=VECTOR('',#118912,0.393700787401575); #17505=VECTOR('',#118915,0.393700787401575); #17506=VECTOR('',#118916,0.393700787401575); #17507=VECTOR('',#118923,0.393700787401575); #17508=VECTOR('',#118926,0.393700787401575); #17509=VECTOR('',#118929,0.393700787401575); #17510=VECTOR('',#118932,0.393700787401575); #17511=VECTOR('',#118935,0.393700787401575); #17512=VECTOR('',#118938,0.393700787401575); #17513=VECTOR('',#118939,0.393700787401575); #17514=VECTOR('',#118942,0.393700787401575); #17515=VECTOR('',#118947,0.393700787401575); #17516=VECTOR('',#118948,0.393700787401575); #17517=VECTOR('',#118953,0.393700787401575); #17518=VECTOR('',#118956,0.393700787401575); #17519=VECTOR('',#118959,0.393700787401575); #17520=VECTOR('',#118960,0.393700787401575); #17521=VECTOR('',#118961,0.393700787401575); #17522=VECTOR('',#118962,0.393700787401575); #17523=VECTOR('',#118967,0.393700787401575); #17524=VECTOR('',#118972,0.393700787401575); #17525=VECTOR('',#118973,0.393700787401575); #17526=VECTOR('',#118974,0.393700787401575); #17527=VECTOR('',#118981,0.393700787401575); #17528=VECTOR('',#118984,0.393700787401575); #17529=VECTOR('',#118985,0.393700787401575); #17530=VECTOR('',#118986,0.393700787401575); #17531=VECTOR('',#118999,0.393700787401575); #17532=VECTOR('',#119002,0.393700787401575); #17533=VECTOR('',#119005,0.393700787401575); #17534=VECTOR('',#119008,0.393700787401575); #17535=VECTOR('',#119011,0.393700787401575); #17536=VECTOR('',#119014,0.393700787401575); #17537=VECTOR('',#119015,0.393700787401575); #17538=VECTOR('',#119018,0.393700787401575); #17539=VECTOR('',#119023,0.393700787401575); #17540=VECTOR('',#119024,0.393700787401575); #17541=VECTOR('',#119029,0.393700787401575); #17542=VECTOR('',#119032,0.393700787401575); #17543=VECTOR('',#119037,0.393700787401575); #17544=VECTOR('',#119040,0.393700787401575); #17545=VECTOR('',#119043,0.393700787401575); #17546=VECTOR('',#119044,0.393700787401575); #17547=VECTOR('',#119045,0.393700787401575); #17548=VECTOR('',#119050,0.393700787401575); #17549=VECTOR('',#119053,0.393700787401575); #17550=VECTOR('',#119054,0.393700787401575); #17551=VECTOR('',#119059,0.393700787401575); #17552=VECTOR('',#119064,0.393700787401575); #17553=VECTOR('',#119065,0.393700787401575); #17554=VECTOR('',#119066,0.393700787401575); #17555=VECTOR('',#119071,0.393700787401575); #17556=VECTOR('',#119080,0.393700787401575); #17557=VECTOR('',#119083,0.393700787401575); #17558=VECTOR('',#119084,0.393700787401575); #17559=VECTOR('',#119085,0.393700787401575); #17560=VECTOR('',#119092,0.393700787401575); #17561=VECTOR('',#119093,0.393700787401575); #17562=VECTOR('',#119094,0.393700787401575); #17563=VECTOR('',#119101,0.393700787401575); #17564=VECTOR('',#119104,0.393700787401575); #17565=VECTOR('',#119105,0.393700787401575); #17566=VECTOR('',#119106,0.393700787401575); #17567=VECTOR('',#119119,0.393700787401575); #17568=VECTOR('',#119124,0.393700787401575); #17569=VECTOR('',#119129,0.393700787401575); #17570=VECTOR('',#119134,0.393700787401575); #17571=VECTOR('',#119139,0.393700787401575); #17572=VECTOR('',#119142,0.393700787401575); #17573=VECTOR('',#119145,0.393700787401575); #17574=VECTOR('',#119146,0.393700787401575); #17575=VECTOR('',#119149,0.393700787401575); #17576=VECTOR('',#119152,0.393700787401575); #17577=VECTOR('',#119153,0.393700787401575); #17578=VECTOR('',#119156,0.393700787401575); #17579=VECTOR('',#119161,0.393700787401575); #17580=VECTOR('',#119162,0.393700787401575); #17581=VECTOR('',#119165,0.393700787401575); #17582=VECTOR('',#119172,0.393700787401575); #17583=VECTOR('',#119175,0.393700787401575); #17584=VECTOR('',#119176,0.393700787401575); #17585=VECTOR('',#119181,0.393700787401575); #17586=VECTOR('',#119182,0.393700787401575); #17587=VECTOR('',#119183,0.393700787401575); #17588=VECTOR('',#119188,0.393700787401575); #17589=VECTOR('',#119191,0.393700787401575); #17590=VECTOR('',#119192,0.393700787401575); #17591=VECTOR('',#119201,0.393700787401575); #17592=VECTOR('',#119204,0.393700787401575); #17593=VECTOR('',#119207,0.393700787401575); #17594=VECTOR('',#119208,0.393700787401575); #17595=VECTOR('',#119209,0.393700787401575); #17596=VECTOR('',#119214,0.393700787401575); #17597=VECTOR('',#119217,0.393700787401575); #17598=VECTOR('',#119218,0.393700787401575); #17599=VECTOR('',#119223,0.393700787401575); #17600=VECTOR('',#119228,0.393700787401575); #17601=VECTOR('',#119229,0.393700787401575); #17602=VECTOR('',#119230,0.393700787401575); #17603=VECTOR('',#119235,0.393700787401575); #17604=VECTOR('',#119244,0.393700787401575); #17605=VECTOR('',#119247,0.393700787401575); #17606=VECTOR('',#119248,0.393700787401575); #17607=VECTOR('',#119249,0.393700787401575); #17608=VECTOR('',#119256,0.393700787401575); #17609=VECTOR('',#119257,0.393700787401575); #17610=VECTOR('',#119258,0.393700787401575); #17611=VECTOR('',#119265,0.393700787401575); #17612=VECTOR('',#119268,0.393700787401575); #17613=VECTOR('',#119269,0.393700787401575); #17614=VECTOR('',#119270,0.393700787401575); #17615=VECTOR('',#119283,0.393700787401575); #17616=VECTOR('',#119288,0.393700787401575); #17617=VECTOR('',#119293,0.393700787401575); #17618=VECTOR('',#119298,0.393700787401575); #17619=VECTOR('',#119303,0.393700787401575); #17620=VECTOR('',#119306,0.393700787401575); #17621=VECTOR('',#119309,0.393700787401575); #17622=VECTOR('',#119310,0.393700787401575); #17623=VECTOR('',#119313,0.393700787401575); #17624=VECTOR('',#119316,0.393700787401575); #17625=VECTOR('',#119317,0.393700787401575); #17626=VECTOR('',#119320,0.393700787401575); #17627=VECTOR('',#119325,0.393700787401575); #17628=VECTOR('',#119326,0.393700787401575); #17629=VECTOR('',#119329,0.393700787401575); #17630=VECTOR('',#119336,0.393700787401575); #17631=VECTOR('',#119339,0.393700787401575); #17632=VECTOR('',#119340,0.393700787401575); #17633=VECTOR('',#119345,0.393700787401575); #17634=VECTOR('',#119346,0.393700787401575); #17635=VECTOR('',#119347,0.393700787401575); #17636=VECTOR('',#119352,0.393700787401575); #17637=VECTOR('',#119355,0.393700787401575); #17638=VECTOR('',#119356,0.393700787401575); #17639=VECTOR('',#119365,0.393700787401575); #17640=VECTOR('',#119368,0.393700787401575); #17641=VECTOR('',#119371,0.393700787401575); #17642=VECTOR('',#119372,0.393700787401575); #17643=VECTOR('',#119373,0.393700787401575); #17644=VECTOR('',#119378,0.393700787401575); #17645=VECTOR('',#119381,0.393700787401575); #17646=VECTOR('',#119382,0.393700787401575); #17647=VECTOR('',#119387,0.393700787401575); #17648=VECTOR('',#119392,0.393700787401575); #17649=VECTOR('',#119393,0.393700787401575); #17650=VECTOR('',#119394,0.393700787401575); #17651=VECTOR('',#119399,0.393700787401575); #17652=VECTOR('',#119408,0.393700787401575); #17653=VECTOR('',#119411,0.393700787401575); #17654=VECTOR('',#119412,0.393700787401575); #17655=VECTOR('',#119413,0.393700787401575); #17656=VECTOR('',#119420,0.393700787401575); #17657=VECTOR('',#119421,0.393700787401575); #17658=VECTOR('',#119422,0.393700787401575); #17659=VECTOR('',#119429,0.393700787401575); #17660=VECTOR('',#119432,0.393700787401575); #17661=VECTOR('',#119433,0.393700787401575); #17662=VECTOR('',#119434,0.393700787401575); #17663=VECTOR('',#119447,0.393700787401575); #17664=VECTOR('',#119452,0.393700787401575); #17665=VECTOR('',#119457,0.393700787401575); #17666=VECTOR('',#119462,0.393700787401575); #17667=VECTOR('',#119467,0.393700787401575); #17668=VECTOR('',#119470,0.393700787401575); #17669=VECTOR('',#119473,0.393700787401575); #17670=VECTOR('',#119474,0.393700787401575); #17671=VECTOR('',#119477,0.393700787401575); #17672=VECTOR('',#119480,0.393700787401575); #17673=VECTOR('',#119481,0.393700787401575); #17674=VECTOR('',#119484,0.393700787401575); #17675=VECTOR('',#119489,0.393700787401575); #17676=VECTOR('',#119490,0.393700787401575); #17677=VECTOR('',#119493,0.393700787401575); #17678=VECTOR('',#119500,0.393700787401575); #17679=VECTOR('',#119503,0.393700787401575); #17680=VECTOR('',#119504,0.393700787401575); #17681=VECTOR('',#119509,0.393700787401575); #17682=VECTOR('',#119510,0.393700787401575); #17683=VECTOR('',#119511,0.393700787401575); #17684=VECTOR('',#119516,0.393700787401575); #17685=VECTOR('',#119519,0.393700787401575); #17686=VECTOR('',#119520,0.393700787401575); #17687=VECTOR('',#119529,0.393700787401575); #17688=VECTOR('',#119532,0.393700787401575); #17689=VECTOR('',#119535,0.393700787401575); #17690=VECTOR('',#119536,0.393700787401575); #17691=VECTOR('',#119537,0.393700787401575); #17692=VECTOR('',#119542,0.393700787401575); #17693=VECTOR('',#119545,0.393700787401575); #17694=VECTOR('',#119546,0.393700787401575); #17695=VECTOR('',#119551,0.393700787401575); #17696=VECTOR('',#119556,0.393700787401575); #17697=VECTOR('',#119557,0.393700787401575); #17698=VECTOR('',#119558,0.393700787401575); #17699=VECTOR('',#119563,0.393700787401575); #17700=VECTOR('',#119572,0.393700787401575); #17701=VECTOR('',#119575,0.393700787401575); #17702=VECTOR('',#119576,0.393700787401575); #17703=VECTOR('',#119577,0.393700787401575); #17704=VECTOR('',#119584,0.393700787401575); #17705=VECTOR('',#119585,0.393700787401575); #17706=VECTOR('',#119586,0.393700787401575); #17707=VECTOR('',#119593,0.393700787401575); #17708=VECTOR('',#119596,0.393700787401575); #17709=VECTOR('',#119597,0.393700787401575); #17710=VECTOR('',#119598,0.393700787401575); #17711=VECTOR('',#119611,0.393700787401575); #17712=VECTOR('',#119616,0.393700787401575); #17713=VECTOR('',#119621,0.393700787401575); #17714=VECTOR('',#119626,0.393700787401575); #17715=VECTOR('',#119631,0.393700787401575); #17716=VECTOR('',#119634,0.393700787401575); #17717=VECTOR('',#119637,0.393700787401575); #17718=VECTOR('',#119638,0.393700787401575); #17719=VECTOR('',#119641,0.393700787401575); #17720=VECTOR('',#119644,0.393700787401575); #17721=VECTOR('',#119645,0.393700787401575); #17722=VECTOR('',#119648,0.393700787401575); #17723=VECTOR('',#119653,0.393700787401575); #17724=VECTOR('',#119654,0.393700787401575); #17725=VECTOR('',#119657,0.393700787401575); #17726=VECTOR('',#119664,0.393700787401575); #17727=VECTOR('',#119667,0.393700787401575); #17728=VECTOR('',#119668,0.393700787401575); #17729=VECTOR('',#119673,0.393700787401575); #17730=VECTOR('',#119674,0.393700787401575); #17731=VECTOR('',#119675,0.393700787401575); #17732=VECTOR('',#119680,0.393700787401575); #17733=VECTOR('',#119683,0.393700787401575); #17734=VECTOR('',#119684,0.393700787401575); #17735=VECTOR('',#119693,0.393700787401575); #17736=VECTOR('',#119696,0.393700787401575); #17737=VECTOR('',#119699,0.393700787401575); #17738=VECTOR('',#119700,0.393700787401575); #17739=VECTOR('',#119701,0.393700787401575); #17740=VECTOR('',#119706,0.393700787401575); #17741=VECTOR('',#119709,0.393700787401575); #17742=VECTOR('',#119710,0.393700787401575); #17743=VECTOR('',#119715,0.393700787401575); #17744=VECTOR('',#119720,0.393700787401575); #17745=VECTOR('',#119721,0.393700787401575); #17746=VECTOR('',#119722,0.393700787401575); #17747=VECTOR('',#119727,0.393700787401575); #17748=VECTOR('',#119736,0.393700787401575); #17749=VECTOR('',#119739,0.393700787401575); #17750=VECTOR('',#119740,0.393700787401575); #17751=VECTOR('',#119741,0.393700787401575); #17752=VECTOR('',#119748,0.393700787401575); #17753=VECTOR('',#119749,0.393700787401575); #17754=VECTOR('',#119750,0.393700787401575); #17755=VECTOR('',#119757,0.393700787401575); #17756=VECTOR('',#119760,0.393700787401575); #17757=VECTOR('',#119761,0.393700787401575); #17758=VECTOR('',#119762,0.393700787401575); #17759=VECTOR('',#119775,0.393700787401575); #17760=VECTOR('',#119778,0.393700787401575); #17761=VECTOR('',#119781,0.393700787401575); #17762=VECTOR('',#119784,0.393700787401575); #17763=VECTOR('',#119785,0.393700787401575); #17764=VECTOR('',#119786,0.393700787401575); #17765=VECTOR('',#119789,0.393700787401575); #17766=VECTOR('',#119792,0.393700787401575); #17767=VECTOR('',#119797,0.393700787401575); #17768=VECTOR('',#119802,0.393700787401575); #17769=VECTOR('',#119805,0.393700787401575); #17770=VECTOR('',#119806,0.393700787401575); #17771=VECTOR('',#119825,0.393700787401575); #17772=VECTOR('',#119826,0.393700787401575); #17773=VECTOR('',#119855,0.393700787401575); #17774=VECTOR('',#119856,0.393700787401575); #17775=VECTOR('',#119899,0.393700787401575); #17776=VECTOR('',#119922,0.393700787401575); #17777=VECTOR('',#119957,0.393700787401575); #17778=VECTOR('',#119980,0.393700787401575); #17779=VECTOR('',#120015,0.393700787401575); #17780=VECTOR('',#120038,0.393700787401575); #17781=VECTOR('',#120073,0.393700787401575); #17782=VECTOR('',#120096,0.393700787401575); #17783=VECTOR('',#120131,0.393700787401575); #17784=VECTOR('',#120154,0.393700787401575); #17785=VECTOR('',#120189,0.393700787401575); #17786=VECTOR('',#120212,0.393700787401575); #17787=CYLINDRICAL_SURFACE('',#91058,0.0925240499999999); #17788=CYLINDRICAL_SURFACE('',#91060,0.0925240499999999); #17789=CYLINDRICAL_SURFACE('',#91062,0.125); #17790=CYLINDRICAL_SURFACE('',#91064,0.125); #17791=CYLINDRICAL_SURFACE('',#91066,0.0925240499999999); #17792=CYLINDRICAL_SURFACE('',#91068,0.0925240499999999); #17793=CYLINDRICAL_SURFACE('',#91070,0.125); #17794=CYLINDRICAL_SURFACE('',#91357,0.01); #17795=CYLINDRICAL_SURFACE('',#91367,0.01); #17796=CYLINDRICAL_SURFACE('',#91375,0.01); #17797=CYLINDRICAL_SURFACE('',#91385,0.01); #17798=CYLINDRICAL_SURFACE('',#91422,0.01); #17799=CYLINDRICAL_SURFACE('',#91430,0.01); #17800=CYLINDRICAL_SURFACE('',#91446,0.01); #17801=CYLINDRICAL_SURFACE('',#91455,0.01); #17802=CYLINDRICAL_SURFACE('',#91471,0.01); #17803=CYLINDRICAL_SURFACE('',#91479,0.01); #17804=CYLINDRICAL_SURFACE('',#91495,0.01); #17805=CYLINDRICAL_SURFACE('',#91503,0.01); #17806=CYLINDRICAL_SURFACE('',#91515,0.03); #17807=CYLINDRICAL_SURFACE('',#91517,0.039370079); #17808=CYLINDRICAL_SURFACE('',#91520,0.039370079); #17809=CYLINDRICAL_SURFACE('',#91522,0.03); #17810=CYLINDRICAL_SURFACE('',#91525,0.03); #17811=CYLINDRICAL_SURFACE('',#91528,0.03); #17812=CYLINDRICAL_SURFACE('',#91531,0.03); #17813=CYLINDRICAL_SURFACE('',#91533,0.039370079); #17814=CYLINDRICAL_SURFACE('',#91536,0.039370079); #17815=CYLINDRICAL_SURFACE('',#91538,0.03); #17816=CYLINDRICAL_SURFACE('',#91541,0.03); #17817=CYLINDRICAL_SURFACE('',#91544,0.03); #17818=CYLINDRICAL_SURFACE('',#91545,0.36397529); #17819=CYLINDRICAL_SURFACE('',#91622,0.03); #17820=CYLINDRICAL_SURFACE('',#91624,0.039370079); #17821=CYLINDRICAL_SURFACE('',#91626,0.039370079); #17822=CYLINDRICAL_SURFACE('',#91628,0.03); #17823=CYLINDRICAL_SURFACE('',#91630,0.03); #17824=CYLINDRICAL_SURFACE('',#91632,0.03); #17825=CYLINDRICAL_SURFACE('',#91634,0.03); #17826=CYLINDRICAL_SURFACE('',#91636,0.039370079); #17827=CYLINDRICAL_SURFACE('',#91638,0.039370079); #17828=CYLINDRICAL_SURFACE('',#91640,0.03); #17829=CYLINDRICAL_SURFACE('',#91694,0.01); #17830=CYLINDRICAL_SURFACE('',#91699,0.01); #17831=CYLINDRICAL_SURFACE('',#91718,0.01); #17832=CYLINDRICAL_SURFACE('',#91721,0.01); #17833=CYLINDRICAL_SURFACE('',#91723,0.03); #17834=CYLINDRICAL_SURFACE('',#91726,0.03); #17835=CYLINDRICAL_SURFACE('',#91728,0.01); #17836=CYLINDRICAL_SURFACE('',#91731,0.01); #17837=CYLINDRICAL_SURFACE('',#91777,0.03); #17838=CYLINDRICAL_SURFACE('',#91779,0.03); #17839=CYLINDRICAL_SURFACE('',#91781,0.01); #17840=CYLINDRICAL_SURFACE('',#91783,0.01); #17841=CYLINDRICAL_SURFACE('',#92106,0.04113603812497); #17842=CYLINDRICAL_SURFACE('',#92112,0.0176297306249712); #17843=CYLINDRICAL_SURFACE('',#92121,0.0411360381249703); #17844=CYLINDRICAL_SURFACE('',#92127,0.0176297306249712); #17845=CYLINDRICAL_SURFACE('',#92138,0.0176297306249712); #17846=CYLINDRICAL_SURFACE('',#92147,0.041136038125012); #17847=CYLINDRICAL_SURFACE('',#92152,0.0843644531249999); #17848=CYLINDRICAL_SURFACE('',#92156,0.0888638906249693); #17849=CYLINDRICAL_SURFACE('',#92160,0.192350953124977); #17850=CYLINDRICAL_SURFACE('',#92163,0.088863890624854); #17851=CYLINDRICAL_SURFACE('',#92167,0.192350953125047); #17852=CYLINDRICAL_SURFACE('',#92172,0.19235095312514); #17853=CYLINDRICAL_SURFACE('',#92176,0.0888638906250065); #17854=CYLINDRICAL_SURFACE('',#92180,0.192350953125172); #17855=CYLINDRICAL_SURFACE('',#92184,0.0888638906250359); #17856=CYLINDRICAL_SURFACE('',#92188,0.0888638906249521); #17857=CYLINDRICAL_SURFACE('',#92192,0.19235095312502); #17858=CYLINDRICAL_SURFACE('',#92195,0.19235095312498); #17859=CYLINDRICAL_SURFACE('',#92199,0.0888638906251388); #17860=CYLINDRICAL_SURFACE('',#92203,0.192350953125034); #17861=CYLINDRICAL_SURFACE('',#92207,0.0888638906251803); #17862=CYLINDRICAL_SURFACE('',#92212,0.192350953125012); #17863=CYLINDRICAL_SURFACE('',#92216,0.0888638906250054); #17864=CYLINDRICAL_SURFACE('',#92220,0.192350953125021); #17865=CYLINDRICAL_SURFACE('',#92224,0.0888638906249995); #17866=CYLINDRICAL_SURFACE('',#92227,0.192350953125013); #17867=CYLINDRICAL_SURFACE('',#92231,0.0888638906251385); #17868=CYLINDRICAL_SURFACE('',#92236,0.192350953125001); #17869=CYLINDRICAL_SURFACE('',#92240,0.0888638906250342); #17870=CYLINDRICAL_SURFACE('',#92244,0.192350953125027); #17871=CYLINDRICAL_SURFACE('',#92248,0.0888638906250185); #17872=CYLINDRICAL_SURFACE('',#92251,0.196850390625); #17873=CYLINDRICAL_SURFACE('',#92898,0.03); #17874=CYLINDRICAL_SURFACE('',#92904,0.03); #17875=CYLINDRICAL_SURFACE('',#92909,0.03); #17876=CYLINDRICAL_SURFACE('',#92963,0.01); #17877=CYLINDRICAL_SURFACE('',#92966,0.01); #17878=CYLINDRICAL_SURFACE('',#92973,0.01); #17879=CYLINDRICAL_SURFACE('',#92975,0.01); #17880=CYLINDRICAL_SURFACE('',#92978,0.01); #17881=CYLINDRICAL_SURFACE('',#92981,0.01); #17882=CYLINDRICAL_SURFACE('',#92998,0.01); #17883=CYLINDRICAL_SURFACE('',#93000,0.01); #17884=CYLINDRICAL_SURFACE('',#93004,0.01); #17885=CYLINDRICAL_SURFACE('',#93007,0.01); #17886=CYLINDRICAL_SURFACE('',#93014,0.01); #17887=CYLINDRICAL_SURFACE('',#93018,0.01); #17888=CYLINDRICAL_SURFACE('',#93023,0.01); #17889=CYLINDRICAL_SURFACE('',#93030,0.01); #17890=CYLINDRICAL_SURFACE('',#93039,0.01); #17891=CYLINDRICAL_SURFACE('',#93042,0.01); #17892=CYLINDRICAL_SURFACE('',#93045,0.01); #17893=CYLINDRICAL_SURFACE('',#93048,0.01); #17894=CYLINDRICAL_SURFACE('',#93055,0.01); #17895=CYLINDRICAL_SURFACE('',#93057,0.01); #17896=CYLINDRICAL_SURFACE('',#93060,0.01); #17897=CYLINDRICAL_SURFACE('',#93063,0.01); #17898=CYLINDRICAL_SURFACE('',#93080,0.01); #17899=CYLINDRICAL_SURFACE('',#93085,0.01); #17900=CYLINDRICAL_SURFACE('',#93089,0.01); #17901=CYLINDRICAL_SURFACE('',#93092,0.01); #17902=CYLINDRICAL_SURFACE('',#93099,0.01); #17903=CYLINDRICAL_SURFACE('',#93103,0.01); #17904=CYLINDRICAL_SURFACE('',#93108,0.01); #17905=CYLINDRICAL_SURFACE('',#93115,0.01); #17906=CYLINDRICAL_SURFACE('',#93124,0.01); #17907=CYLINDRICAL_SURFACE('',#93129,0.01); #17908=CYLINDRICAL_SURFACE('',#93136,0.01); #17909=CYLINDRICAL_SURFACE('',#93139,0.01); #17910=CYLINDRICAL_SURFACE('',#93144,0.01); #17911=CYLINDRICAL_SURFACE('',#93150,0.01); #17912=CYLINDRICAL_SURFACE('',#93155,0.01); #17913=CYLINDRICAL_SURFACE('',#93159,0.01); #17914=CYLINDRICAL_SURFACE('',#93165,0.01); #17915=CYLINDRICAL_SURFACE('',#93170,0.01); #17916=CYLINDRICAL_SURFACE('',#93175,0.01); #17917=CYLINDRICAL_SURFACE('',#93180,0.01); #17918=CYLINDRICAL_SURFACE('',#93185,0.01); #17919=CYLINDRICAL_SURFACE('',#93188,0.01); #17920=CYLINDRICAL_SURFACE('',#93197,0.01); #17921=CYLINDRICAL_SURFACE('',#93199,0.01); #17922=CYLINDRICAL_SURFACE('',#93203,0.01); #17923=CYLINDRICAL_SURFACE('',#93218,0.01); #17924=CYLINDRICAL_SURFACE('',#93236,0.01); #17925=CYLINDRICAL_SURFACE('',#93238,0.01); #17926=CYLINDRICAL_SURFACE('',#93255,0.01); #17927=CYLINDRICAL_SURFACE('',#93273,0.01); #17928=CYLINDRICAL_SURFACE('',#93285,0.01); #17929=CYLINDRICAL_SURFACE('',#93289,0.01); #17930=CYLINDRICAL_SURFACE('',#93294,0.01); #17931=CYLINDRICAL_SURFACE('',#93324,0.01); #17932=CYLINDRICAL_SURFACE('',#93330,0.01); #17933=CYLINDRICAL_SURFACE('',#93344,0.01); #17934=CYLINDRICAL_SURFACE('',#93352,0.01); #17935=CYLINDRICAL_SURFACE('',#93363,0.01); #17936=CYLINDRICAL_SURFACE('',#93365,0.01); #17937=CYLINDRICAL_SURFACE('',#93367,0.01); #17938=CYLINDRICAL_SURFACE('',#93371,0.01); #17939=CYLINDRICAL_SURFACE('',#93378,0.01); #17940=CYLINDRICAL_SURFACE('',#93384,0.01); #17941=CYLINDRICAL_SURFACE('',#93391,0.01); #17942=CYLINDRICAL_SURFACE('',#93402,0.01); #17943=CYLINDRICAL_SURFACE('',#93409,0.01); #17944=CYLINDRICAL_SURFACE('',#93421,2.49322087277206); #17945=CYLINDRICAL_SURFACE('',#93430,0.01); #17946=CYLINDRICAL_SURFACE('',#93436,0.01); #17947=CYLINDRICAL_SURFACE('',#93443,0.01); #17948=CYLINDRICAL_SURFACE('',#93455,2.49322087277206); #17949=CYLINDRICAL_SURFACE('',#93464,0.01); #17950=CYLINDRICAL_SURFACE('',#93465,0.01); #17951=CYLINDRICAL_SURFACE('',#93470,0.01); #17952=CYLINDRICAL_SURFACE('',#93479,0.01); #17953=CYLINDRICAL_SURFACE('',#93480,0.01); #17954=CYLINDRICAL_SURFACE('',#93481,0.01); #17955=CYLINDRICAL_SURFACE('',#93483,0.01); #17956=CYLINDRICAL_SURFACE('',#93487,0.01); #17957=CYLINDRICAL_SURFACE('',#93494,0.01); #17958=CYLINDRICAL_SURFACE('',#93496,0.01); #17959=CYLINDRICAL_SURFACE('',#93514,0.01); #17960=CYLINDRICAL_SURFACE('',#93520,0.01); #17961=CYLINDRICAL_SURFACE('',#93522,0.01); #17962=CYLINDRICAL_SURFACE('',#93529,0.01); #17963=CYLINDRICAL_SURFACE('',#93532,0.01); #17964=CYLINDRICAL_SURFACE('',#93538,0.01); #17965=CYLINDRICAL_SURFACE('',#93548,0.01); #17966=CYLINDRICAL_SURFACE('',#93553,0.01); #17967=CYLINDRICAL_SURFACE('',#93562,0.01); #17968=CYLINDRICAL_SURFACE('',#93569,0.01); #17969=CYLINDRICAL_SURFACE('',#93586,0.01); #17970=CYLINDRICAL_SURFACE('',#93592,0.01); #17971=CYLINDRICAL_SURFACE('',#93599,0.01); #17972=CYLINDRICAL_SURFACE('',#93616,0.01); #17973=CYLINDRICAL_SURFACE('',#93622,0.01); #17974=CYLINDRICAL_SURFACE('',#93632,0.01); #17975=CYLINDRICAL_SURFACE('',#93646,0.01); #17976=CYLINDRICAL_SURFACE('',#93647,0.01); #17977=CYLINDRICAL_SURFACE('',#93652,0.01); #17978=CYLINDRICAL_SURFACE('',#93658,0.01); #17979=CYLINDRICAL_SURFACE('',#93666,0.01); #17980=CYLINDRICAL_SURFACE('',#93670,0.01); #17981=CYLINDRICAL_SURFACE('',#93671,0.01); #17982=CYLINDRICAL_SURFACE('',#93679,0.01); #17983=CYLINDRICAL_SURFACE('',#93686,0.01); #17984=CYLINDRICAL_SURFACE('',#93689,0.01); #17985=CYLINDRICAL_SURFACE('',#93696,0.01); #17986=CYLINDRICAL_SURFACE('',#93699,0.01); #17987=CYLINDRICAL_SURFACE('',#93700,0.01); #17988=CYLINDRICAL_SURFACE('',#93811,0.01); #17989=CYLINDRICAL_SURFACE('',#93821,0.01); #17990=CYLINDRICAL_SURFACE('',#93834,0.01); #17991=CYLINDRICAL_SURFACE('',#93844,0.01); #17992=CYLINDRICAL_SURFACE('',#93848,0.01); #17993=CYLINDRICAL_SURFACE('',#93856,0.01); #17994=CYLINDRICAL_SURFACE('',#93867,0.01); #17995=CYLINDRICAL_SURFACE('',#93871,0.01); #17996=CYLINDRICAL_SURFACE('',#93880,0.01); #17997=CYLINDRICAL_SURFACE('',#93886,0.01); #17998=CYLINDRICAL_SURFACE('',#94096,0.01); #17999=CYLINDRICAL_SURFACE('',#94097,0.01); #18000=CYLINDRICAL_SURFACE('',#94154,0.03); #18001=CYLINDRICAL_SURFACE('',#94170,0.03); #18002=CYLINDRICAL_SURFACE('',#94173,0.03); #18003=CYLINDRICAL_SURFACE('',#94185,0.03); #18004=CYLINDRICAL_SURFACE('',#94188,0.02); #18005=CYLINDRICAL_SURFACE('',#94196,0.02); #18006=CYLINDRICAL_SURFACE('',#94210,0.02); #18007=CYLINDRICAL_SURFACE('',#94219,0.02); #18008=CYLINDRICAL_SURFACE('',#94221,0.02); #18009=CYLINDRICAL_SURFACE('',#94225,0.02); #18010=CYLINDRICAL_SURFACE('',#94228,0.02); #18011=CYLINDRICAL_SURFACE('',#94231,0.02); #18012=CYLINDRICAL_SURFACE('',#94234,0.02); #18013=CYLINDRICAL_SURFACE('',#94236,0.02); #18014=CYLINDRICAL_SURFACE('',#94239,0.02); #18015=CYLINDRICAL_SURFACE('',#94242,0.02); #18016=CYLINDRICAL_SURFACE('',#94244,0.02); #18017=CYLINDRICAL_SURFACE('',#94247,0.02); #18018=CYLINDRICAL_SURFACE('',#94250,0.02); #18019=CYLINDRICAL_SURFACE('',#94253,0.02); #18020=CYLINDRICAL_SURFACE('',#94256,0.02); #18021=CYLINDRICAL_SURFACE('',#94259,0.02); #18022=CYLINDRICAL_SURFACE('',#94262,0.02); #18023=CYLINDRICAL_SURFACE('',#94263,0.125); #18024=CYLINDRICAL_SURFACE('',#94264,0.25753492); #18025=CYLINDRICAL_SURFACE('',#94281,0.6683); #18026=CYLINDRICAL_SURFACE('',#94284,0.6683); #18027=CYLINDRICAL_SURFACE('',#94291,0.125); #18028=CYLINDRICAL_SURFACE('',#94292,0.0925240499999999); #18029=CYLINDRICAL_SURFACE('',#94298,0.125); #18030=CYLINDRICAL_SURFACE('',#94299,0.0925240499999999); #18031=CYLINDRICAL_SURFACE('',#94320,9.3329555); #18032=CYLINDRICAL_SURFACE('',#94325,0.0118110236); #18033=CYLINDRICAL_SURFACE('',#94329,0.0118110236); #18034=CYLINDRICAL_SURFACE('',#94375,0.01); #18035=CYLINDRICAL_SURFACE('',#94380,0.01); #18036=CYLINDRICAL_SURFACE('',#94384,0.01); #18037=CYLINDRICAL_SURFACE('',#94387,0.01); #18038=CYLINDRICAL_SURFACE('',#94413,0.01); #18039=CYLINDRICAL_SURFACE('',#94414,0.01); #18040=CYLINDRICAL_SURFACE('',#94415,0.01); #18041=CYLINDRICAL_SURFACE('',#94468,0.01); #18042=CYLINDRICAL_SURFACE('',#94473,0.02); #18043=CYLINDRICAL_SURFACE('',#94476,0.02); #18044=CYLINDRICAL_SURFACE('',#94479,0.01); #18045=CYLINDRICAL_SURFACE('',#94482,0.06); #18046=CYLINDRICAL_SURFACE('',#94485,0.06); #18047=CYLINDRICAL_SURFACE('',#94488,0.01); #18048=CYLINDRICAL_SURFACE('',#94491,0.02); #18049=CYLINDRICAL_SURFACE('',#94494,0.02); #18050=CYLINDRICAL_SURFACE('',#94497,0.01); #18051=CYLINDRICAL_SURFACE('',#94499,0.01); #18052=CYLINDRICAL_SURFACE('',#94508,0.02); #18053=CYLINDRICAL_SURFACE('',#94509,0.06); #18054=CYLINDRICAL_SURFACE('',#94511,0.01); #18055=CYLINDRICAL_SURFACE('',#94513,0.02); #18056=CYLINDRICAL_SURFACE('',#94517,0.06); #18057=CYLINDRICAL_SURFACE('',#94522,0.01); #18058=CYLINDRICAL_SURFACE('',#94526,0.01); #18059=CYLINDRICAL_SURFACE('',#94528,0.01); #18060=CYLINDRICAL_SURFACE('',#94530,0.01); #18061=CYLINDRICAL_SURFACE('',#94535,0.01); #18062=CYLINDRICAL_SURFACE('',#94539,0.01); #18063=CYLINDRICAL_SURFACE('',#94542,0.01); #18064=CYLINDRICAL_SURFACE('',#94547,0.01); #18065=CYLINDRICAL_SURFACE('',#94551,0.01); #18066=CYLINDRICAL_SURFACE('',#94554,0.01); #18067=CYLINDRICAL_SURFACE('',#94559,0.01); #18068=CYLINDRICAL_SURFACE('',#94563,0.01); #18069=CYLINDRICAL_SURFACE('',#94578,9.4241); #18070=CYLINDRICAL_SURFACE('',#94581,0.06); #18071=CYLINDRICAL_SURFACE('',#94592,0.31925); #18072=CYLINDRICAL_SURFACE('',#94596,0.251968504); #18073=CYLINDRICAL_SURFACE('',#94604,0.251968504); #18074=CYLINDRICAL_SURFACE('',#94613,0.0393700785); #18075=CYLINDRICAL_SURFACE('',#94662,0.07); #18076=CYLINDRICAL_SURFACE('',#94663,0.07); #18077=CYLINDRICAL_SURFACE('',#94670,0.395344782907478); #18078=CYLINDRICAL_SURFACE('',#94748,0.3307086615); #18079=CYLINDRICAL_SURFACE('',#94751,0.031); #18080=CYLINDRICAL_SURFACE('',#94762,0.031); #18081=CYLINDRICAL_SURFACE('',#94775,0.03); #18082=CYLINDRICAL_SURFACE('',#94777,0.03); #18083=CYLINDRICAL_SURFACE('',#94833,0.26); #18084=CYLINDRICAL_SURFACE('',#94835,0.26); #18085=CYLINDRICAL_SURFACE('',#94837,0.26); #18086=CYLINDRICAL_SURFACE('',#94838,0.26); #18087=CYLINDRICAL_SURFACE('',#94840,0.26); #18088=CYLINDRICAL_SURFACE('',#94843,0.26); #18089=CYLINDRICAL_SURFACE('',#94845,0.26); #18090=CYLINDRICAL_SURFACE('',#94847,0.26); #18091=CYLINDRICAL_SURFACE('',#94914,0.26); #18092=CYLINDRICAL_SURFACE('',#94916,0.26); #18093=CYLINDRICAL_SURFACE('',#94919,0.26); #18094=CYLINDRICAL_SURFACE('',#94921,0.26); #18095=CYLINDRICAL_SURFACE('',#94923,0.26); #18096=CYLINDRICAL_SURFACE('',#94925,0.26); #18097=CYLINDRICAL_SURFACE('',#94927,0.26); #18098=CYLINDRICAL_SURFACE('',#94929,0.26); #18099=CYLINDRICAL_SURFACE('',#94937,0.0925240473580835); #18100=CYLINDRICAL_SURFACE('',#94939,0.0925240473580835); #18101=CYLINDRICAL_SURFACE('',#94940,0.0925240473580835); #18102=CYLINDRICAL_SURFACE('',#94941,0.0925240473580835); #18103=CYLINDRICAL_SURFACE('',#94942,0.0925240473580835); #18104=CYLINDRICAL_SURFACE('',#94943,0.0925240473580835); #18105=CYLINDRICAL_SURFACE('',#94944,0.0925240473580835); #18106=CYLINDRICAL_SURFACE('',#94945,0.0925240473580835); #18107=CYLINDRICAL_SURFACE('',#94946,0.0925240473580835); #18108=CYLINDRICAL_SURFACE('',#94947,0.0925240473580835); #18109=CYLINDRICAL_SURFACE('',#94948,0.0925240473580835); #18110=CYLINDRICAL_SURFACE('',#94949,0.0925240473580835); #18111=CYLINDRICAL_SURFACE('',#94950,0.0925240473580835); #18112=CYLINDRICAL_SURFACE('',#94951,0.0925240473580835); #18113=CYLINDRICAL_SURFACE('',#94952,0.0925240473580835); #18114=CYLINDRICAL_SURFACE('',#94953,0.0925240473580835); #18115=CYLINDRICAL_SURFACE('',#94954,0.0925240473580835); #18116=CYLINDRICAL_SURFACE('',#94955,0.0925240473580835); #18117=CYLINDRICAL_SURFACE('',#94956,0.0925240473580835); #18118=CYLINDRICAL_SURFACE('',#94957,0.0925240473580835); #18119=CYLINDRICAL_SURFACE('',#94960,0.125); #18120=CYLINDRICAL_SURFACE('',#94964,0.125); #18121=CYLINDRICAL_SURFACE('',#94965,0.125); #18122=CYLINDRICAL_SURFACE('',#94966,0.125); #18123=CYLINDRICAL_SURFACE('',#94967,0.125); #18124=CYLINDRICAL_SURFACE('',#94968,0.125); #18125=CYLINDRICAL_SURFACE('',#94969,0.125); #18126=CYLINDRICAL_SURFACE('',#94970,0.125); #18127=CYLINDRICAL_SURFACE('',#94971,0.125); #18128=CYLINDRICAL_SURFACE('',#94972,0.125); #18129=CYLINDRICAL_SURFACE('',#94973,0.125); #18130=CYLINDRICAL_SURFACE('',#94974,0.125); #18131=CYLINDRICAL_SURFACE('',#94975,0.125); #18132=CYLINDRICAL_SURFACE('',#94976,0.125); #18133=CYLINDRICAL_SURFACE('',#94977,0.125); #18134=CYLINDRICAL_SURFACE('',#94978,0.125); #18135=CYLINDRICAL_SURFACE('',#94979,0.125); #18136=CYLINDRICAL_SURFACE('',#94980,0.125); #18137=CYLINDRICAL_SURFACE('',#94981,0.125); #18138=CYLINDRICAL_SURFACE('',#95007,0.125); #18139=CYLINDRICAL_SURFACE('',#95026,0.26); #18140=CYLINDRICAL_SURFACE('',#95029,0.26); #18141=CYLINDRICAL_SURFACE('',#95032,0.26); #18142=CYLINDRICAL_SURFACE('',#95035,0.26); #18143=CYLINDRICAL_SURFACE('',#95059,0.26); #18144=CYLINDRICAL_SURFACE('',#95060,0.26); #18145=CYLINDRICAL_SURFACE('',#95061,0.26); #18146=CYLINDRICAL_SURFACE('',#95062,0.26); #18147=CYLINDRICAL_SURFACE('',#95072,0.0925240473580835); #18148=CYLINDRICAL_SURFACE('',#95086,0.20875); #18149=CYLINDRICAL_SURFACE('',#95095,0.098); #18150=CYLINDRICAL_SURFACE('',#95102,0.01); #18151=CYLINDRICAL_SURFACE('',#95111,0.01); #18152=CYLINDRICAL_SURFACE('',#95116,0.01); #18153=CYLINDRICAL_SURFACE('',#95121,0.01); #18154=CYLINDRICAL_SURFACE('',#95126,0.25); #18155=CYLINDRICAL_SURFACE('',#95138,0.2734375); #18156=CYLINDRICAL_SURFACE('',#95140,0.01); #18157=CYLINDRICAL_SURFACE('',#95142,0.01); #18158=CYLINDRICAL_SURFACE('',#95145,0.20875); #18159=CYLINDRICAL_SURFACE('',#95146,0.01); #18160=CYLINDRICAL_SURFACE('',#95147,0.01); #18161=CYLINDRICAL_SURFACE('',#95154,0.31770321); #18162=CYLINDRICAL_SURFACE('',#95166,0.01); #18163=CYLINDRICAL_SURFACE('',#95177,0.01); #18164=CYLINDRICAL_SURFACE('',#95178,0.06); #18165=CYLINDRICAL_SURFACE('',#95183,0.01); #18166=CYLINDRICAL_SURFACE('',#95189,0.01); #18167=CYLINDRICAL_SURFACE('',#95192,0.01); #18168=CYLINDRICAL_SURFACE('',#95198,0.01); #18169=CYLINDRICAL_SURFACE('',#95202,0.01); #18170=CYLINDRICAL_SURFACE('',#95209,0.01); #18171=CYLINDRICAL_SURFACE('',#95212,0.01); #18172=CYLINDRICAL_SURFACE('',#95218,0.01); #18173=CYLINDRICAL_SURFACE('',#95225,0.06); #18174=CYLINDRICAL_SURFACE('',#95233,0.06); #18175=CYLINDRICAL_SURFACE('',#95241,0.0985); #18176=CYLINDRICAL_SURFACE('',#95249,0.2205); #18177=CYLINDRICAL_SURFACE('',#95258,0.2734375); #18178=CYLINDRICAL_SURFACE('',#95261,0.06); #18179=CYLINDRICAL_SURFACE('',#95321,0.039370079); #18180=CYLINDRICAL_SURFACE('',#95330,0.078740157); #18181=CYLINDRICAL_SURFACE('',#95345,0.06); #18182=CYLINDRICAL_SURFACE('',#95348,0.06); #18183=CYLINDRICAL_SURFACE('',#95350,0.06); #18184=CYLINDRICAL_SURFACE('',#95355,0.25); #18185=CYLINDRICAL_SURFACE('',#95421,0.078740157); #18186=CYLINDRICAL_SURFACE('',#95442,0.06); #18187=CYLINDRICAL_SURFACE('',#95453,0.06); #18188=CYLINDRICAL_SURFACE('',#95463,0.06); #18189=CYLINDRICAL_SURFACE('',#95468,0.25); #18190=CYLINDRICAL_SURFACE('',#95469,0.06); #18191=CYLINDRICAL_SURFACE('',#95473,0.0025); #18192=CYLINDRICAL_SURFACE('',#95478,0.265); #18193=CYLINDRICAL_SURFACE('',#95483,0.0025); #18194=CYLINDRICAL_SURFACE('',#95486,0.01); #18195=CYLINDRICAL_SURFACE('',#95488,0.01); #18196=CYLINDRICAL_SURFACE('',#95493,0.01); #18197=CYLINDRICAL_SURFACE('',#95498,0.01); #18198=CYLINDRICAL_SURFACE('',#95503,0.06); #18199=CYLINDRICAL_SURFACE('',#95506,0.06); #18200=CYLINDRICAL_SURFACE('',#95512,0.01); #18201=CYLINDRICAL_SURFACE('',#95515,0.01); #18202=CYLINDRICAL_SURFACE('',#95519,0.332); #18203=CYLINDRICAL_SURFACE('',#95535,0.06); #18204=CYLINDRICAL_SURFACE('',#95544,0.125); #18205=CYLINDRICAL_SURFACE('',#95554,0.03); #18206=CYLINDRICAL_SURFACE('',#95558,0.03); #18207=CYLINDRICAL_SURFACE('',#95562,0.03); #18208=CYLINDRICAL_SURFACE('',#95566,0.03); #18209=CYLINDRICAL_SURFACE('',#95571,0.03); #18210=CYLINDRICAL_SURFACE('',#95572,0.03); #18211=CYLINDRICAL_SURFACE('',#95574,0.06); #18212=CYLINDRICAL_SURFACE('',#95577,0.06); #18213=CYLINDRICAL_SURFACE('',#95600,0.125); #18214=CYLINDRICAL_SURFACE('',#95604,0.095); #18215=CYLINDRICAL_SURFACE('',#95605,0.095); #18216=CYLINDRICAL_SURFACE('',#95612,0.0925000008287535); #18217=CYLINDRICAL_SURFACE('',#95624,0.06875); #18218=CYLINDRICAL_SURFACE('',#95631,0.33070866); #18219=CYLINDRICAL_SURFACE('',#95639,0.395344785); #18220=CYLINDRICAL_SURFACE('',#95647,0.4475); #18221=CYLINDRICAL_SURFACE('',#95775,0.12); #18222=CYLINDRICAL_SURFACE('',#95778,0.12); #18223=CYLINDRICAL_SURFACE('',#95783,0.03); #18224=CYLINDRICAL_SURFACE('',#95787,1.35001132); #18225=CYLINDRICAL_SURFACE('',#95789,0.03); #18226=CYLINDRICAL_SURFACE('',#95790,1.35001132); #18227=CYLINDRICAL_SURFACE('',#95795,0.12); #18228=CYLINDRICAL_SURFACE('',#95799,0.12); #18229=CYLINDRICAL_SURFACE('',#95806,1.23041132); #18230=CYLINDRICAL_SURFACE('',#95808,1.23041132); #18231=CYLINDRICAL_SURFACE('',#95816,0.18); #18232=CYLINDRICAL_SURFACE('',#95833,0.18); #18233=CYLINDRICAL_SURFACE('',#95834,1.0605); #18234=CYLINDRICAL_SURFACE('',#95835,0.25); #18235=CYLINDRICAL_SURFACE('',#95843,0.25); #18236=CYLINDRICAL_SURFACE('',#95845,0.1875); #18237=CYLINDRICAL_SURFACE('',#95846,0.306); #18238=CYLINDRICAL_SURFACE('',#95847,0.1875); #18239=CYLINDRICAL_SURFACE('',#95848,0.1875); #18240=CYLINDRICAL_SURFACE('',#95850,0.1875); #18241=CYLINDRICAL_SURFACE('',#95854,0.125); #18242=CYLINDRICAL_SURFACE('',#95857,0.125); #18243=CYLINDRICAL_SURFACE('',#95859,0.21375); #18244=CYLINDRICAL_SURFACE('',#95861,0.21375); #18245=CYLINDRICAL_SURFACE('',#95863,0.1285); #18246=CYLINDRICAL_SURFACE('',#95866,0.1285); #18247=CYLINDRICAL_SURFACE('',#95868,0.2396); #18248=CYLINDRICAL_SURFACE('',#95878,0.12); #18249=CYLINDRICAL_SURFACE('',#95879,0.2396); #18250=CYLINDRICAL_SURFACE('',#95890,0.12); #18251=CYLINDRICAL_SURFACE('',#95893,0.2396); #18252=CYLINDRICAL_SURFACE('',#95902,0.12); #18253=CYLINDRICAL_SURFACE('',#95904,1.0605); #18254=CYLINDRICAL_SURFACE('',#95905,0.306); #18255=CYLINDRICAL_SURFACE('',#95909,0.21375); #18256=CYLINDRICAL_SURFACE('',#95913,0.21375); #18257=CYLINDRICAL_SURFACE('',#95976,0.531); #18258=CYLINDRICAL_SURFACE('',#95990,0.057); #18259=CYLINDRICAL_SURFACE('',#95994,0.12125); #18260=CYLINDRICAL_SURFACE('',#96003,0.12); #18261=CYLINDRICAL_SURFACE('',#96007,0.1925); #18262=CYLINDRICAL_SURFACE('',#96021,0.125); #18263=CYLINDRICAL_SURFACE('',#96024,0.3125); #18264=CYLINDRICAL_SURFACE('',#96046,0.2275); #18265=CYLINDRICAL_SURFACE('',#96053,0.164); #18266=CYLINDRICAL_SURFACE('',#96060,0.1225); #18267=CYLINDRICAL_SURFACE('',#96065,0.094); #18268=CYLINDRICAL_SURFACE('',#96079,0.215); #18269=CYLINDRICAL_SURFACE('',#96082,0.1495); #18270=CYLINDRICAL_SURFACE('',#96087,0.1545); #18271=CYLINDRICAL_SURFACE('',#96092,0.089); #18272=CYLINDRICAL_SURFACE('',#96108,0.115); #18273=CYLINDRICAL_SURFACE('',#96113,0.147); #18274=CYLINDRICAL_SURFACE('',#96122,0.089); #18275=CYLINDRICAL_SURFACE('',#96129,0.015); #18276=CYLINDRICAL_SURFACE('',#96142,0.015); #18277=CYLINDRICAL_SURFACE('',#96147,0.015); #18278=CYLINDRICAL_SURFACE('',#96152,0.015); #18279=CYLINDRICAL_SURFACE('',#96163,0.02); #18280=CYLINDRICAL_SURFACE('',#96168,0.02); #18281=CYLINDRICAL_SURFACE('',#96170,0.088); #18282=CYLINDRICAL_SURFACE('',#96172,0.03); #18283=CYLINDRICAL_SURFACE('',#96177,0.015); #18284=CYLINDRICAL_SURFACE('',#96190,0.015); #18285=CYLINDRICAL_SURFACE('',#96202,0.015); #18286=CYLINDRICAL_SURFACE('',#96207,0.015); #18287=CYLINDRICAL_SURFACE('',#96211,0.03); #18288=CYLINDRICAL_SURFACE('',#96214,0.03); #18289=CYLINDRICAL_SURFACE('',#96216,0.088); #18290=CYLINDRICAL_SURFACE('',#96218,0.02); #18291=CYLINDRICAL_SURFACE('',#96221,0.015); #18292=CYLINDRICAL_SURFACE('',#96223,0.015); #18293=CYLINDRICAL_SURFACE('',#96232,0.02); #18294=CYLINDRICAL_SURFACE('',#96238,0.02); #18295=CYLINDRICAL_SURFACE('',#96243,0.02); #18296=CYLINDRICAL_SURFACE('',#96250,0.02); #18297=CYLINDRICAL_SURFACE('',#96254,0.2735); #18298=CYLINDRICAL_SURFACE('',#96255,0.088); #18299=CYLINDRICAL_SURFACE('',#96256,0.02); #18300=CYLINDRICAL_SURFACE('',#96257,0.088); #18301=CYLINDRICAL_SURFACE('',#96259,0.088); #18302=CYLINDRICAL_SURFACE('',#96260,0.02); #18303=CYLINDRICAL_SURFACE('',#96263,0.03); #18304=CYLINDRICAL_SURFACE('',#96267,0.02); #18305=CYLINDRICAL_SURFACE('',#96271,0.03); #18306=CYLINDRICAL_SURFACE('',#96272,0.07875); #18307=CYLINDRICAL_SURFACE('',#96282,0.01); #18308=CYLINDRICAL_SURFACE('',#96285,0.25); #18309=CYLINDRICAL_SURFACE('',#96288,0.01); #18310=CYLINDRICAL_SURFACE('',#96297,0.2934); #18311=CYLINDRICAL_SURFACE('',#96299,0.01); #18312=CYLINDRICAL_SURFACE('',#96301,0.01); #18313=CYLINDRICAL_SURFACE('',#96303,0.25); #18314=CYLINDRICAL_SURFACE('',#96307,0.01); #18315=CYLINDRICAL_SURFACE('',#96316,0.01); #18316=CYLINDRICAL_SURFACE('',#96328,0.01); #18317=CYLINDRICAL_SURFACE('',#96338,0.01); #18318=CYLINDRICAL_SURFACE('',#96339,0.2934); #18319=CYLINDRICAL_SURFACE('',#96340,0.01); #18320=CYLINDRICAL_SURFACE('',#96342,0.01); #18321=CYLINDRICAL_SURFACE('',#96343,0.25); #18322=CYLINDRICAL_SURFACE('',#96346,0.25); #18323=CYLINDRICAL_SURFACE('',#96358,0.25); #18324=CYLINDRICAL_SURFACE('',#96361,0.01); #18325=CYLINDRICAL_SURFACE('',#96364,0.01); #18326=CYLINDRICAL_SURFACE('',#96366,0.2934); #18327=CYLINDRICAL_SURFACE('',#96368,0.01); #18328=CYLINDRICAL_SURFACE('',#96371,0.01); #18329=CYLINDRICAL_SURFACE('',#96376,0.25); #18330=CYLINDRICAL_SURFACE('',#96377,0.01); #18331=CYLINDRICAL_SURFACE('',#96386,0.01); #18332=CYLINDRICAL_SURFACE('',#96398,0.01); #18333=CYLINDRICAL_SURFACE('',#96408,0.01); #18334=CYLINDRICAL_SURFACE('',#96410,0.01); #18335=CYLINDRICAL_SURFACE('',#96411,0.2934); #18336=CYLINDRICAL_SURFACE('',#96412,0.01); #18337=CYLINDRICAL_SURFACE('',#96413,0.25); #18338=CYLINDRICAL_SURFACE('',#96416,0.25); #18339=CYLINDRICAL_SURFACE('',#96421,0.01); #18340=CYLINDRICAL_SURFACE('',#96424,0.25); #18341=CYLINDRICAL_SURFACE('',#96434,0.01); #18342=CYLINDRICAL_SURFACE('',#96437,0.01); #18343=CYLINDRICAL_SURFACE('',#96440,0.01); #18344=CYLINDRICAL_SURFACE('',#96442,0.01); #18345=CYLINDRICAL_SURFACE('',#96445,0.25); #18346=CYLINDRICAL_SURFACE('',#96451,0.2934); #18347=CYLINDRICAL_SURFACE('',#96460,0.0025); #18348=CYLINDRICAL_SURFACE('',#96469,0.0025); #18349=CYLINDRICAL_SURFACE('',#96472,0.0025); #18350=CYLINDRICAL_SURFACE('',#96475,0.0025); #18351=CYLINDRICAL_SURFACE('',#96477,0.3125); #18352=CYLINDRICAL_SURFACE('',#96495,0.0025); #18353=CYLINDRICAL_SURFACE('',#96504,0.0025); #18354=CYLINDRICAL_SURFACE('',#96511,0.0025); #18355=CYLINDRICAL_SURFACE('',#96513,0.3225); #18356=CYLINDRICAL_SURFACE('',#96514,0.0025); #18357=CYLINDRICAL_SURFACE('',#96519,0.0025); #18358=CYLINDRICAL_SURFACE('',#96522,0.0025); #18359=CYLINDRICAL_SURFACE('',#96529,0.0025); #18360=CYLINDRICAL_SURFACE('',#96530,0.0025); #18361=CYLINDRICAL_SURFACE('',#96541,0.0025); #18362=CYLINDRICAL_SURFACE('',#96543,0.0025); #18363=CYLINDRICAL_SURFACE('',#96556,0.313); #18364=CYLINDRICAL_SURFACE('',#96595,0.074); #18365=CYLINDRICAL_SURFACE('',#96601,0.0882499999999999); #18366=CYLINDRICAL_SURFACE('',#96609,0.24925); #18367=CYLINDRICAL_SURFACE('',#96666,0.24925); #18368=CYLINDRICAL_SURFACE('',#96673,0.005); #18369=CYLINDRICAL_SURFACE('',#96676,0.20925); #18370=CYLINDRICAL_SURFACE('',#96679,0.005); #18371=CYLINDRICAL_SURFACE('',#96770,0.03565); #18372=CYLINDRICAL_SURFACE('',#96775,0.03565); #18373=CYLINDRICAL_SURFACE('',#96776,0.03565); #18374=CYLINDRICAL_SURFACE('',#96779,0.03565); #18375=CYLINDRICAL_SURFACE('',#96784,0.03565); #18376=CYLINDRICAL_SURFACE('',#96823,0.325); #18377=CYLINDRICAL_SURFACE('',#96870,0.01); #18378=CYLINDRICAL_SURFACE('',#96873,0.1857); #18379=CYLINDRICAL_SURFACE('',#96875,0.24925); #18380=CYLINDRICAL_SURFACE('',#96877,0.1857); #18381=CYLINDRICAL_SURFACE('',#96880,0.01); #18382=CYLINDRICAL_SURFACE('',#96884,0.00749999999999996); #18383=CYLINDRICAL_SURFACE('',#96886,0.2455); #18384=CYLINDRICAL_SURFACE('',#96888,0.0075); #18385=CYLINDRICAL_SURFACE('',#96902,0.098); #18386=CYLINDRICAL_SURFACE('',#96913,0.205313831966935); #18387=CYLINDRICAL_SURFACE('',#97153,0.1875); #18388=CYLINDRICAL_SURFACE('',#97159,0.1875); #18389=CYLINDRICAL_SURFACE('',#97165,0.125); #18390=CYLINDRICAL_SURFACE('',#97172,0.125); #18391=CYLINDRICAL_SURFACE('',#97179,0.125); #18392=CYLINDRICAL_SURFACE('',#97182,0.125); #18393=CYLINDRICAL_SURFACE('',#97185,0.125); #18394=CYLINDRICAL_SURFACE('',#97188,0.125); #18395=CYLINDRICAL_SURFACE('',#97191,1.43526107); #18396=CYLINDRICAL_SURFACE('',#97195,1.43526107); #18397=CYLINDRICAL_SURFACE('',#97201,1.43526107); #18398=CYLINDRICAL_SURFACE('',#97207,1.43526107); #18399=CYLINDRICAL_SURFACE('',#97210,0.384458196424918); #18400=CYLINDRICAL_SURFACE('',#97213,0.384458196424917); #18401=CYLINDRICAL_SURFACE('',#97217,0.125); #18402=CYLINDRICAL_SURFACE('',#97219,0.125); #18403=CYLINDRICAL_SURFACE('',#97224,0.125000000000001); #18404=CYLINDRICAL_SURFACE('',#97227,0.229599999999999); #18405=CYLINDRICAL_SURFACE('',#97244,0.2296); #18406=CYLINDRICAL_SURFACE('',#97248,0.2296); #18407=CYLINDRICAL_SURFACE('',#97251,0.229600000000001); #18408=CYLINDRICAL_SURFACE('',#97253,0.124999999999999); #18409=CYLINDRICAL_SURFACE('',#97258,0.229599999999997); #18410=CYLINDRICAL_SURFACE('',#97260,0.2296); #18411=CYLINDRICAL_SURFACE('',#97262,0.1405); #18412=CYLINDRICAL_SURFACE('',#97263,0.1405); #18413=CYLINDRICAL_SURFACE('',#97268,0.124999999999997); #18414=CYLINDRICAL_SURFACE('',#97272,0.125); #18415=CYLINDRICAL_SURFACE('',#97288,0.01); #18416=CYLINDRICAL_SURFACE('',#97296,0.01); #18417=CYLINDRICAL_SURFACE('',#97310,0.01); #18418=CYLINDRICAL_SURFACE('',#97317,0.01); #18419=CYLINDRICAL_SURFACE('',#97336,0.01); #18420=CYLINDRICAL_SURFACE('',#97349,0.01); #18421=CYLINDRICAL_SURFACE('',#97366,0.01); #18422=CYLINDRICAL_SURFACE('',#97384,0.01); #18423=CYLINDRICAL_SURFACE('',#97391,0.01); #18424=CYLINDRICAL_SURFACE('',#97403,0.01); #18425=CYLINDRICAL_SURFACE('',#97424,0.01); #18426=CYLINDRICAL_SURFACE('',#97428,0.01); #18427=CYLINDRICAL_SURFACE('',#97445,0.01); #18428=CYLINDRICAL_SURFACE('',#97449,0.01); #18429=CYLINDRICAL_SURFACE('',#97462,0.01); #18430=CYLINDRICAL_SURFACE('',#97470,0.01); #18431=CYLINDRICAL_SURFACE('',#97506,0.039370079); #18432=CYLINDRICAL_SURFACE('',#97508,0.039370079); #18433=CYLINDRICAL_SURFACE('',#97514,0.039370079); #18434=CYLINDRICAL_SURFACE('',#97539,0.01); #18435=CYLINDRICAL_SURFACE('',#97546,0.01); #18436=CYLINDRICAL_SURFACE('',#97561,0.01); #18437=CYLINDRICAL_SURFACE('',#97563,0.01); #18438=CYLINDRICAL_SURFACE('',#97575,0.01); #18439=CYLINDRICAL_SURFACE('',#97582,0.01); #18440=CYLINDRICAL_SURFACE('',#97596,0.039370079); #18441=CYLINDRICAL_SURFACE('',#97724,0.005); #18442=CYLINDRICAL_SURFACE('',#97727,0.005); #18443=CYLINDRICAL_SURFACE('',#97730,0.005); #18444=CYLINDRICAL_SURFACE('',#97735,0.005); #18445=CYLINDRICAL_SURFACE('',#97745,0.005); #18446=CYLINDRICAL_SURFACE('',#97754,0.005); #18447=CYLINDRICAL_SURFACE('',#97756,0.005); #18448=CYLINDRICAL_SURFACE('',#97764,0.005); #18449=CYLINDRICAL_SURFACE('',#97780,0.005); #18450=CYLINDRICAL_SURFACE('',#97783,0.005); #18451=CYLINDRICAL_SURFACE('',#97786,0.005); #18452=CYLINDRICAL_SURFACE('',#97791,0.005); #18453=CYLINDRICAL_SURFACE('',#97801,0.005); #18454=CYLINDRICAL_SURFACE('',#97808,0.005); #18455=CYLINDRICAL_SURFACE('',#97810,0.005); #18456=CYLINDRICAL_SURFACE('',#97816,0.005); #18457=CYLINDRICAL_SURFACE('',#97817,0.005); #18458=CYLINDRICAL_SURFACE('',#97820,0.005); #18459=CYLINDRICAL_SURFACE('',#97823,0.005); #18460=CYLINDRICAL_SURFACE('',#97828,0.005); #18461=CYLINDRICAL_SURFACE('',#97841,0.005); #18462=CYLINDRICAL_SURFACE('',#97843,0.005); #18463=CYLINDRICAL_SURFACE('',#97849,0.005); #18464=CYLINDRICAL_SURFACE('',#97851,0.005); #18465=CYLINDRICAL_SURFACE('',#97858,0.125); #18466=CYLINDRICAL_SURFACE('',#97877,0.28); #18467=CYLINDRICAL_SURFACE('',#97915,0.2065); #18468=CYLINDRICAL_SURFACE('',#97917,0.2065); #18469=CYLINDRICAL_SURFACE('',#97919,0.2065); #18470=CYLINDRICAL_SURFACE('',#97921,0.2065); #18471=CYLINDRICAL_SURFACE('',#97923,0.2065); #18472=CYLINDRICAL_SURFACE('',#97925,0.2065); #18473=CYLINDRICAL_SURFACE('',#97927,0.2065); #18474=CYLINDRICAL_SURFACE('',#97929,0.2065); #18475=CYLINDRICAL_SURFACE('',#97931,0.2065); #18476=CYLINDRICAL_SURFACE('',#97933,0.2065); #18477=CYLINDRICAL_SURFACE('',#97935,0.2065); #18478=CYLINDRICAL_SURFACE('',#97939,0.2065); #18479=CYLINDRICAL_SURFACE('',#97996,0.2755); #18480=CYLINDRICAL_SURFACE('',#97998,0.2755); #18481=CYLINDRICAL_SURFACE('',#98000,0.2755); #18482=CYLINDRICAL_SURFACE('',#98002,0.2755); #18483=CYLINDRICAL_SURFACE('',#98004,0.2755); #18484=CYLINDRICAL_SURFACE('',#98006,0.2755); #18485=CYLINDRICAL_SURFACE('',#98008,0.2755); #18486=CYLINDRICAL_SURFACE('',#98010,0.2755); #18487=CYLINDRICAL_SURFACE('',#98012,0.2755); #18488=CYLINDRICAL_SURFACE('',#98014,0.2755); #18489=CYLINDRICAL_SURFACE('',#98016,0.2755); #18490=CYLINDRICAL_SURFACE('',#98023,0.2755); #18491=CYLINDRICAL_SURFACE('',#98027,9.4261); #18492=CYLINDRICAL_SURFACE('',#98068,9.4261); #18493=CYLINDRICAL_SURFACE('',#98069,9.4261); #18494=CYLINDRICAL_SURFACE('',#98094,9.4261); #18495=CYLINDRICAL_SURFACE('',#98095,9.4261); #18496=CYLINDRICAL_SURFACE('',#98136,9.4261); #18497=CYLINDRICAL_SURFACE('',#98137,9.4261); #18498=CYLINDRICAL_SURFACE('',#98194,9.4261); #18499=CYLINDRICAL_SURFACE('',#98195,9.4261); #18500=CYLINDRICAL_SURFACE('',#98252,9.4261); #18501=CYLINDRICAL_SURFACE('',#98253,9.4261); #18502=CYLINDRICAL_SURFACE('',#98310,9.4261); #18503=CYLINDRICAL_SURFACE('',#98311,9.4261); #18504=CYLINDRICAL_SURFACE('',#98368,9.4261); #18505=CYLINDRICAL_SURFACE('',#98372,0.0300000000000001); #18506=CYLINDRICAL_SURFACE('',#98374,0.03); #18507=CYLINDRICAL_SURFACE('',#98375,9.4251); #18508=CYLINDRICAL_SURFACE('',#98379,0.03); #18509=CYLINDRICAL_SURFACE('',#98381,0.03); #18510=CYLINDRICAL_SURFACE('',#98382,9.4241); #18511=FACE_OUTER_BOUND('',#23824,.T.); #18512=FACE_OUTER_BOUND('',#23825,.T.); #18513=FACE_OUTER_BOUND('',#23826,.T.); #18514=FACE_OUTER_BOUND('',#23827,.T.); #18515=FACE_OUTER_BOUND('',#23828,.T.); #18516=FACE_OUTER_BOUND('',#23829,.T.); #18517=FACE_OUTER_BOUND('',#23830,.T.); #18518=FACE_OUTER_BOUND('',#23831,.T.); #18519=FACE_OUTER_BOUND('',#23832,.T.); #18520=FACE_OUTER_BOUND('',#23833,.T.); #18521=FACE_OUTER_BOUND('',#23834,.T.); #18522=FACE_OUTER_BOUND('',#23835,.T.); #18523=FACE_OUTER_BOUND('',#23836,.T.); #18524=FACE_OUTER_BOUND('',#23837,.T.); #18525=FACE_OUTER_BOUND('',#23838,.T.); #18526=FACE_OUTER_BOUND('',#23839,.T.); #18527=FACE_OUTER_BOUND('',#23840,.T.); #18528=FACE_OUTER_BOUND('',#23841,.T.); #18529=FACE_OUTER_BOUND('',#23842,.T.); #18530=FACE_OUTER_BOUND('',#23843,.T.); #18531=FACE_OUTER_BOUND('',#23844,.T.); #18532=FACE_OUTER_BOUND('',#23845,.T.); #18533=FACE_OUTER_BOUND('',#23846,.T.); #18534=FACE_OUTER_BOUND('',#23847,.T.); #18535=FACE_OUTER_BOUND('',#23848,.T.); #18536=FACE_OUTER_BOUND('',#23849,.T.); #18537=FACE_OUTER_BOUND('',#23850,.T.); #18538=FACE_OUTER_BOUND('',#23852,.T.); #18539=FACE_OUTER_BOUND('',#23853,.T.); #18540=FACE_OUTER_BOUND('',#23854,.T.); #18541=FACE_OUTER_BOUND('',#23855,.T.); #18542=FACE_OUTER_BOUND('',#23856,.T.); #18543=FACE_OUTER_BOUND('',#23857,.T.); #18544=FACE_OUTER_BOUND('',#23858,.T.); #18545=FACE_OUTER_BOUND('',#23859,.T.); #18546=FACE_OUTER_BOUND('',#23860,.T.); #18547=FACE_OUTER_BOUND('',#23861,.T.); #18548=FACE_OUTER_BOUND('',#23862,.T.); #18549=FACE_OUTER_BOUND('',#23863,.T.); #18550=FACE_OUTER_BOUND('',#23864,.T.); #18551=FACE_OUTER_BOUND('',#23866,.T.); #18552=FACE_OUTER_BOUND('',#23867,.T.); #18553=FACE_OUTER_BOUND('',#23868,.T.); #18554=FACE_OUTER_BOUND('',#23869,.T.); #18555=FACE_OUTER_BOUND('',#23870,.T.); #18556=FACE_OUTER_BOUND('',#23871,.T.); #18557=FACE_OUTER_BOUND('',#23872,.T.); #18558=FACE_OUTER_BOUND('',#23873,.T.); #18559=FACE_OUTER_BOUND('',#23874,.T.); #18560=FACE_OUTER_BOUND('',#23875,.T.); #18561=FACE_OUTER_BOUND('',#23876,.T.); #18562=FACE_OUTER_BOUND('',#23877,.T.); #18563=FACE_OUTER_BOUND('',#23878,.T.); #18564=FACE_OUTER_BOUND('',#23880,.T.); #18565=FACE_OUTER_BOUND('',#23881,.T.); #18566=FACE_OUTER_BOUND('',#23882,.T.); #18567=FACE_OUTER_BOUND('',#23883,.T.); #18568=FACE_OUTER_BOUND('',#23884,.T.); #18569=FACE_OUTER_BOUND('',#23885,.T.); #18570=FACE_OUTER_BOUND('',#23886,.T.); #18571=FACE_OUTER_BOUND('',#23887,.T.); #18572=FACE_OUTER_BOUND('',#23888,.T.); #18573=FACE_OUTER_BOUND('',#23889,.T.); #18574=FACE_OUTER_BOUND('',#23890,.T.); #18575=FACE_OUTER_BOUND('',#23891,.T.); #18576=FACE_OUTER_BOUND('',#23892,.T.); #18577=FACE_OUTER_BOUND('',#23893,.T.); #18578=FACE_OUTER_BOUND('',#23894,.T.); #18579=FACE_OUTER_BOUND('',#23895,.T.); #18580=FACE_OUTER_BOUND('',#23896,.T.); #18581=FACE_OUTER_BOUND('',#23897,.T.); #18582=FACE_OUTER_BOUND('',#23898,.T.); #18583=FACE_OUTER_BOUND('',#23899,.T.); #18584=FACE_OUTER_BOUND('',#23900,.T.); #18585=FACE_OUTER_BOUND('',#23901,.T.); #18586=FACE_OUTER_BOUND('',#23902,.T.); #18587=FACE_OUTER_BOUND('',#23903,.T.); #18588=FACE_OUTER_BOUND('',#23904,.T.); #18589=FACE_OUTER_BOUND('',#23905,.T.); #18590=FACE_OUTER_BOUND('',#23906,.T.); #18591=FACE_OUTER_BOUND('',#23907,.T.); #18592=FACE_OUTER_BOUND('',#23908,.T.); #18593=FACE_OUTER_BOUND('',#23909,.T.); #18594=FACE_OUTER_BOUND('',#23910,.T.); #18595=FACE_OUTER_BOUND('',#23911,.T.); #18596=FACE_OUTER_BOUND('',#23912,.T.); #18597=FACE_OUTER_BOUND('',#23913,.T.); #18598=FACE_OUTER_BOUND('',#23914,.T.); #18599=FACE_OUTER_BOUND('',#23915,.T.); #18600=FACE_OUTER_BOUND('',#23916,.T.); #18601=FACE_OUTER_BOUND('',#23917,.T.); #18602=FACE_OUTER_BOUND('',#23918,.T.); #18603=FACE_OUTER_BOUND('',#23919,.T.); #18604=FACE_OUTER_BOUND('',#23920,.T.); #18605=FACE_OUTER_BOUND('',#23921,.T.); #18606=FACE_OUTER_BOUND('',#23922,.T.); #18607=FACE_OUTER_BOUND('',#23923,.T.); #18608=FACE_OUTER_BOUND('',#23924,.T.); #18609=FACE_OUTER_BOUND('',#23925,.T.); #18610=FACE_OUTER_BOUND('',#23926,.T.); #18611=FACE_OUTER_BOUND('',#23927,.T.); #18612=FACE_OUTER_BOUND('',#23928,.T.); #18613=FACE_OUTER_BOUND('',#23929,.T.); #18614=FACE_OUTER_BOUND('',#23930,.T.); #18615=FACE_OUTER_BOUND('',#23931,.T.); #18616=FACE_OUTER_BOUND('',#23932,.T.); #18617=FACE_OUTER_BOUND('',#23933,.T.); #18618=FACE_OUTER_BOUND('',#23934,.T.); #18619=FACE_OUTER_BOUND('',#23935,.T.); #18620=FACE_OUTER_BOUND('',#23936,.T.); #18621=FACE_OUTER_BOUND('',#23937,.T.); #18622=FACE_OUTER_BOUND('',#23938,.T.); #18623=FACE_OUTER_BOUND('',#23939,.T.); #18624=FACE_OUTER_BOUND('',#23940,.T.); #18625=FACE_OUTER_BOUND('',#23941,.T.); #18626=FACE_OUTER_BOUND('',#23942,.T.); #18627=FACE_OUTER_BOUND('',#23943,.T.); #18628=FACE_OUTER_BOUND('',#23944,.T.); #18629=FACE_OUTER_BOUND('',#23945,.T.); #18630=FACE_OUTER_BOUND('',#23946,.T.); #18631=FACE_OUTER_BOUND('',#23947,.T.); #18632=FACE_OUTER_BOUND('',#23948,.T.); #18633=FACE_OUTER_BOUND('',#23949,.T.); #18634=FACE_OUTER_BOUND('',#23950,.T.); #18635=FACE_OUTER_BOUND('',#23951,.T.); #18636=FACE_OUTER_BOUND('',#23952,.T.); #18637=FACE_OUTER_BOUND('',#23953,.T.); #18638=FACE_OUTER_BOUND('',#23954,.T.); #18639=FACE_OUTER_BOUND('',#23955,.T.); #18640=FACE_OUTER_BOUND('',#23956,.T.); #18641=FACE_OUTER_BOUND('',#23957,.T.); #18642=FACE_OUTER_BOUND('',#23958,.T.); #18643=FACE_OUTER_BOUND('',#23959,.T.); #18644=FACE_OUTER_BOUND('',#23960,.T.); #18645=FACE_OUTER_BOUND('',#23961,.T.); #18646=FACE_OUTER_BOUND('',#23962,.T.); #18647=FACE_OUTER_BOUND('',#23963,.T.); #18648=FACE_OUTER_BOUND('',#23964,.T.); #18649=FACE_OUTER_BOUND('',#23965,.T.); #18650=FACE_OUTER_BOUND('',#23966,.T.); #18651=FACE_OUTER_BOUND('',#23967,.T.); #18652=FACE_OUTER_BOUND('',#23968,.T.); #18653=FACE_OUTER_BOUND('',#23969,.T.); #18654=FACE_OUTER_BOUND('',#23970,.T.); #18655=FACE_OUTER_BOUND('',#23971,.T.); #18656=FACE_OUTER_BOUND('',#23972,.T.); #18657=FACE_OUTER_BOUND('',#23973,.T.); #18658=FACE_OUTER_BOUND('',#23974,.T.); #18659=FACE_OUTER_BOUND('',#23975,.T.); #18660=FACE_OUTER_BOUND('',#23976,.T.); #18661=FACE_OUTER_BOUND('',#23977,.T.); #18662=FACE_OUTER_BOUND('',#23978,.T.); #18663=FACE_OUTER_BOUND('',#23979,.T.); #18664=FACE_OUTER_BOUND('',#23980,.T.); #18665=FACE_OUTER_BOUND('',#23981,.T.); #18666=FACE_OUTER_BOUND('',#23982,.T.); #18667=FACE_OUTER_BOUND('',#23983,.T.); #18668=FACE_OUTER_BOUND('',#23984,.T.); #18669=FACE_OUTER_BOUND('',#23985,.T.); #18670=FACE_OUTER_BOUND('',#23986,.T.); #18671=FACE_OUTER_BOUND('',#23987,.T.); #18672=FACE_OUTER_BOUND('',#23988,.T.); #18673=FACE_OUTER_BOUND('',#23989,.T.); #18674=FACE_OUTER_BOUND('',#23990,.T.); #18675=FACE_OUTER_BOUND('',#23991,.T.); #18676=FACE_OUTER_BOUND('',#23992,.T.); #18677=FACE_OUTER_BOUND('',#23993,.T.); #18678=FACE_OUTER_BOUND('',#23994,.T.); #18679=FACE_OUTER_BOUND('',#23995,.T.); #18680=FACE_OUTER_BOUND('',#23996,.T.); #18681=FACE_OUTER_BOUND('',#23997,.T.); #18682=FACE_OUTER_BOUND('',#23998,.T.); #18683=FACE_OUTER_BOUND('',#23999,.T.); #18684=FACE_OUTER_BOUND('',#24000,.T.); #18685=FACE_OUTER_BOUND('',#24001,.T.); #18686=FACE_OUTER_BOUND('',#24002,.T.); #18687=FACE_OUTER_BOUND('',#24003,.T.); #18688=FACE_OUTER_BOUND('',#24004,.T.); #18689=FACE_OUTER_BOUND('',#24005,.T.); #18690=FACE_OUTER_BOUND('',#24006,.T.); #18691=FACE_OUTER_BOUND('',#24007,.T.); #18692=FACE_OUTER_BOUND('',#24008,.T.); #18693=FACE_OUTER_BOUND('',#24009,.T.); #18694=FACE_OUTER_BOUND('',#24010,.T.); #18695=FACE_OUTER_BOUND('',#24011,.T.); #18696=FACE_OUTER_BOUND('',#24012,.T.); #18697=FACE_OUTER_BOUND('',#24013,.T.); #18698=FACE_OUTER_BOUND('',#24014,.T.); #18699=FACE_OUTER_BOUND('',#24015,.T.); #18700=FACE_OUTER_BOUND('',#24016,.T.); #18701=FACE_OUTER_BOUND('',#24017,.T.); #18702=FACE_OUTER_BOUND('',#24018,.T.); #18703=FACE_OUTER_BOUND('',#24019,.T.); #18704=FACE_OUTER_BOUND('',#24020,.T.); #18705=FACE_OUTER_BOUND('',#24021,.T.); #18706=FACE_OUTER_BOUND('',#24022,.T.); #18707=FACE_OUTER_BOUND('',#24023,.T.); #18708=FACE_OUTER_BOUND('',#24024,.T.); #18709=FACE_OUTER_BOUND('',#24025,.T.); #18710=FACE_OUTER_BOUND('',#24026,.T.); #18711=FACE_OUTER_BOUND('',#24027,.T.); #18712=FACE_OUTER_BOUND('',#24028,.T.); #18713=FACE_OUTER_BOUND('',#24029,.T.); #18714=FACE_OUTER_BOUND('',#24030,.T.); #18715=FACE_OUTER_BOUND('',#24031,.T.); #18716=FACE_OUTER_BOUND('',#24032,.T.); #18717=FACE_OUTER_BOUND('',#24033,.T.); #18718=FACE_OUTER_BOUND('',#24034,.T.); #18719=FACE_OUTER_BOUND('',#24035,.T.); #18720=FACE_OUTER_BOUND('',#24036,.T.); #18721=FACE_OUTER_BOUND('',#24037,.T.); #18722=FACE_OUTER_BOUND('',#24038,.T.); #18723=FACE_OUTER_BOUND('',#24039,.T.); #18724=FACE_OUTER_BOUND('',#24040,.T.); #18725=FACE_OUTER_BOUND('',#24041,.T.); #18726=FACE_OUTER_BOUND('',#24042,.T.); #18727=FACE_OUTER_BOUND('',#24043,.T.); #18728=FACE_OUTER_BOUND('',#24044,.T.); #18729=FACE_OUTER_BOUND('',#24045,.T.); #18730=FACE_OUTER_BOUND('',#24046,.T.); #18731=FACE_OUTER_BOUND('',#24047,.T.); #18732=FACE_OUTER_BOUND('',#24048,.T.); #18733=FACE_OUTER_BOUND('',#24049,.T.); #18734=FACE_OUTER_BOUND('',#24050,.T.); #18735=FACE_OUTER_BOUND('',#24051,.T.); #18736=FACE_OUTER_BOUND('',#24052,.T.); #18737=FACE_OUTER_BOUND('',#24053,.T.); #18738=FACE_OUTER_BOUND('',#24054,.T.); #18739=FACE_OUTER_BOUND('',#24055,.T.); #18740=FACE_OUTER_BOUND('',#24056,.T.); #18741=FACE_OUTER_BOUND('',#24057,.T.); #18742=FACE_OUTER_BOUND('',#24058,.T.); #18743=FACE_OUTER_BOUND('',#24059,.T.); #18744=FACE_OUTER_BOUND('',#24060,.T.); #18745=FACE_OUTER_BOUND('',#24061,.T.); #18746=FACE_OUTER_BOUND('',#24062,.T.); #18747=FACE_OUTER_BOUND('',#24063,.T.); #18748=FACE_OUTER_BOUND('',#24064,.T.); #18749=FACE_OUTER_BOUND('',#24065,.T.); #18750=FACE_OUTER_BOUND('',#24066,.T.); #18751=FACE_OUTER_BOUND('',#24067,.T.); #18752=FACE_OUTER_BOUND('',#24068,.T.); #18753=FACE_OUTER_BOUND('',#24069,.T.); #18754=FACE_OUTER_BOUND('',#24070,.T.); #18755=FACE_OUTER_BOUND('',#24071,.T.); #18756=FACE_OUTER_BOUND('',#24072,.T.); #18757=FACE_OUTER_BOUND('',#24073,.T.); #18758=FACE_OUTER_BOUND('',#24074,.T.); #18759=FACE_OUTER_BOUND('',#24075,.T.); #18760=FACE_OUTER_BOUND('',#24076,.T.); #18761=FACE_OUTER_BOUND('',#24077,.T.); #18762=FACE_OUTER_BOUND('',#24078,.T.); #18763=FACE_OUTER_BOUND('',#24079,.T.); #18764=FACE_OUTER_BOUND('',#24080,.T.); #18765=FACE_OUTER_BOUND('',#24081,.T.); #18766=FACE_OUTER_BOUND('',#24082,.T.); #18767=FACE_OUTER_BOUND('',#24083,.T.); #18768=FACE_OUTER_BOUND('',#24084,.T.); #18769=FACE_OUTER_BOUND('',#24085,.T.); #18770=FACE_OUTER_BOUND('',#24086,.T.); #18771=FACE_OUTER_BOUND('',#24087,.T.); #18772=FACE_OUTER_BOUND('',#24088,.T.); #18773=FACE_OUTER_BOUND('',#24089,.T.); #18774=FACE_OUTER_BOUND('',#24090,.T.); #18775=FACE_OUTER_BOUND('',#24091,.T.); #18776=FACE_OUTER_BOUND('',#24092,.T.); #18777=FACE_OUTER_BOUND('',#24093,.T.); #18778=FACE_OUTER_BOUND('',#24094,.T.); #18779=FACE_OUTER_BOUND('',#24095,.T.); #18780=FACE_OUTER_BOUND('',#24096,.T.); #18781=FACE_OUTER_BOUND('',#24097,.T.); #18782=FACE_OUTER_BOUND('',#24098,.T.); #18783=FACE_OUTER_BOUND('',#24099,.T.); #18784=FACE_OUTER_BOUND('',#24100,.T.); #18785=FACE_OUTER_BOUND('',#24101,.T.); #18786=FACE_OUTER_BOUND('',#24102,.T.); #18787=FACE_OUTER_BOUND('',#24103,.T.); #18788=FACE_OUTER_BOUND('',#24104,.T.); #18789=FACE_OUTER_BOUND('',#24105,.T.); #18790=FACE_OUTER_BOUND('',#24106,.T.); #18791=FACE_OUTER_BOUND('',#24107,.T.); #18792=FACE_OUTER_BOUND('',#24108,.T.); #18793=FACE_OUTER_BOUND('',#24109,.T.); #18794=FACE_OUTER_BOUND('',#24110,.T.); #18795=FACE_OUTER_BOUND('',#24111,.T.); #18796=FACE_OUTER_BOUND('',#24112,.T.); #18797=FACE_OUTER_BOUND('',#24113,.T.); #18798=FACE_OUTER_BOUND('',#24114,.T.); #18799=FACE_OUTER_BOUND('',#24115,.T.); #18800=FACE_OUTER_BOUND('',#24116,.T.); #18801=FACE_OUTER_BOUND('',#24117,.T.); #18802=FACE_OUTER_BOUND('',#24118,.T.); #18803=FACE_OUTER_BOUND('',#24119,.T.); #18804=FACE_OUTER_BOUND('',#24120,.T.); #18805=FACE_OUTER_BOUND('',#24121,.T.); #18806=FACE_OUTER_BOUND('',#24122,.T.); #18807=FACE_OUTER_BOUND('',#24123,.T.); #18808=FACE_OUTER_BOUND('',#24124,.T.); #18809=FACE_OUTER_BOUND('',#24125,.T.); #18810=FACE_OUTER_BOUND('',#24126,.T.); #18811=FACE_OUTER_BOUND('',#24128,.T.); #18812=FACE_OUTER_BOUND('',#24129,.T.); #18813=FACE_OUTER_BOUND('',#24130,.T.); #18814=FACE_OUTER_BOUND('',#24131,.T.); #18815=FACE_OUTER_BOUND('',#24132,.T.); #18816=FACE_OUTER_BOUND('',#24133,.T.); #18817=FACE_OUTER_BOUND('',#24134,.T.); #18818=FACE_OUTER_BOUND('',#24135,.T.); #18819=FACE_OUTER_BOUND('',#24136,.T.); #18820=FACE_OUTER_BOUND('',#24137,.T.); #18821=FACE_OUTER_BOUND('',#24138,.T.); #18822=FACE_OUTER_BOUND('',#24139,.T.); #18823=FACE_OUTER_BOUND('',#24140,.T.); #18824=FACE_OUTER_BOUND('',#24141,.T.); #18825=FACE_OUTER_BOUND('',#24142,.T.); #18826=FACE_OUTER_BOUND('',#24143,.T.); #18827=FACE_OUTER_BOUND('',#24144,.T.); #18828=FACE_OUTER_BOUND('',#24145,.T.); #18829=FACE_OUTER_BOUND('',#24146,.T.); #18830=FACE_OUTER_BOUND('',#24147,.T.); #18831=FACE_OUTER_BOUND('',#24148,.T.); #18832=FACE_OUTER_BOUND('',#24149,.T.); #18833=FACE_OUTER_BOUND('',#24150,.T.); #18834=FACE_OUTER_BOUND('',#24151,.T.); #18835=FACE_OUTER_BOUND('',#24152,.T.); #18836=FACE_OUTER_BOUND('',#24153,.T.); #18837=FACE_OUTER_BOUND('',#24154,.T.); #18838=FACE_OUTER_BOUND('',#24155,.T.); #18839=FACE_OUTER_BOUND('',#24156,.T.); #18840=FACE_OUTER_BOUND('',#24157,.T.); #18841=FACE_OUTER_BOUND('',#24158,.T.); #18842=FACE_OUTER_BOUND('',#24159,.T.); #18843=FACE_OUTER_BOUND('',#24160,.T.); #18844=FACE_OUTER_BOUND('',#24161,.T.); #18845=FACE_OUTER_BOUND('',#24162,.T.); #18846=FACE_OUTER_BOUND('',#24163,.T.); #18847=FACE_OUTER_BOUND('',#24164,.T.); #18848=FACE_OUTER_BOUND('',#24165,.T.); #18849=FACE_OUTER_BOUND('',#24166,.T.); #18850=FACE_OUTER_BOUND('',#24167,.T.); #18851=FACE_OUTER_BOUND('',#24168,.T.); #18852=FACE_OUTER_BOUND('',#24169,.T.); #18853=FACE_OUTER_BOUND('',#24170,.T.); #18854=FACE_OUTER_BOUND('',#24171,.T.); #18855=FACE_OUTER_BOUND('',#24172,.T.); #18856=FACE_OUTER_BOUND('',#24174,.T.); #18857=FACE_OUTER_BOUND('',#24175,.T.); #18858=FACE_OUTER_BOUND('',#24176,.T.); #18859=FACE_OUTER_BOUND('',#24177,.T.); #18860=FACE_OUTER_BOUND('',#24178,.T.); #18861=FACE_OUTER_BOUND('',#24179,.T.); #18862=FACE_OUTER_BOUND('',#24180,.T.); #18863=FACE_OUTER_BOUND('',#24181,.T.); #18864=FACE_OUTER_BOUND('',#24182,.T.); #18865=FACE_OUTER_BOUND('',#24183,.T.); #18866=FACE_OUTER_BOUND('',#24184,.T.); #18867=FACE_OUTER_BOUND('',#24185,.T.); #18868=FACE_OUTER_BOUND('',#24186,.T.); #18869=FACE_OUTER_BOUND('',#24187,.T.); #18870=FACE_OUTER_BOUND('',#24188,.T.); #18871=FACE_OUTER_BOUND('',#24189,.T.); #18872=FACE_OUTER_BOUND('',#24190,.T.); #18873=FACE_OUTER_BOUND('',#24191,.T.); #18874=FACE_OUTER_BOUND('',#24192,.T.); #18875=FACE_OUTER_BOUND('',#24193,.T.); #18876=FACE_OUTER_BOUND('',#24194,.T.); #18877=FACE_OUTER_BOUND('',#24195,.T.); #18878=FACE_OUTER_BOUND('',#24196,.T.); #18879=FACE_OUTER_BOUND('',#24197,.T.); #18880=FACE_OUTER_BOUND('',#24198,.T.); #18881=FACE_OUTER_BOUND('',#24199,.T.); #18882=FACE_OUTER_BOUND('',#24200,.T.); #18883=FACE_OUTER_BOUND('',#24201,.T.); #18884=FACE_OUTER_BOUND('',#24202,.T.); #18885=FACE_OUTER_BOUND('',#24203,.T.); #18886=FACE_OUTER_BOUND('',#24204,.T.); #18887=FACE_OUTER_BOUND('',#24205,.T.); #18888=FACE_OUTER_BOUND('',#24206,.T.); #18889=FACE_OUTER_BOUND('',#24207,.T.); #18890=FACE_OUTER_BOUND('',#24208,.T.); #18891=FACE_OUTER_BOUND('',#24209,.T.); #18892=FACE_OUTER_BOUND('',#24210,.T.); #18893=FACE_OUTER_BOUND('',#24211,.T.); #18894=FACE_OUTER_BOUND('',#24212,.T.); #18895=FACE_OUTER_BOUND('',#24213,.T.); #18896=FACE_OUTER_BOUND('',#24214,.T.); #18897=FACE_OUTER_BOUND('',#24215,.T.); #18898=FACE_OUTER_BOUND('',#24216,.T.); #18899=FACE_OUTER_BOUND('',#24217,.T.); #18900=FACE_OUTER_BOUND('',#24218,.T.); #18901=FACE_OUTER_BOUND('',#24219,.T.); #18902=FACE_OUTER_BOUND('',#24220,.T.); #18903=FACE_OUTER_BOUND('',#24221,.T.); #18904=FACE_OUTER_BOUND('',#24222,.T.); #18905=FACE_OUTER_BOUND('',#24223,.T.); #18906=FACE_OUTER_BOUND('',#24224,.T.); #18907=FACE_OUTER_BOUND('',#24225,.T.); #18908=FACE_OUTER_BOUND('',#24226,.T.); #18909=FACE_OUTER_BOUND('',#24227,.T.); #18910=FACE_OUTER_BOUND('',#24228,.T.); #18911=FACE_OUTER_BOUND('',#24229,.T.); #18912=FACE_OUTER_BOUND('',#24230,.T.); #18913=FACE_OUTER_BOUND('',#24231,.T.); #18914=FACE_OUTER_BOUND('',#24232,.T.); #18915=FACE_OUTER_BOUND('',#24233,.T.); #18916=FACE_OUTER_BOUND('',#24234,.T.); #18917=FACE_OUTER_BOUND('',#24235,.T.); #18918=FACE_OUTER_BOUND('',#24236,.T.); #18919=FACE_OUTER_BOUND('',#24237,.T.); #18920=FACE_OUTER_BOUND('',#24238,.T.); #18921=FACE_OUTER_BOUND('',#24239,.T.); #18922=FACE_OUTER_BOUND('',#24240,.T.); #18923=FACE_OUTER_BOUND('',#24241,.T.); #18924=FACE_OUTER_BOUND('',#24243,.T.); #18925=FACE_OUTER_BOUND('',#24244,.T.); #18926=FACE_OUTER_BOUND('',#24245,.T.); #18927=FACE_OUTER_BOUND('',#24246,.T.); #18928=FACE_OUTER_BOUND('',#24247,.T.); #18929=FACE_OUTER_BOUND('',#24248,.T.); #18930=FACE_OUTER_BOUND('',#24249,.T.); #18931=FACE_OUTER_BOUND('',#24250,.T.); #18932=FACE_OUTER_BOUND('',#24251,.T.); #18933=FACE_OUTER_BOUND('',#24252,.T.); #18934=FACE_OUTER_BOUND('',#24253,.T.); #18935=FACE_OUTER_BOUND('',#24254,.T.); #18936=FACE_OUTER_BOUND('',#24255,.T.); #18937=FACE_OUTER_BOUND('',#24256,.T.); #18938=FACE_OUTER_BOUND('',#24257,.T.); #18939=FACE_OUTER_BOUND('',#24258,.T.); #18940=FACE_OUTER_BOUND('',#24259,.T.); #18941=FACE_OUTER_BOUND('',#24260,.T.); #18942=FACE_OUTER_BOUND('',#24261,.T.); #18943=FACE_OUTER_BOUND('',#24262,.T.); #18944=FACE_OUTER_BOUND('',#24263,.T.); #18945=FACE_OUTER_BOUND('',#24264,.T.); #18946=FACE_OUTER_BOUND('',#24265,.T.); #18947=FACE_OUTER_BOUND('',#24266,.T.); #18948=FACE_OUTER_BOUND('',#24267,.T.); #18949=FACE_OUTER_BOUND('',#24268,.T.); #18950=FACE_OUTER_BOUND('',#24269,.T.); #18951=FACE_OUTER_BOUND('',#24270,.T.); #18952=FACE_OUTER_BOUND('',#24271,.T.); #18953=FACE_OUTER_BOUND('',#24272,.T.); #18954=FACE_OUTER_BOUND('',#24273,.T.); #18955=FACE_OUTER_BOUND('',#24274,.T.); #18956=FACE_OUTER_BOUND('',#24275,.T.); #18957=FACE_OUTER_BOUND('',#24276,.T.); #18958=FACE_OUTER_BOUND('',#24277,.T.); #18959=FACE_OUTER_BOUND('',#24278,.T.); #18960=FACE_OUTER_BOUND('',#24279,.T.); #18961=FACE_OUTER_BOUND('',#24280,.T.); #18962=FACE_OUTER_BOUND('',#24281,.T.); #18963=FACE_OUTER_BOUND('',#24282,.T.); #18964=FACE_OUTER_BOUND('',#24283,.T.); #18965=FACE_OUTER_BOUND('',#24284,.T.); #18966=FACE_OUTER_BOUND('',#24285,.T.); #18967=FACE_OUTER_BOUND('',#24286,.T.); #18968=FACE_OUTER_BOUND('',#24287,.T.); #18969=FACE_OUTER_BOUND('',#24288,.T.); #18970=FACE_OUTER_BOUND('',#24289,.T.); #18971=FACE_OUTER_BOUND('',#24290,.T.); #18972=FACE_OUTER_BOUND('',#24292,.T.); #18973=FACE_OUTER_BOUND('',#24293,.T.); #18974=FACE_OUTER_BOUND('',#24294,.T.); #18975=FACE_OUTER_BOUND('',#24295,.T.); #18976=FACE_OUTER_BOUND('',#24296,.T.); #18977=FACE_OUTER_BOUND('',#24297,.T.); #18978=FACE_OUTER_BOUND('',#24298,.T.); #18979=FACE_OUTER_BOUND('',#24299,.T.); #18980=FACE_OUTER_BOUND('',#24300,.T.); #18981=FACE_OUTER_BOUND('',#24301,.T.); #18982=FACE_OUTER_BOUND('',#24302,.T.); #18983=FACE_OUTER_BOUND('',#24303,.T.); #18984=FACE_OUTER_BOUND('',#24304,.T.); #18985=FACE_OUTER_BOUND('',#24305,.T.); #18986=FACE_OUTER_BOUND('',#24306,.T.); #18987=FACE_OUTER_BOUND('',#24307,.T.); #18988=FACE_OUTER_BOUND('',#24308,.T.); #18989=FACE_OUTER_BOUND('',#24309,.T.); #18990=FACE_OUTER_BOUND('',#24310,.T.); #18991=FACE_OUTER_BOUND('',#24311,.T.); #18992=FACE_OUTER_BOUND('',#24312,.T.); #18993=FACE_OUTER_BOUND('',#24313,.T.); #18994=FACE_OUTER_BOUND('',#24314,.T.); #18995=FACE_OUTER_BOUND('',#24315,.T.); #18996=FACE_OUTER_BOUND('',#24316,.T.); #18997=FACE_OUTER_BOUND('',#24319,.T.); #18998=FACE_OUTER_BOUND('',#24320,.T.); #18999=FACE_OUTER_BOUND('',#24321,.T.); #19000=FACE_OUTER_BOUND('',#24322,.T.); #19001=FACE_OUTER_BOUND('',#24323,.T.); #19002=FACE_OUTER_BOUND('',#24324,.T.); #19003=FACE_OUTER_BOUND('',#24325,.T.); #19004=FACE_OUTER_BOUND('',#24326,.T.); #19005=FACE_OUTER_BOUND('',#24327,.T.); #19006=FACE_OUTER_BOUND('',#24328,.T.); #19007=FACE_OUTER_BOUND('',#24329,.T.); #19008=FACE_OUTER_BOUND('',#24330,.T.); #19009=FACE_OUTER_BOUND('',#24331,.T.); #19010=FACE_OUTER_BOUND('',#24332,.T.); #19011=FACE_OUTER_BOUND('',#24333,.T.); #19012=FACE_OUTER_BOUND('',#24334,.T.); #19013=FACE_OUTER_BOUND('',#24335,.T.); #19014=FACE_OUTER_BOUND('',#24336,.T.); #19015=FACE_OUTER_BOUND('',#24337,.T.); #19016=FACE_OUTER_BOUND('',#24338,.T.); #19017=FACE_OUTER_BOUND('',#24339,.T.); #19018=FACE_OUTER_BOUND('',#24340,.T.); #19019=FACE_OUTER_BOUND('',#24341,.T.); #19020=FACE_OUTER_BOUND('',#24342,.T.); #19021=FACE_OUTER_BOUND('',#24343,.T.); #19022=FACE_OUTER_BOUND('',#24344,.T.); #19023=FACE_OUTER_BOUND('',#24345,.T.); #19024=FACE_OUTER_BOUND('',#24346,.T.); #19025=FACE_OUTER_BOUND('',#24347,.T.); #19026=FACE_OUTER_BOUND('',#24348,.T.); #19027=FACE_OUTER_BOUND('',#24349,.T.); #19028=FACE_OUTER_BOUND('',#24350,.T.); #19029=FACE_OUTER_BOUND('',#24351,.T.); #19030=FACE_OUTER_BOUND('',#24352,.T.); #19031=FACE_OUTER_BOUND('',#24353,.T.); #19032=FACE_OUTER_BOUND('',#24354,.T.); #19033=FACE_OUTER_BOUND('',#24355,.T.); #19034=FACE_OUTER_BOUND('',#24356,.T.); #19035=FACE_OUTER_BOUND('',#24357,.T.); #19036=FACE_OUTER_BOUND('',#24358,.T.); #19037=FACE_OUTER_BOUND('',#24359,.T.); #19038=FACE_OUTER_BOUND('',#24360,.T.); #19039=FACE_OUTER_BOUND('',#24361,.T.); #19040=FACE_OUTER_BOUND('',#24362,.T.); #19041=FACE_OUTER_BOUND('',#24363,.T.); #19042=FACE_OUTER_BOUND('',#24364,.T.); #19043=FACE_OUTER_BOUND('',#24365,.T.); #19044=FACE_OUTER_BOUND('',#24366,.T.); #19045=FACE_OUTER_BOUND('',#24368,.T.); #19046=FACE_OUTER_BOUND('',#24369,.T.); #19047=FACE_OUTER_BOUND('',#24370,.T.); #19048=FACE_OUTER_BOUND('',#24371,.T.); #19049=FACE_OUTER_BOUND('',#24372,.T.); #19050=FACE_OUTER_BOUND('',#24373,.T.); #19051=FACE_OUTER_BOUND('',#24374,.T.); #19052=FACE_OUTER_BOUND('',#24375,.T.); #19053=FACE_OUTER_BOUND('',#24376,.T.); #19054=FACE_OUTER_BOUND('',#24377,.T.); #19055=FACE_OUTER_BOUND('',#24378,.T.); #19056=FACE_OUTER_BOUND('',#24379,.T.); #19057=FACE_OUTER_BOUND('',#24380,.T.); #19058=FACE_OUTER_BOUND('',#24381,.T.); #19059=FACE_OUTER_BOUND('',#24382,.T.); #19060=FACE_OUTER_BOUND('',#24383,.T.); #19061=FACE_OUTER_BOUND('',#24384,.T.); #19062=FACE_OUTER_BOUND('',#24385,.T.); #19063=FACE_OUTER_BOUND('',#24386,.T.); #19064=FACE_OUTER_BOUND('',#24387,.T.); #19065=FACE_OUTER_BOUND('',#24388,.T.); #19066=FACE_OUTER_BOUND('',#24389,.T.); #19067=FACE_OUTER_BOUND('',#24390,.T.); #19068=FACE_OUTER_BOUND('',#24391,.T.); #19069=FACE_OUTER_BOUND('',#24392,.T.); #19070=FACE_OUTER_BOUND('',#24393,.T.); #19071=FACE_OUTER_BOUND('',#24394,.T.); #19072=FACE_OUTER_BOUND('',#24395,.T.); #19073=FACE_OUTER_BOUND('',#24396,.T.); #19074=FACE_OUTER_BOUND('',#24397,.T.); #19075=FACE_OUTER_BOUND('',#24398,.T.); #19076=FACE_OUTER_BOUND('',#24399,.T.); #19077=FACE_OUTER_BOUND('',#24400,.T.); #19078=FACE_OUTER_BOUND('',#24401,.T.); #19079=FACE_OUTER_BOUND('',#24402,.T.); #19080=FACE_OUTER_BOUND('',#24403,.T.); #19081=FACE_OUTER_BOUND('',#24404,.T.); #19082=FACE_OUTER_BOUND('',#24405,.T.); #19083=FACE_OUTER_BOUND('',#24406,.T.); #19084=FACE_OUTER_BOUND('',#24407,.T.); #19085=FACE_OUTER_BOUND('',#24408,.T.); #19086=FACE_OUTER_BOUND('',#24409,.T.); #19087=FACE_OUTER_BOUND('',#24410,.T.); #19088=FACE_OUTER_BOUND('',#24411,.T.); #19089=FACE_OUTER_BOUND('',#24412,.T.); #19090=FACE_OUTER_BOUND('',#24413,.T.); #19091=FACE_OUTER_BOUND('',#24414,.T.); #19092=FACE_OUTER_BOUND('',#24415,.T.); #19093=FACE_OUTER_BOUND('',#24416,.T.); #19094=FACE_OUTER_BOUND('',#24417,.T.); #19095=FACE_OUTER_BOUND('',#24418,.T.); #19096=FACE_OUTER_BOUND('',#24419,.T.); #19097=FACE_OUTER_BOUND('',#24420,.T.); #19098=FACE_OUTER_BOUND('',#24421,.T.); #19099=FACE_OUTER_BOUND('',#24422,.T.); #19100=FACE_OUTER_BOUND('',#24423,.T.); #19101=FACE_OUTER_BOUND('',#24424,.T.); #19102=FACE_OUTER_BOUND('',#24425,.T.); #19103=FACE_OUTER_BOUND('',#24426,.T.); #19104=FACE_OUTER_BOUND('',#24428,.T.); #19105=FACE_OUTER_BOUND('',#24429,.T.); #19106=FACE_OUTER_BOUND('',#24430,.T.); #19107=FACE_OUTER_BOUND('',#24431,.T.); #19108=FACE_OUTER_BOUND('',#24432,.T.); #19109=FACE_OUTER_BOUND('',#24433,.T.); #19110=FACE_OUTER_BOUND('',#24434,.T.); #19111=FACE_OUTER_BOUND('',#24435,.T.); #19112=FACE_OUTER_BOUND('',#24436,.T.); #19113=FACE_OUTER_BOUND('',#24437,.T.); #19114=FACE_OUTER_BOUND('',#24438,.T.); #19115=FACE_OUTER_BOUND('',#24439,.T.); #19116=FACE_OUTER_BOUND('',#24440,.T.); #19117=FACE_OUTER_BOUND('',#24441,.T.); #19118=FACE_OUTER_BOUND('',#24443,.T.); #19119=FACE_OUTER_BOUND('',#24444,.T.); #19120=FACE_OUTER_BOUND('',#24445,.T.); #19121=FACE_OUTER_BOUND('',#24446,.T.); #19122=FACE_OUTER_BOUND('',#24447,.T.); #19123=FACE_OUTER_BOUND('',#24448,.T.); #19124=FACE_OUTER_BOUND('',#24449,.T.); #19125=FACE_OUTER_BOUND('',#24450,.T.); #19126=FACE_OUTER_BOUND('',#24451,.T.); #19127=FACE_OUTER_BOUND('',#24452,.T.); #19128=FACE_OUTER_BOUND('',#24453,.T.); #19129=FACE_OUTER_BOUND('',#24454,.T.); #19130=FACE_OUTER_BOUND('',#24455,.T.); #19131=FACE_OUTER_BOUND('',#24456,.T.); #19132=FACE_OUTER_BOUND('',#24457,.T.); #19133=FACE_OUTER_BOUND('',#24459,.T.); #19134=FACE_OUTER_BOUND('',#24460,.T.); #19135=FACE_OUTER_BOUND('',#24461,.T.); #19136=FACE_OUTER_BOUND('',#24462,.T.); #19137=FACE_OUTER_BOUND('',#24463,.T.); #19138=FACE_OUTER_BOUND('',#24464,.T.); #19139=FACE_OUTER_BOUND('',#24465,.T.); #19140=FACE_OUTER_BOUND('',#24466,.T.); #19141=FACE_OUTER_BOUND('',#24467,.T.); #19142=FACE_OUTER_BOUND('',#24468,.T.); #19143=FACE_OUTER_BOUND('',#24469,.T.); #19144=FACE_OUTER_BOUND('',#24470,.T.); #19145=FACE_OUTER_BOUND('',#24471,.T.); #19146=FACE_OUTER_BOUND('',#24472,.T.); #19147=FACE_OUTER_BOUND('',#24473,.T.); #19148=FACE_OUTER_BOUND('',#24474,.T.); #19149=FACE_OUTER_BOUND('',#24475,.T.); #19150=FACE_OUTER_BOUND('',#24476,.T.); #19151=FACE_OUTER_BOUND('',#24477,.T.); #19152=FACE_OUTER_BOUND('',#24478,.T.); #19153=FACE_OUTER_BOUND('',#24479,.T.); #19154=FACE_OUTER_BOUND('',#24480,.T.); #19155=FACE_OUTER_BOUND('',#24481,.T.); #19156=FACE_OUTER_BOUND('',#24482,.T.); #19157=FACE_OUTER_BOUND('',#24483,.T.); #19158=FACE_OUTER_BOUND('',#24484,.T.); #19159=FACE_OUTER_BOUND('',#24485,.T.); #19160=FACE_OUTER_BOUND('',#24486,.T.); #19161=FACE_OUTER_BOUND('',#24487,.T.); #19162=FACE_OUTER_BOUND('',#24488,.T.); #19163=FACE_OUTER_BOUND('',#24489,.T.); #19164=FACE_OUTER_BOUND('',#24490,.T.); #19165=FACE_OUTER_BOUND('',#24491,.T.); #19166=FACE_OUTER_BOUND('',#24492,.T.); #19167=FACE_OUTER_BOUND('',#24493,.T.); #19168=FACE_OUTER_BOUND('',#24494,.T.); #19169=FACE_OUTER_BOUND('',#24495,.T.); #19170=FACE_OUTER_BOUND('',#24496,.T.); #19171=FACE_OUTER_BOUND('',#24497,.T.); #19172=FACE_OUTER_BOUND('',#24498,.T.); #19173=FACE_OUTER_BOUND('',#24499,.T.); #19174=FACE_OUTER_BOUND('',#24500,.T.); #19175=FACE_OUTER_BOUND('',#24501,.T.); #19176=FACE_OUTER_BOUND('',#24502,.T.); #19177=FACE_OUTER_BOUND('',#24503,.T.); #19178=FACE_OUTER_BOUND('',#24504,.T.); #19179=FACE_OUTER_BOUND('',#24505,.T.); #19180=FACE_OUTER_BOUND('',#24506,.T.); #19181=FACE_OUTER_BOUND('',#24507,.T.); #19182=FACE_OUTER_BOUND('',#24508,.T.); #19183=FACE_OUTER_BOUND('',#24509,.T.); #19184=FACE_OUTER_BOUND('',#24510,.T.); #19185=FACE_OUTER_BOUND('',#24511,.T.); #19186=FACE_OUTER_BOUND('',#24512,.T.); #19187=FACE_OUTER_BOUND('',#24513,.T.); #19188=FACE_OUTER_BOUND('',#24514,.T.); #19189=FACE_OUTER_BOUND('',#24515,.T.); #19190=FACE_OUTER_BOUND('',#24516,.T.); #19191=FACE_OUTER_BOUND('',#24517,.T.); #19192=FACE_OUTER_BOUND('',#24518,.T.); #19193=FACE_OUTER_BOUND('',#24519,.T.); #19194=FACE_OUTER_BOUND('',#24520,.T.); #19195=FACE_OUTER_BOUND('',#24521,.T.); #19196=FACE_OUTER_BOUND('',#24522,.T.); #19197=FACE_OUTER_BOUND('',#24523,.T.); #19198=FACE_OUTER_BOUND('',#24524,.T.); #19199=FACE_OUTER_BOUND('',#24525,.T.); #19200=FACE_OUTER_BOUND('',#24526,.T.); #19201=FACE_OUTER_BOUND('',#24527,.T.); #19202=FACE_OUTER_BOUND('',#24529,.T.); #19203=FACE_OUTER_BOUND('',#24530,.T.); #19204=FACE_OUTER_BOUND('',#24531,.T.); #19205=FACE_OUTER_BOUND('',#24532,.T.); #19206=FACE_OUTER_BOUND('',#24533,.T.); #19207=FACE_OUTER_BOUND('',#24534,.T.); #19208=FACE_OUTER_BOUND('',#24535,.T.); #19209=FACE_OUTER_BOUND('',#24536,.T.); #19210=FACE_OUTER_BOUND('',#24537,.T.); #19211=FACE_OUTER_BOUND('',#24538,.T.); #19212=FACE_OUTER_BOUND('',#24539,.T.); #19213=FACE_OUTER_BOUND('',#24540,.T.); #19214=FACE_OUTER_BOUND('',#24541,.T.); #19215=FACE_OUTER_BOUND('',#24542,.T.); #19216=FACE_OUTER_BOUND('',#24543,.T.); #19217=FACE_OUTER_BOUND('',#24544,.T.); #19218=FACE_OUTER_BOUND('',#24545,.T.); #19219=FACE_OUTER_BOUND('',#24546,.T.); #19220=FACE_OUTER_BOUND('',#24547,.T.); #19221=FACE_OUTER_BOUND('',#24548,.T.); #19222=FACE_OUTER_BOUND('',#24549,.T.); #19223=FACE_OUTER_BOUND('',#24550,.T.); #19224=FACE_OUTER_BOUND('',#24551,.T.); #19225=FACE_OUTER_BOUND('',#24552,.T.); #19226=FACE_OUTER_BOUND('',#24553,.T.); #19227=FACE_OUTER_BOUND('',#24554,.T.); #19228=FACE_OUTER_BOUND('',#24555,.T.); #19229=FACE_OUTER_BOUND('',#24556,.T.); #19230=FACE_OUTER_BOUND('',#24557,.T.); #19231=FACE_OUTER_BOUND('',#24558,.T.); #19232=FACE_OUTER_BOUND('',#24559,.T.); #19233=FACE_OUTER_BOUND('',#24560,.T.); #19234=FACE_OUTER_BOUND('',#24561,.T.); #19235=FACE_OUTER_BOUND('',#24562,.T.); #19236=FACE_OUTER_BOUND('',#24563,.T.); #19237=FACE_OUTER_BOUND('',#24564,.T.); #19238=FACE_OUTER_BOUND('',#24565,.T.); #19239=FACE_OUTER_BOUND('',#24566,.T.); #19240=FACE_OUTER_BOUND('',#24567,.T.); #19241=FACE_OUTER_BOUND('',#24568,.T.); #19242=FACE_OUTER_BOUND('',#24569,.T.); #19243=FACE_OUTER_BOUND('',#24570,.T.); #19244=FACE_OUTER_BOUND('',#24571,.T.); #19245=FACE_OUTER_BOUND('',#24572,.T.); #19246=FACE_OUTER_BOUND('',#24573,.T.); #19247=FACE_OUTER_BOUND('',#24574,.T.); #19248=FACE_OUTER_BOUND('',#24575,.T.); #19249=FACE_OUTER_BOUND('',#24576,.T.); #19250=FACE_OUTER_BOUND('',#24577,.T.); #19251=FACE_OUTER_BOUND('',#24578,.T.); #19252=FACE_OUTER_BOUND('',#24579,.T.); #19253=FACE_OUTER_BOUND('',#24580,.T.); #19254=FACE_OUTER_BOUND('',#24581,.T.); #19255=FACE_OUTER_BOUND('',#24582,.T.); #19256=FACE_OUTER_BOUND('',#24583,.T.); #19257=FACE_OUTER_BOUND('',#24584,.T.); #19258=FACE_OUTER_BOUND('',#24585,.T.); #19259=FACE_OUTER_BOUND('',#24586,.T.); #19260=FACE_OUTER_BOUND('',#24587,.T.); #19261=FACE_OUTER_BOUND('',#24588,.T.); #19262=FACE_OUTER_BOUND('',#24589,.T.); #19263=FACE_OUTER_BOUND('',#24590,.T.); #19264=FACE_OUTER_BOUND('',#24591,.T.); #19265=FACE_OUTER_BOUND('',#24592,.T.); #19266=FACE_OUTER_BOUND('',#24593,.T.); #19267=FACE_OUTER_BOUND('',#24594,.T.); #19268=FACE_OUTER_BOUND('',#24595,.T.); #19269=FACE_OUTER_BOUND('',#24596,.T.); #19270=FACE_OUTER_BOUND('',#24597,.T.); #19271=FACE_OUTER_BOUND('',#24598,.T.); #19272=FACE_OUTER_BOUND('',#24599,.T.); #19273=FACE_OUTER_BOUND('',#24600,.T.); #19274=FACE_OUTER_BOUND('',#24601,.T.); #19275=FACE_OUTER_BOUND('',#24602,.T.); #19276=FACE_OUTER_BOUND('',#24603,.T.); #19277=FACE_OUTER_BOUND('',#24604,.T.); #19278=FACE_OUTER_BOUND('',#24605,.T.); #19279=FACE_OUTER_BOUND('',#24606,.T.); #19280=FACE_OUTER_BOUND('',#24607,.T.); #19281=FACE_OUTER_BOUND('',#24608,.T.); #19282=FACE_OUTER_BOUND('',#24609,.T.); #19283=FACE_OUTER_BOUND('',#24610,.T.); #19284=FACE_OUTER_BOUND('',#24611,.T.); #19285=FACE_OUTER_BOUND('',#24612,.T.); #19286=FACE_OUTER_BOUND('',#24613,.T.); #19287=FACE_OUTER_BOUND('',#24614,.T.); #19288=FACE_OUTER_BOUND('',#24615,.T.); #19289=FACE_OUTER_BOUND('',#24616,.T.); #19290=FACE_OUTER_BOUND('',#24617,.T.); #19291=FACE_OUTER_BOUND('',#24618,.T.); #19292=FACE_OUTER_BOUND('',#24619,.T.); #19293=FACE_OUTER_BOUND('',#24620,.T.); #19294=FACE_OUTER_BOUND('',#24621,.T.); #19295=FACE_OUTER_BOUND('',#24622,.T.); #19296=FACE_OUTER_BOUND('',#24623,.T.); #19297=FACE_OUTER_BOUND('',#24624,.T.); #19298=FACE_OUTER_BOUND('',#24625,.T.); #19299=FACE_OUTER_BOUND('',#24626,.T.); #19300=FACE_OUTER_BOUND('',#24627,.T.); #19301=FACE_OUTER_BOUND('',#24628,.T.); #19302=FACE_OUTER_BOUND('',#24629,.T.); #19303=FACE_OUTER_BOUND('',#24631,.T.); #19304=FACE_OUTER_BOUND('',#24632,.T.); #19305=FACE_OUTER_BOUND('',#24633,.T.); #19306=FACE_OUTER_BOUND('',#24634,.T.); #19307=FACE_OUTER_BOUND('',#24635,.T.); #19308=FACE_OUTER_BOUND('',#24636,.T.); #19309=FACE_OUTER_BOUND('',#24637,.T.); #19310=FACE_OUTER_BOUND('',#24638,.T.); #19311=FACE_OUTER_BOUND('',#24639,.T.); #19312=FACE_OUTER_BOUND('',#24640,.T.); #19313=FACE_OUTER_BOUND('',#24641,.T.); #19314=FACE_OUTER_BOUND('',#24642,.T.); #19315=FACE_OUTER_BOUND('',#24643,.T.); #19316=FACE_OUTER_BOUND('',#24644,.T.); #19317=FACE_OUTER_BOUND('',#24645,.T.); #19318=FACE_OUTER_BOUND('',#24646,.T.); #19319=FACE_OUTER_BOUND('',#24647,.T.); #19320=FACE_OUTER_BOUND('',#24648,.T.); #19321=FACE_OUTER_BOUND('',#24649,.T.); #19322=FACE_OUTER_BOUND('',#24650,.T.); #19323=FACE_OUTER_BOUND('',#24651,.T.); #19324=FACE_OUTER_BOUND('',#24652,.T.); #19325=FACE_OUTER_BOUND('',#24653,.T.); #19326=FACE_OUTER_BOUND('',#24654,.T.); #19327=FACE_OUTER_BOUND('',#24655,.T.); #19328=FACE_OUTER_BOUND('',#24656,.T.); #19329=FACE_OUTER_BOUND('',#24657,.T.); #19330=FACE_OUTER_BOUND('',#24658,.T.); #19331=FACE_OUTER_BOUND('',#24659,.T.); #19332=FACE_OUTER_BOUND('',#24660,.T.); #19333=FACE_OUTER_BOUND('',#24661,.T.); #19334=FACE_OUTER_BOUND('',#24662,.T.); #19335=FACE_OUTER_BOUND('',#24663,.T.); #19336=FACE_OUTER_BOUND('',#24664,.T.); #19337=FACE_OUTER_BOUND('',#24665,.T.); #19338=FACE_OUTER_BOUND('',#24666,.T.); #19339=FACE_OUTER_BOUND('',#24667,.T.); #19340=FACE_OUTER_BOUND('',#24668,.T.); #19341=FACE_OUTER_BOUND('',#24669,.T.); #19342=FACE_OUTER_BOUND('',#24670,.T.); #19343=FACE_OUTER_BOUND('',#24671,.T.); #19344=FACE_OUTER_BOUND('',#24672,.T.); #19345=FACE_OUTER_BOUND('',#24673,.T.); #19346=FACE_OUTER_BOUND('',#24674,.T.); #19347=FACE_OUTER_BOUND('',#24675,.T.); #19348=FACE_OUTER_BOUND('',#24676,.T.); #19349=FACE_OUTER_BOUND('',#24677,.T.); #19350=FACE_OUTER_BOUND('',#24678,.T.); #19351=FACE_OUTER_BOUND('',#24679,.T.); #19352=FACE_OUTER_BOUND('',#24680,.T.); #19353=FACE_OUTER_BOUND('',#24681,.T.); #19354=FACE_OUTER_BOUND('',#24682,.T.); #19355=FACE_OUTER_BOUND('',#24683,.T.); #19356=FACE_OUTER_BOUND('',#24684,.T.); #19357=FACE_OUTER_BOUND('',#24685,.T.); #19358=FACE_OUTER_BOUND('',#24686,.T.); #19359=FACE_OUTER_BOUND('',#24687,.T.); #19360=FACE_OUTER_BOUND('',#24688,.T.); #19361=FACE_OUTER_BOUND('',#24689,.T.); #19362=FACE_OUTER_BOUND('',#24690,.T.); #19363=FACE_OUTER_BOUND('',#24691,.T.); #19364=FACE_OUTER_BOUND('',#24692,.T.); #19365=FACE_OUTER_BOUND('',#24693,.T.); #19366=FACE_OUTER_BOUND('',#24694,.T.); #19367=FACE_OUTER_BOUND('',#24695,.T.); #19368=FACE_OUTER_BOUND('',#24696,.T.); #19369=FACE_OUTER_BOUND('',#24697,.T.); #19370=FACE_OUTER_BOUND('',#24698,.T.); #19371=FACE_OUTER_BOUND('',#24699,.T.); #19372=FACE_OUTER_BOUND('',#24700,.T.); #19373=FACE_OUTER_BOUND('',#24701,.T.); #19374=FACE_OUTER_BOUND('',#24702,.T.); #19375=FACE_OUTER_BOUND('',#24703,.T.); #19376=FACE_OUTER_BOUND('',#24704,.T.); #19377=FACE_OUTER_BOUND('',#24705,.T.); #19378=FACE_OUTER_BOUND('',#24706,.T.); #19379=FACE_OUTER_BOUND('',#24707,.T.); #19380=FACE_OUTER_BOUND('',#24708,.T.); #19381=FACE_OUTER_BOUND('',#24709,.T.); #19382=FACE_OUTER_BOUND('',#24710,.T.); #19383=FACE_OUTER_BOUND('',#24711,.T.); #19384=FACE_OUTER_BOUND('',#24712,.T.); #19385=FACE_OUTER_BOUND('',#24713,.T.); #19386=FACE_OUTER_BOUND('',#24714,.T.); #19387=FACE_OUTER_BOUND('',#24715,.T.); #19388=FACE_OUTER_BOUND('',#24716,.T.); #19389=FACE_OUTER_BOUND('',#24717,.T.); #19390=FACE_OUTER_BOUND('',#24731,.T.); #19391=FACE_OUTER_BOUND('',#24732,.T.); #19392=FACE_OUTER_BOUND('',#24733,.T.); #19393=FACE_OUTER_BOUND('',#24734,.T.); #19394=FACE_OUTER_BOUND('',#24735,.T.); #19395=FACE_OUTER_BOUND('',#24736,.T.); #19396=FACE_OUTER_BOUND('',#24737,.T.); #19397=FACE_OUTER_BOUND('',#24738,.T.); #19398=FACE_OUTER_BOUND('',#24739,.T.); #19399=FACE_OUTER_BOUND('',#24740,.T.); #19400=FACE_OUTER_BOUND('',#24741,.T.); #19401=FACE_OUTER_BOUND('',#24742,.T.); #19402=FACE_OUTER_BOUND('',#24743,.T.); #19403=FACE_OUTER_BOUND('',#24744,.T.); #19404=FACE_OUTER_BOUND('',#24745,.T.); #19405=FACE_OUTER_BOUND('',#24746,.T.); #19406=FACE_OUTER_BOUND('',#24747,.T.); #19407=FACE_OUTER_BOUND('',#24748,.T.); #19408=FACE_OUTER_BOUND('',#24749,.T.); #19409=FACE_OUTER_BOUND('',#24750,.T.); #19410=FACE_OUTER_BOUND('',#24751,.T.); #19411=FACE_OUTER_BOUND('',#24752,.T.); #19412=FACE_OUTER_BOUND('',#24753,.T.); #19413=FACE_OUTER_BOUND('',#24754,.T.); #19414=FACE_OUTER_BOUND('',#24755,.T.); #19415=FACE_OUTER_BOUND('',#24756,.T.); #19416=FACE_OUTER_BOUND('',#24757,.T.); #19417=FACE_OUTER_BOUND('',#24758,.T.); #19418=FACE_OUTER_BOUND('',#24759,.T.); #19419=FACE_OUTER_BOUND('',#24760,.T.); #19420=FACE_OUTER_BOUND('',#24761,.T.); #19421=FACE_OUTER_BOUND('',#24762,.T.); #19422=FACE_OUTER_BOUND('',#24763,.T.); #19423=FACE_OUTER_BOUND('',#24764,.T.); #19424=FACE_OUTER_BOUND('',#24765,.T.); #19425=FACE_OUTER_BOUND('',#24766,.T.); #19426=FACE_OUTER_BOUND('',#24767,.T.); #19427=FACE_OUTER_BOUND('',#24768,.T.); #19428=FACE_OUTER_BOUND('',#24769,.T.); #19429=FACE_OUTER_BOUND('',#24770,.T.); #19430=FACE_OUTER_BOUND('',#24771,.T.); #19431=FACE_OUTER_BOUND('',#24772,.T.); #19432=FACE_OUTER_BOUND('',#24773,.T.); #19433=FACE_OUTER_BOUND('',#24774,.T.); #19434=FACE_OUTER_BOUND('',#24775,.T.); #19435=FACE_OUTER_BOUND('',#24776,.T.); #19436=FACE_OUTER_BOUND('',#24777,.T.); #19437=FACE_OUTER_BOUND('',#24778,.T.); #19438=FACE_OUTER_BOUND('',#24779,.T.); #19439=FACE_OUTER_BOUND('',#24780,.T.); #19440=FACE_OUTER_BOUND('',#24781,.T.); #19441=FACE_OUTER_BOUND('',#24782,.T.); #19442=FACE_OUTER_BOUND('',#24783,.T.); #19443=FACE_OUTER_BOUND('',#24784,.T.); #19444=FACE_OUTER_BOUND('',#24785,.T.); #19445=FACE_OUTER_BOUND('',#24786,.T.); #19446=FACE_OUTER_BOUND('',#24787,.T.); #19447=FACE_OUTER_BOUND('',#24788,.T.); #19448=FACE_OUTER_BOUND('',#24789,.T.); #19449=FACE_OUTER_BOUND('',#24790,.T.); #19450=FACE_OUTER_BOUND('',#24791,.T.); #19451=FACE_OUTER_BOUND('',#24793,.T.); #19452=FACE_OUTER_BOUND('',#24794,.T.); #19453=FACE_OUTER_BOUND('',#24795,.T.); #19454=FACE_OUTER_BOUND('',#24796,.T.); #19455=FACE_OUTER_BOUND('',#24797,.T.); #19456=FACE_OUTER_BOUND('',#24798,.T.); #19457=FACE_OUTER_BOUND('',#24799,.T.); #19458=FACE_OUTER_BOUND('',#24800,.T.); #19459=FACE_OUTER_BOUND('',#24801,.T.); #19460=FACE_OUTER_BOUND('',#24802,.T.); #19461=FACE_OUTER_BOUND('',#24803,.T.); #19462=FACE_OUTER_BOUND('',#24804,.T.); #19463=FACE_OUTER_BOUND('',#24805,.T.); #19464=FACE_OUTER_BOUND('',#24806,.T.); #19465=FACE_OUTER_BOUND('',#24807,.T.); #19466=FACE_OUTER_BOUND('',#24808,.T.); #19467=FACE_OUTER_BOUND('',#24809,.T.); #19468=FACE_OUTER_BOUND('',#24810,.T.); #19469=FACE_OUTER_BOUND('',#24811,.T.); #19470=FACE_OUTER_BOUND('',#24812,.T.); #19471=FACE_OUTER_BOUND('',#24813,.T.); #19472=FACE_OUTER_BOUND('',#24814,.T.); #19473=FACE_OUTER_BOUND('',#24815,.T.); #19474=FACE_OUTER_BOUND('',#24816,.T.); #19475=FACE_OUTER_BOUND('',#24817,.T.); #19476=FACE_OUTER_BOUND('',#24818,.T.); #19477=FACE_OUTER_BOUND('',#24819,.T.); #19478=FACE_OUTER_BOUND('',#24820,.T.); #19479=FACE_OUTER_BOUND('',#24821,.T.); #19480=FACE_OUTER_BOUND('',#24822,.T.); #19481=FACE_OUTER_BOUND('',#24823,.T.); #19482=FACE_OUTER_BOUND('',#24824,.T.); #19483=FACE_OUTER_BOUND('',#24825,.T.); #19484=FACE_OUTER_BOUND('',#24826,.T.); #19485=FACE_OUTER_BOUND('',#24827,.T.); #19486=FACE_OUTER_BOUND('',#24828,.T.); #19487=FACE_OUTER_BOUND('',#24829,.T.); #19488=FACE_OUTER_BOUND('',#24830,.T.); #19489=FACE_OUTER_BOUND('',#24831,.T.); #19490=FACE_OUTER_BOUND('',#24832,.T.); #19491=FACE_OUTER_BOUND('',#24833,.T.); #19492=FACE_OUTER_BOUND('',#24834,.T.); #19493=FACE_OUTER_BOUND('',#24835,.T.); #19494=FACE_OUTER_BOUND('',#24836,.T.); #19495=FACE_OUTER_BOUND('',#24837,.T.); #19496=FACE_OUTER_BOUND('',#24838,.T.); #19497=FACE_OUTER_BOUND('',#24839,.T.); #19498=FACE_OUTER_BOUND('',#24840,.T.); #19499=FACE_OUTER_BOUND('',#24841,.T.); #19500=FACE_OUTER_BOUND('',#24842,.T.); #19501=FACE_OUTER_BOUND('',#24843,.T.); #19502=FACE_OUTER_BOUND('',#24844,.T.); #19503=FACE_OUTER_BOUND('',#24845,.T.); #19504=FACE_OUTER_BOUND('',#24846,.T.); #19505=FACE_OUTER_BOUND('',#24847,.T.); #19506=FACE_OUTER_BOUND('',#24848,.T.); #19507=FACE_OUTER_BOUND('',#24849,.T.); #19508=FACE_OUTER_BOUND('',#24850,.T.); #19509=FACE_OUTER_BOUND('',#24851,.T.); #19510=FACE_OUTER_BOUND('',#24852,.T.); #19511=FACE_OUTER_BOUND('',#24853,.T.); #19512=FACE_OUTER_BOUND('',#24854,.T.); #19513=FACE_OUTER_BOUND('',#24855,.T.); #19514=FACE_OUTER_BOUND('',#24856,.T.); #19515=FACE_OUTER_BOUND('',#24857,.T.); #19516=FACE_OUTER_BOUND('',#24858,.T.); #19517=FACE_OUTER_BOUND('',#24859,.T.); #19518=FACE_OUTER_BOUND('',#24860,.T.); #19519=FACE_OUTER_BOUND('',#24861,.T.); #19520=FACE_OUTER_BOUND('',#24862,.T.); #19521=FACE_OUTER_BOUND('',#24863,.T.); #19522=FACE_OUTER_BOUND('',#24864,.T.); #19523=FACE_OUTER_BOUND('',#24865,.T.); #19524=FACE_OUTER_BOUND('',#24866,.T.); #19525=FACE_OUTER_BOUND('',#24867,.T.); #19526=FACE_OUTER_BOUND('',#24868,.T.); #19527=FACE_OUTER_BOUND('',#24869,.T.); #19528=FACE_OUTER_BOUND('',#24870,.T.); #19529=FACE_OUTER_BOUND('',#24871,.T.); #19530=FACE_OUTER_BOUND('',#24872,.T.); #19531=FACE_OUTER_BOUND('',#24873,.T.); #19532=FACE_OUTER_BOUND('',#24874,.T.); #19533=FACE_OUTER_BOUND('',#24875,.T.); #19534=FACE_OUTER_BOUND('',#24876,.T.); #19535=FACE_OUTER_BOUND('',#24877,.T.); #19536=FACE_OUTER_BOUND('',#24878,.T.); #19537=FACE_OUTER_BOUND('',#24879,.T.); #19538=FACE_OUTER_BOUND('',#24880,.T.); #19539=FACE_OUTER_BOUND('',#24881,.T.); #19540=FACE_OUTER_BOUND('',#24882,.T.); #19541=FACE_OUTER_BOUND('',#24883,.T.); #19542=FACE_OUTER_BOUND('',#24884,.T.); #19543=FACE_OUTER_BOUND('',#24885,.T.); #19544=FACE_OUTER_BOUND('',#24886,.T.); #19545=FACE_OUTER_BOUND('',#24887,.T.); #19546=FACE_OUTER_BOUND('',#24888,.T.); #19547=FACE_OUTER_BOUND('',#24889,.T.); #19548=FACE_OUTER_BOUND('',#24890,.T.); #19549=FACE_OUTER_BOUND('',#24891,.T.); #19550=FACE_OUTER_BOUND('',#24892,.T.); #19551=FACE_OUTER_BOUND('',#24893,.T.); #19552=FACE_OUTER_BOUND('',#24894,.T.); #19553=FACE_OUTER_BOUND('',#24895,.T.); #19554=FACE_OUTER_BOUND('',#24896,.T.); #19555=FACE_OUTER_BOUND('',#24897,.T.); #19556=FACE_OUTER_BOUND('',#24898,.T.); #19557=FACE_OUTER_BOUND('',#24899,.T.); #19558=FACE_OUTER_BOUND('',#24900,.T.); #19559=FACE_OUTER_BOUND('',#24901,.T.); #19560=FACE_OUTER_BOUND('',#24902,.T.); #19561=FACE_OUTER_BOUND('',#24903,.T.); #19562=FACE_OUTER_BOUND('',#24904,.T.); #19563=FACE_OUTER_BOUND('',#24905,.T.); #19564=FACE_OUTER_BOUND('',#24906,.T.); #19565=FACE_OUTER_BOUND('',#24907,.T.); #19566=FACE_OUTER_BOUND('',#24908,.T.); #19567=FACE_OUTER_BOUND('',#24909,.T.); #19568=FACE_OUTER_BOUND('',#24910,.T.); #19569=FACE_OUTER_BOUND('',#24911,.T.); #19570=FACE_OUTER_BOUND('',#24912,.T.); #19571=FACE_OUTER_BOUND('',#24913,.T.); #19572=FACE_OUTER_BOUND('',#24914,.T.); #19573=FACE_OUTER_BOUND('',#24915,.T.); #19574=FACE_OUTER_BOUND('',#24916,.T.); #19575=FACE_OUTER_BOUND('',#24917,.T.); #19576=FACE_OUTER_BOUND('',#24920,.T.); #19577=FACE_OUTER_BOUND('',#24921,.T.); #19578=FACE_OUTER_BOUND('',#24922,.T.); #19579=FACE_OUTER_BOUND('',#24923,.T.); #19580=FACE_OUTER_BOUND('',#24924,.T.); #19581=FACE_OUTER_BOUND('',#24925,.T.); #19582=FACE_OUTER_BOUND('',#24926,.T.); #19583=FACE_OUTER_BOUND('',#24927,.T.); #19584=FACE_OUTER_BOUND('',#24928,.T.); #19585=FACE_OUTER_BOUND('',#24929,.T.); #19586=FACE_OUTER_BOUND('',#24930,.T.); #19587=FACE_OUTER_BOUND('',#24931,.T.); #19588=FACE_OUTER_BOUND('',#24932,.T.); #19589=FACE_OUTER_BOUND('',#24933,.T.); #19590=FACE_OUTER_BOUND('',#24934,.T.); #19591=FACE_OUTER_BOUND('',#24935,.T.); #19592=FACE_OUTER_BOUND('',#24936,.T.); #19593=FACE_OUTER_BOUND('',#24937,.T.); #19594=FACE_OUTER_BOUND('',#24938,.T.); #19595=FACE_OUTER_BOUND('',#24939,.T.); #19596=FACE_OUTER_BOUND('',#24940,.T.); #19597=FACE_OUTER_BOUND('',#24941,.T.); #19598=FACE_OUTER_BOUND('',#24942,.T.); #19599=FACE_OUTER_BOUND('',#24943,.T.); #19600=FACE_OUTER_BOUND('',#24944,.T.); #19601=FACE_OUTER_BOUND('',#24945,.T.); #19602=FACE_OUTER_BOUND('',#24946,.T.); #19603=FACE_OUTER_BOUND('',#24947,.T.); #19604=FACE_OUTER_BOUND('',#24948,.T.); #19605=FACE_OUTER_BOUND('',#24949,.T.); #19606=FACE_OUTER_BOUND('',#24950,.T.); #19607=FACE_OUTER_BOUND('',#24951,.T.); #19608=FACE_OUTER_BOUND('',#24952,.T.); #19609=FACE_OUTER_BOUND('',#24953,.T.); #19610=FACE_OUTER_BOUND('',#24954,.T.); #19611=FACE_OUTER_BOUND('',#24955,.T.); #19612=FACE_OUTER_BOUND('',#24956,.T.); #19613=FACE_OUTER_BOUND('',#24957,.T.); #19614=FACE_OUTER_BOUND('',#24958,.T.); #19615=FACE_OUTER_BOUND('',#24959,.T.); #19616=FACE_OUTER_BOUND('',#24960,.T.); #19617=FACE_OUTER_BOUND('',#24961,.T.); #19618=FACE_OUTER_BOUND('',#24962,.T.); #19619=FACE_OUTER_BOUND('',#24963,.T.); #19620=FACE_OUTER_BOUND('',#24964,.T.); #19621=FACE_OUTER_BOUND('',#24965,.T.); #19622=FACE_OUTER_BOUND('',#24966,.T.); #19623=FACE_OUTER_BOUND('',#24967,.T.); #19624=FACE_OUTER_BOUND('',#24968,.T.); #19625=FACE_OUTER_BOUND('',#24969,.T.); #19626=FACE_OUTER_BOUND('',#24970,.T.); #19627=FACE_OUTER_BOUND('',#24971,.T.); #19628=FACE_OUTER_BOUND('',#24972,.T.); #19629=FACE_OUTER_BOUND('',#24973,.T.); #19630=FACE_OUTER_BOUND('',#24974,.T.); #19631=FACE_OUTER_BOUND('',#24975,.T.); #19632=FACE_OUTER_BOUND('',#24976,.T.); #19633=FACE_OUTER_BOUND('',#24977,.T.); #19634=FACE_OUTER_BOUND('',#24978,.T.); #19635=FACE_OUTER_BOUND('',#24979,.T.); #19636=FACE_OUTER_BOUND('',#24980,.T.); #19637=FACE_OUTER_BOUND('',#24981,.T.); #19638=FACE_OUTER_BOUND('',#24982,.T.); #19639=FACE_OUTER_BOUND('',#24983,.T.); #19640=FACE_OUTER_BOUND('',#24984,.T.); #19641=FACE_OUTER_BOUND('',#24985,.T.); #19642=FACE_OUTER_BOUND('',#24986,.T.); #19643=FACE_OUTER_BOUND('',#24987,.T.); #19644=FACE_OUTER_BOUND('',#24988,.T.); #19645=FACE_OUTER_BOUND('',#24990,.T.); #19646=FACE_OUTER_BOUND('',#24991,.T.); #19647=FACE_OUTER_BOUND('',#24992,.T.); #19648=FACE_OUTER_BOUND('',#24993,.T.); #19649=FACE_OUTER_BOUND('',#24994,.T.); #19650=FACE_OUTER_BOUND('',#24995,.T.); #19651=FACE_OUTER_BOUND('',#24996,.T.); #19652=FACE_OUTER_BOUND('',#24997,.T.); #19653=FACE_OUTER_BOUND('',#24998,.T.); #19654=FACE_OUTER_BOUND('',#24999,.T.); #19655=FACE_OUTER_BOUND('',#25000,.T.); #19656=FACE_OUTER_BOUND('',#25001,.T.); #19657=FACE_OUTER_BOUND('',#25002,.T.); #19658=FACE_OUTER_BOUND('',#25003,.T.); #19659=FACE_OUTER_BOUND('',#25004,.T.); #19660=FACE_OUTER_BOUND('',#25005,.T.); #19661=FACE_OUTER_BOUND('',#25006,.T.); #19662=FACE_OUTER_BOUND('',#25007,.T.); #19663=FACE_OUTER_BOUND('',#25008,.T.); #19664=FACE_OUTER_BOUND('',#25009,.T.); #19665=FACE_OUTER_BOUND('',#25010,.T.); #19666=FACE_OUTER_BOUND('',#25011,.T.); #19667=FACE_OUTER_BOUND('',#25012,.T.); #19668=FACE_OUTER_BOUND('',#25013,.T.); #19669=FACE_OUTER_BOUND('',#25014,.T.); #19670=FACE_OUTER_BOUND('',#25015,.T.); #19671=FACE_OUTER_BOUND('',#25016,.T.); #19672=FACE_OUTER_BOUND('',#25017,.T.); #19673=FACE_OUTER_BOUND('',#25018,.T.); #19674=FACE_OUTER_BOUND('',#25019,.T.); #19675=FACE_OUTER_BOUND('',#25020,.T.); #19676=FACE_OUTER_BOUND('',#25021,.T.); #19677=FACE_OUTER_BOUND('',#25022,.T.); #19678=FACE_OUTER_BOUND('',#25023,.T.); #19679=FACE_OUTER_BOUND('',#25024,.T.); #19680=FACE_OUTER_BOUND('',#25025,.T.); #19681=FACE_OUTER_BOUND('',#25026,.T.); #19682=FACE_OUTER_BOUND('',#25027,.T.); #19683=FACE_OUTER_BOUND('',#25028,.T.); #19684=FACE_OUTER_BOUND('',#25029,.T.); #19685=FACE_OUTER_BOUND('',#25030,.T.); #19686=FACE_OUTER_BOUND('',#25031,.T.); #19687=FACE_OUTER_BOUND('',#25032,.T.); #19688=FACE_OUTER_BOUND('',#25033,.T.); #19689=FACE_OUTER_BOUND('',#25034,.T.); #19690=FACE_OUTER_BOUND('',#25035,.T.); #19691=FACE_OUTER_BOUND('',#25036,.T.); #19692=FACE_OUTER_BOUND('',#25037,.T.); #19693=FACE_OUTER_BOUND('',#25038,.T.); #19694=FACE_OUTER_BOUND('',#25039,.T.); #19695=FACE_OUTER_BOUND('',#25040,.T.); #19696=FACE_OUTER_BOUND('',#25041,.T.); #19697=FACE_OUTER_BOUND('',#25042,.T.); #19698=FACE_OUTER_BOUND('',#25043,.T.); #19699=FACE_OUTER_BOUND('',#25044,.T.); #19700=FACE_OUTER_BOUND('',#25045,.T.); #19701=FACE_OUTER_BOUND('',#25046,.T.); #19702=FACE_OUTER_BOUND('',#25047,.T.); #19703=FACE_OUTER_BOUND('',#25048,.T.); #19704=FACE_OUTER_BOUND('',#25049,.T.); #19705=FACE_OUTER_BOUND('',#25050,.T.); #19706=FACE_OUTER_BOUND('',#25051,.T.); #19707=FACE_OUTER_BOUND('',#25052,.T.); #19708=FACE_OUTER_BOUND('',#25053,.T.); #19709=FACE_OUTER_BOUND('',#25054,.T.); #19710=FACE_OUTER_BOUND('',#25055,.T.); #19711=FACE_OUTER_BOUND('',#25056,.T.); #19712=FACE_OUTER_BOUND('',#25057,.T.); #19713=FACE_OUTER_BOUND('',#25058,.T.); #19714=FACE_OUTER_BOUND('',#25059,.T.); #19715=FACE_OUTER_BOUND('',#25060,.T.); #19716=FACE_OUTER_BOUND('',#25061,.T.); #19717=FACE_OUTER_BOUND('',#25062,.T.); #19718=FACE_OUTER_BOUND('',#25063,.T.); #19719=FACE_OUTER_BOUND('',#25064,.T.); #19720=FACE_OUTER_BOUND('',#25065,.T.); #19721=FACE_OUTER_BOUND('',#25066,.T.); #19722=FACE_OUTER_BOUND('',#25067,.T.); #19723=FACE_OUTER_BOUND('',#25068,.T.); #19724=FACE_OUTER_BOUND('',#25069,.T.); #19725=FACE_OUTER_BOUND('',#25070,.T.); #19726=FACE_OUTER_BOUND('',#25071,.T.); #19727=FACE_OUTER_BOUND('',#25072,.T.); #19728=FACE_OUTER_BOUND('',#25073,.T.); #19729=FACE_OUTER_BOUND('',#25074,.T.); #19730=FACE_OUTER_BOUND('',#25075,.T.); #19731=FACE_OUTER_BOUND('',#25076,.T.); #19732=FACE_OUTER_BOUND('',#25077,.T.); #19733=FACE_OUTER_BOUND('',#25078,.T.); #19734=FACE_OUTER_BOUND('',#25079,.T.); #19735=FACE_OUTER_BOUND('',#25080,.T.); #19736=FACE_OUTER_BOUND('',#25081,.T.); #19737=FACE_OUTER_BOUND('',#25082,.T.); #19738=FACE_OUTER_BOUND('',#25083,.T.); #19739=FACE_OUTER_BOUND('',#25084,.T.); #19740=FACE_OUTER_BOUND('',#25085,.T.); #19741=FACE_OUTER_BOUND('',#25086,.T.); #19742=FACE_OUTER_BOUND('',#25087,.T.); #19743=FACE_OUTER_BOUND('',#25088,.T.); #19744=FACE_OUTER_BOUND('',#25089,.T.); #19745=FACE_OUTER_BOUND('',#25090,.T.); #19746=FACE_OUTER_BOUND('',#25091,.T.); #19747=FACE_OUTER_BOUND('',#25092,.T.); #19748=FACE_OUTER_BOUND('',#25093,.T.); #19749=FACE_OUTER_BOUND('',#25094,.T.); #19750=FACE_OUTER_BOUND('',#25095,.T.); #19751=FACE_OUTER_BOUND('',#25096,.T.); #19752=FACE_OUTER_BOUND('',#25097,.T.); #19753=FACE_OUTER_BOUND('',#25098,.T.); #19754=FACE_OUTER_BOUND('',#25099,.T.); #19755=FACE_OUTER_BOUND('',#25100,.T.); #19756=FACE_OUTER_BOUND('',#25101,.T.); #19757=FACE_OUTER_BOUND('',#25104,.T.); #19758=FACE_OUTER_BOUND('',#25105,.T.); #19759=FACE_OUTER_BOUND('',#25106,.T.); #19760=FACE_OUTER_BOUND('',#25107,.T.); #19761=FACE_OUTER_BOUND('',#25108,.T.); #19762=FACE_OUTER_BOUND('',#25109,.T.); #19763=FACE_OUTER_BOUND('',#25110,.T.); #19764=FACE_OUTER_BOUND('',#25111,.T.); #19765=FACE_OUTER_BOUND('',#25112,.T.); #19766=FACE_OUTER_BOUND('',#25113,.T.); #19767=FACE_OUTER_BOUND('',#25114,.T.); #19768=FACE_OUTER_BOUND('',#25115,.T.); #19769=FACE_OUTER_BOUND('',#25116,.T.); #19770=FACE_OUTER_BOUND('',#25117,.T.); #19771=FACE_OUTER_BOUND('',#25118,.T.); #19772=FACE_OUTER_BOUND('',#25119,.T.); #19773=FACE_OUTER_BOUND('',#25120,.T.); #19774=FACE_OUTER_BOUND('',#25121,.T.); #19775=FACE_OUTER_BOUND('',#25122,.T.); #19776=FACE_OUTER_BOUND('',#25123,.T.); #19777=FACE_OUTER_BOUND('',#25124,.T.); #19778=FACE_OUTER_BOUND('',#25125,.T.); #19779=FACE_OUTER_BOUND('',#25126,.T.); #19780=FACE_OUTER_BOUND('',#25127,.T.); #19781=FACE_OUTER_BOUND('',#25128,.T.); #19782=FACE_OUTER_BOUND('',#25129,.T.); #19783=FACE_OUTER_BOUND('',#25130,.T.); #19784=FACE_OUTER_BOUND('',#25131,.T.); #19785=FACE_OUTER_BOUND('',#25132,.T.); #19786=FACE_OUTER_BOUND('',#25133,.T.); #19787=FACE_OUTER_BOUND('',#25134,.T.); #19788=FACE_OUTER_BOUND('',#25135,.T.); #19789=FACE_OUTER_BOUND('',#25136,.T.); #19790=FACE_OUTER_BOUND('',#25137,.T.); #19791=FACE_OUTER_BOUND('',#25138,.T.); #19792=FACE_OUTER_BOUND('',#25139,.T.); #19793=FACE_OUTER_BOUND('',#25140,.T.); #19794=FACE_OUTER_BOUND('',#25141,.T.); #19795=FACE_OUTER_BOUND('',#25142,.T.); #19796=FACE_OUTER_BOUND('',#25143,.T.); #19797=FACE_OUTER_BOUND('',#25144,.T.); #19798=FACE_OUTER_BOUND('',#25145,.T.); #19799=FACE_OUTER_BOUND('',#25146,.T.); #19800=FACE_OUTER_BOUND('',#25147,.T.); #19801=FACE_OUTER_BOUND('',#25148,.T.); #19802=FACE_OUTER_BOUND('',#25149,.T.); #19803=FACE_OUTER_BOUND('',#25150,.T.); #19804=FACE_OUTER_BOUND('',#25151,.T.); #19805=FACE_OUTER_BOUND('',#25152,.T.); #19806=FACE_OUTER_BOUND('',#25153,.T.); #19807=FACE_OUTER_BOUND('',#25154,.T.); #19808=FACE_OUTER_BOUND('',#25155,.T.); #19809=FACE_OUTER_BOUND('',#25156,.T.); #19810=FACE_OUTER_BOUND('',#25157,.T.); #19811=FACE_OUTER_BOUND('',#25158,.T.); #19812=FACE_OUTER_BOUND('',#25159,.T.); #19813=FACE_OUTER_BOUND('',#25160,.T.); #19814=FACE_OUTER_BOUND('',#25161,.T.); #19815=FACE_OUTER_BOUND('',#25162,.T.); #19816=FACE_OUTER_BOUND('',#25163,.T.); #19817=FACE_OUTER_BOUND('',#25164,.T.); #19818=FACE_OUTER_BOUND('',#25165,.T.); #19819=FACE_OUTER_BOUND('',#25166,.T.); #19820=FACE_OUTER_BOUND('',#25167,.T.); #19821=FACE_OUTER_BOUND('',#25168,.T.); #19822=FACE_OUTER_BOUND('',#25169,.T.); #19823=FACE_OUTER_BOUND('',#25170,.T.); #19824=FACE_OUTER_BOUND('',#25171,.T.); #19825=FACE_OUTER_BOUND('',#25172,.T.); #19826=FACE_OUTER_BOUND('',#25173,.T.); #19827=FACE_OUTER_BOUND('',#25174,.T.); #19828=FACE_OUTER_BOUND('',#25175,.T.); #19829=FACE_OUTER_BOUND('',#25176,.T.); #19830=FACE_OUTER_BOUND('',#25177,.T.); #19831=FACE_OUTER_BOUND('',#25178,.T.); #19832=FACE_OUTER_BOUND('',#25179,.T.); #19833=FACE_OUTER_BOUND('',#25180,.T.); #19834=FACE_OUTER_BOUND('',#25181,.T.); #19835=FACE_OUTER_BOUND('',#25182,.T.); #19836=FACE_OUTER_BOUND('',#25183,.T.); #19837=FACE_OUTER_BOUND('',#25184,.T.); #19838=FACE_OUTER_BOUND('',#25185,.T.); #19839=FACE_OUTER_BOUND('',#25186,.T.); #19840=FACE_OUTER_BOUND('',#25187,.T.); #19841=FACE_OUTER_BOUND('',#25188,.T.); #19842=FACE_OUTER_BOUND('',#25189,.T.); #19843=FACE_OUTER_BOUND('',#25190,.T.); #19844=FACE_OUTER_BOUND('',#25191,.T.); #19845=FACE_OUTER_BOUND('',#25192,.T.); #19846=FACE_OUTER_BOUND('',#25193,.T.); #19847=FACE_OUTER_BOUND('',#25194,.T.); #19848=FACE_OUTER_BOUND('',#25195,.T.); #19849=FACE_OUTER_BOUND('',#25196,.T.); #19850=FACE_OUTER_BOUND('',#25197,.T.); #19851=FACE_OUTER_BOUND('',#25198,.T.); #19852=FACE_OUTER_BOUND('',#25199,.T.); #19853=FACE_OUTER_BOUND('',#25200,.T.); #19854=FACE_OUTER_BOUND('',#25201,.T.); #19855=FACE_OUTER_BOUND('',#25202,.T.); #19856=FACE_OUTER_BOUND('',#25203,.T.); #19857=FACE_OUTER_BOUND('',#25204,.T.); #19858=FACE_OUTER_BOUND('',#25205,.T.); #19859=FACE_OUTER_BOUND('',#25206,.T.); #19860=FACE_OUTER_BOUND('',#25207,.T.); #19861=FACE_OUTER_BOUND('',#25208,.T.); #19862=FACE_OUTER_BOUND('',#25209,.T.); #19863=FACE_OUTER_BOUND('',#25210,.T.); #19864=FACE_OUTER_BOUND('',#25211,.T.); #19865=FACE_OUTER_BOUND('',#25212,.T.); #19866=FACE_OUTER_BOUND('',#25213,.T.); #19867=FACE_OUTER_BOUND('',#25214,.T.); #19868=FACE_OUTER_BOUND('',#25215,.T.); #19869=FACE_OUTER_BOUND('',#25216,.T.); #19870=FACE_OUTER_BOUND('',#25217,.T.); #19871=FACE_OUTER_BOUND('',#25218,.T.); #19872=FACE_OUTER_BOUND('',#25219,.T.); #19873=FACE_OUTER_BOUND('',#25220,.T.); #19874=FACE_OUTER_BOUND('',#25221,.T.); #19875=FACE_OUTER_BOUND('',#25222,.T.); #19876=FACE_OUTER_BOUND('',#25223,.T.); #19877=FACE_OUTER_BOUND('',#25224,.T.); #19878=FACE_OUTER_BOUND('',#25225,.T.); #19879=FACE_OUTER_BOUND('',#25226,.T.); #19880=FACE_OUTER_BOUND('',#25227,.T.); #19881=FACE_OUTER_BOUND('',#25228,.T.); #19882=FACE_OUTER_BOUND('',#25230,.T.); #19883=FACE_OUTER_BOUND('',#25231,.T.); #19884=FACE_OUTER_BOUND('',#25232,.T.); #19885=FACE_OUTER_BOUND('',#25233,.T.); #19886=FACE_OUTER_BOUND('',#25234,.T.); #19887=FACE_OUTER_BOUND('',#25235,.T.); #19888=FACE_OUTER_BOUND('',#25236,.T.); #19889=FACE_OUTER_BOUND('',#25237,.T.); #19890=FACE_OUTER_BOUND('',#25238,.T.); #19891=FACE_OUTER_BOUND('',#25239,.T.); #19892=FACE_OUTER_BOUND('',#25240,.T.); #19893=FACE_OUTER_BOUND('',#25241,.T.); #19894=FACE_OUTER_BOUND('',#25242,.T.); #19895=FACE_OUTER_BOUND('',#25243,.T.); #19896=FACE_OUTER_BOUND('',#25244,.T.); #19897=FACE_OUTER_BOUND('',#25245,.T.); #19898=FACE_OUTER_BOUND('',#25246,.T.); #19899=FACE_OUTER_BOUND('',#25247,.T.); #19900=FACE_OUTER_BOUND('',#25248,.T.); #19901=FACE_OUTER_BOUND('',#25250,.T.); #19902=FACE_OUTER_BOUND('',#25251,.T.); #19903=FACE_OUTER_BOUND('',#25252,.T.); #19904=FACE_OUTER_BOUND('',#25253,.T.); #19905=FACE_OUTER_BOUND('',#25254,.T.); #19906=FACE_OUTER_BOUND('',#25255,.T.); #19907=FACE_OUTER_BOUND('',#25256,.T.); #19908=FACE_OUTER_BOUND('',#25257,.T.); #19909=FACE_OUTER_BOUND('',#25258,.T.); #19910=FACE_OUTER_BOUND('',#25259,.T.); #19911=FACE_OUTER_BOUND('',#25260,.T.); #19912=FACE_OUTER_BOUND('',#25261,.T.); #19913=FACE_OUTER_BOUND('',#25262,.T.); #19914=FACE_OUTER_BOUND('',#25263,.T.); #19915=FACE_OUTER_BOUND('',#25264,.T.); #19916=FACE_OUTER_BOUND('',#25266,.T.); #19917=FACE_OUTER_BOUND('',#25267,.T.); #19918=FACE_OUTER_BOUND('',#25268,.T.); #19919=FACE_OUTER_BOUND('',#25269,.T.); #19920=FACE_OUTER_BOUND('',#25270,.T.); #19921=FACE_OUTER_BOUND('',#25271,.T.); #19922=FACE_OUTER_BOUND('',#25272,.T.); #19923=FACE_OUTER_BOUND('',#25273,.T.); #19924=FACE_OUTER_BOUND('',#25274,.T.); #19925=FACE_OUTER_BOUND('',#25275,.T.); #19926=FACE_OUTER_BOUND('',#25276,.T.); #19927=FACE_OUTER_BOUND('',#25277,.T.); #19928=FACE_OUTER_BOUND('',#25278,.T.); #19929=FACE_OUTER_BOUND('',#25279,.T.); #19930=FACE_OUTER_BOUND('',#25280,.T.); #19931=FACE_OUTER_BOUND('',#25281,.T.); #19932=FACE_OUTER_BOUND('',#25282,.T.); #19933=FACE_OUTER_BOUND('',#25283,.T.); #19934=FACE_OUTER_BOUND('',#25284,.T.); #19935=FACE_OUTER_BOUND('',#25285,.T.); #19936=FACE_OUTER_BOUND('',#25286,.T.); #19937=FACE_OUTER_BOUND('',#25287,.T.); #19938=FACE_OUTER_BOUND('',#25288,.T.); #19939=FACE_OUTER_BOUND('',#25289,.T.); #19940=FACE_OUTER_BOUND('',#25290,.T.); #19941=FACE_OUTER_BOUND('',#25291,.T.); #19942=FACE_OUTER_BOUND('',#25292,.T.); #19943=FACE_OUTER_BOUND('',#25293,.T.); #19944=FACE_OUTER_BOUND('',#25294,.T.); #19945=FACE_OUTER_BOUND('',#25295,.T.); #19946=FACE_OUTER_BOUND('',#25296,.T.); #19947=FACE_OUTER_BOUND('',#25297,.T.); #19948=FACE_OUTER_BOUND('',#25298,.T.); #19949=FACE_OUTER_BOUND('',#25299,.T.); #19950=FACE_OUTER_BOUND('',#25300,.T.); #19951=FACE_OUTER_BOUND('',#25301,.T.); #19952=FACE_OUTER_BOUND('',#25302,.T.); #19953=FACE_OUTER_BOUND('',#25303,.T.); #19954=FACE_OUTER_BOUND('',#25304,.T.); #19955=FACE_OUTER_BOUND('',#25305,.T.); #19956=FACE_OUTER_BOUND('',#25306,.T.); #19957=FACE_OUTER_BOUND('',#25307,.T.); #19958=FACE_OUTER_BOUND('',#25308,.T.); #19959=FACE_OUTER_BOUND('',#25309,.T.); #19960=FACE_OUTER_BOUND('',#25310,.T.); #19961=FACE_OUTER_BOUND('',#25311,.T.); #19962=FACE_OUTER_BOUND('',#25312,.T.); #19963=FACE_OUTER_BOUND('',#25313,.T.); #19964=FACE_OUTER_BOUND('',#25314,.T.); #19965=FACE_OUTER_BOUND('',#25315,.T.); #19966=FACE_OUTER_BOUND('',#25316,.T.); #19967=FACE_OUTER_BOUND('',#25317,.T.); #19968=FACE_OUTER_BOUND('',#25318,.T.); #19969=FACE_OUTER_BOUND('',#25319,.T.); #19970=FACE_OUTER_BOUND('',#25320,.T.); #19971=FACE_OUTER_BOUND('',#25321,.T.); #19972=FACE_OUTER_BOUND('',#25322,.T.); #19973=FACE_OUTER_BOUND('',#25323,.T.); #19974=FACE_OUTER_BOUND('',#25324,.T.); #19975=FACE_OUTER_BOUND('',#25325,.T.); #19976=FACE_OUTER_BOUND('',#25326,.T.); #19977=FACE_OUTER_BOUND('',#25327,.T.); #19978=FACE_OUTER_BOUND('',#25328,.T.); #19979=FACE_OUTER_BOUND('',#25329,.T.); #19980=FACE_OUTER_BOUND('',#25330,.T.); #19981=FACE_OUTER_BOUND('',#25331,.T.); #19982=FACE_OUTER_BOUND('',#25332,.T.); #19983=FACE_OUTER_BOUND('',#25333,.T.); #19984=FACE_OUTER_BOUND('',#25334,.T.); #19985=FACE_OUTER_BOUND('',#25335,.T.); #19986=FACE_OUTER_BOUND('',#25336,.T.); #19987=FACE_OUTER_BOUND('',#25337,.T.); #19988=FACE_OUTER_BOUND('',#25338,.T.); #19989=FACE_OUTER_BOUND('',#25339,.T.); #19990=FACE_OUTER_BOUND('',#25341,.T.); #19991=FACE_OUTER_BOUND('',#25342,.T.); #19992=FACE_OUTER_BOUND('',#25343,.T.); #19993=FACE_OUTER_BOUND('',#25344,.T.); #19994=FACE_OUTER_BOUND('',#25345,.T.); #19995=FACE_OUTER_BOUND('',#25346,.T.); #19996=FACE_OUTER_BOUND('',#25347,.T.); #19997=FACE_OUTER_BOUND('',#25348,.T.); #19998=FACE_OUTER_BOUND('',#25349,.T.); #19999=FACE_OUTER_BOUND('',#25350,.T.); #20000=FACE_OUTER_BOUND('',#25351,.T.); #20001=FACE_OUTER_BOUND('',#25352,.T.); #20002=FACE_OUTER_BOUND('',#25354,.T.); #20003=FACE_OUTER_BOUND('',#25355,.T.); #20004=FACE_OUTER_BOUND('',#25356,.T.); #20005=FACE_OUTER_BOUND('',#25357,.T.); #20006=FACE_OUTER_BOUND('',#25358,.T.); #20007=FACE_OUTER_BOUND('',#25359,.T.); #20008=FACE_OUTER_BOUND('',#25360,.T.); #20009=FACE_OUTER_BOUND('',#25361,.T.); #20010=FACE_OUTER_BOUND('',#25362,.T.); #20011=FACE_OUTER_BOUND('',#25363,.T.); #20012=FACE_OUTER_BOUND('',#25364,.T.); #20013=FACE_OUTER_BOUND('',#25365,.T.); #20014=FACE_OUTER_BOUND('',#25366,.T.); #20015=FACE_OUTER_BOUND('',#25367,.T.); #20016=FACE_OUTER_BOUND('',#25368,.T.); #20017=FACE_OUTER_BOUND('',#25369,.T.); #20018=FACE_OUTER_BOUND('',#25370,.T.); #20019=FACE_OUTER_BOUND('',#25371,.T.); #20020=FACE_OUTER_BOUND('',#25372,.T.); #20021=FACE_OUTER_BOUND('',#25373,.T.); #20022=FACE_OUTER_BOUND('',#25374,.T.); #20023=FACE_OUTER_BOUND('',#25375,.T.); #20024=FACE_OUTER_BOUND('',#25376,.T.); #20025=FACE_OUTER_BOUND('',#25377,.T.); #20026=FACE_OUTER_BOUND('',#25378,.T.); #20027=FACE_OUTER_BOUND('',#25379,.T.); #20028=FACE_OUTER_BOUND('',#25381,.T.); #20029=FACE_OUTER_BOUND('',#25382,.T.); #20030=FACE_OUTER_BOUND('',#25383,.T.); #20031=FACE_OUTER_BOUND('',#25384,.T.); #20032=FACE_OUTER_BOUND('',#25385,.T.); #20033=FACE_OUTER_BOUND('',#25386,.T.); #20034=FACE_OUTER_BOUND('',#25387,.T.); #20035=FACE_OUTER_BOUND('',#25388,.T.); #20036=FACE_OUTER_BOUND('',#25389,.T.); #20037=FACE_OUTER_BOUND('',#25390,.T.); #20038=FACE_OUTER_BOUND('',#25391,.T.); #20039=FACE_OUTER_BOUND('',#25392,.T.); #20040=FACE_OUTER_BOUND('',#25393,.T.); #20041=FACE_OUTER_BOUND('',#25394,.T.); #20042=FACE_OUTER_BOUND('',#25395,.T.); #20043=FACE_OUTER_BOUND('',#25396,.T.); #20044=FACE_OUTER_BOUND('',#25397,.T.); #20045=FACE_OUTER_BOUND('',#25398,.T.); #20046=FACE_OUTER_BOUND('',#25399,.T.); #20047=FACE_OUTER_BOUND('',#25400,.T.); #20048=FACE_OUTER_BOUND('',#25401,.T.); #20049=FACE_OUTER_BOUND('',#25402,.T.); #20050=FACE_OUTER_BOUND('',#25403,.T.); #20051=FACE_OUTER_BOUND('',#25404,.T.); #20052=FACE_OUTER_BOUND('',#25405,.T.); #20053=FACE_OUTER_BOUND('',#25406,.T.); #20054=FACE_OUTER_BOUND('',#25407,.T.); #20055=FACE_OUTER_BOUND('',#25408,.T.); #20056=FACE_OUTER_BOUND('',#25410,.T.); #20057=FACE_OUTER_BOUND('',#25411,.T.); #20058=FACE_OUTER_BOUND('',#25412,.T.); #20059=FACE_OUTER_BOUND('',#25413,.T.); #20060=FACE_OUTER_BOUND('',#25414,.T.); #20061=FACE_OUTER_BOUND('',#25415,.T.); #20062=FACE_OUTER_BOUND('',#25462,.T.); #20063=FACE_OUTER_BOUND('',#25463,.T.); #20064=FACE_OUTER_BOUND('',#25464,.T.); #20065=FACE_OUTER_BOUND('',#25465,.T.); #20066=FACE_OUTER_BOUND('',#25466,.T.); #20067=FACE_OUTER_BOUND('',#25467,.T.); #20068=FACE_OUTER_BOUND('',#25468,.T.); #20069=FACE_OUTER_BOUND('',#25472,.T.); #20070=FACE_OUTER_BOUND('',#25473,.T.); #20071=FACE_OUTER_BOUND('',#25475,.T.); #20072=FACE_OUTER_BOUND('',#25477,.T.); #20073=FACE_OUTER_BOUND('',#25478,.T.); #20074=FACE_OUTER_BOUND('',#25480,.T.); #20075=FACE_OUTER_BOUND('',#25481,.T.); #20076=FACE_OUTER_BOUND('',#25482,.T.); #20077=FACE_OUTER_BOUND('',#25484,.T.); #20078=FACE_OUTER_BOUND('',#25486,.T.); #20079=FACE_OUTER_BOUND('',#25488,.T.); #20080=FACE_OUTER_BOUND('',#25489,.T.); #20081=FACE_OUTER_BOUND('',#25491,.T.); #20082=FACE_OUTER_BOUND('',#25493,.T.); #20083=FACE_OUTER_BOUND('',#25495,.T.); #20084=FACE_OUTER_BOUND('',#25496,.T.); #20085=FACE_OUTER_BOUND('',#25499,.T.); #20086=FACE_OUTER_BOUND('',#25502,.T.); #20087=FACE_OUTER_BOUND('',#25505,.T.); #20088=FACE_OUTER_BOUND('',#25506,.T.); #20089=FACE_OUTER_BOUND('',#25507,.T.); #20090=FACE_OUTER_BOUND('',#25508,.T.); #20091=FACE_OUTER_BOUND('',#25509,.T.); #20092=FACE_OUTER_BOUND('',#25510,.T.); #20093=FACE_OUTER_BOUND('',#25511,.T.); #20094=FACE_OUTER_BOUND('',#25512,.T.); #20095=FACE_OUTER_BOUND('',#25513,.T.); #20096=FACE_OUTER_BOUND('',#25514,.T.); #20097=FACE_OUTER_BOUND('',#25515,.T.); #20098=FACE_OUTER_BOUND('',#25516,.T.); #20099=FACE_OUTER_BOUND('',#25519,.T.); #20100=FACE_OUTER_BOUND('',#25520,.T.); #20101=FACE_OUTER_BOUND('',#25521,.T.); #20102=FACE_OUTER_BOUND('',#25522,.T.); #20103=FACE_OUTER_BOUND('',#25523,.T.); #20104=FACE_OUTER_BOUND('',#25524,.T.); #20105=FACE_OUTER_BOUND('',#25525,.T.); #20106=FACE_OUTER_BOUND('',#25526,.T.); #20107=FACE_OUTER_BOUND('',#25527,.T.); #20108=FACE_OUTER_BOUND('',#25528,.T.); #20109=FACE_OUTER_BOUND('',#25529,.T.); #20110=FACE_OUTER_BOUND('',#25530,.T.); #20111=FACE_OUTER_BOUND('',#25531,.T.); #20112=FACE_OUTER_BOUND('',#25532,.T.); #20113=FACE_OUTER_BOUND('',#25533,.T.); #20114=FACE_OUTER_BOUND('',#25534,.T.); #20115=FACE_OUTER_BOUND('',#25535,.T.); #20116=FACE_OUTER_BOUND('',#25536,.T.); #20117=FACE_OUTER_BOUND('',#25537,.T.); #20118=FACE_OUTER_BOUND('',#25538,.T.); #20119=FACE_OUTER_BOUND('',#25539,.T.); #20120=FACE_OUTER_BOUND('',#25540,.T.); #20121=FACE_OUTER_BOUND('',#25541,.T.); #20122=FACE_OUTER_BOUND('',#25542,.T.); #20123=FACE_OUTER_BOUND('',#25543,.T.); #20124=FACE_OUTER_BOUND('',#25544,.T.); #20125=FACE_OUTER_BOUND('',#25545,.T.); #20126=FACE_OUTER_BOUND('',#25546,.T.); #20127=FACE_OUTER_BOUND('',#25547,.T.); #20128=FACE_OUTER_BOUND('',#25548,.T.); #20129=FACE_OUTER_BOUND('',#25549,.T.); #20130=FACE_OUTER_BOUND('',#25550,.T.); #20131=FACE_OUTER_BOUND('',#25551,.T.); #20132=FACE_OUTER_BOUND('',#25552,.T.); #20133=FACE_OUTER_BOUND('',#25553,.T.); #20134=FACE_OUTER_BOUND('',#25554,.T.); #20135=FACE_OUTER_BOUND('',#25555,.T.); #20136=FACE_OUTER_BOUND('',#25556,.T.); #20137=FACE_OUTER_BOUND('',#25557,.T.); #20138=FACE_OUTER_BOUND('',#25558,.T.); #20139=FACE_OUTER_BOUND('',#25559,.T.); #20140=FACE_OUTER_BOUND('',#25560,.T.); #20141=FACE_OUTER_BOUND('',#25561,.T.); #20142=FACE_OUTER_BOUND('',#25562,.T.); #20143=FACE_OUTER_BOUND('',#25563,.T.); #20144=FACE_OUTER_BOUND('',#25564,.T.); #20145=FACE_OUTER_BOUND('',#25565,.T.); #20146=FACE_OUTER_BOUND('',#25566,.T.); #20147=FACE_OUTER_BOUND('',#25567,.T.); #20148=FACE_OUTER_BOUND('',#25568,.T.); #20149=FACE_OUTER_BOUND('',#25569,.T.); #20150=FACE_OUTER_BOUND('',#25570,.T.); #20151=FACE_OUTER_BOUND('',#25571,.T.); #20152=FACE_OUTER_BOUND('',#25572,.T.); #20153=FACE_OUTER_BOUND('',#25573,.T.); #20154=FACE_OUTER_BOUND('',#25574,.T.); #20155=FACE_OUTER_BOUND('',#25575,.T.); #20156=FACE_OUTER_BOUND('',#25576,.T.); #20157=FACE_OUTER_BOUND('',#25577,.T.); #20158=FACE_OUTER_BOUND('',#25578,.T.); #20159=FACE_OUTER_BOUND('',#25579,.T.); #20160=FACE_OUTER_BOUND('',#25580,.T.); #20161=FACE_OUTER_BOUND('',#25581,.T.); #20162=FACE_OUTER_BOUND('',#25582,.T.); #20163=FACE_OUTER_BOUND('',#25583,.T.); #20164=FACE_OUTER_BOUND('',#25584,.T.); #20165=FACE_OUTER_BOUND('',#25585,.T.); #20166=FACE_OUTER_BOUND('',#25586,.T.); #20167=FACE_OUTER_BOUND('',#25587,.T.); #20168=FACE_OUTER_BOUND('',#25588,.T.); #20169=FACE_OUTER_BOUND('',#25589,.T.); #20170=FACE_OUTER_BOUND('',#25590,.T.); #20171=FACE_OUTER_BOUND('',#25591,.T.); #20172=FACE_OUTER_BOUND('',#25592,.T.); #20173=FACE_OUTER_BOUND('',#25593,.T.); #20174=FACE_OUTER_BOUND('',#25594,.T.); #20175=FACE_OUTER_BOUND('',#25595,.T.); #20176=FACE_OUTER_BOUND('',#25596,.T.); #20177=FACE_OUTER_BOUND('',#25597,.T.); #20178=FACE_OUTER_BOUND('',#25598,.T.); #20179=FACE_OUTER_BOUND('',#25599,.T.); #20180=FACE_OUTER_BOUND('',#25600,.T.); #20181=FACE_OUTER_BOUND('',#25601,.T.); #20182=FACE_OUTER_BOUND('',#25602,.T.); #20183=FACE_OUTER_BOUND('',#25603,.T.); #20184=FACE_OUTER_BOUND('',#25604,.T.); #20185=FACE_OUTER_BOUND('',#25605,.T.); #20186=FACE_OUTER_BOUND('',#25606,.T.); #20187=FACE_OUTER_BOUND('',#25607,.T.); #20188=FACE_OUTER_BOUND('',#25608,.T.); #20189=FACE_OUTER_BOUND('',#25609,.T.); #20190=FACE_OUTER_BOUND('',#25610,.T.); #20191=FACE_OUTER_BOUND('',#25611,.T.); #20192=FACE_OUTER_BOUND('',#25612,.T.); #20193=FACE_OUTER_BOUND('',#25613,.T.); #20194=FACE_OUTER_BOUND('',#25614,.T.); #20195=FACE_OUTER_BOUND('',#25615,.T.); #20196=FACE_OUTER_BOUND('',#25616,.T.); #20197=FACE_OUTER_BOUND('',#25617,.T.); #20198=FACE_OUTER_BOUND('',#25618,.T.); #20199=FACE_OUTER_BOUND('',#25619,.T.); #20200=FACE_OUTER_BOUND('',#25620,.T.); #20201=FACE_OUTER_BOUND('',#25621,.T.); #20202=FACE_OUTER_BOUND('',#25622,.T.); #20203=FACE_OUTER_BOUND('',#25623,.T.); #20204=FACE_OUTER_BOUND('',#25624,.T.); #20205=FACE_OUTER_BOUND('',#25625,.T.); #20206=FACE_OUTER_BOUND('',#25626,.T.); #20207=FACE_OUTER_BOUND('',#25627,.T.); #20208=FACE_OUTER_BOUND('',#25628,.T.); #20209=FACE_OUTER_BOUND('',#25629,.T.); #20210=FACE_OUTER_BOUND('',#25630,.T.); #20211=FACE_OUTER_BOUND('',#25631,.T.); #20212=FACE_OUTER_BOUND('',#25632,.T.); #20213=FACE_OUTER_BOUND('',#25633,.T.); #20214=FACE_OUTER_BOUND('',#25634,.T.); #20215=FACE_OUTER_BOUND('',#25635,.T.); #20216=FACE_OUTER_BOUND('',#25636,.T.); #20217=FACE_OUTER_BOUND('',#25637,.T.); #20218=FACE_OUTER_BOUND('',#25638,.T.); #20219=FACE_OUTER_BOUND('',#25639,.T.); #20220=FACE_OUTER_BOUND('',#25640,.T.); #20221=FACE_OUTER_BOUND('',#25641,.T.); #20222=FACE_OUTER_BOUND('',#25642,.T.); #20223=FACE_OUTER_BOUND('',#25643,.T.); #20224=FACE_OUTER_BOUND('',#25644,.T.); #20225=FACE_OUTER_BOUND('',#25645,.T.); #20226=FACE_OUTER_BOUND('',#25646,.T.); #20227=FACE_OUTER_BOUND('',#25647,.T.); #20228=FACE_OUTER_BOUND('',#25648,.T.); #20229=FACE_OUTER_BOUND('',#25649,.T.); #20230=FACE_OUTER_BOUND('',#25650,.T.); #20231=FACE_OUTER_BOUND('',#25651,.T.); #20232=FACE_OUTER_BOUND('',#25652,.T.); #20233=FACE_OUTER_BOUND('',#25653,.T.); #20234=FACE_OUTER_BOUND('',#25654,.T.); #20235=FACE_OUTER_BOUND('',#25655,.T.); #20236=FACE_OUTER_BOUND('',#25656,.T.); #20237=FACE_OUTER_BOUND('',#25657,.T.); #20238=FACE_OUTER_BOUND('',#25658,.T.); #20239=FACE_OUTER_BOUND('',#25659,.T.); #20240=FACE_OUTER_BOUND('',#25660,.T.); #20241=FACE_OUTER_BOUND('',#25661,.T.); #20242=FACE_OUTER_BOUND('',#25662,.T.); #20243=FACE_OUTER_BOUND('',#25663,.T.); #20244=FACE_OUTER_BOUND('',#25664,.T.); #20245=FACE_OUTER_BOUND('',#25665,.T.); #20246=FACE_OUTER_BOUND('',#25666,.T.); #20247=FACE_OUTER_BOUND('',#25667,.T.); #20248=FACE_OUTER_BOUND('',#25668,.T.); #20249=FACE_OUTER_BOUND('',#25669,.T.); #20250=FACE_OUTER_BOUND('',#25670,.T.); #20251=FACE_OUTER_BOUND('',#25671,.T.); #20252=FACE_OUTER_BOUND('',#25672,.T.); #20253=FACE_OUTER_BOUND('',#25673,.T.); #20254=FACE_OUTER_BOUND('',#25674,.T.); #20255=FACE_OUTER_BOUND('',#25675,.T.); #20256=FACE_OUTER_BOUND('',#25676,.T.); #20257=FACE_OUTER_BOUND('',#25677,.T.); #20258=FACE_OUTER_BOUND('',#25678,.T.); #20259=FACE_OUTER_BOUND('',#25679,.T.); #20260=FACE_OUTER_BOUND('',#25680,.T.); #20261=FACE_OUTER_BOUND('',#25681,.T.); #20262=FACE_OUTER_BOUND('',#25682,.T.); #20263=FACE_OUTER_BOUND('',#25683,.T.); #20264=FACE_OUTER_BOUND('',#25684,.T.); #20265=FACE_OUTER_BOUND('',#25685,.T.); #20266=FACE_OUTER_BOUND('',#25686,.T.); #20267=FACE_OUTER_BOUND('',#25687,.T.); #20268=FACE_OUTER_BOUND('',#25688,.T.); #20269=FACE_OUTER_BOUND('',#25689,.T.); #20270=FACE_OUTER_BOUND('',#25690,.T.); #20271=FACE_OUTER_BOUND('',#25691,.T.); #20272=FACE_OUTER_BOUND('',#25692,.T.); #20273=FACE_OUTER_BOUND('',#25693,.T.); #20274=FACE_OUTER_BOUND('',#25694,.T.); #20275=FACE_OUTER_BOUND('',#25695,.T.); #20276=FACE_OUTER_BOUND('',#25696,.T.); #20277=FACE_OUTER_BOUND('',#25697,.T.); #20278=FACE_OUTER_BOUND('',#25698,.T.); #20279=FACE_OUTER_BOUND('',#25699,.T.); #20280=FACE_OUTER_BOUND('',#25700,.T.); #20281=FACE_OUTER_BOUND('',#25701,.T.); #20282=FACE_OUTER_BOUND('',#25702,.T.); #20283=FACE_OUTER_BOUND('',#25703,.T.); #20284=FACE_OUTER_BOUND('',#25704,.T.); #20285=FACE_OUTER_BOUND('',#25705,.T.); #20286=FACE_OUTER_BOUND('',#25706,.T.); #20287=FACE_OUTER_BOUND('',#25707,.T.); #20288=FACE_OUTER_BOUND('',#25708,.T.); #20289=FACE_OUTER_BOUND('',#25709,.T.); #20290=FACE_OUTER_BOUND('',#25710,.T.); #20291=FACE_OUTER_BOUND('',#25711,.T.); #20292=FACE_OUTER_BOUND('',#25712,.T.); #20293=FACE_OUTER_BOUND('',#25713,.T.); #20294=FACE_OUTER_BOUND('',#25714,.T.); #20295=FACE_OUTER_BOUND('',#25715,.T.); #20296=FACE_OUTER_BOUND('',#25716,.T.); #20297=FACE_OUTER_BOUND('',#25717,.T.); #20298=FACE_OUTER_BOUND('',#25718,.T.); #20299=FACE_OUTER_BOUND('',#25719,.T.); #20300=FACE_OUTER_BOUND('',#25720,.T.); #20301=FACE_OUTER_BOUND('',#25721,.T.); #20302=FACE_OUTER_BOUND('',#25722,.T.); #20303=FACE_OUTER_BOUND('',#25723,.T.); #20304=FACE_OUTER_BOUND('',#25724,.T.); #20305=FACE_OUTER_BOUND('',#25725,.T.); #20306=FACE_OUTER_BOUND('',#25726,.T.); #20307=FACE_OUTER_BOUND('',#25727,.T.); #20308=FACE_OUTER_BOUND('',#25728,.T.); #20309=FACE_OUTER_BOUND('',#25729,.T.); #20310=FACE_OUTER_BOUND('',#25730,.T.); #20311=FACE_OUTER_BOUND('',#25731,.T.); #20312=FACE_OUTER_BOUND('',#25732,.T.); #20313=FACE_OUTER_BOUND('',#25733,.T.); #20314=FACE_OUTER_BOUND('',#25734,.T.); #20315=FACE_OUTER_BOUND('',#25735,.T.); #20316=FACE_OUTER_BOUND('',#25736,.T.); #20317=FACE_OUTER_BOUND('',#25737,.T.); #20318=FACE_OUTER_BOUND('',#25738,.T.); #20319=FACE_OUTER_BOUND('',#25739,.T.); #20320=FACE_OUTER_BOUND('',#25740,.T.); #20321=FACE_OUTER_BOUND('',#25741,.T.); #20322=FACE_OUTER_BOUND('',#25742,.T.); #20323=FACE_OUTER_BOUND('',#25743,.T.); #20324=FACE_OUTER_BOUND('',#25744,.T.); #20325=FACE_OUTER_BOUND('',#25745,.T.); #20326=FACE_OUTER_BOUND('',#25746,.T.); #20327=FACE_OUTER_BOUND('',#25747,.T.); #20328=FACE_OUTER_BOUND('',#25748,.T.); #20329=FACE_OUTER_BOUND('',#25749,.T.); #20330=FACE_OUTER_BOUND('',#25750,.T.); #20331=FACE_OUTER_BOUND('',#25751,.T.); #20332=FACE_OUTER_BOUND('',#25752,.T.); #20333=FACE_OUTER_BOUND('',#25753,.T.); #20334=FACE_OUTER_BOUND('',#25754,.T.); #20335=FACE_OUTER_BOUND('',#25755,.T.); #20336=FACE_OUTER_BOUND('',#25756,.T.); #20337=FACE_OUTER_BOUND('',#25757,.T.); #20338=FACE_OUTER_BOUND('',#25758,.T.); #20339=FACE_OUTER_BOUND('',#25759,.T.); #20340=FACE_OUTER_BOUND('',#25760,.T.); #20341=FACE_OUTER_BOUND('',#25761,.T.); #20342=FACE_OUTER_BOUND('',#25762,.T.); #20343=FACE_OUTER_BOUND('',#25763,.T.); #20344=FACE_OUTER_BOUND('',#25764,.T.); #20345=FACE_OUTER_BOUND('',#25765,.T.); #20346=FACE_OUTER_BOUND('',#25766,.T.); #20347=FACE_OUTER_BOUND('',#25767,.T.); #20348=FACE_OUTER_BOUND('',#25768,.T.); #20349=FACE_OUTER_BOUND('',#25769,.T.); #20350=FACE_OUTER_BOUND('',#25770,.T.); #20351=FACE_OUTER_BOUND('',#25771,.T.); #20352=FACE_OUTER_BOUND('',#25772,.T.); #20353=FACE_OUTER_BOUND('',#25773,.T.); #20354=FACE_OUTER_BOUND('',#25774,.T.); #20355=FACE_OUTER_BOUND('',#25775,.T.); #20356=FACE_OUTER_BOUND('',#25776,.T.); #20357=FACE_OUTER_BOUND('',#25777,.T.); #20358=FACE_OUTER_BOUND('',#25778,.T.); #20359=FACE_OUTER_BOUND('',#25779,.T.); #20360=FACE_OUTER_BOUND('',#25780,.T.); #20361=FACE_OUTER_BOUND('',#25781,.T.); #20362=FACE_OUTER_BOUND('',#25782,.T.); #20363=FACE_OUTER_BOUND('',#25783,.T.); #20364=FACE_OUTER_BOUND('',#25784,.T.); #20365=FACE_OUTER_BOUND('',#25785,.T.); #20366=FACE_OUTER_BOUND('',#25786,.T.); #20367=FACE_OUTER_BOUND('',#25787,.T.); #20368=FACE_OUTER_BOUND('',#25788,.T.); #20369=FACE_OUTER_BOUND('',#25789,.T.); #20370=FACE_OUTER_BOUND('',#25790,.T.); #20371=FACE_OUTER_BOUND('',#25791,.T.); #20372=FACE_OUTER_BOUND('',#25792,.T.); #20373=FACE_OUTER_BOUND('',#25793,.T.); #20374=FACE_OUTER_BOUND('',#25794,.T.); #20375=FACE_OUTER_BOUND('',#25795,.T.); #20376=FACE_OUTER_BOUND('',#25796,.T.); #20377=FACE_OUTER_BOUND('',#25797,.T.); #20378=FACE_OUTER_BOUND('',#25798,.T.); #20379=FACE_OUTER_BOUND('',#25799,.T.); #20380=FACE_OUTER_BOUND('',#25800,.T.); #20381=FACE_OUTER_BOUND('',#25801,.T.); #20382=FACE_OUTER_BOUND('',#25802,.T.); #20383=FACE_OUTER_BOUND('',#25803,.T.); #20384=FACE_OUTER_BOUND('',#25804,.T.); #20385=FACE_OUTER_BOUND('',#25805,.T.); #20386=FACE_OUTER_BOUND('',#25806,.T.); #20387=FACE_OUTER_BOUND('',#25807,.T.); #20388=FACE_OUTER_BOUND('',#25808,.T.); #20389=FACE_OUTER_BOUND('',#25809,.T.); #20390=FACE_OUTER_BOUND('',#25810,.T.); #20391=FACE_OUTER_BOUND('',#25811,.T.); #20392=FACE_OUTER_BOUND('',#25812,.T.); #20393=FACE_OUTER_BOUND('',#25813,.T.); #20394=FACE_OUTER_BOUND('',#25814,.T.); #20395=FACE_OUTER_BOUND('',#25815,.T.); #20396=FACE_OUTER_BOUND('',#25816,.T.); #20397=FACE_OUTER_BOUND('',#25817,.T.); #20398=FACE_OUTER_BOUND('',#25818,.T.); #20399=FACE_OUTER_BOUND('',#25819,.T.); #20400=FACE_OUTER_BOUND('',#25820,.T.); #20401=FACE_OUTER_BOUND('',#25821,.T.); #20402=FACE_OUTER_BOUND('',#25822,.T.); #20403=FACE_OUTER_BOUND('',#25823,.T.); #20404=FACE_OUTER_BOUND('',#25824,.T.); #20405=FACE_OUTER_BOUND('',#25825,.T.); #20406=FACE_OUTER_BOUND('',#25826,.T.); #20407=FACE_OUTER_BOUND('',#25827,.T.); #20408=FACE_OUTER_BOUND('',#25828,.T.); #20409=FACE_OUTER_BOUND('',#25829,.T.); #20410=FACE_OUTER_BOUND('',#25830,.T.); #20411=FACE_OUTER_BOUND('',#25831,.T.); #20412=FACE_OUTER_BOUND('',#25832,.T.); #20413=FACE_OUTER_BOUND('',#25833,.T.); #20414=FACE_OUTER_BOUND('',#25834,.T.); #20415=FACE_OUTER_BOUND('',#25835,.T.); #20416=FACE_OUTER_BOUND('',#25836,.T.); #20417=FACE_OUTER_BOUND('',#25837,.T.); #20418=FACE_OUTER_BOUND('',#25838,.T.); #20419=FACE_OUTER_BOUND('',#25839,.T.); #20420=FACE_OUTER_BOUND('',#25840,.T.); #20421=FACE_OUTER_BOUND('',#25841,.T.); #20422=FACE_OUTER_BOUND('',#25842,.T.); #20423=FACE_OUTER_BOUND('',#25843,.T.); #20424=FACE_OUTER_BOUND('',#25844,.T.); #20425=FACE_OUTER_BOUND('',#25845,.T.); #20426=FACE_OUTER_BOUND('',#25846,.T.); #20427=FACE_OUTER_BOUND('',#25847,.T.); #20428=FACE_OUTER_BOUND('',#25848,.T.); #20429=FACE_OUTER_BOUND('',#25849,.T.); #20430=FACE_OUTER_BOUND('',#25850,.T.); #20431=FACE_OUTER_BOUND('',#25851,.T.); #20432=FACE_OUTER_BOUND('',#25852,.T.); #20433=FACE_OUTER_BOUND('',#25853,.T.); #20434=FACE_OUTER_BOUND('',#25854,.T.); #20435=FACE_OUTER_BOUND('',#25855,.T.); #20436=FACE_OUTER_BOUND('',#25856,.T.); #20437=FACE_OUTER_BOUND('',#25857,.T.); #20438=FACE_OUTER_BOUND('',#25858,.T.); #20439=FACE_OUTER_BOUND('',#25859,.T.); #20440=FACE_OUTER_BOUND('',#25860,.T.); #20441=FACE_OUTER_BOUND('',#25861,.T.); #20442=FACE_OUTER_BOUND('',#25862,.T.); #20443=FACE_OUTER_BOUND('',#25863,.T.); #20444=FACE_OUTER_BOUND('',#25864,.T.); #20445=FACE_OUTER_BOUND('',#25865,.T.); #20446=FACE_OUTER_BOUND('',#25866,.T.); #20447=FACE_OUTER_BOUND('',#25867,.T.); #20448=FACE_OUTER_BOUND('',#25868,.T.); #20449=FACE_OUTER_BOUND('',#25869,.T.); #20450=FACE_OUTER_BOUND('',#25870,.T.); #20451=FACE_OUTER_BOUND('',#25871,.T.); #20452=FACE_OUTER_BOUND('',#25872,.T.); #20453=FACE_OUTER_BOUND('',#25873,.T.); #20454=FACE_OUTER_BOUND('',#25874,.T.); #20455=FACE_OUTER_BOUND('',#25875,.T.); #20456=FACE_OUTER_BOUND('',#25876,.T.); #20457=FACE_OUTER_BOUND('',#25877,.T.); #20458=FACE_OUTER_BOUND('',#25878,.T.); #20459=FACE_OUTER_BOUND('',#25879,.T.); #20460=FACE_OUTER_BOUND('',#25880,.T.); #20461=FACE_OUTER_BOUND('',#25881,.T.); #20462=FACE_OUTER_BOUND('',#25882,.T.); #20463=FACE_OUTER_BOUND('',#25883,.T.); #20464=FACE_OUTER_BOUND('',#25884,.T.); #20465=FACE_OUTER_BOUND('',#25885,.T.); #20466=FACE_OUTER_BOUND('',#25886,.T.); #20467=FACE_OUTER_BOUND('',#25887,.T.); #20468=FACE_OUTER_BOUND('',#25888,.T.); #20469=FACE_OUTER_BOUND('',#25889,.T.); #20470=FACE_OUTER_BOUND('',#25890,.T.); #20471=FACE_OUTER_BOUND('',#25891,.T.); #20472=FACE_OUTER_BOUND('',#25892,.T.); #20473=FACE_OUTER_BOUND('',#25893,.T.); #20474=FACE_OUTER_BOUND('',#25894,.T.); #20475=FACE_OUTER_BOUND('',#25895,.T.); #20476=FACE_OUTER_BOUND('',#25896,.T.); #20477=FACE_OUTER_BOUND('',#25897,.T.); #20478=FACE_OUTER_BOUND('',#25898,.T.); #20479=FACE_OUTER_BOUND('',#25899,.T.); #20480=FACE_OUTER_BOUND('',#25900,.T.); #20481=FACE_OUTER_BOUND('',#25901,.T.); #20482=FACE_OUTER_BOUND('',#25902,.T.); #20483=FACE_OUTER_BOUND('',#25903,.T.); #20484=FACE_OUTER_BOUND('',#25904,.T.); #20485=FACE_OUTER_BOUND('',#25905,.T.); #20486=FACE_OUTER_BOUND('',#25906,.T.); #20487=FACE_OUTER_BOUND('',#25907,.T.); #20488=FACE_OUTER_BOUND('',#25908,.T.); #20489=FACE_OUTER_BOUND('',#25909,.T.); #20490=FACE_OUTER_BOUND('',#25910,.T.); #20491=FACE_OUTER_BOUND('',#25911,.T.); #20492=FACE_OUTER_BOUND('',#25912,.T.); #20493=FACE_OUTER_BOUND('',#25913,.T.); #20494=FACE_OUTER_BOUND('',#25914,.T.); #20495=FACE_OUTER_BOUND('',#25915,.T.); #20496=FACE_OUTER_BOUND('',#25916,.T.); #20497=FACE_OUTER_BOUND('',#25917,.T.); #20498=FACE_OUTER_BOUND('',#25918,.T.); #20499=FACE_OUTER_BOUND('',#25919,.T.); #20500=FACE_OUTER_BOUND('',#25920,.T.); #20501=FACE_OUTER_BOUND('',#25921,.T.); #20502=FACE_OUTER_BOUND('',#25922,.T.); #20503=FACE_OUTER_BOUND('',#25923,.T.); #20504=FACE_OUTER_BOUND('',#25924,.T.); #20505=FACE_OUTER_BOUND('',#25925,.T.); #20506=FACE_OUTER_BOUND('',#25926,.T.); #20507=FACE_OUTER_BOUND('',#25927,.T.); #20508=FACE_OUTER_BOUND('',#25928,.T.); #20509=FACE_OUTER_BOUND('',#25929,.T.); #20510=FACE_OUTER_BOUND('',#25930,.T.); #20511=FACE_OUTER_BOUND('',#25931,.T.); #20512=FACE_OUTER_BOUND('',#25932,.T.); #20513=FACE_OUTER_BOUND('',#25933,.T.); #20514=FACE_OUTER_BOUND('',#25934,.T.); #20515=FACE_OUTER_BOUND('',#25935,.T.); #20516=FACE_OUTER_BOUND('',#25936,.T.); #20517=FACE_OUTER_BOUND('',#25937,.T.); #20518=FACE_OUTER_BOUND('',#25938,.T.); #20519=FACE_OUTER_BOUND('',#25939,.T.); #20520=FACE_OUTER_BOUND('',#25940,.T.); #20521=FACE_OUTER_BOUND('',#25941,.T.); #20522=FACE_OUTER_BOUND('',#25942,.T.); #20523=FACE_OUTER_BOUND('',#25943,.T.); #20524=FACE_OUTER_BOUND('',#25944,.T.); #20525=FACE_OUTER_BOUND('',#25945,.T.); #20526=FACE_OUTER_BOUND('',#25946,.T.); #20527=FACE_OUTER_BOUND('',#25947,.T.); #20528=FACE_OUTER_BOUND('',#25948,.T.); #20529=FACE_OUTER_BOUND('',#25949,.T.); #20530=FACE_OUTER_BOUND('',#25950,.T.); #20531=FACE_OUTER_BOUND('',#25951,.T.); #20532=FACE_OUTER_BOUND('',#25952,.T.); #20533=FACE_OUTER_BOUND('',#25953,.T.); #20534=FACE_OUTER_BOUND('',#25954,.T.); #20535=FACE_OUTER_BOUND('',#25955,.T.); #20536=FACE_OUTER_BOUND('',#25956,.T.); #20537=FACE_OUTER_BOUND('',#25957,.T.); #20538=FACE_OUTER_BOUND('',#25958,.T.); #20539=FACE_OUTER_BOUND('',#25959,.T.); #20540=FACE_OUTER_BOUND('',#25960,.T.); #20541=FACE_OUTER_BOUND('',#25961,.T.); #20542=FACE_OUTER_BOUND('',#25962,.T.); #20543=FACE_OUTER_BOUND('',#25963,.T.); #20544=FACE_OUTER_BOUND('',#25964,.T.); #20545=FACE_OUTER_BOUND('',#25965,.T.); #20546=FACE_OUTER_BOUND('',#25966,.T.); #20547=FACE_OUTER_BOUND('',#25967,.T.); #20548=FACE_OUTER_BOUND('',#25968,.T.); #20549=FACE_OUTER_BOUND('',#25969,.T.); #20550=FACE_OUTER_BOUND('',#25970,.T.); #20551=FACE_OUTER_BOUND('',#25971,.T.); #20552=FACE_OUTER_BOUND('',#25972,.T.); #20553=FACE_OUTER_BOUND('',#25973,.T.); #20554=FACE_OUTER_BOUND('',#25974,.T.); #20555=FACE_OUTER_BOUND('',#25975,.T.); #20556=FACE_OUTER_BOUND('',#25976,.T.); #20557=FACE_OUTER_BOUND('',#25977,.T.); #20558=FACE_OUTER_BOUND('',#25978,.T.); #20559=FACE_OUTER_BOUND('',#25979,.T.); #20560=FACE_OUTER_BOUND('',#25980,.T.); #20561=FACE_OUTER_BOUND('',#25981,.T.); #20562=FACE_OUTER_BOUND('',#25982,.T.); #20563=FACE_OUTER_BOUND('',#25983,.T.); #20564=FACE_OUTER_BOUND('',#25984,.T.); #20565=FACE_OUTER_BOUND('',#25985,.T.); #20566=FACE_OUTER_BOUND('',#25986,.T.); #20567=FACE_OUTER_BOUND('',#25987,.T.); #20568=FACE_OUTER_BOUND('',#25988,.T.); #20569=FACE_OUTER_BOUND('',#25989,.T.); #20570=FACE_OUTER_BOUND('',#25990,.T.); #20571=FACE_OUTER_BOUND('',#25991,.T.); #20572=FACE_OUTER_BOUND('',#25992,.T.); #20573=FACE_OUTER_BOUND('',#25993,.T.); #20574=FACE_OUTER_BOUND('',#25994,.T.); #20575=FACE_OUTER_BOUND('',#25995,.T.); #20576=FACE_OUTER_BOUND('',#25996,.T.); #20577=FACE_OUTER_BOUND('',#25997,.T.); #20578=FACE_OUTER_BOUND('',#25998,.T.); #20579=FACE_OUTER_BOUND('',#25999,.T.); #20580=FACE_OUTER_BOUND('',#26000,.T.); #20581=FACE_OUTER_BOUND('',#26001,.T.); #20582=FACE_OUTER_BOUND('',#26002,.T.); #20583=FACE_OUTER_BOUND('',#26003,.T.); #20584=FACE_OUTER_BOUND('',#26004,.T.); #20585=FACE_OUTER_BOUND('',#26005,.T.); #20586=FACE_OUTER_BOUND('',#26006,.T.); #20587=FACE_OUTER_BOUND('',#26007,.T.); #20588=FACE_OUTER_BOUND('',#26008,.T.); #20589=FACE_OUTER_BOUND('',#26009,.T.); #20590=FACE_OUTER_BOUND('',#26010,.T.); #20591=FACE_OUTER_BOUND('',#26011,.T.); #20592=FACE_OUTER_BOUND('',#26012,.T.); #20593=FACE_OUTER_BOUND('',#26013,.T.); #20594=FACE_OUTER_BOUND('',#26014,.T.); #20595=FACE_OUTER_BOUND('',#26015,.T.); #20596=FACE_OUTER_BOUND('',#26016,.T.); #20597=FACE_OUTER_BOUND('',#26017,.T.); #20598=FACE_OUTER_BOUND('',#26018,.T.); #20599=FACE_OUTER_BOUND('',#26019,.T.); #20600=FACE_OUTER_BOUND('',#26020,.T.); #20601=FACE_OUTER_BOUND('',#26021,.T.); #20602=FACE_OUTER_BOUND('',#26022,.T.); #20603=FACE_OUTER_BOUND('',#26023,.T.); #20604=FACE_OUTER_BOUND('',#26024,.T.); #20605=FACE_OUTER_BOUND('',#26025,.T.); #20606=FACE_OUTER_BOUND('',#26026,.T.); #20607=FACE_OUTER_BOUND('',#26027,.T.); #20608=FACE_OUTER_BOUND('',#26028,.T.); #20609=FACE_OUTER_BOUND('',#26029,.T.); #20610=FACE_OUTER_BOUND('',#26030,.T.); #20611=FACE_OUTER_BOUND('',#26031,.T.); #20612=FACE_OUTER_BOUND('',#26032,.T.); #20613=FACE_OUTER_BOUND('',#26033,.T.); #20614=FACE_OUTER_BOUND('',#26034,.T.); #20615=FACE_OUTER_BOUND('',#26035,.T.); #20616=FACE_OUTER_BOUND('',#26036,.T.); #20617=FACE_OUTER_BOUND('',#26037,.T.); #20618=FACE_OUTER_BOUND('',#26038,.T.); #20619=FACE_OUTER_BOUND('',#26039,.T.); #20620=FACE_OUTER_BOUND('',#26040,.T.); #20621=FACE_OUTER_BOUND('',#26041,.T.); #20622=FACE_OUTER_BOUND('',#26042,.T.); #20623=FACE_OUTER_BOUND('',#26043,.T.); #20624=FACE_OUTER_BOUND('',#26044,.T.); #20625=FACE_OUTER_BOUND('',#26045,.T.); #20626=FACE_OUTER_BOUND('',#26046,.T.); #20627=FACE_OUTER_BOUND('',#26047,.T.); #20628=FACE_OUTER_BOUND('',#26048,.T.); #20629=FACE_OUTER_BOUND('',#26049,.T.); #20630=FACE_OUTER_BOUND('',#26050,.T.); #20631=FACE_OUTER_BOUND('',#26051,.T.); #20632=FACE_OUTER_BOUND('',#26052,.T.); #20633=FACE_OUTER_BOUND('',#26053,.T.); #20634=FACE_OUTER_BOUND('',#26054,.T.); #20635=FACE_OUTER_BOUND('',#26055,.T.); #20636=FACE_OUTER_BOUND('',#26056,.T.); #20637=FACE_OUTER_BOUND('',#26057,.T.); #20638=FACE_OUTER_BOUND('',#26058,.T.); #20639=FACE_OUTER_BOUND('',#26059,.T.); #20640=FACE_OUTER_BOUND('',#26060,.T.); #20641=FACE_OUTER_BOUND('',#26061,.T.); #20642=FACE_OUTER_BOUND('',#26062,.T.); #20643=FACE_OUTER_BOUND('',#26063,.T.); #20644=FACE_OUTER_BOUND('',#26064,.T.); #20645=FACE_OUTER_BOUND('',#26065,.T.); #20646=FACE_OUTER_BOUND('',#26066,.T.); #20647=FACE_OUTER_BOUND('',#26067,.T.); #20648=FACE_OUTER_BOUND('',#26068,.T.); #20649=FACE_OUTER_BOUND('',#26069,.T.); #20650=FACE_OUTER_BOUND('',#26070,.T.); #20651=FACE_OUTER_BOUND('',#26071,.T.); #20652=FACE_OUTER_BOUND('',#26072,.T.); #20653=FACE_OUTER_BOUND('',#26073,.T.); #20654=FACE_OUTER_BOUND('',#26074,.T.); #20655=FACE_OUTER_BOUND('',#26075,.T.); #20656=FACE_OUTER_BOUND('',#26076,.T.); #20657=FACE_OUTER_BOUND('',#26077,.T.); #20658=FACE_OUTER_BOUND('',#26078,.T.); #20659=FACE_OUTER_BOUND('',#26079,.T.); #20660=FACE_OUTER_BOUND('',#26080,.T.); #20661=FACE_OUTER_BOUND('',#26081,.T.); #20662=FACE_OUTER_BOUND('',#26082,.T.); #20663=FACE_OUTER_BOUND('',#26083,.T.); #20664=FACE_OUTER_BOUND('',#26084,.T.); #20665=FACE_OUTER_BOUND('',#26085,.T.); #20666=FACE_OUTER_BOUND('',#26086,.T.); #20667=FACE_OUTER_BOUND('',#26087,.T.); #20668=FACE_OUTER_BOUND('',#26088,.T.); #20669=FACE_OUTER_BOUND('',#26089,.T.); #20670=FACE_OUTER_BOUND('',#26090,.T.); #20671=FACE_OUTER_BOUND('',#26091,.T.); #20672=FACE_OUTER_BOUND('',#26092,.T.); #20673=FACE_OUTER_BOUND('',#26093,.T.); #20674=FACE_OUTER_BOUND('',#26094,.T.); #20675=FACE_OUTER_BOUND('',#26095,.T.); #20676=FACE_OUTER_BOUND('',#26096,.T.); #20677=FACE_OUTER_BOUND('',#26097,.T.); #20678=FACE_OUTER_BOUND('',#26098,.T.); #20679=FACE_OUTER_BOUND('',#26099,.T.); #20680=FACE_OUTER_BOUND('',#26100,.T.); #20681=FACE_OUTER_BOUND('',#26101,.T.); #20682=FACE_OUTER_BOUND('',#26102,.T.); #20683=FACE_OUTER_BOUND('',#26103,.T.); #20684=FACE_OUTER_BOUND('',#26104,.T.); #20685=FACE_OUTER_BOUND('',#26105,.T.); #20686=FACE_OUTER_BOUND('',#26106,.T.); #20687=FACE_OUTER_BOUND('',#26107,.T.); #20688=FACE_OUTER_BOUND('',#26108,.T.); #20689=FACE_OUTER_BOUND('',#26109,.T.); #20690=FACE_OUTER_BOUND('',#26110,.T.); #20691=FACE_OUTER_BOUND('',#26111,.T.); #20692=FACE_OUTER_BOUND('',#26112,.T.); #20693=FACE_OUTER_BOUND('',#26113,.T.); #20694=FACE_OUTER_BOUND('',#26114,.T.); #20695=FACE_OUTER_BOUND('',#26115,.T.); #20696=FACE_OUTER_BOUND('',#26116,.T.); #20697=FACE_OUTER_BOUND('',#26117,.T.); #20698=FACE_OUTER_BOUND('',#26118,.T.); #20699=FACE_OUTER_BOUND('',#26119,.T.); #20700=FACE_OUTER_BOUND('',#26120,.T.); #20701=FACE_OUTER_BOUND('',#26121,.T.); #20702=FACE_OUTER_BOUND('',#26122,.T.); #20703=FACE_OUTER_BOUND('',#26123,.T.); #20704=FACE_OUTER_BOUND('',#26124,.T.); #20705=FACE_OUTER_BOUND('',#26125,.T.); #20706=FACE_OUTER_BOUND('',#26126,.T.); #20707=FACE_OUTER_BOUND('',#26127,.T.); #20708=FACE_OUTER_BOUND('',#26128,.T.); #20709=FACE_OUTER_BOUND('',#26129,.T.); #20710=FACE_OUTER_BOUND('',#26130,.T.); #20711=FACE_OUTER_BOUND('',#26131,.T.); #20712=FACE_OUTER_BOUND('',#26132,.T.); #20713=FACE_OUTER_BOUND('',#26133,.T.); #20714=FACE_OUTER_BOUND('',#26134,.T.); #20715=FACE_OUTER_BOUND('',#26135,.T.); #20716=FACE_OUTER_BOUND('',#26136,.T.); #20717=FACE_OUTER_BOUND('',#26137,.T.); #20718=FACE_OUTER_BOUND('',#26138,.T.); #20719=FACE_OUTER_BOUND('',#26139,.T.); #20720=FACE_OUTER_BOUND('',#26140,.T.); #20721=FACE_OUTER_BOUND('',#26141,.T.); #20722=FACE_OUTER_BOUND('',#26142,.T.); #20723=FACE_OUTER_BOUND('',#26143,.T.); #20724=FACE_OUTER_BOUND('',#26144,.T.); #20725=FACE_OUTER_BOUND('',#26145,.T.); #20726=FACE_OUTER_BOUND('',#26146,.T.); #20727=FACE_OUTER_BOUND('',#26147,.T.); #20728=FACE_OUTER_BOUND('',#26148,.T.); #20729=FACE_OUTER_BOUND('',#26149,.T.); #20730=FACE_OUTER_BOUND('',#26150,.T.); #20731=FACE_OUTER_BOUND('',#26151,.T.); #20732=FACE_OUTER_BOUND('',#26152,.T.); #20733=FACE_OUTER_BOUND('',#26153,.T.); #20734=FACE_OUTER_BOUND('',#26154,.T.); #20735=FACE_OUTER_BOUND('',#26155,.T.); #20736=FACE_OUTER_BOUND('',#26156,.T.); #20737=FACE_OUTER_BOUND('',#26157,.T.); #20738=FACE_OUTER_BOUND('',#26158,.T.); #20739=FACE_OUTER_BOUND('',#26159,.T.); #20740=FACE_OUTER_BOUND('',#26160,.T.); #20741=FACE_OUTER_BOUND('',#26161,.T.); #20742=FACE_OUTER_BOUND('',#26162,.T.); #20743=FACE_OUTER_BOUND('',#26163,.T.); #20744=FACE_OUTER_BOUND('',#26164,.T.); #20745=FACE_OUTER_BOUND('',#26165,.T.); #20746=FACE_OUTER_BOUND('',#26166,.T.); #20747=FACE_OUTER_BOUND('',#26167,.T.); #20748=FACE_OUTER_BOUND('',#26168,.T.); #20749=FACE_OUTER_BOUND('',#26169,.T.); #20750=FACE_OUTER_BOUND('',#26170,.T.); #20751=FACE_OUTER_BOUND('',#26171,.T.); #20752=FACE_OUTER_BOUND('',#26172,.T.); #20753=FACE_OUTER_BOUND('',#26173,.T.); #20754=FACE_OUTER_BOUND('',#26174,.T.); #20755=FACE_OUTER_BOUND('',#26175,.T.); #20756=FACE_OUTER_BOUND('',#26176,.T.); #20757=FACE_OUTER_BOUND('',#26177,.T.); #20758=FACE_OUTER_BOUND('',#26178,.T.); #20759=FACE_OUTER_BOUND('',#26179,.T.); #20760=FACE_OUTER_BOUND('',#26180,.T.); #20761=FACE_OUTER_BOUND('',#26181,.T.); #20762=FACE_OUTER_BOUND('',#26182,.T.); #20763=FACE_OUTER_BOUND('',#26183,.T.); #20764=FACE_OUTER_BOUND('',#26184,.T.); #20765=FACE_OUTER_BOUND('',#26185,.T.); #20766=FACE_OUTER_BOUND('',#26186,.T.); #20767=FACE_OUTER_BOUND('',#26187,.T.); #20768=FACE_OUTER_BOUND('',#26188,.T.); #20769=FACE_OUTER_BOUND('',#26189,.T.); #20770=FACE_OUTER_BOUND('',#26190,.T.); #20771=FACE_OUTER_BOUND('',#26191,.T.); #20772=FACE_OUTER_BOUND('',#26192,.T.); #20773=FACE_OUTER_BOUND('',#26193,.T.); #20774=FACE_OUTER_BOUND('',#26194,.T.); #20775=FACE_OUTER_BOUND('',#26195,.T.); #20776=FACE_OUTER_BOUND('',#26196,.T.); #20777=FACE_OUTER_BOUND('',#26197,.T.); #20778=FACE_OUTER_BOUND('',#26198,.T.); #20779=FACE_OUTER_BOUND('',#26199,.T.); #20780=FACE_OUTER_BOUND('',#26200,.T.); #20781=FACE_OUTER_BOUND('',#26201,.T.); #20782=FACE_OUTER_BOUND('',#26202,.T.); #20783=FACE_OUTER_BOUND('',#26203,.T.); #20784=FACE_OUTER_BOUND('',#26204,.T.); #20785=FACE_OUTER_BOUND('',#26205,.T.); #20786=FACE_OUTER_BOUND('',#26206,.T.); #20787=FACE_OUTER_BOUND('',#26207,.T.); #20788=FACE_OUTER_BOUND('',#26208,.T.); #20789=FACE_OUTER_BOUND('',#26209,.T.); #20790=FACE_OUTER_BOUND('',#26210,.T.); #20791=FACE_OUTER_BOUND('',#26211,.T.); #20792=FACE_OUTER_BOUND('',#26212,.T.); #20793=FACE_OUTER_BOUND('',#26213,.T.); #20794=FACE_OUTER_BOUND('',#26214,.T.); #20795=FACE_OUTER_BOUND('',#26215,.T.); #20796=FACE_OUTER_BOUND('',#26216,.T.); #20797=FACE_OUTER_BOUND('',#26217,.T.); #20798=FACE_OUTER_BOUND('',#26218,.T.); #20799=FACE_OUTER_BOUND('',#26219,.T.); #20800=FACE_OUTER_BOUND('',#26220,.T.); #20801=FACE_OUTER_BOUND('',#26221,.T.); #20802=FACE_OUTER_BOUND('',#26222,.T.); #20803=FACE_OUTER_BOUND('',#26223,.T.); #20804=FACE_OUTER_BOUND('',#26224,.T.); #20805=FACE_OUTER_BOUND('',#26225,.T.); #20806=FACE_OUTER_BOUND('',#26226,.T.); #20807=FACE_OUTER_BOUND('',#26227,.T.); #20808=FACE_OUTER_BOUND('',#26228,.T.); #20809=FACE_OUTER_BOUND('',#26229,.T.); #20810=FACE_OUTER_BOUND('',#26230,.T.); #20811=FACE_OUTER_BOUND('',#26231,.T.); #20812=FACE_OUTER_BOUND('',#26232,.T.); #20813=FACE_OUTER_BOUND('',#26233,.T.); #20814=FACE_OUTER_BOUND('',#26234,.T.); #20815=FACE_OUTER_BOUND('',#26235,.T.); #20816=FACE_OUTER_BOUND('',#26236,.T.); #20817=FACE_OUTER_BOUND('',#26237,.T.); #20818=FACE_OUTER_BOUND('',#26238,.T.); #20819=FACE_OUTER_BOUND('',#26239,.T.); #20820=FACE_OUTER_BOUND('',#26240,.T.); #20821=FACE_OUTER_BOUND('',#26241,.T.); #20822=FACE_OUTER_BOUND('',#26242,.T.); #20823=FACE_OUTER_BOUND('',#26243,.T.); #20824=FACE_OUTER_BOUND('',#26244,.T.); #20825=FACE_OUTER_BOUND('',#26245,.T.); #20826=FACE_OUTER_BOUND('',#26246,.T.); #20827=FACE_OUTER_BOUND('',#26247,.T.); #20828=FACE_OUTER_BOUND('',#26248,.T.); #20829=FACE_OUTER_BOUND('',#26249,.T.); #20830=FACE_OUTER_BOUND('',#26250,.T.); #20831=FACE_OUTER_BOUND('',#26251,.T.); #20832=FACE_OUTER_BOUND('',#26252,.T.); #20833=FACE_OUTER_BOUND('',#26253,.T.); #20834=FACE_OUTER_BOUND('',#26254,.T.); #20835=FACE_OUTER_BOUND('',#26255,.T.); #20836=FACE_OUTER_BOUND('',#26256,.T.); #20837=FACE_OUTER_BOUND('',#26257,.T.); #20838=FACE_OUTER_BOUND('',#26258,.T.); #20839=FACE_OUTER_BOUND('',#26259,.T.); #20840=FACE_OUTER_BOUND('',#26260,.T.); #20841=FACE_OUTER_BOUND('',#26261,.T.); #20842=FACE_OUTER_BOUND('',#26262,.T.); #20843=FACE_OUTER_BOUND('',#26263,.T.); #20844=FACE_OUTER_BOUND('',#26264,.T.); #20845=FACE_OUTER_BOUND('',#26265,.T.); #20846=FACE_OUTER_BOUND('',#26266,.T.); #20847=FACE_OUTER_BOUND('',#26267,.T.); #20848=FACE_OUTER_BOUND('',#26268,.T.); #20849=FACE_OUTER_BOUND('',#26269,.T.); #20850=FACE_OUTER_BOUND('',#26270,.T.); #20851=FACE_OUTER_BOUND('',#26271,.T.); #20852=FACE_OUTER_BOUND('',#26272,.T.); #20853=FACE_OUTER_BOUND('',#26273,.T.); #20854=FACE_OUTER_BOUND('',#26274,.T.); #20855=FACE_OUTER_BOUND('',#26275,.T.); #20856=FACE_OUTER_BOUND('',#26276,.T.); #20857=FACE_OUTER_BOUND('',#26277,.T.); #20858=FACE_OUTER_BOUND('',#26278,.T.); #20859=FACE_OUTER_BOUND('',#26279,.T.); #20860=FACE_OUTER_BOUND('',#26280,.T.); #20861=FACE_OUTER_BOUND('',#26281,.T.); #20862=FACE_OUTER_BOUND('',#26282,.T.); #20863=FACE_OUTER_BOUND('',#26283,.T.); #20864=FACE_OUTER_BOUND('',#26284,.T.); #20865=FACE_OUTER_BOUND('',#26285,.T.); #20866=FACE_OUTER_BOUND('',#26286,.T.); #20867=FACE_OUTER_BOUND('',#26287,.T.); #20868=FACE_OUTER_BOUND('',#26288,.T.); #20869=FACE_OUTER_BOUND('',#26289,.T.); #20870=FACE_OUTER_BOUND('',#26290,.T.); #20871=FACE_OUTER_BOUND('',#26291,.T.); #20872=FACE_OUTER_BOUND('',#26292,.T.); #20873=FACE_OUTER_BOUND('',#26293,.T.); #20874=FACE_OUTER_BOUND('',#26294,.T.); #20875=FACE_OUTER_BOUND('',#26295,.T.); #20876=FACE_OUTER_BOUND('',#26296,.T.); #20877=FACE_OUTER_BOUND('',#26297,.T.); #20878=FACE_OUTER_BOUND('',#26298,.T.); #20879=FACE_OUTER_BOUND('',#26299,.T.); #20880=FACE_OUTER_BOUND('',#26300,.T.); #20881=FACE_OUTER_BOUND('',#26301,.T.); #20882=FACE_OUTER_BOUND('',#26302,.T.); #20883=FACE_OUTER_BOUND('',#26303,.T.); #20884=FACE_OUTER_BOUND('',#26304,.T.); #20885=FACE_OUTER_BOUND('',#26305,.T.); #20886=FACE_OUTER_BOUND('',#26306,.T.); #20887=FACE_OUTER_BOUND('',#26307,.T.); #20888=FACE_OUTER_BOUND('',#26308,.T.); #20889=FACE_OUTER_BOUND('',#26309,.T.); #20890=FACE_OUTER_BOUND('',#26310,.T.); #20891=FACE_OUTER_BOUND('',#26311,.T.); #20892=FACE_OUTER_BOUND('',#26312,.T.); #20893=FACE_OUTER_BOUND('',#26313,.T.); #20894=FACE_OUTER_BOUND('',#26314,.T.); #20895=FACE_OUTER_BOUND('',#26315,.T.); #20896=FACE_OUTER_BOUND('',#26316,.T.); #20897=FACE_OUTER_BOUND('',#26317,.T.); #20898=FACE_OUTER_BOUND('',#26318,.T.); #20899=FACE_OUTER_BOUND('',#26319,.T.); #20900=FACE_OUTER_BOUND('',#26320,.T.); #20901=FACE_OUTER_BOUND('',#26321,.T.); #20902=FACE_OUTER_BOUND('',#26322,.T.); #20903=FACE_OUTER_BOUND('',#26323,.T.); #20904=FACE_OUTER_BOUND('',#26324,.T.); #20905=FACE_OUTER_BOUND('',#26325,.T.); #20906=FACE_OUTER_BOUND('',#26326,.T.); #20907=FACE_OUTER_BOUND('',#26327,.T.); #20908=FACE_OUTER_BOUND('',#26328,.T.); #20909=FACE_OUTER_BOUND('',#26329,.T.); #20910=FACE_OUTER_BOUND('',#26330,.T.); #20911=FACE_OUTER_BOUND('',#26331,.T.); #20912=FACE_OUTER_BOUND('',#26332,.T.); #20913=FACE_OUTER_BOUND('',#26333,.T.); #20914=FACE_OUTER_BOUND('',#26334,.T.); #20915=FACE_OUTER_BOUND('',#26335,.T.); #20916=FACE_OUTER_BOUND('',#26336,.T.); #20917=FACE_OUTER_BOUND('',#26337,.T.); #20918=FACE_OUTER_BOUND('',#26338,.T.); #20919=FACE_OUTER_BOUND('',#26339,.T.); #20920=FACE_OUTER_BOUND('',#26340,.T.); #20921=FACE_OUTER_BOUND('',#26341,.T.); #20922=FACE_OUTER_BOUND('',#26342,.T.); #20923=FACE_OUTER_BOUND('',#26343,.T.); #20924=FACE_OUTER_BOUND('',#26344,.T.); #20925=FACE_OUTER_BOUND('',#26345,.T.); #20926=FACE_OUTER_BOUND('',#26346,.T.); #20927=FACE_OUTER_BOUND('',#26347,.T.); #20928=FACE_OUTER_BOUND('',#26348,.T.); #20929=FACE_OUTER_BOUND('',#26350,.T.); #20930=FACE_OUTER_BOUND('',#26351,.T.); #20931=FACE_OUTER_BOUND('',#26352,.T.); #20932=FACE_OUTER_BOUND('',#26353,.T.); #20933=FACE_OUTER_BOUND('',#26354,.T.); #20934=FACE_OUTER_BOUND('',#26355,.T.); #20935=FACE_OUTER_BOUND('',#26356,.T.); #20936=FACE_OUTER_BOUND('',#26357,.T.); #20937=FACE_OUTER_BOUND('',#26358,.T.); #20938=FACE_OUTER_BOUND('',#26359,.T.); #20939=FACE_OUTER_BOUND('',#26360,.T.); #20940=FACE_OUTER_BOUND('',#26361,.T.); #20941=FACE_OUTER_BOUND('',#26362,.T.); #20942=FACE_OUTER_BOUND('',#26363,.T.); #20943=FACE_OUTER_BOUND('',#26364,.T.); #20944=FACE_OUTER_BOUND('',#26365,.T.); #20945=FACE_OUTER_BOUND('',#26366,.T.); #20946=FACE_OUTER_BOUND('',#26367,.T.); #20947=FACE_OUTER_BOUND('',#26368,.T.); #20948=FACE_OUTER_BOUND('',#26369,.T.); #20949=FACE_OUTER_BOUND('',#26370,.T.); #20950=FACE_OUTER_BOUND('',#26371,.T.); #20951=FACE_OUTER_BOUND('',#26372,.T.); #20952=FACE_OUTER_BOUND('',#26373,.T.); #20953=FACE_OUTER_BOUND('',#26374,.T.); #20954=FACE_OUTER_BOUND('',#26375,.T.); #20955=FACE_OUTER_BOUND('',#26376,.T.); #20956=FACE_OUTER_BOUND('',#26377,.T.); #20957=FACE_OUTER_BOUND('',#26378,.T.); #20958=FACE_OUTER_BOUND('',#26379,.T.); #20959=FACE_OUTER_BOUND('',#26380,.T.); #20960=FACE_OUTER_BOUND('',#26381,.T.); #20961=FACE_OUTER_BOUND('',#26382,.T.); #20962=FACE_OUTER_BOUND('',#26383,.T.); #20963=FACE_OUTER_BOUND('',#26384,.T.); #20964=FACE_OUTER_BOUND('',#26385,.T.); #20965=FACE_OUTER_BOUND('',#26386,.T.); #20966=FACE_OUTER_BOUND('',#26387,.T.); #20967=FACE_OUTER_BOUND('',#26388,.T.); #20968=FACE_OUTER_BOUND('',#26389,.T.); #20969=FACE_OUTER_BOUND('',#26390,.T.); #20970=FACE_OUTER_BOUND('',#26391,.T.); #20971=FACE_OUTER_BOUND('',#26392,.T.); #20972=FACE_OUTER_BOUND('',#26393,.T.); #20973=FACE_OUTER_BOUND('',#26394,.T.); #20974=FACE_OUTER_BOUND('',#26395,.T.); #20975=FACE_OUTER_BOUND('',#26396,.T.); #20976=FACE_OUTER_BOUND('',#26397,.T.); #20977=FACE_OUTER_BOUND('',#26398,.T.); #20978=FACE_OUTER_BOUND('',#26399,.T.); #20979=FACE_OUTER_BOUND('',#26400,.T.); #20980=FACE_OUTER_BOUND('',#26402,.T.); #20981=FACE_OUTER_BOUND('',#26403,.T.); #20982=FACE_OUTER_BOUND('',#26404,.T.); #20983=FACE_OUTER_BOUND('',#26406,.T.); #20984=FACE_OUTER_BOUND('',#26407,.T.); #20985=FACE_OUTER_BOUND('',#26408,.T.); #20986=FACE_OUTER_BOUND('',#26409,.T.); #20987=FACE_OUTER_BOUND('',#26410,.T.); #20988=FACE_OUTER_BOUND('',#26411,.T.); #20989=FACE_OUTER_BOUND('',#26412,.T.); #20990=FACE_OUTER_BOUND('',#26413,.T.); #20991=FACE_OUTER_BOUND('',#26414,.T.); #20992=FACE_OUTER_BOUND('',#26416,.T.); #20993=FACE_OUTER_BOUND('',#26417,.T.); #20994=FACE_OUTER_BOUND('',#26418,.T.); #20995=FACE_OUTER_BOUND('',#26419,.T.); #20996=FACE_OUTER_BOUND('',#26420,.T.); #20997=FACE_OUTER_BOUND('',#26421,.T.); #20998=FACE_OUTER_BOUND('',#26422,.T.); #20999=FACE_OUTER_BOUND('',#26423,.T.); #21000=FACE_OUTER_BOUND('',#26424,.T.); #21001=FACE_OUTER_BOUND('',#26426,.T.); #21002=FACE_OUTER_BOUND('',#26427,.T.); #21003=FACE_OUTER_BOUND('',#26428,.T.); #21004=FACE_OUTER_BOUND('',#26429,.T.); #21005=FACE_OUTER_BOUND('',#26430,.T.); #21006=FACE_OUTER_BOUND('',#26431,.T.); #21007=FACE_OUTER_BOUND('',#26432,.T.); #21008=FACE_OUTER_BOUND('',#26433,.T.); #21009=FACE_OUTER_BOUND('',#26434,.T.); #21010=FACE_OUTER_BOUND('',#26435,.T.); #21011=FACE_OUTER_BOUND('',#26436,.T.); #21012=FACE_OUTER_BOUND('',#26437,.T.); #21013=FACE_OUTER_BOUND('',#26438,.T.); #21014=FACE_OUTER_BOUND('',#26439,.T.); #21015=FACE_OUTER_BOUND('',#26440,.T.); #21016=FACE_OUTER_BOUND('',#26441,.T.); #21017=FACE_OUTER_BOUND('',#26442,.T.); #21018=FACE_OUTER_BOUND('',#26443,.T.); #21019=FACE_OUTER_BOUND('',#26444,.T.); #21020=FACE_OUTER_BOUND('',#26445,.T.); #21021=FACE_OUTER_BOUND('',#26446,.T.); #21022=FACE_OUTER_BOUND('',#26447,.T.); #21023=FACE_OUTER_BOUND('',#26448,.T.); #21024=FACE_OUTER_BOUND('',#26449,.T.); #21025=FACE_OUTER_BOUND('',#26450,.T.); #21026=FACE_OUTER_BOUND('',#26451,.T.); #21027=FACE_OUTER_BOUND('',#26452,.T.); #21028=FACE_OUTER_BOUND('',#26453,.T.); #21029=FACE_OUTER_BOUND('',#26454,.T.); #21030=FACE_OUTER_BOUND('',#26455,.T.); #21031=FACE_OUTER_BOUND('',#26456,.T.); #21032=FACE_OUTER_BOUND('',#26457,.T.); #21033=FACE_OUTER_BOUND('',#26458,.T.); #21034=FACE_OUTER_BOUND('',#26459,.T.); #21035=FACE_OUTER_BOUND('',#26460,.T.); #21036=FACE_OUTER_BOUND('',#26461,.T.); #21037=FACE_OUTER_BOUND('',#26462,.T.); #21038=FACE_OUTER_BOUND('',#26463,.T.); #21039=FACE_OUTER_BOUND('',#26464,.T.); #21040=FACE_OUTER_BOUND('',#26465,.T.); #21041=FACE_OUTER_BOUND('',#26466,.T.); #21042=FACE_OUTER_BOUND('',#26467,.T.); #21043=FACE_OUTER_BOUND('',#26468,.T.); #21044=FACE_OUTER_BOUND('',#26469,.T.); #21045=FACE_OUTER_BOUND('',#26470,.T.); #21046=FACE_OUTER_BOUND('',#26471,.T.); #21047=FACE_OUTER_BOUND('',#26472,.T.); #21048=FACE_OUTER_BOUND('',#26473,.T.); #21049=FACE_OUTER_BOUND('',#26474,.T.); #21050=FACE_OUTER_BOUND('',#26475,.T.); #21051=FACE_OUTER_BOUND('',#26476,.T.); #21052=FACE_OUTER_BOUND('',#26477,.T.); #21053=FACE_OUTER_BOUND('',#26478,.T.); #21054=FACE_OUTER_BOUND('',#26479,.T.); #21055=FACE_OUTER_BOUND('',#26480,.T.); #21056=FACE_OUTER_BOUND('',#26481,.T.); #21057=FACE_OUTER_BOUND('',#26482,.T.); #21058=FACE_OUTER_BOUND('',#26483,.T.); #21059=FACE_OUTER_BOUND('',#26484,.T.); #21060=FACE_OUTER_BOUND('',#26485,.T.); #21061=FACE_OUTER_BOUND('',#26486,.T.); #21062=FACE_OUTER_BOUND('',#26487,.T.); #21063=FACE_OUTER_BOUND('',#26488,.T.); #21064=FACE_OUTER_BOUND('',#26489,.T.); #21065=FACE_OUTER_BOUND('',#26490,.T.); #21066=FACE_OUTER_BOUND('',#26491,.T.); #21067=FACE_OUTER_BOUND('',#26492,.T.); #21068=FACE_OUTER_BOUND('',#26493,.T.); #21069=FACE_OUTER_BOUND('',#26494,.T.); #21070=FACE_OUTER_BOUND('',#26495,.T.); #21071=FACE_OUTER_BOUND('',#26496,.T.); #21072=FACE_OUTER_BOUND('',#26497,.T.); #21073=FACE_OUTER_BOUND('',#26498,.T.); #21074=FACE_OUTER_BOUND('',#26499,.T.); #21075=FACE_OUTER_BOUND('',#26500,.T.); #21076=FACE_OUTER_BOUND('',#26501,.T.); #21077=FACE_OUTER_BOUND('',#26502,.T.); #21078=FACE_OUTER_BOUND('',#26503,.T.); #21079=FACE_OUTER_BOUND('',#26504,.T.); #21080=FACE_OUTER_BOUND('',#26505,.T.); #21081=FACE_OUTER_BOUND('',#26506,.T.); #21082=FACE_OUTER_BOUND('',#26507,.T.); #21083=FACE_OUTER_BOUND('',#26508,.T.); #21084=FACE_OUTER_BOUND('',#26509,.T.); #21085=FACE_OUTER_BOUND('',#26510,.T.); #21086=FACE_OUTER_BOUND('',#26511,.T.); #21087=FACE_OUTER_BOUND('',#26512,.T.); #21088=FACE_OUTER_BOUND('',#26513,.T.); #21089=FACE_OUTER_BOUND('',#26514,.T.); #21090=FACE_OUTER_BOUND('',#26515,.T.); #21091=FACE_OUTER_BOUND('',#26516,.T.); #21092=FACE_OUTER_BOUND('',#26518,.T.); #21093=FACE_OUTER_BOUND('',#26519,.T.); #21094=FACE_OUTER_BOUND('',#26520,.T.); #21095=FACE_OUTER_BOUND('',#26521,.T.); #21096=FACE_OUTER_BOUND('',#26522,.T.); #21097=FACE_OUTER_BOUND('',#26523,.T.); #21098=FACE_OUTER_BOUND('',#26524,.T.); #21099=FACE_OUTER_BOUND('',#26525,.T.); #21100=FACE_OUTER_BOUND('',#26526,.T.); #21101=FACE_OUTER_BOUND('',#26527,.T.); #21102=FACE_OUTER_BOUND('',#26528,.T.); #21103=FACE_OUTER_BOUND('',#26529,.T.); #21104=FACE_OUTER_BOUND('',#26530,.T.); #21105=FACE_OUTER_BOUND('',#26531,.T.); #21106=FACE_OUTER_BOUND('',#26532,.T.); #21107=FACE_OUTER_BOUND('',#26533,.T.); #21108=FACE_OUTER_BOUND('',#26534,.T.); #21109=FACE_OUTER_BOUND('',#26535,.T.); #21110=FACE_OUTER_BOUND('',#26536,.T.); #21111=FACE_OUTER_BOUND('',#26537,.T.); #21112=FACE_OUTER_BOUND('',#26538,.T.); #21113=FACE_OUTER_BOUND('',#26539,.T.); #21114=FACE_OUTER_BOUND('',#26540,.T.); #21115=FACE_OUTER_BOUND('',#26541,.T.); #21116=FACE_OUTER_BOUND('',#26542,.T.); #21117=FACE_OUTER_BOUND('',#26543,.T.); #21118=FACE_OUTER_BOUND('',#26544,.T.); #21119=FACE_OUTER_BOUND('',#26545,.T.); #21120=FACE_OUTER_BOUND('',#26546,.T.); #21121=FACE_OUTER_BOUND('',#26547,.T.); #21122=FACE_OUTER_BOUND('',#26548,.T.); #21123=FACE_OUTER_BOUND('',#26549,.T.); #21124=FACE_OUTER_BOUND('',#26550,.T.); #21125=FACE_OUTER_BOUND('',#26551,.T.); #21126=FACE_OUTER_BOUND('',#26552,.T.); #21127=FACE_OUTER_BOUND('',#26553,.T.); #21128=FACE_OUTER_BOUND('',#26554,.T.); #21129=FACE_OUTER_BOUND('',#26555,.T.); #21130=FACE_OUTER_BOUND('',#26556,.T.); #21131=FACE_OUTER_BOUND('',#26557,.T.); #21132=FACE_OUTER_BOUND('',#26558,.T.); #21133=FACE_OUTER_BOUND('',#26559,.T.); #21134=FACE_OUTER_BOUND('',#26560,.T.); #21135=FACE_OUTER_BOUND('',#26561,.T.); #21136=FACE_OUTER_BOUND('',#26564,.T.); #21137=FACE_OUTER_BOUND('',#26565,.T.); #21138=FACE_OUTER_BOUND('',#26566,.T.); #21139=FACE_OUTER_BOUND('',#26567,.T.); #21140=FACE_OUTER_BOUND('',#26568,.T.); #21141=FACE_OUTER_BOUND('',#26569,.T.); #21142=FACE_OUTER_BOUND('',#26570,.T.); #21143=FACE_OUTER_BOUND('',#26571,.T.); #21144=FACE_OUTER_BOUND('',#26572,.T.); #21145=FACE_OUTER_BOUND('',#26573,.T.); #21146=FACE_OUTER_BOUND('',#26574,.T.); #21147=FACE_OUTER_BOUND('',#26575,.T.); #21148=FACE_OUTER_BOUND('',#26576,.T.); #21149=FACE_OUTER_BOUND('',#26577,.T.); #21150=FACE_OUTER_BOUND('',#26578,.T.); #21151=FACE_OUTER_BOUND('',#26579,.T.); #21152=FACE_OUTER_BOUND('',#26580,.T.); #21153=FACE_OUTER_BOUND('',#26581,.T.); #21154=FACE_OUTER_BOUND('',#26582,.T.); #21155=FACE_OUTER_BOUND('',#26583,.T.); #21156=FACE_OUTER_BOUND('',#26584,.T.); #21157=FACE_OUTER_BOUND('',#26585,.T.); #21158=FACE_OUTER_BOUND('',#26586,.T.); #21159=FACE_OUTER_BOUND('',#26587,.T.); #21160=FACE_OUTER_BOUND('',#26588,.T.); #21161=FACE_OUTER_BOUND('',#26589,.T.); #21162=FACE_OUTER_BOUND('',#26590,.T.); #21163=FACE_OUTER_BOUND('',#26591,.T.); #21164=FACE_OUTER_BOUND('',#26592,.T.); #21165=FACE_OUTER_BOUND('',#26593,.T.); #21166=FACE_OUTER_BOUND('',#26594,.T.); #21167=FACE_OUTER_BOUND('',#26595,.T.); #21168=FACE_OUTER_BOUND('',#26596,.T.); #21169=FACE_OUTER_BOUND('',#26597,.T.); #21170=FACE_OUTER_BOUND('',#26598,.T.); #21171=FACE_OUTER_BOUND('',#26599,.T.); #21172=FACE_OUTER_BOUND('',#26600,.T.); #21173=FACE_OUTER_BOUND('',#26601,.T.); #21174=FACE_OUTER_BOUND('',#26602,.T.); #21175=FACE_OUTER_BOUND('',#26603,.T.); #21176=FACE_OUTER_BOUND('',#26604,.T.); #21177=FACE_OUTER_BOUND('',#26605,.T.); #21178=FACE_OUTER_BOUND('',#26606,.T.); #21179=FACE_OUTER_BOUND('',#26607,.T.); #21180=FACE_OUTER_BOUND('',#26608,.T.); #21181=FACE_OUTER_BOUND('',#26609,.T.); #21182=FACE_OUTER_BOUND('',#26610,.T.); #21183=FACE_OUTER_BOUND('',#26611,.T.); #21184=FACE_OUTER_BOUND('',#26612,.T.); #21185=FACE_OUTER_BOUND('',#26613,.T.); #21186=FACE_OUTER_BOUND('',#26614,.T.); #21187=FACE_OUTER_BOUND('',#26615,.T.); #21188=FACE_OUTER_BOUND('',#26616,.T.); #21189=FACE_OUTER_BOUND('',#26617,.T.); #21190=FACE_OUTER_BOUND('',#26618,.T.); #21191=FACE_OUTER_BOUND('',#26619,.T.); #21192=FACE_OUTER_BOUND('',#26620,.T.); #21193=FACE_OUTER_BOUND('',#26621,.T.); #21194=FACE_OUTER_BOUND('',#26622,.T.); #21195=FACE_OUTER_BOUND('',#26623,.T.); #21196=FACE_OUTER_BOUND('',#26624,.T.); #21197=FACE_OUTER_BOUND('',#26625,.T.); #21198=FACE_OUTER_BOUND('',#26626,.T.); #21199=FACE_OUTER_BOUND('',#26627,.T.); #21200=FACE_OUTER_BOUND('',#26628,.T.); #21201=FACE_OUTER_BOUND('',#26629,.T.); #21202=FACE_OUTER_BOUND('',#26630,.T.); #21203=FACE_OUTER_BOUND('',#26631,.T.); #21204=FACE_OUTER_BOUND('',#26632,.T.); #21205=FACE_OUTER_BOUND('',#26633,.T.); #21206=FACE_OUTER_BOUND('',#26634,.T.); #21207=FACE_OUTER_BOUND('',#26635,.T.); #21208=FACE_OUTER_BOUND('',#26636,.T.); #21209=FACE_OUTER_BOUND('',#26637,.T.); #21210=FACE_OUTER_BOUND('',#26638,.T.); #21211=FACE_OUTER_BOUND('',#26639,.T.); #21212=FACE_OUTER_BOUND('',#26640,.T.); #21213=FACE_OUTER_BOUND('',#26641,.T.); #21214=FACE_OUTER_BOUND('',#26642,.T.); #21215=FACE_OUTER_BOUND('',#26643,.T.); #21216=FACE_OUTER_BOUND('',#26644,.T.); #21217=FACE_OUTER_BOUND('',#26645,.T.); #21218=FACE_OUTER_BOUND('',#26646,.T.); #21219=FACE_OUTER_BOUND('',#26647,.T.); #21220=FACE_OUTER_BOUND('',#26648,.T.); #21221=FACE_OUTER_BOUND('',#26649,.T.); #21222=FACE_OUTER_BOUND('',#26650,.T.); #21223=FACE_OUTER_BOUND('',#26651,.T.); #21224=FACE_OUTER_BOUND('',#26652,.T.); #21225=FACE_OUTER_BOUND('',#26653,.T.); #21226=FACE_OUTER_BOUND('',#26654,.T.); #21227=FACE_OUTER_BOUND('',#26655,.T.); #21228=FACE_OUTER_BOUND('',#26656,.T.); #21229=FACE_OUTER_BOUND('',#26657,.T.); #21230=FACE_OUTER_BOUND('',#26658,.T.); #21231=FACE_OUTER_BOUND('',#26659,.T.); #21232=FACE_OUTER_BOUND('',#26660,.T.); #21233=FACE_OUTER_BOUND('',#26661,.T.); #21234=FACE_OUTER_BOUND('',#26662,.T.); #21235=FACE_OUTER_BOUND('',#26663,.T.); #21236=FACE_OUTER_BOUND('',#26664,.T.); #21237=FACE_OUTER_BOUND('',#26665,.T.); #21238=FACE_OUTER_BOUND('',#26666,.T.); #21239=FACE_OUTER_BOUND('',#26667,.T.); #21240=FACE_OUTER_BOUND('',#26668,.T.); #21241=FACE_OUTER_BOUND('',#26669,.T.); #21242=FACE_OUTER_BOUND('',#26670,.T.); #21243=FACE_OUTER_BOUND('',#26671,.T.); #21244=FACE_OUTER_BOUND('',#26672,.T.); #21245=FACE_OUTER_BOUND('',#26673,.T.); #21246=FACE_OUTER_BOUND('',#26674,.T.); #21247=FACE_OUTER_BOUND('',#26675,.T.); #21248=FACE_OUTER_BOUND('',#26676,.T.); #21249=FACE_OUTER_BOUND('',#26677,.T.); #21250=FACE_OUTER_BOUND('',#26678,.T.); #21251=FACE_OUTER_BOUND('',#26679,.T.); #21252=FACE_OUTER_BOUND('',#26680,.T.); #21253=FACE_OUTER_BOUND('',#26681,.T.); #21254=FACE_OUTER_BOUND('',#26682,.T.); #21255=FACE_OUTER_BOUND('',#26683,.T.); #21256=FACE_OUTER_BOUND('',#26684,.T.); #21257=FACE_OUTER_BOUND('',#26685,.T.); #21258=FACE_OUTER_BOUND('',#26686,.T.); #21259=FACE_OUTER_BOUND('',#26687,.T.); #21260=FACE_OUTER_BOUND('',#26688,.T.); #21261=FACE_OUTER_BOUND('',#26689,.T.); #21262=FACE_OUTER_BOUND('',#26690,.T.); #21263=FACE_OUTER_BOUND('',#26691,.T.); #21264=FACE_OUTER_BOUND('',#26692,.T.); #21265=FACE_OUTER_BOUND('',#26693,.T.); #21266=FACE_OUTER_BOUND('',#26694,.T.); #21267=FACE_OUTER_BOUND('',#26695,.T.); #21268=FACE_OUTER_BOUND('',#26696,.T.); #21269=FACE_OUTER_BOUND('',#26697,.T.); #21270=FACE_OUTER_BOUND('',#26698,.T.); #21271=FACE_OUTER_BOUND('',#26699,.T.); #21272=FACE_OUTER_BOUND('',#26700,.T.); #21273=FACE_OUTER_BOUND('',#26701,.T.); #21274=FACE_OUTER_BOUND('',#26702,.T.); #21275=FACE_OUTER_BOUND('',#26703,.T.); #21276=FACE_OUTER_BOUND('',#26704,.T.); #21277=FACE_OUTER_BOUND('',#26705,.T.); #21278=FACE_OUTER_BOUND('',#26706,.T.); #21279=FACE_OUTER_BOUND('',#26707,.T.); #21280=FACE_OUTER_BOUND('',#26708,.T.); #21281=FACE_OUTER_BOUND('',#26709,.T.); #21282=FACE_OUTER_BOUND('',#26710,.T.); #21283=FACE_OUTER_BOUND('',#26711,.T.); #21284=FACE_OUTER_BOUND('',#26712,.T.); #21285=FACE_OUTER_BOUND('',#26713,.T.); #21286=FACE_OUTER_BOUND('',#26714,.T.); #21287=FACE_OUTER_BOUND('',#26715,.T.); #21288=FACE_OUTER_BOUND('',#26716,.T.); #21289=FACE_OUTER_BOUND('',#26717,.T.); #21290=FACE_OUTER_BOUND('',#26718,.T.); #21291=FACE_OUTER_BOUND('',#26719,.T.); #21292=FACE_OUTER_BOUND('',#26720,.T.); #21293=FACE_OUTER_BOUND('',#26721,.T.); #21294=FACE_OUTER_BOUND('',#26722,.T.); #21295=FACE_OUTER_BOUND('',#26723,.T.); #21296=FACE_OUTER_BOUND('',#26724,.T.); #21297=FACE_OUTER_BOUND('',#26725,.T.); #21298=FACE_OUTER_BOUND('',#26726,.T.); #21299=FACE_OUTER_BOUND('',#26728,.T.); #21300=FACE_OUTER_BOUND('',#26729,.T.); #21301=FACE_OUTER_BOUND('',#26730,.T.); #21302=FACE_OUTER_BOUND('',#26731,.T.); #21303=FACE_OUTER_BOUND('',#26732,.T.); #21304=FACE_OUTER_BOUND('',#26733,.T.); #21305=FACE_OUTER_BOUND('',#26734,.T.); #21306=FACE_OUTER_BOUND('',#26735,.T.); #21307=FACE_OUTER_BOUND('',#26736,.T.); #21308=FACE_OUTER_BOUND('',#26737,.T.); #21309=FACE_OUTER_BOUND('',#26738,.T.); #21310=FACE_OUTER_BOUND('',#26739,.T.); #21311=FACE_OUTER_BOUND('',#26740,.T.); #21312=FACE_OUTER_BOUND('',#26741,.T.); #21313=FACE_OUTER_BOUND('',#26742,.T.); #21314=FACE_OUTER_BOUND('',#26743,.T.); #21315=FACE_OUTER_BOUND('',#26744,.T.); #21316=FACE_OUTER_BOUND('',#26745,.T.); #21317=FACE_OUTER_BOUND('',#26746,.T.); #21318=FACE_OUTER_BOUND('',#26747,.T.); #21319=FACE_OUTER_BOUND('',#26748,.T.); #21320=FACE_OUTER_BOUND('',#26749,.T.); #21321=FACE_OUTER_BOUND('',#26750,.T.); #21322=FACE_OUTER_BOUND('',#26751,.T.); #21323=FACE_OUTER_BOUND('',#26752,.T.); #21324=FACE_OUTER_BOUND('',#26753,.T.); #21325=FACE_OUTER_BOUND('',#26754,.T.); #21326=FACE_OUTER_BOUND('',#26755,.T.); #21327=FACE_OUTER_BOUND('',#26756,.T.); #21328=FACE_OUTER_BOUND('',#26757,.T.); #21329=FACE_OUTER_BOUND('',#26758,.T.); #21330=FACE_OUTER_BOUND('',#26759,.T.); #21331=FACE_OUTER_BOUND('',#26760,.T.); #21332=FACE_OUTER_BOUND('',#26761,.T.); #21333=FACE_OUTER_BOUND('',#26762,.T.); #21334=FACE_OUTER_BOUND('',#26763,.T.); #21335=FACE_OUTER_BOUND('',#26764,.T.); #21336=FACE_OUTER_BOUND('',#26765,.T.); #21337=FACE_OUTER_BOUND('',#26766,.T.); #21338=FACE_OUTER_BOUND('',#26767,.T.); #21339=FACE_OUTER_BOUND('',#26768,.T.); #21340=FACE_OUTER_BOUND('',#26769,.T.); #21341=FACE_OUTER_BOUND('',#26770,.T.); #21342=FACE_OUTER_BOUND('',#26771,.T.); #21343=FACE_OUTER_BOUND('',#26772,.T.); #21344=FACE_OUTER_BOUND('',#26773,.T.); #21345=FACE_OUTER_BOUND('',#26774,.T.); #21346=FACE_OUTER_BOUND('',#26775,.T.); #21347=FACE_OUTER_BOUND('',#26776,.T.); #21348=FACE_OUTER_BOUND('',#26777,.T.); #21349=FACE_OUTER_BOUND('',#26778,.T.); #21350=FACE_OUTER_BOUND('',#26779,.T.); #21351=FACE_OUTER_BOUND('',#26780,.T.); #21352=FACE_OUTER_BOUND('',#26781,.T.); #21353=FACE_OUTER_BOUND('',#26782,.T.); #21354=FACE_OUTER_BOUND('',#26783,.T.); #21355=FACE_OUTER_BOUND('',#26784,.T.); #21356=FACE_OUTER_BOUND('',#26785,.T.); #21357=FACE_OUTER_BOUND('',#26786,.T.); #21358=FACE_OUTER_BOUND('',#26787,.T.); #21359=FACE_OUTER_BOUND('',#26788,.T.); #21360=FACE_OUTER_BOUND('',#26789,.T.); #21361=FACE_OUTER_BOUND('',#26790,.T.); #21362=FACE_OUTER_BOUND('',#26791,.T.); #21363=FACE_OUTER_BOUND('',#26792,.T.); #21364=FACE_OUTER_BOUND('',#26793,.T.); #21365=FACE_OUTER_BOUND('',#26794,.T.); #21366=FACE_OUTER_BOUND('',#26795,.T.); #21367=FACE_OUTER_BOUND('',#26796,.T.); #21368=FACE_OUTER_BOUND('',#26797,.T.); #21369=FACE_OUTER_BOUND('',#26798,.T.); #21370=FACE_OUTER_BOUND('',#26799,.T.); #21371=FACE_OUTER_BOUND('',#26800,.T.); #21372=FACE_OUTER_BOUND('',#26801,.T.); #21373=FACE_OUTER_BOUND('',#26802,.T.); #21374=FACE_OUTER_BOUND('',#26803,.T.); #21375=FACE_OUTER_BOUND('',#26804,.T.); #21376=FACE_OUTER_BOUND('',#26805,.T.); #21377=FACE_OUTER_BOUND('',#26806,.T.); #21378=FACE_OUTER_BOUND('',#26807,.T.); #21379=FACE_OUTER_BOUND('',#26808,.T.); #21380=FACE_OUTER_BOUND('',#26809,.T.); #21381=FACE_OUTER_BOUND('',#26810,.T.); #21382=FACE_OUTER_BOUND('',#26811,.T.); #21383=FACE_OUTER_BOUND('',#26812,.T.); #21384=FACE_OUTER_BOUND('',#26813,.T.); #21385=FACE_OUTER_BOUND('',#26814,.T.); #21386=FACE_OUTER_BOUND('',#26815,.T.); #21387=FACE_OUTER_BOUND('',#26816,.T.); #21388=FACE_OUTER_BOUND('',#26817,.T.); #21389=FACE_OUTER_BOUND('',#26818,.T.); #21390=FACE_OUTER_BOUND('',#26819,.T.); #21391=FACE_OUTER_BOUND('',#26820,.T.); #21392=FACE_OUTER_BOUND('',#26821,.T.); #21393=FACE_OUTER_BOUND('',#26822,.T.); #21394=FACE_OUTER_BOUND('',#26823,.T.); #21395=FACE_OUTER_BOUND('',#26824,.T.); #21396=FACE_OUTER_BOUND('',#26825,.T.); #21397=FACE_OUTER_BOUND('',#26826,.T.); #21398=FACE_OUTER_BOUND('',#26827,.T.); #21399=FACE_OUTER_BOUND('',#26828,.T.); #21400=FACE_OUTER_BOUND('',#26829,.T.); #21401=FACE_OUTER_BOUND('',#26830,.T.); #21402=FACE_OUTER_BOUND('',#26831,.T.); #21403=FACE_OUTER_BOUND('',#26832,.T.); #21404=FACE_OUTER_BOUND('',#26833,.T.); #21405=FACE_OUTER_BOUND('',#26834,.T.); #21406=FACE_OUTER_BOUND('',#26835,.T.); #21407=FACE_OUTER_BOUND('',#26836,.T.); #21408=FACE_OUTER_BOUND('',#26837,.T.); #21409=FACE_OUTER_BOUND('',#26838,.T.); #21410=FACE_OUTER_BOUND('',#26839,.T.); #21411=FACE_OUTER_BOUND('',#26840,.T.); #21412=FACE_OUTER_BOUND('',#26841,.T.); #21413=FACE_OUTER_BOUND('',#26842,.T.); #21414=FACE_OUTER_BOUND('',#26843,.T.); #21415=FACE_OUTER_BOUND('',#26844,.T.); #21416=FACE_OUTER_BOUND('',#26845,.T.); #21417=FACE_OUTER_BOUND('',#26846,.T.); #21418=FACE_OUTER_BOUND('',#26847,.T.); #21419=FACE_OUTER_BOUND('',#26848,.T.); #21420=FACE_OUTER_BOUND('',#26849,.T.); #21421=FACE_OUTER_BOUND('',#26850,.T.); #21422=FACE_OUTER_BOUND('',#26851,.T.); #21423=FACE_OUTER_BOUND('',#26852,.T.); #21424=FACE_OUTER_BOUND('',#26853,.T.); #21425=FACE_OUTER_BOUND('',#26854,.T.); #21426=FACE_OUTER_BOUND('',#26855,.T.); #21427=FACE_OUTER_BOUND('',#26856,.T.); #21428=FACE_OUTER_BOUND('',#26857,.T.); #21429=FACE_OUTER_BOUND('',#26858,.T.); #21430=FACE_OUTER_BOUND('',#26859,.T.); #21431=FACE_OUTER_BOUND('',#26860,.T.); #21432=FACE_OUTER_BOUND('',#26861,.T.); #21433=FACE_OUTER_BOUND('',#26862,.T.); #21434=FACE_OUTER_BOUND('',#26863,.T.); #21435=FACE_OUTER_BOUND('',#26864,.T.); #21436=FACE_OUTER_BOUND('',#26865,.T.); #21437=FACE_OUTER_BOUND('',#26866,.T.); #21438=FACE_OUTER_BOUND('',#26867,.T.); #21439=FACE_OUTER_BOUND('',#26868,.T.); #21440=FACE_OUTER_BOUND('',#26869,.T.); #21441=FACE_OUTER_BOUND('',#26870,.T.); #21442=FACE_OUTER_BOUND('',#26871,.T.); #21443=FACE_OUTER_BOUND('',#26872,.T.); #21444=FACE_OUTER_BOUND('',#26873,.T.); #21445=FACE_OUTER_BOUND('',#26874,.T.); #21446=FACE_OUTER_BOUND('',#26875,.T.); #21447=FACE_OUTER_BOUND('',#26876,.T.); #21448=FACE_OUTER_BOUND('',#26877,.T.); #21449=FACE_OUTER_BOUND('',#26878,.T.); #21450=FACE_OUTER_BOUND('',#26879,.T.); #21451=FACE_OUTER_BOUND('',#26881,.T.); #21452=FACE_OUTER_BOUND('',#26885,.T.); #21453=FACE_OUTER_BOUND('',#26886,.T.); #21454=FACE_OUTER_BOUND('',#26887,.T.); #21455=FACE_OUTER_BOUND('',#26888,.T.); #21456=FACE_OUTER_BOUND('',#26889,.T.); #21457=FACE_OUTER_BOUND('',#26890,.T.); #21458=FACE_OUTER_BOUND('',#26891,.T.); #21459=FACE_OUTER_BOUND('',#26892,.T.); #21460=FACE_OUTER_BOUND('',#26893,.T.); #21461=FACE_OUTER_BOUND('',#26894,.T.); #21462=FACE_OUTER_BOUND('',#26895,.T.); #21463=FACE_OUTER_BOUND('',#26896,.T.); #21464=FACE_OUTER_BOUND('',#26897,.T.); #21465=FACE_OUTER_BOUND('',#26898,.T.); #21466=FACE_OUTER_BOUND('',#26899,.T.); #21467=FACE_OUTER_BOUND('',#26900,.T.); #21468=FACE_OUTER_BOUND('',#26901,.T.); #21469=FACE_OUTER_BOUND('',#26902,.T.); #21470=FACE_OUTER_BOUND('',#26903,.T.); #21471=FACE_OUTER_BOUND('',#26904,.T.); #21472=FACE_OUTER_BOUND('',#26905,.T.); #21473=FACE_OUTER_BOUND('',#26906,.T.); #21474=FACE_OUTER_BOUND('',#26907,.T.); #21475=FACE_OUTER_BOUND('',#26908,.T.); #21476=FACE_OUTER_BOUND('',#26909,.T.); #21477=FACE_OUTER_BOUND('',#26910,.T.); #21478=FACE_OUTER_BOUND('',#26911,.T.); #21479=FACE_OUTER_BOUND('',#26912,.T.); #21480=FACE_OUTER_BOUND('',#26913,.T.); #21481=FACE_OUTER_BOUND('',#26914,.T.); #21482=FACE_OUTER_BOUND('',#26915,.T.); #21483=FACE_OUTER_BOUND('',#26916,.T.); #21484=FACE_OUTER_BOUND('',#26917,.T.); #21485=FACE_OUTER_BOUND('',#26918,.T.); #21486=FACE_OUTER_BOUND('',#26919,.T.); #21487=FACE_OUTER_BOUND('',#26920,.T.); #21488=FACE_OUTER_BOUND('',#26921,.T.); #21489=FACE_OUTER_BOUND('',#26922,.T.); #21490=FACE_OUTER_BOUND('',#26923,.T.); #21491=FACE_OUTER_BOUND('',#26924,.T.); #21492=FACE_OUTER_BOUND('',#26925,.T.); #21493=FACE_OUTER_BOUND('',#26926,.T.); #21494=FACE_OUTER_BOUND('',#26927,.T.); #21495=FACE_OUTER_BOUND('',#26928,.T.); #21496=FACE_OUTER_BOUND('',#26929,.T.); #21497=FACE_OUTER_BOUND('',#26930,.T.); #21498=FACE_OUTER_BOUND('',#26931,.T.); #21499=FACE_OUTER_BOUND('',#26932,.T.); #21500=FACE_OUTER_BOUND('',#26934,.T.); #21501=FACE_OUTER_BOUND('',#26938,.T.); #21502=FACE_OUTER_BOUND('',#26939,.T.); #21503=FACE_OUTER_BOUND('',#26940,.T.); #21504=FACE_OUTER_BOUND('',#26941,.T.); #21505=FACE_OUTER_BOUND('',#26942,.T.); #21506=FACE_OUTER_BOUND('',#26943,.T.); #21507=FACE_OUTER_BOUND('',#26944,.T.); #21508=FACE_OUTER_BOUND('',#26945,.T.); #21509=FACE_OUTER_BOUND('',#26946,.T.); #21510=FACE_OUTER_BOUND('',#26947,.T.); #21511=FACE_OUTER_BOUND('',#26948,.T.); #21512=FACE_OUTER_BOUND('',#26949,.T.); #21513=FACE_OUTER_BOUND('',#26950,.T.); #21514=FACE_OUTER_BOUND('',#26951,.T.); #21515=FACE_OUTER_BOUND('',#26952,.T.); #21516=FACE_OUTER_BOUND('',#26953,.T.); #21517=FACE_OUTER_BOUND('',#26954,.T.); #21518=FACE_OUTER_BOUND('',#26955,.T.); #21519=FACE_OUTER_BOUND('',#26956,.T.); #21520=FACE_OUTER_BOUND('',#26957,.T.); #21521=FACE_OUTER_BOUND('',#26958,.T.); #21522=FACE_OUTER_BOUND('',#26959,.T.); #21523=FACE_OUTER_BOUND('',#26960,.T.); #21524=FACE_OUTER_BOUND('',#26961,.T.); #21525=FACE_OUTER_BOUND('',#26962,.T.); #21526=FACE_OUTER_BOUND('',#26963,.T.); #21527=FACE_OUTER_BOUND('',#26964,.T.); #21528=FACE_OUTER_BOUND('',#26965,.T.); #21529=FACE_OUTER_BOUND('',#26966,.T.); #21530=FACE_OUTER_BOUND('',#26967,.T.); #21531=FACE_OUTER_BOUND('',#26968,.T.); #21532=FACE_OUTER_BOUND('',#26969,.T.); #21533=FACE_OUTER_BOUND('',#26970,.T.); #21534=FACE_OUTER_BOUND('',#26971,.T.); #21535=FACE_OUTER_BOUND('',#26972,.T.); #21536=FACE_OUTER_BOUND('',#26973,.T.); #21537=FACE_OUTER_BOUND('',#26974,.T.); #21538=FACE_OUTER_BOUND('',#26975,.T.); #21539=FACE_OUTER_BOUND('',#26976,.T.); #21540=FACE_OUTER_BOUND('',#26977,.T.); #21541=FACE_OUTER_BOUND('',#26978,.T.); #21542=FACE_OUTER_BOUND('',#26979,.T.); #21543=FACE_OUTER_BOUND('',#26980,.T.); #21544=FACE_OUTER_BOUND('',#26981,.T.); #21545=FACE_OUTER_BOUND('',#26982,.T.); #21546=FACE_OUTER_BOUND('',#26983,.T.); #21547=FACE_OUTER_BOUND('',#26984,.T.); #21548=FACE_OUTER_BOUND('',#26985,.T.); #21549=FACE_OUTER_BOUND('',#26986,.T.); #21550=FACE_OUTER_BOUND('',#26987,.T.); #21551=FACE_OUTER_BOUND('',#26988,.T.); #21552=FACE_OUTER_BOUND('',#26989,.T.); #21553=FACE_OUTER_BOUND('',#26990,.T.); #21554=FACE_OUTER_BOUND('',#26991,.T.); #21555=FACE_OUTER_BOUND('',#26992,.T.); #21556=FACE_OUTER_BOUND('',#26993,.T.); #21557=FACE_OUTER_BOUND('',#26994,.T.); #21558=FACE_OUTER_BOUND('',#26995,.T.); #21559=FACE_OUTER_BOUND('',#26996,.T.); #21560=FACE_OUTER_BOUND('',#26997,.T.); #21561=FACE_OUTER_BOUND('',#26998,.T.); #21562=FACE_OUTER_BOUND('',#27000,.T.); #21563=FACE_OUTER_BOUND('',#27001,.T.); #21564=FACE_OUTER_BOUND('',#27002,.T.); #21565=FACE_OUTER_BOUND('',#27003,.T.); #21566=FACE_OUTER_BOUND('',#27004,.T.); #21567=FACE_OUTER_BOUND('',#27005,.T.); #21568=FACE_OUTER_BOUND('',#27006,.T.); #21569=FACE_OUTER_BOUND('',#27007,.T.); #21570=FACE_OUTER_BOUND('',#27008,.T.); #21571=FACE_OUTER_BOUND('',#27009,.T.); #21572=FACE_OUTER_BOUND('',#27010,.T.); #21573=FACE_OUTER_BOUND('',#27011,.T.); #21574=FACE_OUTER_BOUND('',#27012,.T.); #21575=FACE_OUTER_BOUND('',#27013,.T.); #21576=FACE_OUTER_BOUND('',#27014,.T.); #21577=FACE_OUTER_BOUND('',#27015,.T.); #21578=FACE_OUTER_BOUND('',#27016,.T.); #21579=FACE_OUTER_BOUND('',#27017,.T.); #21580=FACE_OUTER_BOUND('',#27018,.T.); #21581=FACE_OUTER_BOUND('',#27019,.T.); #21582=FACE_OUTER_BOUND('',#27020,.T.); #21583=FACE_OUTER_BOUND('',#27021,.T.); #21584=FACE_OUTER_BOUND('',#27022,.T.); #21585=FACE_OUTER_BOUND('',#27023,.T.); #21586=FACE_OUTER_BOUND('',#27024,.T.); #21587=FACE_OUTER_BOUND('',#27025,.T.); #21588=FACE_OUTER_BOUND('',#27026,.T.); #21589=FACE_OUTER_BOUND('',#27027,.T.); #21590=FACE_OUTER_BOUND('',#27028,.T.); #21591=FACE_OUTER_BOUND('',#27029,.T.); #21592=FACE_OUTER_BOUND('',#27030,.T.); #21593=FACE_OUTER_BOUND('',#27031,.T.); #21594=FACE_OUTER_BOUND('',#27032,.T.); #21595=FACE_OUTER_BOUND('',#27033,.T.); #21596=FACE_OUTER_BOUND('',#27034,.T.); #21597=FACE_OUTER_BOUND('',#27038,.T.); #21598=FACE_OUTER_BOUND('',#27039,.T.); #21599=FACE_OUTER_BOUND('',#27040,.T.); #21600=FACE_OUTER_BOUND('',#27041,.T.); #21601=FACE_OUTER_BOUND('',#27042,.T.); #21602=FACE_OUTER_BOUND('',#27043,.T.); #21603=FACE_OUTER_BOUND('',#27044,.T.); #21604=FACE_OUTER_BOUND('',#27045,.T.); #21605=FACE_OUTER_BOUND('',#27046,.T.); #21606=FACE_OUTER_BOUND('',#27047,.T.); #21607=FACE_OUTER_BOUND('',#27048,.T.); #21608=FACE_OUTER_BOUND('',#27050,.T.); #21609=FACE_OUTER_BOUND('',#27051,.T.); #21610=FACE_OUTER_BOUND('',#27052,.T.); #21611=FACE_OUTER_BOUND('',#27053,.T.); #21612=FACE_OUTER_BOUND('',#27054,.T.); #21613=FACE_OUTER_BOUND('',#27055,.T.); #21614=FACE_OUTER_BOUND('',#27056,.T.); #21615=FACE_OUTER_BOUND('',#27057,.T.); #21616=FACE_OUTER_BOUND('',#27058,.T.); #21617=FACE_OUTER_BOUND('',#27059,.T.); #21618=FACE_OUTER_BOUND('',#27060,.T.); #21619=FACE_OUTER_BOUND('',#27061,.T.); #21620=FACE_OUTER_BOUND('',#27062,.T.); #21621=FACE_OUTER_BOUND('',#27063,.T.); #21622=FACE_OUTER_BOUND('',#27064,.T.); #21623=FACE_OUTER_BOUND('',#27065,.T.); #21624=FACE_OUTER_BOUND('',#27066,.T.); #21625=FACE_OUTER_BOUND('',#27067,.T.); #21626=FACE_OUTER_BOUND('',#27068,.T.); #21627=FACE_OUTER_BOUND('',#27069,.T.); #21628=FACE_OUTER_BOUND('',#27070,.T.); #21629=FACE_OUTER_BOUND('',#27071,.T.); #21630=FACE_OUTER_BOUND('',#27072,.T.); #21631=FACE_OUTER_BOUND('',#27073,.T.); #21632=FACE_OUTER_BOUND('',#27074,.T.); #21633=FACE_OUTER_BOUND('',#27075,.T.); #21634=FACE_OUTER_BOUND('',#27076,.T.); #21635=FACE_OUTER_BOUND('',#27077,.T.); #21636=FACE_OUTER_BOUND('',#27078,.T.); #21637=FACE_OUTER_BOUND('',#27080,.T.); #21638=FACE_OUTER_BOUND('',#27081,.T.); #21639=FACE_OUTER_BOUND('',#27082,.T.); #21640=FACE_OUTER_BOUND('',#27083,.T.); #21641=FACE_OUTER_BOUND('',#27084,.T.); #21642=FACE_OUTER_BOUND('',#27085,.T.); #21643=FACE_OUTER_BOUND('',#27086,.T.); #21644=FACE_OUTER_BOUND('',#27087,.T.); #21645=FACE_OUTER_BOUND('',#27088,.T.); #21646=FACE_OUTER_BOUND('',#27090,.T.); #21647=FACE_OUTER_BOUND('',#27091,.T.); #21648=FACE_OUTER_BOUND('',#27092,.T.); #21649=FACE_OUTER_BOUND('',#27093,.T.); #21650=FACE_OUTER_BOUND('',#27095,.T.); #21651=FACE_OUTER_BOUND('',#27096,.T.); #21652=FACE_OUTER_BOUND('',#27097,.T.); #21653=FACE_OUTER_BOUND('',#27098,.T.); #21654=FACE_OUTER_BOUND('',#27099,.T.); #21655=FACE_OUTER_BOUND('',#27100,.T.); #21656=FACE_OUTER_BOUND('',#27101,.T.); #21657=FACE_OUTER_BOUND('',#27102,.T.); #21658=FACE_OUTER_BOUND('',#27103,.T.); #21659=FACE_OUTER_BOUND('',#27104,.T.); #21660=FACE_OUTER_BOUND('',#27105,.T.); #21661=FACE_OUTER_BOUND('',#27106,.T.); #21662=FACE_OUTER_BOUND('',#27107,.T.); #21663=FACE_OUTER_BOUND('',#27108,.T.); #21664=FACE_OUTER_BOUND('',#27109,.T.); #21665=FACE_OUTER_BOUND('',#27110,.T.); #21666=FACE_OUTER_BOUND('',#27111,.T.); #21667=FACE_OUTER_BOUND('',#27112,.T.); #21668=FACE_OUTER_BOUND('',#27113,.T.); #21669=FACE_OUTER_BOUND('',#27114,.T.); #21670=FACE_OUTER_BOUND('',#27115,.T.); #21671=FACE_OUTER_BOUND('',#27116,.T.); #21672=FACE_OUTER_BOUND('',#27117,.T.); #21673=FACE_OUTER_BOUND('',#27118,.T.); #21674=FACE_OUTER_BOUND('',#27119,.T.); #21675=FACE_OUTER_BOUND('',#27120,.T.); #21676=FACE_OUTER_BOUND('',#27121,.T.); #21677=FACE_OUTER_BOUND('',#27122,.T.); #21678=FACE_OUTER_BOUND('',#27123,.T.); #21679=FACE_OUTER_BOUND('',#27124,.T.); #21680=FACE_OUTER_BOUND('',#27125,.T.); #21681=FACE_OUTER_BOUND('',#27126,.T.); #21682=FACE_OUTER_BOUND('',#27127,.T.); #21683=FACE_OUTER_BOUND('',#27128,.T.); #21684=FACE_OUTER_BOUND('',#27129,.T.); #21685=FACE_OUTER_BOUND('',#27130,.T.); #21686=FACE_OUTER_BOUND('',#27131,.T.); #21687=FACE_OUTER_BOUND('',#27132,.T.); #21688=FACE_OUTER_BOUND('',#27133,.T.); #21689=FACE_OUTER_BOUND('',#27134,.T.); #21690=FACE_OUTER_BOUND('',#27135,.T.); #21691=FACE_OUTER_BOUND('',#27136,.T.); #21692=FACE_OUTER_BOUND('',#27137,.T.); #21693=FACE_OUTER_BOUND('',#27138,.T.); #21694=FACE_OUTER_BOUND('',#27139,.T.); #21695=FACE_OUTER_BOUND('',#27140,.T.); #21696=FACE_OUTER_BOUND('',#27141,.T.); #21697=FACE_OUTER_BOUND('',#27142,.T.); #21698=FACE_OUTER_BOUND('',#27143,.T.); #21699=FACE_OUTER_BOUND('',#27144,.T.); #21700=FACE_OUTER_BOUND('',#27146,.T.); #21701=FACE_OUTER_BOUND('',#27148,.T.); #21702=FACE_OUTER_BOUND('',#27149,.T.); #21703=FACE_OUTER_BOUND('',#27150,.T.); #21704=FACE_OUTER_BOUND('',#27151,.T.); #21705=FACE_OUTER_BOUND('',#27152,.T.); #21706=FACE_OUTER_BOUND('',#27153,.T.); #21707=FACE_OUTER_BOUND('',#27154,.T.); #21708=FACE_OUTER_BOUND('',#27155,.T.); #21709=FACE_OUTER_BOUND('',#27156,.T.); #21710=FACE_OUTER_BOUND('',#27157,.T.); #21711=FACE_OUTER_BOUND('',#27158,.T.); #21712=FACE_OUTER_BOUND('',#27159,.T.); #21713=FACE_OUTER_BOUND('',#27160,.T.); #21714=FACE_OUTER_BOUND('',#27161,.T.); #21715=FACE_OUTER_BOUND('',#27162,.T.); #21716=FACE_OUTER_BOUND('',#27163,.T.); #21717=FACE_OUTER_BOUND('',#27164,.T.); #21718=FACE_OUTER_BOUND('',#27165,.T.); #21719=FACE_OUTER_BOUND('',#27166,.T.); #21720=FACE_OUTER_BOUND('',#27167,.T.); #21721=FACE_OUTER_BOUND('',#27168,.T.); #21722=FACE_OUTER_BOUND('',#27169,.T.); #21723=FACE_OUTER_BOUND('',#27170,.T.); #21724=FACE_OUTER_BOUND('',#27171,.T.); #21725=FACE_OUTER_BOUND('',#27172,.T.); #21726=FACE_OUTER_BOUND('',#27173,.T.); #21727=FACE_OUTER_BOUND('',#27174,.T.); #21728=FACE_OUTER_BOUND('',#27175,.T.); #21729=FACE_OUTER_BOUND('',#27176,.T.); #21730=FACE_OUTER_BOUND('',#27177,.T.); #21731=FACE_OUTER_BOUND('',#27178,.T.); #21732=FACE_OUTER_BOUND('',#27179,.T.); #21733=FACE_OUTER_BOUND('',#27180,.T.); #21734=FACE_OUTER_BOUND('',#27181,.T.); #21735=FACE_OUTER_BOUND('',#27182,.T.); #21736=FACE_OUTER_BOUND('',#27183,.T.); #21737=FACE_OUTER_BOUND('',#27184,.T.); #21738=FACE_OUTER_BOUND('',#27185,.T.); #21739=FACE_OUTER_BOUND('',#27186,.T.); #21740=FACE_OUTER_BOUND('',#27187,.T.); #21741=FACE_OUTER_BOUND('',#27188,.T.); #21742=FACE_OUTER_BOUND('',#27189,.T.); #21743=FACE_OUTER_BOUND('',#27190,.T.); #21744=FACE_OUTER_BOUND('',#27191,.T.); #21745=FACE_OUTER_BOUND('',#27192,.T.); #21746=FACE_OUTER_BOUND('',#27193,.T.); #21747=FACE_OUTER_BOUND('',#27194,.T.); #21748=FACE_OUTER_BOUND('',#27195,.T.); #21749=FACE_OUTER_BOUND('',#27196,.T.); #21750=FACE_OUTER_BOUND('',#27197,.T.); #21751=FACE_OUTER_BOUND('',#27198,.T.); #21752=FACE_OUTER_BOUND('',#27199,.T.); #21753=FACE_OUTER_BOUND('',#27200,.T.); #21754=FACE_OUTER_BOUND('',#27201,.T.); #21755=FACE_OUTER_BOUND('',#27202,.T.); #21756=FACE_OUTER_BOUND('',#27203,.T.); #21757=FACE_OUTER_BOUND('',#27204,.T.); #21758=FACE_OUTER_BOUND('',#27205,.T.); #21759=FACE_OUTER_BOUND('',#27206,.T.); #21760=FACE_OUTER_BOUND('',#27207,.T.); #21761=FACE_OUTER_BOUND('',#27208,.T.); #21762=FACE_OUTER_BOUND('',#27209,.T.); #21763=FACE_OUTER_BOUND('',#27210,.T.); #21764=FACE_OUTER_BOUND('',#27211,.T.); #21765=FACE_OUTER_BOUND('',#27212,.T.); #21766=FACE_OUTER_BOUND('',#27213,.T.); #21767=FACE_OUTER_BOUND('',#27214,.T.); #21768=FACE_OUTER_BOUND('',#27215,.T.); #21769=FACE_OUTER_BOUND('',#27216,.T.); #21770=FACE_OUTER_BOUND('',#27218,.T.); #21771=FACE_OUTER_BOUND('',#27219,.T.); #21772=FACE_OUTER_BOUND('',#27220,.T.); #21773=FACE_OUTER_BOUND('',#27221,.T.); #21774=FACE_OUTER_BOUND('',#27222,.T.); #21775=FACE_OUTER_BOUND('',#27223,.T.); #21776=FACE_OUTER_BOUND('',#27224,.T.); #21777=FACE_OUTER_BOUND('',#27225,.T.); #21778=FACE_OUTER_BOUND('',#27226,.T.); #21779=FACE_OUTER_BOUND('',#27228,.T.); #21780=FACE_OUTER_BOUND('',#27229,.T.); #21781=FACE_OUTER_BOUND('',#27230,.T.); #21782=FACE_OUTER_BOUND('',#27232,.T.); #21783=FACE_OUTER_BOUND('',#27233,.T.); #21784=FACE_OUTER_BOUND('',#27234,.T.); #21785=FACE_OUTER_BOUND('',#27235,.T.); #21786=FACE_OUTER_BOUND('',#27236,.T.); #21787=FACE_OUTER_BOUND('',#27237,.T.); #21788=FACE_OUTER_BOUND('',#27238,.T.); #21789=FACE_OUTER_BOUND('',#27239,.T.); #21790=FACE_OUTER_BOUND('',#27240,.T.); #21791=FACE_OUTER_BOUND('',#27241,.T.); #21792=FACE_OUTER_BOUND('',#27242,.T.); #21793=FACE_OUTER_BOUND('',#27243,.T.); #21794=FACE_OUTER_BOUND('',#27244,.T.); #21795=FACE_OUTER_BOUND('',#27245,.T.); #21796=FACE_OUTER_BOUND('',#27246,.T.); #21797=FACE_OUTER_BOUND('',#27247,.T.); #21798=FACE_OUTER_BOUND('',#27248,.T.); #21799=FACE_OUTER_BOUND('',#27249,.T.); #21800=FACE_OUTER_BOUND('',#27250,.T.); #21801=FACE_OUTER_BOUND('',#27251,.T.); #21802=FACE_OUTER_BOUND('',#27252,.T.); #21803=FACE_OUTER_BOUND('',#27253,.T.); #21804=FACE_OUTER_BOUND('',#27254,.T.); #21805=FACE_OUTER_BOUND('',#27255,.T.); #21806=FACE_OUTER_BOUND('',#27256,.T.); #21807=FACE_OUTER_BOUND('',#27257,.T.); #21808=FACE_OUTER_BOUND('',#27258,.T.); #21809=FACE_OUTER_BOUND('',#27259,.T.); #21810=FACE_OUTER_BOUND('',#27260,.T.); #21811=FACE_OUTER_BOUND('',#27261,.T.); #21812=FACE_OUTER_BOUND('',#27262,.T.); #21813=FACE_OUTER_BOUND('',#27263,.T.); #21814=FACE_OUTER_BOUND('',#27264,.T.); #21815=FACE_OUTER_BOUND('',#27265,.T.); #21816=FACE_OUTER_BOUND('',#27266,.T.); #21817=FACE_OUTER_BOUND('',#27267,.T.); #21818=FACE_OUTER_BOUND('',#27268,.T.); #21819=FACE_OUTER_BOUND('',#27269,.T.); #21820=FACE_OUTER_BOUND('',#27270,.T.); #21821=FACE_OUTER_BOUND('',#27271,.T.); #21822=FACE_OUTER_BOUND('',#27272,.T.); #21823=FACE_OUTER_BOUND('',#27273,.T.); #21824=FACE_OUTER_BOUND('',#27274,.T.); #21825=FACE_OUTER_BOUND('',#27275,.T.); #21826=FACE_OUTER_BOUND('',#27276,.T.); #21827=FACE_OUTER_BOUND('',#27277,.T.); #21828=FACE_OUTER_BOUND('',#27278,.T.); #21829=FACE_OUTER_BOUND('',#27279,.T.); #21830=FACE_OUTER_BOUND('',#27280,.T.); #21831=FACE_OUTER_BOUND('',#27281,.T.); #21832=FACE_OUTER_BOUND('',#27282,.T.); #21833=FACE_OUTER_BOUND('',#27283,.T.); #21834=FACE_OUTER_BOUND('',#27284,.T.); #21835=FACE_OUTER_BOUND('',#27285,.T.); #21836=FACE_OUTER_BOUND('',#27286,.T.); #21837=FACE_OUTER_BOUND('',#27287,.T.); #21838=FACE_OUTER_BOUND('',#27288,.T.); #21839=FACE_OUTER_BOUND('',#27289,.T.); #21840=FACE_OUTER_BOUND('',#27290,.T.); #21841=FACE_OUTER_BOUND('',#27291,.T.); #21842=FACE_OUTER_BOUND('',#27292,.T.); #21843=FACE_OUTER_BOUND('',#27293,.T.); #21844=FACE_OUTER_BOUND('',#27294,.T.); #21845=FACE_OUTER_BOUND('',#27295,.T.); #21846=FACE_OUTER_BOUND('',#27296,.T.); #21847=FACE_OUTER_BOUND('',#27297,.T.); #21848=FACE_OUTER_BOUND('',#27298,.T.); #21849=FACE_OUTER_BOUND('',#27299,.T.); #21850=FACE_OUTER_BOUND('',#27300,.T.); #21851=FACE_OUTER_BOUND('',#27301,.T.); #21852=FACE_OUTER_BOUND('',#27302,.T.); #21853=FACE_OUTER_BOUND('',#27303,.T.); #21854=FACE_OUTER_BOUND('',#27304,.T.); #21855=FACE_OUTER_BOUND('',#27306,.T.); #21856=FACE_OUTER_BOUND('',#27307,.T.); #21857=FACE_OUTER_BOUND('',#27308,.T.); #21858=FACE_OUTER_BOUND('',#27309,.T.); #21859=FACE_OUTER_BOUND('',#27311,.T.); #21860=FACE_OUTER_BOUND('',#27312,.T.); #21861=FACE_OUTER_BOUND('',#27313,.T.); #21862=FACE_OUTER_BOUND('',#27314,.T.); #21863=FACE_OUTER_BOUND('',#27315,.T.); #21864=FACE_OUTER_BOUND('',#27316,.T.); #21865=FACE_OUTER_BOUND('',#27317,.T.); #21866=FACE_OUTER_BOUND('',#27318,.T.); #21867=FACE_OUTER_BOUND('',#27319,.T.); #21868=FACE_OUTER_BOUND('',#27320,.T.); #21869=FACE_OUTER_BOUND('',#27321,.T.); #21870=FACE_OUTER_BOUND('',#27322,.T.); #21871=FACE_OUTER_BOUND('',#27323,.T.); #21872=FACE_OUTER_BOUND('',#27324,.T.); #21873=FACE_OUTER_BOUND('',#27325,.T.); #21874=FACE_OUTER_BOUND('',#27326,.T.); #21875=FACE_OUTER_BOUND('',#27327,.T.); #21876=FACE_OUTER_BOUND('',#27328,.T.); #21877=FACE_OUTER_BOUND('',#27329,.T.); #21878=FACE_OUTER_BOUND('',#27330,.T.); #21879=FACE_OUTER_BOUND('',#27331,.T.); #21880=FACE_OUTER_BOUND('',#27332,.T.); #21881=FACE_OUTER_BOUND('',#27333,.T.); #21882=FACE_OUTER_BOUND('',#27334,.T.); #21883=FACE_OUTER_BOUND('',#27335,.T.); #21884=FACE_OUTER_BOUND('',#27336,.T.); #21885=FACE_OUTER_BOUND('',#27337,.T.); #21886=FACE_OUTER_BOUND('',#27338,.T.); #21887=FACE_OUTER_BOUND('',#27339,.T.); #21888=FACE_OUTER_BOUND('',#27340,.T.); #21889=FACE_OUTER_BOUND('',#27341,.T.); #21890=FACE_OUTER_BOUND('',#27342,.T.); #21891=FACE_OUTER_BOUND('',#27343,.T.); #21892=FACE_OUTER_BOUND('',#27344,.T.); #21893=FACE_OUTER_BOUND('',#27345,.T.); #21894=FACE_OUTER_BOUND('',#27346,.T.); #21895=FACE_OUTER_BOUND('',#27347,.T.); #21896=FACE_OUTER_BOUND('',#27348,.T.); #21897=FACE_OUTER_BOUND('',#27349,.T.); #21898=FACE_OUTER_BOUND('',#27350,.T.); #21899=FACE_OUTER_BOUND('',#27351,.T.); #21900=FACE_OUTER_BOUND('',#27352,.T.); #21901=FACE_OUTER_BOUND('',#27353,.T.); #21902=FACE_OUTER_BOUND('',#27354,.T.); #21903=FACE_OUTER_BOUND('',#27355,.T.); #21904=FACE_OUTER_BOUND('',#27356,.T.); #21905=FACE_OUTER_BOUND('',#27357,.T.); #21906=FACE_OUTER_BOUND('',#27358,.T.); #21907=FACE_OUTER_BOUND('',#27359,.T.); #21908=FACE_OUTER_BOUND('',#27360,.T.); #21909=FACE_OUTER_BOUND('',#27361,.T.); #21910=FACE_OUTER_BOUND('',#27362,.T.); #21911=FACE_OUTER_BOUND('',#27363,.T.); #21912=FACE_OUTER_BOUND('',#27364,.T.); #21913=FACE_OUTER_BOUND('',#27365,.T.); #21914=FACE_OUTER_BOUND('',#27366,.T.); #21915=FACE_OUTER_BOUND('',#27367,.T.); #21916=FACE_OUTER_BOUND('',#27368,.T.); #21917=FACE_OUTER_BOUND('',#27369,.T.); #21918=FACE_OUTER_BOUND('',#27370,.T.); #21919=FACE_OUTER_BOUND('',#27371,.T.); #21920=FACE_OUTER_BOUND('',#27372,.T.); #21921=FACE_OUTER_BOUND('',#27373,.T.); #21922=FACE_OUTER_BOUND('',#27374,.T.); #21923=FACE_OUTER_BOUND('',#27375,.T.); #21924=FACE_OUTER_BOUND('',#27376,.T.); #21925=FACE_OUTER_BOUND('',#27377,.T.); #21926=FACE_OUTER_BOUND('',#27378,.T.); #21927=FACE_OUTER_BOUND('',#27379,.T.); #21928=FACE_OUTER_BOUND('',#27380,.T.); #21929=FACE_OUTER_BOUND('',#27381,.T.); #21930=FACE_OUTER_BOUND('',#27382,.T.); #21931=FACE_OUTER_BOUND('',#27383,.T.); #21932=FACE_OUTER_BOUND('',#27384,.T.); #21933=FACE_OUTER_BOUND('',#27385,.T.); #21934=FACE_OUTER_BOUND('',#27386,.T.); #21935=FACE_OUTER_BOUND('',#27387,.T.); #21936=FACE_OUTER_BOUND('',#27389,.T.); #21937=FACE_OUTER_BOUND('',#27390,.T.); #21938=FACE_OUTER_BOUND('',#27391,.T.); #21939=FACE_OUTER_BOUND('',#27393,.T.); #21940=FACE_OUTER_BOUND('',#27394,.T.); #21941=FACE_OUTER_BOUND('',#27395,.T.); #21942=FACE_OUTER_BOUND('',#27396,.T.); #21943=FACE_OUTER_BOUND('',#27397,.T.); #21944=FACE_OUTER_BOUND('',#27398,.T.); #21945=FACE_OUTER_BOUND('',#27399,.T.); #21946=FACE_OUTER_BOUND('',#27400,.T.); #21947=FACE_OUTER_BOUND('',#27401,.T.); #21948=FACE_OUTER_BOUND('',#27403,.T.); #21949=FACE_OUTER_BOUND('',#27404,.T.); #21950=FACE_OUTER_BOUND('',#27406,.T.); #21951=FACE_OUTER_BOUND('',#27407,.T.); #21952=FACE_OUTER_BOUND('',#27408,.T.); #21953=FACE_OUTER_BOUND('',#27409,.T.); #21954=FACE_OUTER_BOUND('',#27410,.T.); #21955=FACE_OUTER_BOUND('',#27411,.T.); #21956=FACE_OUTER_BOUND('',#27412,.T.); #21957=FACE_OUTER_BOUND('',#27413,.T.); #21958=FACE_OUTER_BOUND('',#27414,.T.); #21959=FACE_OUTER_BOUND('',#27415,.T.); #21960=FACE_OUTER_BOUND('',#27416,.T.); #21961=FACE_OUTER_BOUND('',#27417,.T.); #21962=FACE_OUTER_BOUND('',#27418,.T.); #21963=FACE_OUTER_BOUND('',#27419,.T.); #21964=FACE_OUTER_BOUND('',#27420,.T.); #21965=FACE_OUTER_BOUND('',#27421,.T.); #21966=FACE_OUTER_BOUND('',#27422,.T.); #21967=FACE_OUTER_BOUND('',#27424,.T.); #21968=FACE_OUTER_BOUND('',#27425,.T.); #21969=FACE_OUTER_BOUND('',#27426,.T.); #21970=FACE_OUTER_BOUND('',#27427,.T.); #21971=FACE_OUTER_BOUND('',#27428,.T.); #21972=FACE_OUTER_BOUND('',#27429,.T.); #21973=FACE_OUTER_BOUND('',#27430,.T.); #21974=FACE_OUTER_BOUND('',#27431,.T.); #21975=FACE_OUTER_BOUND('',#27432,.T.); #21976=FACE_OUTER_BOUND('',#27433,.T.); #21977=FACE_OUTER_BOUND('',#27434,.T.); #21978=FACE_OUTER_BOUND('',#27435,.T.); #21979=FACE_OUTER_BOUND('',#27436,.T.); #21980=FACE_OUTER_BOUND('',#27437,.T.); #21981=FACE_OUTER_BOUND('',#27438,.T.); #21982=FACE_OUTER_BOUND('',#27439,.T.); #21983=FACE_OUTER_BOUND('',#27440,.T.); #21984=FACE_OUTER_BOUND('',#27441,.T.); #21985=FACE_OUTER_BOUND('',#27442,.T.); #21986=FACE_OUTER_BOUND('',#27443,.T.); #21987=FACE_OUTER_BOUND('',#27445,.T.); #21988=FACE_OUTER_BOUND('',#27446,.T.); #21989=FACE_OUTER_BOUND('',#27447,.T.); #21990=FACE_OUTER_BOUND('',#27448,.T.); #21991=FACE_OUTER_BOUND('',#27449,.T.); #21992=FACE_OUTER_BOUND('',#27450,.T.); #21993=FACE_OUTER_BOUND('',#27451,.T.); #21994=FACE_OUTER_BOUND('',#27452,.T.); #21995=FACE_OUTER_BOUND('',#27453,.T.); #21996=FACE_OUTER_BOUND('',#27454,.T.); #21997=FACE_OUTER_BOUND('',#27455,.T.); #21998=FACE_OUTER_BOUND('',#27456,.T.); #21999=FACE_OUTER_BOUND('',#27457,.T.); #22000=FACE_OUTER_BOUND('',#27458,.T.); #22001=FACE_OUTER_BOUND('',#27460,.T.); #22002=FACE_OUTER_BOUND('',#27461,.T.); #22003=FACE_OUTER_BOUND('',#27462,.T.); #22004=FACE_OUTER_BOUND('',#27463,.T.); #22005=FACE_OUTER_BOUND('',#27464,.T.); #22006=FACE_OUTER_BOUND('',#27465,.T.); #22007=FACE_OUTER_BOUND('',#27466,.T.); #22008=FACE_OUTER_BOUND('',#27467,.T.); #22009=FACE_OUTER_BOUND('',#27468,.T.); #22010=FACE_OUTER_BOUND('',#27469,.T.); #22011=FACE_OUTER_BOUND('',#27470,.T.); #22012=FACE_OUTER_BOUND('',#27471,.T.); #22013=FACE_OUTER_BOUND('',#27472,.T.); #22014=FACE_OUTER_BOUND('',#27473,.T.); #22015=FACE_OUTER_BOUND('',#27474,.T.); #22016=FACE_OUTER_BOUND('',#27475,.T.); #22017=FACE_OUTER_BOUND('',#27476,.T.); #22018=FACE_OUTER_BOUND('',#27477,.T.); #22019=FACE_OUTER_BOUND('',#27478,.T.); #22020=FACE_OUTER_BOUND('',#27479,.T.); #22021=FACE_OUTER_BOUND('',#27480,.T.); #22022=FACE_OUTER_BOUND('',#27481,.T.); #22023=FACE_OUTER_BOUND('',#27482,.T.); #22024=FACE_OUTER_BOUND('',#27483,.T.); #22025=FACE_OUTER_BOUND('',#27484,.T.); #22026=FACE_OUTER_BOUND('',#27485,.T.); #22027=FACE_OUTER_BOUND('',#27486,.T.); #22028=FACE_OUTER_BOUND('',#27487,.T.); #22029=FACE_OUTER_BOUND('',#27488,.T.); #22030=FACE_OUTER_BOUND('',#27489,.T.); #22031=FACE_OUTER_BOUND('',#27490,.T.); #22032=FACE_OUTER_BOUND('',#27491,.T.); #22033=FACE_OUTER_BOUND('',#27492,.T.); #22034=FACE_OUTER_BOUND('',#27493,.T.); #22035=FACE_OUTER_BOUND('',#27494,.T.); #22036=FACE_OUTER_BOUND('',#27495,.T.); #22037=FACE_OUTER_BOUND('',#27496,.T.); #22038=FACE_OUTER_BOUND('',#27497,.T.); #22039=FACE_OUTER_BOUND('',#27498,.T.); #22040=FACE_OUTER_BOUND('',#27499,.T.); #22041=FACE_OUTER_BOUND('',#27500,.T.); #22042=FACE_OUTER_BOUND('',#27501,.T.); #22043=FACE_OUTER_BOUND('',#27502,.T.); #22044=FACE_OUTER_BOUND('',#27503,.T.); #22045=FACE_OUTER_BOUND('',#27504,.T.); #22046=FACE_OUTER_BOUND('',#27505,.T.); #22047=FACE_OUTER_BOUND('',#27508,.T.); #22048=FACE_OUTER_BOUND('',#27509,.T.); #22049=FACE_OUTER_BOUND('',#27510,.T.); #22050=FACE_OUTER_BOUND('',#27511,.T.); #22051=FACE_OUTER_BOUND('',#27512,.T.); #22052=FACE_OUTER_BOUND('',#27513,.T.); #22053=FACE_OUTER_BOUND('',#27514,.T.); #22054=FACE_OUTER_BOUND('',#27515,.T.); #22055=FACE_OUTER_BOUND('',#27516,.T.); #22056=FACE_OUTER_BOUND('',#27517,.T.); #22057=FACE_OUTER_BOUND('',#27518,.T.); #22058=FACE_OUTER_BOUND('',#27519,.T.); #22059=FACE_OUTER_BOUND('',#27520,.T.); #22060=FACE_OUTER_BOUND('',#27521,.T.); #22061=FACE_OUTER_BOUND('',#27522,.T.); #22062=FACE_OUTER_BOUND('',#27523,.T.); #22063=FACE_OUTER_BOUND('',#27524,.T.); #22064=FACE_OUTER_BOUND('',#27525,.T.); #22065=FACE_OUTER_BOUND('',#27526,.T.); #22066=FACE_OUTER_BOUND('',#27527,.T.); #22067=FACE_OUTER_BOUND('',#27529,.T.); #22068=FACE_OUTER_BOUND('',#27530,.T.); #22069=FACE_OUTER_BOUND('',#27531,.T.); #22070=FACE_OUTER_BOUND('',#27532,.T.); #22071=FACE_OUTER_BOUND('',#27533,.T.); #22072=FACE_OUTER_BOUND('',#27534,.T.); #22073=FACE_OUTER_BOUND('',#27535,.T.); #22074=FACE_OUTER_BOUND('',#27536,.T.); #22075=FACE_OUTER_BOUND('',#27537,.T.); #22076=FACE_OUTER_BOUND('',#27538,.T.); #22077=FACE_OUTER_BOUND('',#27539,.T.); #22078=FACE_OUTER_BOUND('',#27540,.T.); #22079=FACE_OUTER_BOUND('',#27541,.T.); #22080=FACE_OUTER_BOUND('',#27542,.T.); #22081=FACE_OUTER_BOUND('',#27554,.T.); #22082=FACE_OUTER_BOUND('',#27555,.T.); #22083=FACE_OUTER_BOUND('',#27556,.T.); #22084=FACE_OUTER_BOUND('',#27557,.T.); #22085=FACE_OUTER_BOUND('',#27558,.T.); #22086=FACE_OUTER_BOUND('',#27559,.T.); #22087=FACE_OUTER_BOUND('',#27560,.T.); #22088=FACE_OUTER_BOUND('',#27561,.T.); #22089=FACE_OUTER_BOUND('',#27562,.T.); #22090=FACE_OUTER_BOUND('',#27563,.T.); #22091=FACE_OUTER_BOUND('',#27564,.T.); #22092=FACE_OUTER_BOUND('',#27565,.T.); #22093=FACE_OUTER_BOUND('',#27566,.T.); #22094=FACE_OUTER_BOUND('',#27567,.T.); #22095=FACE_OUTER_BOUND('',#27568,.T.); #22096=FACE_OUTER_BOUND('',#27569,.T.); #22097=FACE_OUTER_BOUND('',#27570,.T.); #22098=FACE_OUTER_BOUND('',#27571,.T.); #22099=FACE_OUTER_BOUND('',#27572,.T.); #22100=FACE_OUTER_BOUND('',#27573,.T.); #22101=FACE_OUTER_BOUND('',#27574,.T.); #22102=FACE_OUTER_BOUND('',#27575,.T.); #22103=FACE_OUTER_BOUND('',#27576,.T.); #22104=FACE_OUTER_BOUND('',#27577,.T.); #22105=FACE_OUTER_BOUND('',#27578,.T.); #22106=FACE_OUTER_BOUND('',#27579,.T.); #22107=FACE_OUTER_BOUND('',#27580,.T.); #22108=FACE_OUTER_BOUND('',#27581,.T.); #22109=FACE_OUTER_BOUND('',#27585,.T.); #22110=FACE_OUTER_BOUND('',#27586,.T.); #22111=FACE_OUTER_BOUND('',#27587,.T.); #22112=FACE_OUTER_BOUND('',#27588,.T.); #22113=FACE_OUTER_BOUND('',#27589,.T.); #22114=FACE_OUTER_BOUND('',#27590,.T.); #22115=FACE_OUTER_BOUND('',#27592,.T.); #22116=FACE_OUTER_BOUND('',#27593,.T.); #22117=FACE_OUTER_BOUND('',#27594,.T.); #22118=FACE_OUTER_BOUND('',#27595,.T.); #22119=FACE_OUTER_BOUND('',#27596,.T.); #22120=FACE_OUTER_BOUND('',#27597,.T.); #22121=FACE_OUTER_BOUND('',#27598,.T.); #22122=FACE_OUTER_BOUND('',#27599,.T.); #22123=FACE_OUTER_BOUND('',#27600,.T.); #22124=FACE_OUTER_BOUND('',#27601,.T.); #22125=FACE_OUTER_BOUND('',#27602,.T.); #22126=FACE_OUTER_BOUND('',#27603,.T.); #22127=FACE_OUTER_BOUND('',#27604,.T.); #22128=FACE_OUTER_BOUND('',#27605,.T.); #22129=FACE_OUTER_BOUND('',#27606,.T.); #22130=FACE_OUTER_BOUND('',#27607,.T.); #22131=FACE_OUTER_BOUND('',#27608,.T.); #22132=FACE_OUTER_BOUND('',#27609,.T.); #22133=FACE_OUTER_BOUND('',#27610,.T.); #22134=FACE_OUTER_BOUND('',#27611,.T.); #22135=FACE_OUTER_BOUND('',#27612,.T.); #22136=FACE_OUTER_BOUND('',#27613,.T.); #22137=FACE_OUTER_BOUND('',#27614,.T.); #22138=FACE_OUTER_BOUND('',#27615,.T.); #22139=FACE_OUTER_BOUND('',#27616,.T.); #22140=FACE_OUTER_BOUND('',#27617,.T.); #22141=FACE_OUTER_BOUND('',#27619,.T.); #22142=FACE_OUTER_BOUND('',#27620,.T.); #22143=FACE_OUTER_BOUND('',#27621,.T.); #22144=FACE_OUTER_BOUND('',#27622,.T.); #22145=FACE_OUTER_BOUND('',#27623,.T.); #22146=FACE_OUTER_BOUND('',#27624,.T.); #22147=FACE_OUTER_BOUND('',#27625,.T.); #22148=FACE_OUTER_BOUND('',#27626,.T.); #22149=FACE_OUTER_BOUND('',#27627,.T.); #22150=FACE_OUTER_BOUND('',#27628,.T.); #22151=FACE_OUTER_BOUND('',#27629,.T.); #22152=FACE_OUTER_BOUND('',#27630,.T.); #22153=FACE_OUTER_BOUND('',#27631,.T.); #22154=FACE_OUTER_BOUND('',#27632,.T.); #22155=FACE_OUTER_BOUND('',#27633,.T.); #22156=FACE_OUTER_BOUND('',#27634,.T.); #22157=FACE_OUTER_BOUND('',#27635,.T.); #22158=FACE_OUTER_BOUND('',#27636,.T.); #22159=FACE_OUTER_BOUND('',#27637,.T.); #22160=FACE_OUTER_BOUND('',#27638,.T.); #22161=FACE_OUTER_BOUND('',#27639,.T.); #22162=FACE_OUTER_BOUND('',#27640,.T.); #22163=FACE_OUTER_BOUND('',#27641,.T.); #22164=FACE_OUTER_BOUND('',#27642,.T.); #22165=FACE_OUTER_BOUND('',#27643,.T.); #22166=FACE_OUTER_BOUND('',#27644,.T.); #22167=FACE_OUTER_BOUND('',#27645,.T.); #22168=FACE_OUTER_BOUND('',#27646,.T.); #22169=FACE_OUTER_BOUND('',#27647,.T.); #22170=FACE_OUTER_BOUND('',#27648,.T.); #22171=FACE_OUTER_BOUND('',#27649,.T.); #22172=FACE_OUTER_BOUND('',#27650,.T.); #22173=FACE_OUTER_BOUND('',#27651,.T.); #22174=FACE_OUTER_BOUND('',#27652,.T.); #22175=FACE_OUTER_BOUND('',#27653,.T.); #22176=FACE_OUTER_BOUND('',#27659,.T.); #22177=FACE_OUTER_BOUND('',#27660,.T.); #22178=FACE_OUTER_BOUND('',#27661,.T.); #22179=FACE_OUTER_BOUND('',#27662,.T.); #22180=FACE_OUTER_BOUND('',#27663,.T.); #22181=FACE_OUTER_BOUND('',#27664,.T.); #22182=FACE_OUTER_BOUND('',#27665,.T.); #22183=FACE_OUTER_BOUND('',#27666,.T.); #22184=FACE_OUTER_BOUND('',#27667,.T.); #22185=FACE_OUTER_BOUND('',#27668,.T.); #22186=FACE_OUTER_BOUND('',#27674,.T.); #22187=FACE_OUTER_BOUND('',#27675,.T.); #22188=FACE_OUTER_BOUND('',#27676,.T.); #22189=FACE_OUTER_BOUND('',#27677,.T.); #22190=FACE_OUTER_BOUND('',#27678,.T.); #22191=FACE_OUTER_BOUND('',#27679,.T.); #22192=FACE_OUTER_BOUND('',#27680,.T.); #22193=FACE_OUTER_BOUND('',#27681,.T.); #22194=FACE_OUTER_BOUND('',#27682,.T.); #22195=FACE_OUTER_BOUND('',#27683,.T.); #22196=FACE_OUTER_BOUND('',#27684,.T.); #22197=FACE_OUTER_BOUND('',#27685,.T.); #22198=FACE_OUTER_BOUND('',#27686,.T.); #22199=FACE_OUTER_BOUND('',#27688,.T.); #22200=FACE_OUTER_BOUND('',#27689,.T.); #22201=FACE_OUTER_BOUND('',#27690,.T.); #22202=FACE_OUTER_BOUND('',#27692,.T.); #22203=FACE_OUTER_BOUND('',#27693,.T.); #22204=FACE_OUTER_BOUND('',#27694,.T.); #22205=FACE_OUTER_BOUND('',#27695,.T.); #22206=FACE_OUTER_BOUND('',#27696,.T.); #22207=FACE_OUTER_BOUND('',#27698,.T.); #22208=FACE_OUTER_BOUND('',#27699,.T.); #22209=FACE_OUTER_BOUND('',#27700,.T.); #22210=FACE_OUTER_BOUND('',#27701,.T.); #22211=FACE_OUTER_BOUND('',#27703,.T.); #22212=FACE_OUTER_BOUND('',#27705,.T.); #22213=FACE_OUTER_BOUND('',#27706,.T.); #22214=FACE_OUTER_BOUND('',#27708,.T.); #22215=FACE_OUTER_BOUND('',#27709,.T.); #22216=FACE_OUTER_BOUND('',#27710,.T.); #22217=FACE_OUTER_BOUND('',#27712,.T.); #22218=FACE_OUTER_BOUND('',#27713,.T.); #22219=FACE_OUTER_BOUND('',#27714,.T.); #22220=FACE_OUTER_BOUND('',#27715,.T.); #22221=FACE_OUTER_BOUND('',#27716,.T.); #22222=FACE_OUTER_BOUND('',#27718,.T.); #22223=FACE_OUTER_BOUND('',#27719,.T.); #22224=FACE_OUTER_BOUND('',#27720,.T.); #22225=FACE_OUTER_BOUND('',#27721,.T.); #22226=FACE_OUTER_BOUND('',#27723,.T.); #22227=FACE_OUTER_BOUND('',#27724,.T.); #22228=FACE_OUTER_BOUND('',#27725,.T.); #22229=FACE_OUTER_BOUND('',#27726,.T.); #22230=FACE_OUTER_BOUND('',#27727,.T.); #22231=FACE_OUTER_BOUND('',#27728,.T.); #22232=FACE_OUTER_BOUND('',#27729,.T.); #22233=FACE_OUTER_BOUND('',#27730,.T.); #22234=FACE_OUTER_BOUND('',#27731,.T.); #22235=FACE_OUTER_BOUND('',#27732,.T.); #22236=FACE_OUTER_BOUND('',#27733,.T.); #22237=FACE_OUTER_BOUND('',#27734,.T.); #22238=FACE_OUTER_BOUND('',#27736,.T.); #22239=FACE_OUTER_BOUND('',#27737,.T.); #22240=FACE_OUTER_BOUND('',#27739,.T.); #22241=FACE_OUTER_BOUND('',#27740,.T.); #22242=FACE_OUTER_BOUND('',#27742,.T.); #22243=FACE_OUTER_BOUND('',#27744,.T.); #22244=FACE_OUTER_BOUND('',#27745,.T.); #22245=FACE_OUTER_BOUND('',#27746,.T.); #22246=FACE_OUTER_BOUND('',#27747,.T.); #22247=FACE_OUTER_BOUND('',#27748,.T.); #22248=FACE_OUTER_BOUND('',#27749,.T.); #22249=FACE_OUTER_BOUND('',#27751,.T.); #22250=FACE_OUTER_BOUND('',#27753,.T.); #22251=FACE_OUTER_BOUND('',#27755,.T.); #22252=FACE_OUTER_BOUND('',#27756,.T.); #22253=FACE_OUTER_BOUND('',#27757,.T.); #22254=FACE_OUTER_BOUND('',#27758,.T.); #22255=FACE_OUTER_BOUND('',#27759,.T.); #22256=FACE_OUTER_BOUND('',#27760,.T.); #22257=FACE_OUTER_BOUND('',#27761,.T.); #22258=FACE_OUTER_BOUND('',#27762,.T.); #22259=FACE_OUTER_BOUND('',#27763,.T.); #22260=FACE_OUTER_BOUND('',#27764,.T.); #22261=FACE_OUTER_BOUND('',#27765,.T.); #22262=FACE_OUTER_BOUND('',#27766,.T.); #22263=FACE_OUTER_BOUND('',#27767,.T.); #22264=FACE_OUTER_BOUND('',#27768,.T.); #22265=FACE_OUTER_BOUND('',#27769,.T.); #22266=FACE_OUTER_BOUND('',#27770,.T.); #22267=FACE_OUTER_BOUND('',#27771,.T.); #22268=FACE_OUTER_BOUND('',#27772,.T.); #22269=FACE_OUTER_BOUND('',#27773,.T.); #22270=FACE_OUTER_BOUND('',#27774,.T.); #22271=FACE_OUTER_BOUND('',#27775,.T.); #22272=FACE_OUTER_BOUND('',#27776,.T.); #22273=FACE_OUTER_BOUND('',#27777,.T.); #22274=FACE_OUTER_BOUND('',#27778,.T.); #22275=FACE_OUTER_BOUND('',#27779,.T.); #22276=FACE_OUTER_BOUND('',#27780,.T.); #22277=FACE_OUTER_BOUND('',#27781,.T.); #22278=FACE_OUTER_BOUND('',#27782,.T.); #22279=FACE_OUTER_BOUND('',#27783,.T.); #22280=FACE_OUTER_BOUND('',#27784,.T.); #22281=FACE_OUTER_BOUND('',#27785,.T.); #22282=FACE_OUTER_BOUND('',#27786,.T.); #22283=FACE_OUTER_BOUND('',#27787,.T.); #22284=FACE_OUTER_BOUND('',#27788,.T.); #22285=FACE_OUTER_BOUND('',#27789,.T.); #22286=FACE_OUTER_BOUND('',#27790,.T.); #22287=FACE_OUTER_BOUND('',#27791,.T.); #22288=FACE_OUTER_BOUND('',#27792,.T.); #22289=FACE_OUTER_BOUND('',#27793,.T.); #22290=FACE_OUTER_BOUND('',#27794,.T.); #22291=FACE_OUTER_BOUND('',#27795,.T.); #22292=FACE_OUTER_BOUND('',#27796,.T.); #22293=FACE_OUTER_BOUND('',#27797,.T.); #22294=FACE_OUTER_BOUND('',#27798,.T.); #22295=FACE_OUTER_BOUND('',#27799,.T.); #22296=FACE_OUTER_BOUND('',#27800,.T.); #22297=FACE_OUTER_BOUND('',#27801,.T.); #22298=FACE_OUTER_BOUND('',#27802,.T.); #22299=FACE_OUTER_BOUND('',#27803,.T.); #22300=FACE_OUTER_BOUND('',#27804,.T.); #22301=FACE_OUTER_BOUND('',#27805,.T.); #22302=FACE_OUTER_BOUND('',#27806,.T.); #22303=FACE_OUTER_BOUND('',#27807,.T.); #22304=FACE_OUTER_BOUND('',#27808,.T.); #22305=FACE_OUTER_BOUND('',#27809,.T.); #22306=FACE_OUTER_BOUND('',#27810,.T.); #22307=FACE_OUTER_BOUND('',#27811,.T.); #22308=FACE_OUTER_BOUND('',#27812,.T.); #22309=FACE_OUTER_BOUND('',#27813,.T.); #22310=FACE_OUTER_BOUND('',#27814,.T.); #22311=FACE_OUTER_BOUND('',#27815,.T.); #22312=FACE_OUTER_BOUND('',#27816,.T.); #22313=FACE_OUTER_BOUND('',#27817,.T.); #22314=FACE_OUTER_BOUND('',#27818,.T.); #22315=FACE_OUTER_BOUND('',#27819,.T.); #22316=FACE_OUTER_BOUND('',#27820,.T.); #22317=FACE_OUTER_BOUND('',#27821,.T.); #22318=FACE_OUTER_BOUND('',#27822,.T.); #22319=FACE_OUTER_BOUND('',#27823,.T.); #22320=FACE_OUTER_BOUND('',#27824,.T.); #22321=FACE_OUTER_BOUND('',#27825,.T.); #22322=FACE_OUTER_BOUND('',#27826,.T.); #22323=FACE_OUTER_BOUND('',#27827,.T.); #22324=FACE_OUTER_BOUND('',#27828,.T.); #22325=FACE_OUTER_BOUND('',#27829,.T.); #22326=FACE_OUTER_BOUND('',#27830,.T.); #22327=FACE_OUTER_BOUND('',#27831,.T.); #22328=FACE_OUTER_BOUND('',#27832,.T.); #22329=FACE_OUTER_BOUND('',#27833,.T.); #22330=FACE_OUTER_BOUND('',#27834,.T.); #22331=FACE_OUTER_BOUND('',#27835,.T.); #22332=FACE_OUTER_BOUND('',#27836,.T.); #22333=FACE_OUTER_BOUND('',#27837,.T.); #22334=FACE_OUTER_BOUND('',#27838,.T.); #22335=FACE_OUTER_BOUND('',#27839,.T.); #22336=FACE_OUTER_BOUND('',#27840,.T.); #22337=FACE_OUTER_BOUND('',#27841,.T.); #22338=FACE_OUTER_BOUND('',#27842,.T.); #22339=FACE_OUTER_BOUND('',#27843,.T.); #22340=FACE_OUTER_BOUND('',#27844,.T.); #22341=FACE_OUTER_BOUND('',#27846,.T.); #22342=FACE_OUTER_BOUND('',#27847,.T.); #22343=FACE_OUTER_BOUND('',#27848,.T.); #22344=FACE_OUTER_BOUND('',#27849,.T.); #22345=FACE_OUTER_BOUND('',#27850,.T.); #22346=FACE_OUTER_BOUND('',#27852,.T.); #22347=FACE_OUTER_BOUND('',#27853,.T.); #22348=FACE_OUTER_BOUND('',#27854,.T.); #22349=FACE_OUTER_BOUND('',#27855,.T.); #22350=FACE_OUTER_BOUND('',#27856,.T.); #22351=FACE_OUTER_BOUND('',#27857,.T.); #22352=FACE_OUTER_BOUND('',#27858,.T.); #22353=FACE_OUTER_BOUND('',#27859,.T.); #22354=FACE_OUTER_BOUND('',#27860,.T.); #22355=FACE_OUTER_BOUND('',#27861,.T.); #22356=FACE_OUTER_BOUND('',#27862,.T.); #22357=FACE_OUTER_BOUND('',#27863,.T.); #22358=FACE_OUTER_BOUND('',#27865,.T.); #22359=FACE_OUTER_BOUND('',#27866,.T.); #22360=FACE_OUTER_BOUND('',#27867,.T.); #22361=FACE_OUTER_BOUND('',#27869,.T.); #22362=FACE_OUTER_BOUND('',#27870,.T.); #22363=FACE_OUTER_BOUND('',#27871,.T.); #22364=FACE_OUTER_BOUND('',#27872,.T.); #22365=FACE_OUTER_BOUND('',#27873,.T.); #22366=FACE_OUTER_BOUND('',#27874,.T.); #22367=FACE_OUTER_BOUND('',#27875,.T.); #22368=FACE_OUTER_BOUND('',#27876,.T.); #22369=FACE_OUTER_BOUND('',#27877,.T.); #22370=FACE_OUTER_BOUND('',#27878,.T.); #22371=FACE_OUTER_BOUND('',#27879,.T.); #22372=FACE_OUTER_BOUND('',#27880,.T.); #22373=FACE_OUTER_BOUND('',#27882,.T.); #22374=FACE_OUTER_BOUND('',#27883,.T.); #22375=FACE_OUTER_BOUND('',#27884,.T.); #22376=FACE_OUTER_BOUND('',#27885,.T.); #22377=FACE_OUTER_BOUND('',#27886,.T.); #22378=FACE_OUTER_BOUND('',#27887,.T.); #22379=FACE_OUTER_BOUND('',#27888,.T.); #22380=FACE_OUTER_BOUND('',#27889,.T.); #22381=FACE_OUTER_BOUND('',#27890,.T.); #22382=FACE_OUTER_BOUND('',#27891,.T.); #22383=FACE_OUTER_BOUND('',#27893,.T.); #22384=FACE_OUTER_BOUND('',#27894,.T.); #22385=FACE_OUTER_BOUND('',#27895,.T.); #22386=FACE_OUTER_BOUND('',#27896,.T.); #22387=FACE_OUTER_BOUND('',#27897,.T.); #22388=FACE_OUTER_BOUND('',#27898,.T.); #22389=FACE_OUTER_BOUND('',#27899,.T.); #22390=FACE_OUTER_BOUND('',#27900,.T.); #22391=FACE_OUTER_BOUND('',#27901,.T.); #22392=FACE_OUTER_BOUND('',#27902,.T.); #22393=FACE_OUTER_BOUND('',#27903,.T.); #22394=FACE_OUTER_BOUND('',#27904,.T.); #22395=FACE_OUTER_BOUND('',#27905,.T.); #22396=FACE_OUTER_BOUND('',#27907,.T.); #22397=FACE_OUTER_BOUND('',#27908,.T.); #22398=FACE_OUTER_BOUND('',#27909,.T.); #22399=FACE_OUTER_BOUND('',#27911,.T.); #22400=FACE_OUTER_BOUND('',#27912,.T.); #22401=FACE_OUTER_BOUND('',#27913,.T.); #22402=FACE_OUTER_BOUND('',#27914,.T.); #22403=FACE_OUTER_BOUND('',#27915,.T.); #22404=FACE_OUTER_BOUND('',#27916,.T.); #22405=FACE_OUTER_BOUND('',#27917,.T.); #22406=FACE_OUTER_BOUND('',#27918,.T.); #22407=FACE_OUTER_BOUND('',#27919,.T.); #22408=FACE_OUTER_BOUND('',#27920,.T.); #22409=FACE_OUTER_BOUND('',#27921,.T.); #22410=FACE_OUTER_BOUND('',#27922,.T.); #22411=FACE_OUTER_BOUND('',#27923,.T.); #22412=FACE_OUTER_BOUND('',#27924,.T.); #22413=FACE_OUTER_BOUND('',#27925,.T.); #22414=FACE_OUTER_BOUND('',#27926,.T.); #22415=FACE_OUTER_BOUND('',#27928,.T.); #22416=FACE_OUTER_BOUND('',#27929,.T.); #22417=FACE_OUTER_BOUND('',#27930,.T.); #22418=FACE_OUTER_BOUND('',#27931,.T.); #22419=FACE_OUTER_BOUND('',#27932,.T.); #22420=FACE_OUTER_BOUND('',#27933,.T.); #22421=FACE_OUTER_BOUND('',#27934,.T.); #22422=FACE_OUTER_BOUND('',#27935,.T.); #22423=FACE_OUTER_BOUND('',#27936,.T.); #22424=FACE_OUTER_BOUND('',#27938,.T.); #22425=FACE_OUTER_BOUND('',#27939,.T.); #22426=FACE_OUTER_BOUND('',#27940,.T.); #22427=FACE_OUTER_BOUND('',#27941,.T.); #22428=FACE_OUTER_BOUND('',#27942,.T.); #22429=FACE_OUTER_BOUND('',#27943,.T.); #22430=FACE_OUTER_BOUND('',#27944,.T.); #22431=FACE_OUTER_BOUND('',#27945,.T.); #22432=FACE_OUTER_BOUND('',#27946,.T.); #22433=FACE_OUTER_BOUND('',#27947,.T.); #22434=FACE_OUTER_BOUND('',#27948,.T.); #22435=FACE_OUTER_BOUND('',#27949,.T.); #22436=FACE_OUTER_BOUND('',#27950,.T.); #22437=FACE_OUTER_BOUND('',#27951,.T.); #22438=FACE_OUTER_BOUND('',#27952,.T.); #22439=FACE_OUTER_BOUND('',#27953,.T.); #22440=FACE_OUTER_BOUND('',#27954,.T.); #22441=FACE_OUTER_BOUND('',#27955,.T.); #22442=FACE_OUTER_BOUND('',#27956,.T.); #22443=FACE_OUTER_BOUND('',#27957,.T.); #22444=FACE_OUTER_BOUND('',#27958,.T.); #22445=FACE_OUTER_BOUND('',#27959,.T.); #22446=FACE_OUTER_BOUND('',#27960,.T.); #22447=FACE_OUTER_BOUND('',#27961,.T.); #22448=FACE_OUTER_BOUND('',#27962,.T.); #22449=FACE_OUTER_BOUND('',#27963,.T.); #22450=FACE_OUTER_BOUND('',#27964,.T.); #22451=FACE_OUTER_BOUND('',#27965,.T.); #22452=FACE_OUTER_BOUND('',#27966,.T.); #22453=FACE_OUTER_BOUND('',#27967,.T.); #22454=FACE_OUTER_BOUND('',#27968,.T.); #22455=FACE_OUTER_BOUND('',#27969,.T.); #22456=FACE_OUTER_BOUND('',#27970,.T.); #22457=FACE_OUTER_BOUND('',#27971,.T.); #22458=FACE_OUTER_BOUND('',#27972,.T.); #22459=FACE_OUTER_BOUND('',#27973,.T.); #22460=FACE_OUTER_BOUND('',#27974,.T.); #22461=FACE_OUTER_BOUND('',#27975,.T.); #22462=FACE_OUTER_BOUND('',#27976,.T.); #22463=FACE_OUTER_BOUND('',#27977,.T.); #22464=FACE_OUTER_BOUND('',#27978,.T.); #22465=FACE_OUTER_BOUND('',#27979,.T.); #22466=FACE_OUTER_BOUND('',#27980,.T.); #22467=FACE_OUTER_BOUND('',#27981,.T.); #22468=FACE_OUTER_BOUND('',#27982,.T.); #22469=FACE_OUTER_BOUND('',#27983,.T.); #22470=FACE_OUTER_BOUND('',#27984,.T.); #22471=FACE_OUTER_BOUND('',#27985,.T.); #22472=FACE_OUTER_BOUND('',#27986,.T.); #22473=FACE_OUTER_BOUND('',#27987,.T.); #22474=FACE_OUTER_BOUND('',#27988,.T.); #22475=FACE_OUTER_BOUND('',#27989,.T.); #22476=FACE_OUTER_BOUND('',#27990,.T.); #22477=FACE_OUTER_BOUND('',#27991,.T.); #22478=FACE_OUTER_BOUND('',#27992,.T.); #22479=FACE_OUTER_BOUND('',#27993,.T.); #22480=FACE_OUTER_BOUND('',#27994,.T.); #22481=FACE_OUTER_BOUND('',#27995,.T.); #22482=FACE_OUTER_BOUND('',#27996,.T.); #22483=FACE_OUTER_BOUND('',#27997,.T.); #22484=FACE_OUTER_BOUND('',#27998,.T.); #22485=FACE_OUTER_BOUND('',#27999,.T.); #22486=FACE_OUTER_BOUND('',#28000,.T.); #22487=FACE_OUTER_BOUND('',#28001,.T.); #22488=FACE_OUTER_BOUND('',#28002,.T.); #22489=FACE_OUTER_BOUND('',#28003,.T.); #22490=FACE_OUTER_BOUND('',#28004,.T.); #22491=FACE_OUTER_BOUND('',#28006,.T.); #22492=FACE_OUTER_BOUND('',#28009,.T.); #22493=FACE_OUTER_BOUND('',#28010,.T.); #22494=FACE_OUTER_BOUND('',#28012,.T.); #22495=FACE_OUTER_BOUND('',#28013,.T.); #22496=FACE_OUTER_BOUND('',#28014,.T.); #22497=FACE_OUTER_BOUND('',#28015,.T.); #22498=FACE_OUTER_BOUND('',#28016,.T.); #22499=FACE_OUTER_BOUND('',#28017,.T.); #22500=FACE_OUTER_BOUND('',#28018,.T.); #22501=FACE_OUTER_BOUND('',#28019,.T.); #22502=FACE_OUTER_BOUND('',#28020,.T.); #22503=FACE_OUTER_BOUND('',#28021,.T.); #22504=FACE_OUTER_BOUND('',#28022,.T.); #22505=FACE_OUTER_BOUND('',#28023,.T.); #22506=FACE_OUTER_BOUND('',#28024,.T.); #22507=FACE_OUTER_BOUND('',#28025,.T.); #22508=FACE_OUTER_BOUND('',#28026,.T.); #22509=FACE_OUTER_BOUND('',#28027,.T.); #22510=FACE_OUTER_BOUND('',#28028,.T.); #22511=FACE_OUTER_BOUND('',#28029,.T.); #22512=FACE_OUTER_BOUND('',#28030,.T.); #22513=FACE_OUTER_BOUND('',#28031,.T.); #22514=FACE_OUTER_BOUND('',#28032,.T.); #22515=FACE_OUTER_BOUND('',#28033,.T.); #22516=FACE_OUTER_BOUND('',#28034,.T.); #22517=FACE_OUTER_BOUND('',#28035,.T.); #22518=FACE_OUTER_BOUND('',#28036,.T.); #22519=FACE_OUTER_BOUND('',#28037,.T.); #22520=FACE_OUTER_BOUND('',#28038,.T.); #22521=FACE_OUTER_BOUND('',#28039,.T.); #22522=FACE_OUTER_BOUND('',#28040,.T.); #22523=FACE_OUTER_BOUND('',#28041,.T.); #22524=FACE_OUTER_BOUND('',#28042,.T.); #22525=FACE_OUTER_BOUND('',#28043,.T.); #22526=FACE_OUTER_BOUND('',#28044,.T.); #22527=FACE_OUTER_BOUND('',#28045,.T.); #22528=FACE_OUTER_BOUND('',#28046,.T.); #22529=FACE_OUTER_BOUND('',#28047,.T.); #22530=FACE_OUTER_BOUND('',#28048,.T.); #22531=FACE_OUTER_BOUND('',#28049,.T.); #22532=FACE_OUTER_BOUND('',#28050,.T.); #22533=FACE_OUTER_BOUND('',#28051,.T.); #22534=FACE_OUTER_BOUND('',#28052,.T.); #22535=FACE_OUTER_BOUND('',#28053,.T.); #22536=FACE_OUTER_BOUND('',#28054,.T.); #22537=FACE_OUTER_BOUND('',#28055,.T.); #22538=FACE_OUTER_BOUND('',#28056,.T.); #22539=FACE_OUTER_BOUND('',#28057,.T.); #22540=FACE_OUTER_BOUND('',#28058,.T.); #22541=FACE_OUTER_BOUND('',#28059,.T.); #22542=FACE_OUTER_BOUND('',#28060,.T.); #22543=FACE_OUTER_BOUND('',#28061,.T.); #22544=FACE_OUTER_BOUND('',#28062,.T.); #22545=FACE_OUTER_BOUND('',#28063,.T.); #22546=FACE_OUTER_BOUND('',#28064,.T.); #22547=FACE_OUTER_BOUND('',#28065,.T.); #22548=FACE_OUTER_BOUND('',#28066,.T.); #22549=FACE_OUTER_BOUND('',#28067,.T.); #22550=FACE_OUTER_BOUND('',#28068,.T.); #22551=FACE_OUTER_BOUND('',#28069,.T.); #22552=FACE_OUTER_BOUND('',#28070,.T.); #22553=FACE_OUTER_BOUND('',#28071,.T.); #22554=FACE_OUTER_BOUND('',#28072,.T.); #22555=FACE_OUTER_BOUND('',#28073,.T.); #22556=FACE_OUTER_BOUND('',#28074,.T.); #22557=FACE_OUTER_BOUND('',#28075,.T.); #22558=FACE_OUTER_BOUND('',#28076,.T.); #22559=FACE_OUTER_BOUND('',#28077,.T.); #22560=FACE_OUTER_BOUND('',#28078,.T.); #22561=FACE_OUTER_BOUND('',#28079,.T.); #22562=FACE_OUTER_BOUND('',#28080,.T.); #22563=FACE_OUTER_BOUND('',#28081,.T.); #22564=FACE_OUTER_BOUND('',#28082,.T.); #22565=FACE_OUTER_BOUND('',#28083,.T.); #22566=FACE_OUTER_BOUND('',#28084,.T.); #22567=FACE_OUTER_BOUND('',#28085,.T.); #22568=FACE_OUTER_BOUND('',#28086,.T.); #22569=FACE_OUTER_BOUND('',#28087,.T.); #22570=FACE_OUTER_BOUND('',#28088,.T.); #22571=FACE_OUTER_BOUND('',#28089,.T.); #22572=FACE_OUTER_BOUND('',#28090,.T.); #22573=FACE_OUTER_BOUND('',#28091,.T.); #22574=FACE_OUTER_BOUND('',#28092,.T.); #22575=FACE_OUTER_BOUND('',#28093,.T.); #22576=FACE_OUTER_BOUND('',#28094,.T.); #22577=FACE_OUTER_BOUND('',#28095,.T.); #22578=FACE_OUTER_BOUND('',#28096,.T.); #22579=FACE_OUTER_BOUND('',#28097,.T.); #22580=FACE_OUTER_BOUND('',#28098,.T.); #22581=FACE_OUTER_BOUND('',#28099,.T.); #22582=FACE_OUTER_BOUND('',#28100,.T.); #22583=FACE_OUTER_BOUND('',#28101,.T.); #22584=FACE_OUTER_BOUND('',#28102,.T.); #22585=FACE_OUTER_BOUND('',#28103,.T.); #22586=FACE_OUTER_BOUND('',#28104,.T.); #22587=FACE_OUTER_BOUND('',#28105,.T.); #22588=FACE_OUTER_BOUND('',#28106,.T.); #22589=FACE_OUTER_BOUND('',#28107,.T.); #22590=FACE_OUTER_BOUND('',#28108,.T.); #22591=FACE_OUTER_BOUND('',#28109,.T.); #22592=FACE_OUTER_BOUND('',#28110,.T.); #22593=FACE_OUTER_BOUND('',#28111,.T.); #22594=FACE_OUTER_BOUND('',#28112,.T.); #22595=FACE_OUTER_BOUND('',#28113,.T.); #22596=FACE_OUTER_BOUND('',#28114,.T.); #22597=FACE_OUTER_BOUND('',#28115,.T.); #22598=FACE_OUTER_BOUND('',#28116,.T.); #22599=FACE_OUTER_BOUND('',#28117,.T.); #22600=FACE_OUTER_BOUND('',#28118,.T.); #22601=FACE_OUTER_BOUND('',#28119,.T.); #22602=FACE_OUTER_BOUND('',#28120,.T.); #22603=FACE_OUTER_BOUND('',#28121,.T.); #22604=FACE_OUTER_BOUND('',#28122,.T.); #22605=FACE_OUTER_BOUND('',#28123,.T.); #22606=FACE_OUTER_BOUND('',#28124,.T.); #22607=FACE_OUTER_BOUND('',#28125,.T.); #22608=FACE_OUTER_BOUND('',#28126,.T.); #22609=FACE_OUTER_BOUND('',#28127,.T.); #22610=FACE_OUTER_BOUND('',#28128,.T.); #22611=FACE_OUTER_BOUND('',#28129,.T.); #22612=FACE_OUTER_BOUND('',#28130,.T.); #22613=FACE_OUTER_BOUND('',#28131,.T.); #22614=FACE_OUTER_BOUND('',#28132,.T.); #22615=FACE_OUTER_BOUND('',#28133,.T.); #22616=FACE_OUTER_BOUND('',#28134,.T.); #22617=FACE_OUTER_BOUND('',#28135,.T.); #22618=FACE_OUTER_BOUND('',#28136,.T.); #22619=FACE_OUTER_BOUND('',#28137,.T.); #22620=FACE_OUTER_BOUND('',#28138,.T.); #22621=FACE_OUTER_BOUND('',#28139,.T.); #22622=FACE_OUTER_BOUND('',#28140,.T.); #22623=FACE_OUTER_BOUND('',#28141,.T.); #22624=FACE_OUTER_BOUND('',#28142,.T.); #22625=FACE_OUTER_BOUND('',#28143,.T.); #22626=FACE_OUTER_BOUND('',#28144,.T.); #22627=FACE_OUTER_BOUND('',#28145,.T.); #22628=FACE_OUTER_BOUND('',#28146,.T.); #22629=FACE_OUTER_BOUND('',#28147,.T.); #22630=FACE_OUTER_BOUND('',#28148,.T.); #22631=FACE_OUTER_BOUND('',#28149,.T.); #22632=FACE_OUTER_BOUND('',#28150,.T.); #22633=FACE_OUTER_BOUND('',#28151,.T.); #22634=FACE_OUTER_BOUND('',#28152,.T.); #22635=FACE_OUTER_BOUND('',#28153,.T.); #22636=FACE_OUTER_BOUND('',#28154,.T.); #22637=FACE_OUTER_BOUND('',#28155,.T.); #22638=FACE_OUTER_BOUND('',#28156,.T.); #22639=FACE_OUTER_BOUND('',#28157,.T.); #22640=FACE_OUTER_BOUND('',#28158,.T.); #22641=FACE_OUTER_BOUND('',#28159,.T.); #22642=FACE_OUTER_BOUND('',#28160,.T.); #22643=FACE_OUTER_BOUND('',#28161,.T.); #22644=FACE_OUTER_BOUND('',#28162,.T.); #22645=FACE_OUTER_BOUND('',#28163,.T.); #22646=FACE_OUTER_BOUND('',#28164,.T.); #22647=FACE_OUTER_BOUND('',#28165,.T.); #22648=FACE_OUTER_BOUND('',#28166,.T.); #22649=FACE_OUTER_BOUND('',#28167,.T.); #22650=FACE_OUTER_BOUND('',#28168,.T.); #22651=FACE_OUTER_BOUND('',#28169,.T.); #22652=FACE_OUTER_BOUND('',#28170,.T.); #22653=FACE_OUTER_BOUND('',#28171,.T.); #22654=FACE_OUTER_BOUND('',#28172,.T.); #22655=FACE_OUTER_BOUND('',#28173,.T.); #22656=FACE_OUTER_BOUND('',#28174,.T.); #22657=FACE_OUTER_BOUND('',#28175,.T.); #22658=FACE_OUTER_BOUND('',#28176,.T.); #22659=FACE_OUTER_BOUND('',#28178,.T.); #22660=FACE_OUTER_BOUND('',#28179,.T.); #22661=FACE_OUTER_BOUND('',#28185,.T.); #22662=FACE_OUTER_BOUND('',#28186,.T.); #22663=FACE_OUTER_BOUND('',#28187,.T.); #22664=FACE_OUTER_BOUND('',#28188,.T.); #22665=FACE_OUTER_BOUND('',#28189,.T.); #22666=FACE_OUTER_BOUND('',#28190,.T.); #22667=FACE_OUTER_BOUND('',#28191,.T.); #22668=FACE_OUTER_BOUND('',#28192,.T.); #22669=FACE_OUTER_BOUND('',#28193,.T.); #22670=FACE_OUTER_BOUND('',#28194,.T.); #22671=FACE_OUTER_BOUND('',#28195,.T.); #22672=FACE_OUTER_BOUND('',#28196,.T.); #22673=FACE_OUTER_BOUND('',#28197,.T.); #22674=FACE_OUTER_BOUND('',#28198,.T.); #22675=FACE_OUTER_BOUND('',#28199,.T.); #22676=FACE_OUTER_BOUND('',#28200,.T.); #22677=FACE_OUTER_BOUND('',#28201,.T.); #22678=FACE_OUTER_BOUND('',#28202,.T.); #22679=FACE_OUTER_BOUND('',#28203,.T.); #22680=FACE_OUTER_BOUND('',#28204,.T.); #22681=FACE_OUTER_BOUND('',#28205,.T.); #22682=FACE_OUTER_BOUND('',#28206,.T.); #22683=FACE_OUTER_BOUND('',#28207,.T.); #22684=FACE_OUTER_BOUND('',#28208,.T.); #22685=FACE_OUTER_BOUND('',#28209,.T.); #22686=FACE_OUTER_BOUND('',#28210,.T.); #22687=FACE_OUTER_BOUND('',#28211,.T.); #22688=FACE_OUTER_BOUND('',#28212,.T.); #22689=FACE_OUTER_BOUND('',#28213,.T.); #22690=FACE_OUTER_BOUND('',#28214,.T.); #22691=FACE_OUTER_BOUND('',#28216,.T.); #22692=FACE_OUTER_BOUND('',#28217,.T.); #22693=FACE_OUTER_BOUND('',#28219,.T.); #22694=FACE_OUTER_BOUND('',#28220,.T.); #22695=FACE_OUTER_BOUND('',#28221,.T.); #22696=FACE_OUTER_BOUND('',#28222,.T.); #22697=FACE_OUTER_BOUND('',#28223,.T.); #22698=FACE_OUTER_BOUND('',#28224,.T.); #22699=FACE_OUTER_BOUND('',#28225,.T.); #22700=FACE_OUTER_BOUND('',#28226,.T.); #22701=FACE_OUTER_BOUND('',#28227,.T.); #22702=FACE_OUTER_BOUND('',#28228,.T.); #22703=FACE_OUTER_BOUND('',#28229,.T.); #22704=FACE_OUTER_BOUND('',#28230,.T.); #22705=FACE_OUTER_BOUND('',#28231,.T.); #22706=FACE_OUTER_BOUND('',#28232,.T.); #22707=FACE_OUTER_BOUND('',#28233,.T.); #22708=FACE_OUTER_BOUND('',#28234,.T.); #22709=FACE_OUTER_BOUND('',#28235,.T.); #22710=FACE_OUTER_BOUND('',#28236,.T.); #22711=FACE_OUTER_BOUND('',#28237,.T.); #22712=FACE_OUTER_BOUND('',#28238,.T.); #22713=FACE_OUTER_BOUND('',#28239,.T.); #22714=FACE_OUTER_BOUND('',#28240,.T.); #22715=FACE_OUTER_BOUND('',#28241,.T.); #22716=FACE_OUTER_BOUND('',#28242,.T.); #22717=FACE_OUTER_BOUND('',#28243,.T.); #22718=FACE_OUTER_BOUND('',#28244,.T.); #22719=FACE_OUTER_BOUND('',#28245,.T.); #22720=FACE_OUTER_BOUND('',#28246,.T.); #22721=FACE_OUTER_BOUND('',#28247,.T.); #22722=FACE_OUTER_BOUND('',#28248,.T.); #22723=FACE_OUTER_BOUND('',#28249,.T.); #22724=FACE_OUTER_BOUND('',#28250,.T.); #22725=FACE_OUTER_BOUND('',#28251,.T.); #22726=FACE_OUTER_BOUND('',#28252,.T.); #22727=FACE_OUTER_BOUND('',#28253,.T.); #22728=FACE_OUTER_BOUND('',#28254,.T.); #22729=FACE_OUTER_BOUND('',#28255,.T.); #22730=FACE_OUTER_BOUND('',#28256,.T.); #22731=FACE_OUTER_BOUND('',#28257,.T.); #22732=FACE_OUTER_BOUND('',#28258,.T.); #22733=FACE_OUTER_BOUND('',#28259,.T.); #22734=FACE_OUTER_BOUND('',#28260,.T.); #22735=FACE_OUTER_BOUND('',#28261,.T.); #22736=FACE_OUTER_BOUND('',#28262,.T.); #22737=FACE_OUTER_BOUND('',#28263,.T.); #22738=FACE_OUTER_BOUND('',#28264,.T.); #22739=FACE_OUTER_BOUND('',#28265,.T.); #22740=FACE_OUTER_BOUND('',#28266,.T.); #22741=FACE_OUTER_BOUND('',#28267,.T.); #22742=FACE_OUTER_BOUND('',#28268,.T.); #22743=FACE_OUTER_BOUND('',#28269,.T.); #22744=FACE_OUTER_BOUND('',#28270,.T.); #22745=FACE_OUTER_BOUND('',#28271,.T.); #22746=FACE_OUTER_BOUND('',#28272,.T.); #22747=FACE_OUTER_BOUND('',#28273,.T.); #22748=FACE_OUTER_BOUND('',#28274,.T.); #22749=FACE_OUTER_BOUND('',#28275,.T.); #22750=FACE_OUTER_BOUND('',#28276,.T.); #22751=FACE_OUTER_BOUND('',#28277,.T.); #22752=FACE_OUTER_BOUND('',#28278,.T.); #22753=FACE_OUTER_BOUND('',#28279,.T.); #22754=FACE_OUTER_BOUND('',#28280,.T.); #22755=FACE_OUTER_BOUND('',#28281,.T.); #22756=FACE_OUTER_BOUND('',#28282,.T.); #22757=FACE_OUTER_BOUND('',#28283,.T.); #22758=FACE_OUTER_BOUND('',#28284,.T.); #22759=FACE_OUTER_BOUND('',#28285,.T.); #22760=FACE_OUTER_BOUND('',#28286,.T.); #22761=FACE_OUTER_BOUND('',#28287,.T.); #22762=FACE_OUTER_BOUND('',#28288,.T.); #22763=FACE_OUTER_BOUND('',#28289,.T.); #22764=FACE_OUTER_BOUND('',#28290,.T.); #22765=FACE_OUTER_BOUND('',#28291,.T.); #22766=FACE_OUTER_BOUND('',#28292,.T.); #22767=FACE_OUTER_BOUND('',#28293,.T.); #22768=FACE_OUTER_BOUND('',#28294,.T.); #22769=FACE_OUTER_BOUND('',#28295,.T.); #22770=FACE_OUTER_BOUND('',#28296,.T.); #22771=FACE_OUTER_BOUND('',#28297,.T.); #22772=FACE_OUTER_BOUND('',#28298,.T.); #22773=FACE_OUTER_BOUND('',#28299,.T.); #22774=FACE_OUTER_BOUND('',#28300,.T.); #22775=FACE_OUTER_BOUND('',#28301,.T.); #22776=FACE_OUTER_BOUND('',#28302,.T.); #22777=FACE_OUTER_BOUND('',#28303,.T.); #22778=FACE_OUTER_BOUND('',#28304,.T.); #22779=FACE_OUTER_BOUND('',#28305,.T.); #22780=FACE_OUTER_BOUND('',#28307,.T.); #22781=FACE_OUTER_BOUND('',#28308,.T.); #22782=FACE_OUTER_BOUND('',#28309,.T.); #22783=FACE_OUTER_BOUND('',#28310,.T.); #22784=FACE_OUTER_BOUND('',#28311,.T.); #22785=FACE_OUTER_BOUND('',#28312,.T.); #22786=FACE_OUTER_BOUND('',#28313,.T.); #22787=FACE_OUTER_BOUND('',#28314,.T.); #22788=FACE_OUTER_BOUND('',#28315,.T.); #22789=FACE_OUTER_BOUND('',#28316,.T.); #22790=FACE_OUTER_BOUND('',#28317,.T.); #22791=FACE_OUTER_BOUND('',#28318,.T.); #22792=FACE_OUTER_BOUND('',#28319,.T.); #22793=FACE_OUTER_BOUND('',#28320,.T.); #22794=FACE_OUTER_BOUND('',#28321,.T.); #22795=FACE_OUTER_BOUND('',#28322,.T.); #22796=FACE_OUTER_BOUND('',#28323,.T.); #22797=FACE_OUTER_BOUND('',#28324,.T.); #22798=FACE_OUTER_BOUND('',#28325,.T.); #22799=FACE_OUTER_BOUND('',#28326,.T.); #22800=FACE_OUTER_BOUND('',#28329,.T.); #22801=FACE_OUTER_BOUND('',#28330,.T.); #22802=FACE_OUTER_BOUND('',#28331,.T.); #22803=FACE_OUTER_BOUND('',#28332,.T.); #22804=FACE_OUTER_BOUND('',#28333,.T.); #22805=FACE_OUTER_BOUND('',#28334,.T.); #22806=FACE_OUTER_BOUND('',#28335,.T.); #22807=FACE_OUTER_BOUND('',#28336,.T.); #22808=FACE_OUTER_BOUND('',#28337,.T.); #22809=FACE_OUTER_BOUND('',#28338,.T.); #22810=FACE_OUTER_BOUND('',#28339,.T.); #22811=FACE_OUTER_BOUND('',#28340,.T.); #22812=FACE_OUTER_BOUND('',#28341,.T.); #22813=FACE_OUTER_BOUND('',#28342,.T.); #22814=FACE_OUTER_BOUND('',#28343,.T.); #22815=FACE_OUTER_BOUND('',#28344,.T.); #22816=FACE_OUTER_BOUND('',#28345,.T.); #22817=FACE_OUTER_BOUND('',#28346,.T.); #22818=FACE_OUTER_BOUND('',#28347,.T.); #22819=FACE_OUTER_BOUND('',#28348,.T.); #22820=FACE_OUTER_BOUND('',#28349,.T.); #22821=FACE_OUTER_BOUND('',#28350,.T.); #22822=FACE_OUTER_BOUND('',#28351,.T.); #22823=FACE_OUTER_BOUND('',#28352,.T.); #22824=FACE_OUTER_BOUND('',#28353,.T.); #22825=FACE_OUTER_BOUND('',#28354,.T.); #22826=FACE_OUTER_BOUND('',#28355,.T.); #22827=FACE_OUTER_BOUND('',#28356,.T.); #22828=FACE_OUTER_BOUND('',#28357,.T.); #22829=FACE_OUTER_BOUND('',#28358,.T.); #22830=FACE_OUTER_BOUND('',#28359,.T.); #22831=FACE_OUTER_BOUND('',#28360,.T.); #22832=FACE_OUTER_BOUND('',#28361,.T.); #22833=FACE_OUTER_BOUND('',#28362,.T.); #22834=FACE_OUTER_BOUND('',#28363,.T.); #22835=FACE_OUTER_BOUND('',#28364,.T.); #22836=FACE_OUTER_BOUND('',#28365,.T.); #22837=FACE_OUTER_BOUND('',#28366,.T.); #22838=FACE_OUTER_BOUND('',#28367,.T.); #22839=FACE_OUTER_BOUND('',#28368,.T.); #22840=FACE_OUTER_BOUND('',#28370,.T.); #22841=FACE_OUTER_BOUND('',#28371,.T.); #22842=FACE_OUTER_BOUND('',#28372,.T.); #22843=FACE_OUTER_BOUND('',#28373,.T.); #22844=FACE_OUTER_BOUND('',#28374,.T.); #22845=FACE_OUTER_BOUND('',#28375,.T.); #22846=FACE_OUTER_BOUND('',#28376,.T.); #22847=FACE_OUTER_BOUND('',#28377,.T.); #22848=FACE_OUTER_BOUND('',#28378,.T.); #22849=FACE_OUTER_BOUND('',#28379,.T.); #22850=FACE_OUTER_BOUND('',#28380,.T.); #22851=FACE_OUTER_BOUND('',#28381,.T.); #22852=FACE_OUTER_BOUND('',#28382,.T.); #22853=FACE_OUTER_BOUND('',#28383,.T.); #22854=FACE_OUTER_BOUND('',#28384,.T.); #22855=FACE_OUTER_BOUND('',#28385,.T.); #22856=FACE_OUTER_BOUND('',#28386,.T.); #22857=FACE_OUTER_BOUND('',#28387,.T.); #22858=FACE_OUTER_BOUND('',#28388,.T.); #22859=FACE_OUTER_BOUND('',#28389,.T.); #22860=FACE_OUTER_BOUND('',#28391,.T.); #22861=FACE_OUTER_BOUND('',#28392,.T.); #22862=FACE_OUTER_BOUND('',#28393,.T.); #22863=FACE_OUTER_BOUND('',#28394,.T.); #22864=FACE_OUTER_BOUND('',#28395,.T.); #22865=FACE_OUTER_BOUND('',#28396,.T.); #22866=FACE_OUTER_BOUND('',#28397,.T.); #22867=FACE_OUTER_BOUND('',#28398,.T.); #22868=FACE_OUTER_BOUND('',#28399,.T.); #22869=FACE_OUTER_BOUND('',#28400,.T.); #22870=FACE_OUTER_BOUND('',#28401,.T.); #22871=FACE_OUTER_BOUND('',#28402,.T.); #22872=FACE_OUTER_BOUND('',#28403,.T.); #22873=FACE_OUTER_BOUND('',#28404,.T.); #22874=FACE_OUTER_BOUND('',#28405,.T.); #22875=FACE_OUTER_BOUND('',#28407,.T.); #22876=FACE_OUTER_BOUND('',#28408,.T.); #22877=FACE_OUTER_BOUND('',#28409,.T.); #22878=FACE_OUTER_BOUND('',#28410,.T.); #22879=FACE_OUTER_BOUND('',#28411,.T.); #22880=FACE_OUTER_BOUND('',#28412,.T.); #22881=FACE_OUTER_BOUND('',#28413,.T.); #22882=FACE_OUTER_BOUND('',#28414,.T.); #22883=FACE_OUTER_BOUND('',#28415,.T.); #22884=FACE_OUTER_BOUND('',#28416,.T.); #22885=FACE_OUTER_BOUND('',#28417,.T.); #22886=FACE_OUTER_BOUND('',#28418,.T.); #22887=FACE_OUTER_BOUND('',#28419,.T.); #22888=FACE_OUTER_BOUND('',#28420,.T.); #22889=FACE_OUTER_BOUND('',#28421,.T.); #22890=FACE_OUTER_BOUND('',#28423,.T.); #22891=FACE_OUTER_BOUND('',#28424,.T.); #22892=FACE_OUTER_BOUND('',#28425,.T.); #22893=FACE_OUTER_BOUND('',#28426,.T.); #22894=FACE_OUTER_BOUND('',#28427,.T.); #22895=FACE_OUTER_BOUND('',#28428,.T.); #22896=FACE_OUTER_BOUND('',#28429,.T.); #22897=FACE_OUTER_BOUND('',#28430,.T.); #22898=FACE_OUTER_BOUND('',#28431,.T.); #22899=FACE_OUTER_BOUND('',#28432,.T.); #22900=FACE_OUTER_BOUND('',#28433,.T.); #22901=FACE_OUTER_BOUND('',#28434,.T.); #22902=FACE_OUTER_BOUND('',#28435,.T.); #22903=FACE_OUTER_BOUND('',#28436,.T.); #22904=FACE_OUTER_BOUND('',#28438,.T.); #22905=FACE_OUTER_BOUND('',#28439,.T.); #22906=FACE_OUTER_BOUND('',#28440,.T.); #22907=FACE_OUTER_BOUND('',#28441,.T.); #22908=FACE_OUTER_BOUND('',#28442,.T.); #22909=FACE_OUTER_BOUND('',#28443,.T.); #22910=FACE_OUTER_BOUND('',#28444,.T.); #22911=FACE_OUTER_BOUND('',#28445,.T.); #22912=FACE_OUTER_BOUND('',#28446,.T.); #22913=FACE_OUTER_BOUND('',#28447,.T.); #22914=FACE_OUTER_BOUND('',#28448,.T.); #22915=FACE_OUTER_BOUND('',#28449,.T.); #22916=FACE_OUTER_BOUND('',#28450,.T.); #22917=FACE_OUTER_BOUND('',#28451,.T.); #22918=FACE_OUTER_BOUND('',#28452,.T.); #22919=FACE_OUTER_BOUND('',#28453,.T.); #22920=FACE_OUTER_BOUND('',#28454,.T.); #22921=FACE_OUTER_BOUND('',#28455,.T.); #22922=FACE_OUTER_BOUND('',#28456,.T.); #22923=FACE_OUTER_BOUND('',#28457,.T.); #22924=FACE_OUTER_BOUND('',#28458,.T.); #22925=FACE_OUTER_BOUND('',#28459,.T.); #22926=FACE_OUTER_BOUND('',#28460,.T.); #22927=FACE_OUTER_BOUND('',#28461,.T.); #22928=FACE_OUTER_BOUND('',#28462,.T.); #22929=FACE_OUTER_BOUND('',#28463,.T.); #22930=FACE_OUTER_BOUND('',#28464,.T.); #22931=FACE_OUTER_BOUND('',#28465,.T.); #22932=FACE_OUTER_BOUND('',#28466,.T.); #22933=FACE_OUTER_BOUND('',#28467,.T.); #22934=FACE_OUTER_BOUND('',#28468,.T.); #22935=FACE_OUTER_BOUND('',#28469,.T.); #22936=FACE_OUTER_BOUND('',#28470,.T.); #22937=FACE_OUTER_BOUND('',#28471,.T.); #22938=FACE_OUTER_BOUND('',#28472,.T.); #22939=FACE_OUTER_BOUND('',#28474,.T.); #22940=FACE_OUTER_BOUND('',#28475,.T.); #22941=FACE_OUTER_BOUND('',#28476,.T.); #22942=FACE_OUTER_BOUND('',#28477,.T.); #22943=FACE_OUTER_BOUND('',#28478,.T.); #22944=FACE_OUTER_BOUND('',#28479,.T.); #22945=FACE_OUTER_BOUND('',#28480,.T.); #22946=FACE_OUTER_BOUND('',#28481,.T.); #22947=FACE_OUTER_BOUND('',#28482,.T.); #22948=FACE_OUTER_BOUND('',#28483,.T.); #22949=FACE_OUTER_BOUND('',#28484,.T.); #22950=FACE_OUTER_BOUND('',#28485,.T.); #22951=FACE_OUTER_BOUND('',#28486,.T.); #22952=FACE_OUTER_BOUND('',#28487,.T.); #22953=FACE_OUTER_BOUND('',#28488,.T.); #22954=FACE_OUTER_BOUND('',#28489,.T.); #22955=FACE_OUTER_BOUND('',#28490,.T.); #22956=FACE_OUTER_BOUND('',#28491,.T.); #22957=FACE_OUTER_BOUND('',#28492,.T.); #22958=FACE_OUTER_BOUND('',#28493,.T.); #22959=FACE_OUTER_BOUND('',#28494,.T.); #22960=FACE_OUTER_BOUND('',#28495,.T.); #22961=FACE_OUTER_BOUND('',#28496,.T.); #22962=FACE_OUTER_BOUND('',#28497,.T.); #22963=FACE_OUTER_BOUND('',#28498,.T.); #22964=FACE_OUTER_BOUND('',#28499,.T.); #22965=FACE_OUTER_BOUND('',#28500,.T.); #22966=FACE_OUTER_BOUND('',#28501,.T.); #22967=FACE_OUTER_BOUND('',#28503,.T.); #22968=FACE_OUTER_BOUND('',#28504,.T.); #22969=FACE_OUTER_BOUND('',#28505,.T.); #22970=FACE_OUTER_BOUND('',#28506,.T.); #22971=FACE_OUTER_BOUND('',#28507,.T.); #22972=FACE_OUTER_BOUND('',#28508,.T.); #22973=FACE_OUTER_BOUND('',#28509,.T.); #22974=FACE_OUTER_BOUND('',#28510,.T.); #22975=FACE_OUTER_BOUND('',#28511,.T.); #22976=FACE_OUTER_BOUND('',#28512,.T.); #22977=FACE_OUTER_BOUND('',#28513,.T.); #22978=FACE_OUTER_BOUND('',#28514,.T.); #22979=FACE_OUTER_BOUND('',#28515,.T.); #22980=FACE_OUTER_BOUND('',#28516,.T.); #22981=FACE_OUTER_BOUND('',#28517,.T.); #22982=FACE_OUTER_BOUND('',#28518,.T.); #22983=FACE_OUTER_BOUND('',#28519,.T.); #22984=FACE_OUTER_BOUND('',#28520,.T.); #22985=FACE_OUTER_BOUND('',#28521,.T.); #22986=FACE_OUTER_BOUND('',#28522,.T.); #22987=FACE_OUTER_BOUND('',#28523,.T.); #22988=FACE_OUTER_BOUND('',#28524,.T.); #22989=FACE_OUTER_BOUND('',#28546,.T.); #22990=FACE_OUTER_BOUND('',#28547,.T.); #22991=FACE_OUTER_BOUND('',#28548,.T.); #22992=FACE_OUTER_BOUND('',#28549,.T.); #22993=FACE_OUTER_BOUND('',#28550,.T.); #22994=FACE_OUTER_BOUND('',#28551,.T.); #22995=FACE_OUTER_BOUND('',#28552,.T.); #22996=FACE_OUTER_BOUND('',#28553,.T.); #22997=FACE_OUTER_BOUND('',#28554,.T.); #22998=FACE_OUTER_BOUND('',#28555,.T.); #22999=FACE_OUTER_BOUND('',#28556,.T.); #23000=FACE_OUTER_BOUND('',#28557,.T.); #23001=FACE_OUTER_BOUND('',#28558,.T.); #23002=FACE_OUTER_BOUND('',#28559,.T.); #23003=FACE_OUTER_BOUND('',#28560,.T.); #23004=FACE_OUTER_BOUND('',#28561,.T.); #23005=FACE_OUTER_BOUND('',#28562,.T.); #23006=FACE_OUTER_BOUND('',#28563,.T.); #23007=FACE_OUTER_BOUND('',#28564,.T.); #23008=FACE_OUTER_BOUND('',#28565,.T.); #23009=FACE_OUTER_BOUND('',#28566,.T.); #23010=FACE_OUTER_BOUND('',#28567,.T.); #23011=FACE_OUTER_BOUND('',#28568,.T.); #23012=FACE_OUTER_BOUND('',#28569,.T.); #23013=FACE_OUTER_BOUND('',#28570,.T.); #23014=FACE_OUTER_BOUND('',#28571,.T.); #23015=FACE_OUTER_BOUND('',#28572,.T.); #23016=FACE_OUTER_BOUND('',#28573,.T.); #23017=FACE_OUTER_BOUND('',#28574,.T.); #23018=FACE_OUTER_BOUND('',#28575,.T.); #23019=FACE_OUTER_BOUND('',#28576,.T.); #23020=FACE_OUTER_BOUND('',#28577,.T.); #23021=FACE_OUTER_BOUND('',#28578,.T.); #23022=FACE_OUTER_BOUND('',#28579,.T.); #23023=FACE_OUTER_BOUND('',#28580,.T.); #23024=FACE_OUTER_BOUND('',#28581,.T.); #23025=FACE_OUTER_BOUND('',#28582,.T.); #23026=FACE_OUTER_BOUND('',#28583,.T.); #23027=FACE_OUTER_BOUND('',#28584,.T.); #23028=FACE_OUTER_BOUND('',#28585,.T.); #23029=FACE_OUTER_BOUND('',#28586,.T.); #23030=FACE_OUTER_BOUND('',#28587,.T.); #23031=FACE_OUTER_BOUND('',#28588,.T.); #23032=FACE_OUTER_BOUND('',#28589,.T.); #23033=FACE_OUTER_BOUND('',#28591,.T.); #23034=FACE_OUTER_BOUND('',#28592,.T.); #23035=FACE_OUTER_BOUND('',#28593,.T.); #23036=FACE_OUTER_BOUND('',#28594,.T.); #23037=FACE_OUTER_BOUND('',#28595,.T.); #23038=FACE_OUTER_BOUND('',#28597,.T.); #23039=FACE_OUTER_BOUND('',#28598,.T.); #23040=FACE_OUTER_BOUND('',#28599,.T.); #23041=FACE_OUTER_BOUND('',#28600,.T.); #23042=FACE_OUTER_BOUND('',#28601,.T.); #23043=FACE_OUTER_BOUND('',#28602,.T.); #23044=FACE_OUTER_BOUND('',#28603,.T.); #23045=FACE_OUTER_BOUND('',#28604,.T.); #23046=FACE_OUTER_BOUND('',#28605,.T.); #23047=FACE_OUTER_BOUND('',#28606,.T.); #23048=FACE_OUTER_BOUND('',#28607,.T.); #23049=FACE_OUTER_BOUND('',#28609,.T.); #23050=FACE_OUTER_BOUND('',#28610,.T.); #23051=FACE_OUTER_BOUND('',#28611,.T.); #23052=FACE_OUTER_BOUND('',#28612,.T.); #23053=FACE_OUTER_BOUND('',#28613,.T.); #23054=FACE_OUTER_BOUND('',#28614,.T.); #23055=FACE_OUTER_BOUND('',#28615,.T.); #23056=FACE_OUTER_BOUND('',#28616,.T.); #23057=FACE_OUTER_BOUND('',#28617,.T.); #23058=FACE_OUTER_BOUND('',#28619,.T.); #23059=FACE_OUTER_BOUND('',#28620,.T.); #23060=FACE_OUTER_BOUND('',#28621,.T.); #23061=FACE_OUTER_BOUND('',#28622,.T.); #23062=FACE_OUTER_BOUND('',#28623,.T.); #23063=FACE_OUTER_BOUND('',#28624,.T.); #23064=FACE_OUTER_BOUND('',#28625,.T.); #23065=FACE_OUTER_BOUND('',#28626,.T.); #23066=FACE_OUTER_BOUND('',#28627,.T.); #23067=FACE_OUTER_BOUND('',#28628,.T.); #23068=FACE_OUTER_BOUND('',#28629,.T.); #23069=FACE_OUTER_BOUND('',#28630,.T.); #23070=FACE_OUTER_BOUND('',#28631,.T.); #23071=FACE_OUTER_BOUND('',#28632,.T.); #23072=FACE_OUTER_BOUND('',#28633,.T.); #23073=FACE_OUTER_BOUND('',#28634,.T.); #23074=FACE_OUTER_BOUND('',#28635,.T.); #23075=FACE_OUTER_BOUND('',#28636,.T.); #23076=FACE_OUTER_BOUND('',#28637,.T.); #23077=FACE_OUTER_BOUND('',#28638,.T.); #23078=FACE_OUTER_BOUND('',#28639,.T.); #23079=FACE_OUTER_BOUND('',#28640,.T.); #23080=FACE_OUTER_BOUND('',#28641,.T.); #23081=FACE_OUTER_BOUND('',#28642,.T.); #23082=FACE_OUTER_BOUND('',#28643,.T.); #23083=FACE_OUTER_BOUND('',#28644,.T.); #23084=FACE_OUTER_BOUND('',#28645,.T.); #23085=FACE_OUTER_BOUND('',#28646,.T.); #23086=FACE_OUTER_BOUND('',#28647,.T.); #23087=FACE_OUTER_BOUND('',#28648,.T.); #23088=FACE_OUTER_BOUND('',#28650,.T.); #23089=FACE_OUTER_BOUND('',#28651,.T.); #23090=FACE_OUTER_BOUND('',#28652,.T.); #23091=FACE_OUTER_BOUND('',#28653,.T.); #23092=FACE_OUTER_BOUND('',#28654,.T.); #23093=FACE_OUTER_BOUND('',#28655,.T.); #23094=FACE_OUTER_BOUND('',#28656,.T.); #23095=FACE_OUTER_BOUND('',#28657,.T.); #23096=FACE_OUTER_BOUND('',#28658,.T.); #23097=FACE_OUTER_BOUND('',#28659,.T.); #23098=FACE_OUTER_BOUND('',#28660,.T.); #23099=FACE_OUTER_BOUND('',#28661,.T.); #23100=FACE_OUTER_BOUND('',#28663,.T.); #23101=FACE_OUTER_BOUND('',#28664,.T.); #23102=FACE_OUTER_BOUND('',#28665,.T.); #23103=FACE_OUTER_BOUND('',#28666,.T.); #23104=FACE_OUTER_BOUND('',#28667,.T.); #23105=FACE_OUTER_BOUND('',#28668,.T.); #23106=FACE_OUTER_BOUND('',#28669,.T.); #23107=FACE_OUTER_BOUND('',#28670,.T.); #23108=FACE_OUTER_BOUND('',#28671,.T.); #23109=FACE_OUTER_BOUND('',#28672,.T.); #23110=FACE_OUTER_BOUND('',#28673,.T.); #23111=FACE_OUTER_BOUND('',#28674,.T.); #23112=FACE_OUTER_BOUND('',#28675,.T.); #23113=FACE_OUTER_BOUND('',#28676,.T.); #23114=FACE_OUTER_BOUND('',#28678,.T.); #23115=FACE_OUTER_BOUND('',#28679,.T.); #23116=FACE_OUTER_BOUND('',#28680,.T.); #23117=FACE_OUTER_BOUND('',#28681,.T.); #23118=FACE_OUTER_BOUND('',#28682,.T.); #23119=FACE_OUTER_BOUND('',#28683,.T.); #23120=FACE_OUTER_BOUND('',#28684,.T.); #23121=FACE_OUTER_BOUND('',#28685,.T.); #23122=FACE_OUTER_BOUND('',#28686,.T.); #23123=FACE_OUTER_BOUND('',#28687,.T.); #23124=FACE_OUTER_BOUND('',#28688,.T.); #23125=FACE_OUTER_BOUND('',#28689,.T.); #23126=FACE_OUTER_BOUND('',#28690,.T.); #23127=FACE_OUTER_BOUND('',#28691,.T.); #23128=FACE_OUTER_BOUND('',#28692,.T.); #23129=FACE_OUTER_BOUND('',#28693,.T.); #23130=FACE_OUTER_BOUND('',#28694,.T.); #23131=FACE_OUTER_BOUND('',#28695,.T.); #23132=FACE_OUTER_BOUND('',#28696,.T.); #23133=FACE_OUTER_BOUND('',#28697,.T.); #23134=FACE_OUTER_BOUND('',#28698,.T.); #23135=FACE_OUTER_BOUND('',#28699,.T.); #23136=FACE_OUTER_BOUND('',#28700,.T.); #23137=FACE_OUTER_BOUND('',#28701,.T.); #23138=FACE_OUTER_BOUND('',#28702,.T.); #23139=FACE_OUTER_BOUND('',#28703,.T.); #23140=FACE_OUTER_BOUND('',#28704,.T.); #23141=FACE_OUTER_BOUND('',#28705,.T.); #23142=FACE_OUTER_BOUND('',#28706,.T.); #23143=FACE_OUTER_BOUND('',#28707,.T.); #23144=FACE_OUTER_BOUND('',#28708,.T.); #23145=FACE_OUTER_BOUND('',#28709,.T.); #23146=FACE_OUTER_BOUND('',#28710,.T.); #23147=FACE_OUTER_BOUND('',#28711,.T.); #23148=FACE_OUTER_BOUND('',#28712,.T.); #23149=FACE_OUTER_BOUND('',#28713,.T.); #23150=FACE_OUTER_BOUND('',#28714,.T.); #23151=FACE_OUTER_BOUND('',#28715,.T.); #23152=FACE_OUTER_BOUND('',#28716,.T.); #23153=FACE_OUTER_BOUND('',#28717,.T.); #23154=FACE_OUTER_BOUND('',#28718,.T.); #23155=FACE_OUTER_BOUND('',#28719,.T.); #23156=FACE_OUTER_BOUND('',#28720,.T.); #23157=FACE_OUTER_BOUND('',#28721,.T.); #23158=FACE_OUTER_BOUND('',#28722,.T.); #23159=FACE_OUTER_BOUND('',#28723,.T.); #23160=FACE_OUTER_BOUND('',#28724,.T.); #23161=FACE_OUTER_BOUND('',#28725,.T.); #23162=FACE_OUTER_BOUND('',#28726,.T.); #23163=FACE_OUTER_BOUND('',#28727,.T.); #23164=FACE_OUTER_BOUND('',#28728,.T.); #23165=FACE_OUTER_BOUND('',#28729,.T.); #23166=FACE_OUTER_BOUND('',#28730,.T.); #23167=FACE_OUTER_BOUND('',#28731,.T.); #23168=FACE_OUTER_BOUND('',#28732,.T.); #23169=FACE_OUTER_BOUND('',#28733,.T.); #23170=FACE_OUTER_BOUND('',#28734,.T.); #23171=FACE_OUTER_BOUND('',#28735,.T.); #23172=FACE_OUTER_BOUND('',#28736,.T.); #23173=FACE_OUTER_BOUND('',#28737,.T.); #23174=FACE_OUTER_BOUND('',#28738,.T.); #23175=FACE_OUTER_BOUND('',#28739,.T.); #23176=FACE_OUTER_BOUND('',#28740,.T.); #23177=FACE_OUTER_BOUND('',#28741,.T.); #23178=FACE_OUTER_BOUND('',#28742,.T.); #23179=FACE_OUTER_BOUND('',#28743,.T.); #23180=FACE_OUTER_BOUND('',#28744,.T.); #23181=FACE_OUTER_BOUND('',#28745,.T.); #23182=FACE_OUTER_BOUND('',#28746,.T.); #23183=FACE_OUTER_BOUND('',#28747,.T.); #23184=FACE_OUTER_BOUND('',#28748,.T.); #23185=FACE_OUTER_BOUND('',#28749,.T.); #23186=FACE_OUTER_BOUND('',#28750,.T.); #23187=FACE_OUTER_BOUND('',#28751,.T.); #23188=FACE_OUTER_BOUND('',#28752,.T.); #23189=FACE_OUTER_BOUND('',#28753,.T.); #23190=FACE_OUTER_BOUND('',#28754,.T.); #23191=FACE_OUTER_BOUND('',#28755,.T.); #23192=FACE_OUTER_BOUND('',#28756,.T.); #23193=FACE_OUTER_BOUND('',#28757,.T.); #23194=FACE_OUTER_BOUND('',#28758,.T.); #23195=FACE_OUTER_BOUND('',#28759,.T.); #23196=FACE_OUTER_BOUND('',#28760,.T.); #23197=FACE_OUTER_BOUND('',#28761,.T.); #23198=FACE_OUTER_BOUND('',#28762,.T.); #23199=FACE_OUTER_BOUND('',#28763,.T.); #23200=FACE_OUTER_BOUND('',#28764,.T.); #23201=FACE_OUTER_BOUND('',#28765,.T.); #23202=FACE_OUTER_BOUND('',#28766,.T.); #23203=FACE_OUTER_BOUND('',#28767,.T.); #23204=FACE_OUTER_BOUND('',#28768,.T.); #23205=FACE_OUTER_BOUND('',#28769,.T.); #23206=FACE_OUTER_BOUND('',#28770,.T.); #23207=FACE_OUTER_BOUND('',#28771,.T.); #23208=FACE_OUTER_BOUND('',#28772,.T.); #23209=FACE_OUTER_BOUND('',#28773,.T.); #23210=FACE_OUTER_BOUND('',#28774,.T.); #23211=FACE_OUTER_BOUND('',#28775,.T.); #23212=FACE_OUTER_BOUND('',#28776,.T.); #23213=FACE_OUTER_BOUND('',#28777,.T.); #23214=FACE_OUTER_BOUND('',#28778,.T.); #23215=FACE_OUTER_BOUND('',#28779,.T.); #23216=FACE_OUTER_BOUND('',#28780,.T.); #23217=FACE_OUTER_BOUND('',#28781,.T.); #23218=FACE_OUTER_BOUND('',#28782,.T.); #23219=FACE_OUTER_BOUND('',#28783,.T.); #23220=FACE_OUTER_BOUND('',#28784,.T.); #23221=FACE_OUTER_BOUND('',#28785,.T.); #23222=FACE_OUTER_BOUND('',#28786,.T.); #23223=FACE_OUTER_BOUND('',#28787,.T.); #23224=FACE_OUTER_BOUND('',#28788,.T.); #23225=FACE_OUTER_BOUND('',#28789,.T.); #23226=FACE_OUTER_BOUND('',#28790,.T.); #23227=FACE_OUTER_BOUND('',#28791,.T.); #23228=FACE_OUTER_BOUND('',#28792,.T.); #23229=FACE_OUTER_BOUND('',#28793,.T.); #23230=FACE_OUTER_BOUND('',#28794,.T.); #23231=FACE_OUTER_BOUND('',#28795,.T.); #23232=FACE_OUTER_BOUND('',#28796,.T.); #23233=FACE_OUTER_BOUND('',#28797,.T.); #23234=FACE_OUTER_BOUND('',#28798,.T.); #23235=FACE_OUTER_BOUND('',#28799,.T.); #23236=FACE_OUTER_BOUND('',#28800,.T.); #23237=FACE_OUTER_BOUND('',#28801,.T.); #23238=FACE_OUTER_BOUND('',#28802,.T.); #23239=FACE_OUTER_BOUND('',#28803,.T.); #23240=FACE_OUTER_BOUND('',#28804,.T.); #23241=FACE_OUTER_BOUND('',#28805,.T.); #23242=FACE_OUTER_BOUND('',#28806,.T.); #23243=FACE_OUTER_BOUND('',#28807,.T.); #23244=FACE_OUTER_BOUND('',#28808,.T.); #23245=FACE_OUTER_BOUND('',#28809,.T.); #23246=FACE_OUTER_BOUND('',#28810,.T.); #23247=FACE_OUTER_BOUND('',#28811,.T.); #23248=FACE_OUTER_BOUND('',#28812,.T.); #23249=FACE_OUTER_BOUND('',#28814,.T.); #23250=FACE_OUTER_BOUND('',#28815,.T.); #23251=FACE_OUTER_BOUND('',#28816,.T.); #23252=FACE_OUTER_BOUND('',#28817,.T.); #23253=FACE_OUTER_BOUND('',#28818,.T.); #23254=FACE_OUTER_BOUND('',#28819,.T.); #23255=FACE_OUTER_BOUND('',#28820,.T.); #23256=FACE_OUTER_BOUND('',#28821,.T.); #23257=FACE_OUTER_BOUND('',#28822,.T.); #23258=FACE_OUTER_BOUND('',#28823,.T.); #23259=FACE_OUTER_BOUND('',#28824,.T.); #23260=FACE_OUTER_BOUND('',#28825,.T.); #23261=FACE_OUTER_BOUND('',#28826,.T.); #23262=FACE_OUTER_BOUND('',#28827,.T.); #23263=FACE_OUTER_BOUND('',#28828,.T.); #23264=FACE_OUTER_BOUND('',#28829,.T.); #23265=FACE_OUTER_BOUND('',#28830,.T.); #23266=FACE_OUTER_BOUND('',#28831,.T.); #23267=FACE_OUTER_BOUND('',#28832,.T.); #23268=FACE_OUTER_BOUND('',#28833,.T.); #23269=FACE_OUTER_BOUND('',#28834,.T.); #23270=FACE_OUTER_BOUND('',#28835,.T.); #23271=FACE_OUTER_BOUND('',#28836,.T.); #23272=FACE_OUTER_BOUND('',#28838,.T.); #23273=FACE_OUTER_BOUND('',#28839,.T.); #23274=FACE_OUTER_BOUND('',#28840,.T.); #23275=FACE_OUTER_BOUND('',#28841,.T.); #23276=FACE_OUTER_BOUND('',#28842,.T.); #23277=FACE_OUTER_BOUND('',#28843,.T.); #23278=FACE_OUTER_BOUND('',#28844,.T.); #23279=FACE_OUTER_BOUND('',#28845,.T.); #23280=FACE_OUTER_BOUND('',#28846,.T.); #23281=FACE_OUTER_BOUND('',#28847,.T.); #23282=FACE_OUTER_BOUND('',#28848,.T.); #23283=FACE_OUTER_BOUND('',#28849,.T.); #23284=FACE_OUTER_BOUND('',#28850,.T.); #23285=FACE_OUTER_BOUND('',#28851,.T.); #23286=FACE_OUTER_BOUND('',#28852,.T.); #23287=FACE_OUTER_BOUND('',#28853,.T.); #23288=FACE_OUTER_BOUND('',#28854,.T.); #23289=FACE_OUTER_BOUND('',#28855,.T.); #23290=FACE_OUTER_BOUND('',#28856,.T.); #23291=FACE_OUTER_BOUND('',#28857,.T.); #23292=FACE_OUTER_BOUND('',#28858,.T.); #23293=FACE_OUTER_BOUND('',#28859,.T.); #23294=FACE_OUTER_BOUND('',#28860,.T.); #23295=FACE_OUTER_BOUND('',#28861,.T.); #23296=FACE_OUTER_BOUND('',#28862,.T.); #23297=FACE_OUTER_BOUND('',#28863,.T.); #23298=FACE_OUTER_BOUND('',#28864,.T.); #23299=FACE_OUTER_BOUND('',#28865,.T.); #23300=FACE_OUTER_BOUND('',#28866,.T.); #23301=FACE_OUTER_BOUND('',#28867,.T.); #23302=FACE_OUTER_BOUND('',#28868,.T.); #23303=FACE_OUTER_BOUND('',#28869,.T.); #23304=FACE_OUTER_BOUND('',#28870,.T.); #23305=FACE_OUTER_BOUND('',#28871,.T.); #23306=FACE_OUTER_BOUND('',#28872,.T.); #23307=FACE_OUTER_BOUND('',#28873,.T.); #23308=FACE_OUTER_BOUND('',#28874,.T.); #23309=FACE_OUTER_BOUND('',#28875,.T.); #23310=FACE_OUTER_BOUND('',#28876,.T.); #23311=FACE_OUTER_BOUND('',#28877,.T.); #23312=FACE_OUTER_BOUND('',#28878,.T.); #23313=FACE_OUTER_BOUND('',#28879,.T.); #23314=FACE_OUTER_BOUND('',#28880,.T.); #23315=FACE_OUTER_BOUND('',#28881,.T.); #23316=FACE_OUTER_BOUND('',#28882,.T.); #23317=FACE_OUTER_BOUND('',#28883,.T.); #23318=FACE_OUTER_BOUND('',#28884,.T.); #23319=FACE_OUTER_BOUND('',#28885,.T.); #23320=FACE_OUTER_BOUND('',#28886,.T.); #23321=FACE_OUTER_BOUND('',#28887,.T.); #23322=FACE_OUTER_BOUND('',#28888,.T.); #23323=FACE_OUTER_BOUND('',#28889,.T.); #23324=FACE_OUTER_BOUND('',#28890,.T.); #23325=FACE_OUTER_BOUND('',#28891,.T.); #23326=FACE_OUTER_BOUND('',#28892,.T.); #23327=FACE_OUTER_BOUND('',#28893,.T.); #23328=FACE_OUTER_BOUND('',#28894,.T.); #23329=FACE_OUTER_BOUND('',#28895,.T.); #23330=FACE_OUTER_BOUND('',#28896,.T.); #23331=FACE_OUTER_BOUND('',#28897,.T.); #23332=FACE_OUTER_BOUND('',#28898,.T.); #23333=FACE_OUTER_BOUND('',#28899,.T.); #23334=FACE_OUTER_BOUND('',#28900,.T.); #23335=FACE_OUTER_BOUND('',#28901,.T.); #23336=FACE_OUTER_BOUND('',#28902,.T.); #23337=FACE_OUTER_BOUND('',#28903,.T.); #23338=FACE_OUTER_BOUND('',#28904,.T.); #23339=FACE_OUTER_BOUND('',#28905,.T.); #23340=FACE_OUTER_BOUND('',#28906,.T.); #23341=FACE_OUTER_BOUND('',#28907,.T.); #23342=FACE_OUTER_BOUND('',#28908,.T.); #23343=FACE_OUTER_BOUND('',#28909,.T.); #23344=FACE_OUTER_BOUND('',#28910,.T.); #23345=FACE_OUTER_BOUND('',#28911,.T.); #23346=FACE_OUTER_BOUND('',#28912,.T.); #23347=FACE_OUTER_BOUND('',#28913,.T.); #23348=FACE_OUTER_BOUND('',#28914,.T.); #23349=FACE_OUTER_BOUND('',#28915,.T.); #23350=FACE_OUTER_BOUND('',#28916,.T.); #23351=FACE_OUTER_BOUND('',#28917,.T.); #23352=FACE_OUTER_BOUND('',#28918,.T.); #23353=FACE_OUTER_BOUND('',#28919,.T.); #23354=FACE_OUTER_BOUND('',#28920,.T.); #23355=FACE_OUTER_BOUND('',#28921,.T.); #23356=FACE_OUTER_BOUND('',#28922,.T.); #23357=FACE_OUTER_BOUND('',#28923,.T.); #23358=FACE_OUTER_BOUND('',#28924,.T.); #23359=FACE_OUTER_BOUND('',#28925,.T.); #23360=FACE_OUTER_BOUND('',#28926,.T.); #23361=FACE_OUTER_BOUND('',#28927,.T.); #23362=FACE_OUTER_BOUND('',#28931,.T.); #23363=FACE_OUTER_BOUND('',#28932,.T.); #23364=FACE_OUTER_BOUND('',#28933,.T.); #23365=FACE_OUTER_BOUND('',#28934,.T.); #23366=FACE_OUTER_BOUND('',#28935,.T.); #23367=FACE_OUTER_BOUND('',#28936,.T.); #23368=FACE_OUTER_BOUND('',#28937,.T.); #23369=FACE_OUTER_BOUND('',#28938,.T.); #23370=FACE_OUTER_BOUND('',#28939,.T.); #23371=FACE_OUTER_BOUND('',#28940,.T.); #23372=FACE_OUTER_BOUND('',#28941,.T.); #23373=FACE_OUTER_BOUND('',#28942,.T.); #23374=FACE_OUTER_BOUND('',#28943,.T.); #23375=FACE_OUTER_BOUND('',#28944,.T.); #23376=FACE_OUTER_BOUND('',#28945,.T.); #23377=FACE_OUTER_BOUND('',#28946,.T.); #23378=FACE_OUTER_BOUND('',#28947,.T.); #23379=FACE_OUTER_BOUND('',#28948,.T.); #23380=FACE_OUTER_BOUND('',#28949,.T.); #23381=FACE_OUTER_BOUND('',#28950,.T.); #23382=FACE_OUTER_BOUND('',#28951,.T.); #23383=FACE_OUTER_BOUND('',#28952,.T.); #23384=FACE_OUTER_BOUND('',#28953,.T.); #23385=FACE_OUTER_BOUND('',#28954,.T.); #23386=FACE_OUTER_BOUND('',#28955,.T.); #23387=FACE_OUTER_BOUND('',#28956,.T.); #23388=FACE_OUTER_BOUND('',#28957,.T.); #23389=FACE_OUTER_BOUND('',#28958,.T.); #23390=FACE_OUTER_BOUND('',#28959,.T.); #23391=FACE_OUTER_BOUND('',#28960,.T.); #23392=FACE_OUTER_BOUND('',#28961,.T.); #23393=FACE_OUTER_BOUND('',#28962,.T.); #23394=FACE_OUTER_BOUND('',#28963,.T.); #23395=FACE_OUTER_BOUND('',#28964,.T.); #23396=FACE_OUTER_BOUND('',#28965,.T.); #23397=FACE_OUTER_BOUND('',#28966,.T.); #23398=FACE_OUTER_BOUND('',#28967,.T.); #23399=FACE_OUTER_BOUND('',#28968,.T.); #23400=FACE_OUTER_BOUND('',#28969,.T.); #23401=FACE_OUTER_BOUND('',#28970,.T.); #23402=FACE_OUTER_BOUND('',#28971,.T.); #23403=FACE_OUTER_BOUND('',#28972,.T.); #23404=FACE_OUTER_BOUND('',#28973,.T.); #23405=FACE_OUTER_BOUND('',#28974,.T.); #23406=FACE_OUTER_BOUND('',#28975,.T.); #23407=FACE_OUTER_BOUND('',#28976,.T.); #23408=FACE_OUTER_BOUND('',#28977,.T.); #23409=FACE_OUTER_BOUND('',#28978,.T.); #23410=FACE_OUTER_BOUND('',#28979,.T.); #23411=FACE_OUTER_BOUND('',#28980,.T.); #23412=FACE_OUTER_BOUND('',#28981,.T.); #23413=FACE_OUTER_BOUND('',#28982,.T.); #23414=FACE_OUTER_BOUND('',#28983,.T.); #23415=FACE_OUTER_BOUND('',#28984,.T.); #23416=FACE_OUTER_BOUND('',#28985,.T.); #23417=FACE_OUTER_BOUND('',#28986,.T.); #23418=FACE_OUTER_BOUND('',#28987,.T.); #23419=FACE_OUTER_BOUND('',#28988,.T.); #23420=FACE_OUTER_BOUND('',#28989,.T.); #23421=FACE_OUTER_BOUND('',#28990,.T.); #23422=FACE_OUTER_BOUND('',#28991,.T.); #23423=FACE_OUTER_BOUND('',#28992,.T.); #23424=FACE_OUTER_BOUND('',#28993,.T.); #23425=FACE_OUTER_BOUND('',#28994,.T.); #23426=FACE_OUTER_BOUND('',#28995,.T.); #23427=FACE_OUTER_BOUND('',#28996,.T.); #23428=FACE_OUTER_BOUND('',#28997,.T.); #23429=FACE_OUTER_BOUND('',#28998,.T.); #23430=FACE_OUTER_BOUND('',#28999,.T.); #23431=FACE_OUTER_BOUND('',#29000,.T.); #23432=FACE_OUTER_BOUND('',#29001,.T.); #23433=FACE_OUTER_BOUND('',#29002,.T.); #23434=FACE_OUTER_BOUND('',#29003,.T.); #23435=FACE_OUTER_BOUND('',#29004,.T.); #23436=FACE_OUTER_BOUND('',#29005,.T.); #23437=FACE_OUTER_BOUND('',#29006,.T.); #23438=FACE_OUTER_BOUND('',#29007,.T.); #23439=FACE_OUTER_BOUND('',#29008,.T.); #23440=FACE_OUTER_BOUND('',#29009,.T.); #23441=FACE_OUTER_BOUND('',#29010,.T.); #23442=FACE_OUTER_BOUND('',#29011,.T.); #23443=FACE_OUTER_BOUND('',#29012,.T.); #23444=FACE_OUTER_BOUND('',#29013,.T.); #23445=FACE_OUTER_BOUND('',#29015,.T.); #23446=FACE_OUTER_BOUND('',#29016,.T.); #23447=FACE_OUTER_BOUND('',#29017,.T.); #23448=FACE_OUTER_BOUND('',#29018,.T.); #23449=FACE_OUTER_BOUND('',#29019,.T.); #23450=FACE_OUTER_BOUND('',#29020,.T.); #23451=FACE_OUTER_BOUND('',#29021,.T.); #23452=FACE_OUTER_BOUND('',#29022,.T.); #23453=FACE_OUTER_BOUND('',#29023,.T.); #23454=FACE_OUTER_BOUND('',#29024,.T.); #23455=FACE_OUTER_BOUND('',#29025,.T.); #23456=FACE_OUTER_BOUND('',#29026,.T.); #23457=FACE_OUTER_BOUND('',#29027,.T.); #23458=FACE_OUTER_BOUND('',#29028,.T.); #23459=FACE_OUTER_BOUND('',#29029,.T.); #23460=FACE_OUTER_BOUND('',#29030,.T.); #23461=FACE_OUTER_BOUND('',#29031,.T.); #23462=FACE_OUTER_BOUND('',#29032,.T.); #23463=FACE_OUTER_BOUND('',#29033,.T.); #23464=FACE_OUTER_BOUND('',#29034,.T.); #23465=FACE_OUTER_BOUND('',#29035,.T.); #23466=FACE_OUTER_BOUND('',#29036,.T.); #23467=FACE_OUTER_BOUND('',#29037,.T.); #23468=FACE_OUTER_BOUND('',#29038,.T.); #23469=FACE_OUTER_BOUND('',#29039,.T.); #23470=FACE_OUTER_BOUND('',#29040,.T.); #23471=FACE_OUTER_BOUND('',#29041,.T.); #23472=FACE_OUTER_BOUND('',#29042,.T.); #23473=FACE_OUTER_BOUND('',#29043,.T.); #23474=FACE_OUTER_BOUND('',#29044,.T.); #23475=FACE_OUTER_BOUND('',#29045,.T.); #23476=FACE_OUTER_BOUND('',#29046,.T.); #23477=FACE_OUTER_BOUND('',#29047,.T.); #23478=FACE_OUTER_BOUND('',#29048,.T.); #23479=FACE_OUTER_BOUND('',#29049,.T.); #23480=FACE_OUTER_BOUND('',#29050,.T.); #23481=FACE_OUTER_BOUND('',#29051,.T.); #23482=FACE_OUTER_BOUND('',#29052,.T.); #23483=FACE_OUTER_BOUND('',#29053,.T.); #23484=FACE_OUTER_BOUND('',#29054,.T.); #23485=FACE_OUTER_BOUND('',#29055,.T.); #23486=FACE_OUTER_BOUND('',#29056,.T.); #23487=FACE_OUTER_BOUND('',#29057,.T.); #23488=FACE_OUTER_BOUND('',#29058,.T.); #23489=FACE_OUTER_BOUND('',#29059,.T.); #23490=FACE_OUTER_BOUND('',#29060,.T.); #23491=FACE_OUTER_BOUND('',#29061,.T.); #23492=FACE_OUTER_BOUND('',#29062,.T.); #23493=FACE_OUTER_BOUND('',#29063,.T.); #23494=FACE_OUTER_BOUND('',#29064,.T.); #23495=FACE_OUTER_BOUND('',#29065,.T.); #23496=FACE_OUTER_BOUND('',#29066,.T.); #23497=FACE_OUTER_BOUND('',#29067,.T.); #23498=FACE_OUTER_BOUND('',#29068,.T.); #23499=FACE_OUTER_BOUND('',#29069,.T.); #23500=FACE_OUTER_BOUND('',#29070,.T.); #23501=FACE_OUTER_BOUND('',#29071,.T.); #23502=FACE_OUTER_BOUND('',#29072,.T.); #23503=FACE_OUTER_BOUND('',#29073,.T.); #23504=FACE_OUTER_BOUND('',#29074,.T.); #23505=FACE_OUTER_BOUND('',#29075,.T.); #23506=FACE_OUTER_BOUND('',#29076,.T.); #23507=FACE_OUTER_BOUND('',#29077,.T.); #23508=FACE_OUTER_BOUND('',#29078,.T.); #23509=FACE_OUTER_BOUND('',#29079,.T.); #23510=FACE_OUTER_BOUND('',#29080,.T.); #23511=FACE_OUTER_BOUND('',#29081,.T.); #23512=FACE_OUTER_BOUND('',#29082,.T.); #23513=FACE_OUTER_BOUND('',#29083,.T.); #23514=FACE_OUTER_BOUND('',#29084,.T.); #23515=FACE_OUTER_BOUND('',#29085,.T.); #23516=FACE_OUTER_BOUND('',#29086,.T.); #23517=FACE_OUTER_BOUND('',#29087,.T.); #23518=FACE_OUTER_BOUND('',#29088,.T.); #23519=FACE_OUTER_BOUND('',#29089,.T.); #23520=FACE_OUTER_BOUND('',#29090,.T.); #23521=FACE_OUTER_BOUND('',#29091,.T.); #23522=FACE_OUTER_BOUND('',#29092,.T.); #23523=FACE_OUTER_BOUND('',#29093,.T.); #23524=FACE_OUTER_BOUND('',#29094,.T.); #23525=FACE_OUTER_BOUND('',#29095,.T.); #23526=FACE_OUTER_BOUND('',#29096,.T.); #23527=FACE_OUTER_BOUND('',#29097,.T.); #23528=FACE_OUTER_BOUND('',#29098,.T.); #23529=FACE_OUTER_BOUND('',#29099,.T.); #23530=FACE_OUTER_BOUND('',#29100,.T.); #23531=FACE_OUTER_BOUND('',#29101,.T.); #23532=FACE_OUTER_BOUND('',#29102,.T.); #23533=FACE_OUTER_BOUND('',#29103,.T.); #23534=FACE_OUTER_BOUND('',#29104,.T.); #23535=FACE_OUTER_BOUND('',#29105,.T.); #23536=FACE_OUTER_BOUND('',#29107,.T.); #23537=FACE_OUTER_BOUND('',#29108,.T.); #23538=FACE_OUTER_BOUND('',#29109,.T.); #23539=FACE_OUTER_BOUND('',#29110,.T.); #23540=FACE_OUTER_BOUND('',#29111,.T.); #23541=FACE_OUTER_BOUND('',#29112,.T.); #23542=FACE_OUTER_BOUND('',#29113,.T.); #23543=FACE_OUTER_BOUND('',#29114,.T.); #23544=FACE_OUTER_BOUND('',#29115,.T.); #23545=FACE_OUTER_BOUND('',#29116,.T.); #23546=FACE_OUTER_BOUND('',#29117,.T.); #23547=FACE_OUTER_BOUND('',#29118,.T.); #23548=FACE_OUTER_BOUND('',#29119,.T.); #23549=FACE_OUTER_BOUND('',#29120,.T.); #23550=FACE_OUTER_BOUND('',#29121,.T.); #23551=FACE_OUTER_BOUND('',#29122,.T.); #23552=FACE_OUTER_BOUND('',#29123,.T.); #23553=FACE_OUTER_BOUND('',#29124,.T.); #23554=FACE_OUTER_BOUND('',#29125,.T.); #23555=FACE_OUTER_BOUND('',#29126,.T.); #23556=FACE_OUTER_BOUND('',#29127,.T.); #23557=FACE_OUTER_BOUND('',#29128,.T.); #23558=FACE_OUTER_BOUND('',#29129,.T.); #23559=FACE_OUTER_BOUND('',#29130,.T.); #23560=FACE_OUTER_BOUND('',#29131,.T.); #23561=FACE_OUTER_BOUND('',#29132,.T.); #23562=FACE_OUTER_BOUND('',#29133,.T.); #23563=FACE_OUTER_BOUND('',#29134,.T.); #23564=FACE_OUTER_BOUND('',#29135,.T.); #23565=FACE_OUTER_BOUND('',#29136,.T.); #23566=FACE_OUTER_BOUND('',#29137,.T.); #23567=FACE_OUTER_BOUND('',#29138,.T.); #23568=FACE_OUTER_BOUND('',#29139,.T.); #23569=FACE_OUTER_BOUND('',#29140,.T.); #23570=FACE_OUTER_BOUND('',#29141,.T.); #23571=FACE_OUTER_BOUND('',#29142,.T.); #23572=FACE_OUTER_BOUND('',#29143,.T.); #23573=FACE_OUTER_BOUND('',#29144,.T.); #23574=FACE_OUTER_BOUND('',#29145,.T.); #23575=FACE_OUTER_BOUND('',#29146,.T.); #23576=FACE_OUTER_BOUND('',#29147,.T.); #23577=FACE_OUTER_BOUND('',#29148,.T.); #23578=FACE_OUTER_BOUND('',#29149,.T.); #23579=FACE_OUTER_BOUND('',#29150,.T.); #23580=FACE_OUTER_BOUND('',#29151,.T.); #23581=FACE_OUTER_BOUND('',#29152,.T.); #23582=FACE_OUTER_BOUND('',#29153,.T.); #23583=FACE_OUTER_BOUND('',#29154,.T.); #23584=FACE_OUTER_BOUND('',#29155,.T.); #23585=FACE_OUTER_BOUND('',#29156,.T.); #23586=FACE_OUTER_BOUND('',#29157,.T.); #23587=FACE_OUTER_BOUND('',#29158,.T.); #23588=FACE_OUTER_BOUND('',#29159,.T.); #23589=FACE_OUTER_BOUND('',#29160,.T.); #23590=FACE_OUTER_BOUND('',#29161,.T.); #23591=FACE_OUTER_BOUND('',#29162,.T.); #23592=FACE_OUTER_BOUND('',#29163,.T.); #23593=FACE_OUTER_BOUND('',#29164,.T.); #23594=FACE_OUTER_BOUND('',#29165,.T.); #23595=FACE_OUTER_BOUND('',#29166,.T.); #23596=FACE_OUTER_BOUND('',#29167,.T.); #23597=FACE_OUTER_BOUND('',#29168,.T.); #23598=FACE_OUTER_BOUND('',#29169,.T.); #23599=FACE_OUTER_BOUND('',#29170,.T.); #23600=FACE_OUTER_BOUND('',#29171,.T.); #23601=FACE_OUTER_BOUND('',#29172,.T.); #23602=FACE_OUTER_BOUND('',#29173,.T.); #23603=FACE_OUTER_BOUND('',#29174,.T.); #23604=FACE_OUTER_BOUND('',#29175,.T.); #23605=FACE_OUTER_BOUND('',#29176,.T.); #23606=FACE_OUTER_BOUND('',#29177,.T.); #23607=FACE_OUTER_BOUND('',#29178,.T.); #23608=FACE_OUTER_BOUND('',#29179,.T.); #23609=FACE_OUTER_BOUND('',#29180,.T.); #23610=FACE_OUTER_BOUND('',#29181,.T.); #23611=FACE_OUTER_BOUND('',#29182,.T.); #23612=FACE_OUTER_BOUND('',#29183,.T.); #23613=FACE_OUTER_BOUND('',#29184,.T.); #23614=FACE_OUTER_BOUND('',#29185,.T.); #23615=FACE_OUTER_BOUND('',#29186,.T.); #23616=FACE_OUTER_BOUND('',#29187,.T.); #23617=FACE_OUTER_BOUND('',#29188,.T.); #23618=FACE_OUTER_BOUND('',#29189,.T.); #23619=FACE_OUTER_BOUND('',#29190,.T.); #23620=FACE_OUTER_BOUND('',#29191,.T.); #23621=FACE_OUTER_BOUND('',#29192,.T.); #23622=FACE_OUTER_BOUND('',#29193,.T.); #23623=FACE_OUTER_BOUND('',#29194,.T.); #23624=FACE_OUTER_BOUND('',#29195,.T.); #23625=FACE_OUTER_BOUND('',#29196,.T.); #23626=FACE_OUTER_BOUND('',#29197,.T.); #23627=FACE_OUTER_BOUND('',#29198,.T.); #23628=FACE_OUTER_BOUND('',#29199,.T.); #23629=FACE_OUTER_BOUND('',#29200,.T.); #23630=FACE_OUTER_BOUND('',#29201,.T.); #23631=FACE_OUTER_BOUND('',#29202,.T.); #23632=FACE_OUTER_BOUND('',#29203,.T.); #23633=FACE_OUTER_BOUND('',#29204,.T.); #23634=FACE_OUTER_BOUND('',#29205,.T.); #23635=FACE_OUTER_BOUND('',#29206,.T.); #23636=FACE_OUTER_BOUND('',#29207,.T.); #23637=FACE_OUTER_BOUND('',#29208,.T.); #23638=FACE_OUTER_BOUND('',#29209,.T.); #23639=FACE_OUTER_BOUND('',#29210,.T.); #23640=FACE_OUTER_BOUND('',#29211,.T.); #23641=FACE_OUTER_BOUND('',#29212,.T.); #23642=FACE_OUTER_BOUND('',#29213,.T.); #23643=FACE_OUTER_BOUND('',#29214,.T.); #23644=FACE_OUTER_BOUND('',#29215,.T.); #23645=FACE_OUTER_BOUND('',#29216,.T.); #23646=FACE_OUTER_BOUND('',#29217,.T.); #23647=FACE_OUTER_BOUND('',#29218,.T.); #23648=FACE_OUTER_BOUND('',#29219,.T.); #23649=FACE_OUTER_BOUND('',#29220,.T.); #23650=FACE_OUTER_BOUND('',#29221,.T.); #23651=FACE_OUTER_BOUND('',#29222,.T.); #23652=FACE_OUTER_BOUND('',#29223,.T.); #23653=FACE_OUTER_BOUND('',#29224,.T.); #23654=FACE_OUTER_BOUND('',#29225,.T.); #23655=FACE_OUTER_BOUND('',#29226,.T.); #23656=FACE_OUTER_BOUND('',#29227,.T.); #23657=FACE_OUTER_BOUND('',#29228,.T.); #23658=FACE_OUTER_BOUND('',#29229,.T.); #23659=FACE_OUTER_BOUND('',#29230,.T.); #23660=FACE_OUTER_BOUND('',#29231,.T.); #23661=FACE_OUTER_BOUND('',#29232,.T.); #23662=FACE_OUTER_BOUND('',#29233,.T.); #23663=FACE_OUTER_BOUND('',#29234,.T.); #23664=FACE_OUTER_BOUND('',#29235,.T.); #23665=FACE_OUTER_BOUND('',#29236,.T.); #23666=FACE_OUTER_BOUND('',#29237,.T.); #23667=FACE_OUTER_BOUND('',#29238,.T.); #23668=FACE_OUTER_BOUND('',#29239,.T.); #23669=FACE_OUTER_BOUND('',#29240,.T.); #23670=FACE_OUTER_BOUND('',#29241,.T.); #23671=FACE_OUTER_BOUND('',#29242,.T.); #23672=FACE_OUTER_BOUND('',#29243,.T.); #23673=FACE_OUTER_BOUND('',#29244,.T.); #23674=FACE_OUTER_BOUND('',#29245,.T.); #23675=FACE_OUTER_BOUND('',#29246,.T.); #23676=FACE_OUTER_BOUND('',#29247,.T.); #23677=FACE_OUTER_BOUND('',#29248,.T.); #23678=FACE_OUTER_BOUND('',#29249,.T.); #23679=FACE_OUTER_BOUND('',#29250,.T.); #23680=FACE_OUTER_BOUND('',#29251,.T.); #23681=FACE_OUTER_BOUND('',#29252,.T.); #23682=FACE_OUTER_BOUND('',#29253,.T.); #23683=FACE_OUTER_BOUND('',#29254,.T.); #23684=FACE_OUTER_BOUND('',#29255,.T.); #23685=FACE_OUTER_BOUND('',#29256,.T.); #23686=FACE_OUTER_BOUND('',#29257,.T.); #23687=FACE_OUTER_BOUND('',#29258,.T.); #23688=FACE_OUTER_BOUND('',#29259,.T.); #23689=FACE_OUTER_BOUND('',#29260,.T.); #23690=FACE_OUTER_BOUND('',#29261,.T.); #23691=FACE_OUTER_BOUND('',#29262,.T.); #23692=FACE_OUTER_BOUND('',#29263,.T.); #23693=FACE_OUTER_BOUND('',#29264,.T.); #23694=FACE_OUTER_BOUND('',#29265,.T.); #23695=FACE_OUTER_BOUND('',#29266,.T.); #23696=FACE_OUTER_BOUND('',#29267,.T.); #23697=FACE_OUTER_BOUND('',#29268,.T.); #23698=FACE_OUTER_BOUND('',#29269,.T.); #23699=FACE_OUTER_BOUND('',#29270,.T.); #23700=FACE_OUTER_BOUND('',#29271,.T.); #23701=FACE_OUTER_BOUND('',#29272,.T.); #23702=FACE_OUTER_BOUND('',#29273,.T.); #23703=FACE_OUTER_BOUND('',#29274,.T.); #23704=FACE_OUTER_BOUND('',#29275,.T.); #23705=FACE_OUTER_BOUND('',#29276,.T.); #23706=FACE_OUTER_BOUND('',#29277,.T.); #23707=FACE_OUTER_BOUND('',#29278,.T.); #23708=FACE_OUTER_BOUND('',#29279,.T.); #23709=FACE_OUTER_BOUND('',#29280,.T.); #23710=FACE_OUTER_BOUND('',#29281,.T.); #23711=FACE_OUTER_BOUND('',#29282,.T.); #23712=FACE_OUTER_BOUND('',#29283,.T.); #23713=FACE_OUTER_BOUND('',#29284,.T.); #23714=FACE_OUTER_BOUND('',#29285,.T.); #23715=FACE_OUTER_BOUND('',#29286,.T.); #23716=FACE_OUTER_BOUND('',#29287,.T.); #23717=FACE_OUTER_BOUND('',#29288,.T.); #23718=FACE_OUTER_BOUND('',#29289,.T.); #23719=FACE_OUTER_BOUND('',#29290,.T.); #23720=FACE_OUTER_BOUND('',#29291,.T.); #23721=FACE_OUTER_BOUND('',#29292,.T.); #23722=FACE_OUTER_BOUND('',#29293,.T.); #23723=FACE_OUTER_BOUND('',#29294,.T.); #23724=FACE_OUTER_BOUND('',#29295,.T.); #23725=FACE_OUTER_BOUND('',#29296,.T.); #23726=FACE_OUTER_BOUND('',#29297,.T.); #23727=FACE_OUTER_BOUND('',#29298,.T.); #23728=FACE_OUTER_BOUND('',#29299,.T.); #23729=FACE_OUTER_BOUND('',#29300,.T.); #23730=FACE_OUTER_BOUND('',#29301,.T.); #23731=FACE_OUTER_BOUND('',#29302,.T.); #23732=FACE_OUTER_BOUND('',#29303,.T.); #23733=FACE_OUTER_BOUND('',#29304,.T.); #23734=FACE_OUTER_BOUND('',#29305,.T.); #23735=FACE_OUTER_BOUND('',#29306,.T.); #23736=FACE_OUTER_BOUND('',#29307,.T.); #23737=FACE_OUTER_BOUND('',#29308,.T.); #23738=FACE_OUTER_BOUND('',#29309,.T.); #23739=FACE_OUTER_BOUND('',#29324,.T.); #23740=FACE_OUTER_BOUND('',#29325,.T.); #23741=FACE_OUTER_BOUND('',#29326,.T.); #23742=FACE_OUTER_BOUND('',#29327,.T.); #23743=FACE_OUTER_BOUND('',#29328,.T.); #23744=FACE_OUTER_BOUND('',#29329,.T.); #23745=FACE_OUTER_BOUND('',#29330,.T.); #23746=FACE_OUTER_BOUND('',#29331,.T.); #23747=FACE_OUTER_BOUND('',#29332,.T.); #23748=FACE_OUTER_BOUND('',#29333,.T.); #23749=FACE_OUTER_BOUND('',#29334,.T.); #23750=FACE_OUTER_BOUND('',#29335,.T.); #23751=FACE_OUTER_BOUND('',#29336,.T.); #23752=FACE_OUTER_BOUND('',#29337,.T.); #23753=FACE_OUTER_BOUND('',#29338,.T.); #23754=FACE_OUTER_BOUND('',#29339,.T.); #23755=FACE_OUTER_BOUND('',#29340,.T.); #23756=FACE_OUTER_BOUND('',#29341,.T.); #23757=FACE_OUTER_BOUND('',#29342,.T.); #23758=FACE_OUTER_BOUND('',#29343,.T.); #23759=FACE_OUTER_BOUND('',#29344,.T.); #23760=FACE_OUTER_BOUND('',#29345,.T.); #23761=FACE_OUTER_BOUND('',#29346,.T.); #23762=FACE_OUTER_BOUND('',#29347,.T.); #23763=FACE_OUTER_BOUND('',#29348,.T.); #23764=FACE_OUTER_BOUND('',#29349,.T.); #23765=FACE_OUTER_BOUND('',#29350,.T.); #23766=FACE_OUTER_BOUND('',#29351,.T.); #23767=FACE_OUTER_BOUND('',#29352,.T.); #23768=FACE_OUTER_BOUND('',#29353,.T.); #23769=FACE_OUTER_BOUND('',#29354,.T.); #23770=FACE_OUTER_BOUND('',#29355,.T.); #23771=FACE_OUTER_BOUND('',#29356,.T.); #23772=FACE_OUTER_BOUND('',#29357,.T.); #23773=FACE_OUTER_BOUND('',#29358,.T.); #23774=FACE_OUTER_BOUND('',#29359,.T.); #23775=FACE_OUTER_BOUND('',#29360,.T.); #23776=FACE_OUTER_BOUND('',#29361,.T.); #23777=FACE_OUTER_BOUND('',#29362,.T.); #23778=FACE_OUTER_BOUND('',#29363,.T.); #23779=FACE_OUTER_BOUND('',#29364,.T.); #23780=FACE_OUTER_BOUND('',#29365,.T.); #23781=FACE_OUTER_BOUND('',#29366,.T.); #23782=FACE_OUTER_BOUND('',#29367,.T.); #23783=FACE_OUTER_BOUND('',#29368,.T.); #23784=FACE_OUTER_BOUND('',#29369,.T.); #23785=FACE_OUTER_BOUND('',#29370,.T.); #23786=FACE_OUTER_BOUND('',#29371,.T.); #23787=FACE_OUTER_BOUND('',#29372,.T.); #23788=FACE_OUTER_BOUND('',#29373,.T.); #23789=FACE_OUTER_BOUND('',#29374,.T.); #23790=FACE_OUTER_BOUND('',#29375,.T.); #23791=FACE_OUTER_BOUND('',#29376,.T.); #23792=FACE_OUTER_BOUND('',#29377,.T.); #23793=FACE_OUTER_BOUND('',#29378,.T.); #23794=FACE_OUTER_BOUND('',#29379,.T.); #23795=FACE_OUTER_BOUND('',#29380,.T.); #23796=FACE_OUTER_BOUND('',#29381,.T.); #23797=FACE_OUTER_BOUND('',#29382,.T.); #23798=FACE_OUTER_BOUND('',#29383,.T.); #23799=FACE_OUTER_BOUND('',#29384,.T.); #23800=FACE_OUTER_BOUND('',#29385,.T.); #23801=FACE_OUTER_BOUND('',#29386,.T.); #23802=FACE_OUTER_BOUND('',#29387,.T.); #23803=FACE_OUTER_BOUND('',#29388,.T.); #23804=FACE_OUTER_BOUND('',#29389,.T.); #23805=FACE_OUTER_BOUND('',#29390,.T.); #23806=FACE_OUTER_BOUND('',#29391,.T.); #23807=FACE_OUTER_BOUND('',#29392,.T.); #23808=FACE_OUTER_BOUND('',#29393,.T.); #23809=FACE_OUTER_BOUND('',#29394,.T.); #23810=FACE_OUTER_BOUND('',#29395,.T.); #23811=FACE_OUTER_BOUND('',#29396,.T.); #23812=FACE_OUTER_BOUND('',#29397,.T.); #23813=FACE_OUTER_BOUND('',#29398,.T.); #23814=FACE_OUTER_BOUND('',#29399,.T.); #23815=FACE_OUTER_BOUND('',#29400,.T.); #23816=FACE_OUTER_BOUND('',#29401,.T.); #23817=FACE_OUTER_BOUND('',#29402,.T.); #23818=FACE_OUTER_BOUND('',#29403,.T.); #23819=FACE_OUTER_BOUND('',#29404,.T.); #23820=FACE_OUTER_BOUND('',#29405,.T.); #23821=FACE_OUTER_BOUND('',#29406,.T.); #23822=FACE_OUTER_BOUND('',#29407,.T.); #23823=FACE_OUTER_BOUND('',#29408,.T.); #23824=EDGE_LOOP('',(#57142,#57143,#57144,#57145)); #23825=EDGE_LOOP('',(#57146,#57147,#57148,#57149)); #23826=EDGE_LOOP('',(#57150,#57151,#57152,#57153)); #23827=EDGE_LOOP('',(#57154,#57155,#57156,#57157)); #23828=EDGE_LOOP('',(#57158,#57159,#57160,#57161)); #23829=EDGE_LOOP('',(#57162,#57163,#57164)); #23830=EDGE_LOOP('',(#57165,#57166,#57167,#57168)); #23831=EDGE_LOOP('',(#57169,#57170,#57171,#57172)); #23832=EDGE_LOOP('',(#57173,#57174,#57175,#57176)); #23833=EDGE_LOOP('',(#57177,#57178,#57179)); #23834=EDGE_LOOP('',(#57180,#57181,#57182,#57183)); #23835=EDGE_LOOP('',(#57184,#57185,#57186,#57187)); #23836=EDGE_LOOP('',(#57188,#57189,#57190,#57191)); #23837=EDGE_LOOP('',(#57192)); #23838=EDGE_LOOP('',(#57193,#57194,#57195,#57196)); #23839=EDGE_LOOP('',(#57197,#57198,#57199,#57200)); #23840=EDGE_LOOP('',(#57201,#57202,#57203,#57204)); #23841=EDGE_LOOP('',(#57205,#57206,#57207,#57208)); #23842=EDGE_LOOP('',(#57209,#57210,#57211,#57212)); #23843=EDGE_LOOP('',(#57213,#57214,#57215,#57216)); #23844=EDGE_LOOP('',(#57217,#57218,#57219,#57220)); #23845=EDGE_LOOP('',(#57221,#57222,#57223,#57224)); #23846=EDGE_LOOP('',(#57225,#57226,#57227,#57228)); #23847=EDGE_LOOP('',(#57229,#57230,#57231,#57232)); #23848=EDGE_LOOP('',(#57233,#57234,#57235,#57236)); #23849=EDGE_LOOP('',(#57237,#57238,#57239,#57240)); #23850=EDGE_LOOP('',(#57241,#57242,#57243,#57244,#57245,#57246,#57247,#57248)); #23851=EDGE_LOOP('',(#57249,#57250,#57251,#57252,#57253,#57254,#57255,#57256)); #23852=EDGE_LOOP('',(#57257,#57258,#57259,#57260)); #23853=EDGE_LOOP('',(#57261,#57262,#57263,#57264)); #23854=EDGE_LOOP('',(#57265,#57266,#57267,#57268)); #23855=EDGE_LOOP('',(#57269,#57270,#57271,#57272)); #23856=EDGE_LOOP('',(#57273,#57274,#57275,#57276)); #23857=EDGE_LOOP('',(#57277,#57278,#57279,#57280)); #23858=EDGE_LOOP('',(#57281,#57282,#57283,#57284)); #23859=EDGE_LOOP('',(#57285,#57286,#57287,#57288)); #23860=EDGE_LOOP('',(#57289,#57290,#57291,#57292)); #23861=EDGE_LOOP('',(#57293,#57294,#57295,#57296)); #23862=EDGE_LOOP('',(#57297,#57298,#57299,#57300)); #23863=EDGE_LOOP('',(#57301,#57302,#57303,#57304)); #23864=EDGE_LOOP('',(#57305,#57306,#57307,#57308,#57309,#57310,#57311,#57312)); #23865=EDGE_LOOP('',(#57313,#57314,#57315,#57316,#57317,#57318,#57319,#57320)); #23866=EDGE_LOOP('',(#57321,#57322,#57323,#57324)); #23867=EDGE_LOOP('',(#57325,#57326,#57327,#57328)); #23868=EDGE_LOOP('',(#57329,#57330,#57331,#57332)); #23869=EDGE_LOOP('',(#57333,#57334,#57335,#57336)); #23870=EDGE_LOOP('',(#57337,#57338,#57339,#57340)); #23871=EDGE_LOOP('',(#57341,#57342,#57343,#57344)); #23872=EDGE_LOOP('',(#57345,#57346,#57347,#57348)); #23873=EDGE_LOOP('',(#57349,#57350,#57351,#57352)); #23874=EDGE_LOOP('',(#57353,#57354,#57355,#57356)); #23875=EDGE_LOOP('',(#57357,#57358,#57359,#57360)); #23876=EDGE_LOOP('',(#57361,#57362,#57363,#57364)); #23877=EDGE_LOOP('',(#57365,#57366,#57367,#57368)); #23878=EDGE_LOOP('',(#57369,#57370,#57371,#57372,#57373,#57374,#57375,#57376)); #23879=EDGE_LOOP('',(#57377,#57378,#57379,#57380,#57381,#57382,#57383,#57384, #57385,#57386,#57387,#57388,#57389,#57390,#57391,#57392,#57393,#57394,#57395, #57396,#57397,#57398,#57399,#57400,#57401,#57402,#57403,#57404,#57405,#57406, #57407,#57408,#57409,#57410,#57411,#57412,#57413,#57414,#57415,#57416,#57417, #57418,#57419,#57420,#57421,#57422,#57423,#57424,#57425,#57426,#57427,#57428, #57429,#57430,#57431,#57432,#57433,#57434,#57435,#57436,#57437,#57438,#57439, #57440,#57441,#57442,#57443,#57444,#57445,#57446,#57447,#57448,#57449,#57450, #57451,#57452,#57453,#57454,#57455,#57456,#57457,#57458,#57459,#57460,#57461, #57462,#57463,#57464,#57465,#57466,#57467,#57468,#57469,#57470,#57471,#57472, #57473,#57474,#57475,#57476,#57477,#57478)); #23880=EDGE_LOOP('',(#57479,#57480,#57481,#57482)); #23881=EDGE_LOOP('',(#57483,#57484,#57485,#57486)); #23882=EDGE_LOOP('',(#57487,#57488,#57489,#57490)); #23883=EDGE_LOOP('',(#57491,#57492,#57493,#57494)); #23884=EDGE_LOOP('',(#57495,#57496,#57497,#57498)); #23885=EDGE_LOOP('',(#57499,#57500,#57501,#57502)); #23886=EDGE_LOOP('',(#57503,#57504,#57505,#57506)); #23887=EDGE_LOOP('',(#57507,#57508,#57509,#57510)); #23888=EDGE_LOOP('',(#57511,#57512,#57513,#57514)); #23889=EDGE_LOOP('',(#57515,#57516,#57517,#57518)); #23890=EDGE_LOOP('',(#57519,#57520,#57521,#57522)); #23891=EDGE_LOOP('',(#57523,#57524,#57525,#57526)); #23892=EDGE_LOOP('',(#57527,#57528,#57529,#57530)); #23893=EDGE_LOOP('',(#57531,#57532,#57533,#57534)); #23894=EDGE_LOOP('',(#57535,#57536,#57537,#57538)); #23895=EDGE_LOOP('',(#57539,#57540,#57541,#57542)); #23896=EDGE_LOOP('',(#57543,#57544,#57545,#57546)); #23897=EDGE_LOOP('',(#57547,#57548,#57549,#57550)); #23898=EDGE_LOOP('',(#57551,#57552,#57553,#57554)); #23899=EDGE_LOOP('',(#57555,#57556,#57557,#57558)); #23900=EDGE_LOOP('',(#57559,#57560,#57561,#57562)); #23901=EDGE_LOOP('',(#57563,#57564,#57565,#57566)); #23902=EDGE_LOOP('',(#57567,#57568,#57569,#57570)); #23903=EDGE_LOOP('',(#57571,#57572,#57573,#57574)); #23904=EDGE_LOOP('',(#57575,#57576,#57577,#57578)); #23905=EDGE_LOOP('',(#57579,#57580,#57581,#57582)); #23906=EDGE_LOOP('',(#57583,#57584,#57585,#57586)); #23907=EDGE_LOOP('',(#57587,#57588,#57589,#57590)); #23908=EDGE_LOOP('',(#57591,#57592,#57593,#57594)); #23909=EDGE_LOOP('',(#57595,#57596,#57597,#57598)); #23910=EDGE_LOOP('',(#57599,#57600,#57601,#57602)); #23911=EDGE_LOOP('',(#57603,#57604,#57605,#57606)); #23912=EDGE_LOOP('',(#57607,#57608,#57609,#57610)); #23913=EDGE_LOOP('',(#57611,#57612,#57613,#57614)); #23914=EDGE_LOOP('',(#57615,#57616,#57617,#57618)); #23915=EDGE_LOOP('',(#57619,#57620,#57621,#57622)); #23916=EDGE_LOOP('',(#57623,#57624,#57625,#57626)); #23917=EDGE_LOOP('',(#57627,#57628,#57629,#57630)); #23918=EDGE_LOOP('',(#57631,#57632,#57633,#57634)); #23919=EDGE_LOOP('',(#57635,#57636,#57637)); #23920=EDGE_LOOP('',(#57638,#57639,#57640,#57641)); #23921=EDGE_LOOP('',(#57642,#57643,#57644,#57645)); #23922=EDGE_LOOP('',(#57646,#57647,#57648,#57649)); #23923=EDGE_LOOP('',(#57650,#57651,#57652)); #23924=EDGE_LOOP('',(#57653,#57654,#57655,#57656,#57657,#57658)); #23925=EDGE_LOOP('',(#57659,#57660,#57661)); #23926=EDGE_LOOP('',(#57662,#57663,#57664,#57665,#57666,#57667)); #23927=EDGE_LOOP('',(#57668,#57669,#57670,#57671)); #23928=EDGE_LOOP('',(#57672,#57673,#57674)); #23929=EDGE_LOOP('',(#57675,#57676,#57677,#57678)); #23930=EDGE_LOOP('',(#57679,#57680,#57681,#57682)); #23931=EDGE_LOOP('',(#57683,#57684,#57685,#57686)); #23932=EDGE_LOOP('',(#57687,#57688,#57689)); #23933=EDGE_LOOP('',(#57690,#57691,#57692,#57693)); #23934=EDGE_LOOP('',(#57694,#57695,#57696,#57697,#57698,#57699,#57700,#57701)); #23935=EDGE_LOOP('',(#57702,#57703,#57704)); #23936=EDGE_LOOP('',(#57705,#57706,#57707,#57708)); #23937=EDGE_LOOP('',(#57709,#57710,#57711,#57712)); #23938=EDGE_LOOP('',(#57713,#57714,#57715,#57716)); #23939=EDGE_LOOP('',(#57717,#57718,#57719)); #23940=EDGE_LOOP('',(#57720,#57721,#57722,#57723,#57724)); #23941=EDGE_LOOP('',(#57725,#57726,#57727)); #23942=EDGE_LOOP('',(#57728,#57729,#57730,#57731,#57732,#57733)); #23943=EDGE_LOOP('',(#57734,#57735,#57736,#57737)); #23944=EDGE_LOOP('',(#57738,#57739,#57740)); #23945=EDGE_LOOP('',(#57741,#57742,#57743,#57744)); #23946=EDGE_LOOP('',(#57745,#57746,#57747,#57748)); #23947=EDGE_LOOP('',(#57749,#57750,#57751,#57752)); #23948=EDGE_LOOP('',(#57753,#57754,#57755)); #23949=EDGE_LOOP('',(#57756,#57757,#57758,#57759)); #23950=EDGE_LOOP('',(#57760,#57761,#57762,#57763,#57764,#57765,#57766,#57767)); #23951=EDGE_LOOP('',(#57768,#57769,#57770)); #23952=EDGE_LOOP('',(#57771,#57772,#57773,#57774)); #23953=EDGE_LOOP('',(#57775,#57776,#57777,#57778)); #23954=EDGE_LOOP('',(#57779,#57780,#57781,#57782)); #23955=EDGE_LOOP('',(#57783,#57784,#57785)); #23956=EDGE_LOOP('',(#57786,#57787,#57788,#57789,#57790)); #23957=EDGE_LOOP('',(#57791,#57792,#57793)); #23958=EDGE_LOOP('',(#57794,#57795,#57796,#57797,#57798,#57799)); #23959=EDGE_LOOP('',(#57800,#57801,#57802,#57803)); #23960=EDGE_LOOP('',(#57804,#57805,#57806)); #23961=EDGE_LOOP('',(#57807,#57808,#57809,#57810)); #23962=EDGE_LOOP('',(#57811,#57812,#57813,#57814)); #23963=EDGE_LOOP('',(#57815,#57816,#57817,#57818)); #23964=EDGE_LOOP('',(#57819,#57820,#57821)); #23965=EDGE_LOOP('',(#57822,#57823,#57824,#57825)); #23966=EDGE_LOOP('',(#57826,#57827,#57828,#57829,#57830,#57831,#57832,#57833)); #23967=EDGE_LOOP('',(#57834,#57835,#57836)); #23968=EDGE_LOOP('',(#57837,#57838,#57839,#57840,#57841)); #23969=EDGE_LOOP('',(#57842,#57843,#57844,#57845,#57846,#57847)); #23970=EDGE_LOOP('',(#57848,#57849,#57850)); #23971=EDGE_LOOP('',(#57851,#57852,#57853)); #23972=EDGE_LOOP('',(#57854,#57855,#57856,#57857,#57858,#57859)); #23973=EDGE_LOOP('',(#57860,#57861,#57862,#57863,#57864,#57865)); #23974=EDGE_LOOP('',(#57866,#57867,#57868)); #23975=EDGE_LOOP('',(#57869,#57870,#57871)); #23976=EDGE_LOOP('',(#57872,#57873,#57874)); #23977=EDGE_LOOP('',(#57875,#57876,#57877)); #23978=EDGE_LOOP('',(#57878,#57879,#57880,#57881,#57882)); #23979=EDGE_LOOP('',(#57883,#57884,#57885,#57886,#57887,#57888)); #23980=EDGE_LOOP('',(#57889,#57890,#57891)); #23981=EDGE_LOOP('',(#57892,#57893,#57894)); #23982=EDGE_LOOP('',(#57895,#57896,#57897,#57898)); #23983=EDGE_LOOP('',(#57899,#57900,#57901,#57902)); #23984=EDGE_LOOP('',(#57903,#57904,#57905,#57906)); #23985=EDGE_LOOP('',(#57907,#57908,#57909,#57910)); #23986=EDGE_LOOP('',(#57911,#57912,#57913,#57914)); #23987=EDGE_LOOP('',(#57915,#57916,#57917,#57918)); #23988=EDGE_LOOP('',(#57919,#57920,#57921,#57922)); #23989=EDGE_LOOP('',(#57923,#57924,#57925,#57926)); #23990=EDGE_LOOP('',(#57927,#57928,#57929,#57930)); #23991=EDGE_LOOP('',(#57931,#57932,#57933,#57934)); #23992=EDGE_LOOP('',(#57935,#57936,#57937,#57938)); #23993=EDGE_LOOP('',(#57939,#57940,#57941,#57942)); #23994=EDGE_LOOP('',(#57943,#57944,#57945,#57946)); #23995=EDGE_LOOP('',(#57947,#57948,#57949,#57950)); #23996=EDGE_LOOP('',(#57951,#57952,#57953,#57954)); #23997=EDGE_LOOP('',(#57955,#57956,#57957,#57958)); #23998=EDGE_LOOP('',(#57959,#57960,#57961,#57962)); #23999=EDGE_LOOP('',(#57963,#57964,#57965,#57966,#57967)); #24000=EDGE_LOOP('',(#57968,#57969,#57970,#57971)); #24001=EDGE_LOOP('',(#57972,#57973,#57974,#57975)); #24002=EDGE_LOOP('',(#57976,#57977,#57978,#57979)); #24003=EDGE_LOOP('',(#57980,#57981,#57982,#57983)); #24004=EDGE_LOOP('',(#57984,#57985,#57986,#57987)); #24005=EDGE_LOOP('',(#57988,#57989,#57990,#57991)); #24006=EDGE_LOOP('',(#57992,#57993,#57994,#57995)); #24007=EDGE_LOOP('',(#57996,#57997,#57998,#57999)); #24008=EDGE_LOOP('',(#58000,#58001,#58002,#58003)); #24009=EDGE_LOOP('',(#58004,#58005,#58006,#58007)); #24010=EDGE_LOOP('',(#58008,#58009,#58010,#58011)); #24011=EDGE_LOOP('',(#58012,#58013,#58014,#58015)); #24012=EDGE_LOOP('',(#58016,#58017,#58018,#58019)); #24013=EDGE_LOOP('',(#58020,#58021,#58022,#58023)); #24014=EDGE_LOOP('',(#58024,#58025,#58026,#58027)); #24015=EDGE_LOOP('',(#58028,#58029,#58030,#58031)); #24016=EDGE_LOOP('',(#58032,#58033,#58034,#58035)); #24017=EDGE_LOOP('',(#58036,#58037,#58038,#58039)); #24018=EDGE_LOOP('',(#58040,#58041,#58042,#58043)); #24019=EDGE_LOOP('',(#58044,#58045,#58046,#58047)); #24020=EDGE_LOOP('',(#58048,#58049,#58050,#58051)); #24021=EDGE_LOOP('',(#58052,#58053,#58054,#58055)); #24022=EDGE_LOOP('',(#58056,#58057,#58058,#58059)); #24023=EDGE_LOOP('',(#58060,#58061,#58062,#58063)); #24024=EDGE_LOOP('',(#58064,#58065,#58066,#58067)); #24025=EDGE_LOOP('',(#58068,#58069,#58070,#58071)); #24026=EDGE_LOOP('',(#58072,#58073,#58074,#58075)); #24027=EDGE_LOOP('',(#58076,#58077,#58078,#58079)); #24028=EDGE_LOOP('',(#58080,#58081,#58082,#58083)); #24029=EDGE_LOOP('',(#58084,#58085,#58086,#58087)); #24030=EDGE_LOOP('',(#58088,#58089,#58090,#58091)); #24031=EDGE_LOOP('',(#58092,#58093,#58094,#58095)); #24032=EDGE_LOOP('',(#58096,#58097,#58098,#58099)); #24033=EDGE_LOOP('',(#58100,#58101,#58102,#58103)); #24034=EDGE_LOOP('',(#58104,#58105,#58106,#58107)); #24035=EDGE_LOOP('',(#58108,#58109,#58110,#58111)); #24036=EDGE_LOOP('',(#58112,#58113,#58114,#58115)); #24037=EDGE_LOOP('',(#58116,#58117,#58118,#58119)); #24038=EDGE_LOOP('',(#58120,#58121,#58122,#58123)); #24039=EDGE_LOOP('',(#58124,#58125,#58126,#58127)); #24040=EDGE_LOOP('',(#58128,#58129,#58130,#58131)); #24041=EDGE_LOOP('',(#58132,#58133,#58134,#58135)); #24042=EDGE_LOOP('',(#58136,#58137,#58138,#58139)); #24043=EDGE_LOOP('',(#58140,#58141,#58142,#58143)); #24044=EDGE_LOOP('',(#58144,#58145,#58146,#58147)); #24045=EDGE_LOOP('',(#58148,#58149,#58150,#58151)); #24046=EDGE_LOOP('',(#58152,#58153,#58154,#58155)); #24047=EDGE_LOOP('',(#58156,#58157,#58158,#58159)); #24048=EDGE_LOOP('',(#58160,#58161,#58162,#58163)); #24049=EDGE_LOOP('',(#58164,#58165,#58166,#58167)); #24050=EDGE_LOOP('',(#58168,#58169,#58170,#58171)); #24051=EDGE_LOOP('',(#58172,#58173,#58174,#58175)); #24052=EDGE_LOOP('',(#58176,#58177,#58178,#58179)); #24053=EDGE_LOOP('',(#58180,#58181,#58182,#58183)); #24054=EDGE_LOOP('',(#58184,#58185,#58186,#58187,#58188,#58189,#58190,#58191, #58192,#58193,#58194,#58195,#58196,#58197,#58198,#58199,#58200,#58201,#58202, #58203,#58204,#58205,#58206,#58207,#58208,#58209,#58210,#58211,#58212,#58213, #58214,#58215,#58216,#58217,#58218,#58219,#58220,#58221,#58222,#58223,#58224, #58225,#58226,#58227,#58228,#58229,#58230,#58231,#58232,#58233,#58234,#58235, #58236)); #24055=EDGE_LOOP('',(#58237,#58238,#58239,#58240)); #24056=EDGE_LOOP('',(#58241,#58242,#58243,#58244)); #24057=EDGE_LOOP('',(#58245,#58246,#58247,#58248)); #24058=EDGE_LOOP('',(#58249,#58250,#58251,#58252)); #24059=EDGE_LOOP('',(#58253,#58254,#58255,#58256)); #24060=EDGE_LOOP('',(#58257,#58258,#58259,#58260)); #24061=EDGE_LOOP('',(#58261,#58262,#58263,#58264)); #24062=EDGE_LOOP('',(#58265,#58266,#58267,#58268)); #24063=EDGE_LOOP('',(#58269,#58270,#58271,#58272)); #24064=EDGE_LOOP('',(#58273,#58274,#58275,#58276)); #24065=EDGE_LOOP('',(#58277,#58278,#58279,#58280)); #24066=EDGE_LOOP('',(#58281,#58282,#58283,#58284)); #24067=EDGE_LOOP('',(#58285,#58286,#58287,#58288)); #24068=EDGE_LOOP('',(#58289,#58290,#58291,#58292)); #24069=EDGE_LOOP('',(#58293,#58294,#58295,#58296)); #24070=EDGE_LOOP('',(#58297,#58298,#58299,#58300)); #24071=EDGE_LOOP('',(#58301,#58302,#58303,#58304)); #24072=EDGE_LOOP('',(#58305,#58306,#58307,#58308)); #24073=EDGE_LOOP('',(#58309,#58310,#58311,#58312)); #24074=EDGE_LOOP('',(#58313,#58314,#58315,#58316)); #24075=EDGE_LOOP('',(#58317,#58318,#58319,#58320)); #24076=EDGE_LOOP('',(#58321,#58322,#58323,#58324)); #24077=EDGE_LOOP('',(#58325,#58326,#58327,#58328)); #24078=EDGE_LOOP('',(#58329,#58330,#58331,#58332)); #24079=EDGE_LOOP('',(#58333,#58334,#58335,#58336)); #24080=EDGE_LOOP('',(#58337,#58338,#58339,#58340)); #24081=EDGE_LOOP('',(#58341,#58342,#58343,#58344)); #24082=EDGE_LOOP('',(#58345,#58346,#58347,#58348)); #24083=EDGE_LOOP('',(#58349,#58350,#58351,#58352)); #24084=EDGE_LOOP('',(#58353,#58354,#58355,#58356,#58357)); #24085=EDGE_LOOP('',(#58358,#58359,#58360,#58361)); #24086=EDGE_LOOP('',(#58362,#58363,#58364,#58365)); #24087=EDGE_LOOP('',(#58366,#58367,#58368,#58369)); #24088=EDGE_LOOP('',(#58370,#58371,#58372,#58373)); #24089=EDGE_LOOP('',(#58374,#58375,#58376,#58377)); #24090=EDGE_LOOP('',(#58378,#58379,#58380,#58381)); #24091=EDGE_LOOP('',(#58382,#58383,#58384,#58385)); #24092=EDGE_LOOP('',(#58386,#58387,#58388,#58389)); #24093=EDGE_LOOP('',(#58390,#58391,#58392,#58393)); #24094=EDGE_LOOP('',(#58394,#58395,#58396,#58397)); #24095=EDGE_LOOP('',(#58398,#58399,#58400,#58401)); #24096=EDGE_LOOP('',(#58402,#58403,#58404,#58405)); #24097=EDGE_LOOP('',(#58406,#58407,#58408,#58409)); #24098=EDGE_LOOP('',(#58410,#58411,#58412,#58413)); #24099=EDGE_LOOP('',(#58414,#58415,#58416,#58417)); #24100=EDGE_LOOP('',(#58418,#58419,#58420,#58421)); #24101=EDGE_LOOP('',(#58422,#58423,#58424,#58425)); #24102=EDGE_LOOP('',(#58426,#58427,#58428,#58429)); #24103=EDGE_LOOP('',(#58430,#58431,#58432,#58433)); #24104=EDGE_LOOP('',(#58434,#58435,#58436,#58437)); #24105=EDGE_LOOP('',(#58438,#58439,#58440,#58441)); #24106=EDGE_LOOP('',(#58442,#58443,#58444,#58445)); #24107=EDGE_LOOP('',(#58446,#58447,#58448,#58449)); #24108=EDGE_LOOP('',(#58450,#58451,#58452,#58453)); #24109=EDGE_LOOP('',(#58454,#58455,#58456,#58457)); #24110=EDGE_LOOP('',(#58458,#58459,#58460,#58461)); #24111=EDGE_LOOP('',(#58462,#58463,#58464,#58465)); #24112=EDGE_LOOP('',(#58466,#58467,#58468,#58469)); #24113=EDGE_LOOP('',(#58470,#58471,#58472,#58473)); #24114=EDGE_LOOP('',(#58474,#58475,#58476,#58477)); #24115=EDGE_LOOP('',(#58478,#58479,#58480,#58481)); #24116=EDGE_LOOP('',(#58482,#58483,#58484,#58485)); #24117=EDGE_LOOP('',(#58486,#58487,#58488,#58489)); #24118=EDGE_LOOP('',(#58490,#58491,#58492,#58493)); #24119=EDGE_LOOP('',(#58494,#58495,#58496,#58497)); #24120=EDGE_LOOP('',(#58498,#58499,#58500,#58501)); #24121=EDGE_LOOP('',(#58502,#58503,#58504,#58505)); #24122=EDGE_LOOP('',(#58506,#58507,#58508,#58509)); #24123=EDGE_LOOP('',(#58510,#58511,#58512,#58513)); #24124=EDGE_LOOP('',(#58514,#58515,#58516,#58517,#58518,#58519,#58520,#58521, #58522,#58523,#58524,#58525,#58526,#58527,#58528,#58529,#58530,#58531,#58532, #58533,#58534,#58535,#58536,#58537,#58538,#58539,#58540,#58541,#58542,#58543, #58544,#58545,#58546,#58547,#58548,#58549,#58550,#58551,#58552,#58553,#58554, #58555,#58556,#58557,#58558,#58559,#58560,#58561,#58562,#58563,#58564,#58565, #58566)); #24125=EDGE_LOOP('',(#58567,#58568,#58569,#58570)); #24126=EDGE_LOOP('',(#58571)); #24127=EDGE_LOOP('',(#58572,#58573,#58574,#58575,#58576,#58577)); #24128=EDGE_LOOP('',(#58578,#58579,#58580,#58581,#58582,#58583,#58584,#58585, #58586,#58587,#58588,#58589,#58590,#58591,#58592,#58593,#58594,#58595,#58596, #58597,#58598,#58599,#58600,#58601)); #24129=EDGE_LOOP('',(#58602,#58603,#58604,#58605)); #24130=EDGE_LOOP('',(#58606,#58607,#58608,#58609)); #24131=EDGE_LOOP('',(#58610,#58611,#58612,#58613)); #24132=EDGE_LOOP('',(#58614,#58615,#58616,#58617)); #24133=EDGE_LOOP('',(#58618,#58619,#58620,#58621)); #24134=EDGE_LOOP('',(#58622,#58623,#58624,#58625)); #24135=EDGE_LOOP('',(#58626,#58627,#58628,#58629)); #24136=EDGE_LOOP('',(#58630,#58631,#58632,#58633)); #24137=EDGE_LOOP('',(#58634,#58635,#58636,#58637)); #24138=EDGE_LOOP('',(#58638,#58639,#58640,#58641)); #24139=EDGE_LOOP('',(#58642,#58643,#58644,#58645)); #24140=EDGE_LOOP('',(#58646,#58647,#58648,#58649)); #24141=EDGE_LOOP('',(#58650,#58651,#58652,#58653)); #24142=EDGE_LOOP('',(#58654,#58655,#58656,#58657)); #24143=EDGE_LOOP('',(#58658,#58659,#58660,#58661)); #24144=EDGE_LOOP('',(#58662,#58663,#58664,#58665)); #24145=EDGE_LOOP('',(#58666,#58667,#58668,#58669)); #24146=EDGE_LOOP('',(#58670,#58671,#58672,#58673)); #24147=EDGE_LOOP('',(#58674,#58675,#58676,#58677)); #24148=EDGE_LOOP('',(#58678,#58679,#58680,#58681)); #24149=EDGE_LOOP('',(#58682,#58683,#58684,#58685)); #24150=EDGE_LOOP('',(#58686,#58687,#58688)); #24151=EDGE_LOOP('',(#58689,#58690,#58691)); #24152=EDGE_LOOP('',(#58692,#58693,#58694)); #24153=EDGE_LOOP('',(#58695,#58696,#58697)); #24154=EDGE_LOOP('',(#58698,#58699,#58700)); #24155=EDGE_LOOP('',(#58701,#58702,#58703)); #24156=EDGE_LOOP('',(#58704,#58705,#58706)); #24157=EDGE_LOOP('',(#58707,#58708,#58709)); #24158=EDGE_LOOP('',(#58710,#58711,#58712,#58713)); #24159=EDGE_LOOP('',(#58714,#58715,#58716,#58717)); #24160=EDGE_LOOP('',(#58718,#58719,#58720,#58721)); #24161=EDGE_LOOP('',(#58722,#58723,#58724,#58725)); #24162=EDGE_LOOP('',(#58726,#58727,#58728,#58729)); #24163=EDGE_LOOP('',(#58730,#58731,#58732,#58733)); #24164=EDGE_LOOP('',(#58734,#58735,#58736,#58737)); #24165=EDGE_LOOP('',(#58738,#58739,#58740,#58741)); #24166=EDGE_LOOP('',(#58742,#58743,#58744,#58745)); #24167=EDGE_LOOP('',(#58746,#58747,#58748,#58749)); #24168=EDGE_LOOP('',(#58750,#58751,#58752,#58753)); #24169=EDGE_LOOP('',(#58754,#58755,#58756,#58757)); #24170=EDGE_LOOP('',(#58758,#58759,#58760,#58761,#58762,#58763,#58764,#58765, #58766,#58767,#58768,#58769,#58770,#58771,#58772,#58773)); #24171=EDGE_LOOP('',(#58774,#58775,#58776,#58777)); #24172=EDGE_LOOP('',(#58778)); #24173=EDGE_LOOP('',(#58779)); #24174=EDGE_LOOP('',(#58780,#58781,#58782,#58783,#58784,#58785,#58786,#58787, #58788,#58789,#58790,#58791,#58792,#58793,#58794,#58795,#58796,#58797,#58798, #58799,#58800,#58801,#58802,#58803,#58804,#58805)); #24175=EDGE_LOOP('',(#58806,#58807,#58808,#58809,#58810)); #24176=EDGE_LOOP('',(#58811,#58812,#58813,#58814)); #24177=EDGE_LOOP('',(#58815,#58816,#58817,#58818)); #24178=EDGE_LOOP('',(#58819,#58820,#58821,#58822,#58823)); #24179=EDGE_LOOP('',(#58824,#58825,#58826,#58827)); #24180=EDGE_LOOP('',(#58828,#58829,#58830,#58831)); #24181=EDGE_LOOP('',(#58832,#58833,#58834,#58835)); #24182=EDGE_LOOP('',(#58836,#58837,#58838,#58839)); #24183=EDGE_LOOP('',(#58840,#58841,#58842,#58843)); #24184=EDGE_LOOP('',(#58844,#58845,#58846,#58847)); #24185=EDGE_LOOP('',(#58848,#58849,#58850,#58851)); #24186=EDGE_LOOP('',(#58852,#58853,#58854,#58855)); #24187=EDGE_LOOP('',(#58856,#58857,#58858,#58859)); #24188=EDGE_LOOP('',(#58860,#58861,#58862,#58863)); #24189=EDGE_LOOP('',(#58864,#58865,#58866,#58867)); #24190=EDGE_LOOP('',(#58868,#58869,#58870,#58871)); #24191=EDGE_LOOP('',(#58872,#58873,#58874,#58875)); #24192=EDGE_LOOP('',(#58876,#58877,#58878,#58879)); #24193=EDGE_LOOP('',(#58880,#58881,#58882,#58883)); #24194=EDGE_LOOP('',(#58884,#58885,#58886,#58887)); #24195=EDGE_LOOP('',(#58888,#58889,#58890,#58891)); #24196=EDGE_LOOP('',(#58892,#58893,#58894,#58895)); #24197=EDGE_LOOP('',(#58896,#58897,#58898,#58899)); #24198=EDGE_LOOP('',(#58900,#58901,#58902,#58903)); #24199=EDGE_LOOP('',(#58904,#58905,#58906,#58907)); #24200=EDGE_LOOP('',(#58908,#58909,#58910,#58911)); #24201=EDGE_LOOP('',(#58912,#58913,#58914,#58915)); #24202=EDGE_LOOP('',(#58916,#58917,#58918,#58919)); #24203=EDGE_LOOP('',(#58920,#58921,#58922,#58923)); #24204=EDGE_LOOP('',(#58924,#58925,#58926,#58927)); #24205=EDGE_LOOP('',(#58928,#58929,#58930,#58931)); #24206=EDGE_LOOP('',(#58932,#58933,#58934,#58935)); #24207=EDGE_LOOP('',(#58936,#58937,#58938,#58939)); #24208=EDGE_LOOP('',(#58940,#58941,#58942,#58943)); #24209=EDGE_LOOP('',(#58944,#58945,#58946,#58947)); #24210=EDGE_LOOP('',(#58948,#58949,#58950,#58951)); #24211=EDGE_LOOP('',(#58952,#58953,#58954,#58955)); #24212=EDGE_LOOP('',(#58956,#58957,#58958,#58959)); #24213=EDGE_LOOP('',(#58960,#58961,#58962,#58963)); #24214=EDGE_LOOP('',(#58964,#58965,#58966,#58967)); #24215=EDGE_LOOP('',(#58968,#58969,#58970,#58971)); #24216=EDGE_LOOP('',(#58972,#58973,#58974,#58975,#58976,#58977,#58978,#58979, #58980,#58981)); #24217=EDGE_LOOP('',(#58982,#58983,#58984,#58985)); #24218=EDGE_LOOP('',(#58986,#58987,#58988,#58989)); #24219=EDGE_LOOP('',(#58990,#58991,#58992,#58993)); #24220=EDGE_LOOP('',(#58994,#58995,#58996,#58997)); #24221=EDGE_LOOP('',(#58998,#58999,#59000,#59001)); #24222=EDGE_LOOP('',(#59002,#59003,#59004,#59005)); #24223=EDGE_LOOP('',(#59006,#59007,#59008,#59009)); #24224=EDGE_LOOP('',(#59010,#59011,#59012,#59013)); #24225=EDGE_LOOP('',(#59014,#59015,#59016,#59017)); #24226=EDGE_LOOP('',(#59018,#59019,#59020,#59021)); #24227=EDGE_LOOP('',(#59022,#59023,#59024,#59025)); #24228=EDGE_LOOP('',(#59026,#59027,#59028,#59029)); #24229=EDGE_LOOP('',(#59030,#59031,#59032,#59033)); #24230=EDGE_LOOP('',(#59034,#59035,#59036,#59037)); #24231=EDGE_LOOP('',(#59038,#59039,#59040,#59041)); #24232=EDGE_LOOP('',(#59042,#59043,#59044,#59045)); #24233=EDGE_LOOP('',(#59046,#59047,#59048,#59049)); #24234=EDGE_LOOP('',(#59050,#59051,#59052,#59053)); #24235=EDGE_LOOP('',(#59054,#59055,#59056,#59057)); #24236=EDGE_LOOP('',(#59058,#59059,#59060,#59061)); #24237=EDGE_LOOP('',(#59062,#59063,#59064,#59065)); #24238=EDGE_LOOP('',(#59066,#59067,#59068,#59069)); #24239=EDGE_LOOP('',(#59070,#59071,#59072,#59073)); #24240=EDGE_LOOP('',(#59074,#59075,#59076,#59077)); #24241=EDGE_LOOP('',(#59078,#59079,#59080,#59081,#59082,#59083,#59084,#59085)); #24242=EDGE_LOOP('',(#59086,#59087,#59088,#59089,#59090,#59091)); #24243=EDGE_LOOP('',(#59092,#59093,#59094,#59095)); #24244=EDGE_LOOP('',(#59096,#59097,#59098,#59099)); #24245=EDGE_LOOP('',(#59100,#59101,#59102,#59103)); #24246=EDGE_LOOP('',(#59104,#59105,#59106,#59107)); #24247=EDGE_LOOP('',(#59108,#59109,#59110,#59111)); #24248=EDGE_LOOP('',(#59112,#59113,#59114,#59115)); #24249=EDGE_LOOP('',(#59116,#59117,#59118,#59119)); #24250=EDGE_LOOP('',(#59120,#59121,#59122,#59123)); #24251=EDGE_LOOP('',(#59124,#59125,#59126,#59127)); #24252=EDGE_LOOP('',(#59128,#59129,#59130,#59131)); #24253=EDGE_LOOP('',(#59132,#59133,#59134,#59135)); #24254=EDGE_LOOP('',(#59136,#59137,#59138,#59139)); #24255=EDGE_LOOP('',(#59140,#59141,#59142,#59143)); #24256=EDGE_LOOP('',(#59144,#59145,#59146,#59147)); #24257=EDGE_LOOP('',(#59148,#59149,#59150,#59151)); #24258=EDGE_LOOP('',(#59152,#59153,#59154,#59155,#59156,#59157,#59158,#59159, #59160,#59161)); #24259=EDGE_LOOP('',(#59162,#59163,#59164,#59165)); #24260=EDGE_LOOP('',(#59166,#59167,#59168,#59169)); #24261=EDGE_LOOP('',(#59170,#59171,#59172,#59173)); #24262=EDGE_LOOP('',(#59174,#59175,#59176,#59177)); #24263=EDGE_LOOP('',(#59178,#59179,#59180,#59181)); #24264=EDGE_LOOP('',(#59182,#59183,#59184,#59185)); #24265=EDGE_LOOP('',(#59186,#59187,#59188,#59189)); #24266=EDGE_LOOP('',(#59190,#59191,#59192,#59193)); #24267=EDGE_LOOP('',(#59194,#59195,#59196,#59197)); #24268=EDGE_LOOP('',(#59198,#59199,#59200,#59201)); #24269=EDGE_LOOP('',(#59202,#59203,#59204,#59205)); #24270=EDGE_LOOP('',(#59206,#59207,#59208,#59209)); #24271=EDGE_LOOP('',(#59210,#59211,#59212,#59213,#59214,#59215,#59216,#59217, #59218,#59219,#59220,#59221)); #24272=EDGE_LOOP('',(#59222,#59223,#59224,#59225)); #24273=EDGE_LOOP('',(#59226,#59227,#59228,#59229)); #24274=EDGE_LOOP('',(#59230,#59231,#59232,#59233)); #24275=EDGE_LOOP('',(#59234,#59235,#59236,#59237)); #24276=EDGE_LOOP('',(#59238,#59239,#59240,#59241)); #24277=EDGE_LOOP('',(#59242,#59243,#59244,#59245)); #24278=EDGE_LOOP('',(#59246,#59247,#59248,#59249)); #24279=EDGE_LOOP('',(#59250,#59251,#59252,#59253)); #24280=EDGE_LOOP('',(#59254,#59255,#59256,#59257)); #24281=EDGE_LOOP('',(#59258,#59259,#59260,#59261)); #24282=EDGE_LOOP('',(#59262,#59263,#59264,#59265)); #24283=EDGE_LOOP('',(#59266,#59267,#59268,#59269)); #24284=EDGE_LOOP('',(#59270,#59271,#59272,#59273)); #24285=EDGE_LOOP('',(#59274,#59275,#59276,#59277)); #24286=EDGE_LOOP('',(#59278,#59279,#59280,#59281)); #24287=EDGE_LOOP('',(#59282,#59283,#59284,#59285)); #24288=EDGE_LOOP('',(#59286,#59287,#59288,#59289)); #24289=EDGE_LOOP('',(#59290,#59291,#59292,#59293)); #24290=EDGE_LOOP('',(#59294,#59295,#59296,#59297,#59298,#59299,#59300,#59301, #59302,#59303,#59304)); #24291=EDGE_LOOP('',(#59305,#59306,#59307,#59308,#59309,#59310,#59311)); #24292=EDGE_LOOP('',(#59312,#59313,#59314,#59315)); #24293=EDGE_LOOP('',(#59316,#59317,#59318,#59319)); #24294=EDGE_LOOP('',(#59320,#59321,#59322,#59323)); #24295=EDGE_LOOP('',(#59324,#59325,#59326,#59327)); #24296=EDGE_LOOP('',(#59328,#59329,#59330,#59331)); #24297=EDGE_LOOP('',(#59332,#59333,#59334,#59335)); #24298=EDGE_LOOP('',(#59336,#59337,#59338,#59339)); #24299=EDGE_LOOP('',(#59340,#59341,#59342,#59343)); #24300=EDGE_LOOP('',(#59344,#59345,#59346,#59347)); #24301=EDGE_LOOP('',(#59348,#59349,#59350,#59351)); #24302=EDGE_LOOP('',(#59352,#59353,#59354,#59355)); #24303=EDGE_LOOP('',(#59356,#59357,#59358,#59359)); #24304=EDGE_LOOP('',(#59360,#59361,#59362,#59363)); #24305=EDGE_LOOP('',(#59364,#59365,#59366,#59367)); #24306=EDGE_LOOP('',(#59368,#59369,#59370,#59371)); #24307=EDGE_LOOP('',(#59372,#59373,#59374,#59375)); #24308=EDGE_LOOP('',(#59376,#59377,#59378,#59379)); #24309=EDGE_LOOP('',(#59380,#59381,#59382,#59383)); #24310=EDGE_LOOP('',(#59384,#59385,#59386,#59387)); #24311=EDGE_LOOP('',(#59388,#59389,#59390,#59391)); #24312=EDGE_LOOP('',(#59392,#59393,#59394,#59395)); #24313=EDGE_LOOP('',(#59396,#59397,#59398,#59399)); #24314=EDGE_LOOP('',(#59400,#59401,#59402,#59403)); #24315=EDGE_LOOP('',(#59404,#59405,#59406,#59407)); #24316=EDGE_LOOP('',(#59408,#59409,#59410,#59411,#59412,#59413,#59414,#59415, #59416,#59417)); #24317=EDGE_LOOP('',(#59418,#59419,#59420,#59421,#59422,#59423,#59424)); #24318=EDGE_LOOP('',(#59425,#59426,#59427,#59428,#59429,#59430,#59431)); #24319=EDGE_LOOP('',(#59432,#59433,#59434,#59435)); #24320=EDGE_LOOP('',(#59436,#59437,#59438,#59439)); #24321=EDGE_LOOP('',(#59440,#59441,#59442,#59443)); #24322=EDGE_LOOP('',(#59444,#59445,#59446,#59447)); #24323=EDGE_LOOP('',(#59448,#59449,#59450,#59451)); #24324=EDGE_LOOP('',(#59452,#59453,#59454,#59455)); #24325=EDGE_LOOP('',(#59456,#59457,#59458,#59459)); #24326=EDGE_LOOP('',(#59460,#59461,#59462,#59463)); #24327=EDGE_LOOP('',(#59464,#59465,#59466,#59467,#59468,#59469,#59470,#59471)); #24328=EDGE_LOOP('',(#59472,#59473,#59474,#59475)); #24329=EDGE_LOOP('',(#59476,#59477,#59478,#59479)); #24330=EDGE_LOOP('',(#59480,#59481,#59482,#59483)); #24331=EDGE_LOOP('',(#59484,#59485,#59486,#59487)); #24332=EDGE_LOOP('',(#59488,#59489,#59490,#59491)); #24333=EDGE_LOOP('',(#59492,#59493,#59494,#59495)); #24334=EDGE_LOOP('',(#59496,#59497,#59498,#59499)); #24335=EDGE_LOOP('',(#59500,#59501,#59502,#59503)); #24336=EDGE_LOOP('',(#59504,#59505,#59506,#59507)); #24337=EDGE_LOOP('',(#59508,#59509,#59510,#59511,#59512,#59513,#59514,#59515, #59516)); #24338=EDGE_LOOP('',(#59517,#59518,#59519,#59520)); #24339=EDGE_LOOP('',(#59521,#59522,#59523,#59524)); #24340=EDGE_LOOP('',(#59525,#59526,#59527,#59528)); #24341=EDGE_LOOP('',(#59529,#59530,#59531,#59532)); #24342=EDGE_LOOP('',(#59533,#59534,#59535,#59536)); #24343=EDGE_LOOP('',(#59537,#59538,#59539,#59540)); #24344=EDGE_LOOP('',(#59541,#59542,#59543,#59544)); #24345=EDGE_LOOP('',(#59545,#59546,#59547,#59548)); #24346=EDGE_LOOP('',(#59549,#59550,#59551,#59552)); #24347=EDGE_LOOP('',(#59553,#59554,#59555,#59556)); #24348=EDGE_LOOP('',(#59557,#59558,#59559,#59560)); #24349=EDGE_LOOP('',(#59561,#59562,#59563,#59564)); #24350=EDGE_LOOP('',(#59565,#59566,#59567,#59568)); #24351=EDGE_LOOP('',(#59569,#59570,#59571,#59572,#59573,#59574,#59575,#59576, #59577,#59578,#59579,#59580,#59581)); #24352=EDGE_LOOP('',(#59582,#59583,#59584,#59585)); #24353=EDGE_LOOP('',(#59586,#59587,#59588,#59589)); #24354=EDGE_LOOP('',(#59590,#59591,#59592,#59593)); #24355=EDGE_LOOP('',(#59594,#59595,#59596,#59597)); #24356=EDGE_LOOP('',(#59598,#59599,#59600,#59601)); #24357=EDGE_LOOP('',(#59602,#59603,#59604,#59605)); #24358=EDGE_LOOP('',(#59606,#59607,#59608,#59609)); #24359=EDGE_LOOP('',(#59610,#59611,#59612,#59613)); #24360=EDGE_LOOP('',(#59614,#59615,#59616,#59617)); #24361=EDGE_LOOP('',(#59618,#59619,#59620,#59621)); #24362=EDGE_LOOP('',(#59622,#59623,#59624,#59625)); #24363=EDGE_LOOP('',(#59626,#59627,#59628,#59629)); #24364=EDGE_LOOP('',(#59630,#59631,#59632,#59633)); #24365=EDGE_LOOP('',(#59634,#59635,#59636,#59637)); #24366=EDGE_LOOP('',(#59638,#59639,#59640,#59641,#59642,#59643,#59644)); #24367=EDGE_LOOP('',(#59645,#59646,#59647,#59648,#59649,#59650,#59651)); #24368=EDGE_LOOP('',(#59652,#59653,#59654,#59655)); #24369=EDGE_LOOP('',(#59656,#59657,#59658,#59659)); #24370=EDGE_LOOP('',(#59660,#59661,#59662,#59663)); #24371=EDGE_LOOP('',(#59664,#59665,#59666,#59667)); #24372=EDGE_LOOP('',(#59668,#59669,#59670,#59671)); #24373=EDGE_LOOP('',(#59672,#59673,#59674,#59675)); #24374=EDGE_LOOP('',(#59676,#59677,#59678,#59679)); #24375=EDGE_LOOP('',(#59680,#59681,#59682,#59683)); #24376=EDGE_LOOP('',(#59684,#59685,#59686,#59687)); #24377=EDGE_LOOP('',(#59688,#59689,#59690,#59691)); #24378=EDGE_LOOP('',(#59692,#59693,#59694,#59695)); #24379=EDGE_LOOP('',(#59696,#59697,#59698,#59699)); #24380=EDGE_LOOP('',(#59700,#59701,#59702,#59703,#59704,#59705,#59706,#59707, #59708,#59709,#59710,#59711)); #24381=EDGE_LOOP('',(#59712,#59713,#59714,#59715)); #24382=EDGE_LOOP('',(#59716,#59717,#59718,#59719)); #24383=EDGE_LOOP('',(#59720,#59721,#59722,#59723)); #24384=EDGE_LOOP('',(#59724,#59725,#59726,#59727)); #24385=EDGE_LOOP('',(#59728,#59729,#59730,#59731)); #24386=EDGE_LOOP('',(#59732,#59733,#59734,#59735)); #24387=EDGE_LOOP('',(#59736,#59737,#59738,#59739)); #24388=EDGE_LOOP('',(#59740,#59741,#59742,#59743,#59744,#59745,#59746)); #24389=EDGE_LOOP('',(#59747,#59748,#59749,#59750)); #24390=EDGE_LOOP('',(#59751,#59752,#59753,#59754)); #24391=EDGE_LOOP('',(#59755,#59756,#59757,#59758)); #24392=EDGE_LOOP('',(#59759,#59760,#59761,#59762)); #24393=EDGE_LOOP('',(#59763,#59764,#59765,#59766)); #24394=EDGE_LOOP('',(#59767,#59768,#59769,#59770)); #24395=EDGE_LOOP('',(#59771,#59772,#59773,#59774)); #24396=EDGE_LOOP('',(#59775,#59776,#59777,#59778)); #24397=EDGE_LOOP('',(#59779,#59780,#59781,#59782)); #24398=EDGE_LOOP('',(#59783,#59784,#59785,#59786)); #24399=EDGE_LOOP('',(#59787,#59788,#59789,#59790)); #24400=EDGE_LOOP('',(#59791,#59792,#59793,#59794)); #24401=EDGE_LOOP('',(#59795,#59796,#59797,#59798)); #24402=EDGE_LOOP('',(#59799,#59800,#59801,#59802)); #24403=EDGE_LOOP('',(#59803,#59804,#59805,#59806)); #24404=EDGE_LOOP('',(#59807,#59808,#59809,#59810,#59811,#59812,#59813,#59814, #59815,#59816,#59817,#59818,#59819,#59820,#59821)); #24405=EDGE_LOOP('',(#59822,#59823,#59824,#59825)); #24406=EDGE_LOOP('',(#59826,#59827,#59828,#59829)); #24407=EDGE_LOOP('',(#59830,#59831,#59832,#59833)); #24408=EDGE_LOOP('',(#59834,#59835,#59836,#59837)); #24409=EDGE_LOOP('',(#59838,#59839,#59840,#59841)); #24410=EDGE_LOOP('',(#59842,#59843,#59844,#59845)); #24411=EDGE_LOOP('',(#59846,#59847,#59848,#59849)); #24412=EDGE_LOOP('',(#59850,#59851,#59852,#59853)); #24413=EDGE_LOOP('',(#59854,#59855,#59856,#59857)); #24414=EDGE_LOOP('',(#59858,#59859,#59860,#59861)); #24415=EDGE_LOOP('',(#59862,#59863,#59864,#59865)); #24416=EDGE_LOOP('',(#59866,#59867,#59868,#59869)); #24417=EDGE_LOOP('',(#59870,#59871,#59872,#59873)); #24418=EDGE_LOOP('',(#59874,#59875,#59876,#59877)); #24419=EDGE_LOOP('',(#59878,#59879,#59880,#59881)); #24420=EDGE_LOOP('',(#59882,#59883,#59884,#59885)); #24421=EDGE_LOOP('',(#59886,#59887,#59888,#59889)); #24422=EDGE_LOOP('',(#59890,#59891,#59892,#59893)); #24423=EDGE_LOOP('',(#59894,#59895,#59896,#59897)); #24424=EDGE_LOOP('',(#59898,#59899,#59900,#59901)); #24425=EDGE_LOOP('',(#59902,#59903,#59904,#59905)); #24426=EDGE_LOOP('',(#59906,#59907,#59908,#59909,#59910,#59911,#59912,#59913, #59914,#59915,#59916,#59917)); #24427=EDGE_LOOP('',(#59918,#59919,#59920,#59921)); #24428=EDGE_LOOP('',(#59922,#59923,#59924,#59925)); #24429=EDGE_LOOP('',(#59926,#59927,#59928,#59929)); #24430=EDGE_LOOP('',(#59930,#59931,#59932,#59933)); #24431=EDGE_LOOP('',(#59934,#59935,#59936,#59937)); #24432=EDGE_LOOP('',(#59938,#59939,#59940,#59941)); #24433=EDGE_LOOP('',(#59942,#59943,#59944,#59945)); #24434=EDGE_LOOP('',(#59946,#59947,#59948,#59949)); #24435=EDGE_LOOP('',(#59950,#59951,#59952,#59953)); #24436=EDGE_LOOP('',(#59954,#59955,#59956,#59957)); #24437=EDGE_LOOP('',(#59958,#59959,#59960,#59961)); #24438=EDGE_LOOP('',(#59962,#59963,#59964,#59965)); #24439=EDGE_LOOP('',(#59966,#59967,#59968,#59969)); #24440=EDGE_LOOP('',(#59970,#59971,#59972,#59973)); #24441=EDGE_LOOP('',(#59974,#59975,#59976,#59977,#59978,#59979,#59980,#59981)); #24442=EDGE_LOOP('',(#59982,#59983,#59984,#59985,#59986)); #24443=EDGE_LOOP('',(#59987,#59988,#59989,#59990)); #24444=EDGE_LOOP('',(#59991,#59992,#59993,#59994)); #24445=EDGE_LOOP('',(#59995,#59996,#59997,#59998)); #24446=EDGE_LOOP('',(#59999,#60000,#60001,#60002)); #24447=EDGE_LOOP('',(#60003,#60004,#60005,#60006)); #24448=EDGE_LOOP('',(#60007,#60008,#60009,#60010)); #24449=EDGE_LOOP('',(#60011,#60012,#60013,#60014)); #24450=EDGE_LOOP('',(#60015,#60016,#60017,#60018)); #24451=EDGE_LOOP('',(#60019,#60020,#60021,#60022)); #24452=EDGE_LOOP('',(#60023,#60024,#60025,#60026)); #24453=EDGE_LOOP('',(#60027,#60028,#60029,#60030)); #24454=EDGE_LOOP('',(#60031,#60032,#60033,#60034)); #24455=EDGE_LOOP('',(#60035,#60036,#60037,#60038)); #24456=EDGE_LOOP('',(#60039,#60040,#60041,#60042)); #24457=EDGE_LOOP('',(#60043,#60044,#60045,#60046,#60047,#60048,#60049,#60050)); #24458=EDGE_LOOP('',(#60051,#60052,#60053,#60054,#60055,#60056)); #24459=EDGE_LOOP('',(#60057,#60058,#60059,#60060)); #24460=EDGE_LOOP('',(#60061,#60062,#60063,#60064)); #24461=EDGE_LOOP('',(#60065,#60066,#60067,#60068)); #24462=EDGE_LOOP('',(#60069,#60070,#60071,#60072)); #24463=EDGE_LOOP('',(#60073,#60074,#60075,#60076)); #24464=EDGE_LOOP('',(#60077,#60078,#60079,#60080)); #24465=EDGE_LOOP('',(#60081,#60082,#60083,#60084)); #24466=EDGE_LOOP('',(#60085,#60086,#60087,#60088,#60089,#60090,#60091)); #24467=EDGE_LOOP('',(#60092,#60093,#60094,#60095)); #24468=EDGE_LOOP('',(#60096,#60097,#60098,#60099)); #24469=EDGE_LOOP('',(#60100,#60101,#60102,#60103)); #24470=EDGE_LOOP('',(#60104,#60105,#60106,#60107)); #24471=EDGE_LOOP('',(#60108,#60109,#60110,#60111)); #24472=EDGE_LOOP('',(#60112,#60113,#60114,#60115)); #24473=EDGE_LOOP('',(#60116,#60117,#60118,#60119)); #24474=EDGE_LOOP('',(#60120,#60121,#60122,#60123,#60124,#60125,#60126)); #24475=EDGE_LOOP('',(#60127,#60128,#60129,#60130)); #24476=EDGE_LOOP('',(#60131,#60132,#60133,#60134)); #24477=EDGE_LOOP('',(#60135,#60136,#60137,#60138)); #24478=EDGE_LOOP('',(#60139,#60140,#60141,#60142)); #24479=EDGE_LOOP('',(#60143,#60144,#60145,#60146)); #24480=EDGE_LOOP('',(#60147,#60148,#60149,#60150)); #24481=EDGE_LOOP('',(#60151,#60152,#60153,#60154)); #24482=EDGE_LOOP('',(#60155,#60156,#60157,#60158,#60159,#60160,#60161)); #24483=EDGE_LOOP('',(#60162,#60163,#60164,#60165)); #24484=EDGE_LOOP('',(#60166,#60167,#60168,#60169)); #24485=EDGE_LOOP('',(#60170,#60171,#60172,#60173)); #24486=EDGE_LOOP('',(#60174,#60175,#60176,#60177)); #24487=EDGE_LOOP('',(#60178,#60179,#60180,#60181)); #24488=EDGE_LOOP('',(#60182,#60183,#60184,#60185)); #24489=EDGE_LOOP('',(#60186,#60187,#60188,#60189)); #24490=EDGE_LOOP('',(#60190,#60191,#60192,#60193)); #24491=EDGE_LOOP('',(#60194,#60195,#60196,#60197)); #24492=EDGE_LOOP('',(#60198,#60199,#60200,#60201)); #24493=EDGE_LOOP('',(#60202,#60203,#60204,#60205)); #24494=EDGE_LOOP('',(#60206,#60207,#60208,#60209)); #24495=EDGE_LOOP('',(#60210,#60211,#60212,#60213)); #24496=EDGE_LOOP('',(#60214,#60215,#60216,#60217)); #24497=EDGE_LOOP('',(#60218,#60219,#60220,#60221)); #24498=EDGE_LOOP('',(#60222,#60223,#60224,#60225)); #24499=EDGE_LOOP('',(#60226,#60227,#60228,#60229)); #24500=EDGE_LOOP('',(#60230,#60231,#60232,#60233)); #24501=EDGE_LOOP('',(#60234,#60235,#60236,#60237)); #24502=EDGE_LOOP('',(#60238,#60239,#60240,#60241)); #24503=EDGE_LOOP('',(#60242,#60243,#60244,#60245)); #24504=EDGE_LOOP('',(#60246,#60247,#60248,#60249)); #24505=EDGE_LOOP('',(#60250,#60251,#60252,#60253)); #24506=EDGE_LOOP('',(#60254,#60255,#60256,#60257)); #24507=EDGE_LOOP('',(#60258,#60259,#60260,#60261)); #24508=EDGE_LOOP('',(#60262,#60263,#60264,#60265)); #24509=EDGE_LOOP('',(#60266,#60267,#60268,#60269)); #24510=EDGE_LOOP('',(#60270,#60271,#60272,#60273)); #24511=EDGE_LOOP('',(#60274,#60275,#60276,#60277)); #24512=EDGE_LOOP('',(#60278,#60279,#60280,#60281)); #24513=EDGE_LOOP('',(#60282,#60283,#60284,#60285)); #24514=EDGE_LOOP('',(#60286,#60287,#60288,#60289)); #24515=EDGE_LOOP('',(#60290,#60291,#60292,#60293)); #24516=EDGE_LOOP('',(#60294,#60295,#60296,#60297)); #24517=EDGE_LOOP('',(#60298,#60299,#60300,#60301)); #24518=EDGE_LOOP('',(#60302,#60303,#60304,#60305)); #24519=EDGE_LOOP('',(#60306,#60307,#60308,#60309)); #24520=EDGE_LOOP('',(#60310,#60311,#60312,#60313)); #24521=EDGE_LOOP('',(#60314,#60315,#60316,#60317)); #24522=EDGE_LOOP('',(#60318,#60319,#60320,#60321)); #24523=EDGE_LOOP('',(#60322,#60323,#60324,#60325)); #24524=EDGE_LOOP('',(#60326,#60327,#60328,#60329)); #24525=EDGE_LOOP('',(#60330,#60331,#60332,#60333)); #24526=EDGE_LOOP('',(#60334,#60335,#60336,#60337)); #24527=EDGE_LOOP('',(#60338,#60339,#60340,#60341,#60342,#60343,#60344,#60345, #60346,#60347,#60348,#60349,#60350,#60351,#60352,#60353,#60354,#60355,#60356, #60357,#60358,#60359,#60360,#60361,#60362,#60363)); #24528=EDGE_LOOP('',(#60364,#60365,#60366,#60367,#60368,#60369,#60370,#60371, #60372,#60373,#60374,#60375,#60376,#60377,#60378,#60379,#60380,#60381)); #24529=EDGE_LOOP('',(#60382,#60383,#60384,#60385)); #24530=EDGE_LOOP('',(#60386,#60387,#60388,#60389)); #24531=EDGE_LOOP('',(#60390,#60391,#60392,#60393)); #24532=EDGE_LOOP('',(#60394,#60395,#60396,#60397)); #24533=EDGE_LOOP('',(#60398,#60399,#60400,#60401)); #24534=EDGE_LOOP('',(#60402,#60403,#60404,#60405)); #24535=EDGE_LOOP('',(#60406,#60407,#60408,#60409)); #24536=EDGE_LOOP('',(#60410,#60411,#60412,#60413,#60414,#60415,#60416)); #24537=EDGE_LOOP('',(#60417,#60418,#60419,#60420)); #24538=EDGE_LOOP('',(#60421,#60422,#60423,#60424)); #24539=EDGE_LOOP('',(#60425,#60426,#60427,#60428)); #24540=EDGE_LOOP('',(#60429,#60430,#60431,#60432)); #24541=EDGE_LOOP('',(#60433,#60434,#60435,#60436)); #24542=EDGE_LOOP('',(#60437,#60438,#60439,#60440)); #24543=EDGE_LOOP('',(#60441,#60442,#60443,#60444)); #24544=EDGE_LOOP('',(#60445,#60446,#60447,#60448)); #24545=EDGE_LOOP('',(#60449,#60450,#60451,#60452)); #24546=EDGE_LOOP('',(#60453,#60454,#60455,#60456)); #24547=EDGE_LOOP('',(#60457,#60458,#60459,#60460)); #24548=EDGE_LOOP('',(#60461,#60462,#60463,#60464)); #24549=EDGE_LOOP('',(#60465,#60466,#60467,#60468)); #24550=EDGE_LOOP('',(#60469,#60470,#60471,#60472)); #24551=EDGE_LOOP('',(#60473,#60474,#60475,#60476)); #24552=EDGE_LOOP('',(#60477,#60478,#60479,#60480)); #24553=EDGE_LOOP('',(#60481,#60482,#60483,#60484)); #24554=EDGE_LOOP('',(#60485,#60486,#60487,#60488)); #24555=EDGE_LOOP('',(#60489,#60490,#60491,#60492)); #24556=EDGE_LOOP('',(#60493,#60494,#60495,#60496)); #24557=EDGE_LOOP('',(#60497,#60498,#60499,#60500)); #24558=EDGE_LOOP('',(#60501,#60502,#60503,#60504)); #24559=EDGE_LOOP('',(#60505,#60506,#60507,#60508)); #24560=EDGE_LOOP('',(#60509,#60510,#60511,#60512)); #24561=EDGE_LOOP('',(#60513,#60514,#60515,#60516)); #24562=EDGE_LOOP('',(#60517,#60518,#60519,#60520)); #24563=EDGE_LOOP('',(#60521,#60522,#60523,#60524)); #24564=EDGE_LOOP('',(#60525,#60526,#60527,#60528)); #24565=EDGE_LOOP('',(#60529,#60530,#60531,#60532,#60533,#60534,#60535,#60536, #60537,#60538,#60539,#60540,#60541,#60542,#60543,#60544,#60545,#60546,#60547, #60548,#60549,#60550,#60551,#60552,#60553,#60554,#60555,#60556)); #24566=EDGE_LOOP('',(#60557,#60558,#60559,#60560)); #24567=EDGE_LOOP('',(#60561,#60562,#60563,#60564)); #24568=EDGE_LOOP('',(#60565,#60566,#60567,#60568)); #24569=EDGE_LOOP('',(#60569,#60570,#60571,#60572)); #24570=EDGE_LOOP('',(#60573,#60574,#60575,#60576)); #24571=EDGE_LOOP('',(#60577,#60578,#60579,#60580)); #24572=EDGE_LOOP('',(#60581,#60582,#60583,#60584)); #24573=EDGE_LOOP('',(#60585,#60586,#60587,#60588,#60589,#60590,#60591)); #24574=EDGE_LOOP('',(#60592,#60593,#60594,#60595)); #24575=EDGE_LOOP('',(#60596,#60597,#60598,#60599)); #24576=EDGE_LOOP('',(#60600,#60601,#60602,#60603)); #24577=EDGE_LOOP('',(#60604,#60605,#60606,#60607)); #24578=EDGE_LOOP('',(#60608,#60609,#60610,#60611)); #24579=EDGE_LOOP('',(#60612,#60613,#60614,#60615)); #24580=EDGE_LOOP('',(#60616,#60617,#60618,#60619)); #24581=EDGE_LOOP('',(#60620,#60621,#60622,#60623)); #24582=EDGE_LOOP('',(#60624,#60625,#60626,#60627)); #24583=EDGE_LOOP('',(#60628,#60629,#60630,#60631)); #24584=EDGE_LOOP('',(#60632,#60633,#60634,#60635)); #24585=EDGE_LOOP('',(#60636,#60637,#60638,#60639)); #24586=EDGE_LOOP('',(#60640,#60641,#60642,#60643)); #24587=EDGE_LOOP('',(#60644,#60645,#60646,#60647)); #24588=EDGE_LOOP('',(#60648,#60649,#60650,#60651)); #24589=EDGE_LOOP('',(#60652,#60653,#60654,#60655)); #24590=EDGE_LOOP('',(#60656,#60657,#60658,#60659)); #24591=EDGE_LOOP('',(#60660,#60661,#60662,#60663)); #24592=EDGE_LOOP('',(#60664,#60665,#60666,#60667)); #24593=EDGE_LOOP('',(#60668,#60669,#60670,#60671)); #24594=EDGE_LOOP('',(#60672,#60673,#60674,#60675)); #24595=EDGE_LOOP('',(#60676,#60677,#60678,#60679)); #24596=EDGE_LOOP('',(#60680,#60681,#60682,#60683)); #24597=EDGE_LOOP('',(#60684,#60685,#60686,#60687)); #24598=EDGE_LOOP('',(#60688,#60689,#60690,#60691)); #24599=EDGE_LOOP('',(#60692,#60693,#60694,#60695)); #24600=EDGE_LOOP('',(#60696,#60697,#60698,#60699)); #24601=EDGE_LOOP('',(#60700,#60701,#60702,#60703)); #24602=EDGE_LOOP('',(#60704,#60705,#60706,#60707)); #24603=EDGE_LOOP('',(#60708,#60709,#60710,#60711)); #24604=EDGE_LOOP('',(#60712,#60713,#60714,#60715)); #24605=EDGE_LOOP('',(#60716,#60717,#60718,#60719)); #24606=EDGE_LOOP('',(#60720,#60721,#60722,#60723)); #24607=EDGE_LOOP('',(#60724,#60725,#60726,#60727)); #24608=EDGE_LOOP('',(#60728,#60729,#60730,#60731)); #24609=EDGE_LOOP('',(#60732,#60733,#60734,#60735)); #24610=EDGE_LOOP('',(#60736,#60737,#60738,#60739)); #24611=EDGE_LOOP('',(#60740,#60741,#60742,#60743)); #24612=EDGE_LOOP('',(#60744,#60745,#60746,#60747)); #24613=EDGE_LOOP('',(#60748,#60749,#60750,#60751)); #24614=EDGE_LOOP('',(#60752,#60753,#60754,#60755,#60756,#60757,#60758,#60759, #60760,#60761,#60762,#60763,#60764,#60765,#60766,#60767,#60768,#60769,#60770, #60771,#60772,#60773,#60774,#60775,#60776,#60777,#60778,#60779,#60780,#60781, #60782,#60783,#60784,#60785,#60786,#60787,#60788,#60789,#60790,#60791)); #24615=EDGE_LOOP('',(#60792,#60793,#60794,#60795)); #24616=EDGE_LOOP('',(#60796,#60797,#60798,#60799)); #24617=EDGE_LOOP('',(#60800,#60801,#60802,#60803)); #24618=EDGE_LOOP('',(#60804,#60805,#60806,#60807)); #24619=EDGE_LOOP('',(#60808,#60809,#60810,#60811)); #24620=EDGE_LOOP('',(#60812,#60813,#60814,#60815)); #24621=EDGE_LOOP('',(#60816,#60817,#60818,#60819)); #24622=EDGE_LOOP('',(#60820,#60821,#60822,#60823)); #24623=EDGE_LOOP('',(#60824,#60825,#60826,#60827)); #24624=EDGE_LOOP('',(#60828,#60829,#60830,#60831)); #24625=EDGE_LOOP('',(#60832,#60833,#60834,#60835)); #24626=EDGE_LOOP('',(#60836,#60837,#60838,#60839)); #24627=EDGE_LOOP('',(#60840,#60841,#60842,#60843)); #24628=EDGE_LOOP('',(#60844,#60845,#60846,#60847)); #24629=EDGE_LOOP('',(#60848,#60849,#60850,#60851,#60852,#60853,#60854,#60855, #60856,#60857,#60858)); #24630=EDGE_LOOP('',(#60859,#60860,#60861)); #24631=EDGE_LOOP('',(#60862,#60863,#60864,#60865)); #24632=EDGE_LOOP('',(#60866,#60867,#60868,#60869)); #24633=EDGE_LOOP('',(#60870,#60871,#60872,#60873)); #24634=EDGE_LOOP('',(#60874,#60875,#60876,#60877)); #24635=EDGE_LOOP('',(#60878,#60879,#60880,#60881)); #24636=EDGE_LOOP('',(#60882,#60883,#60884,#60885)); #24637=EDGE_LOOP('',(#60886,#60887,#60888,#60889)); #24638=EDGE_LOOP('',(#60890,#60891,#60892,#60893)); #24639=EDGE_LOOP('',(#60894,#60895,#60896,#60897)); #24640=EDGE_LOOP('',(#60898,#60899,#60900,#60901)); #24641=EDGE_LOOP('',(#60902,#60903,#60904,#60905)); #24642=EDGE_LOOP('',(#60906,#60907,#60908,#60909,#60910,#60911,#60912,#60913, #60914,#60915,#60916)); #24643=EDGE_LOOP('',(#60917,#60918,#60919,#60920)); #24644=EDGE_LOOP('',(#60921,#60922,#60923,#60924)); #24645=EDGE_LOOP('',(#60925,#60926,#60927,#60928)); #24646=EDGE_LOOP('',(#60929,#60930,#60931,#60932)); #24647=EDGE_LOOP('',(#60933,#60934,#60935,#60936)); #24648=EDGE_LOOP('',(#60937,#60938,#60939,#60940)); #24649=EDGE_LOOP('',(#60941,#60942,#60943,#60944)); #24650=EDGE_LOOP('',(#60945,#60946,#60947,#60948)); #24651=EDGE_LOOP('',(#60949,#60950,#60951,#60952)); #24652=EDGE_LOOP('',(#60953,#60954,#60955,#60956)); #24653=EDGE_LOOP('',(#60957,#60958,#60959,#60960)); #24654=EDGE_LOOP('',(#60961,#60962,#60963,#60964,#60965,#60966,#60967,#60968, #60969,#60970,#60971)); #24655=EDGE_LOOP('',(#60972,#60973,#60974,#60975)); #24656=EDGE_LOOP('',(#60976,#60977,#60978,#60979)); #24657=EDGE_LOOP('',(#60980,#60981,#60982,#60983)); #24658=EDGE_LOOP('',(#60984,#60985,#60986,#60987)); #24659=EDGE_LOOP('',(#60988,#60989,#60990,#60991)); #24660=EDGE_LOOP('',(#60992,#60993,#60994,#60995)); #24661=EDGE_LOOP('',(#60996,#60997,#60998,#60999)); #24662=EDGE_LOOP('',(#61000,#61001,#61002,#61003)); #24663=EDGE_LOOP('',(#61004,#61005,#61006,#61007)); #24664=EDGE_LOOP('',(#61008,#61009,#61010,#61011)); #24665=EDGE_LOOP('',(#61012,#61013,#61014,#61015)); #24666=EDGE_LOOP('',(#61016,#61017,#61018,#61019,#61020,#61021,#61022,#61023, #61024,#61025,#61026)); #24667=EDGE_LOOP('',(#61027,#61028,#61029,#61030)); #24668=EDGE_LOOP('',(#61031,#61032,#61033,#61034)); #24669=EDGE_LOOP('',(#61035,#61036,#61037,#61038)); #24670=EDGE_LOOP('',(#61039,#61040,#61041,#61042)); #24671=EDGE_LOOP('',(#61043,#61044,#61045,#61046)); #24672=EDGE_LOOP('',(#61047,#61048,#61049,#61050)); #24673=EDGE_LOOP('',(#61051,#61052,#61053,#61054)); #24674=EDGE_LOOP('',(#61055,#61056,#61057,#61058)); #24675=EDGE_LOOP('',(#61059,#61060,#61061,#61062)); #24676=EDGE_LOOP('',(#61063,#61064,#61065,#61066)); #24677=EDGE_LOOP('',(#61067,#61068,#61069,#61070)); #24678=EDGE_LOOP('',(#61071,#61072,#61073,#61074)); #24679=EDGE_LOOP('',(#61075,#61076,#61077,#61078)); #24680=EDGE_LOOP('',(#61079,#61080,#61081,#61082)); #24681=EDGE_LOOP('',(#61083,#61084,#61085,#61086)); #24682=EDGE_LOOP('',(#61087,#61088,#61089,#61090)); #24683=EDGE_LOOP('',(#61091,#61092,#61093,#61094)); #24684=EDGE_LOOP('',(#61095,#61096,#61097,#61098)); #24685=EDGE_LOOP('',(#61099,#61100,#61101,#61102)); #24686=EDGE_LOOP('',(#61103,#61104,#61105,#61106)); #24687=EDGE_LOOP('',(#61107,#61108,#61109,#61110)); #24688=EDGE_LOOP('',(#61111,#61112,#61113,#61114)); #24689=EDGE_LOOP('',(#61115,#61116,#61117,#61118)); #24690=EDGE_LOOP('',(#61119,#61120,#61121,#61122)); #24691=EDGE_LOOP('',(#61123,#61124,#61125,#61126)); #24692=EDGE_LOOP('',(#61127,#61128,#61129,#61130)); #24693=EDGE_LOOP('',(#61131,#61132,#61133,#61134)); #24694=EDGE_LOOP('',(#61135,#61136,#61137,#61138)); #24695=EDGE_LOOP('',(#61139,#61140,#61141,#61142)); #24696=EDGE_LOOP('',(#61143,#61144,#61145,#61146)); #24697=EDGE_LOOP('',(#61147,#61148,#61149,#61150)); #24698=EDGE_LOOP('',(#61151,#61152,#61153,#61154)); #24699=EDGE_LOOP('',(#61155,#61156,#61157,#61158)); #24700=EDGE_LOOP('',(#61159,#61160,#61161,#61162)); #24701=EDGE_LOOP('',(#61163,#61164,#61165,#61166)); #24702=EDGE_LOOP('',(#61167,#61168,#61169,#61170)); #24703=EDGE_LOOP('',(#61171,#61172,#61173,#61174)); #24704=EDGE_LOOP('',(#61175,#61176,#61177,#61178)); #24705=EDGE_LOOP('',(#61179,#61180,#61181,#61182)); #24706=EDGE_LOOP('',(#61183,#61184,#61185,#61186)); #24707=EDGE_LOOP('',(#61187,#61188,#61189,#61190)); #24708=EDGE_LOOP('',(#61191,#61192,#61193,#61194)); #24709=EDGE_LOOP('',(#61195,#61196,#61197,#61198)); #24710=EDGE_LOOP('',(#61199,#61200,#61201,#61202)); #24711=EDGE_LOOP('',(#61203,#61204,#61205,#61206)); #24712=EDGE_LOOP('',(#61207,#61208,#61209,#61210)); #24713=EDGE_LOOP('',(#61211,#61212,#61213,#61214)); #24714=EDGE_LOOP('',(#61215,#61216,#61217,#61218)); #24715=EDGE_LOOP('',(#61219,#61220,#61221,#61222)); #24716=EDGE_LOOP('',(#61223,#61224,#61225,#61226)); #24717=EDGE_LOOP('',(#61227)); #24718=EDGE_LOOP('',(#61228)); #24719=EDGE_LOOP('',(#61229,#61230,#61231,#61232)); #24720=EDGE_LOOP('',(#61233,#61234,#61235,#61236)); #24721=EDGE_LOOP('',(#61237,#61238,#61239,#61240)); #24722=EDGE_LOOP('',(#61241,#61242,#61243,#61244)); #24723=EDGE_LOOP('',(#61245,#61246,#61247,#61248)); #24724=EDGE_LOOP('',(#61249,#61250,#61251,#61252)); #24725=EDGE_LOOP('',(#61253,#61254,#61255,#61256)); #24726=EDGE_LOOP('',(#61257,#61258,#61259,#61260)); #24727=EDGE_LOOP('',(#61261,#61262,#61263,#61264)); #24728=EDGE_LOOP('',(#61265,#61266,#61267,#61268)); #24729=EDGE_LOOP('',(#61269,#61270,#61271,#61272)); #24730=EDGE_LOOP('',(#61273,#61274,#61275,#61276)); #24731=EDGE_LOOP('',(#61277,#61278,#61279,#61280)); #24732=EDGE_LOOP('',(#61281,#61282,#61283,#61284)); #24733=EDGE_LOOP('',(#61285,#61286,#61287,#61288)); #24734=EDGE_LOOP('',(#61289,#61290,#61291,#61292)); #24735=EDGE_LOOP('',(#61293,#61294,#61295,#61296)); #24736=EDGE_LOOP('',(#61297,#61298,#61299,#61300)); #24737=EDGE_LOOP('',(#61301,#61302,#61303,#61304)); #24738=EDGE_LOOP('',(#61305,#61306,#61307,#61308)); #24739=EDGE_LOOP('',(#61309,#61310,#61311,#61312)); #24740=EDGE_LOOP('',(#61313,#61314,#61315,#61316)); #24741=EDGE_LOOP('',(#61317,#61318,#61319,#61320)); #24742=EDGE_LOOP('',(#61321,#61322,#61323,#61324)); #24743=EDGE_LOOP('',(#61325,#61326,#61327,#61328)); #24744=EDGE_LOOP('',(#61329,#61330,#61331,#61332)); #24745=EDGE_LOOP('',(#61333,#61334,#61335,#61336)); #24746=EDGE_LOOP('',(#61337,#61338,#61339,#61340)); #24747=EDGE_LOOP('',(#61341,#61342,#61343,#61344)); #24748=EDGE_LOOP('',(#61345,#61346,#61347,#61348)); #24749=EDGE_LOOP('',(#61349,#61350,#61351,#61352)); #24750=EDGE_LOOP('',(#61353,#61354,#61355,#61356)); #24751=EDGE_LOOP('',(#61357,#61358,#61359,#61360)); #24752=EDGE_LOOP('',(#61361,#61362,#61363,#61364)); #24753=EDGE_LOOP('',(#61365,#61366,#61367,#61368)); #24754=EDGE_LOOP('',(#61369,#61370,#61371,#61372)); #24755=EDGE_LOOP('',(#61373,#61374,#61375,#61376)); #24756=EDGE_LOOP('',(#61377,#61378,#61379,#61380)); #24757=EDGE_LOOP('',(#61381,#61382,#61383,#61384)); #24758=EDGE_LOOP('',(#61385,#61386,#61387,#61388)); #24759=EDGE_LOOP('',(#61389,#61390,#61391,#61392)); #24760=EDGE_LOOP('',(#61393,#61394,#61395,#61396)); #24761=EDGE_LOOP('',(#61397,#61398,#61399,#61400)); #24762=EDGE_LOOP('',(#61401,#61402,#61403,#61404)); #24763=EDGE_LOOP('',(#61405,#61406,#61407,#61408)); #24764=EDGE_LOOP('',(#61409,#61410,#61411,#61412)); #24765=EDGE_LOOP('',(#61413,#61414,#61415,#61416)); #24766=EDGE_LOOP('',(#61417,#61418,#61419,#61420)); #24767=EDGE_LOOP('',(#61421,#61422,#61423,#61424)); #24768=EDGE_LOOP('',(#61425,#61426,#61427,#61428)); #24769=EDGE_LOOP('',(#61429,#61430,#61431,#61432)); #24770=EDGE_LOOP('',(#61433,#61434,#61435,#61436)); #24771=EDGE_LOOP('',(#61437,#61438,#61439,#61440)); #24772=EDGE_LOOP('',(#61441,#61442,#61443,#61444)); #24773=EDGE_LOOP('',(#61445,#61446,#61447,#61448)); #24774=EDGE_LOOP('',(#61449,#61450,#61451,#61452)); #24775=EDGE_LOOP('',(#61453,#61454,#61455,#61456)); #24776=EDGE_LOOP('',(#61457,#61458,#61459,#61460)); #24777=EDGE_LOOP('',(#61461,#61462,#61463,#61464)); #24778=EDGE_LOOP('',(#61465,#61466,#61467,#61468)); #24779=EDGE_LOOP('',(#61469,#61470,#61471,#61472)); #24780=EDGE_LOOP('',(#61473,#61474,#61475,#61476)); #24781=EDGE_LOOP('',(#61477,#61478,#61479,#61480)); #24782=EDGE_LOOP('',(#61481,#61482,#61483,#61484)); #24783=EDGE_LOOP('',(#61485,#61486,#61487,#61488)); #24784=EDGE_LOOP('',(#61489,#61490,#61491,#61492)); #24785=EDGE_LOOP('',(#61493,#61494,#61495,#61496)); #24786=EDGE_LOOP('',(#61497,#61498,#61499,#61500)); #24787=EDGE_LOOP('',(#61501,#61502,#61503,#61504)); #24788=EDGE_LOOP('',(#61505,#61506,#61507,#61508)); #24789=EDGE_LOOP('',(#61509,#61510,#61511,#61512)); #24790=EDGE_LOOP('',(#61513,#61514,#61515,#61516)); #24791=EDGE_LOOP('',(#61517,#61518,#61519,#61520,#61521,#61522,#61523,#61524, #61525,#61526,#61527,#61528,#61529,#61530,#61531,#61532,#61533,#61534,#61535, #61536,#61537,#61538,#61539,#61540,#61541,#61542,#61543,#61544,#61545,#61546, #61547,#61548,#61549,#61550,#61551,#61552,#61553,#61554,#61555,#61556)); #24792=EDGE_LOOP('',(#61557,#61558,#61559,#61560,#61561,#61562,#61563,#61564, #61565,#61566,#61567,#61568,#61569,#61570,#61571,#61572,#61573,#61574,#61575, #61576)); #24793=EDGE_LOOP('',(#61577,#61578,#61579,#61580)); #24794=EDGE_LOOP('',(#61581,#61582,#61583,#61584)); #24795=EDGE_LOOP('',(#61585,#61586,#61587,#61588)); #24796=EDGE_LOOP('',(#61589,#61590,#61591,#61592)); #24797=EDGE_LOOP('',(#61593,#61594,#61595,#61596)); #24798=EDGE_LOOP('',(#61597,#61598,#61599,#61600)); #24799=EDGE_LOOP('',(#61601,#61602,#61603,#61604)); #24800=EDGE_LOOP('',(#61605,#61606,#61607,#61608)); #24801=EDGE_LOOP('',(#61609,#61610,#61611,#61612)); #24802=EDGE_LOOP('',(#61613,#61614,#61615,#61616)); #24803=EDGE_LOOP('',(#61617,#61618,#61619,#61620)); #24804=EDGE_LOOP('',(#61621,#61622,#61623,#61624)); #24805=EDGE_LOOP('',(#61625,#61626,#61627,#61628)); #24806=EDGE_LOOP('',(#61629,#61630,#61631,#61632)); #24807=EDGE_LOOP('',(#61633,#61634,#61635,#61636)); #24808=EDGE_LOOP('',(#61637,#61638,#61639,#61640)); #24809=EDGE_LOOP('',(#61641,#61642,#61643,#61644)); #24810=EDGE_LOOP('',(#61645,#61646,#61647,#61648)); #24811=EDGE_LOOP('',(#61649,#61650,#61651,#61652)); #24812=EDGE_LOOP('',(#61653,#61654,#61655,#61656)); #24813=EDGE_LOOP('',(#61657,#61658,#61659,#61660)); #24814=EDGE_LOOP('',(#61661,#61662,#61663,#61664)); #24815=EDGE_LOOP('',(#61665,#61666,#61667,#61668)); #24816=EDGE_LOOP('',(#61669,#61670,#61671,#61672)); #24817=EDGE_LOOP('',(#61673,#61674,#61675,#61676)); #24818=EDGE_LOOP('',(#61677,#61678,#61679,#61680)); #24819=EDGE_LOOP('',(#61681,#61682,#61683,#61684)); #24820=EDGE_LOOP('',(#61685,#61686,#61687,#61688)); #24821=EDGE_LOOP('',(#61689,#61690,#61691,#61692)); #24822=EDGE_LOOP('',(#61693,#61694,#61695,#61696)); #24823=EDGE_LOOP('',(#61697,#61698,#61699,#61700)); #24824=EDGE_LOOP('',(#61701,#61702,#61703,#61704)); #24825=EDGE_LOOP('',(#61705,#61706,#61707,#61708)); #24826=EDGE_LOOP('',(#61709,#61710,#61711,#61712)); #24827=EDGE_LOOP('',(#61713,#61714,#61715,#61716)); #24828=EDGE_LOOP('',(#61717,#61718,#61719,#61720)); #24829=EDGE_LOOP('',(#61721,#61722,#61723,#61724)); #24830=EDGE_LOOP('',(#61725,#61726,#61727,#61728)); #24831=EDGE_LOOP('',(#61729,#61730,#61731,#61732)); #24832=EDGE_LOOP('',(#61733,#61734,#61735,#61736)); #24833=EDGE_LOOP('',(#61737,#61738,#61739,#61740)); #24834=EDGE_LOOP('',(#61741,#61742,#61743,#61744)); #24835=EDGE_LOOP('',(#61745,#61746,#61747,#61748)); #24836=EDGE_LOOP('',(#61749,#61750,#61751,#61752)); #24837=EDGE_LOOP('',(#61753,#61754,#61755,#61756)); #24838=EDGE_LOOP('',(#61757,#61758,#61759,#61760)); #24839=EDGE_LOOP('',(#61761,#61762,#61763,#61764)); #24840=EDGE_LOOP('',(#61765,#61766,#61767,#61768)); #24841=EDGE_LOOP('',(#61769,#61770,#61771,#61772)); #24842=EDGE_LOOP('',(#61773,#61774,#61775,#61776)); #24843=EDGE_LOOP('',(#61777,#61778,#61779,#61780)); #24844=EDGE_LOOP('',(#61781,#61782,#61783,#61784)); #24845=EDGE_LOOP('',(#61785,#61786,#61787,#61788)); #24846=EDGE_LOOP('',(#61789,#61790,#61791,#61792)); #24847=EDGE_LOOP('',(#61793,#61794,#61795,#61796)); #24848=EDGE_LOOP('',(#61797,#61798,#61799,#61800)); #24849=EDGE_LOOP('',(#61801,#61802,#61803,#61804)); #24850=EDGE_LOOP('',(#61805,#61806,#61807,#61808,#61809,#61810,#61811,#61812, #61813,#61814,#61815,#61816,#61817,#61818,#61819,#61820,#61821,#61822,#61823, #61824,#61825,#61826,#61827,#61828,#61829,#61830,#61831,#61832,#61833,#61834, #61835,#61836,#61837,#61838,#61839,#61840,#61841,#61842,#61843,#61844,#61845, #61846,#61847,#61848,#61849,#61850,#61851,#61852,#61853,#61854,#61855,#61856, #61857,#61858,#61859,#61860,#61861)); #24851=EDGE_LOOP('',(#61862,#61863,#61864,#61865)); #24852=EDGE_LOOP('',(#61866,#61867,#61868,#61869)); #24853=EDGE_LOOP('',(#61870,#61871,#61872,#61873)); #24854=EDGE_LOOP('',(#61874,#61875,#61876,#61877)); #24855=EDGE_LOOP('',(#61878,#61879,#61880,#61881)); #24856=EDGE_LOOP('',(#61882,#61883,#61884,#61885)); #24857=EDGE_LOOP('',(#61886,#61887,#61888,#61889)); #24858=EDGE_LOOP('',(#61890,#61891,#61892,#61893)); #24859=EDGE_LOOP('',(#61894,#61895,#61896,#61897)); #24860=EDGE_LOOP('',(#61898,#61899,#61900,#61901)); #24861=EDGE_LOOP('',(#61902,#61903,#61904,#61905)); #24862=EDGE_LOOP('',(#61906,#61907,#61908,#61909)); #24863=EDGE_LOOP('',(#61910,#61911,#61912,#61913)); #24864=EDGE_LOOP('',(#61914,#61915,#61916,#61917)); #24865=EDGE_LOOP('',(#61918,#61919,#61920,#61921)); #24866=EDGE_LOOP('',(#61922,#61923,#61924,#61925)); #24867=EDGE_LOOP('',(#61926,#61927,#61928,#61929)); #24868=EDGE_LOOP('',(#61930,#61931,#61932,#61933)); #24869=EDGE_LOOP('',(#61934,#61935,#61936,#61937)); #24870=EDGE_LOOP('',(#61938,#61939,#61940,#61941)); #24871=EDGE_LOOP('',(#61942,#61943,#61944,#61945)); #24872=EDGE_LOOP('',(#61946,#61947,#61948,#61949)); #24873=EDGE_LOOP('',(#61950,#61951,#61952,#61953)); #24874=EDGE_LOOP('',(#61954,#61955,#61956,#61957)); #24875=EDGE_LOOP('',(#61958,#61959,#61960,#61961)); #24876=EDGE_LOOP('',(#61962,#61963,#61964,#61965)); #24877=EDGE_LOOP('',(#61966,#61967,#61968,#61969)); #24878=EDGE_LOOP('',(#61970,#61971,#61972,#61973)); #24879=EDGE_LOOP('',(#61974,#61975,#61976,#61977)); #24880=EDGE_LOOP('',(#61978,#61979,#61980,#61981)); #24881=EDGE_LOOP('',(#61982,#61983,#61984,#61985)); #24882=EDGE_LOOP('',(#61986,#61987,#61988,#61989)); #24883=EDGE_LOOP('',(#61990,#61991,#61992,#61993)); #24884=EDGE_LOOP('',(#61994,#61995,#61996,#61997)); #24885=EDGE_LOOP('',(#61998,#61999,#62000,#62001)); #24886=EDGE_LOOP('',(#62002,#62003,#62004,#62005)); #24887=EDGE_LOOP('',(#62006,#62007,#62008,#62009)); #24888=EDGE_LOOP('',(#62010,#62011,#62012,#62013)); #24889=EDGE_LOOP('',(#62014,#62015,#62016,#62017)); #24890=EDGE_LOOP('',(#62018,#62019,#62020,#62021)); #24891=EDGE_LOOP('',(#62022,#62023,#62024,#62025)); #24892=EDGE_LOOP('',(#62026,#62027,#62028,#62029)); #24893=EDGE_LOOP('',(#62030,#62031,#62032,#62033)); #24894=EDGE_LOOP('',(#62034,#62035,#62036,#62037)); #24895=EDGE_LOOP('',(#62038,#62039,#62040,#62041)); #24896=EDGE_LOOP('',(#62042,#62043,#62044,#62045)); #24897=EDGE_LOOP('',(#62046,#62047,#62048,#62049)); #24898=EDGE_LOOP('',(#62050,#62051,#62052,#62053)); #24899=EDGE_LOOP('',(#62054,#62055,#62056,#62057)); #24900=EDGE_LOOP('',(#62058,#62059,#62060,#62061)); #24901=EDGE_LOOP('',(#62062,#62063,#62064,#62065)); #24902=EDGE_LOOP('',(#62066,#62067,#62068,#62069)); #24903=EDGE_LOOP('',(#62070,#62071,#62072,#62073)); #24904=EDGE_LOOP('',(#62074,#62075,#62076,#62077)); #24905=EDGE_LOOP('',(#62078,#62079,#62080,#62081)); #24906=EDGE_LOOP('',(#62082,#62083,#62084,#62085)); #24907=EDGE_LOOP('',(#62086,#62087,#62088,#62089)); #24908=EDGE_LOOP('',(#62090,#62091,#62092,#62093)); #24909=EDGE_LOOP('',(#62094,#62095,#62096,#62097)); #24910=EDGE_LOOP('',(#62098,#62099,#62100,#62101)); #24911=EDGE_LOOP('',(#62102,#62103,#62104,#62105)); #24912=EDGE_LOOP('',(#62106,#62107,#62108,#62109)); #24913=EDGE_LOOP('',(#62110,#62111,#62112,#62113)); #24914=EDGE_LOOP('',(#62114,#62115,#62116,#62117)); #24915=EDGE_LOOP('',(#62118,#62119,#62120,#62121)); #24916=EDGE_LOOP('',(#62122,#62123,#62124,#62125)); #24917=EDGE_LOOP('',(#62126,#62127,#62128,#62129,#62130,#62131,#62132,#62133, #62134,#62135,#62136,#62137,#62138,#62139,#62140,#62141,#62142,#62143,#62144, #62145,#62146,#62147,#62148,#62149,#62150,#62151,#62152,#62153,#62154,#62155, #62156,#62157,#62158,#62159)); #24918=EDGE_LOOP('',(#62160,#62161,#62162,#62163,#62164,#62165,#62166,#62167, #62168,#62169,#62170,#62171,#62172,#62173,#62174,#62175)); #24919=EDGE_LOOP('',(#62176,#62177,#62178,#62179,#62180,#62181,#62182,#62183, #62184,#62185,#62186,#62187,#62188,#62189,#62190,#62191)); #24920=EDGE_LOOP('',(#62192,#62193,#62194,#62195)); #24921=EDGE_LOOP('',(#62196,#62197,#62198,#62199)); #24922=EDGE_LOOP('',(#62200,#62201,#62202,#62203)); #24923=EDGE_LOOP('',(#62204,#62205,#62206,#62207)); #24924=EDGE_LOOP('',(#62208,#62209,#62210,#62211)); #24925=EDGE_LOOP('',(#62212,#62213,#62214,#62215)); #24926=EDGE_LOOP('',(#62216,#62217,#62218,#62219)); #24927=EDGE_LOOP('',(#62220,#62221,#62222,#62223,#62224,#62225,#62226)); #24928=EDGE_LOOP('',(#62227,#62228,#62229,#62230)); #24929=EDGE_LOOP('',(#62231,#62232,#62233,#62234)); #24930=EDGE_LOOP('',(#62235,#62236,#62237,#62238)); #24931=EDGE_LOOP('',(#62239,#62240,#62241,#62242)); #24932=EDGE_LOOP('',(#62243,#62244,#62245,#62246)); #24933=EDGE_LOOP('',(#62247,#62248,#62249,#62250)); #24934=EDGE_LOOP('',(#62251,#62252,#62253,#62254)); #24935=EDGE_LOOP('',(#62255,#62256,#62257,#62258)); #24936=EDGE_LOOP('',(#62259,#62260,#62261,#62262)); #24937=EDGE_LOOP('',(#62263,#62264,#62265,#62266)); #24938=EDGE_LOOP('',(#62267,#62268,#62269,#62270)); #24939=EDGE_LOOP('',(#62271,#62272,#62273,#62274)); #24940=EDGE_LOOP('',(#62275,#62276,#62277,#62278)); #24941=EDGE_LOOP('',(#62279,#62280,#62281,#62282)); #24942=EDGE_LOOP('',(#62283,#62284,#62285,#62286)); #24943=EDGE_LOOP('',(#62287,#62288,#62289,#62290)); #24944=EDGE_LOOP('',(#62291,#62292,#62293,#62294)); #24945=EDGE_LOOP('',(#62295,#62296,#62297,#62298)); #24946=EDGE_LOOP('',(#62299,#62300,#62301,#62302)); #24947=EDGE_LOOP('',(#62303,#62304,#62305,#62306)); #24948=EDGE_LOOP('',(#62307,#62308,#62309,#62310)); #24949=EDGE_LOOP('',(#62311,#62312,#62313,#62314)); #24950=EDGE_LOOP('',(#62315,#62316,#62317,#62318)); #24951=EDGE_LOOP('',(#62319,#62320,#62321,#62322)); #24952=EDGE_LOOP('',(#62323,#62324,#62325,#62326)); #24953=EDGE_LOOP('',(#62327,#62328,#62329,#62330)); #24954=EDGE_LOOP('',(#62331,#62332,#62333,#62334)); #24955=EDGE_LOOP('',(#62335,#62336,#62337,#62338)); #24956=EDGE_LOOP('',(#62339,#62340,#62341,#62342)); #24957=EDGE_LOOP('',(#62343,#62344,#62345,#62346)); #24958=EDGE_LOOP('',(#62347,#62348,#62349,#62350)); #24959=EDGE_LOOP('',(#62351,#62352,#62353,#62354)); #24960=EDGE_LOOP('',(#62355,#62356,#62357,#62358)); #24961=EDGE_LOOP('',(#62359,#62360,#62361,#62362)); #24962=EDGE_LOOP('',(#62363,#62364,#62365,#62366)); #24963=EDGE_LOOP('',(#62367,#62368,#62369,#62370)); #24964=EDGE_LOOP('',(#62371,#62372,#62373,#62374)); #24965=EDGE_LOOP('',(#62375,#62376,#62377,#62378)); #24966=EDGE_LOOP('',(#62379,#62380,#62381,#62382)); #24967=EDGE_LOOP('',(#62383,#62384,#62385,#62386)); #24968=EDGE_LOOP('',(#62387,#62388,#62389,#62390)); #24969=EDGE_LOOP('',(#62391,#62392,#62393,#62394)); #24970=EDGE_LOOP('',(#62395,#62396,#62397,#62398)); #24971=EDGE_LOOP('',(#62399,#62400,#62401,#62402)); #24972=EDGE_LOOP('',(#62403,#62404,#62405,#62406)); #24973=EDGE_LOOP('',(#62407,#62408,#62409,#62410)); #24974=EDGE_LOOP('',(#62411,#62412,#62413,#62414)); #24975=EDGE_LOOP('',(#62415,#62416,#62417,#62418)); #24976=EDGE_LOOP('',(#62419,#62420,#62421,#62422)); #24977=EDGE_LOOP('',(#62423,#62424,#62425,#62426)); #24978=EDGE_LOOP('',(#62427,#62428,#62429,#62430)); #24979=EDGE_LOOP('',(#62431,#62432,#62433,#62434)); #24980=EDGE_LOOP('',(#62435,#62436,#62437,#62438)); #24981=EDGE_LOOP('',(#62439,#62440,#62441,#62442)); #24982=EDGE_LOOP('',(#62443,#62444,#62445,#62446)); #24983=EDGE_LOOP('',(#62447,#62448,#62449,#62450)); #24984=EDGE_LOOP('',(#62451,#62452,#62453,#62454)); #24985=EDGE_LOOP('',(#62455,#62456,#62457,#62458)); #24986=EDGE_LOOP('',(#62459,#62460,#62461,#62462)); #24987=EDGE_LOOP('',(#62463,#62464,#62465,#62466)); #24988=EDGE_LOOP('',(#62467,#62468,#62469,#62470,#62471,#62472,#62473,#62474, #62475,#62476,#62477,#62478,#62479,#62480,#62481,#62482,#62483,#62484,#62485, #62486,#62487,#62488,#62489,#62490,#62491,#62492,#62493,#62494,#62495,#62496, #62497,#62498,#62499,#62500,#62501,#62502,#62503,#62504,#62505,#62506)); #24989=EDGE_LOOP('',(#62507,#62508,#62509,#62510,#62511,#62512,#62513,#62514, #62515,#62516,#62517,#62518,#62519,#62520,#62521,#62522,#62523,#62524,#62525, #62526)); #24990=EDGE_LOOP('',(#62527,#62528,#62529,#62530)); #24991=EDGE_LOOP('',(#62531,#62532,#62533,#62534)); #24992=EDGE_LOOP('',(#62535,#62536,#62537,#62538)); #24993=EDGE_LOOP('',(#62539,#62540,#62541,#62542)); #24994=EDGE_LOOP('',(#62543,#62544,#62545,#62546)); #24995=EDGE_LOOP('',(#62547,#62548,#62549,#62550)); #24996=EDGE_LOOP('',(#62551,#62552,#62553,#62554)); #24997=EDGE_LOOP('',(#62555,#62556,#62557,#62558,#62559,#62560,#62561)); #24998=EDGE_LOOP('',(#62562,#62563,#62564,#62565)); #24999=EDGE_LOOP('',(#62566,#62567,#62568,#62569)); #25000=EDGE_LOOP('',(#62570,#62571,#62572,#62573)); #25001=EDGE_LOOP('',(#62574,#62575,#62576,#62577)); #25002=EDGE_LOOP('',(#62578,#62579,#62580,#62581)); #25003=EDGE_LOOP('',(#62582,#62583,#62584,#62585)); #25004=EDGE_LOOP('',(#62586,#62587,#62588,#62589)); #25005=EDGE_LOOP('',(#62590,#62591,#62592,#62593,#62594,#62595,#62596)); #25006=EDGE_LOOP('',(#62597,#62598,#62599,#62600)); #25007=EDGE_LOOP('',(#62601,#62602,#62603,#62604)); #25008=EDGE_LOOP('',(#62605,#62606,#62607,#62608)); #25009=EDGE_LOOP('',(#62609,#62610,#62611,#62612)); #25010=EDGE_LOOP('',(#62613,#62614,#62615,#62616)); #25011=EDGE_LOOP('',(#62617,#62618,#62619,#62620)); #25012=EDGE_LOOP('',(#62621,#62622,#62623,#62624)); #25013=EDGE_LOOP('',(#62625,#62626,#62627,#62628)); #25014=EDGE_LOOP('',(#62629,#62630,#62631,#62632)); #25015=EDGE_LOOP('',(#62633,#62634,#62635,#62636)); #25016=EDGE_LOOP('',(#62637,#62638,#62639,#62640)); #25017=EDGE_LOOP('',(#62641,#62642,#62643,#62644)); #25018=EDGE_LOOP('',(#62645,#62646,#62647,#62648)); #25019=EDGE_LOOP('',(#62649,#62650,#62651,#62652)); #25020=EDGE_LOOP('',(#62653,#62654,#62655,#62656)); #25021=EDGE_LOOP('',(#62657,#62658,#62659,#62660)); #25022=EDGE_LOOP('',(#62661,#62662,#62663,#62664)); #25023=EDGE_LOOP('',(#62665,#62666,#62667,#62668)); #25024=EDGE_LOOP('',(#62669,#62670,#62671,#62672)); #25025=EDGE_LOOP('',(#62673,#62674,#62675,#62676)); #25026=EDGE_LOOP('',(#62677,#62678,#62679,#62680)); #25027=EDGE_LOOP('',(#62681,#62682,#62683,#62684)); #25028=EDGE_LOOP('',(#62685,#62686,#62687,#62688)); #25029=EDGE_LOOP('',(#62689,#62690,#62691,#62692)); #25030=EDGE_LOOP('',(#62693,#62694,#62695,#62696)); #25031=EDGE_LOOP('',(#62697,#62698,#62699,#62700)); #25032=EDGE_LOOP('',(#62701,#62702,#62703,#62704)); #25033=EDGE_LOOP('',(#62705,#62706,#62707,#62708)); #25034=EDGE_LOOP('',(#62709,#62710,#62711,#62712,#62713,#62714,#62715,#62716, #62717,#62718,#62719,#62720,#62721,#62722,#62723,#62724,#62725,#62726,#62727, #62728,#62729,#62730,#62731,#62732,#62733,#62734,#62735,#62736)); #25035=EDGE_LOOP('',(#62737,#62738,#62739,#62740)); #25036=EDGE_LOOP('',(#62741,#62742,#62743,#62744)); #25037=EDGE_LOOP('',(#62745,#62746,#62747,#62748)); #25038=EDGE_LOOP('',(#62749,#62750,#62751,#62752)); #25039=EDGE_LOOP('',(#62753,#62754,#62755,#62756)); #25040=EDGE_LOOP('',(#62757,#62758,#62759,#62760)); #25041=EDGE_LOOP('',(#62761,#62762,#62763,#62764)); #25042=EDGE_LOOP('',(#62765,#62766,#62767,#62768)); #25043=EDGE_LOOP('',(#62769,#62770,#62771,#62772)); #25044=EDGE_LOOP('',(#62773,#62774,#62775,#62776)); #25045=EDGE_LOOP('',(#62777,#62778,#62779,#62780)); #25046=EDGE_LOOP('',(#62781,#62782,#62783,#62784)); #25047=EDGE_LOOP('',(#62785,#62786,#62787,#62788)); #25048=EDGE_LOOP('',(#62789,#62790,#62791,#62792)); #25049=EDGE_LOOP('',(#62793,#62794,#62795,#62796)); #25050=EDGE_LOOP('',(#62797,#62798,#62799,#62800)); #25051=EDGE_LOOP('',(#62801,#62802,#62803,#62804)); #25052=EDGE_LOOP('',(#62805,#62806,#62807,#62808)); #25053=EDGE_LOOP('',(#62809,#62810,#62811,#62812)); #25054=EDGE_LOOP('',(#62813,#62814,#62815,#62816)); #25055=EDGE_LOOP('',(#62817,#62818,#62819,#62820)); #25056=EDGE_LOOP('',(#62821,#62822,#62823,#62824)); #25057=EDGE_LOOP('',(#62825,#62826,#62827,#62828)); #25058=EDGE_LOOP('',(#62829,#62830,#62831,#62832)); #25059=EDGE_LOOP('',(#62833,#62834,#62835,#62836)); #25060=EDGE_LOOP('',(#62837,#62838,#62839,#62840)); #25061=EDGE_LOOP('',(#62841,#62842,#62843,#62844)); #25062=EDGE_LOOP('',(#62845,#62846,#62847,#62848)); #25063=EDGE_LOOP('',(#62849,#62850,#62851,#62852)); #25064=EDGE_LOOP('',(#62853,#62854,#62855,#62856)); #25065=EDGE_LOOP('',(#62857,#62858,#62859,#62860)); #25066=EDGE_LOOP('',(#62861,#62862,#62863,#62864)); #25067=EDGE_LOOP('',(#62865,#62866,#62867,#62868)); #25068=EDGE_LOOP('',(#62869,#62870,#62871,#62872)); #25069=EDGE_LOOP('',(#62873,#62874,#62875,#62876)); #25070=EDGE_LOOP('',(#62877,#62878,#62879,#62880)); #25071=EDGE_LOOP('',(#62881,#62882,#62883,#62884)); #25072=EDGE_LOOP('',(#62885,#62886,#62887,#62888)); #25073=EDGE_LOOP('',(#62889,#62890,#62891,#62892)); #25074=EDGE_LOOP('',(#62893,#62894,#62895,#62896)); #25075=EDGE_LOOP('',(#62897,#62898,#62899,#62900)); #25076=EDGE_LOOP('',(#62901,#62902,#62903,#62904)); #25077=EDGE_LOOP('',(#62905,#62906,#62907,#62908)); #25078=EDGE_LOOP('',(#62909,#62910,#62911,#62912)); #25079=EDGE_LOOP('',(#62913,#62914,#62915,#62916)); #25080=EDGE_LOOP('',(#62917,#62918,#62919,#62920)); #25081=EDGE_LOOP('',(#62921,#62922,#62923,#62924)); #25082=EDGE_LOOP('',(#62925,#62926,#62927,#62928)); #25083=EDGE_LOOP('',(#62929,#62930,#62931,#62932)); #25084=EDGE_LOOP('',(#62933,#62934,#62935,#62936)); #25085=EDGE_LOOP('',(#62937,#62938,#62939,#62940)); #25086=EDGE_LOOP('',(#62941,#62942,#62943,#62944)); #25087=EDGE_LOOP('',(#62945,#62946,#62947,#62948)); #25088=EDGE_LOOP('',(#62949,#62950,#62951,#62952)); #25089=EDGE_LOOP('',(#62953,#62954,#62955,#62956)); #25090=EDGE_LOOP('',(#62957,#62958,#62959,#62960)); #25091=EDGE_LOOP('',(#62961,#62962,#62963,#62964)); #25092=EDGE_LOOP('',(#62965,#62966,#62967,#62968)); #25093=EDGE_LOOP('',(#62969,#62970,#62971,#62972)); #25094=EDGE_LOOP('',(#62973,#62974,#62975,#62976)); #25095=EDGE_LOOP('',(#62977,#62978,#62979,#62980)); #25096=EDGE_LOOP('',(#62981,#62982,#62983,#62984)); #25097=EDGE_LOOP('',(#62985,#62986,#62987,#62988)); #25098=EDGE_LOOP('',(#62989,#62990,#62991,#62992)); #25099=EDGE_LOOP('',(#62993,#62994,#62995,#62996)); #25100=EDGE_LOOP('',(#62997,#62998,#62999,#63000)); #25101=EDGE_LOOP('',(#63001,#63002,#63003,#63004,#63005,#63006,#63007,#63008, #63009,#63010,#63011,#63012,#63013,#63014,#63015,#63016,#63017,#63018,#63019, #63020,#63021,#63022,#63023,#63024,#63025,#63026,#63027,#63028,#63029,#63030, #63031,#63032,#63033,#63034)); #25102=EDGE_LOOP('',(#63035,#63036,#63037,#63038,#63039,#63040,#63041,#63042, #63043,#63044,#63045,#63046,#63047,#63048,#63049,#63050)); #25103=EDGE_LOOP('',(#63051,#63052,#63053,#63054,#63055,#63056,#63057,#63058, #63059,#63060,#63061,#63062,#63063,#63064,#63065,#63066)); #25104=EDGE_LOOP('',(#63067,#63068,#63069,#63070)); #25105=EDGE_LOOP('',(#63071,#63072,#63073,#63074)); #25106=EDGE_LOOP('',(#63075,#63076,#63077,#63078)); #25107=EDGE_LOOP('',(#63079,#63080,#63081,#63082)); #25108=EDGE_LOOP('',(#63083,#63084,#63085,#63086)); #25109=EDGE_LOOP('',(#63087,#63088,#63089,#63090)); #25110=EDGE_LOOP('',(#63091,#63092,#63093,#63094)); #25111=EDGE_LOOP('',(#63095,#63096,#63097,#63098,#63099,#63100,#63101)); #25112=EDGE_LOOP('',(#63102,#63103,#63104,#63105)); #25113=EDGE_LOOP('',(#63106,#63107,#63108,#63109)); #25114=EDGE_LOOP('',(#63110,#63111,#63112,#63113)); #25115=EDGE_LOOP('',(#63114,#63115,#63116,#63117)); #25116=EDGE_LOOP('',(#63118,#63119,#63120,#63121)); #25117=EDGE_LOOP('',(#63122,#63123,#63124,#63125)); #25118=EDGE_LOOP('',(#63126,#63127,#63128,#63129)); #25119=EDGE_LOOP('',(#63130,#63131,#63132,#63133)); #25120=EDGE_LOOP('',(#63134,#63135,#63136,#63137)); #25121=EDGE_LOOP('',(#63138,#63139,#63140,#63141,#63142,#63143,#63144,#63145, #63146)); #25122=EDGE_LOOP('',(#63147,#63148,#63149,#63150)); #25123=EDGE_LOOP('',(#63151,#63152,#63153,#63154)); #25124=EDGE_LOOP('',(#63155,#63156,#63157,#63158)); #25125=EDGE_LOOP('',(#63159,#63160,#63161,#63162)); #25126=EDGE_LOOP('',(#63163,#63164,#63165,#63166)); #25127=EDGE_LOOP('',(#63167,#63168,#63169,#63170)); #25128=EDGE_LOOP('',(#63171,#63172,#63173,#63174)); #25129=EDGE_LOOP('',(#63175,#63176,#63177,#63178)); #25130=EDGE_LOOP('',(#63179,#63180,#63181,#63182)); #25131=EDGE_LOOP('',(#63183,#63184,#63185,#63186)); #25132=EDGE_LOOP('',(#63187,#63188,#63189,#63190,#63191,#63192,#63193,#63194, #63195,#63196)); #25133=EDGE_LOOP('',(#63197,#63198,#63199,#63200)); #25134=EDGE_LOOP('',(#63201,#63202,#63203,#63204)); #25135=EDGE_LOOP('',(#63205,#63206,#63207,#63208)); #25136=EDGE_LOOP('',(#63209,#63210,#63211,#63212)); #25137=EDGE_LOOP('',(#63213,#63214,#63215,#63216)); #25138=EDGE_LOOP('',(#63217,#63218,#63219,#63220)); #25139=EDGE_LOOP('',(#63221,#63222,#63223,#63224)); #25140=EDGE_LOOP('',(#63225,#63226,#63227,#63228)); #25141=EDGE_LOOP('',(#63229,#63230,#63231,#63232)); #25142=EDGE_LOOP('',(#63233,#63234,#63235,#63236)); #25143=EDGE_LOOP('',(#63237,#63238,#63239,#63240)); #25144=EDGE_LOOP('',(#63241,#63242,#63243,#63244)); #25145=EDGE_LOOP('',(#63245,#63246,#63247,#63248)); #25146=EDGE_LOOP('',(#63249,#63250,#63251,#63252)); #25147=EDGE_LOOP('',(#63253,#63254,#63255,#63256)); #25148=EDGE_LOOP('',(#63257,#63258,#63259,#63260)); #25149=EDGE_LOOP('',(#63261,#63262,#63263,#63264,#63265,#63266,#63267,#63268, #63269,#63270,#63271,#63272,#63273,#63274,#63275,#63276)); #25150=EDGE_LOOP('',(#63277,#63278,#63279,#63280)); #25151=EDGE_LOOP('',(#63281,#63282,#63283,#63284)); #25152=EDGE_LOOP('',(#63285,#63286,#63287,#63288)); #25153=EDGE_LOOP('',(#63289,#63290,#63291,#63292)); #25154=EDGE_LOOP('',(#63293,#63294,#63295,#63296)); #25155=EDGE_LOOP('',(#63297,#63298,#63299,#63300)); #25156=EDGE_LOOP('',(#63301,#63302,#63303,#63304)); #25157=EDGE_LOOP('',(#63305,#63306,#63307,#63308)); #25158=EDGE_LOOP('',(#63309,#63310,#63311,#63312)); #25159=EDGE_LOOP('',(#63313,#63314,#63315,#63316)); #25160=EDGE_LOOP('',(#63317,#63318,#63319,#63320)); #25161=EDGE_LOOP('',(#63321,#63322,#63323,#63324)); #25162=EDGE_LOOP('',(#63325,#63326,#63327,#63328)); #25163=EDGE_LOOP('',(#63329,#63330,#63331,#63332)); #25164=EDGE_LOOP('',(#63333,#63334,#63335,#63336)); #25165=EDGE_LOOP('',(#63337,#63338,#63339,#63340,#63341,#63342,#63343,#63344, #63345,#63346,#63347,#63348,#63349,#63350,#63351)); #25166=EDGE_LOOP('',(#63352,#63353,#63354,#63355)); #25167=EDGE_LOOP('',(#63356,#63357,#63358,#63359)); #25168=EDGE_LOOP('',(#63360,#63361,#63362,#63363)); #25169=EDGE_LOOP('',(#63364,#63365,#63366,#63367)); #25170=EDGE_LOOP('',(#63368,#63369,#63370,#63371)); #25171=EDGE_LOOP('',(#63372,#63373,#63374,#63375)); #25172=EDGE_LOOP('',(#63376,#63377,#63378,#63379)); #25173=EDGE_LOOP('',(#63380,#63381,#63382,#63383)); #25174=EDGE_LOOP('',(#63384,#63385,#63386,#63387)); #25175=EDGE_LOOP('',(#63388,#63389,#63390,#63391)); #25176=EDGE_LOOP('',(#63392,#63393,#63394,#63395)); #25177=EDGE_LOOP('',(#63396,#63397,#63398,#63399)); #25178=EDGE_LOOP('',(#63400,#63401,#63402,#63403)); #25179=EDGE_LOOP('',(#63404,#63405,#63406,#63407)); #25180=EDGE_LOOP('',(#63408,#63409,#63410,#63411)); #25181=EDGE_LOOP('',(#63412,#63413,#63414,#63415)); #25182=EDGE_LOOP('',(#63416,#63417,#63418,#63419,#63420,#63421,#63422,#63423, #63424,#63425,#63426,#63427,#63428,#63429,#63430,#63431)); #25183=EDGE_LOOP('',(#63432,#63433,#63434,#63435)); #25184=EDGE_LOOP('',(#63436,#63437,#63438,#63439)); #25185=EDGE_LOOP('',(#63440,#63441,#63442,#63443)); #25186=EDGE_LOOP('',(#63444,#63445,#63446,#63447)); #25187=EDGE_LOOP('',(#63448,#63449,#63450,#63451)); #25188=EDGE_LOOP('',(#63452,#63453,#63454,#63455)); #25189=EDGE_LOOP('',(#63456,#63457,#63458,#63459)); #25190=EDGE_LOOP('',(#63460,#63461,#63462,#63463,#63464,#63465,#63466)); #25191=EDGE_LOOP('',(#63467,#63468,#63469,#63470)); #25192=EDGE_LOOP('',(#63471,#63472,#63473,#63474)); #25193=EDGE_LOOP('',(#63475,#63476,#63477,#63478)); #25194=EDGE_LOOP('',(#63479,#63480,#63481,#63482)); #25195=EDGE_LOOP('',(#63483,#63484,#63485,#63486)); #25196=EDGE_LOOP('',(#63487,#63488,#63489,#63490)); #25197=EDGE_LOOP('',(#63491,#63492,#63493,#63494)); #25198=EDGE_LOOP('',(#63495,#63496,#63497,#63498)); #25199=EDGE_LOOP('',(#63499,#63500,#63501,#63502)); #25200=EDGE_LOOP('',(#63503,#63504,#63505,#63506)); #25201=EDGE_LOOP('',(#63507,#63508,#63509,#63510)); #25202=EDGE_LOOP('',(#63511,#63512,#63513,#63514)); #25203=EDGE_LOOP('',(#63515,#63516,#63517,#63518,#63519,#63520,#63521,#63522, #63523,#63524,#63525,#63526)); #25204=EDGE_LOOP('',(#63527,#63528,#63529,#63530)); #25205=EDGE_LOOP('',(#63531,#63532,#63533,#63534)); #25206=EDGE_LOOP('',(#63535,#63536,#63537,#63538)); #25207=EDGE_LOOP('',(#63539,#63540,#63541,#63542)); #25208=EDGE_LOOP('',(#63543,#63544,#63545,#63546)); #25209=EDGE_LOOP('',(#63547,#63548,#63549,#63550)); #25210=EDGE_LOOP('',(#63551,#63552,#63553,#63554)); #25211=EDGE_LOOP('',(#63555,#63556,#63557,#63558)); #25212=EDGE_LOOP('',(#63559,#63560,#63561,#63562)); #25213=EDGE_LOOP('',(#63563,#63564,#63565,#63566)); #25214=EDGE_LOOP('',(#63567,#63568,#63569,#63570)); #25215=EDGE_LOOP('',(#63571,#63572,#63573,#63574)); #25216=EDGE_LOOP('',(#63575,#63576,#63577,#63578,#63579,#63580,#63581,#63582, #63583,#63584,#63585,#63586)); #25217=EDGE_LOOP('',(#63587,#63588,#63589,#63590)); #25218=EDGE_LOOP('',(#63591,#63592,#63593,#63594)); #25219=EDGE_LOOP('',(#63595,#63596,#63597,#63598)); #25220=EDGE_LOOP('',(#63599,#63600,#63601,#63602)); #25221=EDGE_LOOP('',(#63603,#63604,#63605,#63606)); #25222=EDGE_LOOP('',(#63607,#63608,#63609,#63610)); #25223=EDGE_LOOP('',(#63611,#63612,#63613,#63614)); #25224=EDGE_LOOP('',(#63615,#63616,#63617,#63618)); #25225=EDGE_LOOP('',(#63619,#63620,#63621,#63622)); #25226=EDGE_LOOP('',(#63623,#63624,#63625,#63626)); #25227=EDGE_LOOP('',(#63627,#63628,#63629,#63630)); #25228=EDGE_LOOP('',(#63631,#63632,#63633,#63634,#63635,#63636,#63637,#63638)); #25229=EDGE_LOOP('',(#63639,#63640,#63641)); #25230=EDGE_LOOP('',(#63642,#63643,#63644,#63645)); #25231=EDGE_LOOP('',(#63646,#63647,#63648,#63649)); #25232=EDGE_LOOP('',(#63650,#63651,#63652,#63653)); #25233=EDGE_LOOP('',(#63654,#63655,#63656,#63657)); #25234=EDGE_LOOP('',(#63658,#63659,#63660,#63661)); #25235=EDGE_LOOP('',(#63662,#63663,#63664,#63665)); #25236=EDGE_LOOP('',(#63666,#63667,#63668,#63669)); #25237=EDGE_LOOP('',(#63670,#63671,#63672,#63673)); #25238=EDGE_LOOP('',(#63674,#63675,#63676,#63677)); #25239=EDGE_LOOP('',(#63678,#63679,#63680,#63681)); #25240=EDGE_LOOP('',(#63682,#63683,#63684,#63685)); #25241=EDGE_LOOP('',(#63686,#63687,#63688,#63689)); #25242=EDGE_LOOP('',(#63690,#63691,#63692,#63693)); #25243=EDGE_LOOP('',(#63694,#63695,#63696,#63697)); #25244=EDGE_LOOP('',(#63698,#63699,#63700,#63701)); #25245=EDGE_LOOP('',(#63702,#63703,#63704,#63705)); #25246=EDGE_LOOP('',(#63706,#63707,#63708,#63709)); #25247=EDGE_LOOP('',(#63710,#63711,#63712,#63713)); #25248=EDGE_LOOP('',(#63714,#63715,#63716,#63717,#63718,#63719,#63720,#63721, #63722,#63723,#63724)); #25249=EDGE_LOOP('',(#63725,#63726,#63727,#63728,#63729,#63730,#63731)); #25250=EDGE_LOOP('',(#63732,#63733,#63734,#63735)); #25251=EDGE_LOOP('',(#63736,#63737,#63738,#63739)); #25252=EDGE_LOOP('',(#63740,#63741,#63742,#63743)); #25253=EDGE_LOOP('',(#63744,#63745,#63746,#63747)); #25254=EDGE_LOOP('',(#63748,#63749,#63750,#63751)); #25255=EDGE_LOOP('',(#63752,#63753,#63754,#63755)); #25256=EDGE_LOOP('',(#63756,#63757,#63758,#63759)); #25257=EDGE_LOOP('',(#63760,#63761,#63762,#63763)); #25258=EDGE_LOOP('',(#63764,#63765,#63766,#63767)); #25259=EDGE_LOOP('',(#63768,#63769,#63770,#63771)); #25260=EDGE_LOOP('',(#63772,#63773,#63774,#63775)); #25261=EDGE_LOOP('',(#63776,#63777,#63778,#63779)); #25262=EDGE_LOOP('',(#63780,#63781,#63782,#63783)); #25263=EDGE_LOOP('',(#63784,#63785,#63786,#63787)); #25264=EDGE_LOOP('',(#63788,#63789,#63790,#63791,#63792,#63793,#63794)); #25265=EDGE_LOOP('',(#63795,#63796,#63797,#63798,#63799,#63800,#63801)); #25266=EDGE_LOOP('',(#63802,#63803,#63804,#63805)); #25267=EDGE_LOOP('',(#63806,#63807,#63808,#63809)); #25268=EDGE_LOOP('',(#63810,#63811,#63812,#63813)); #25269=EDGE_LOOP('',(#63814,#63815,#63816,#63817)); #25270=EDGE_LOOP('',(#63818,#63819,#63820,#63821)); #25271=EDGE_LOOP('',(#63822,#63823,#63824,#63825)); #25272=EDGE_LOOP('',(#63826,#63827,#63828,#63829)); #25273=EDGE_LOOP('',(#63830,#63831,#63832,#63833)); #25274=EDGE_LOOP('',(#63834,#63835,#63836,#63837)); #25275=EDGE_LOOP('',(#63838,#63839,#63840,#63841)); #25276=EDGE_LOOP('',(#63842,#63843,#63844,#63845)); #25277=EDGE_LOOP('',(#63846,#63847,#63848,#63849)); #25278=EDGE_LOOP('',(#63850,#63851,#63852,#63853)); #25279=EDGE_LOOP('',(#63854,#63855,#63856,#63857,#63858,#63859,#63860,#63861, #63862,#63863,#63864,#63865,#63866)); #25280=EDGE_LOOP('',(#63867,#63868,#63869,#63870)); #25281=EDGE_LOOP('',(#63871,#63872,#63873,#63874)); #25282=EDGE_LOOP('',(#63875,#63876,#63877,#63878)); #25283=EDGE_LOOP('',(#63879,#63880,#63881,#63882)); #25284=EDGE_LOOP('',(#63883,#63884,#63885,#63886)); #25285=EDGE_LOOP('',(#63887,#63888,#63889,#63890)); #25286=EDGE_LOOP('',(#63891,#63892,#63893,#63894)); #25287=EDGE_LOOP('',(#63895,#63896,#63897,#63898)); #25288=EDGE_LOOP('',(#63899,#63900,#63901,#63902)); #25289=EDGE_LOOP('',(#63903,#63904,#63905,#63906)); #25290=EDGE_LOOP('',(#63907,#63908,#63909,#63910)); #25291=EDGE_LOOP('',(#63911,#63912,#63913,#63914)); #25292=EDGE_LOOP('',(#63915,#63916,#63917,#63918)); #25293=EDGE_LOOP('',(#63919,#63920,#63921,#63922)); #25294=EDGE_LOOP('',(#63923,#63924,#63925,#63926)); #25295=EDGE_LOOP('',(#63927,#63928,#63929,#63930)); #25296=EDGE_LOOP('',(#63931,#63932,#63933,#63934)); #25297=EDGE_LOOP('',(#63935,#63936,#63937,#63938)); #25298=EDGE_LOOP('',(#63939,#63940,#63941,#63942)); #25299=EDGE_LOOP('',(#63943,#63944,#63945,#63946)); #25300=EDGE_LOOP('',(#63947,#63948,#63949,#63950)); #25301=EDGE_LOOP('',(#63951,#63952,#63953,#63954,#63955,#63956,#63957,#63958, #63959,#63960,#63961,#63962,#63963,#63964,#63965,#63966,#63967,#63968,#63969, #63970,#63971)); #25302=EDGE_LOOP('',(#63972,#63973,#63974,#63975)); #25303=EDGE_LOOP('',(#63976,#63977,#63978,#63979)); #25304=EDGE_LOOP('',(#63980,#63981,#63982,#63983)); #25305=EDGE_LOOP('',(#63984,#63985,#63986,#63987)); #25306=EDGE_LOOP('',(#63988,#63989,#63990,#63991)); #25307=EDGE_LOOP('',(#63992,#63993,#63994,#63995)); #25308=EDGE_LOOP('',(#63996,#63997,#63998,#63999)); #25309=EDGE_LOOP('',(#64000,#64001,#64002,#64003)); #25310=EDGE_LOOP('',(#64004,#64005,#64006,#64007)); #25311=EDGE_LOOP('',(#64008,#64009,#64010,#64011)); #25312=EDGE_LOOP('',(#64012,#64013,#64014,#64015)); #25313=EDGE_LOOP('',(#64016,#64017,#64018,#64019)); #25314=EDGE_LOOP('',(#64020,#64021,#64022,#64023)); #25315=EDGE_LOOP('',(#64024,#64025,#64026,#64027)); #25316=EDGE_LOOP('',(#64028,#64029,#64030,#64031)); #25317=EDGE_LOOP('',(#64032,#64033,#64034,#64035)); #25318=EDGE_LOOP('',(#64036,#64037,#64038,#64039)); #25319=EDGE_LOOP('',(#64040,#64041,#64042,#64043)); #25320=EDGE_LOOP('',(#64044,#64045,#64046,#64047)); #25321=EDGE_LOOP('',(#64048,#64049,#64050,#64051)); #25322=EDGE_LOOP('',(#64052,#64053,#64054,#64055,#64056,#64057,#64058,#64059, #64060,#64061,#64062,#64063,#64064,#64065,#64066,#64067,#64068,#64069,#64070, #64071)); #25323=EDGE_LOOP('',(#64072,#64073,#64074,#64075)); #25324=EDGE_LOOP('',(#64076,#64077,#64078,#64079)); #25325=EDGE_LOOP('',(#64080,#64081,#64082,#64083)); #25326=EDGE_LOOP('',(#64084,#64085,#64086,#64087)); #25327=EDGE_LOOP('',(#64088,#64089,#64090,#64091)); #25328=EDGE_LOOP('',(#64092,#64093,#64094,#64095)); #25329=EDGE_LOOP('',(#64096,#64097,#64098,#64099)); #25330=EDGE_LOOP('',(#64100,#64101,#64102,#64103)); #25331=EDGE_LOOP('',(#64104,#64105,#64106,#64107)); #25332=EDGE_LOOP('',(#64108,#64109,#64110,#64111)); #25333=EDGE_LOOP('',(#64112,#64113,#64114,#64115)); #25334=EDGE_LOOP('',(#64116,#64117,#64118,#64119)); #25335=EDGE_LOOP('',(#64120,#64121,#64122,#64123)); #25336=EDGE_LOOP('',(#64124,#64125,#64126,#64127)); #25337=EDGE_LOOP('',(#64128,#64129,#64130,#64131)); #25338=EDGE_LOOP('',(#64132,#64133,#64134,#64135)); #25339=EDGE_LOOP('',(#64136,#64137,#64138,#64139,#64140,#64141,#64142,#64143, #64144)); #25340=EDGE_LOOP('',(#64145,#64146,#64147,#64148,#64149,#64150,#64151)); #25341=EDGE_LOOP('',(#64152,#64153,#64154,#64155)); #25342=EDGE_LOOP('',(#64156,#64157,#64158,#64159)); #25343=EDGE_LOOP('',(#64160,#64161,#64162,#64163)); #25344=EDGE_LOOP('',(#64164,#64165,#64166,#64167)); #25345=EDGE_LOOP('',(#64168,#64169,#64170,#64171)); #25346=EDGE_LOOP('',(#64172,#64173,#64174,#64175)); #25347=EDGE_LOOP('',(#64176,#64177,#64178,#64179)); #25348=EDGE_LOOP('',(#64180,#64181,#64182,#64183)); #25349=EDGE_LOOP('',(#64184,#64185,#64186,#64187)); #25350=EDGE_LOOP('',(#64188,#64189,#64190,#64191)); #25351=EDGE_LOOP('',(#64192,#64193,#64194,#64195)); #25352=EDGE_LOOP('',(#64196,#64197,#64198,#64199,#64200,#64201,#64202,#64203)); #25353=EDGE_LOOP('',(#64204,#64205,#64206)); #25354=EDGE_LOOP('',(#64207,#64208,#64209,#64210)); #25355=EDGE_LOOP('',(#64211,#64212,#64213,#64214)); #25356=EDGE_LOOP('',(#64215,#64216,#64217,#64218)); #25357=EDGE_LOOP('',(#64219,#64220,#64221,#64222)); #25358=EDGE_LOOP('',(#64223,#64224,#64225,#64226)); #25359=EDGE_LOOP('',(#64227,#64228,#64229,#64230)); #25360=EDGE_LOOP('',(#64231,#64232,#64233,#64234)); #25361=EDGE_LOOP('',(#64235,#64236,#64237,#64238)); #25362=EDGE_LOOP('',(#64239,#64240,#64241,#64242,#64243,#64244,#64245,#64246)); #25363=EDGE_LOOP('',(#64247,#64248,#64249,#64250)); #25364=EDGE_LOOP('',(#64251,#64252,#64253,#64254)); #25365=EDGE_LOOP('',(#64255,#64256,#64257,#64258)); #25366=EDGE_LOOP('',(#64259,#64260,#64261,#64262)); #25367=EDGE_LOOP('',(#64263,#64264,#64265,#64266)); #25368=EDGE_LOOP('',(#64267,#64268,#64269,#64270)); #25369=EDGE_LOOP('',(#64271,#64272,#64273,#64274)); #25370=EDGE_LOOP('',(#64275,#64276,#64277,#64278)); #25371=EDGE_LOOP('',(#64279,#64280,#64281,#64282)); #25372=EDGE_LOOP('',(#64283,#64284,#64285,#64286)); #25373=EDGE_LOOP('',(#64287,#64288,#64289,#64290)); #25374=EDGE_LOOP('',(#64291,#64292,#64293,#64294)); #25375=EDGE_LOOP('',(#64295,#64296,#64297,#64298)); #25376=EDGE_LOOP('',(#64299,#64300,#64301,#64302)); #25377=EDGE_LOOP('',(#64303,#64304,#64305,#64306)); #25378=EDGE_LOOP('',(#64307,#64308,#64309,#64310)); #25379=EDGE_LOOP('',(#64311,#64312,#64313,#64314,#64315,#64316,#64317,#64318, #64319)); #25380=EDGE_LOOP('',(#64320,#64321,#64322,#64323,#64324,#64325,#64326)); #25381=EDGE_LOOP('',(#64327,#64328,#64329,#64330)); #25382=EDGE_LOOP('',(#64331,#64332,#64333,#64334)); #25383=EDGE_LOOP('',(#64335,#64336,#64337,#64338)); #25384=EDGE_LOOP('',(#64339,#64340,#64341,#64342)); #25385=EDGE_LOOP('',(#64343,#64344,#64345,#64346)); #25386=EDGE_LOOP('',(#64347,#64348,#64349,#64350)); #25387=EDGE_LOOP('',(#64351,#64352,#64353,#64354)); #25388=EDGE_LOOP('',(#64355,#64356,#64357,#64358)); #25389=EDGE_LOOP('',(#64359,#64360,#64361,#64362)); #25390=EDGE_LOOP('',(#64363,#64364,#64365,#64366)); #25391=EDGE_LOOP('',(#64367,#64368,#64369,#64370)); #25392=EDGE_LOOP('',(#64371,#64372,#64373,#64374)); #25393=EDGE_LOOP('',(#64375,#64376,#64377,#64378,#64379,#64380,#64381,#64382, #64383,#64384,#64385,#64386)); #25394=EDGE_LOOP('',(#64387,#64388,#64389,#64390)); #25395=EDGE_LOOP('',(#64391,#64392,#64393,#64394)); #25396=EDGE_LOOP('',(#64395,#64396,#64397,#64398)); #25397=EDGE_LOOP('',(#64399,#64400,#64401,#64402)); #25398=EDGE_LOOP('',(#64403,#64404,#64405,#64406)); #25399=EDGE_LOOP('',(#64407,#64408,#64409,#64410)); #25400=EDGE_LOOP('',(#64411,#64412,#64413,#64414)); #25401=EDGE_LOOP('',(#64415,#64416,#64417,#64418)); #25402=EDGE_LOOP('',(#64419,#64420,#64421,#64422)); #25403=EDGE_LOOP('',(#64423,#64424,#64425,#64426)); #25404=EDGE_LOOP('',(#64427,#64428,#64429,#64430)); #25405=EDGE_LOOP('',(#64431,#64432,#64433,#64434)); #25406=EDGE_LOOP('',(#64435,#64436,#64437,#64438)); #25407=EDGE_LOOP('',(#64439,#64440,#64441,#64442)); #25408=EDGE_LOOP('',(#64443,#64444,#64445,#64446,#64447,#64448,#64449)); #25409=EDGE_LOOP('',(#64450,#64451,#64452,#64453,#64454,#64455,#64456)); #25410=EDGE_LOOP('',(#64457,#64458,#64459,#64460)); #25411=EDGE_LOOP('',(#64461,#64462,#64463,#64464)); #25412=EDGE_LOOP('',(#64465,#64466,#64467,#64468)); #25413=EDGE_LOOP('',(#64469,#64470,#64471,#64472)); #25414=EDGE_LOOP('',(#64473,#64474,#64475,#64476)); #25415=EDGE_LOOP('',(#64477,#64478,#64479,#64480,#64481,#64482,#64483,#64484, #64485,#64486,#64487,#64488,#64489,#64490,#64491,#64492,#64493,#64494,#64495, #64496,#64497,#64498,#64499,#64500,#64501,#64502,#64503,#64504,#64505,#64506, #64507,#64508,#64509,#64510,#64511,#64512,#64513,#64514,#64515,#64516,#64517, #64518,#64519,#64520,#64521,#64522,#64523,#64524,#64525,#64526,#64527,#64528, #64529,#64530,#64531,#64532,#64533,#64534,#64535,#64536)); #25416=EDGE_LOOP('',(#64537,#64538,#64539,#64540,#64541,#64542,#64543,#64544, #64545,#64546)); #25417=EDGE_LOOP('',(#64547,#64548,#64549,#64550)); #25418=EDGE_LOOP('',(#64551,#64552,#64553,#64554)); #25419=EDGE_LOOP('',(#64555,#64556,#64557,#64558,#64559,#64560,#64561,#64562)); #25420=EDGE_LOOP('',(#64563,#64564,#64565,#64566)); #25421=EDGE_LOOP('',(#64567,#64568,#64569,#64570,#64571,#64572,#64573,#64574, #64575,#64576)); #25422=EDGE_LOOP('',(#64577,#64578,#64579,#64580,#64581,#64582,#64583,#64584, #64585,#64586,#64587,#64588)); #25423=EDGE_LOOP('',(#64589,#64590,#64591,#64592,#64593,#64594,#64595,#64596, #64597,#64598,#64599)); #25424=EDGE_LOOP('',(#64600,#64601,#64602,#64603,#64604,#64605,#64606,#64607, #64608,#64609)); #25425=EDGE_LOOP('',(#64610,#64611,#64612,#64613,#64614,#64615,#64616,#64617)); #25426=EDGE_LOOP('',(#64618,#64619,#64620,#64621,#64622,#64623,#64624,#64625, #64626)); #25427=EDGE_LOOP('',(#64627,#64628,#64629,#64630,#64631,#64632,#64633,#64634, #64635,#64636,#64637,#64638,#64639)); #25428=EDGE_LOOP('',(#64640,#64641,#64642,#64643,#64644,#64645,#64646)); #25429=EDGE_LOOP('',(#64647,#64648,#64649,#64650,#64651,#64652,#64653,#64654, #64655,#64656,#64657,#64658)); #25430=EDGE_LOOP('',(#64659,#64660,#64661,#64662,#64663,#64664,#64665)); #25431=EDGE_LOOP('',(#64666,#64667,#64668,#64669,#64670,#64671,#64672,#64673, #64674,#64675,#64676,#64677,#64678,#64679,#64680)); #25432=EDGE_LOOP('',(#64681,#64682,#64683,#64684)); #25433=EDGE_LOOP('',(#64685,#64686,#64687,#64688,#64689,#64690,#64691,#64692, #64693,#64694,#64695,#64696)); #25434=EDGE_LOOP('',(#64697,#64698,#64699,#64700,#64701,#64702,#64703,#64704)); #25435=EDGE_LOOP('',(#64705,#64706,#64707,#64708,#64709,#64710,#64711,#64712)); #25436=EDGE_LOOP('',(#64713,#64714,#64715,#64716,#64717,#64718,#64719,#64720, #64721,#64722,#64723)); #25437=EDGE_LOOP('',(#64724,#64725,#64726,#64727,#64728,#64729,#64730,#64731, #64732,#64733,#64734)); #25438=EDGE_LOOP('',(#64735,#64736,#64737,#64738,#64739,#64740,#64741,#64742, #64743,#64744,#64745)); #25439=EDGE_LOOP('',(#64746)); #25440=EDGE_LOOP('',(#64747,#64748,#64749,#64750,#64751,#64752,#64753)); #25441=EDGE_LOOP('',(#64754,#64755,#64756,#64757,#64758,#64759,#64760,#64761, #64762)); #25442=EDGE_LOOP('',(#64763,#64764,#64765,#64766,#64767,#64768,#64769,#64770, #64771,#64772)); #25443=EDGE_LOOP('',(#64773,#64774,#64775,#64776,#64777,#64778,#64779,#64780, #64781,#64782,#64783,#64784,#64785,#64786,#64787,#64788)); #25444=EDGE_LOOP('',(#64789,#64790,#64791,#64792,#64793,#64794,#64795,#64796, #64797,#64798,#64799,#64800,#64801,#64802,#64803)); #25445=EDGE_LOOP('',(#64804,#64805,#64806,#64807,#64808,#64809,#64810,#64811, #64812,#64813,#64814,#64815,#64816,#64817,#64818,#64819)); #25446=EDGE_LOOP('',(#64820,#64821,#64822,#64823,#64824,#64825,#64826)); #25447=EDGE_LOOP('',(#64827,#64828,#64829,#64830,#64831,#64832,#64833,#64834, #64835,#64836,#64837,#64838)); #25448=EDGE_LOOP('',(#64839,#64840,#64841,#64842,#64843,#64844,#64845,#64846, #64847,#64848,#64849,#64850)); #25449=EDGE_LOOP('',(#64851,#64852,#64853,#64854,#64855,#64856,#64857,#64858)); #25450=EDGE_LOOP('',(#64859,#64860,#64861,#64862,#64863,#64864,#64865,#64866, #64867,#64868,#64869)); #25451=EDGE_LOOP('',(#64870,#64871,#64872,#64873,#64874,#64875,#64876)); #25452=EDGE_LOOP('',(#64877,#64878,#64879,#64880,#64881,#64882,#64883,#64884, #64885,#64886,#64887,#64888,#64889)); #25453=EDGE_LOOP('',(#64890,#64891,#64892,#64893,#64894,#64895,#64896,#64897, #64898,#64899,#64900,#64901,#64902,#64903,#64904,#64905,#64906,#64907,#64908, #64909,#64910)); #25454=EDGE_LOOP('',(#64911,#64912,#64913,#64914,#64915,#64916,#64917,#64918, #64919,#64920,#64921,#64922,#64923,#64924,#64925,#64926,#64927,#64928,#64929, #64930)); #25455=EDGE_LOOP('',(#64931,#64932,#64933,#64934,#64935,#64936,#64937,#64938, #64939)); #25456=EDGE_LOOP('',(#64940,#64941,#64942,#64943,#64944,#64945,#64946,#64947)); #25457=EDGE_LOOP('',(#64948,#64949,#64950,#64951,#64952,#64953,#64954,#64955)); #25458=EDGE_LOOP('',(#64956,#64957,#64958,#64959,#64960,#64961,#64962,#64963, #64964)); #25459=EDGE_LOOP('',(#64965,#64966,#64967,#64968,#64969,#64970,#64971,#64972, #64973,#64974,#64975,#64976)); #25460=EDGE_LOOP('',(#64977,#64978,#64979,#64980,#64981,#64982,#64983)); #25461=EDGE_LOOP('',(#64984,#64985,#64986,#64987)); #25462=EDGE_LOOP('',(#64988,#64989,#64990,#64991,#64992,#64993,#64994)); #25463=EDGE_LOOP('',(#64995,#64996,#64997,#64998,#64999,#65000,#65001)); #25464=EDGE_LOOP('',(#65002,#65003,#65004)); #25465=EDGE_LOOP('',(#65005,#65006,#65007,#65008,#65009,#65010,#65011)); #25466=EDGE_LOOP('',(#65012,#65013,#65014,#65015,#65016,#65017,#65018)); #25467=EDGE_LOOP('',(#65019,#65020,#65021,#65022,#65023,#65024,#65025)); #25468=EDGE_LOOP('',(#65026)); #25469=EDGE_LOOP('',(#65027,#65028,#65029,#65030,#65031,#65032,#65033)); #25470=EDGE_LOOP('',(#65034,#65035,#65036,#65037,#65038,#65039,#65040)); #25471=EDGE_LOOP('',(#65041,#65042,#65043,#65044,#65045,#65046,#65047,#65048, #65049,#65050,#65051,#65052,#65053,#65054,#65055,#65056,#65057,#65058,#65059, #65060,#65061,#65062,#65063,#65064,#65065,#65066,#65067,#65068,#65069,#65070, #65071,#65072,#65073,#65074)); #25472=EDGE_LOOP('',(#65075,#65076,#65077,#65078,#65079,#65080,#65081,#65082, #65083,#65084,#65085,#65086,#65087,#65088,#65089,#65090)); #25473=EDGE_LOOP('',(#65091,#65092,#65093,#65094)); #25474=EDGE_LOOP('',(#65095,#65096,#65097,#65098,#65099,#65100,#65101,#65102, #65103,#65104,#65105,#65106,#65107,#65108,#65109,#65110,#65111,#65112,#65113, #65114,#65115,#65116,#65117,#65118,#65119,#65120,#65121,#65122,#65123,#65124, #65125,#65126,#65127,#65128,#65129,#65130,#65131,#65132,#65133,#65134)); #25475=EDGE_LOOP('',(#65135,#65136,#65137,#65138)); #25476=EDGE_LOOP('',(#65139,#65140,#65141,#65142,#65143,#65144,#65145,#65146, #65147,#65148,#65149,#65150,#65151,#65152,#65153,#65154,#65155,#65156,#65157, #65158,#65159,#65160,#65161,#65162,#65163,#65164,#65165,#65166,#65167,#65168, #65169,#65170,#65171,#65172)); #25477=EDGE_LOOP('',(#65173,#65174,#65175,#65176,#65177,#65178,#65179,#65180, #65181,#65182,#65183,#65184,#65185,#65186,#65187,#65188)); #25478=EDGE_LOOP('',(#65189,#65190,#65191,#65192)); #25479=EDGE_LOOP('',(#65193,#65194,#65195,#65196,#65197,#65198,#65199,#65200, #65201,#65202,#65203,#65204,#65205,#65206,#65207,#65208,#65209,#65210,#65211, #65212,#65213,#65214,#65215,#65216,#65217,#65218,#65219,#65220,#65221,#65222, #65223,#65224,#65225,#65226,#65227,#65228,#65229,#65230,#65231,#65232)); #25480=EDGE_LOOP('',(#65233,#65234,#65235)); #25481=EDGE_LOOP('',(#65236,#65237,#65238,#65239,#65240,#65241,#65242,#65243, #65244,#65245,#65246,#65247,#65248,#65249,#65250,#65251,#65252,#65253,#65254, #65255)); #25482=EDGE_LOOP('',(#65256,#65257,#65258,#65259)); #25483=EDGE_LOOP('',(#65260,#65261,#65262,#65263,#65264,#65265,#65266,#65267, #65268,#65269,#65270,#65271,#65272,#65273,#65274,#65275,#65276,#65277,#65278, #65279,#65280,#65281,#65282,#65283,#65284,#65285,#65286,#65287,#65288,#65289, #65290,#65291,#65292,#65293,#65294,#65295,#65296,#65297,#65298,#65299,#65300, #65301,#65302,#65303,#65304,#65305,#65306,#65307,#65308,#65309,#65310,#65311, #65312,#65313,#65314,#65315,#65316)); #25484=EDGE_LOOP('',(#65317,#65318,#65319,#65320)); #25485=EDGE_LOOP('',(#65321,#65322,#65323,#65324,#65325,#65326,#65327,#65328, #65329,#65330,#65331)); #25486=EDGE_LOOP('',(#65332,#65333,#65334,#65335)); #25487=EDGE_LOOP('',(#65336,#65337,#65338,#65339,#65340,#65341,#65342,#65343, #65344,#65345,#65346,#65347,#65348,#65349,#65350,#65351,#65352,#65353,#65354, #65355,#65356,#65357,#65358,#65359,#65360,#65361,#65362,#65363,#65364,#65365, #65366,#65367,#65368,#65369,#65370,#65371,#65372,#65373,#65374,#65375)); #25488=EDGE_LOOP('',(#65376,#65377,#65378,#65379,#65380,#65381,#65382,#65383, #65384,#65385,#65386,#65387,#65388,#65389,#65390,#65391)); #25489=EDGE_LOOP('',(#65392,#65393,#65394,#65395)); #25490=EDGE_LOOP('',(#65396,#65397,#65398,#65399,#65400,#65401,#65402)); #25491=EDGE_LOOP('',(#65403,#65404,#65405,#65406)); #25492=EDGE_LOOP('',(#65407,#65408,#65409,#65410,#65411,#65412,#65413)); #25493=EDGE_LOOP('',(#65414,#65415,#65416,#65417)); #25494=EDGE_LOOP('',(#65418,#65419,#65420,#65421,#65422,#65423,#65424,#65425, #65426,#65427,#65428,#65429,#65430,#65431,#65432,#65433,#65434,#65435,#65436, #65437,#65438,#65439,#65440,#65441,#65442,#65443,#65444,#65445)); #25495=EDGE_LOOP('',(#65446,#65447,#65448,#65449,#65450,#65451,#65452,#65453, #65454,#65455,#65456,#65457,#65458,#65459,#65460,#65461,#65462,#65463,#65464, #65465)); #25496=EDGE_LOOP('',(#65466,#65467,#65468,#65469)); #25497=EDGE_LOOP('',(#65470,#65471,#65472,#65473,#65474,#65475,#65476)); #25498=EDGE_LOOP('',(#65477,#65478,#65479,#65480,#65481,#65482,#65483)); #25499=EDGE_LOOP('',(#65484,#65485,#65486,#65487)); #25500=EDGE_LOOP('',(#65488,#65489,#65490,#65491,#65492,#65493,#65494,#65495, #65496,#65497,#65498,#65499,#65500,#65501,#65502,#65503,#65504,#65505,#65506, #65507,#65508,#65509,#65510,#65511,#65512,#65513)); #25501=EDGE_LOOP('',(#65514,#65515,#65516,#65517,#65518,#65519,#65520)); #25502=EDGE_LOOP('',(#65521,#65522,#65523,#65524)); #25503=EDGE_LOOP('',(#65525,#65526,#65527,#65528,#65529,#65530,#65531,#65532, #65533,#65534,#65535,#65536,#65537,#65538,#65539,#65540,#65541,#65542,#65543, #65544,#65545,#65546,#65547,#65548,#65549,#65550,#65551,#65552)); #25504=EDGE_LOOP('',(#65553,#65554,#65555,#65556,#65557,#65558,#65559)); #25505=EDGE_LOOP('',(#65560,#65561,#65562,#65563,#65564,#65565,#65566,#65567, #65568,#65569,#65570,#65571,#65572,#65573,#65574,#65575)); #25506=EDGE_LOOP('',(#65576,#65577,#65578)); #25507=EDGE_LOOP('',(#65579,#65580,#65581,#65582,#65583,#65584,#65585,#65586, #65587,#65588,#65589,#65590,#65591,#65592,#65593,#65594,#65595,#65596)); #25508=EDGE_LOOP('',(#65597,#65598,#65599,#65600,#65601,#65602)); #25509=EDGE_LOOP('',(#65603,#65604,#65605,#65606,#65607)); #25510=EDGE_LOOP('',(#65608,#65609,#65610,#65611)); #25511=EDGE_LOOP('',(#65612,#65613,#65614,#65615,#65616,#65617,#65618)); #25512=EDGE_LOOP('',(#65619,#65620,#65621,#65622,#65623,#65624,#65625)); #25513=EDGE_LOOP('',(#65626,#65627,#65628,#65629,#65630,#65631,#65632)); #25514=EDGE_LOOP('',(#65633,#65634,#65635,#65636,#65637,#65638,#65639)); #25515=EDGE_LOOP('',(#65640,#65641,#65642,#65643,#65644)); #25516=EDGE_LOOP('',(#65645,#65646,#65647,#65648,#65649,#65650,#65651,#65652, #65653,#65654,#65655,#65656,#65657,#65658,#65659,#65660)); #25517=EDGE_LOOP('',(#65661)); #25518=EDGE_LOOP('',(#65662)); #25519=EDGE_LOOP('',(#65663,#65664,#65665)); #25520=EDGE_LOOP('',(#65666,#65667,#65668,#65669)); #25521=EDGE_LOOP('',(#65670,#65671,#65672,#65673)); #25522=EDGE_LOOP('',(#65674,#65675,#65676,#65677)); #25523=EDGE_LOOP('',(#65678,#65679,#65680,#65681)); #25524=EDGE_LOOP('',(#65682,#65683,#65684,#65685)); #25525=EDGE_LOOP('',(#65686,#65687,#65688,#65689)); #25526=EDGE_LOOP('',(#65690,#65691,#65692,#65693)); #25527=EDGE_LOOP('',(#65694,#65695,#65696,#65697)); #25528=EDGE_LOOP('',(#65698,#65699,#65700,#65701)); #25529=EDGE_LOOP('',(#65702,#65703,#65704,#65705)); #25530=EDGE_LOOP('',(#65706,#65707,#65708,#65709)); #25531=EDGE_LOOP('',(#65710,#65711,#65712)); #25532=EDGE_LOOP('',(#65713,#65714,#65715,#65716)); #25533=EDGE_LOOP('',(#65717,#65718,#65719,#65720)); #25534=EDGE_LOOP('',(#65721,#65722,#65723,#65724)); #25535=EDGE_LOOP('',(#65725,#65726,#65727,#65728,#65729,#65730,#65731,#65732)); #25536=EDGE_LOOP('',(#65733,#65734,#65735,#65736)); #25537=EDGE_LOOP('',(#65737,#65738,#65739,#65740,#65741)); #25538=EDGE_LOOP('',(#65742,#65743,#65744,#65745)); #25539=EDGE_LOOP('',(#65746,#65747,#65748,#65749)); #25540=EDGE_LOOP('',(#65750,#65751,#65752,#65753)); #25541=EDGE_LOOP('',(#65754,#65755,#65756,#65757,#65758)); #25542=EDGE_LOOP('',(#65759,#65760,#65761,#65762)); #25543=EDGE_LOOP('',(#65763,#65764,#65765,#65766)); #25544=EDGE_LOOP('',(#65767,#65768,#65769,#65770)); #25545=EDGE_LOOP('',(#65771,#65772,#65773,#65774)); #25546=EDGE_LOOP('',(#65775,#65776,#65777)); #25547=EDGE_LOOP('',(#65778,#65779,#65780,#65781)); #25548=EDGE_LOOP('',(#65782,#65783,#65784,#65785)); #25549=EDGE_LOOP('',(#65786,#65787,#65788,#65789)); #25550=EDGE_LOOP('',(#65790,#65791,#65792)); #25551=EDGE_LOOP('',(#65793,#65794,#65795,#65796)); #25552=EDGE_LOOP('',(#65797,#65798,#65799,#65800)); #25553=EDGE_LOOP('',(#65801,#65802,#65803,#65804)); #25554=EDGE_LOOP('',(#65805,#65806,#65807,#65808)); #25555=EDGE_LOOP('',(#65809,#65810,#65811,#65812)); #25556=EDGE_LOOP('',(#65813,#65814,#65815,#65816)); #25557=EDGE_LOOP('',(#65817,#65818,#65819,#65820)); #25558=EDGE_LOOP('',(#65821,#65822,#65823,#65824)); #25559=EDGE_LOOP('',(#65825,#65826,#65827,#65828)); #25560=EDGE_LOOP('',(#65829,#65830,#65831,#65832)); #25561=EDGE_LOOP('',(#65833,#65834,#65835,#65836)); #25562=EDGE_LOOP('',(#65837,#65838,#65839,#65840)); #25563=EDGE_LOOP('',(#65841,#65842,#65843,#65844)); #25564=EDGE_LOOP('',(#65845,#65846,#65847,#65848)); #25565=EDGE_LOOP('',(#65849,#65850,#65851,#65852)); #25566=EDGE_LOOP('',(#65853,#65854,#65855,#65856)); #25567=EDGE_LOOP('',(#65857,#65858,#65859,#65860)); #25568=EDGE_LOOP('',(#65861,#65862,#65863,#65864)); #25569=EDGE_LOOP('',(#65865,#65866,#65867,#65868)); #25570=EDGE_LOOP('',(#65869,#65870,#65871,#65872)); #25571=EDGE_LOOP('',(#65873,#65874,#65875,#65876)); #25572=EDGE_LOOP('',(#65877,#65878,#65879)); #25573=EDGE_LOOP('',(#65880,#65881,#65882)); #25574=EDGE_LOOP('',(#65883,#65884,#65885)); #25575=EDGE_LOOP('',(#65886,#65887,#65888)); #25576=EDGE_LOOP('',(#65889,#65890,#65891,#65892)); #25577=EDGE_LOOP('',(#65893,#65894,#65895,#65896,#65897)); #25578=EDGE_LOOP('',(#65898,#65899,#65900,#65901)); #25579=EDGE_LOOP('',(#65902,#65903,#65904,#65905,#65906)); #25580=EDGE_LOOP('',(#65907,#65908,#65909,#65910)); #25581=EDGE_LOOP('',(#65911,#65912,#65913,#65914)); #25582=EDGE_LOOP('',(#65915,#65916,#65917,#65918,#65919,#65920,#65921,#65922)); #25583=EDGE_LOOP('',(#65923,#65924,#65925,#65926)); #25584=EDGE_LOOP('',(#65927,#65928,#65929,#65930)); #25585=EDGE_LOOP('',(#65931,#65932,#65933,#65934)); #25586=EDGE_LOOP('',(#65935,#65936,#65937,#65938)); #25587=EDGE_LOOP('',(#65939,#65940,#65941)); #25588=EDGE_LOOP('',(#65942,#65943,#65944,#65945)); #25589=EDGE_LOOP('',(#65946,#65947,#65948)); #25590=EDGE_LOOP('',(#65949,#65950,#65951,#65952)); #25591=EDGE_LOOP('',(#65953,#65954,#65955,#65956)); #25592=EDGE_LOOP('',(#65957,#65958,#65959,#65960)); #25593=EDGE_LOOP('',(#65961,#65962,#65963)); #25594=EDGE_LOOP('',(#65964,#65965,#65966)); #25595=EDGE_LOOP('',(#65967,#65968,#65969,#65970)); #25596=EDGE_LOOP('',(#65971,#65972,#65973,#65974)); #25597=EDGE_LOOP('',(#65975,#65976,#65977,#65978)); #25598=EDGE_LOOP('',(#65979,#65980,#65981,#65982,#65983)); #25599=EDGE_LOOP('',(#65984,#65985,#65986,#65987)); #25600=EDGE_LOOP('',(#65988,#65989,#65990,#65991,#65992)); #25601=EDGE_LOOP('',(#65993,#65994,#65995,#65996,#65997,#65998,#65999,#66000)); #25602=EDGE_LOOP('',(#66001,#66002,#66003,#66004)); #25603=EDGE_LOOP('',(#66005,#66006,#66007,#66008)); #25604=EDGE_LOOP('',(#66009,#66010,#66011,#66012)); #25605=EDGE_LOOP('',(#66013,#66014,#66015,#66016)); #25606=EDGE_LOOP('',(#66017,#66018,#66019,#66020)); #25607=EDGE_LOOP('',(#66021,#66022,#66023,#66024)); #25608=EDGE_LOOP('',(#66025,#66026,#66027,#66028)); #25609=EDGE_LOOP('',(#66029,#66030,#66031,#66032)); #25610=EDGE_LOOP('',(#66033,#66034,#66035)); #25611=EDGE_LOOP('',(#66036,#66037,#66038)); #25612=EDGE_LOOP('',(#66039,#66040,#66041)); #25613=EDGE_LOOP('',(#66042,#66043,#66044)); #25614=EDGE_LOOP('',(#66045,#66046,#66047,#66048)); #25615=EDGE_LOOP('',(#66049,#66050,#66051,#66052,#66053,#66054,#66055,#66056, #66057)); #25616=EDGE_LOOP('',(#66058,#66059,#66060,#66061)); #25617=EDGE_LOOP('',(#66062,#66063,#66064,#66065,#66066,#66067,#66068,#66069, #66070,#66071,#66072)); #25618=EDGE_LOOP('',(#66073,#66074,#66075,#66076)); #25619=EDGE_LOOP('',(#66077,#66078,#66079,#66080,#66081,#66082,#66083)); #25620=EDGE_LOOP('',(#66084,#66085,#66086,#66087,#66088,#66089,#66090,#66091)); #25621=EDGE_LOOP('',(#66092,#66093,#66094,#66095)); #25622=EDGE_LOOP('',(#66096,#66097,#66098,#66099)); #25623=EDGE_LOOP('',(#66100,#66101,#66102,#66103)); #25624=EDGE_LOOP('',(#66104,#66105,#66106,#66107)); #25625=EDGE_LOOP('',(#66108,#66109,#66110)); #25626=EDGE_LOOP('',(#66111,#66112,#66113,#66114)); #25627=EDGE_LOOP('',(#66115,#66116,#66117)); #25628=EDGE_LOOP('',(#66118,#66119,#66120,#66121)); #25629=EDGE_LOOP('',(#66122,#66123,#66124,#66125)); #25630=EDGE_LOOP('',(#66126,#66127,#66128,#66129)); #25631=EDGE_LOOP('',(#66130,#66131,#66132)); #25632=EDGE_LOOP('',(#66133,#66134,#66135)); #25633=EDGE_LOOP('',(#66136,#66137,#66138,#66139)); #25634=EDGE_LOOP('',(#66140,#66141,#66142,#66143,#66144,#66145,#66146,#66147, #66148)); #25635=EDGE_LOOP('',(#66149,#66150,#66151,#66152)); #25636=EDGE_LOOP('',(#66153,#66154,#66155,#66156,#66157,#66158,#66159,#66160, #66161,#66162,#66163,#66164,#66165,#66166)); #25637=EDGE_LOOP('',(#66167,#66168,#66169,#66170)); #25638=EDGE_LOOP('',(#66171,#66172,#66173,#66174,#66175,#66176,#66177,#66178)); #25639=EDGE_LOOP('',(#66179,#66180,#66181,#66182,#66183,#66184,#66185,#66186)); #25640=EDGE_LOOP('',(#66187,#66188,#66189,#66190)); #25641=EDGE_LOOP('',(#66191,#66192,#66193,#66194)); #25642=EDGE_LOOP('',(#66195,#66196,#66197,#66198)); #25643=EDGE_LOOP('',(#66199,#66200,#66201,#66202)); #25644=EDGE_LOOP('',(#66203,#66204,#66205,#66206)); #25645=EDGE_LOOP('',(#66207,#66208,#66209,#66210)); #25646=EDGE_LOOP('',(#66211,#66212,#66213,#66214)); #25647=EDGE_LOOP('',(#66215,#66216,#66217,#66218)); #25648=EDGE_LOOP('',(#66219,#66220,#66221,#66222)); #25649=EDGE_LOOP('',(#66223,#66224,#66225,#66226)); #25650=EDGE_LOOP('',(#66227,#66228,#66229,#66230)); #25651=EDGE_LOOP('',(#66231,#66232,#66233,#66234)); #25652=EDGE_LOOP('',(#66235,#66236,#66237,#66238)); #25653=EDGE_LOOP('',(#66239,#66240,#66241,#66242)); #25654=EDGE_LOOP('',(#66243,#66244,#66245,#66246)); #25655=EDGE_LOOP('',(#66247,#66248,#66249,#66250)); #25656=EDGE_LOOP('',(#66251,#66252,#66253,#66254,#66255,#66256,#66257,#66258, #66259,#66260,#66261,#66262,#66263,#66264,#66265)); #25657=EDGE_LOOP('',(#66266,#66267,#66268,#66269,#66270,#66271)); #25658=EDGE_LOOP('',(#66272,#66273,#66274,#66275)); #25659=EDGE_LOOP('',(#66276,#66277,#66278,#66279)); #25660=EDGE_LOOP('',(#66280,#66281,#66282,#66283)); #25661=EDGE_LOOP('',(#66284,#66285,#66286,#66287)); #25662=EDGE_LOOP('',(#66288,#66289,#66290,#66291)); #25663=EDGE_LOOP('',(#66292,#66293,#66294,#66295)); #25664=EDGE_LOOP('',(#66296,#66297,#66298,#66299)); #25665=EDGE_LOOP('',(#66300,#66301,#66302,#66303)); #25666=EDGE_LOOP('',(#66304,#66305,#66306,#66307)); #25667=EDGE_LOOP('',(#66308,#66309,#66310,#66311)); #25668=EDGE_LOOP('',(#66312,#66313,#66314,#66315)); #25669=EDGE_LOOP('',(#66316,#66317,#66318,#66319)); #25670=EDGE_LOOP('',(#66320,#66321,#66322,#66323)); #25671=EDGE_LOOP('',(#66324,#66325,#66326,#66327)); #25672=EDGE_LOOP('',(#66328,#66329,#66330,#66331)); #25673=EDGE_LOOP('',(#66332,#66333,#66334,#66335)); #25674=EDGE_LOOP('',(#66336,#66337,#66338,#66339,#66340,#66341,#66342,#66343, #66344,#66345,#66346,#66347,#66348,#66349,#66350)); #25675=EDGE_LOOP('',(#66351,#66352,#66353,#66354,#66355)); #25676=EDGE_LOOP('',(#66356,#66357,#66358,#66359)); #25677=EDGE_LOOP('',(#66360,#66361,#66362,#66363,#66364)); #25678=EDGE_LOOP('',(#66365,#66366,#66367,#66368)); #25679=EDGE_LOOP('',(#66369,#66370,#66371,#66372)); #25680=EDGE_LOOP('',(#66373,#66374,#66375,#66376)); #25681=EDGE_LOOP('',(#66377,#66378,#66379,#66380)); #25682=EDGE_LOOP('',(#66381,#66382,#66383,#66384)); #25683=EDGE_LOOP('',(#66385,#66386,#66387)); #25684=EDGE_LOOP('',(#66388,#66389,#66390,#66391)); #25685=EDGE_LOOP('',(#66392,#66393,#66394,#66395)); #25686=EDGE_LOOP('',(#66396,#66397,#66398,#66399)); #25687=EDGE_LOOP('',(#66400,#66401,#66402,#66403)); #25688=EDGE_LOOP('',(#66404,#66405,#66406)); #25689=EDGE_LOOP('',(#66407,#66408,#66409)); #25690=EDGE_LOOP('',(#66410,#66411,#66412)); #25691=EDGE_LOOP('',(#66413,#66414,#66415,#66416)); #25692=EDGE_LOOP('',(#66417,#66418,#66419,#66420)); #25693=EDGE_LOOP('',(#66421,#66422,#66423)); #25694=EDGE_LOOP('',(#66424,#66425,#66426,#66427)); #25695=EDGE_LOOP('',(#66428,#66429,#66430,#66431,#66432,#66433,#66434)); #25696=EDGE_LOOP('',(#66435,#66436,#66437,#66438)); #25697=EDGE_LOOP('',(#66439,#66440,#66441,#66442)); #25698=EDGE_LOOP('',(#66443,#66444,#66445,#66446)); #25699=EDGE_LOOP('',(#66447,#66448,#66449,#66450)); #25700=EDGE_LOOP('',(#66451,#66452,#66453)); #25701=EDGE_LOOP('',(#66454,#66455,#66456,#66457)); #25702=EDGE_LOOP('',(#66458,#66459,#66460)); #25703=EDGE_LOOP('',(#66461,#66462,#66463)); #25704=EDGE_LOOP('',(#66464,#66465,#66466)); #25705=EDGE_LOOP('',(#66467,#66468,#66469,#66470)); #25706=EDGE_LOOP('',(#66471,#66472,#66473)); #25707=EDGE_LOOP('',(#66474,#66475,#66476,#66477)); #25708=EDGE_LOOP('',(#66478,#66479,#66480,#66481)); #25709=EDGE_LOOP('',(#66482,#66483,#66484,#66485,#66486,#66487,#66488,#66489)); #25710=EDGE_LOOP('',(#66490,#66491,#66492,#66493,#66494)); #25711=EDGE_LOOP('',(#66495,#66496,#66497,#66498)); #25712=EDGE_LOOP('',(#66499,#66500,#66501,#66502)); #25713=EDGE_LOOP('',(#66503,#66504,#66505,#66506)); #25714=EDGE_LOOP('',(#66507,#66508,#66509,#66510)); #25715=EDGE_LOOP('',(#66511,#66512,#66513,#66514)); #25716=EDGE_LOOP('',(#66515,#66516,#66517)); #25717=EDGE_LOOP('',(#66518,#66519,#66520,#66521)); #25718=EDGE_LOOP('',(#66522,#66523,#66524,#66525)); #25719=EDGE_LOOP('',(#66526,#66527,#66528,#66529,#66530)); #25720=EDGE_LOOP('',(#66531,#66532,#66533,#66534,#66535)); #25721=EDGE_LOOP('',(#66536,#66537,#66538,#66539,#66540)); #25722=EDGE_LOOP('',(#66541,#66542,#66543,#66544,#66545)); #25723=EDGE_LOOP('',(#66546,#66547,#66548,#66549,#66550)); #25724=EDGE_LOOP('',(#66551,#66552,#66553)); #25725=EDGE_LOOP('',(#66554,#66555,#66556,#66557)); #25726=EDGE_LOOP('',(#66558,#66559,#66560,#66561)); #25727=EDGE_LOOP('',(#66562,#66563,#66564,#66565)); #25728=EDGE_LOOP('',(#66566,#66567,#66568,#66569)); #25729=EDGE_LOOP('',(#66570,#66571,#66572,#66573)); #25730=EDGE_LOOP('',(#66574,#66575,#66576,#66577)); #25731=EDGE_LOOP('',(#66578,#66579,#66580,#66581,#66582,#66583,#66584,#66585, #66586,#66587,#66588,#66589,#66590,#66591,#66592,#66593)); #25732=EDGE_LOOP('',(#66594,#66595,#66596,#66597,#66598)); #25733=EDGE_LOOP('',(#66599,#66600,#66601,#66602,#66603)); #25734=EDGE_LOOP('',(#66604,#66605,#66606,#66607,#66608)); #25735=EDGE_LOOP('',(#66609,#66610,#66611,#66612,#66613)); #25736=EDGE_LOOP('',(#66614,#66615,#66616,#66617)); #25737=EDGE_LOOP('',(#66618,#66619,#66620)); #25738=EDGE_LOOP('',(#66621,#66622,#66623,#66624)); #25739=EDGE_LOOP('',(#66625,#66626,#66627,#66628,#66629,#66630,#66631)); #25740=EDGE_LOOP('',(#66632,#66633,#66634,#66635,#66636)); #25741=EDGE_LOOP('',(#66637,#66638,#66639,#66640,#66641,#66642,#66643,#66644, #66645,#66646,#66647,#66648,#66649,#66650,#66651,#66652)); #25742=EDGE_LOOP('',(#66653,#66654,#66655,#66656)); #25743=EDGE_LOOP('',(#66657,#66658,#66659,#66660)); #25744=EDGE_LOOP('',(#66661,#66662,#66663)); #25745=EDGE_LOOP('',(#66664,#66665,#66666,#66667)); #25746=EDGE_LOOP('',(#66668,#66669,#66670,#66671)); #25747=EDGE_LOOP('',(#66672,#66673,#66674,#66675)); #25748=EDGE_LOOP('',(#66676,#66677,#66678,#66679,#66680)); #25749=EDGE_LOOP('',(#66681,#66682,#66683)); #25750=EDGE_LOOP('',(#66684,#66685,#66686,#66687)); #25751=EDGE_LOOP('',(#66688,#66689,#66690,#66691)); #25752=EDGE_LOOP('',(#66692,#66693,#66694)); #25753=EDGE_LOOP('',(#66695,#66696,#66697,#66698)); #25754=EDGE_LOOP('',(#66699,#66700,#66701,#66702)); #25755=EDGE_LOOP('',(#66703,#66704,#66705,#66706)); #25756=EDGE_LOOP('',(#66707,#66708,#66709,#66710)); #25757=EDGE_LOOP('',(#66711,#66712,#66713,#66714)); #25758=EDGE_LOOP('',(#66715,#66716,#66717,#66718)); #25759=EDGE_LOOP('',(#66719,#66720,#66721)); #25760=EDGE_LOOP('',(#66722,#66723,#66724,#66725)); #25761=EDGE_LOOP('',(#66726,#66727,#66728)); #25762=EDGE_LOOP('',(#66729,#66730,#66731)); #25763=EDGE_LOOP('',(#66732,#66733,#66734)); #25764=EDGE_LOOP('',(#66735,#66736,#66737,#66738)); #25765=EDGE_LOOP('',(#66739,#66740,#66741,#66742)); #25766=EDGE_LOOP('',(#66743,#66744,#66745,#66746)); #25767=EDGE_LOOP('',(#66747,#66748,#66749,#66750)); #25768=EDGE_LOOP('',(#66751,#66752,#66753,#66754,#66755,#66756,#66757)); #25769=EDGE_LOOP('',(#66758,#66759,#66760,#66761)); #25770=EDGE_LOOP('',(#66762,#66763,#66764)); #25771=EDGE_LOOP('',(#66765,#66766,#66767,#66768)); #25772=EDGE_LOOP('',(#66769,#66770,#66771,#66772,#66773)); #25773=EDGE_LOOP('',(#66774,#66775,#66776,#66777)); #25774=EDGE_LOOP('',(#66778,#66779,#66780,#66781)); #25775=EDGE_LOOP('',(#66782,#66783,#66784)); #25776=EDGE_LOOP('',(#66785,#66786,#66787,#66788)); #25777=EDGE_LOOP('',(#66789,#66790,#66791,#66792)); #25778=EDGE_LOOP('',(#66793,#66794,#66795)); #25779=EDGE_LOOP('',(#66796,#66797,#66798,#66799)); #25780=EDGE_LOOP('',(#66800,#66801,#66802,#66803)); #25781=EDGE_LOOP('',(#66804,#66805,#66806,#66807)); #25782=EDGE_LOOP('',(#66808,#66809,#66810,#66811)); #25783=EDGE_LOOP('',(#66812,#66813,#66814)); #25784=EDGE_LOOP('',(#66815,#66816,#66817,#66818)); #25785=EDGE_LOOP('',(#66819,#66820,#66821)); #25786=EDGE_LOOP('',(#66822,#66823,#66824)); #25787=EDGE_LOOP('',(#66825,#66826,#66827,#66828)); #25788=EDGE_LOOP('',(#66829,#66830,#66831,#66832)); #25789=EDGE_LOOP('',(#66833,#66834,#66835,#66836,#66837)); #25790=EDGE_LOOP('',(#66838,#66839,#66840,#66841)); #25791=EDGE_LOOP('',(#66842,#66843,#66844)); #25792=EDGE_LOOP('',(#66845,#66846,#66847,#66848)); #25793=EDGE_LOOP('',(#66849,#66850,#66851,#66852,#66853,#66854,#66855)); #25794=EDGE_LOOP('',(#66856,#66857,#66858,#66859)); #25795=EDGE_LOOP('',(#66860,#66861,#66862,#66863)); #25796=EDGE_LOOP('',(#66864,#66865,#66866,#66867)); #25797=EDGE_LOOP('',(#66868,#66869,#66870,#66871)); #25798=EDGE_LOOP('',(#66872,#66873,#66874,#66875)); #25799=EDGE_LOOP('',(#66876,#66877,#66878,#66879,#66880)); #25800=EDGE_LOOP('',(#66881,#66882,#66883,#66884)); #25801=EDGE_LOOP('',(#66885,#66886,#66887)); #25802=EDGE_LOOP('',(#66888,#66889,#66890,#66891)); #25803=EDGE_LOOP('',(#66892,#66893,#66894,#66895)); #25804=EDGE_LOOP('',(#66896,#66897,#66898,#66899)); #25805=EDGE_LOOP('',(#66900,#66901,#66902,#66903)); #25806=EDGE_LOOP('',(#66904,#66905,#66906,#66907)); #25807=EDGE_LOOP('',(#66908,#66909,#66910,#66911)); #25808=EDGE_LOOP('',(#66912,#66913,#66914,#66915)); #25809=EDGE_LOOP('',(#66916,#66917,#66918,#66919)); #25810=EDGE_LOOP('',(#66920,#66921,#66922,#66923)); #25811=EDGE_LOOP('',(#66924,#66925,#66926,#66927,#66928,#66929)); #25812=EDGE_LOOP('',(#66930,#66931,#66932,#66933)); #25813=EDGE_LOOP('',(#66934,#66935,#66936,#66937)); #25814=EDGE_LOOP('',(#66938,#66939,#66940,#66941)); #25815=EDGE_LOOP('',(#66942,#66943,#66944,#66945)); #25816=EDGE_LOOP('',(#66946,#66947,#66948,#66949)); #25817=EDGE_LOOP('',(#66950,#66951,#66952,#66953)); #25818=EDGE_LOOP('',(#66954,#66955,#66956,#66957)); #25819=EDGE_LOOP('',(#66958,#66959,#66960,#66961)); #25820=EDGE_LOOP('',(#66962,#66963,#66964,#66965)); #25821=EDGE_LOOP('',(#66966,#66967,#66968)); #25822=EDGE_LOOP('',(#66969,#66970,#66971,#66972,#66973,#66974)); #25823=EDGE_LOOP('',(#66975,#66976,#66977,#66978)); #25824=EDGE_LOOP('',(#66979,#66980,#66981)); #25825=EDGE_LOOP('',(#66982,#66983,#66984,#66985)); #25826=EDGE_LOOP('',(#66986,#66987,#66988,#66989)); #25827=EDGE_LOOP('',(#66990,#66991,#66992,#66993)); #25828=EDGE_LOOP('',(#66994,#66995,#66996,#66997)); #25829=EDGE_LOOP('',(#66998,#66999,#67000,#67001)); #25830=EDGE_LOOP('',(#67002,#67003,#67004,#67005)); #25831=EDGE_LOOP('',(#67006,#67007,#67008,#67009)); #25832=EDGE_LOOP('',(#67010,#67011,#67012,#67013)); #25833=EDGE_LOOP('',(#67014,#67015,#67016,#67017)); #25834=EDGE_LOOP('',(#67018,#67019,#67020,#67021)); #25835=EDGE_LOOP('',(#67022,#67023,#67024,#67025)); #25836=EDGE_LOOP('',(#67026,#67027,#67028,#67029)); #25837=EDGE_LOOP('',(#67030,#67031,#67032)); #25838=EDGE_LOOP('',(#67033,#67034,#67035,#67036)); #25839=EDGE_LOOP('',(#67037,#67038,#67039,#67040,#67041)); #25840=EDGE_LOOP('',(#67042,#67043,#67044,#67045,#67046,#67047,#67048,#67049)); #25841=EDGE_LOOP('',(#67050,#67051,#67052,#67053)); #25842=EDGE_LOOP('',(#67054,#67055,#67056,#67057)); #25843=EDGE_LOOP('',(#67058,#67059,#67060,#67061)); #25844=EDGE_LOOP('',(#67062,#67063,#67064,#67065,#67066,#67067,#67068,#67069)); #25845=EDGE_LOOP('',(#67070,#67071,#67072,#67073,#67074)); #25846=EDGE_LOOP('',(#67075,#67076,#67077,#67078)); #25847=EDGE_LOOP('',(#67079,#67080,#67081)); #25848=EDGE_LOOP('',(#67082,#67083,#67084)); #25849=EDGE_LOOP('',(#67085,#67086,#67087,#67088)); #25850=EDGE_LOOP('',(#67089,#67090,#67091,#67092)); #25851=EDGE_LOOP('',(#67093,#67094,#67095,#67096)); #25852=EDGE_LOOP('',(#67097,#67098,#67099,#67100)); #25853=EDGE_LOOP('',(#67101,#67102,#67103,#67104)); #25854=EDGE_LOOP('',(#67105,#67106,#67107,#67108)); #25855=EDGE_LOOP('',(#67109,#67110,#67111,#67112)); #25856=EDGE_LOOP('',(#67113,#67114,#67115,#67116)); #25857=EDGE_LOOP('',(#67117,#67118,#67119,#67120)); #25858=EDGE_LOOP('',(#67121,#67122,#67123,#67124)); #25859=EDGE_LOOP('',(#67125,#67126,#67127,#67128)); #25860=EDGE_LOOP('',(#67129,#67130,#67131,#67132)); #25861=EDGE_LOOP('',(#67133,#67134,#67135)); #25862=EDGE_LOOP('',(#67136,#67137,#67138,#67139)); #25863=EDGE_LOOP('',(#67140,#67141,#67142,#67143,#67144)); #25864=EDGE_LOOP('',(#67145,#67146,#67147,#67148,#67149,#67150,#67151,#67152)); #25865=EDGE_LOOP('',(#67153,#67154,#67155,#67156)); #25866=EDGE_LOOP('',(#67157,#67158,#67159,#67160)); #25867=EDGE_LOOP('',(#67161,#67162,#67163,#67164)); #25868=EDGE_LOOP('',(#67165,#67166,#67167,#67168,#67169,#67170,#67171,#67172)); #25869=EDGE_LOOP('',(#67173,#67174,#67175,#67176,#67177)); #25870=EDGE_LOOP('',(#67178,#67179,#67180,#67181)); #25871=EDGE_LOOP('',(#67182,#67183,#67184)); #25872=EDGE_LOOP('',(#67185,#67186,#67187,#67188)); #25873=EDGE_LOOP('',(#67189,#67190,#67191,#67192)); #25874=EDGE_LOOP('',(#67193,#67194,#67195,#67196)); #25875=EDGE_LOOP('',(#67197,#67198,#67199,#67200)); #25876=EDGE_LOOP('',(#67201,#67202,#67203,#67204,#67205,#67206,#67207,#67208, #67209,#67210,#67211)); #25877=EDGE_LOOP('',(#67212,#67213,#67214,#67215)); #25878=EDGE_LOOP('',(#67216,#67217,#67218,#67219,#67220)); #25879=EDGE_LOOP('',(#67221,#67222,#67223,#67224)); #25880=EDGE_LOOP('',(#67225,#67226,#67227,#67228)); #25881=EDGE_LOOP('',(#67229,#67230,#67231,#67232)); #25882=EDGE_LOOP('',(#67233,#67234,#67235,#67236)); #25883=EDGE_LOOP('',(#67237,#67238,#67239,#67240,#67241)); #25884=EDGE_LOOP('',(#67242,#67243,#67244,#67245)); #25885=EDGE_LOOP('',(#67246,#67247,#67248,#67249)); #25886=EDGE_LOOP('',(#67250,#67251,#67252,#67253)); #25887=EDGE_LOOP('',(#67254,#67255,#67256,#67257)); #25888=EDGE_LOOP('',(#67258,#67259,#67260,#67261)); #25889=EDGE_LOOP('',(#67262,#67263,#67264,#67265)); #25890=EDGE_LOOP('',(#67266,#67267,#67268,#67269)); #25891=EDGE_LOOP('',(#67270,#67271,#67272,#67273)); #25892=EDGE_LOOP('',(#67274,#67275,#67276,#67277)); #25893=EDGE_LOOP('',(#67278,#67279,#67280,#67281,#67282,#67283,#67284,#67285, #67286)); #25894=EDGE_LOOP('',(#67287,#67288,#67289,#67290,#67291)); #25895=EDGE_LOOP('',(#67292,#67293,#67294,#67295)); #25896=EDGE_LOOP('',(#67296,#67297,#67298,#67299)); #25897=EDGE_LOOP('',(#67300,#67301,#67302,#67303)); #25898=EDGE_LOOP('',(#67304,#67305,#67306,#67307)); #25899=EDGE_LOOP('',(#67308,#67309,#67310,#67311)); #25900=EDGE_LOOP('',(#67312,#67313,#67314,#67315)); #25901=EDGE_LOOP('',(#67316,#67317,#67318,#67319)); #25902=EDGE_LOOP('',(#67320,#67321,#67322,#67323)); #25903=EDGE_LOOP('',(#67324,#67325,#67326,#67327)); #25904=EDGE_LOOP('',(#67328,#67329,#67330,#67331)); #25905=EDGE_LOOP('',(#67332,#67333,#67334,#67335)); #25906=EDGE_LOOP('',(#67336,#67337,#67338)); #25907=EDGE_LOOP('',(#67339,#67340,#67341,#67342,#67343)); #25908=EDGE_LOOP('',(#67344,#67345,#67346,#67347)); #25909=EDGE_LOOP('',(#67348,#67349,#67350,#67351)); #25910=EDGE_LOOP('',(#67352,#67353,#67354,#67355)); #25911=EDGE_LOOP('',(#67356,#67357,#67358,#67359)); #25912=EDGE_LOOP('',(#67360,#67361,#67362,#67363)); #25913=EDGE_LOOP('',(#67364,#67365,#67366,#67367)); #25914=EDGE_LOOP('',(#67368,#67369,#67370,#67371)); #25915=EDGE_LOOP('',(#67372,#67373,#67374,#67375)); #25916=EDGE_LOOP('',(#67376,#67377,#67378,#67379)); #25917=EDGE_LOOP('',(#67380,#67381,#67382,#67383)); #25918=EDGE_LOOP('',(#67384,#67385,#67386)); #25919=EDGE_LOOP('',(#67387,#67388,#67389,#67390)); #25920=EDGE_LOOP('',(#67391,#67392,#67393,#67394)); #25921=EDGE_LOOP('',(#67395,#67396,#67397,#67398)); #25922=EDGE_LOOP('',(#67399,#67400,#67401,#67402)); #25923=EDGE_LOOP('',(#67403,#67404,#67405,#67406)); #25924=EDGE_LOOP('',(#67407,#67408,#67409,#67410)); #25925=EDGE_LOOP('',(#67411,#67412,#67413,#67414)); #25926=EDGE_LOOP('',(#67415,#67416,#67417,#67418)); #25927=EDGE_LOOP('',(#67419,#67420,#67421,#67422)); #25928=EDGE_LOOP('',(#67423,#67424,#67425,#67426)); #25929=EDGE_LOOP('',(#67427,#67428,#67429,#67430)); #25930=EDGE_LOOP('',(#67431,#67432,#67433)); #25931=EDGE_LOOP('',(#67434,#67435,#67436,#67437)); #25932=EDGE_LOOP('',(#67438,#67439,#67440,#67441)); #25933=EDGE_LOOP('',(#67442,#67443,#67444,#67445,#67446,#67447,#67448,#67449, #67450,#67451,#67452,#67453)); #25934=EDGE_LOOP('',(#67454,#67455,#67456)); #25935=EDGE_LOOP('',(#67457,#67458,#67459,#67460)); #25936=EDGE_LOOP('',(#67461,#67462,#67463,#67464,#67465)); #25937=EDGE_LOOP('',(#67466,#67467,#67468)); #25938=EDGE_LOOP('',(#67469,#67470,#67471,#67472)); #25939=EDGE_LOOP('',(#67473,#67474,#67475,#67476)); #25940=EDGE_LOOP('',(#67477,#67478,#67479,#67480)); #25941=EDGE_LOOP('',(#67481,#67482,#67483,#67484)); #25942=EDGE_LOOP('',(#67485,#67486,#67487,#67488)); #25943=EDGE_LOOP('',(#67489,#67490,#67491,#67492)); #25944=EDGE_LOOP('',(#67493,#67494,#67495,#67496)); #25945=EDGE_LOOP('',(#67497,#67498,#67499,#67500)); #25946=EDGE_LOOP('',(#67501,#67502,#67503,#67504)); #25947=EDGE_LOOP('',(#67505,#67506,#67507,#67508)); #25948=EDGE_LOOP('',(#67509,#67510,#67511,#67512)); #25949=EDGE_LOOP('',(#67513,#67514,#67515,#67516)); #25950=EDGE_LOOP('',(#67517,#67518,#67519)); #25951=EDGE_LOOP('',(#67520,#67521,#67522,#67523)); #25952=EDGE_LOOP('',(#67524,#67525,#67526,#67527,#67528)); #25953=EDGE_LOOP('',(#67529,#67530,#67531,#67532,#67533,#67534,#67535,#67536)); #25954=EDGE_LOOP('',(#67537,#67538,#67539,#67540)); #25955=EDGE_LOOP('',(#67541,#67542,#67543,#67544)); #25956=EDGE_LOOP('',(#67545,#67546,#67547,#67548)); #25957=EDGE_LOOP('',(#67549,#67550,#67551,#67552,#67553,#67554,#67555)); #25958=EDGE_LOOP('',(#67556,#67557,#67558,#67559,#67560)); #25959=EDGE_LOOP('',(#67561,#67562,#67563,#67564)); #25960=EDGE_LOOP('',(#67565,#67566,#67567)); #25961=EDGE_LOOP('',(#67568,#67569,#67570)); #25962=EDGE_LOOP('',(#67571,#67572,#67573,#67574)); #25963=EDGE_LOOP('',(#67575,#67576,#67577,#67578)); #25964=EDGE_LOOP('',(#67579,#67580,#67581,#67582)); #25965=EDGE_LOOP('',(#67583,#67584,#67585,#67586)); #25966=EDGE_LOOP('',(#67587,#67588,#67589,#67590)); #25967=EDGE_LOOP('',(#67591,#67592,#67593,#67594)); #25968=EDGE_LOOP('',(#67595,#67596,#67597,#67598)); #25969=EDGE_LOOP('',(#67599,#67600,#67601,#67602)); #25970=EDGE_LOOP('',(#67603,#67604,#67605,#67606)); #25971=EDGE_LOOP('',(#67607,#67608,#67609,#67610)); #25972=EDGE_LOOP('',(#67611,#67612,#67613,#67614)); #25973=EDGE_LOOP('',(#67615,#67616,#67617,#67618)); #25974=EDGE_LOOP('',(#67619,#67620,#67621)); #25975=EDGE_LOOP('',(#67622,#67623,#67624,#67625)); #25976=EDGE_LOOP('',(#67626,#67627,#67628,#67629,#67630)); #25977=EDGE_LOOP('',(#67631,#67632,#67633,#67634,#67635,#67636,#67637)); #25978=EDGE_LOOP('',(#67638,#67639,#67640,#67641)); #25979=EDGE_LOOP('',(#67642,#67643,#67644,#67645)); #25980=EDGE_LOOP('',(#67646,#67647,#67648,#67649)); #25981=EDGE_LOOP('',(#67650,#67651,#67652,#67653,#67654,#67655,#67656,#67657)); #25982=EDGE_LOOP('',(#67658,#67659,#67660,#67661,#67662)); #25983=EDGE_LOOP('',(#67663,#67664,#67665,#67666)); #25984=EDGE_LOOP('',(#67667,#67668,#67669)); #25985=EDGE_LOOP('',(#67670,#67671,#67672)); #25986=EDGE_LOOP('',(#67673,#67674,#67675,#67676)); #25987=EDGE_LOOP('',(#67677,#67678,#67679,#67680)); #25988=EDGE_LOOP('',(#67681,#67682,#67683,#67684)); #25989=EDGE_LOOP('',(#67685,#67686,#67687,#67688)); #25990=EDGE_LOOP('',(#67689,#67690,#67691,#67692)); #25991=EDGE_LOOP('',(#67693,#67694,#67695,#67696)); #25992=EDGE_LOOP('',(#67697,#67698,#67699,#67700)); #25993=EDGE_LOOP('',(#67701,#67702,#67703,#67704)); #25994=EDGE_LOOP('',(#67705,#67706,#67707,#67708)); #25995=EDGE_LOOP('',(#67709,#67710,#67711,#67712)); #25996=EDGE_LOOP('',(#67713,#67714,#67715,#67716)); #25997=EDGE_LOOP('',(#67717,#67718,#67719)); #25998=EDGE_LOOP('',(#67720,#67721,#67722,#67723)); #25999=EDGE_LOOP('',(#67724,#67725,#67726,#67727)); #26000=EDGE_LOOP('',(#67728,#67729,#67730,#67731)); #26001=EDGE_LOOP('',(#67732,#67733,#67734,#67735)); #26002=EDGE_LOOP('',(#67736,#67737,#67738,#67739)); #26003=EDGE_LOOP('',(#67740,#67741,#67742,#67743,#67744,#67745,#67746)); #26004=EDGE_LOOP('',(#67747,#67748,#67749,#67750,#67751)); #26005=EDGE_LOOP('',(#67752,#67753,#67754,#67755)); #26006=EDGE_LOOP('',(#67756,#67757,#67758)); #26007=EDGE_LOOP('',(#67759,#67760,#67761,#67762,#67763,#67764,#67765,#67766, #67767,#67768,#67769,#67770)); #26008=EDGE_LOOP('',(#67771,#67772,#67773,#67774)); #26009=EDGE_LOOP('',(#67775,#67776,#67777,#67778)); #26010=EDGE_LOOP('',(#67779,#67780,#67781,#67782)); #26011=EDGE_LOOP('',(#67783,#67784,#67785,#67786)); #26012=EDGE_LOOP('',(#67787,#67788,#67789)); #26013=EDGE_LOOP('',(#67790,#67791,#67792,#67793)); #26014=EDGE_LOOP('',(#67794,#67795,#67796,#67797,#67798,#67799,#67800,#67801, #67802,#67803,#67804,#67805,#67806,#67807)); #26015=EDGE_LOOP('',(#67808,#67809,#67810,#67811)); #26016=EDGE_LOOP('',(#67812,#67813,#67814,#67815)); #26017=EDGE_LOOP('',(#67816,#67817,#67818,#67819)); #26018=EDGE_LOOP('',(#67820,#67821,#67822,#67823)); #26019=EDGE_LOOP('',(#67824,#67825,#67826,#67827)); #26020=EDGE_LOOP('',(#67828,#67829,#67830,#67831)); #26021=EDGE_LOOP('',(#67832,#67833,#67834,#67835)); #26022=EDGE_LOOP('',(#67836,#67837,#67838,#67839)); #26023=EDGE_LOOP('',(#67840,#67841,#67842,#67843)); #26024=EDGE_LOOP('',(#67844,#67845,#67846,#67847,#67848,#67849,#67850,#67851, #67852)); #26025=EDGE_LOOP('',(#67853,#67854,#67855,#67856)); #26026=EDGE_LOOP('',(#67857,#67858,#67859,#67860)); #26027=EDGE_LOOP('',(#67861,#67862,#67863,#67864)); #26028=EDGE_LOOP('',(#67865,#67866,#67867,#67868,#67869)); #26029=EDGE_LOOP('',(#67870,#67871,#67872,#67873)); #26030=EDGE_LOOP('',(#67874,#67875,#67876,#67877)); #26031=EDGE_LOOP('',(#67878,#67879,#67880,#67881)); #26032=EDGE_LOOP('',(#67882,#67883,#67884,#67885)); #26033=EDGE_LOOP('',(#67886,#67887,#67888,#67889)); #26034=EDGE_LOOP('',(#67890,#67891,#67892,#67893)); #26035=EDGE_LOOP('',(#67894,#67895,#67896,#67897)); #26036=EDGE_LOOP('',(#67898,#67899,#67900,#67901)); #26037=EDGE_LOOP('',(#67902,#67903,#67904,#67905)); #26038=EDGE_LOOP('',(#67906,#67907,#67908,#67909)); #26039=EDGE_LOOP('',(#67910,#67911,#67912,#67913)); #26040=EDGE_LOOP('',(#67914,#67915,#67916,#67917)); #26041=EDGE_LOOP('',(#67918,#67919,#67920,#67921)); #26042=EDGE_LOOP('',(#67922,#67923,#67924,#67925)); #26043=EDGE_LOOP('',(#67926,#67927,#67928,#67929)); #26044=EDGE_LOOP('',(#67930,#67931,#67932,#67933)); #26045=EDGE_LOOP('',(#67934,#67935,#67936,#67937)); #26046=EDGE_LOOP('',(#67938,#67939,#67940,#67941)); #26047=EDGE_LOOP('',(#67942,#67943,#67944,#67945)); #26048=EDGE_LOOP('',(#67946,#67947,#67948,#67949)); #26049=EDGE_LOOP('',(#67950,#67951,#67952,#67953)); #26050=EDGE_LOOP('',(#67954,#67955,#67956,#67957)); #26051=EDGE_LOOP('',(#67958,#67959,#67960,#67961)); #26052=EDGE_LOOP('',(#67962,#67963,#67964,#67965)); #26053=EDGE_LOOP('',(#67966,#67967,#67968,#67969)); #26054=EDGE_LOOP('',(#67970,#67971,#67972)); #26055=EDGE_LOOP('',(#67973,#67974,#67975,#67976)); #26056=EDGE_LOOP('',(#67977,#67978,#67979,#67980)); #26057=EDGE_LOOP('',(#67981,#67982,#67983)); #26058=EDGE_LOOP('',(#67984,#67985,#67986)); #26059=EDGE_LOOP('',(#67987,#67988,#67989,#67990)); #26060=EDGE_LOOP('',(#67991,#67992,#67993,#67994)); #26061=EDGE_LOOP('',(#67995,#67996,#67997,#67998)); #26062=EDGE_LOOP('',(#67999,#68000,#68001,#68002)); #26063=EDGE_LOOP('',(#68003,#68004,#68005,#68006)); #26064=EDGE_LOOP('',(#68007,#68008,#68009,#68010)); #26065=EDGE_LOOP('',(#68011,#68012,#68013)); #26066=EDGE_LOOP('',(#68014,#68015,#68016,#68017)); #26067=EDGE_LOOP('',(#68018,#68019,#68020)); #26068=EDGE_LOOP('',(#68021,#68022,#68023,#68024)); #26069=EDGE_LOOP('',(#68025,#68026,#68027)); #26070=EDGE_LOOP('',(#68028,#68029,#68030)); #26071=EDGE_LOOP('',(#68031,#68032,#68033,#68034)); #26072=EDGE_LOOP('',(#68035,#68036,#68037,#68038,#68039,#68040,#68041,#68042, #68043,#68044)); #26073=EDGE_LOOP('',(#68045,#68046,#68047,#68048)); #26074=EDGE_LOOP('',(#68049,#68050,#68051,#68052)); #26075=EDGE_LOOP('',(#68053,#68054,#68055,#68056)); #26076=EDGE_LOOP('',(#68057,#68058,#68059,#68060)); #26077=EDGE_LOOP('',(#68061,#68062,#68063,#68064)); #26078=EDGE_LOOP('',(#68065,#68066,#68067)); #26079=EDGE_LOOP('',(#68068,#68069,#68070,#68071)); #26080=EDGE_LOOP('',(#68072,#68073,#68074)); #26081=EDGE_LOOP('',(#68075,#68076,#68077)); #26082=EDGE_LOOP('',(#68078,#68079,#68080)); #26083=EDGE_LOOP('',(#68081,#68082,#68083,#68084)); #26084=EDGE_LOOP('',(#68085,#68086,#68087,#68088)); #26085=EDGE_LOOP('',(#68089,#68090,#68091)); #26086=EDGE_LOOP('',(#68092,#68093,#68094,#68095,#68096,#68097,#68098,#68099)); #26087=EDGE_LOOP('',(#68100,#68101,#68102,#68103)); #26088=EDGE_LOOP('',(#68104,#68105,#68106,#68107)); #26089=EDGE_LOOP('',(#68108,#68109,#68110,#68111)); #26090=EDGE_LOOP('',(#68112,#68113,#68114,#68115,#68116)); #26091=EDGE_LOOP('',(#68117,#68118,#68119,#68120)); #26092=EDGE_LOOP('',(#68121,#68122,#68123,#68124)); #26093=EDGE_LOOP('',(#68125,#68126,#68127,#68128)); #26094=EDGE_LOOP('',(#68129,#68130,#68131,#68132)); #26095=EDGE_LOOP('',(#68133,#68134,#68135,#68136)); #26096=EDGE_LOOP('',(#68137,#68138,#68139,#68140)); #26097=EDGE_LOOP('',(#68141,#68142,#68143)); #26098=EDGE_LOOP('',(#68144,#68145,#68146)); #26099=EDGE_LOOP('',(#68147,#68148,#68149,#68150)); #26100=EDGE_LOOP('',(#68151,#68152,#68153,#68154)); #26101=EDGE_LOOP('',(#68155,#68156,#68157)); #26102=EDGE_LOOP('',(#68158,#68159,#68160,#68161)); #26103=EDGE_LOOP('',(#68162,#68163,#68164)); #26104=EDGE_LOOP('',(#68165,#68166,#68167)); #26105=EDGE_LOOP('',(#68168,#68169,#68170,#68171,#68172)); #26106=EDGE_LOOP('',(#68173,#68174,#68175,#68176)); #26107=EDGE_LOOP('',(#68177,#68178,#68179,#68180)); #26108=EDGE_LOOP('',(#68181,#68182,#68183,#68184,#68185)); #26109=EDGE_LOOP('',(#68186,#68187,#68188,#68189)); #26110=EDGE_LOOP('',(#68190,#68191,#68192,#68193)); #26111=EDGE_LOOP('',(#68194,#68195,#68196,#68197)); #26112=EDGE_LOOP('',(#68198,#68199,#68200,#68201)); #26113=EDGE_LOOP('',(#68202,#68203,#68204)); #26114=EDGE_LOOP('',(#68205,#68206,#68207)); #26115=EDGE_LOOP('',(#68208,#68209,#68210,#68211)); #26116=EDGE_LOOP('',(#68212,#68213,#68214)); #26117=EDGE_LOOP('',(#68215,#68216,#68217,#68218)); #26118=EDGE_LOOP('',(#68219,#68220,#68221,#68222)); #26119=EDGE_LOOP('',(#68223,#68224,#68225)); #26120=EDGE_LOOP('',(#68226,#68227,#68228)); #26121=EDGE_LOOP('',(#68229,#68230,#68231,#68232,#68233)); #26122=EDGE_LOOP('',(#68234,#68235,#68236)); #26123=EDGE_LOOP('',(#68237,#68238,#68239,#68240)); #26124=EDGE_LOOP('',(#68241,#68242,#68243,#68244,#68245)); #26125=EDGE_LOOP('',(#68246,#68247,#68248,#68249)); #26126=EDGE_LOOP('',(#68250,#68251,#68252,#68253)); #26127=EDGE_LOOP('',(#68254,#68255,#68256,#68257)); #26128=EDGE_LOOP('',(#68258,#68259,#68260)); #26129=EDGE_LOOP('',(#68261,#68262,#68263,#68264)); #26130=EDGE_LOOP('',(#68265,#68266,#68267,#68268)); #26131=EDGE_LOOP('',(#68269,#68270,#68271,#68272,#68273)); #26132=EDGE_LOOP('',(#68274,#68275,#68276)); #26133=EDGE_LOOP('',(#68277,#68278,#68279,#68280)); #26134=EDGE_LOOP('',(#68281,#68282,#68283)); #26135=EDGE_LOOP('',(#68284,#68285,#68286,#68287)); #26136=EDGE_LOOP('',(#68288,#68289,#68290,#68291,#68292,#68293)); #26137=EDGE_LOOP('',(#68294,#68295,#68296,#68297,#68298,#68299)); #26138=EDGE_LOOP('',(#68300,#68301,#68302,#68303)); #26139=EDGE_LOOP('',(#68304,#68305,#68306,#68307)); #26140=EDGE_LOOP('',(#68308,#68309,#68310,#68311)); #26141=EDGE_LOOP('',(#68312,#68313,#68314,#68315,#68316)); #26142=EDGE_LOOP('',(#68317,#68318,#68319,#68320)); #26143=EDGE_LOOP('',(#68321,#68322,#68323)); #26144=EDGE_LOOP('',(#68324,#68325,#68326,#68327)); #26145=EDGE_LOOP('',(#68328,#68329,#68330,#68331)); #26146=EDGE_LOOP('',(#68332,#68333,#68334,#68335,#68336)); #26147=EDGE_LOOP('',(#68337,#68338,#68339)); #26148=EDGE_LOOP('',(#68340,#68341,#68342,#68343)); #26149=EDGE_LOOP('',(#68344,#68345,#68346)); #26150=EDGE_LOOP('',(#68347,#68348,#68349,#68350)); #26151=EDGE_LOOP('',(#68351,#68352,#68353,#68354,#68355,#68356)); #26152=EDGE_LOOP('',(#68357,#68358,#68359,#68360,#68361,#68362)); #26153=EDGE_LOOP('',(#68363,#68364,#68365,#68366)); #26154=EDGE_LOOP('',(#68367,#68368,#68369,#68370)); #26155=EDGE_LOOP('',(#68371,#68372,#68373,#68374)); #26156=EDGE_LOOP('',(#68375,#68376,#68377,#68378)); #26157=EDGE_LOOP('',(#68379,#68380,#68381,#68382)); #26158=EDGE_LOOP('',(#68383,#68384,#68385,#68386)); #26159=EDGE_LOOP('',(#68387,#68388,#68389)); #26160=EDGE_LOOP('',(#68390,#68391,#68392)); #26161=EDGE_LOOP('',(#68393,#68394,#68395,#68396)); #26162=EDGE_LOOP('',(#68397,#68398,#68399)); #26163=EDGE_LOOP('',(#68400,#68401,#68402,#68403)); #26164=EDGE_LOOP('',(#68404,#68405,#68406)); #26165=EDGE_LOOP('',(#68407,#68408,#68409)); #26166=EDGE_LOOP('',(#68410,#68411,#68412,#68413,#68414)); #26167=EDGE_LOOP('',(#68415,#68416,#68417,#68418)); #26168=EDGE_LOOP('',(#68419,#68420,#68421,#68422)); #26169=EDGE_LOOP('',(#68423,#68424,#68425,#68426)); #26170=EDGE_LOOP('',(#68427,#68428,#68429,#68430)); #26171=EDGE_LOOP('',(#68431,#68432,#68433,#68434)); #26172=EDGE_LOOP('',(#68435,#68436,#68437,#68438)); #26173=EDGE_LOOP('',(#68439,#68440,#68441)); #26174=EDGE_LOOP('',(#68442,#68443,#68444,#68445)); #26175=EDGE_LOOP('',(#68446,#68447,#68448,#68449)); #26176=EDGE_LOOP('',(#68450,#68451,#68452)); #26177=EDGE_LOOP('',(#68453,#68454,#68455,#68456)); #26178=EDGE_LOOP('',(#68457,#68458,#68459)); #26179=EDGE_LOOP('',(#68460,#68461,#68462)); #26180=EDGE_LOOP('',(#68463,#68464,#68465)); #26181=EDGE_LOOP('',(#68466,#68467,#68468,#68469)); #26182=EDGE_LOOP('',(#68470,#68471,#68472)); #26183=EDGE_LOOP('',(#68473,#68474,#68475,#68476)); #26184=EDGE_LOOP('',(#68477,#68478,#68479,#68480,#68481,#68482)); #26185=EDGE_LOOP('',(#68483,#68484,#68485,#68486,#68487,#68488,#68489,#68490)); #26186=EDGE_LOOP('',(#68491,#68492,#68493,#68494,#68495,#68496,#68497,#68498)); #26187=EDGE_LOOP('',(#68499,#68500,#68501,#68502)); #26188=EDGE_LOOP('',(#68503,#68504,#68505,#68506)); #26189=EDGE_LOOP('',(#68507,#68508,#68509,#68510)); #26190=EDGE_LOOP('',(#68511,#68512,#68513,#68514)); #26191=EDGE_LOOP('',(#68515,#68516,#68517,#68518)); #26192=EDGE_LOOP('',(#68519,#68520,#68521,#68522)); #26193=EDGE_LOOP('',(#68523,#68524,#68525,#68526)); #26194=EDGE_LOOP('',(#68527,#68528,#68529,#68530)); #26195=EDGE_LOOP('',(#68531,#68532,#68533)); #26196=EDGE_LOOP('',(#68534,#68535,#68536)); #26197=EDGE_LOOP('',(#68537,#68538,#68539)); #26198=EDGE_LOOP('',(#68540,#68541,#68542,#68543)); #26199=EDGE_LOOP('',(#68544,#68545,#68546)); #26200=EDGE_LOOP('',(#68547,#68548,#68549,#68550)); #26201=EDGE_LOOP('',(#68551,#68552,#68553)); #26202=EDGE_LOOP('',(#68554,#68555,#68556,#68557,#68558)); #26203=EDGE_LOOP('',(#68559,#68560,#68561,#68562)); #26204=EDGE_LOOP('',(#68563,#68564,#68565,#68566)); #26205=EDGE_LOOP('',(#68567,#68568,#68569,#68570)); #26206=EDGE_LOOP('',(#68571,#68572,#68573,#68574,#68575,#68576,#68577,#68578)); #26207=EDGE_LOOP('',(#68579,#68580,#68581,#68582,#68583)); #26208=EDGE_LOOP('',(#68584,#68585,#68586,#68587)); #26209=EDGE_LOOP('',(#68588,#68589,#68590,#68591)); #26210=EDGE_LOOP('',(#68592,#68593,#68594,#68595)); #26211=EDGE_LOOP('',(#68596,#68597,#68598,#68599)); #26212=EDGE_LOOP('',(#68600,#68601,#68602,#68603)); #26213=EDGE_LOOP('',(#68604,#68605,#68606,#68607)); #26214=EDGE_LOOP('',(#68608,#68609,#68610,#68611)); #26215=EDGE_LOOP('',(#68612,#68613,#68614)); #26216=EDGE_LOOP('',(#68615,#68616,#68617,#68618)); #26217=EDGE_LOOP('',(#68619,#68620,#68621)); #26218=EDGE_LOOP('',(#68622,#68623,#68624,#68625)); #26219=EDGE_LOOP('',(#68626,#68627,#68628)); #26220=EDGE_LOOP('',(#68629,#68630,#68631)); #26221=EDGE_LOOP('',(#68632,#68633,#68634)); #26222=EDGE_LOOP('',(#68635,#68636,#68637,#68638)); #26223=EDGE_LOOP('',(#68639,#68640,#68641,#68642,#68643,#68644)); #26224=EDGE_LOOP('',(#68645,#68646,#68647,#68648)); #26225=EDGE_LOOP('',(#68649,#68650,#68651,#68652)); #26226=EDGE_LOOP('',(#68653,#68654,#68655,#68656)); #26227=EDGE_LOOP('',(#68657,#68658,#68659,#68660)); #26228=EDGE_LOOP('',(#68661,#68662,#68663,#68664)); #26229=EDGE_LOOP('',(#68665,#68666,#68667)); #26230=EDGE_LOOP('',(#68668,#68669,#68670)); #26231=EDGE_LOOP('',(#68671,#68672,#68673)); #26232=EDGE_LOOP('',(#68674,#68675,#68676,#68677)); #26233=EDGE_LOOP('',(#68678,#68679,#68680,#68681)); #26234=EDGE_LOOP('',(#68682,#68683,#68684,#68685)); #26235=EDGE_LOOP('',(#68686,#68687,#68688,#68689)); #26236=EDGE_LOOP('',(#68690,#68691,#68692)); #26237=EDGE_LOOP('',(#68693,#68694,#68695)); #26238=EDGE_LOOP('',(#68696,#68697,#68698)); #26239=EDGE_LOOP('',(#68699,#68700,#68701,#68702)); #26240=EDGE_LOOP('',(#68703,#68704,#68705,#68706)); #26241=EDGE_LOOP('',(#68707,#68708,#68709)); #26242=EDGE_LOOP('',(#68710,#68711,#68712,#68713)); #26243=EDGE_LOOP('',(#68714,#68715,#68716,#68717)); #26244=EDGE_LOOP('',(#68718,#68719,#68720,#68721)); #26245=EDGE_LOOP('',(#68722,#68723,#68724,#68725)); #26246=EDGE_LOOP('',(#68726,#68727,#68728,#68729)); #26247=EDGE_LOOP('',(#68730,#68731,#68732,#68733)); #26248=EDGE_LOOP('',(#68734,#68735,#68736,#68737)); #26249=EDGE_LOOP('',(#68738,#68739,#68740,#68741)); #26250=EDGE_LOOP('',(#68742,#68743,#68744,#68745)); #26251=EDGE_LOOP('',(#68746,#68747,#68748)); #26252=EDGE_LOOP('',(#68749,#68750,#68751)); #26253=EDGE_LOOP('',(#68752,#68753,#68754)); #26254=EDGE_LOOP('',(#68755,#68756,#68757,#68758)); #26255=EDGE_LOOP('',(#68759,#68760,#68761,#68762)); #26256=EDGE_LOOP('',(#68763,#68764,#68765)); #26257=EDGE_LOOP('',(#68766,#68767,#68768,#68769)); #26258=EDGE_LOOP('',(#68770,#68771,#68772,#68773)); #26259=EDGE_LOOP('',(#68774,#68775,#68776,#68777)); #26260=EDGE_LOOP('',(#68778,#68779,#68780,#68781,#68782)); #26261=EDGE_LOOP('',(#68783,#68784,#68785,#68786,#68787,#68788,#68789,#68790, #68791,#68792)); #26262=EDGE_LOOP('',(#68793,#68794,#68795,#68796)); #26263=EDGE_LOOP('',(#68797,#68798,#68799,#68800)); #26264=EDGE_LOOP('',(#68801,#68802,#68803,#68804)); #26265=EDGE_LOOP('',(#68805,#68806,#68807,#68808)); #26266=EDGE_LOOP('',(#68809,#68810,#68811,#68812)); #26267=EDGE_LOOP('',(#68813,#68814,#68815)); #26268=EDGE_LOOP('',(#68816,#68817,#68818,#68819)); #26269=EDGE_LOOP('',(#68820,#68821,#68822)); #26270=EDGE_LOOP('',(#68823,#68824,#68825)); #26271=EDGE_LOOP('',(#68826,#68827,#68828,#68829)); #26272=EDGE_LOOP('',(#68830,#68831,#68832,#68833)); #26273=EDGE_LOOP('',(#68834,#68835,#68836)); #26274=EDGE_LOOP('',(#68837,#68838,#68839,#68840)); #26275=EDGE_LOOP('',(#68841,#68842,#68843,#68844)); #26276=EDGE_LOOP('',(#68845,#68846,#68847,#68848)); #26277=EDGE_LOOP('',(#68849,#68850,#68851,#68852,#68853)); #26278=EDGE_LOOP('',(#68854,#68855,#68856,#68857,#68858,#68859,#68860,#68861, #68862,#68863)); #26279=EDGE_LOOP('',(#68864,#68865,#68866,#68867,#68868)); #26280=EDGE_LOOP('',(#68869,#68870,#68871,#68872,#68873)); #26281=EDGE_LOOP('',(#68874,#68875,#68876,#68877)); #26282=EDGE_LOOP('',(#68878,#68879,#68880,#68881,#68882)); #26283=EDGE_LOOP('',(#68883,#68884,#68885,#68886,#68887)); #26284=EDGE_LOOP('',(#68888,#68889,#68890,#68891)); #26285=EDGE_LOOP('',(#68892,#68893,#68894,#68895,#68896)); #26286=EDGE_LOOP('',(#68897,#68898,#68899,#68900)); #26287=EDGE_LOOP('',(#68901,#68902,#68903,#68904)); #26288=EDGE_LOOP('',(#68905,#68906,#68907,#68908,#68909)); #26289=EDGE_LOOP('',(#68910,#68911,#68912,#68913,#68914)); #26290=EDGE_LOOP('',(#68915,#68916,#68917,#68918,#68919)); #26291=EDGE_LOOP('',(#68920,#68921,#68922,#68923,#68924)); #26292=EDGE_LOOP('',(#68925,#68926,#68927,#68928,#68929)); #26293=EDGE_LOOP('',(#68930,#68931,#68932,#68933,#68934)); #26294=EDGE_LOOP('',(#68935,#68936,#68937,#68938,#68939,#68940,#68941,#68942, #68943,#68944,#68945,#68946)); #26295=EDGE_LOOP('',(#68947,#68948,#68949,#68950,#68951,#68952)); #26296=EDGE_LOOP('',(#68953,#68954,#68955,#68956,#68957,#68958)); #26297=EDGE_LOOP('',(#68959,#68960,#68961,#68962,#68963,#68964,#68965,#68966, #68967,#68968,#68969,#68970)); #26298=EDGE_LOOP('',(#68971,#68972,#68973,#68974,#68975,#68976,#68977,#68978, #68979,#68980,#68981,#68982)); #26299=EDGE_LOOP('',(#68983,#68984,#68985,#68986)); #26300=EDGE_LOOP('',(#68987,#68988,#68989,#68990)); #26301=EDGE_LOOP('',(#68991,#68992,#68993,#68994)); #26302=EDGE_LOOP('',(#68995,#68996,#68997,#68998)); #26303=EDGE_LOOP('',(#68999,#69000,#69001,#69002,#69003)); #26304=EDGE_LOOP('',(#69004,#69005,#69006,#69007,#69008)); #26305=EDGE_LOOP('',(#69009,#69010,#69011,#69012,#69013,#69014,#69015)); #26306=EDGE_LOOP('',(#69016,#69017,#69018,#69019)); #26307=EDGE_LOOP('',(#69020,#69021,#69022,#69023)); #26308=EDGE_LOOP('',(#69024,#69025,#69026,#69027,#69028,#69029,#69030,#69031, #69032,#69033,#69034,#69035)); #26309=EDGE_LOOP('',(#69036,#69037,#69038,#69039)); #26310=EDGE_LOOP('',(#69040,#69041,#69042,#69043,#69044)); #26311=EDGE_LOOP('',(#69045,#69046,#69047,#69048,#69049,#69050,#69051)); #26312=EDGE_LOOP('',(#69052,#69053,#69054,#69055,#69056)); #26313=EDGE_LOOP('',(#69057,#69058,#69059,#69060,#69061)); #26314=EDGE_LOOP('',(#69062,#69063,#69064,#69065,#69066,#69067,#69068)); #26315=EDGE_LOOP('',(#69069,#69070,#69071,#69072)); #26316=EDGE_LOOP('',(#69073,#69074,#69075,#69076)); #26317=EDGE_LOOP('',(#69077,#69078,#69079,#69080)); #26318=EDGE_LOOP('',(#69081,#69082,#69083,#69084,#69085,#69086,#69087)); #26319=EDGE_LOOP('',(#69088,#69089,#69090,#69091)); #26320=EDGE_LOOP('',(#69092,#69093,#69094,#69095,#69096,#69097,#69098,#69099)); #26321=EDGE_LOOP('',(#69100,#69101,#69102,#69103,#69104,#69105,#69106)); #26322=EDGE_LOOP('',(#69107,#69108,#69109,#69110,#69111)); #26323=EDGE_LOOP('',(#69112,#69113,#69114,#69115,#69116)); #26324=EDGE_LOOP('',(#69117,#69118,#69119,#69120,#69121)); #26325=EDGE_LOOP('',(#69122,#69123,#69124,#69125,#69126)); #26326=EDGE_LOOP('',(#69127,#69128,#69129,#69130,#69131)); #26327=EDGE_LOOP('',(#69132,#69133,#69134)); #26328=EDGE_LOOP('',(#69135,#69136,#69137,#69138)); #26329=EDGE_LOOP('',(#69139,#69140,#69141,#69142,#69143,#69144,#69145)); #26330=EDGE_LOOP('',(#69146,#69147,#69148,#69149,#69150)); #26331=EDGE_LOOP('',(#69151,#69152,#69153,#69154)); #26332=EDGE_LOOP('',(#69155,#69156,#69157,#69158,#69159)); #26333=EDGE_LOOP('',(#69160,#69161,#69162,#69163)); #26334=EDGE_LOOP('',(#69164,#69165,#69166,#69167)); #26335=EDGE_LOOP('',(#69168,#69169,#69170,#69171,#69172)); #26336=EDGE_LOOP('',(#69173,#69174,#69175,#69176,#69177,#69178,#69179,#69180, #69181,#69182)); #26337=EDGE_LOOP('',(#69183,#69184,#69185,#69186,#69187)); #26338=EDGE_LOOP('',(#69188,#69189,#69190,#69191,#69192)); #26339=EDGE_LOOP('',(#69193,#69194,#69195,#69196,#69197,#69198,#69199,#69200)); #26340=EDGE_LOOP('',(#69201,#69202,#69203,#69204,#69205)); #26341=EDGE_LOOP('',(#69206,#69207,#69208,#69209)); #26342=EDGE_LOOP('',(#69210,#69211,#69212,#69213)); #26343=EDGE_LOOP('',(#69214,#69215,#69216,#69217)); #26344=EDGE_LOOP('',(#69218,#69219,#69220,#69221)); #26345=EDGE_LOOP('',(#69222,#69223,#69224)); #26346=EDGE_LOOP('',(#69225,#69226,#69227,#69228)); #26347=EDGE_LOOP('',(#69229,#69230,#69231,#69232)); #26348=EDGE_LOOP('',(#69233,#69234,#69235,#69236,#69237,#69238,#69239,#69240, #69241,#69242,#69243,#69244,#69245,#69246,#69247,#69248,#69249,#69250,#69251, #69252)); #26349=EDGE_LOOP('',(#69253,#69254,#69255,#69256,#69257,#69258,#69259,#69260)); #26350=EDGE_LOOP('',(#69261,#69262,#69263,#69264)); #26351=EDGE_LOOP('',(#69265,#69266,#69267,#69268)); #26352=EDGE_LOOP('',(#69269,#69270,#69271,#69272)); #26353=EDGE_LOOP('',(#69273,#69274,#69275,#69276)); #26354=EDGE_LOOP('',(#69277,#69278,#69279,#69280,#69281,#69282,#69283,#69284, #69285,#69286,#69287,#69288,#69289,#69290,#69291,#69292,#69293,#69294,#69295, #69296)); #26355=EDGE_LOOP('',(#69297,#69298,#69299,#69300)); #26356=EDGE_LOOP('',(#69301,#69302,#69303,#69304)); #26357=EDGE_LOOP('',(#69305,#69306,#69307,#69308)); #26358=EDGE_LOOP('',(#69309,#69310,#69311,#69312)); #26359=EDGE_LOOP('',(#69313,#69314,#69315,#69316)); #26360=EDGE_LOOP('',(#69317,#69318,#69319,#69320,#69321,#69322,#69323)); #26361=EDGE_LOOP('',(#69324,#69325,#69326,#69327)); #26362=EDGE_LOOP('',(#69328,#69329,#69330)); #26363=EDGE_LOOP('',(#69331,#69332,#69333,#69334,#69335,#69336,#69337)); #26364=EDGE_LOOP('',(#69338,#69339,#69340,#69341,#69342,#69343,#69344,#69345, #69346,#69347,#69348,#69349,#69350,#69351,#69352,#69353,#69354,#69355,#69356, #69357,#69358,#69359)); #26365=EDGE_LOOP('',(#69360,#69361,#69362,#69363)); #26366=EDGE_LOOP('',(#69364,#69365,#69366,#69367)); #26367=EDGE_LOOP('',(#69368,#69369,#69370,#69371)); #26368=EDGE_LOOP('',(#69372,#69373,#69374,#69375)); #26369=EDGE_LOOP('',(#69376,#69377,#69378,#69379,#69380,#69381,#69382)); #26370=EDGE_LOOP('',(#69383,#69384,#69385,#69386,#69387)); #26371=EDGE_LOOP('',(#69388,#69389,#69390,#69391)); #26372=EDGE_LOOP('',(#69392,#69393,#69394,#69395)); #26373=EDGE_LOOP('',(#69396,#69397,#69398,#69399)); #26374=EDGE_LOOP('',(#69400,#69401,#69402,#69403)); #26375=EDGE_LOOP('',(#69404,#69405,#69406,#69407)); #26376=EDGE_LOOP('',(#69408,#69409,#69410,#69411)); #26377=EDGE_LOOP('',(#69412,#69413,#69414,#69415)); #26378=EDGE_LOOP('',(#69416,#69417,#69418,#69419)); #26379=EDGE_LOOP('',(#69420,#69421,#69422,#69423)); #26380=EDGE_LOOP('',(#69424,#69425,#69426,#69427)); #26381=EDGE_LOOP('',(#69428,#69429,#69430,#69431)); #26382=EDGE_LOOP('',(#69432,#69433,#69434,#69435)); #26383=EDGE_LOOP('',(#69436,#69437,#69438,#69439)); #26384=EDGE_LOOP('',(#69440,#69441,#69442,#69443)); #26385=EDGE_LOOP('',(#69444,#69445,#69446,#69447)); #26386=EDGE_LOOP('',(#69448,#69449,#69450,#69451)); #26387=EDGE_LOOP('',(#69452,#69453,#69454,#69455)); #26388=EDGE_LOOP('',(#69456,#69457,#69458,#69459)); #26389=EDGE_LOOP('',(#69460,#69461,#69462,#69463)); #26390=EDGE_LOOP('',(#69464,#69465,#69466,#69467)); #26391=EDGE_LOOP('',(#69468,#69469,#69470,#69471)); #26392=EDGE_LOOP('',(#69472,#69473,#69474,#69475,#69476)); #26393=EDGE_LOOP('',(#69477,#69478,#69479,#69480,#69481)); #26394=EDGE_LOOP('',(#69482,#69483,#69484,#69485,#69486,#69487,#69488,#69489)); #26395=EDGE_LOOP('',(#69490,#69491,#69492,#69493,#69494)); #26396=EDGE_LOOP('',(#69495,#69496,#69497,#69498,#69499)); #26397=EDGE_LOOP('',(#69500,#69501,#69502,#69503)); #26398=EDGE_LOOP('',(#69504,#69505,#69506,#69507)); #26399=EDGE_LOOP('',(#69508,#69509,#69510,#69511,#69512,#69513)); #26400=EDGE_LOOP('',(#69514)); #26401=EDGE_LOOP('',(#69515,#69516,#69517,#69518,#69519)); #26402=EDGE_LOOP('',(#69520,#69521,#69522,#69523)); #26403=EDGE_LOOP('',(#69524,#69525,#69526,#69527,#69528,#69529)); #26404=EDGE_LOOP('',(#69530)); #26405=EDGE_LOOP('',(#69531,#69532,#69533,#69534,#69535)); #26406=EDGE_LOOP('',(#69536,#69537,#69538,#69539)); #26407=EDGE_LOOP('',(#69540,#69541,#69542,#69543,#69544)); #26408=EDGE_LOOP('',(#69545,#69546,#69547,#69548,#69549,#69550,#69551)); #26409=EDGE_LOOP('',(#69552,#69553,#69554,#69555,#69556)); #26410=EDGE_LOOP('',(#69557,#69558,#69559,#69560)); #26411=EDGE_LOOP('',(#69561,#69562,#69563,#69564,#69565,#69566,#69567)); #26412=EDGE_LOOP('',(#69568,#69569,#69570,#69571)); #26413=EDGE_LOOP('',(#69572,#69573,#69574,#69575)); #26414=EDGE_LOOP('',(#69576,#69577,#69578,#69579)); #26415=EDGE_LOOP('',(#69580,#69581,#69582,#69583)); #26416=EDGE_LOOP('',(#69584,#69585,#69586,#69587)); #26417=EDGE_LOOP('',(#69588,#69589,#69590,#69591)); #26418=EDGE_LOOP('',(#69592,#69593,#69594,#69595)); #26419=EDGE_LOOP('',(#69596,#69597,#69598,#69599,#69600,#69601)); #26420=EDGE_LOOP('',(#69602,#69603,#69604,#69605,#69606,#69607,#69608)); #26421=EDGE_LOOP('',(#69609,#69610,#69611,#69612,#69613)); #26422=EDGE_LOOP('',(#69614,#69615,#69616,#69617)); #26423=EDGE_LOOP('',(#69618,#69619,#69620,#69621)); #26424=EDGE_LOOP('',(#69622,#69623,#69624,#69625)); #26425=EDGE_LOOP('',(#69626,#69627,#69628,#69629)); #26426=EDGE_LOOP('',(#69630,#69631,#69632,#69633)); #26427=EDGE_LOOP('',(#69634,#69635,#69636,#69637)); #26428=EDGE_LOOP('',(#69638,#69639,#69640,#69641)); #26429=EDGE_LOOP('',(#69642,#69643,#69644,#69645,#69646,#69647,#69648)); #26430=EDGE_LOOP('',(#69649,#69650,#69651,#69652,#69653,#69654,#69655,#69656)); #26431=EDGE_LOOP('',(#69657,#69658,#69659,#69660,#69661)); #26432=EDGE_LOOP('',(#69662,#69663,#69664,#69665)); #26433=EDGE_LOOP('',(#69666,#69667,#69668,#69669)); #26434=EDGE_LOOP('',(#69670,#69671,#69672,#69673)); #26435=EDGE_LOOP('',(#69674,#69675,#69676,#69677)); #26436=EDGE_LOOP('',(#69678,#69679,#69680,#69681)); #26437=EDGE_LOOP('',(#69682,#69683,#69684,#69685)); #26438=EDGE_LOOP('',(#69686,#69687,#69688,#69689)); #26439=EDGE_LOOP('',(#69690,#69691,#69692,#69693)); #26440=EDGE_LOOP('',(#69694,#69695,#69696,#69697)); #26441=EDGE_LOOP('',(#69698,#69699,#69700,#69701)); #26442=EDGE_LOOP('',(#69702,#69703,#69704,#69705)); #26443=EDGE_LOOP('',(#69706,#69707,#69708,#69709)); #26444=EDGE_LOOP('',(#69710,#69711,#69712,#69713)); #26445=EDGE_LOOP('',(#69714,#69715,#69716,#69717)); #26446=EDGE_LOOP('',(#69718,#69719,#69720,#69721)); #26447=EDGE_LOOP('',(#69722,#69723,#69724,#69725)); #26448=EDGE_LOOP('',(#69726,#69727,#69728,#69729)); #26449=EDGE_LOOP('',(#69730,#69731,#69732,#69733,#69734,#69735,#69736)); #26450=EDGE_LOOP('',(#69737,#69738,#69739,#69740)); #26451=EDGE_LOOP('',(#69741,#69742,#69743,#69744)); #26452=EDGE_LOOP('',(#69745,#69746,#69747,#69748,#69749,#69750,#69751,#69752, #69753,#69754,#69755,#69756,#69757,#69758,#69759,#69760,#69761,#69762)); #26453=EDGE_LOOP('',(#69763,#69764,#69765)); #26454=EDGE_LOOP('',(#69766,#69767,#69768,#69769)); #26455=EDGE_LOOP('',(#69770,#69771,#69772,#69773)); #26456=EDGE_LOOP('',(#69774,#69775,#69776,#69777)); #26457=EDGE_LOOP('',(#69778,#69779,#69780,#69781,#69782,#69783,#69784,#69785)); #26458=EDGE_LOOP('',(#69786,#69787,#69788,#69789)); #26459=EDGE_LOOP('',(#69790,#69791,#69792,#69793)); #26460=EDGE_LOOP('',(#69794,#69795,#69796,#69797)); #26461=EDGE_LOOP('',(#69798,#69799,#69800,#69801)); #26462=EDGE_LOOP('',(#69802,#69803,#69804)); #26463=EDGE_LOOP('',(#69805,#69806,#69807,#69808)); #26464=EDGE_LOOP('',(#69809,#69810,#69811,#69812,#69813)); #26465=EDGE_LOOP('',(#69814,#69815,#69816,#69817,#69818)); #26466=EDGE_LOOP('',(#69819,#69820,#69821,#69822)); #26467=EDGE_LOOP('',(#69823,#69824,#69825,#69826,#69827,#69828)); #26468=EDGE_LOOP('',(#69829,#69830,#69831,#69832,#69833)); #26469=EDGE_LOOP('',(#69834,#69835,#69836,#69837,#69838)); #26470=EDGE_LOOP('',(#69839,#69840,#69841,#69842)); #26471=EDGE_LOOP('',(#69843,#69844,#69845)); #26472=EDGE_LOOP('',(#69846,#69847,#69848,#69849)); #26473=EDGE_LOOP('',(#69850,#69851,#69852,#69853)); #26474=EDGE_LOOP('',(#69854,#69855,#69856,#69857)); #26475=EDGE_LOOP('',(#69858,#69859,#69860,#69861)); #26476=EDGE_LOOP('',(#69862,#69863,#69864,#69865)); #26477=EDGE_LOOP('',(#69866,#69867,#69868,#69869)); #26478=EDGE_LOOP('',(#69870,#69871,#69872,#69873)); #26479=EDGE_LOOP('',(#69874,#69875,#69876,#69877)); #26480=EDGE_LOOP('',(#69878,#69879,#69880,#69881)); #26481=EDGE_LOOP('',(#69882,#69883,#69884,#69885)); #26482=EDGE_LOOP('',(#69886,#69887,#69888,#69889)); #26483=EDGE_LOOP('',(#69890,#69891,#69892,#69893)); #26484=EDGE_LOOP('',(#69894,#69895,#69896,#69897)); #26485=EDGE_LOOP('',(#69898,#69899,#69900,#69901)); #26486=EDGE_LOOP('',(#69902,#69903,#69904,#69905)); #26487=EDGE_LOOP('',(#69906,#69907,#69908,#69909)); #26488=EDGE_LOOP('',(#69910,#69911,#69912,#69913)); #26489=EDGE_LOOP('',(#69914,#69915,#69916,#69917)); #26490=EDGE_LOOP('',(#69918,#69919,#69920)); #26491=EDGE_LOOP('',(#69921,#69922,#69923,#69924)); #26492=EDGE_LOOP('',(#69925,#69926,#69927,#69928,#69929,#69930,#69931,#69932, #69933,#69934,#69935,#69936,#69937,#69938,#69939,#69940,#69941,#69942,#69943, #69944,#69945,#69946,#69947,#69948,#69949,#69950)); #26493=EDGE_LOOP('',(#69951,#69952,#69953,#69954,#69955)); #26494=EDGE_LOOP('',(#69956,#69957,#69958,#69959)); #26495=EDGE_LOOP('',(#69960,#69961,#69962)); #26496=EDGE_LOOP('',(#69963,#69964,#69965,#69966,#69967,#69968)); #26497=EDGE_LOOP('',(#69969,#69970,#69971,#69972,#69973)); #26498=EDGE_LOOP('',(#69974,#69975,#69976)); #26499=EDGE_LOOP('',(#69977,#69978,#69979,#69980)); #26500=EDGE_LOOP('',(#69981,#69982,#69983,#69984,#69985)); #26501=EDGE_LOOP('',(#69986,#69987,#69988)); #26502=EDGE_LOOP('',(#69989,#69990,#69991)); #26503=EDGE_LOOP('',(#69992,#69993,#69994,#69995)); #26504=EDGE_LOOP('',(#69996,#69997,#69998)); #26505=EDGE_LOOP('',(#69999,#70000,#70001)); #26506=EDGE_LOOP('',(#70002,#70003,#70004,#70005)); #26507=EDGE_LOOP('',(#70006,#70007,#70008,#70009)); #26508=EDGE_LOOP('',(#70010,#70011,#70012)); #26509=EDGE_LOOP('',(#70013,#70014,#70015,#70016)); #26510=EDGE_LOOP('',(#70017,#70018,#70019,#70020)); #26511=EDGE_LOOP('',(#70021,#70022,#70023,#70024,#70025,#70026)); #26512=EDGE_LOOP('',(#70027,#70028,#70029,#70030)); #26513=EDGE_LOOP('',(#70031,#70032,#70033,#70034)); #26514=EDGE_LOOP('',(#70035,#70036,#70037,#70038)); #26515=EDGE_LOOP('',(#70039,#70040,#70041,#70042)); #26516=EDGE_LOOP('',(#70043,#70044,#70045,#70046)); #26517=EDGE_LOOP('',(#70047)); #26518=EDGE_LOOP('',(#70048,#70049,#70050,#70051,#70052,#70053,#70054)); #26519=EDGE_LOOP('',(#70055,#70056,#70057,#70058,#70059,#70060,#70061)); #26520=EDGE_LOOP('',(#70062,#70063,#70064,#70065,#70066,#70067)); #26521=EDGE_LOOP('',(#70068,#70069,#70070,#70071)); #26522=EDGE_LOOP('',(#70072,#70073,#70074,#70075)); #26523=EDGE_LOOP('',(#70076,#70077,#70078,#70079)); #26524=EDGE_LOOP('',(#70080,#70081,#70082,#70083)); #26525=EDGE_LOOP('',(#70084,#70085,#70086,#70087)); #26526=EDGE_LOOP('',(#70088,#70089,#70090,#70091)); #26527=EDGE_LOOP('',(#70092,#70093,#70094,#70095)); #26528=EDGE_LOOP('',(#70096,#70097,#70098,#70099)); #26529=EDGE_LOOP('',(#70100,#70101,#70102,#70103)); #26530=EDGE_LOOP('',(#70104,#70105,#70106,#70107)); #26531=EDGE_LOOP('',(#70108,#70109,#70110,#70111)); #26532=EDGE_LOOP('',(#70112,#70113,#70114,#70115)); #26533=EDGE_LOOP('',(#70116,#70117,#70118,#70119)); #26534=EDGE_LOOP('',(#70120,#70121,#70122,#70123)); #26535=EDGE_LOOP('',(#70124,#70125,#70126)); #26536=EDGE_LOOP('',(#70127,#70128,#70129,#70130)); #26537=EDGE_LOOP('',(#70131,#70132,#70133,#70134)); #26538=EDGE_LOOP('',(#70135,#70136,#70137,#70138)); #26539=EDGE_LOOP('',(#70139,#70140,#70141,#70142)); #26540=EDGE_LOOP('',(#70143,#70144,#70145,#70146)); #26541=EDGE_LOOP('',(#70147,#70148,#70149,#70150)); #26542=EDGE_LOOP('',(#70151,#70152,#70153)); #26543=EDGE_LOOP('',(#70154,#70155,#70156)); #26544=EDGE_LOOP('',(#70157,#70158,#70159)); #26545=EDGE_LOOP('',(#70160,#70161,#70162)); #26546=EDGE_LOOP('',(#70163,#70164,#70165,#70166)); #26547=EDGE_LOOP('',(#70167,#70168,#70169,#70170)); #26548=EDGE_LOOP('',(#70171,#70172,#70173)); #26549=EDGE_LOOP('',(#70174,#70175,#70176,#70177)); #26550=EDGE_LOOP('',(#70178,#70179,#70180,#70181)); #26551=EDGE_LOOP('',(#70182,#70183,#70184)); #26552=EDGE_LOOP('',(#70185,#70186,#70187,#70188,#70189)); #26553=EDGE_LOOP('',(#70190,#70191,#70192,#70193)); #26554=EDGE_LOOP('',(#70194,#70195,#70196,#70197)); #26555=EDGE_LOOP('',(#70198,#70199,#70200,#70201)); #26556=EDGE_LOOP('',(#70202,#70203,#70204,#70205)); #26557=EDGE_LOOP('',(#70206,#70207,#70208,#70209)); #26558=EDGE_LOOP('',(#70210,#70211,#70212,#70213)); #26559=EDGE_LOOP('',(#70214,#70215,#70216,#70217)); #26560=EDGE_LOOP('',(#70218,#70219,#70220,#70221)); #26561=EDGE_LOOP('',(#70222,#70223,#70224,#70225)); #26562=EDGE_LOOP('',(#70226,#70227,#70228,#70229,#70230,#70231,#70232,#70233, #70234,#70235,#70236,#70237,#70238,#70239,#70240,#70241)); #26563=EDGE_LOOP('',(#70242,#70243,#70244,#70245,#70246,#70247,#70248,#70249)); #26564=EDGE_LOOP('',(#70250,#70251,#70252,#70253)); #26565=EDGE_LOOP('',(#70254,#70255,#70256,#70257)); #26566=EDGE_LOOP('',(#70258,#70259,#70260,#70261)); #26567=EDGE_LOOP('',(#70262,#70263,#70264,#70265)); #26568=EDGE_LOOP('',(#70266,#70267,#70268,#70269)); #26569=EDGE_LOOP('',(#70270,#70271,#70272,#70273)); #26570=EDGE_LOOP('',(#70274,#70275,#70276,#70277)); #26571=EDGE_LOOP('',(#70278,#70279,#70280,#70281)); #26572=EDGE_LOOP('',(#70282,#70283,#70284,#70285)); #26573=EDGE_LOOP('',(#70286,#70287,#70288,#70289,#70290,#70291,#70292)); #26574=EDGE_LOOP('',(#70293,#70294,#70295)); #26575=EDGE_LOOP('',(#70296,#70297,#70298,#70299,#70300)); #26576=EDGE_LOOP('',(#70301,#70302,#70303,#70304)); #26577=EDGE_LOOP('',(#70305,#70306,#70307,#70308,#70309,#70310,#70311)); #26578=EDGE_LOOP('',(#70312,#70313,#70314)); #26579=EDGE_LOOP('',(#70315,#70316,#70317,#70318)); #26580=EDGE_LOOP('',(#70319,#70320,#70321,#70322)); #26581=EDGE_LOOP('',(#70323,#70324,#70325,#70326,#70327)); #26582=EDGE_LOOP('',(#70328,#70329,#70330,#70331,#70332,#70333,#70334)); #26583=EDGE_LOOP('',(#70335,#70336,#70337,#70338)); #26584=EDGE_LOOP('',(#70339,#70340,#70341,#70342)); #26585=EDGE_LOOP('',(#70343,#70344,#70345)); #26586=EDGE_LOOP('',(#70346,#70347,#70348,#70349,#70350)); #26587=EDGE_LOOP('',(#70351,#70352,#70353,#70354)); #26588=EDGE_LOOP('',(#70355,#70356,#70357,#70358)); #26589=EDGE_LOOP('',(#70359,#70360,#70361,#70362)); #26590=EDGE_LOOP('',(#70363,#70364,#70365,#70366)); #26591=EDGE_LOOP('',(#70367,#70368,#70369,#70370)); #26592=EDGE_LOOP('',(#70371,#70372,#70373,#70374)); #26593=EDGE_LOOP('',(#70375,#70376,#70377,#70378)); #26594=EDGE_LOOP('',(#70379,#70380,#70381,#70382)); #26595=EDGE_LOOP('',(#70383,#70384,#70385,#70386)); #26596=EDGE_LOOP('',(#70387,#70388,#70389,#70390)); #26597=EDGE_LOOP('',(#70391,#70392,#70393)); #26598=EDGE_LOOP('',(#70394,#70395,#70396,#70397)); #26599=EDGE_LOOP('',(#70398,#70399,#70400,#70401)); #26600=EDGE_LOOP('',(#70402,#70403,#70404,#70405)); #26601=EDGE_LOOP('',(#70406,#70407,#70408,#70409)); #26602=EDGE_LOOP('',(#70410,#70411,#70412,#70413)); #26603=EDGE_LOOP('',(#70414,#70415,#70416)); #26604=EDGE_LOOP('',(#70417,#70418,#70419,#70420)); #26605=EDGE_LOOP('',(#70421,#70422,#70423,#70424)); #26606=EDGE_LOOP('',(#70425,#70426,#70427,#70428)); #26607=EDGE_LOOP('',(#70429,#70430,#70431,#70432)); #26608=EDGE_LOOP('',(#70433,#70434,#70435,#70436)); #26609=EDGE_LOOP('',(#70437,#70438,#70439)); #26610=EDGE_LOOP('',(#70440,#70441,#70442)); #26611=EDGE_LOOP('',(#70443,#70444,#70445,#70446,#70447,#70448,#70449,#70450, #70451,#70452,#70453,#70454,#70455,#70456,#70457,#70458,#70459,#70460,#70461, #70462)); #26612=EDGE_LOOP('',(#70463,#70464,#70465,#70466)); #26613=EDGE_LOOP('',(#70467,#70468,#70469)); #26614=EDGE_LOOP('',(#70470,#70471,#70472,#70473,#70474,#70475,#70476)); #26615=EDGE_LOOP('',(#70477,#70478,#70479,#70480)); #26616=EDGE_LOOP('',(#70481,#70482,#70483)); #26617=EDGE_LOOP('',(#70484,#70485,#70486,#70487)); #26618=EDGE_LOOP('',(#70488,#70489,#70490,#70491,#70492,#70493,#70494)); #26619=EDGE_LOOP('',(#70495,#70496,#70497,#70498,#70499,#70500,#70501,#70502, #70503,#70504,#70505,#70506,#70507,#70508,#70509,#70510,#70511,#70512,#70513, #70514,#70515,#70516,#70517,#70518,#70519,#70520,#70521,#70522,#70523,#70524, #70525,#70526,#70527,#70528,#70529,#70530,#70531,#70532,#70533,#70534,#70535, #70536,#70537,#70538,#70539,#70540,#70541,#70542)); #26620=EDGE_LOOP('',(#70543,#70544,#70545,#70546,#70547,#70548)); #26621=EDGE_LOOP('',(#70549,#70550,#70551,#70552)); #26622=EDGE_LOOP('',(#70553,#70554,#70555,#70556,#70557,#70558)); #26623=EDGE_LOOP('',(#70559,#70560,#70561)); #26624=EDGE_LOOP('',(#70562,#70563,#70564,#70565)); #26625=EDGE_LOOP('',(#70566,#70567,#70568,#70569)); #26626=EDGE_LOOP('',(#70570,#70571,#70572,#70573)); #26627=EDGE_LOOP('',(#70574,#70575,#70576)); #26628=EDGE_LOOP('',(#70577,#70578,#70579,#70580,#70581,#70582,#70583,#70584, #70585,#70586,#70587,#70588,#70589,#70590,#70591)); #26629=EDGE_LOOP('',(#70592,#70593,#70594,#70595,#70596,#70597,#70598)); #26630=EDGE_LOOP('',(#70599,#70600,#70601,#70602)); #26631=EDGE_LOOP('',(#70603,#70604,#70605,#70606)); #26632=EDGE_LOOP('',(#70607,#70608,#70609,#70610,#70611)); #26633=EDGE_LOOP('',(#70612,#70613,#70614,#70615)); #26634=EDGE_LOOP('',(#70616,#70617,#70618,#70619,#70620,#70621,#70622,#70623, #70624,#70625,#70626,#70627,#70628,#70629,#70630,#70631,#70632,#70633)); #26635=EDGE_LOOP('',(#70634,#70635,#70636,#70637,#70638,#70639,#70640,#70641, #70642,#70643,#70644,#70645,#70646,#70647,#70648,#70649,#70650)); #26636=EDGE_LOOP('',(#70651,#70652,#70653,#70654)); #26637=EDGE_LOOP('',(#70655,#70656,#70657,#70658)); #26638=EDGE_LOOP('',(#70659,#70660)); #26639=EDGE_LOOP('',(#70661,#70662,#70663,#70664,#70665)); #26640=EDGE_LOOP('',(#70666,#70667,#70668,#70669)); #26641=EDGE_LOOP('',(#70670,#70671,#70672,#70673)); #26642=EDGE_LOOP('',(#70674,#70675,#70676,#70677,#70678)); #26643=EDGE_LOOP('',(#70679,#70680,#70681,#70682,#70683)); #26644=EDGE_LOOP('',(#70684,#70685,#70686,#70687)); #26645=EDGE_LOOP('',(#70688,#70689,#70690,#70691,#70692,#70693,#70694,#70695, #70696,#70697,#70698,#70699,#70700,#70701)); #26646=EDGE_LOOP('',(#70702,#70703,#70704,#70705)); #26647=EDGE_LOOP('',(#70706,#70707,#70708)); #26648=EDGE_LOOP('',(#70709,#70710,#70711,#70712)); #26649=EDGE_LOOP('',(#70713,#70714,#70715,#70716)); #26650=EDGE_LOOP('',(#70717,#70718,#70719,#70720)); #26651=EDGE_LOOP('',(#70721,#70722,#70723,#70724)); #26652=EDGE_LOOP('',(#70725,#70726,#70727)); #26653=EDGE_LOOP('',(#70728,#70729,#70730,#70731)); #26654=EDGE_LOOP('',(#70732,#70733,#70734,#70735)); #26655=EDGE_LOOP('',(#70736,#70737,#70738,#70739)); #26656=EDGE_LOOP('',(#70740,#70741,#70742,#70743)); #26657=EDGE_LOOP('',(#70744,#70745,#70746,#70747,#70748,#70749,#70750,#70751)); #26658=EDGE_LOOP('',(#70752,#70753,#70754,#70755)); #26659=EDGE_LOOP('',(#70756,#70757,#70758,#70759)); #26660=EDGE_LOOP('',(#70760,#70761,#70762,#70763)); #26661=EDGE_LOOP('',(#70764,#70765,#70766,#70767)); #26662=EDGE_LOOP('',(#70768,#70769,#70770)); #26663=EDGE_LOOP('',(#70771,#70772,#70773,#70774,#70775,#70776,#70777,#70778, #70779,#70780)); #26664=EDGE_LOOP('',(#70781,#70782,#70783,#70784,#70785)); #26665=EDGE_LOOP('',(#70786,#70787,#70788,#70789)); #26666=EDGE_LOOP('',(#70790,#70791,#70792,#70793,#70794,#70795,#70796)); #26667=EDGE_LOOP('',(#70797,#70798,#70799,#70800)); #26668=EDGE_LOOP('',(#70801,#70802,#70803,#70804)); #26669=EDGE_LOOP('',(#70805,#70806,#70807,#70808)); #26670=EDGE_LOOP('',(#70809,#70810,#70811,#70812,#70813,#70814,#70815)); #26671=EDGE_LOOP('',(#70816,#70817,#70818,#70819)); #26672=EDGE_LOOP('',(#70820,#70821,#70822,#70823)); #26673=EDGE_LOOP('',(#70824,#70825,#70826,#70827)); #26674=EDGE_LOOP('',(#70828,#70829,#70830,#70831)); #26675=EDGE_LOOP('',(#70832,#70833,#70834,#70835)); #26676=EDGE_LOOP('',(#70836,#70837,#70838,#70839)); #26677=EDGE_LOOP('',(#70840,#70841,#70842,#70843)); #26678=EDGE_LOOP('',(#70844,#70845,#70846,#70847)); #26679=EDGE_LOOP('',(#70848,#70849,#70850,#70851)); #26680=EDGE_LOOP('',(#70852,#70853,#70854,#70855)); #26681=EDGE_LOOP('',(#70856,#70857,#70858,#70859)); #26682=EDGE_LOOP('',(#70860,#70861,#70862,#70863,#70864)); #26683=EDGE_LOOP('',(#70865,#70866,#70867,#70868)); #26684=EDGE_LOOP('',(#70869,#70870,#70871,#70872)); #26685=EDGE_LOOP('',(#70873,#70874,#70875,#70876)); #26686=EDGE_LOOP('',(#70877,#70878,#70879,#70880)); #26687=EDGE_LOOP('',(#70881,#70882,#70883,#70884)); #26688=EDGE_LOOP('',(#70885,#70886,#70887,#70888,#70889,#70890,#70891,#70892, #70893,#70894)); #26689=EDGE_LOOP('',(#70895,#70896,#70897,#70898)); #26690=EDGE_LOOP('',(#70899,#70900,#70901,#70902)); #26691=EDGE_LOOP('',(#70903,#70904,#70905,#70906)); #26692=EDGE_LOOP('',(#70907,#70908,#70909,#70910)); #26693=EDGE_LOOP('',(#70911,#70912,#70913,#70914)); #26694=EDGE_LOOP('',(#70915,#70916,#70917,#70918)); #26695=EDGE_LOOP('',(#70919,#70920,#70921,#70922)); #26696=EDGE_LOOP('',(#70923,#70924,#70925,#70926,#70927)); #26697=EDGE_LOOP('',(#70928,#70929,#70930,#70931)); #26698=EDGE_LOOP('',(#70932,#70933,#70934,#70935,#70936,#70937,#70938)); #26699=EDGE_LOOP('',(#70939,#70940,#70941,#70942,#70943)); #26700=EDGE_LOOP('',(#70944,#70945,#70946,#70947,#70948,#70949,#70950)); #26701=EDGE_LOOP('',(#70951,#70952,#70953,#70954,#70955,#70956,#70957,#70958, #70959,#70960)); #26702=EDGE_LOOP('',(#70961,#70962,#70963,#70964)); #26703=EDGE_LOOP('',(#70965,#70966,#70967,#70968)); #26704=EDGE_LOOP('',(#70969,#70970,#70971,#70972)); #26705=EDGE_LOOP('',(#70973,#70974,#70975,#70976)); #26706=EDGE_LOOP('',(#70977,#70978,#70979,#70980,#70981)); #26707=EDGE_LOOP('',(#70982,#70983,#70984,#70985)); #26708=EDGE_LOOP('',(#70986,#70987,#70988,#70989)); #26709=EDGE_LOOP('',(#70990,#70991,#70992,#70993,#70994,#70995,#70996)); #26710=EDGE_LOOP('',(#70997,#70998,#70999,#71000)); #26711=EDGE_LOOP('',(#71001,#71002,#71003,#71004,#71005)); #26712=EDGE_LOOP('',(#71006,#71007,#71008,#71009)); #26713=EDGE_LOOP('',(#71010,#71011,#71012,#71013)); #26714=EDGE_LOOP('',(#71014,#71015,#71016,#71017)); #26715=EDGE_LOOP('',(#71018,#71019,#71020,#71021,#71022,#71023)); #26716=EDGE_LOOP('',(#71024,#71025,#71026,#71027)); #26717=EDGE_LOOP('',(#71028,#71029,#71030,#71031)); #26718=EDGE_LOOP('',(#71032,#71033,#71034,#71035)); #26719=EDGE_LOOP('',(#71036,#71037,#71038,#71039,#71040)); #26720=EDGE_LOOP('',(#71041,#71042,#71043,#71044,#71045)); #26721=EDGE_LOOP('',(#71046,#71047,#71048,#71049,#71050)); #26722=EDGE_LOOP('',(#71051,#71052,#71053,#71054)); #26723=EDGE_LOOP('',(#71055,#71056,#71057,#71058,#71059)); #26724=EDGE_LOOP('',(#71060,#71061,#71062,#71063)); #26725=EDGE_LOOP('',(#71064,#71065,#71066,#71067,#71068,#71069,#71070,#71071, #71072,#71073,#71074)); #26726=EDGE_LOOP('',(#71075)); #26727=EDGE_LOOP('',(#71076)); #26728=EDGE_LOOP('',(#71077,#71078,#71079)); #26729=EDGE_LOOP('',(#71080,#71081,#71082,#71083,#71084)); #26730=EDGE_LOOP('',(#71085,#71086,#71087)); #26731=EDGE_LOOP('',(#71088,#71089,#71090,#71091)); #26732=EDGE_LOOP('',(#71092,#71093,#71094,#71095)); #26733=EDGE_LOOP('',(#71096,#71097,#71098,#71099,#71100)); #26734=EDGE_LOOP('',(#71101,#71102,#71103,#71104)); #26735=EDGE_LOOP('',(#71105,#71106,#71107,#71108)); #26736=EDGE_LOOP('',(#71109,#71110,#71111,#71112)); #26737=EDGE_LOOP('',(#71113,#71114,#71115,#71116)); #26738=EDGE_LOOP('',(#71117,#71118,#71119,#71120)); #26739=EDGE_LOOP('',(#71121,#71122,#71123,#71124)); #26740=EDGE_LOOP('',(#71125,#71126,#71127,#71128)); #26741=EDGE_LOOP('',(#71129,#71130,#71131,#71132)); #26742=EDGE_LOOP('',(#71133,#71134,#71135,#71136,#71137)); #26743=EDGE_LOOP('',(#71138,#71139,#71140,#71141,#71142)); #26744=EDGE_LOOP('',(#71143,#71144,#71145,#71146,#71147)); #26745=EDGE_LOOP('',(#71148,#71149,#71150,#71151,#71152,#71153,#71154,#71155, #71156,#71157,#71158)); #26746=EDGE_LOOP('',(#71159,#71160,#71161,#71162)); #26747=EDGE_LOOP('',(#71163,#71164,#71165,#71166)); #26748=EDGE_LOOP('',(#71167,#71168,#71169,#71170)); #26749=EDGE_LOOP('',(#71171,#71172,#71173,#71174)); #26750=EDGE_LOOP('',(#71175,#71176,#71177,#71178)); #26751=EDGE_LOOP('',(#71179,#71180,#71181,#71182)); #26752=EDGE_LOOP('',(#71183,#71184,#71185,#71186)); #26753=EDGE_LOOP('',(#71187,#71188,#71189,#71190)); #26754=EDGE_LOOP('',(#71191,#71192,#71193,#71194)); #26755=EDGE_LOOP('',(#71195,#71196,#71197,#71198)); #26756=EDGE_LOOP('',(#71199,#71200,#71201,#71202)); #26757=EDGE_LOOP('',(#71203,#71204,#71205,#71206)); #26758=EDGE_LOOP('',(#71207,#71208,#71209,#71210)); #26759=EDGE_LOOP('',(#71211,#71212,#71213,#71214)); #26760=EDGE_LOOP('',(#71215,#71216,#71217,#71218)); #26761=EDGE_LOOP('',(#71219,#71220,#71221,#71222)); #26762=EDGE_LOOP('',(#71223,#71224,#71225,#71226)); #26763=EDGE_LOOP('',(#71227,#71228,#71229,#71230)); #26764=EDGE_LOOP('',(#71231,#71232,#71233,#71234)); #26765=EDGE_LOOP('',(#71235,#71236,#71237,#71238,#71239)); #26766=EDGE_LOOP('',(#71240,#71241,#71242,#71243,#71244)); #26767=EDGE_LOOP('',(#71245,#71246,#71247,#71248,#71249)); #26768=EDGE_LOOP('',(#71250,#71251,#71252,#71253,#71254)); #26769=EDGE_LOOP('',(#71255,#71256,#71257,#71258)); #26770=EDGE_LOOP('',(#71259,#71260,#71261,#71262)); #26771=EDGE_LOOP('',(#71263,#71264,#71265,#71266,#71267)); #26772=EDGE_LOOP('',(#71268,#71269,#71270,#71271,#71272,#71273,#71274,#71275, #71276,#71277,#71278)); #26773=EDGE_LOOP('',(#71279,#71280,#71281,#71282)); #26774=EDGE_LOOP('',(#71283,#71284,#71285,#71286,#71287)); #26775=EDGE_LOOP('',(#71288,#71289,#71290,#71291)); #26776=EDGE_LOOP('',(#71292,#71293,#71294,#71295)); #26777=EDGE_LOOP('',(#71296,#71297,#71298,#71299)); #26778=EDGE_LOOP('',(#71300,#71301,#71302,#71303)); #26779=EDGE_LOOP('',(#71304,#71305,#71306,#71307)); #26780=EDGE_LOOP('',(#71308,#71309,#71310,#71311)); #26781=EDGE_LOOP('',(#71312,#71313,#71314,#71315)); #26782=EDGE_LOOP('',(#71316,#71317,#71318,#71319)); #26783=EDGE_LOOP('',(#71320,#71321,#71322,#71323)); #26784=EDGE_LOOP('',(#71324,#71325,#71326,#71327)); #26785=EDGE_LOOP('',(#71328,#71329,#71330,#71331)); #26786=EDGE_LOOP('',(#71332,#71333,#71334,#71335)); #26787=EDGE_LOOP('',(#71336,#71337,#71338,#71339)); #26788=EDGE_LOOP('',(#71340,#71341,#71342,#71343)); #26789=EDGE_LOOP('',(#71344,#71345,#71346,#71347)); #26790=EDGE_LOOP('',(#71348,#71349,#71350,#71351)); #26791=EDGE_LOOP('',(#71352,#71353,#71354,#71355)); #26792=EDGE_LOOP('',(#71356,#71357,#71358,#71359)); #26793=EDGE_LOOP('',(#71360,#71361,#71362,#71363,#71364)); #26794=EDGE_LOOP('',(#71365,#71366,#71367,#71368,#71369)); #26795=EDGE_LOOP('',(#71370,#71371,#71372,#71373,#71374,#71375,#71376)); #26796=EDGE_LOOP('',(#71377,#71378,#71379,#71380,#71381)); #26797=EDGE_LOOP('',(#71382,#71383,#71384,#71385,#71386,#71387,#71388)); #26798=EDGE_LOOP('',(#71389,#71390,#71391,#71392)); #26799=EDGE_LOOP('',(#71393,#71394,#71395,#71396)); #26800=EDGE_LOOP('',(#71397,#71398,#71399,#71400)); #26801=EDGE_LOOP('',(#71401,#71402,#71403,#71404)); #26802=EDGE_LOOP('',(#71405,#71406,#71407,#71408,#71409,#71410)); #26803=EDGE_LOOP('',(#71411,#71412,#71413,#71414)); #26804=EDGE_LOOP('',(#71415,#71416,#71417,#71418)); #26805=EDGE_LOOP('',(#71419,#71420,#71421,#71422)); #26806=EDGE_LOOP('',(#71423,#71424,#71425,#71426)); #26807=EDGE_LOOP('',(#71427,#71428,#71429,#71430)); #26808=EDGE_LOOP('',(#71431,#71432,#71433,#71434)); #26809=EDGE_LOOP('',(#71435,#71436,#71437,#71438)); #26810=EDGE_LOOP('',(#71439,#71440,#71441,#71442,#71443,#71444,#71445,#71446, #71447,#71448,#71449,#71450,#71451,#71452,#71453,#71454)); #26811=EDGE_LOOP('',(#71455,#71456,#71457,#71458)); #26812=EDGE_LOOP('',(#71459,#71460,#71461,#71462,#71463)); #26813=EDGE_LOOP('',(#71464,#71465,#71466,#71467)); #26814=EDGE_LOOP('',(#71468,#71469,#71470,#71471)); #26815=EDGE_LOOP('',(#71472,#71473,#71474,#71475)); #26816=EDGE_LOOP('',(#71476,#71477,#71478,#71479,#71480)); #26817=EDGE_LOOP('',(#71481,#71482,#71483)); #26818=EDGE_LOOP('',(#71484,#71485,#71486,#71487)); #26819=EDGE_LOOP('',(#71488,#71489,#71490,#71491,#71492,#71493,#71494,#71495, #71496)); #26820=EDGE_LOOP('',(#71497,#71498,#71499,#71500)); #26821=EDGE_LOOP('',(#71501,#71502,#71503)); #26822=EDGE_LOOP('',(#71504,#71505,#71506)); #26823=EDGE_LOOP('',(#71507,#71508,#71509,#71510,#71511,#71512)); #26824=EDGE_LOOP('',(#71513,#71514,#71515,#71516,#71517,#71518,#71519,#71520, #71521,#71522)); #26825=EDGE_LOOP('',(#71523,#71524,#71525,#71526)); #26826=EDGE_LOOP('',(#71527,#71528,#71529,#71530)); #26827=EDGE_LOOP('',(#71531,#71532,#71533,#71534)); #26828=EDGE_LOOP('',(#71535,#71536,#71537,#71538)); #26829=EDGE_LOOP('',(#71539,#71540,#71541,#71542)); #26830=EDGE_LOOP('',(#71543,#71544,#71545,#71546)); #26831=EDGE_LOOP('',(#71547,#71548,#71549,#71550)); #26832=EDGE_LOOP('',(#71551,#71552,#71553,#71554)); #26833=EDGE_LOOP('',(#71555,#71556,#71557,#71558)); #26834=EDGE_LOOP('',(#71559,#71560,#71561,#71562)); #26835=EDGE_LOOP('',(#71563,#71564,#71565,#71566)); #26836=EDGE_LOOP('',(#71567,#71568,#71569,#71570,#71571)); #26837=EDGE_LOOP('',(#71572,#71573,#71574,#71575,#71576)); #26838=EDGE_LOOP('',(#71577,#71578)); #26839=EDGE_LOOP('',(#71579,#71580)); #26840=EDGE_LOOP('',(#71581,#71582,#71583,#71584,#71585,#71586,#71587)); #26841=EDGE_LOOP('',(#71588,#71589,#71590,#71591,#71592)); #26842=EDGE_LOOP('',(#71593,#71594,#71595,#71596,#71597,#71598,#71599)); #26843=EDGE_LOOP('',(#71600,#71601,#71602,#71603,#71604)); #26844=EDGE_LOOP('',(#71605,#71606,#71607,#71608,#71609)); #26845=EDGE_LOOP('',(#71610,#71611,#71612)); #26846=EDGE_LOOP('',(#71613,#71614,#71615,#71616)); #26847=EDGE_LOOP('',(#71617,#71618,#71619,#71620)); #26848=EDGE_LOOP('',(#71621,#71622,#71623,#71624)); #26849=EDGE_LOOP('',(#71625,#71626,#71627,#71628)); #26850=EDGE_LOOP('',(#71629,#71630,#71631,#71632)); #26851=EDGE_LOOP('',(#71633,#71634,#71635,#71636)); #26852=EDGE_LOOP('',(#71637,#71638,#71639,#71640)); #26853=EDGE_LOOP('',(#71641,#71642,#71643,#71644)); #26854=EDGE_LOOP('',(#71645,#71646,#71647,#71648)); #26855=EDGE_LOOP('',(#71649,#71650,#71651,#71652)); #26856=EDGE_LOOP('',(#71653,#71654,#71655,#71656)); #26857=EDGE_LOOP('',(#71657,#71658,#71659,#71660)); #26858=EDGE_LOOP('',(#71661,#71662,#71663,#71664)); #26859=EDGE_LOOP('',(#71665,#71666,#71667,#71668)); #26860=EDGE_LOOP('',(#71669,#71670,#71671,#71672)); #26861=EDGE_LOOP('',(#71673,#71674,#71675,#71676)); #26862=EDGE_LOOP('',(#71677,#71678,#71679,#71680)); #26863=EDGE_LOOP('',(#71681,#71682,#71683,#71684)); #26864=EDGE_LOOP('',(#71685,#71686,#71687,#71688,#71689,#71690)); #26865=EDGE_LOOP('',(#71691,#71692,#71693,#71694)); #26866=EDGE_LOOP('',(#71695,#71696,#71697,#71698,#71699,#71700)); #26867=EDGE_LOOP('',(#71701,#71702,#71703,#71704)); #26868=EDGE_LOOP('',(#71705,#71706,#71707,#71708)); #26869=EDGE_LOOP('',(#71709,#71710,#71711,#71712,#71713,#71714)); #26870=EDGE_LOOP('',(#71715,#71716,#71717,#71718)); #26871=EDGE_LOOP('',(#71719,#71720,#71721,#71722,#71723,#71724)); #26872=EDGE_LOOP('',(#71725,#71726,#71727,#71728,#71729,#71730)); #26873=EDGE_LOOP('',(#71731,#71732,#71733,#71734)); #26874=EDGE_LOOP('',(#71735,#71736,#71737,#71738,#71739,#71740)); #26875=EDGE_LOOP('',(#71741,#71742,#71743,#71744)); #26876=EDGE_LOOP('',(#71745,#71746,#71747,#71748,#71749,#71750)); #26877=EDGE_LOOP('',(#71751,#71752,#71753,#71754)); #26878=EDGE_LOOP('',(#71755,#71756,#71757,#71758,#71759,#71760)); #26879=EDGE_LOOP('',(#71761,#71762,#71763,#71764,#71765,#71766,#71767,#71768)); #26880=EDGE_LOOP('',(#71769,#71770,#71771,#71772)); #26881=EDGE_LOOP('',(#71773,#71774,#71775,#71776,#71777,#71778,#71779,#71780)); #26882=EDGE_LOOP('',(#71781,#71782,#71783,#71784)); #26883=EDGE_LOOP('',(#71785,#71786,#71787,#71788)); #26884=EDGE_LOOP('',(#71789,#71790,#71791,#71792)); #26885=EDGE_LOOP('',(#71793,#71794,#71795,#71796)); #26886=EDGE_LOOP('',(#71797,#71798,#71799,#71800)); #26887=EDGE_LOOP('',(#71801,#71802,#71803,#71804)); #26888=EDGE_LOOP('',(#71805,#71806,#71807,#71808)); #26889=EDGE_LOOP('',(#71809,#71810,#71811,#71812)); #26890=EDGE_LOOP('',(#71813,#71814,#71815,#71816)); #26891=EDGE_LOOP('',(#71817,#71818,#71819,#71820)); #26892=EDGE_LOOP('',(#71821,#71822,#71823,#71824)); #26893=EDGE_LOOP('',(#71825,#71826,#71827,#71828)); #26894=EDGE_LOOP('',(#71829,#71830,#71831,#71832)); #26895=EDGE_LOOP('',(#71833,#71834,#71835,#71836)); #26896=EDGE_LOOP('',(#71837,#71838,#71839,#71840)); #26897=EDGE_LOOP('',(#71841,#71842,#71843,#71844)); #26898=EDGE_LOOP('',(#71845,#71846,#71847,#71848)); #26899=EDGE_LOOP('',(#71849,#71850,#71851,#71852)); #26900=EDGE_LOOP('',(#71853,#71854,#71855,#71856)); #26901=EDGE_LOOP('',(#71857,#71858,#71859,#71860)); #26902=EDGE_LOOP('',(#71861,#71862,#71863,#71864)); #26903=EDGE_LOOP('',(#71865,#71866,#71867,#71868)); #26904=EDGE_LOOP('',(#71869,#71870,#71871,#71872)); #26905=EDGE_LOOP('',(#71873,#71874,#71875,#71876)); #26906=EDGE_LOOP('',(#71877,#71878,#71879,#71880)); #26907=EDGE_LOOP('',(#71881,#71882,#71883,#71884)); #26908=EDGE_LOOP('',(#71885,#71886,#71887,#71888)); #26909=EDGE_LOOP('',(#71889,#71890,#71891,#71892)); #26910=EDGE_LOOP('',(#71893,#71894,#71895,#71896)); #26911=EDGE_LOOP('',(#71897,#71898,#71899,#71900)); #26912=EDGE_LOOP('',(#71901,#71902,#71903,#71904)); #26913=EDGE_LOOP('',(#71905,#71906,#71907,#71908)); #26914=EDGE_LOOP('',(#71909,#71910,#71911,#71912)); #26915=EDGE_LOOP('',(#71913,#71914,#71915,#71916)); #26916=EDGE_LOOP('',(#71917,#71918,#71919,#71920)); #26917=EDGE_LOOP('',(#71921,#71922,#71923,#71924,#71925,#71926)); #26918=EDGE_LOOP('',(#71927,#71928,#71929,#71930)); #26919=EDGE_LOOP('',(#71931,#71932,#71933,#71934,#71935,#71936)); #26920=EDGE_LOOP('',(#71937,#71938,#71939,#71940,#71941,#71942)); #26921=EDGE_LOOP('',(#71943,#71944,#71945,#71946)); #26922=EDGE_LOOP('',(#71947,#71948,#71949,#71950,#71951,#71952)); #26923=EDGE_LOOP('',(#71953,#71954,#71955,#71956)); #26924=EDGE_LOOP('',(#71957,#71958,#71959,#71960,#71961,#71962)); #26925=EDGE_LOOP('',(#71963,#71964,#71965,#71966)); #26926=EDGE_LOOP('',(#71967,#71968,#71969,#71970,#71971,#71972)); #26927=EDGE_LOOP('',(#71973,#71974,#71975,#71976)); #26928=EDGE_LOOP('',(#71977,#71978,#71979,#71980,#71981,#71982)); #26929=EDGE_LOOP('',(#71983,#71984,#71985,#71986)); #26930=EDGE_LOOP('',(#71987,#71988,#71989,#71990,#71991,#71992)); #26931=EDGE_LOOP('',(#71993,#71994,#71995,#71996)); #26932=EDGE_LOOP('',(#71997,#71998,#71999,#72000,#72001,#72002,#72003,#72004)); #26933=EDGE_LOOP('',(#72005,#72006,#72007,#72008)); #26934=EDGE_LOOP('',(#72009,#72010,#72011,#72012,#72013,#72014,#72015,#72016)); #26935=EDGE_LOOP('',(#72017,#72018,#72019,#72020)); #26936=EDGE_LOOP('',(#72021,#72022,#72023,#72024)); #26937=EDGE_LOOP('',(#72025,#72026,#72027,#72028)); #26938=EDGE_LOOP('',(#72029,#72030,#72031,#72032)); #26939=EDGE_LOOP('',(#72033,#72034,#72035,#72036)); #26940=EDGE_LOOP('',(#72037,#72038,#72039,#72040,#72041)); #26941=EDGE_LOOP('',(#72042,#72043,#72044,#72045)); #26942=EDGE_LOOP('',(#72046,#72047,#72048,#72049)); #26943=EDGE_LOOP('',(#72050,#72051,#72052,#72053)); #26944=EDGE_LOOP('',(#72054,#72055,#72056,#72057)); #26945=EDGE_LOOP('',(#72058,#72059,#72060,#72061)); #26946=EDGE_LOOP('',(#72062,#72063,#72064,#72065)); #26947=EDGE_LOOP('',(#72066,#72067,#72068,#72069)); #26948=EDGE_LOOP('',(#72070,#72071,#72072,#72073)); #26949=EDGE_LOOP('',(#72074,#72075,#72076,#72077)); #26950=EDGE_LOOP('',(#72078,#72079,#72080,#72081)); #26951=EDGE_LOOP('',(#72082,#72083,#72084,#72085)); #26952=EDGE_LOOP('',(#72086,#72087,#72088,#72089)); #26953=EDGE_LOOP('',(#72090,#72091,#72092,#72093)); #26954=EDGE_LOOP('',(#72094,#72095,#72096,#72097)); #26955=EDGE_LOOP('',(#72098,#72099,#72100,#72101)); #26956=EDGE_LOOP('',(#72102,#72103,#72104,#72105)); #26957=EDGE_LOOP('',(#72106,#72107,#72108,#72109)); #26958=EDGE_LOOP('',(#72110,#72111,#72112,#72113)); #26959=EDGE_LOOP('',(#72114,#72115,#72116,#72117)); #26960=EDGE_LOOP('',(#72118,#72119,#72120,#72121)); #26961=EDGE_LOOP('',(#72122,#72123,#72124,#72125)); #26962=EDGE_LOOP('',(#72126,#72127,#72128)); #26963=EDGE_LOOP('',(#72129,#72130,#72131,#72132,#72133,#72134,#72135,#72136)); #26964=EDGE_LOOP('',(#72137,#72138,#72139,#72140)); #26965=EDGE_LOOP('',(#72141,#72142,#72143,#72144)); #26966=EDGE_LOOP('',(#72145,#72146,#72147,#72148)); #26967=EDGE_LOOP('',(#72149,#72150,#72151,#72152)); #26968=EDGE_LOOP('',(#72153,#72154,#72155,#72156)); #26969=EDGE_LOOP('',(#72157,#72158,#72159,#72160)); #26970=EDGE_LOOP('',(#72161,#72162,#72163,#72164)); #26971=EDGE_LOOP('',(#72165,#72166,#72167,#72168)); #26972=EDGE_LOOP('',(#72169,#72170,#72171,#72172)); #26973=EDGE_LOOP('',(#72173,#72174,#72175,#72176)); #26974=EDGE_LOOP('',(#72177,#72178,#72179,#72180)); #26975=EDGE_LOOP('',(#72181,#72182,#72183,#72184)); #26976=EDGE_LOOP('',(#72185,#72186,#72187,#72188)); #26977=EDGE_LOOP('',(#72189,#72190,#72191,#72192)); #26978=EDGE_LOOP('',(#72193,#72194,#72195,#72196)); #26979=EDGE_LOOP('',(#72197,#72198,#72199,#72200)); #26980=EDGE_LOOP('',(#72201,#72202,#72203,#72204)); #26981=EDGE_LOOP('',(#72205,#72206,#72207,#72208)); #26982=EDGE_LOOP('',(#72209,#72210,#72211,#72212)); #26983=EDGE_LOOP('',(#72213,#72214,#72215,#72216)); #26984=EDGE_LOOP('',(#72217,#72218,#72219,#72220)); #26985=EDGE_LOOP('',(#72221,#72222,#72223,#72224)); #26986=EDGE_LOOP('',(#72225,#72226,#72227,#72228)); #26987=EDGE_LOOP('',(#72229,#72230,#72231,#72232)); #26988=EDGE_LOOP('',(#72233,#72234,#72235,#72236)); #26989=EDGE_LOOP('',(#72237,#72238,#72239,#72240)); #26990=EDGE_LOOP('',(#72241,#72242,#72243,#72244)); #26991=EDGE_LOOP('',(#72245,#72246,#72247,#72248)); #26992=EDGE_LOOP('',(#72249,#72250,#72251,#72252)); #26993=EDGE_LOOP('',(#72253,#72254,#72255,#72256)); #26994=EDGE_LOOP('',(#72257,#72258,#72259,#72260)); #26995=EDGE_LOOP('',(#72261,#72262,#72263,#72264)); #26996=EDGE_LOOP('',(#72265,#72266,#72267,#72268)); #26997=EDGE_LOOP('',(#72269,#72270,#72271,#72272,#72273)); #26998=EDGE_LOOP('',(#72274,#72275,#72276,#72277,#72278,#72279,#72280,#72281)); #26999=EDGE_LOOP('',(#72282)); #27000=EDGE_LOOP('',(#72283,#72284,#72285,#72286)); #27001=EDGE_LOOP('',(#72287,#72288,#72289,#72290,#72291,#72292,#72293,#72294, #72295,#72296,#72297,#72298,#72299,#72300,#72301,#72302,#72303,#72304,#72305, #72306,#72307,#72308,#72309,#72310,#72311,#72312,#72313,#72314,#72315,#72316, #72317,#72318,#72319,#72320,#72321,#72322,#72323,#72324,#72325,#72326,#72327, #72328,#72329,#72330)); #27002=EDGE_LOOP('',(#72331,#72332,#72333,#72334)); #27003=EDGE_LOOP('',(#72335,#72336,#72337,#72338)); #27004=EDGE_LOOP('',(#72339,#72340,#72341,#72342)); #27005=EDGE_LOOP('',(#72343,#72344,#72345,#72346)); #27006=EDGE_LOOP('',(#72347,#72348,#72349,#72350)); #27007=EDGE_LOOP('',(#72351,#72352,#72353,#72354)); #27008=EDGE_LOOP('',(#72355,#72356,#72357,#72358)); #27009=EDGE_LOOP('',(#72359,#72360,#72361,#72362)); #27010=EDGE_LOOP('',(#72363,#72364,#72365,#72366)); #27011=EDGE_LOOP('',(#72367,#72368,#72369,#72370,#72371,#72372)); #27012=EDGE_LOOP('',(#72373,#72374,#72375,#72376)); #27013=EDGE_LOOP('',(#72377,#72378,#72379,#72380,#72381,#72382)); #27014=EDGE_LOOP('',(#72383,#72384,#72385,#72386)); #27015=EDGE_LOOP('',(#72387,#72388,#72389,#72390,#72391,#72392)); #27016=EDGE_LOOP('',(#72393,#72394,#72395,#72396)); #27017=EDGE_LOOP('',(#72397,#72398,#72399,#72400,#72401,#72402)); #27018=EDGE_LOOP('',(#72403,#72404,#72405,#72406)); #27019=EDGE_LOOP('',(#72407,#72408,#72409,#72410)); #27020=EDGE_LOOP('',(#72411,#72412,#72413,#72414)); #27021=EDGE_LOOP('',(#72415,#72416,#72417,#72418)); #27022=EDGE_LOOP('',(#72419,#72420,#72421,#72422)); #27023=EDGE_LOOP('',(#72423,#72424,#72425,#72426)); #27024=EDGE_LOOP('',(#72427,#72428,#72429,#72430)); #27025=EDGE_LOOP('',(#72431,#72432,#72433,#72434)); #27026=EDGE_LOOP('',(#72435,#72436,#72437,#72438,#72439,#72440)); #27027=EDGE_LOOP('',(#72441,#72442,#72443,#72444)); #27028=EDGE_LOOP('',(#72445,#72446,#72447,#72448)); #27029=EDGE_LOOP('',(#72449,#72450,#72451,#72452)); #27030=EDGE_LOOP('',(#72453,#72454,#72455,#72456)); #27031=EDGE_LOOP('',(#72457,#72458,#72459,#72460,#72461,#72462)); #27032=EDGE_LOOP('',(#72463,#72464,#72465,#72466,#72467,#72468)); #27033=EDGE_LOOP('',(#72469,#72470,#72471,#72472,#72473,#72474)); #27034=EDGE_LOOP('',(#72475,#72476,#72477,#72478,#72479,#72480,#72481,#72482)); #27035=EDGE_LOOP('',(#72483,#72484,#72485,#72486)); #27036=EDGE_LOOP('',(#72487,#72488,#72489,#72490)); #27037=EDGE_LOOP('',(#72491,#72492,#72493,#72494)); #27038=EDGE_LOOP('',(#72495,#72496,#72497,#72498,#72499)); #27039=EDGE_LOOP('',(#72500,#72501,#72502,#72503,#72504)); #27040=EDGE_LOOP('',(#72505,#72506,#72507)); #27041=EDGE_LOOP('',(#72508,#72509,#72510,#72511,#72512,#72513,#72514,#72515, #72516,#72517,#72518,#72519,#72520,#72521,#72522,#72523,#72524,#72525,#72526, #72527,#72528,#72529,#72530,#72531,#72532,#72533,#72534,#72535,#72536,#72537, #72538,#72539,#72540,#72541,#72542,#72543,#72544,#72545,#72546,#72547,#72548, #72549,#72550,#72551)); #27042=EDGE_LOOP('',(#72552,#72553,#72554,#72555)); #27043=EDGE_LOOP('',(#72556,#72557,#72558,#72559)); #27044=EDGE_LOOP('',(#72560,#72561,#72562,#72563)); #27045=EDGE_LOOP('',(#72564,#72565,#72566,#72567)); #27046=EDGE_LOOP('',(#72568,#72569,#72570,#72571)); #27047=EDGE_LOOP('',(#72572,#72573,#72574,#72575)); #27048=EDGE_LOOP('',(#72576,#72577,#72578,#72579)); #27049=EDGE_LOOP('',(#72580)); #27050=EDGE_LOOP('',(#72581,#72582,#72583,#72584)); #27051=EDGE_LOOP('',(#72585,#72586,#72587,#72588,#72589)); #27052=EDGE_LOOP('',(#72590)); #27053=EDGE_LOOP('',(#72591,#72592,#72593)); #27054=EDGE_LOOP('',(#72594,#72595,#72596,#72597)); #27055=EDGE_LOOP('',(#72598,#72599,#72600,#72601)); #27056=EDGE_LOOP('',(#72602,#72603,#72604,#72605)); #27057=EDGE_LOOP('',(#72606,#72607,#72608,#72609)); #27058=EDGE_LOOP('',(#72610,#72611,#72612)); #27059=EDGE_LOOP('',(#72613,#72614,#72615,#72616)); #27060=EDGE_LOOP('',(#72617,#72618,#72619,#72620,#72621)); #27061=EDGE_LOOP('',(#72622,#72623,#72624,#72625,#72626,#72627)); #27062=EDGE_LOOP('',(#72628,#72629,#72630,#72631,#72632)); #27063=EDGE_LOOP('',(#72633,#72634,#72635)); #27064=EDGE_LOOP('',(#72636,#72637,#72638)); #27065=EDGE_LOOP('',(#72639,#72640,#72641,#72642)); #27066=EDGE_LOOP('',(#72643,#72644,#72645,#72646)); #27067=EDGE_LOOP('',(#72647,#72648,#72649,#72650)); #27068=EDGE_LOOP('',(#72651,#72652,#72653,#72654)); #27069=EDGE_LOOP('',(#72655,#72656,#72657,#72658)); #27070=EDGE_LOOP('',(#72659,#72660,#72661,#72662)); #27071=EDGE_LOOP('',(#72663,#72664,#72665)); #27072=EDGE_LOOP('',(#72666,#72667,#72668,#72669)); #27073=EDGE_LOOP('',(#72670,#72671,#72672)); #27074=EDGE_LOOP('',(#72673,#72674,#72675,#72676,#72677,#72678,#72679,#72680, #72681)); #27075=EDGE_LOOP('',(#72682,#72683,#72684,#72685)); #27076=EDGE_LOOP('',(#72686,#72687,#72688,#72689,#72690,#72691,#72692,#72693, #72694)); #27077=EDGE_LOOP('',(#72695,#72696,#72697,#72698,#72699)); #27078=EDGE_LOOP('',(#72700)); #27079=EDGE_LOOP('',(#72701,#72702,#72703,#72704)); #27080=EDGE_LOOP('',(#72705,#72706,#72707,#72708,#72709)); #27081=EDGE_LOOP('',(#72710,#72711,#72712,#72713)); #27082=EDGE_LOOP('',(#72714,#72715,#72716,#72717)); #27083=EDGE_LOOP('',(#72718,#72719,#72720,#72721)); #27084=EDGE_LOOP('',(#72722,#72723,#72724,#72725)); #27085=EDGE_LOOP('',(#72726,#72727,#72728,#72729)); #27086=EDGE_LOOP('',(#72730,#72731,#72732,#72733)); #27087=EDGE_LOOP('',(#72734,#72735,#72736)); #27088=EDGE_LOOP('',(#72737,#72738,#72739,#72740,#72741,#72742,#72743,#72744, #72745,#72746,#72747,#72748)); #27089=EDGE_LOOP('',(#72749)); #27090=EDGE_LOOP('',(#72750,#72751,#72752,#72753)); #27091=EDGE_LOOP('',(#72754,#72755,#72756,#72757)); #27092=EDGE_LOOP('',(#72758,#72759,#72760,#72761)); #27093=EDGE_LOOP('',(#72762,#72763,#72764,#72765,#72766,#72767,#72768,#72769, #72770,#72771,#72772,#72773)); #27094=EDGE_LOOP('',(#72774)); #27095=EDGE_LOOP('',(#72775,#72776,#72777,#72778,#72779)); #27096=EDGE_LOOP('',(#72780,#72781,#72782,#72783)); #27097=EDGE_LOOP('',(#72784,#72785,#72786,#72787,#72788)); #27098=EDGE_LOOP('',(#72789,#72790,#72791,#72792)); #27099=EDGE_LOOP('',(#72793,#72794,#72795,#72796)); #27100=EDGE_LOOP('',(#72797,#72798,#72799)); #27101=EDGE_LOOP('',(#72800,#72801,#72802,#72803,#72804)); #27102=EDGE_LOOP('',(#72805,#72806,#72807,#72808,#72809,#72810)); #27103=EDGE_LOOP('',(#72811,#72812,#72813)); #27104=EDGE_LOOP('',(#72814,#72815,#72816)); #27105=EDGE_LOOP('',(#72817,#72818,#72819,#72820)); #27106=EDGE_LOOP('',(#72821,#72822,#72823,#72824)); #27107=EDGE_LOOP('',(#72825,#72826,#72827,#72828)); #27108=EDGE_LOOP('',(#72829,#72830,#72831,#72832)); #27109=EDGE_LOOP('',(#72833,#72834,#72835,#72836)); #27110=EDGE_LOOP('',(#72837,#72838,#72839,#72840)); #27111=EDGE_LOOP('',(#72841,#72842,#72843,#72844)); #27112=EDGE_LOOP('',(#72845,#72846,#72847,#72848)); #27113=EDGE_LOOP('',(#72849,#72850,#72851)); #27114=EDGE_LOOP('',(#72852,#72853,#72854)); #27115=EDGE_LOOP('',(#72855,#72856,#72857,#72858)); #27116=EDGE_LOOP('',(#72859,#72860,#72861,#72862)); #27117=EDGE_LOOP('',(#72863,#72864,#72865,#72866)); #27118=EDGE_LOOP('',(#72867,#72868,#72869,#72870)); #27119=EDGE_LOOP('',(#72871,#72872,#72873,#72874)); #27120=EDGE_LOOP('',(#72875,#72876,#72877,#72878)); #27121=EDGE_LOOP('',(#72879,#72880,#72881,#72882)); #27122=EDGE_LOOP('',(#72883,#72884,#72885,#72886)); #27123=EDGE_LOOP('',(#72887,#72888,#72889,#72890)); #27124=EDGE_LOOP('',(#72891,#72892,#72893,#72894)); #27125=EDGE_LOOP('',(#72895,#72896,#72897,#72898)); #27126=EDGE_LOOP('',(#72899,#72900,#72901)); #27127=EDGE_LOOP('',(#72902,#72903,#72904,#72905)); #27128=EDGE_LOOP('',(#72906,#72907,#72908,#72909)); #27129=EDGE_LOOP('',(#72910,#72911,#72912,#72913,#72914,#72915)); #27130=EDGE_LOOP('',(#72916,#72917,#72918,#72919,#72920,#72921,#72922,#72923)); #27131=EDGE_LOOP('',(#72924,#72925,#72926)); #27132=EDGE_LOOP('',(#72927,#72928,#72929,#72930)); #27133=EDGE_LOOP('',(#72931,#72932,#72933,#72934,#72935,#72936,#72937,#72938)); #27134=EDGE_LOOP('',(#72939,#72940,#72941)); #27135=EDGE_LOOP('',(#72942,#72943,#72944)); #27136=EDGE_LOOP('',(#72945,#72946,#72947,#72948)); #27137=EDGE_LOOP('',(#72949,#72950,#72951,#72952)); #27138=EDGE_LOOP('',(#72953,#72954,#72955,#72956)); #27139=EDGE_LOOP('',(#72957,#72958,#72959,#72960)); #27140=EDGE_LOOP('',(#72961,#72962,#72963,#72964)); #27141=EDGE_LOOP('',(#72965,#72966,#72967,#72968,#72969)); #27142=EDGE_LOOP('',(#72970,#72971,#72972,#72973,#72974,#72975)); #27143=EDGE_LOOP('',(#72976,#72977,#72978,#72979,#72980)); #27144=EDGE_LOOP('',(#72981)); #27145=EDGE_LOOP('',(#72982)); #27146=EDGE_LOOP('',(#72983)); #27147=EDGE_LOOP('',(#72984)); #27148=EDGE_LOOP('',(#72985,#72986,#72987,#72988,#72989,#72990,#72991,#72992, #72993)); #27149=EDGE_LOOP('',(#72994,#72995,#72996,#72997,#72998)); #27150=EDGE_LOOP('',(#72999,#73000,#73001,#73002)); #27151=EDGE_LOOP('',(#73003,#73004,#73005,#73006,#73007)); #27152=EDGE_LOOP('',(#73008,#73009,#73010,#73011,#73012,#73013)); #27153=EDGE_LOOP('',(#73014,#73015,#73016,#73017)); #27154=EDGE_LOOP('',(#73018,#73019,#73020,#73021)); #27155=EDGE_LOOP('',(#73022,#73023,#73024,#73025)); #27156=EDGE_LOOP('',(#73026,#73027,#73028,#73029)); #27157=EDGE_LOOP('',(#73030,#73031,#73032,#73033)); #27158=EDGE_LOOP('',(#73034,#73035,#73036,#73037)); #27159=EDGE_LOOP('',(#73038,#73039,#73040,#73041)); #27160=EDGE_LOOP('',(#73042,#73043,#73044,#73045)); #27161=EDGE_LOOP('',(#73046,#73047,#73048,#73049)); #27162=EDGE_LOOP('',(#73050,#73051,#73052,#73053)); #27163=EDGE_LOOP('',(#73054,#73055,#73056,#73057)); #27164=EDGE_LOOP('',(#73058,#73059,#73060,#73061)); #27165=EDGE_LOOP('',(#73062,#73063,#73064,#73065)); #27166=EDGE_LOOP('',(#73066,#73067,#73068,#73069)); #27167=EDGE_LOOP('',(#73070,#73071,#73072,#73073)); #27168=EDGE_LOOP('',(#73074,#73075,#73076,#73077)); #27169=EDGE_LOOP('',(#73078,#73079,#73080,#73081)); #27170=EDGE_LOOP('',(#73082,#73083,#73084,#73085)); #27171=EDGE_LOOP('',(#73086,#73087,#73088,#73089)); #27172=EDGE_LOOP('',(#73090,#73091,#73092,#73093)); #27173=EDGE_LOOP('',(#73094,#73095,#73096,#73097)); #27174=EDGE_LOOP('',(#73098,#73099,#73100,#73101)); #27175=EDGE_LOOP('',(#73102,#73103,#73104,#73105)); #27176=EDGE_LOOP('',(#73106,#73107,#73108,#73109)); #27177=EDGE_LOOP('',(#73110,#73111,#73112,#73113)); #27178=EDGE_LOOP('',(#73114,#73115,#73116,#73117)); #27179=EDGE_LOOP('',(#73118,#73119,#73120,#73121)); #27180=EDGE_LOOP('',(#73122,#73123,#73124,#73125)); #27181=EDGE_LOOP('',(#73126,#73127,#73128,#73129)); #27182=EDGE_LOOP('',(#73130,#73131,#73132,#73133)); #27183=EDGE_LOOP('',(#73134,#73135,#73136,#73137)); #27184=EDGE_LOOP('',(#73138,#73139,#73140,#73141)); #27185=EDGE_LOOP('',(#73142,#73143,#73144,#73145)); #27186=EDGE_LOOP('',(#73146,#73147,#73148,#73149)); #27187=EDGE_LOOP('',(#73150,#73151,#73152,#73153)); #27188=EDGE_LOOP('',(#73154,#73155,#73156,#73157)); #27189=EDGE_LOOP('',(#73158,#73159,#73160,#73161)); #27190=EDGE_LOOP('',(#73162,#73163,#73164,#73165)); #27191=EDGE_LOOP('',(#73166,#73167,#73168,#73169)); #27192=EDGE_LOOP('',(#73170,#73171,#73172,#73173)); #27193=EDGE_LOOP('',(#73174,#73175,#73176,#73177)); #27194=EDGE_LOOP('',(#73178,#73179,#73180,#73181)); #27195=EDGE_LOOP('',(#73182,#73183,#73184,#73185)); #27196=EDGE_LOOP('',(#73186,#73187,#73188,#73189,#73190,#73191,#73192)); #27197=EDGE_LOOP('',(#73193,#73194,#73195,#73196,#73197)); #27198=EDGE_LOOP('',(#73198,#73199,#73200,#73201,#73202,#73203,#73204,#73205)); #27199=EDGE_LOOP('',(#73206,#73207,#73208,#73209,#73210)); #27200=EDGE_LOOP('',(#73211,#73212,#73213,#73214)); #27201=EDGE_LOOP('',(#73215,#73216,#73217,#73218)); #27202=EDGE_LOOP('',(#73219,#73220,#73221,#73222)); #27203=EDGE_LOOP('',(#73223,#73224,#73225,#73226)); #27204=EDGE_LOOP('',(#73227,#73228,#73229,#73230)); #27205=EDGE_LOOP('',(#73231,#73232,#73233,#73234)); #27206=EDGE_LOOP('',(#73235,#73236,#73237)); #27207=EDGE_LOOP('',(#73238,#73239,#73240,#73241,#73242)); #27208=EDGE_LOOP('',(#73243,#73244,#73245,#73246)); #27209=EDGE_LOOP('',(#73247,#73248,#73249,#73250,#73251,#73252)); #27210=EDGE_LOOP('',(#73253,#73254,#73255,#73256)); #27211=EDGE_LOOP('',(#73257,#73258,#73259,#73260)); #27212=EDGE_LOOP('',(#73261,#73262,#73263,#73264,#73265,#73266)); #27213=EDGE_LOOP('',(#73267,#73268,#73269)); #27214=EDGE_LOOP('',(#73270,#73271,#73272)); #27215=EDGE_LOOP('',(#73273,#73274,#73275,#73276)); #27216=EDGE_LOOP('',(#73277,#73278,#73279,#73280,#73281,#73282,#73283,#73284, #73285,#73286,#73287,#73288,#73289,#73290,#73291,#73292,#73293,#73294,#73295, #73296,#73297,#73298,#73299,#73300,#73301,#73302,#73303,#73304,#73305,#73306, #73307,#73308,#73309,#73310)); #27217=EDGE_LOOP('',(#73311)); #27218=EDGE_LOOP('',(#73312,#73313,#73314)); #27219=EDGE_LOOP('',(#73315,#73316,#73317,#73318)); #27220=EDGE_LOOP('',(#73319,#73320,#73321,#73322,#73323,#73324,#73325)); #27221=EDGE_LOOP('',(#73326,#73327,#73328)); #27222=EDGE_LOOP('',(#73329,#73330,#73331,#73332,#73333,#73334)); #27223=EDGE_LOOP('',(#73335,#73336,#73337,#73338,#73339,#73340)); #27224=EDGE_LOOP('',(#73341,#73342,#73343,#73344,#73345,#73346,#73347)); #27225=EDGE_LOOP('',(#73348,#73349,#73350,#73351,#73352)); #27226=EDGE_LOOP('',(#73353)); #27227=EDGE_LOOP('',(#73354)); #27228=EDGE_LOOP('',(#73355,#73356,#73357,#73358,#73359)); #27229=EDGE_LOOP('',(#73360,#73361,#73362,#73363,#73364,#73365,#73366)); #27230=EDGE_LOOP('',(#73367)); #27231=EDGE_LOOP('',(#73368)); #27232=EDGE_LOOP('',(#73369,#73370,#73371,#73372)); #27233=EDGE_LOOP('',(#73373,#73374,#73375,#73376,#73377,#73378,#73379)); #27234=EDGE_LOOP('',(#73380,#73381,#73382,#73383,#73384,#73385)); #27235=EDGE_LOOP('',(#73386,#73387,#73388)); #27236=EDGE_LOOP('',(#73389,#73390,#73391,#73392,#73393,#73394)); #27237=EDGE_LOOP('',(#73395,#73396,#73397,#73398,#73399)); #27238=EDGE_LOOP('',(#73400,#73401,#73402,#73403)); #27239=EDGE_LOOP('',(#73404,#73405,#73406,#73407)); #27240=EDGE_LOOP('',(#73408,#73409,#73410,#73411,#73412)); #27241=EDGE_LOOP('',(#73413,#73414,#73415,#73416,#73417)); #27242=EDGE_LOOP('',(#73418,#73419,#73420,#73421,#73422,#73423)); #27243=EDGE_LOOP('',(#73424,#73425,#73426,#73427)); #27244=EDGE_LOOP('',(#73428,#73429,#73430)); #27245=EDGE_LOOP('',(#73431,#73432,#73433,#73434,#73435,#73436)); #27246=EDGE_LOOP('',(#73437,#73438,#73439,#73440,#73441)); #27247=EDGE_LOOP('',(#73442,#73443,#73444,#73445,#73446)); #27248=EDGE_LOOP('',(#73447,#73448,#73449)); #27249=EDGE_LOOP('',(#73450,#73451,#73452,#73453)); #27250=EDGE_LOOP('',(#73454,#73455,#73456,#73457)); #27251=EDGE_LOOP('',(#73458,#73459,#73460,#73461,#73462)); #27252=EDGE_LOOP('',(#73463,#73464,#73465,#73466)); #27253=EDGE_LOOP('',(#73467,#73468,#73469,#73470)); #27254=EDGE_LOOP('',(#73471,#73472,#73473)); #27255=EDGE_LOOP('',(#73474,#73475,#73476,#73477)); #27256=EDGE_LOOP('',(#73478,#73479,#73480,#73481)); #27257=EDGE_LOOP('',(#73482,#73483,#73484,#73485)); #27258=EDGE_LOOP('',(#73486,#73487,#73488,#73489,#73490,#73491,#73492,#73493, #73494)); #27259=EDGE_LOOP('',(#73495,#73496,#73497,#73498,#73499,#73500,#73501,#73502, #73503,#73504,#73505,#73506)); #27260=EDGE_LOOP('',(#73507,#73508,#73509,#73510,#73511,#73512,#73513)); #27261=EDGE_LOOP('',(#73514,#73515,#73516,#73517,#73518)); #27262=EDGE_LOOP('',(#73519,#73520,#73521,#73522,#73523,#73524,#73525,#73526)); #27263=EDGE_LOOP('',(#73527,#73528,#73529,#73530)); #27264=EDGE_LOOP('',(#73531,#73532,#73533,#73534)); #27265=EDGE_LOOP('',(#73535,#73536,#73537,#73538)); #27266=EDGE_LOOP('',(#73539)); #27267=EDGE_LOOP('',(#73540,#73541,#73542)); #27268=EDGE_LOOP('',(#73543,#73544,#73545,#73546)); #27269=EDGE_LOOP('',(#73547,#73548,#73549,#73550)); #27270=EDGE_LOOP('',(#73551,#73552,#73553,#73554)); #27271=EDGE_LOOP('',(#73555,#73556,#73557,#73558)); #27272=EDGE_LOOP('',(#73559,#73560,#73561,#73562)); #27273=EDGE_LOOP('',(#73563,#73564,#73565,#73566)); #27274=EDGE_LOOP('',(#73567,#73568,#73569,#73570)); #27275=EDGE_LOOP('',(#73571,#73572,#73573,#73574)); #27276=EDGE_LOOP('',(#73575,#73576,#73577,#73578)); #27277=EDGE_LOOP('',(#73579,#73580,#73581,#73582)); #27278=EDGE_LOOP('',(#73583,#73584,#73585,#73586)); #27279=EDGE_LOOP('',(#73587,#73588,#73589,#73590,#73591,#73592)); #27280=EDGE_LOOP('',(#73593,#73594,#73595,#73596,#73597,#73598)); #27281=EDGE_LOOP('',(#73599,#73600,#73601)); #27282=EDGE_LOOP('',(#73602,#73603,#73604)); #27283=EDGE_LOOP('',(#73605,#73606,#73607,#73608)); #27284=EDGE_LOOP('',(#73609,#73610,#73611,#73612)); #27285=EDGE_LOOP('',(#73613,#73614,#73615)); #27286=EDGE_LOOP('',(#73616,#73617,#73618,#73619)); #27287=EDGE_LOOP('',(#73620,#73621,#73622,#73623)); #27288=EDGE_LOOP('',(#73624,#73625,#73626,#73627)); #27289=EDGE_LOOP('',(#73628,#73629,#73630)); #27290=EDGE_LOOP('',(#73631,#73632,#73633,#73634,#73635,#73636)); #27291=EDGE_LOOP('',(#73637,#73638,#73639,#73640)); #27292=EDGE_LOOP('',(#73641,#73642,#73643,#73644,#73645,#73646)); #27293=EDGE_LOOP('',(#73647,#73648,#73649)); #27294=EDGE_LOOP('',(#73650,#73651,#73652,#73653)); #27295=EDGE_LOOP('',(#73654,#73655,#73656,#73657)); #27296=EDGE_LOOP('',(#73658,#73659,#73660,#73661)); #27297=EDGE_LOOP('',(#73662,#73663,#73664)); #27298=EDGE_LOOP('',(#73665,#73666,#73667,#73668)); #27299=EDGE_LOOP('',(#73669,#73670,#73671,#73672)); #27300=EDGE_LOOP('',(#73673,#73674,#73675,#73676)); #27301=EDGE_LOOP('',(#73677,#73678,#73679,#73680)); #27302=EDGE_LOOP('',(#73681,#73682,#73683,#73684)); #27303=EDGE_LOOP('',(#73685,#73686,#73687,#73688)); #27304=EDGE_LOOP('',(#73689,#73690,#73691,#73692,#73693,#73694,#73695,#73696, #73697)); #27305=EDGE_LOOP('',(#73698)); #27306=EDGE_LOOP('',(#73699,#73700,#73701,#73702)); #27307=EDGE_LOOP('',(#73703,#73704,#73705,#73706)); #27308=EDGE_LOOP('',(#73707,#73708,#73709,#73710)); #27309=EDGE_LOOP('',(#73711,#73712,#73713,#73714,#73715,#73716,#73717,#73718, #73719)); #27310=EDGE_LOOP('',(#73720)); #27311=EDGE_LOOP('',(#73721,#73722,#73723,#73724)); #27312=EDGE_LOOP('',(#73725,#73726,#73727,#73728)); #27313=EDGE_LOOP('',(#73729,#73730,#73731,#73732)); #27314=EDGE_LOOP('',(#73733,#73734,#73735,#73736)); #27315=EDGE_LOOP('',(#73737,#73738,#73739,#73740)); #27316=EDGE_LOOP('',(#73741,#73742,#73743,#73744)); #27317=EDGE_LOOP('',(#73745,#73746,#73747,#73748)); #27318=EDGE_LOOP('',(#73749,#73750,#73751,#73752)); #27319=EDGE_LOOP('',(#73753,#73754,#73755,#73756)); #27320=EDGE_LOOP('',(#73757,#73758,#73759,#73760)); #27321=EDGE_LOOP('',(#73761,#73762,#73763,#73764)); #27322=EDGE_LOOP('',(#73765,#73766,#73767,#73768,#73769,#73770,#73771,#73772, #73773,#73774)); #27323=EDGE_LOOP('',(#73775,#73776,#73777,#73778)); #27324=EDGE_LOOP('',(#73779,#73780,#73781,#73782)); #27325=EDGE_LOOP('',(#73783,#73784,#73785,#73786)); #27326=EDGE_LOOP('',(#73787,#73788,#73789,#73790)); #27327=EDGE_LOOP('',(#73791,#73792,#73793,#73794)); #27328=EDGE_LOOP('',(#73795,#73796,#73797,#73798,#73799,#73800,#73801,#73802, #73803,#73804,#73805,#73806,#73807,#73808,#73809,#73810,#73811,#73812,#73813, #73814,#73815,#73816)); #27329=EDGE_LOOP('',(#73817,#73818,#73819,#73820,#73821,#73822,#73823)); #27330=EDGE_LOOP('',(#73824,#73825,#73826,#73827)); #27331=EDGE_LOOP('',(#73828,#73829,#73830,#73831,#73832)); #27332=EDGE_LOOP('',(#73833,#73834,#73835,#73836,#73837,#73838)); #27333=EDGE_LOOP('',(#73839,#73840)); #27334=EDGE_LOOP('',(#73841,#73842,#73843,#73844)); #27335=EDGE_LOOP('',(#73845,#73846,#73847,#73848)); #27336=EDGE_LOOP('',(#73849,#73850,#73851,#73852)); #27337=EDGE_LOOP('',(#73853,#73854,#73855,#73856)); #27338=EDGE_LOOP('',(#73857,#73858,#73859,#73860)); #27339=EDGE_LOOP('',(#73861,#73862,#73863,#73864)); #27340=EDGE_LOOP('',(#73865,#73866,#73867,#73868)); #27341=EDGE_LOOP('',(#73869,#73870,#73871,#73872)); #27342=EDGE_LOOP('',(#73873,#73874,#73875,#73876,#73877)); #27343=EDGE_LOOP('',(#73878,#73879,#73880,#73881,#73882,#73883)); #27344=EDGE_LOOP('',(#73884,#73885,#73886,#73887)); #27345=EDGE_LOOP('',(#73888,#73889,#73890,#73891,#73892,#73893)); #27346=EDGE_LOOP('',(#73894,#73895,#73896,#73897)); #27347=EDGE_LOOP('',(#73898,#73899,#73900,#73901,#73902,#73903,#73904,#73905)); #27348=EDGE_LOOP('',(#73906,#73907,#73908,#73909,#73910,#73911,#73912,#73913)); #27349=EDGE_LOOP('',(#73914,#73915,#73916,#73917)); #27350=EDGE_LOOP('',(#73918,#73919,#73920,#73921,#73922)); #27351=EDGE_LOOP('',(#73923,#73924,#73925,#73926)); #27352=EDGE_LOOP('',(#73927,#73928,#73929,#73930)); #27353=EDGE_LOOP('',(#73931,#73932,#73933,#73934)); #27354=EDGE_LOOP('',(#73935,#73936,#73937,#73938)); #27355=EDGE_LOOP('',(#73939,#73940,#73941,#73942)); #27356=EDGE_LOOP('',(#73943,#73944,#73945,#73946)); #27357=EDGE_LOOP('',(#73947,#73948,#73949,#73950)); #27358=EDGE_LOOP('',(#73951,#73952,#73953,#73954)); #27359=EDGE_LOOP('',(#73955,#73956,#73957,#73958)); #27360=EDGE_LOOP('',(#73959,#73960,#73961,#73962,#73963)); #27361=EDGE_LOOP('',(#73964,#73965,#73966,#73967)); #27362=EDGE_LOOP('',(#73968,#73969,#73970,#73971)); #27363=EDGE_LOOP('',(#73972,#73973,#73974,#73975,#73976,#73977,#73978)); #27364=EDGE_LOOP('',(#73979,#73980,#73981,#73982,#73983,#73984,#73985)); #27365=EDGE_LOOP('',(#73986,#73987,#73988,#73989,#73990,#73991,#73992)); #27366=EDGE_LOOP('',(#73993,#73994,#73995,#73996,#73997,#73998,#73999,#74000)); #27367=EDGE_LOOP('',(#74001,#74002,#74003,#74004)); #27368=EDGE_LOOP('',(#74005,#74006,#74007,#74008)); #27369=EDGE_LOOP('',(#74009,#74010,#74011,#74012)); #27370=EDGE_LOOP('',(#74013,#74014,#74015,#74016)); #27371=EDGE_LOOP('',(#74017,#74018,#74019,#74020)); #27372=EDGE_LOOP('',(#74021,#74022,#74023,#74024)); #27373=EDGE_LOOP('',(#74025,#74026,#74027,#74028)); #27374=EDGE_LOOP('',(#74029,#74030,#74031,#74032,#74033,#74034,#74035,#74036)); #27375=EDGE_LOOP('',(#74037,#74038,#74039,#74040)); #27376=EDGE_LOOP('',(#74041,#74042,#74043,#74044)); #27377=EDGE_LOOP('',(#74045,#74046,#74047,#74048)); #27378=EDGE_LOOP('',(#74049,#74050,#74051,#74052,#74053,#74054,#74055,#74056, #74057,#74058)); #27379=EDGE_LOOP('',(#74059,#74060,#74061,#74062,#74063,#74064,#74065,#74066, #74067,#74068,#74069,#74070,#74071,#74072,#74073,#74074)); #27380=EDGE_LOOP('',(#74075,#74076,#74077,#74078)); #27381=EDGE_LOOP('',(#74079,#74080,#74081,#74082)); #27382=EDGE_LOOP('',(#74083,#74084,#74085,#74086,#74087,#74088,#74089,#74090, #74091)); #27383=EDGE_LOOP('',(#74092,#74093,#74094,#74095,#74096,#74097,#74098,#74099, #74100,#74101)); #27384=EDGE_LOOP('',(#74102,#74103,#74104,#74105,#74106,#74107,#74108,#74109, #74110,#74111)); #27385=EDGE_LOOP('',(#74112,#74113,#74114,#74115)); #27386=EDGE_LOOP('',(#74116)); #27387=EDGE_LOOP('',(#74117)); #27388=EDGE_LOOP('',(#74118)); #27389=EDGE_LOOP('',(#74119,#74120,#74121,#74122,#74123)); #27390=EDGE_LOOP('',(#74124,#74125,#74126,#74127,#74128)); #27391=EDGE_LOOP('',(#74129)); #27392=EDGE_LOOP('',(#74130)); #27393=EDGE_LOOP('',(#74131,#74132,#74133,#74134,#74135)); #27394=EDGE_LOOP('',(#74136,#74137,#74138,#74139,#74140)); #27395=EDGE_LOOP('',(#74141,#74142,#74143)); #27396=EDGE_LOOP('',(#74144,#74145,#74146,#74147)); #27397=EDGE_LOOP('',(#74148,#74149,#74150,#74151)); #27398=EDGE_LOOP('',(#74152)); #27399=EDGE_LOOP('',(#74153,#74154,#74155,#74156)); #27400=EDGE_LOOP('',(#74157,#74158,#74159,#74160)); #27401=EDGE_LOOP('',(#74161)); #27402=EDGE_LOOP('',(#74162)); #27403=EDGE_LOOP('',(#74163,#74164,#74165,#74166)); #27404=EDGE_LOOP('',(#74167)); #27405=EDGE_LOOP('',(#74168)); #27406=EDGE_LOOP('',(#74169,#74170,#74171,#74172)); #27407=EDGE_LOOP('',(#74173)); #27408=EDGE_LOOP('',(#74174,#74175,#74176,#74177)); #27409=EDGE_LOOP('',(#74178,#74179,#74180,#74181)); #27410=EDGE_LOOP('',(#74182,#74183,#74184,#74185)); #27411=EDGE_LOOP('',(#74186,#74187,#74188,#74189)); #27412=EDGE_LOOP('',(#74190,#74191,#74192,#74193)); #27413=EDGE_LOOP('',(#74194,#74195,#74196,#74197)); #27414=EDGE_LOOP('',(#74198,#74199,#74200,#74201)); #27415=EDGE_LOOP('',(#74202,#74203,#74204,#74205)); #27416=EDGE_LOOP('',(#74206,#74207,#74208,#74209)); #27417=EDGE_LOOP('',(#74210,#74211,#74212,#74213)); #27418=EDGE_LOOP('',(#74214,#74215,#74216,#74217)); #27419=EDGE_LOOP('',(#74218,#74219,#74220,#74221)); #27420=EDGE_LOOP('',(#74222,#74223,#74224,#74225)); #27421=EDGE_LOOP('',(#74226,#74227,#74228,#74229)); #27422=EDGE_LOOP('',(#74230,#74231,#74232,#74233,#74234,#74235,#74236)); #27423=EDGE_LOOP('',(#74237,#74238,#74239,#74240,#74241,#74242,#74243)); #27424=EDGE_LOOP('',(#74244,#74245,#74246,#74247)); #27425=EDGE_LOOP('',(#74248,#74249,#74250,#74251)); #27426=EDGE_LOOP('',(#74252,#74253,#74254,#74255)); #27427=EDGE_LOOP('',(#74256,#74257,#74258,#74259)); #27428=EDGE_LOOP('',(#74260,#74261,#74262,#74263)); #27429=EDGE_LOOP('',(#74264,#74265,#74266,#74267)); #27430=EDGE_LOOP('',(#74268,#74269,#74270,#74271)); #27431=EDGE_LOOP('',(#74272,#74273,#74274,#74275)); #27432=EDGE_LOOP('',(#74276,#74277,#74278,#74279)); #27433=EDGE_LOOP('',(#74280,#74281,#74282,#74283)); #27434=EDGE_LOOP('',(#74284,#74285,#74286,#74287)); #27435=EDGE_LOOP('',(#74288,#74289,#74290,#74291)); #27436=EDGE_LOOP('',(#74292,#74293,#74294,#74295)); #27437=EDGE_LOOP('',(#74296,#74297,#74298,#74299)); #27438=EDGE_LOOP('',(#74300,#74301,#74302,#74303)); #27439=EDGE_LOOP('',(#74304,#74305,#74306,#74307)); #27440=EDGE_LOOP('',(#74308,#74309,#74310,#74311)); #27441=EDGE_LOOP('',(#74312,#74313,#74314,#74315)); #27442=EDGE_LOOP('',(#74316,#74317,#74318,#74319)); #27443=EDGE_LOOP('',(#74320,#74321,#74322,#74323,#74324,#74325,#74326,#74327, #74328,#74329,#74330,#74331,#74332,#74333)); #27444=EDGE_LOOP('',(#74334,#74335,#74336,#74337,#74338)); #27445=EDGE_LOOP('',(#74339,#74340,#74341,#74342)); #27446=EDGE_LOOP('',(#74343,#74344,#74345,#74346)); #27447=EDGE_LOOP('',(#74347,#74348,#74349,#74350)); #27448=EDGE_LOOP('',(#74351,#74352,#74353,#74354)); #27449=EDGE_LOOP('',(#74355,#74356,#74357,#74358)); #27450=EDGE_LOOP('',(#74359,#74360,#74361,#74362)); #27451=EDGE_LOOP('',(#74363,#74364,#74365,#74366)); #27452=EDGE_LOOP('',(#74367,#74368,#74369,#74370)); #27453=EDGE_LOOP('',(#74371,#74372,#74373,#74374)); #27454=EDGE_LOOP('',(#74375,#74376,#74377,#74378)); #27455=EDGE_LOOP('',(#74379,#74380,#74381,#74382)); #27456=EDGE_LOOP('',(#74383,#74384,#74385,#74386)); #27457=EDGE_LOOP('',(#74387,#74388,#74389,#74390)); #27458=EDGE_LOOP('',(#74391,#74392,#74393,#74394,#74395,#74396,#74397,#74398)); #27459=EDGE_LOOP('',(#74399,#74400,#74401,#74402,#74403)); #27460=EDGE_LOOP('',(#74404,#74405,#74406,#74407)); #27461=EDGE_LOOP('',(#74408,#74409,#74410,#74411)); #27462=EDGE_LOOP('',(#74412,#74413,#74414,#74415)); #27463=EDGE_LOOP('',(#74416,#74417,#74418,#74419)); #27464=EDGE_LOOP('',(#74420,#74421,#74422,#74423)); #27465=EDGE_LOOP('',(#74424,#74425,#74426,#74427)); #27466=EDGE_LOOP('',(#74428,#74429,#74430,#74431)); #27467=EDGE_LOOP('',(#74432,#74433,#74434,#74435)); #27468=EDGE_LOOP('',(#74436,#74437,#74438,#74439)); #27469=EDGE_LOOP('',(#74440,#74441,#74442,#74443)); #27470=EDGE_LOOP('',(#74444,#74445,#74446,#74447)); #27471=EDGE_LOOP('',(#74448,#74449,#74450,#74451)); #27472=EDGE_LOOP('',(#74452,#74453,#74454,#74455,#74456,#74457,#74458,#74459, #74460,#74461,#74462,#74463)); #27473=EDGE_LOOP('',(#74464,#74465,#74466,#74467)); #27474=EDGE_LOOP('',(#74468,#74469,#74470,#74471)); #27475=EDGE_LOOP('',(#74472,#74473,#74474,#74475)); #27476=EDGE_LOOP('',(#74476,#74477,#74478,#74479)); #27477=EDGE_LOOP('',(#74480,#74481,#74482,#74483)); #27478=EDGE_LOOP('',(#74484,#74485,#74486,#74487)); #27479=EDGE_LOOP('',(#74488,#74489,#74490,#74491)); #27480=EDGE_LOOP('',(#74492,#74493,#74494,#74495)); #27481=EDGE_LOOP('',(#74496,#74497,#74498,#74499)); #27482=EDGE_LOOP('',(#74500,#74501,#74502,#74503)); #27483=EDGE_LOOP('',(#74504,#74505,#74506,#74507)); #27484=EDGE_LOOP('',(#74508,#74509,#74510,#74511)); #27485=EDGE_LOOP('',(#74512,#74513,#74514,#74515,#74516,#74517,#74518,#74519, #74520,#74521,#74522,#74523)); #27486=EDGE_LOOP('',(#74524,#74525,#74526,#74527)); #27487=EDGE_LOOP('',(#74528,#74529,#74530,#74531)); #27488=EDGE_LOOP('',(#74532,#74533,#74534,#74535)); #27489=EDGE_LOOP('',(#74536,#74537,#74538,#74539)); #27490=EDGE_LOOP('',(#74540,#74541,#74542,#74543)); #27491=EDGE_LOOP('',(#74544,#74545,#74546,#74547)); #27492=EDGE_LOOP('',(#74548,#74549,#74550,#74551)); #27493=EDGE_LOOP('',(#74552,#74553,#74554,#74555)); #27494=EDGE_LOOP('',(#74556,#74557,#74558,#74559)); #27495=EDGE_LOOP('',(#74560,#74561,#74562,#74563)); #27496=EDGE_LOOP('',(#74564,#74565,#74566,#74567)); #27497=EDGE_LOOP('',(#74568,#74569,#74570,#74571)); #27498=EDGE_LOOP('',(#74572,#74573,#74574,#74575)); #27499=EDGE_LOOP('',(#74576,#74577,#74578,#74579)); #27500=EDGE_LOOP('',(#74580,#74581,#74582,#74583)); #27501=EDGE_LOOP('',(#74584,#74585,#74586,#74587)); #27502=EDGE_LOOP('',(#74588,#74589,#74590,#74591)); #27503=EDGE_LOOP('',(#74592,#74593,#74594,#74595)); #27504=EDGE_LOOP('',(#74596,#74597,#74598,#74599)); #27505=EDGE_LOOP('',(#74600,#74601,#74602,#74603,#74604,#74605,#74606)); #27506=EDGE_LOOP('',(#74607,#74608,#74609,#74610,#74611,#74612)); #27507=EDGE_LOOP('',(#74613,#74614,#74615,#74616,#74617,#74618)); #27508=EDGE_LOOP('',(#74619,#74620,#74621,#74622)); #27509=EDGE_LOOP('',(#74623,#74624,#74625,#74626)); #27510=EDGE_LOOP('',(#74627,#74628,#74629,#74630)); #27511=EDGE_LOOP('',(#74631,#74632,#74633,#74634)); #27512=EDGE_LOOP('',(#74635,#74636,#74637,#74638)); #27513=EDGE_LOOP('',(#74639,#74640,#74641,#74642)); #27514=EDGE_LOOP('',(#74643,#74644,#74645,#74646)); #27515=EDGE_LOOP('',(#74647,#74648,#74649,#74650)); #27516=EDGE_LOOP('',(#74651,#74652,#74653,#74654)); #27517=EDGE_LOOP('',(#74655,#74656,#74657,#74658)); #27518=EDGE_LOOP('',(#74659,#74660,#74661,#74662)); #27519=EDGE_LOOP('',(#74663,#74664,#74665,#74666)); #27520=EDGE_LOOP('',(#74667,#74668,#74669,#74670)); #27521=EDGE_LOOP('',(#74671,#74672,#74673,#74674)); #27522=EDGE_LOOP('',(#74675,#74676,#74677,#74678)); #27523=EDGE_LOOP('',(#74679,#74680,#74681,#74682)); #27524=EDGE_LOOP('',(#74683,#74684,#74685,#74686)); #27525=EDGE_LOOP('',(#74687,#74688,#74689,#74690)); #27526=EDGE_LOOP('',(#74691,#74692,#74693,#74694)); #27527=EDGE_LOOP('',(#74695,#74696,#74697,#74698,#74699,#74700,#74701,#74702, #74703,#74704,#74705,#74706,#74707,#74708)); #27528=EDGE_LOOP('',(#74709,#74710,#74711,#74712,#74713)); #27529=EDGE_LOOP('',(#74714,#74715,#74716,#74717)); #27530=EDGE_LOOP('',(#74718,#74719,#74720,#74721)); #27531=EDGE_LOOP('',(#74722,#74723,#74724,#74725)); #27532=EDGE_LOOP('',(#74726,#74727,#74728,#74729)); #27533=EDGE_LOOP('',(#74730,#74731,#74732,#74733)); #27534=EDGE_LOOP('',(#74734,#74735,#74736,#74737)); #27535=EDGE_LOOP('',(#74738,#74739,#74740,#74741)); #27536=EDGE_LOOP('',(#74742,#74743,#74744,#74745)); #27537=EDGE_LOOP('',(#74746,#74747,#74748,#74749)); #27538=EDGE_LOOP('',(#74750,#74751,#74752,#74753)); #27539=EDGE_LOOP('',(#74754,#74755,#74756,#74757)); #27540=EDGE_LOOP('',(#74758,#74759,#74760,#74761)); #27541=EDGE_LOOP('',(#74762,#74763,#74764,#74765,#74766,#74767,#74768,#74769, #74770,#74771,#74772,#74773)); #27542=EDGE_LOOP('',(#74774,#74775,#74776,#74777,#74778,#74779,#74780,#74781, #74782,#74783,#74784,#74785,#74786,#74787,#74788,#74789,#74790,#74791,#74792, #74793,#74794,#74795)); #27543=EDGE_LOOP('',(#74796,#74797,#74798,#74799,#74800,#74801,#74802)); #27544=EDGE_LOOP('',(#74803,#74804,#74805,#74806,#74807,#74808,#74809,#74810, #74811,#74812,#74813,#74814,#74815,#74816)); #27545=EDGE_LOOP('',(#74817,#74818,#74819,#74820,#74821,#74822,#74823,#74824)); #27546=EDGE_LOOP('',(#74825,#74826,#74827,#74828,#74829,#74830,#74831,#74832, #74833,#74834,#74835,#74836)); #27547=EDGE_LOOP('',(#74837,#74838,#74839,#74840,#74841,#74842,#74843,#74844, #74845,#74846,#74847,#74848)); #27548=EDGE_LOOP('',(#74849,#74850,#74851,#74852,#74853,#74854,#74855)); #27549=EDGE_LOOP('',(#74856,#74857,#74858,#74859,#74860,#74861,#74862,#74863, #74864,#74865,#74866,#74867,#74868,#74869)); #27550=EDGE_LOOP('',(#74870,#74871,#74872,#74873,#74874,#74875,#74876,#74877, #74878,#74879,#74880,#74881)); #27551=EDGE_LOOP('',(#74882,#74883,#74884,#74885)); #27552=EDGE_LOOP('',(#74886)); #27553=EDGE_LOOP('',(#74887)); #27554=EDGE_LOOP('',(#74888,#74889,#74890,#74891,#74892)); #27555=EDGE_LOOP('',(#74893,#74894,#74895,#74896,#74897,#74898)); #27556=EDGE_LOOP('',(#74899,#74900,#74901,#74902,#74903,#74904)); #27557=EDGE_LOOP('',(#74905,#74906,#74907,#74908,#74909)); #27558=EDGE_LOOP('',(#74910,#74911,#74912,#74913,#74914)); #27559=EDGE_LOOP('',(#74915,#74916,#74917,#74918)); #27560=EDGE_LOOP('',(#74919,#74920,#74921,#74922)); #27561=EDGE_LOOP('',(#74923,#74924,#74925,#74926)); #27562=EDGE_LOOP('',(#74927,#74928,#74929,#74930,#74931,#74932,#74933,#74934)); #27563=EDGE_LOOP('',(#74935,#74936,#74937,#74938)); #27564=EDGE_LOOP('',(#74939,#74940,#74941,#74942)); #27565=EDGE_LOOP('',(#74943,#74944,#74945,#74946,#74947,#74948)); #27566=EDGE_LOOP('',(#74949,#74950,#74951,#74952)); #27567=EDGE_LOOP('',(#74953,#74954,#74955,#74956,#74957,#74958)); #27568=EDGE_LOOP('',(#74959,#74960,#74961,#74962)); #27569=EDGE_LOOP('',(#74963,#74964,#74965,#74966)); #27570=EDGE_LOOP('',(#74967,#74968,#74969,#74970,#74971,#74972)); #27571=EDGE_LOOP('',(#74973,#74974,#74975,#74976)); #27572=EDGE_LOOP('',(#74977,#74978,#74979,#74980,#74981,#74982,#74983,#74984)); #27573=EDGE_LOOP('',(#74985,#74986,#74987,#74988,#74989,#74990,#74991)); #27574=EDGE_LOOP('',(#74992,#74993,#74994,#74995,#74996)); #27575=EDGE_LOOP('',(#74997,#74998,#74999,#75000,#75001,#75002)); #27576=EDGE_LOOP('',(#75003,#75004,#75005,#75006,#75007,#75008)); #27577=EDGE_LOOP('',(#75009,#75010,#75011,#75012,#75013)); #27578=EDGE_LOOP('',(#75014,#75015,#75016,#75017,#75018)); #27579=EDGE_LOOP('',(#75019,#75020,#75021,#75022,#75023)); #27580=EDGE_LOOP('',(#75024,#75025,#75026,#75027,#75028,#75029)); #27581=EDGE_LOOP('',(#75030,#75031,#75032,#75033,#75034,#75035,#75036,#75037, #75038,#75039,#75040,#75041,#75042,#75043,#75044,#75045,#75046,#75047,#75048, #75049,#75050,#75051)); #27582=EDGE_LOOP('',(#75052,#75053,#75054,#75055)); #27583=EDGE_LOOP('',(#75056)); #27584=EDGE_LOOP('',(#75057)); #27585=EDGE_LOOP('',(#75058,#75059,#75060,#75061,#75062,#75063)); #27586=EDGE_LOOP('',(#75064,#75065,#75066,#75067,#75068,#75069)); #27587=EDGE_LOOP('',(#75070,#75071,#75072,#75073)); #27588=EDGE_LOOP('',(#75074,#75075,#75076,#75077)); #27589=EDGE_LOOP('',(#75078,#75079,#75080,#75081)); #27590=EDGE_LOOP('',(#75082,#75083,#75084,#75085,#75086,#75087)); #27591=EDGE_LOOP('',(#75088,#75089,#75090,#75091)); #27592=EDGE_LOOP('',(#75092,#75093,#75094,#75095)); #27593=EDGE_LOOP('',(#75096,#75097,#75098,#75099)); #27594=EDGE_LOOP('',(#75100,#75101,#75102,#75103)); #27595=EDGE_LOOP('',(#75104,#75105,#75106,#75107)); #27596=EDGE_LOOP('',(#75108,#75109,#75110,#75111)); #27597=EDGE_LOOP('',(#75112,#75113,#75114,#75115)); #27598=EDGE_LOOP('',(#75116,#75117,#75118,#75119)); #27599=EDGE_LOOP('',(#75120,#75121,#75122,#75123)); #27600=EDGE_LOOP('',(#75124,#75125,#75126,#75127)); #27601=EDGE_LOOP('',(#75128,#75129,#75130,#75131)); #27602=EDGE_LOOP('',(#75132,#75133,#75134,#75135)); #27603=EDGE_LOOP('',(#75136,#75137,#75138,#75139)); #27604=EDGE_LOOP('',(#75140,#75141,#75142,#75143)); #27605=EDGE_LOOP('',(#75144,#75145,#75146,#75147)); #27606=EDGE_LOOP('',(#75148,#75149,#75150,#75151)); #27607=EDGE_LOOP('',(#75152,#75153,#75154,#75155)); #27608=EDGE_LOOP('',(#75156,#75157,#75158,#75159)); #27609=EDGE_LOOP('',(#75160,#75161,#75162,#75163)); #27610=EDGE_LOOP('',(#75164,#75165,#75166,#75167)); #27611=EDGE_LOOP('',(#75168,#75169,#75170,#75171)); #27612=EDGE_LOOP('',(#75172,#75173,#75174,#75175)); #27613=EDGE_LOOP('',(#75176,#75177,#75178,#75179)); #27614=EDGE_LOOP('',(#75180,#75181,#75182,#75183)); #27615=EDGE_LOOP('',(#75184,#75185,#75186,#75187)); #27616=EDGE_LOOP('',(#75188,#75189,#75190,#75191)); #27617=EDGE_LOOP('',(#75192,#75193,#75194,#75195,#75196,#75197)); #27618=EDGE_LOOP('',(#75198,#75199,#75200,#75201)); #27619=EDGE_LOOP('',(#75202,#75203,#75204,#75205)); #27620=EDGE_LOOP('',(#75206,#75207,#75208,#75209)); #27621=EDGE_LOOP('',(#75210,#75211,#75212,#75213)); #27622=EDGE_LOOP('',(#75214,#75215,#75216,#75217)); #27623=EDGE_LOOP('',(#75218,#75219,#75220,#75221)); #27624=EDGE_LOOP('',(#75222,#75223,#75224,#75225)); #27625=EDGE_LOOP('',(#75226,#75227,#75228,#75229)); #27626=EDGE_LOOP('',(#75230,#75231,#75232,#75233)); #27627=EDGE_LOOP('',(#75234,#75235,#75236,#75237)); #27628=EDGE_LOOP('',(#75238,#75239,#75240,#75241)); #27629=EDGE_LOOP('',(#75242,#75243,#75244,#75245)); #27630=EDGE_LOOP('',(#75246,#75247,#75248,#75249)); #27631=EDGE_LOOP('',(#75250,#75251,#75252,#75253)); #27632=EDGE_LOOP('',(#75254,#75255,#75256,#75257)); #27633=EDGE_LOOP('',(#75258,#75259,#75260,#75261)); #27634=EDGE_LOOP('',(#75262,#75263,#75264,#75265)); #27635=EDGE_LOOP('',(#75266,#75267,#75268,#75269)); #27636=EDGE_LOOP('',(#75270,#75271,#75272,#75273)); #27637=EDGE_LOOP('',(#75274,#75275,#75276,#75277)); #27638=EDGE_LOOP('',(#75278,#75279,#75280,#75281)); #27639=EDGE_LOOP('',(#75282,#75283,#75284,#75285)); #27640=EDGE_LOOP('',(#75286,#75287,#75288,#75289)); #27641=EDGE_LOOP('',(#75290,#75291,#75292,#75293)); #27642=EDGE_LOOP('',(#75294,#75295,#75296,#75297)); #27643=EDGE_LOOP('',(#75298,#75299,#75300,#75301)); #27644=EDGE_LOOP('',(#75302,#75303,#75304,#75305)); #27645=EDGE_LOOP('',(#75306,#75307,#75308,#75309)); #27646=EDGE_LOOP('',(#75310)); #27647=EDGE_LOOP('',(#75311,#75312,#75313,#75314)); #27648=EDGE_LOOP('',(#75315,#75316,#75317,#75318)); #27649=EDGE_LOOP('',(#75319)); #27650=EDGE_LOOP('',(#75320,#75321,#75322,#75323)); #27651=EDGE_LOOP('',(#75324,#75325,#75326,#75327)); #27652=EDGE_LOOP('',(#75328)); #27653=EDGE_LOOP('',(#75329)); #27654=EDGE_LOOP('',(#75330,#75331,#75332,#75333)); #27655=EDGE_LOOP('',(#75334)); #27656=EDGE_LOOP('',(#75335)); #27657=EDGE_LOOP('',(#75336)); #27658=EDGE_LOOP('',(#75337)); #27659=EDGE_LOOP('',(#75338,#75339,#75340,#75341)); #27660=EDGE_LOOP('',(#75342,#75343,#75344,#75345)); #27661=EDGE_LOOP('',(#75346)); #27662=EDGE_LOOP('',(#75347,#75348,#75349,#75350)); #27663=EDGE_LOOP('',(#75351,#75352,#75353,#75354)); #27664=EDGE_LOOP('',(#75355)); #27665=EDGE_LOOP('',(#75356,#75357,#75358,#75359)); #27666=EDGE_LOOP('',(#75360,#75361,#75362,#75363)); #27667=EDGE_LOOP('',(#75364)); #27668=EDGE_LOOP('',(#75365)); #27669=EDGE_LOOP('',(#75366,#75367,#75368,#75369)); #27670=EDGE_LOOP('',(#75370)); #27671=EDGE_LOOP('',(#75371)); #27672=EDGE_LOOP('',(#75372)); #27673=EDGE_LOOP('',(#75373)); #27674=EDGE_LOOP('',(#75374,#75375,#75376,#75377)); #27675=EDGE_LOOP('',(#75378,#75379,#75380,#75381)); #27676=EDGE_LOOP('',(#75382)); #27677=EDGE_LOOP('',(#75383,#75384,#75385,#75386)); #27678=EDGE_LOOP('',(#75387,#75388,#75389,#75390)); #27679=EDGE_LOOP('',(#75391)); #27680=EDGE_LOOP('',(#75392,#75393,#75394,#75395)); #27681=EDGE_LOOP('',(#75396,#75397,#75398,#75399,#75400)); #27682=EDGE_LOOP('',(#75401,#75402,#75403,#75404,#75405,#75406)); #27683=EDGE_LOOP('',(#75407,#75408,#75409)); #27684=EDGE_LOOP('',(#75410,#75411,#75412,#75413,#75414,#75415,#75416,#75417)); #27685=EDGE_LOOP('',(#75418,#75419,#75420,#75421)); #27686=EDGE_LOOP('',(#75422,#75423,#75424,#75425,#75426,#75427,#75428,#75429, #75430,#75431)); #27687=EDGE_LOOP('',(#75432,#75433)); #27688=EDGE_LOOP('',(#75434)); #27689=EDGE_LOOP('',(#75435,#75436,#75437,#75438)); #27690=EDGE_LOOP('',(#75439)); #27691=EDGE_LOOP('',(#75440)); #27692=EDGE_LOOP('',(#75441,#75442,#75443,#75444,#75445)); #27693=EDGE_LOOP('',(#75446,#75447,#75448,#75449)); #27694=EDGE_LOOP('',(#75450,#75451,#75452,#75453,#75454)); #27695=EDGE_LOOP('',(#75455,#75456,#75457,#75458,#75459)); #27696=EDGE_LOOP('',(#75460)); #27697=EDGE_LOOP('',(#75461)); #27698=EDGE_LOOP('',(#75462,#75463,#75464,#75465)); #27699=EDGE_LOOP('',(#75466,#75467,#75468,#75469)); #27700=EDGE_LOOP('',(#75470,#75471,#75472,#75473)); #27701=EDGE_LOOP('',(#75474)); #27702=EDGE_LOOP('',(#75475)); #27703=EDGE_LOOP('',(#75476)); #27704=EDGE_LOOP('',(#75477)); #27705=EDGE_LOOP('',(#75478,#75479,#75480,#75481,#75482)); #27706=EDGE_LOOP('',(#75483)); #27707=EDGE_LOOP('',(#75484)); #27708=EDGE_LOOP('',(#75485,#75486,#75487,#75488,#75489,#75490)); #27709=EDGE_LOOP('',(#75491,#75492,#75493,#75494)); #27710=EDGE_LOOP('',(#75495)); #27711=EDGE_LOOP('',(#75496)); #27712=EDGE_LOOP('',(#75497,#75498,#75499,#75500)); #27713=EDGE_LOOP('',(#75501,#75502,#75503,#75504,#75505)); #27714=EDGE_LOOP('',(#75506,#75507,#75508,#75509,#75510,#75511)); #27715=EDGE_LOOP('',(#75512,#75513,#75514,#75515)); #27716=EDGE_LOOP('',(#75516)); #27717=EDGE_LOOP('',(#75517)); #27718=EDGE_LOOP('',(#75518,#75519,#75520,#75521)); #27719=EDGE_LOOP('',(#75522,#75523,#75524,#75525)); #27720=EDGE_LOOP('',(#75526)); #27721=EDGE_LOOP('',(#75527)); #27722=EDGE_LOOP('',(#75528)); #27723=EDGE_LOOP('',(#75529,#75530,#75531,#75532)); #27724=EDGE_LOOP('',(#75533,#75534,#75535,#75536,#75537,#75538,#75539,#75540, #75541,#75542,#75543,#75544,#75545,#75546,#75547)); #27725=EDGE_LOOP('',(#75548,#75549,#75550,#75551,#75552)); #27726=EDGE_LOOP('',(#75553,#75554,#75555,#75556,#75557)); #27727=EDGE_LOOP('',(#75558,#75559,#75560,#75561,#75562)); #27728=EDGE_LOOP('',(#75563,#75564,#75565,#75566,#75567)); #27729=EDGE_LOOP('',(#75568,#75569,#75570,#75571,#75572)); #27730=EDGE_LOOP('',(#75573,#75574,#75575,#75576,#75577)); #27731=EDGE_LOOP('',(#75578,#75579,#75580,#75581,#75582,#75583)); #27732=EDGE_LOOP('',(#75584,#75585,#75586,#75587)); #27733=EDGE_LOOP('',(#75588,#75589,#75590,#75591)); #27734=EDGE_LOOP('',(#75592)); #27735=EDGE_LOOP('',(#75593)); #27736=EDGE_LOOP('',(#75594,#75595,#75596,#75597)); #27737=EDGE_LOOP('',(#75598)); #27738=EDGE_LOOP('',(#75599)); #27739=EDGE_LOOP('',(#75600,#75601,#75602,#75603)); #27740=EDGE_LOOP('',(#75604)); #27741=EDGE_LOOP('',(#75605)); #27742=EDGE_LOOP('',(#75606)); #27743=EDGE_LOOP('',(#75607)); #27744=EDGE_LOOP('',(#75608,#75609,#75610,#75611)); #27745=EDGE_LOOP('',(#75612,#75613,#75614,#75615)); #27746=EDGE_LOOP('',(#75616,#75617,#75618,#75619,#75620,#75621,#75622,#75623, #75624,#75625)); #27747=EDGE_LOOP('',(#75626,#75627,#75628,#75629,#75630,#75631,#75632,#75633, #75634,#75635)); #27748=EDGE_LOOP('',(#75636,#75637,#75638,#75639)); #27749=EDGE_LOOP('',(#75640,#75641,#75642,#75643)); #27750=EDGE_LOOP('',(#75644)); #27751=EDGE_LOOP('',(#75645)); #27752=EDGE_LOOP('',(#75646)); #27753=EDGE_LOOP('',(#75647,#75648,#75649,#75650)); #27754=EDGE_LOOP('',(#75651)); #27755=EDGE_LOOP('',(#75652,#75653,#75654,#75655,#75656)); #27756=EDGE_LOOP('',(#75657,#75658,#75659,#75660,#75661)); #27757=EDGE_LOOP('',(#75662,#75663,#75664,#75665,#75666)); #27758=EDGE_LOOP('',(#75667,#75668,#75669,#75670,#75671)); #27759=EDGE_LOOP('',(#75672,#75673,#75674,#75675)); #27760=EDGE_LOOP('',(#75676,#75677,#75678,#75679)); #27761=EDGE_LOOP('',(#75680,#75681,#75682,#75683)); #27762=EDGE_LOOP('',(#75684,#75685,#75686,#75687)); #27763=EDGE_LOOP('',(#75688,#75689,#75690,#75691)); #27764=EDGE_LOOP('',(#75692,#75693,#75694,#75695)); #27765=EDGE_LOOP('',(#75696,#75697,#75698,#75699)); #27766=EDGE_LOOP('',(#75700,#75701,#75702,#75703)); #27767=EDGE_LOOP('',(#75704,#75705,#75706,#75707)); #27768=EDGE_LOOP('',(#75708,#75709,#75710,#75711)); #27769=EDGE_LOOP('',(#75712,#75713,#75714,#75715)); #27770=EDGE_LOOP('',(#75716,#75717,#75718,#75719)); #27771=EDGE_LOOP('',(#75720,#75721,#75722,#75723)); #27772=EDGE_LOOP('',(#75724,#75725,#75726,#75727)); #27773=EDGE_LOOP('',(#75728,#75729,#75730,#75731)); #27774=EDGE_LOOP('',(#75732,#75733,#75734,#75735)); #27775=EDGE_LOOP('',(#75736,#75737,#75738,#75739)); #27776=EDGE_LOOP('',(#75740,#75741,#75742,#75743)); #27777=EDGE_LOOP('',(#75744,#75745,#75746,#75747,#75748,#75749,#75750,#75751)); #27778=EDGE_LOOP('',(#75752,#75753,#75754,#75755)); #27779=EDGE_LOOP('',(#75756,#75757,#75758,#75759)); #27780=EDGE_LOOP('',(#75760,#75761,#75762,#75763)); #27781=EDGE_LOOP('',(#75764,#75765,#75766,#75767,#75768,#75769,#75770,#75771, #75772,#75773,#75774,#75775)); #27782=EDGE_LOOP('',(#75776,#75777,#75778,#75779,#75780,#75781,#75782,#75783, #75784,#75785,#75786,#75787)); #27783=EDGE_LOOP('',(#75788,#75789,#75790,#75791)); #27784=EDGE_LOOP('',(#75792,#75793,#75794,#75795)); #27785=EDGE_LOOP('',(#75796,#75797,#75798,#75799)); #27786=EDGE_LOOP('',(#75800,#75801,#75802,#75803)); #27787=EDGE_LOOP('',(#75804,#75805,#75806,#75807)); #27788=EDGE_LOOP('',(#75808,#75809,#75810,#75811)); #27789=EDGE_LOOP('',(#75812,#75813,#75814,#75815)); #27790=EDGE_LOOP('',(#75816,#75817,#75818,#75819)); #27791=EDGE_LOOP('',(#75820,#75821,#75822,#75823)); #27792=EDGE_LOOP('',(#75824,#75825,#75826,#75827)); #27793=EDGE_LOOP('',(#75828,#75829,#75830,#75831)); #27794=EDGE_LOOP('',(#75832,#75833,#75834,#75835)); #27795=EDGE_LOOP('',(#75836,#75837,#75838,#75839)); #27796=EDGE_LOOP('',(#75840,#75841,#75842,#75843,#75844,#75845,#75846,#75847)); #27797=EDGE_LOOP('',(#75848,#75849,#75850,#75851)); #27798=EDGE_LOOP('',(#75852,#75853,#75854,#75855)); #27799=EDGE_LOOP('',(#75856,#75857,#75858,#75859)); #27800=EDGE_LOOP('',(#75860,#75861,#75862,#75863,#75864,#75865,#75866,#75867, #75868,#75869,#75870,#75871)); #27801=EDGE_LOOP('',(#75872,#75873,#75874,#75875)); #27802=EDGE_LOOP('',(#75876,#75877,#75878,#75879,#75880,#75881,#75882,#75883, #75884,#75885)); #27803=EDGE_LOOP('',(#75886,#75887,#75888,#75889)); #27804=EDGE_LOOP('',(#75890,#75891,#75892,#75893,#75894,#75895,#75896,#75897, #75898,#75899,#75900,#75901)); #27805=EDGE_LOOP('',(#75902,#75903,#75904)); #27806=EDGE_LOOP('',(#75905,#75906,#75907)); #27807=EDGE_LOOP('',(#75908,#75909,#75910)); #27808=EDGE_LOOP('',(#75911,#75912,#75913)); #27809=EDGE_LOOP('',(#75914,#75915,#75916)); #27810=EDGE_LOOP('',(#75917,#75918,#75919,#75920)); #27811=EDGE_LOOP('',(#75921,#75922,#75923,#75924)); #27812=EDGE_LOOP('',(#75925,#75926,#75927,#75928)); #27813=EDGE_LOOP('',(#75929,#75930,#75931,#75932,#75933,#75934,#75935,#75936)); #27814=EDGE_LOOP('',(#75937,#75938,#75939,#75940)); #27815=EDGE_LOOP('',(#75941,#75942,#75943,#75944)); #27816=EDGE_LOOP('',(#75945,#75946,#75947,#75948)); #27817=EDGE_LOOP('',(#75949,#75950,#75951,#75952,#75953,#75954,#75955,#75956)); #27818=EDGE_LOOP('',(#75957,#75958,#75959,#75960)); #27819=EDGE_LOOP('',(#75961,#75962,#75963,#75964)); #27820=EDGE_LOOP('',(#75965,#75966,#75967,#75968)); #27821=EDGE_LOOP('',(#75969,#75970,#75971,#75972)); #27822=EDGE_LOOP('',(#75973,#75974,#75975,#75976)); #27823=EDGE_LOOP('',(#75977,#75978,#75979,#75980)); #27824=EDGE_LOOP('',(#75981,#75982,#75983,#75984)); #27825=EDGE_LOOP('',(#75985,#75986,#75987,#75988)); #27826=EDGE_LOOP('',(#75989,#75990,#75991,#75992)); #27827=EDGE_LOOP('',(#75993,#75994,#75995)); #27828=EDGE_LOOP('',(#75996,#75997,#75998,#75999,#76000,#76001,#76002,#76003, #76004,#76005,#76006,#76007)); #27829=EDGE_LOOP('',(#76008,#76009,#76010,#76011)); #27830=EDGE_LOOP('',(#76012,#76013,#76014,#76015)); #27831=EDGE_LOOP('',(#76016,#76017,#76018,#76019)); #27832=EDGE_LOOP('',(#76020,#76021,#76022,#76023,#76024,#76025,#76026,#76027)); #27833=EDGE_LOOP('',(#76028,#76029,#76030,#76031)); #27834=EDGE_LOOP('',(#76032,#76033,#76034,#76035)); #27835=EDGE_LOOP('',(#76036,#76037,#76038,#76039,#76040,#76041,#76042,#76043)); #27836=EDGE_LOOP('',(#76044,#76045,#76046,#76047)); #27837=EDGE_LOOP('',(#76048,#76049,#76050,#76051)); #27838=EDGE_LOOP('',(#76052,#76053,#76054,#76055)); #27839=EDGE_LOOP('',(#76056,#76057,#76058,#76059)); #27840=EDGE_LOOP('',(#76060,#76061,#76062,#76063)); #27841=EDGE_LOOP('',(#76064,#76065,#76066,#76067)); #27842=EDGE_LOOP('',(#76068,#76069,#76070,#76071)); #27843=EDGE_LOOP('',(#76072,#76073,#76074,#76075)); #27844=EDGE_LOOP('',(#76076,#76077,#76078,#76079,#76080,#76081,#76082,#76083, #76084,#76085,#76086,#76087,#76088)); #27845=EDGE_LOOP('',(#76089,#76090,#76091,#76092)); #27846=EDGE_LOOP('',(#76093,#76094,#76095,#76096)); #27847=EDGE_LOOP('',(#76097,#76098,#76099,#76100)); #27848=EDGE_LOOP('',(#76101,#76102,#76103,#76104)); #27849=EDGE_LOOP('',(#76105,#76106,#76107,#76108)); #27850=EDGE_LOOP('',(#76109,#76110,#76111,#76112,#76113,#76114,#76115,#76116, #76117,#76118,#76119,#76120,#76121)); #27851=EDGE_LOOP('',(#76122,#76123,#76124,#76125)); #27852=EDGE_LOOP('',(#76126,#76127,#76128,#76129)); #27853=EDGE_LOOP('',(#76130,#76131,#76132,#76133)); #27854=EDGE_LOOP('',(#76134,#76135,#76136,#76137)); #27855=EDGE_LOOP('',(#76138,#76139,#76140,#76141)); #27856=EDGE_LOOP('',(#76142,#76143,#76144,#76145)); #27857=EDGE_LOOP('',(#76146,#76147,#76148,#76149)); #27858=EDGE_LOOP('',(#76150,#76151,#76152,#76153)); #27859=EDGE_LOOP('',(#76154,#76155,#76156,#76157)); #27860=EDGE_LOOP('',(#76158,#76159,#76160,#76161)); #27861=EDGE_LOOP('',(#76162,#76163,#76164,#76165)); #27862=EDGE_LOOP('',(#76166,#76167,#76168,#76169)); #27863=EDGE_LOOP('',(#76170,#76171,#76172,#76173,#76174,#76175,#76176,#76177, #76178,#76179,#76180,#76181,#76182)); #27864=EDGE_LOOP('',(#76183,#76184,#76185,#76186)); #27865=EDGE_LOOP('',(#76187,#76188,#76189,#76190)); #27866=EDGE_LOOP('',(#76191,#76192,#76193,#76194)); #27867=EDGE_LOOP('',(#76195,#76196,#76197,#76198,#76199,#76200,#76201,#76202, #76203,#76204,#76205,#76206,#76207)); #27868=EDGE_LOOP('',(#76208,#76209,#76210,#76211)); #27869=EDGE_LOOP('',(#76212,#76213,#76214,#76215)); #27870=EDGE_LOOP('',(#76216,#76217,#76218,#76219)); #27871=EDGE_LOOP('',(#76220,#76221,#76222,#76223)); #27872=EDGE_LOOP('',(#76224,#76225,#76226,#76227)); #27873=EDGE_LOOP('',(#76228,#76229,#76230,#76231)); #27874=EDGE_LOOP('',(#76232,#76233,#76234,#76235)); #27875=EDGE_LOOP('',(#76236,#76237,#76238,#76239)); #27876=EDGE_LOOP('',(#76240,#76241,#76242,#76243)); #27877=EDGE_LOOP('',(#76244,#76245,#76246,#76247)); #27878=EDGE_LOOP('',(#76248,#76249,#76250,#76251)); #27879=EDGE_LOOP('',(#76252,#76253,#76254,#76255)); #27880=EDGE_LOOP('',(#76256,#76257,#76258,#76259,#76260,#76261,#76262,#76263, #76264,#76265,#76266,#76267,#76268)); #27881=EDGE_LOOP('',(#76269,#76270,#76271,#76272)); #27882=EDGE_LOOP('',(#76273,#76274,#76275,#76276)); #27883=EDGE_LOOP('',(#76277,#76278,#76279,#76280)); #27884=EDGE_LOOP('',(#76281,#76282,#76283,#76284)); #27885=EDGE_LOOP('',(#76285,#76286,#76287,#76288)); #27886=EDGE_LOOP('',(#76289,#76290,#76291,#76292)); #27887=EDGE_LOOP('',(#76293,#76294,#76295,#76296)); #27888=EDGE_LOOP('',(#76297,#76298,#76299,#76300)); #27889=EDGE_LOOP('',(#76301,#76302,#76303,#76304)); #27890=EDGE_LOOP('',(#76305,#76306,#76307,#76308)); #27891=EDGE_LOOP('',(#76309,#76310,#76311,#76312,#76313,#76314,#76315,#76316, #76317,#76318,#76319,#76320,#76321)); #27892=EDGE_LOOP('',(#76322,#76323,#76324,#76325)); #27893=EDGE_LOOP('',(#76326,#76327,#76328,#76329)); #27894=EDGE_LOOP('',(#76330,#76331,#76332,#76333)); #27895=EDGE_LOOP('',(#76334,#76335,#76336,#76337)); #27896=EDGE_LOOP('',(#76338,#76339,#76340,#76341)); #27897=EDGE_LOOP('',(#76342,#76343,#76344,#76345)); #27898=EDGE_LOOP('',(#76346,#76347,#76348,#76349)); #27899=EDGE_LOOP('',(#76350,#76351,#76352,#76353)); #27900=EDGE_LOOP('',(#76354,#76355,#76356,#76357)); #27901=EDGE_LOOP('',(#76358,#76359,#76360,#76361)); #27902=EDGE_LOOP('',(#76362,#76363,#76364,#76365)); #27903=EDGE_LOOP('',(#76366,#76367,#76368,#76369)); #27904=EDGE_LOOP('',(#76370,#76371,#76372,#76373)); #27905=EDGE_LOOP('',(#76374,#76375,#76376,#76377,#76378,#76379,#76380,#76381, #76382,#76383,#76384,#76385,#76386)); #27906=EDGE_LOOP('',(#76387,#76388,#76389,#76390)); #27907=EDGE_LOOP('',(#76391,#76392,#76393,#76394)); #27908=EDGE_LOOP('',(#76395,#76396,#76397,#76398)); #27909=EDGE_LOOP('',(#76399,#76400,#76401,#76402,#76403,#76404,#76405,#76406, #76407,#76408,#76409,#76410,#76411)); #27910=EDGE_LOOP('',(#76412,#76413,#76414,#76415)); #27911=EDGE_LOOP('',(#76416,#76417,#76418,#76419)); #27912=EDGE_LOOP('',(#76420,#76421,#76422,#76423)); #27913=EDGE_LOOP('',(#76424,#76425,#76426,#76427)); #27914=EDGE_LOOP('',(#76428,#76429,#76430,#76431)); #27915=EDGE_LOOP('',(#76432,#76433,#76434,#76435)); #27916=EDGE_LOOP('',(#76436,#76437,#76438,#76439)); #27917=EDGE_LOOP('',(#76440,#76441,#76442,#76443)); #27918=EDGE_LOOP('',(#76444,#76445,#76446,#76447)); #27919=EDGE_LOOP('',(#76448,#76449,#76450,#76451)); #27920=EDGE_LOOP('',(#76452,#76453,#76454,#76455)); #27921=EDGE_LOOP('',(#76456,#76457,#76458,#76459)); #27922=EDGE_LOOP('',(#76460,#76461,#76462,#76463)); #27923=EDGE_LOOP('',(#76464,#76465,#76466,#76467)); #27924=EDGE_LOOP('',(#76468,#76469,#76470,#76471)); #27925=EDGE_LOOP('',(#76472,#76473,#76474,#76475)); #27926=EDGE_LOOP('',(#76476,#76477,#76478,#76479,#76480,#76481,#76482,#76483, #76484,#76485,#76486,#76487,#76488)); #27927=EDGE_LOOP('',(#76489,#76490,#76491,#76492)); #27928=EDGE_LOOP('',(#76493,#76494,#76495,#76496)); #27929=EDGE_LOOP('',(#76497,#76498,#76499,#76500)); #27930=EDGE_LOOP('',(#76501,#76502,#76503,#76504)); #27931=EDGE_LOOP('',(#76505,#76506,#76507,#76508)); #27932=EDGE_LOOP('',(#76509,#76510,#76511,#76512)); #27933=EDGE_LOOP('',(#76513,#76514,#76515,#76516)); #27934=EDGE_LOOP('',(#76517,#76518,#76519,#76520)); #27935=EDGE_LOOP('',(#76521,#76522,#76523,#76524)); #27936=EDGE_LOOP('',(#76525,#76526,#76527,#76528,#76529,#76530,#76531,#76532, #76533,#76534,#76535,#76536,#76537)); #27937=EDGE_LOOP('',(#76538,#76539,#76540,#76541)); #27938=EDGE_LOOP('',(#76542,#76543,#76544,#76545)); #27939=EDGE_LOOP('',(#76546,#76547,#76548,#76549)); #27940=EDGE_LOOP('',(#76550,#76551,#76552,#76553)); #27941=EDGE_LOOP('',(#76554,#76555,#76556,#76557)); #27942=EDGE_LOOP('',(#76558,#76559,#76560,#76561,#76562)); #27943=EDGE_LOOP('',(#76563,#76564,#76565,#76566)); #27944=EDGE_LOOP('',(#76567,#76568,#76569,#76570)); #27945=EDGE_LOOP('',(#76571,#76572,#76573,#76574)); #27946=EDGE_LOOP('',(#76575,#76576,#76577,#76578,#76579)); #27947=EDGE_LOOP('',(#76580,#76581,#76582,#76583)); #27948=EDGE_LOOP('',(#76584,#76585,#76586,#76587)); #27949=EDGE_LOOP('',(#76588,#76589,#76590,#76591)); #27950=EDGE_LOOP('',(#76592,#76593,#76594,#76595)); #27951=EDGE_LOOP('',(#76596,#76597,#76598,#76599)); #27952=EDGE_LOOP('',(#76600,#76601,#76602,#76603)); #27953=EDGE_LOOP('',(#76604,#76605,#76606,#76607,#76608,#76609,#76610,#76611, #76612,#76613,#76614,#76615,#76616,#76617,#76618,#76619,#76620,#76621,#76622, #76623,#76624,#76625,#76626,#76627,#76628,#76629,#76630,#76631,#76632,#76633, #76634,#76635,#76636,#76637)); #27954=EDGE_LOOP('',(#76638,#76639,#76640,#76641)); #27955=EDGE_LOOP('',(#76642,#76643,#76644,#76645)); #27956=EDGE_LOOP('',(#76646,#76647,#76648)); #27957=EDGE_LOOP('',(#76649,#76650,#76651,#76652)); #27958=EDGE_LOOP('',(#76653,#76654,#76655)); #27959=EDGE_LOOP('',(#76656,#76657,#76658,#76659)); #27960=EDGE_LOOP('',(#76660,#76661,#76662)); #27961=EDGE_LOOP('',(#76663,#76664,#76665)); #27962=EDGE_LOOP('',(#76666,#76667,#76668,#76669)); #27963=EDGE_LOOP('',(#76670,#76671,#76672,#76673)); #27964=EDGE_LOOP('',(#76674,#76675,#76676,#76677)); #27965=EDGE_LOOP('',(#76678,#76679,#76680,#76681)); #27966=EDGE_LOOP('',(#76682,#76683,#76684,#76685)); #27967=EDGE_LOOP('',(#76686,#76687,#76688,#76689)); #27968=EDGE_LOOP('',(#76690,#76691,#76692,#76693,#76694)); #27969=EDGE_LOOP('',(#76695,#76696,#76697,#76698)); #27970=EDGE_LOOP('',(#76699,#76700,#76701,#76702)); #27971=EDGE_LOOP('',(#76703,#76704,#76705,#76706,#76707)); #27972=EDGE_LOOP('',(#76708,#76709,#76710,#76711)); #27973=EDGE_LOOP('',(#76712,#76713,#76714,#76715)); #27974=EDGE_LOOP('',(#76716,#76717,#76718,#76719)); #27975=EDGE_LOOP('',(#76720,#76721,#76722)); #27976=EDGE_LOOP('',(#76723,#76724,#76725,#76726,#76727)); #27977=EDGE_LOOP('',(#76728,#76729,#76730,#76731)); #27978=EDGE_LOOP('',(#76732,#76733,#76734,#76735)); #27979=EDGE_LOOP('',(#76736,#76737,#76738,#76739)); #27980=EDGE_LOOP('',(#76740,#76741,#76742,#76743)); #27981=EDGE_LOOP('',(#76744,#76745,#76746)); #27982=EDGE_LOOP('',(#76747,#76748,#76749,#76750,#76751)); #27983=EDGE_LOOP('',(#76752,#76753,#76754,#76755)); #27984=EDGE_LOOP('',(#76756,#76757,#76758,#76759,#76760)); #27985=EDGE_LOOP('',(#76761,#76762,#76763,#76764)); #27986=EDGE_LOOP('',(#76765,#76766,#76767,#76768)); #27987=EDGE_LOOP('',(#76769,#76770,#76771,#76772)); #27988=EDGE_LOOP('',(#76773,#76774,#76775,#76776,#76777,#76778,#76779,#76780, #76781,#76782,#76783,#76784,#76785,#76786,#76787,#76788,#76789,#76790,#76791, #76792,#76793)); #27989=EDGE_LOOP('',(#76794,#76795,#76796,#76797)); #27990=EDGE_LOOP('',(#76798,#76799,#76800)); #27991=EDGE_LOOP('',(#76801,#76802,#76803)); #27992=EDGE_LOOP('',(#76804,#76805,#76806,#76807,#76808)); #27993=EDGE_LOOP('',(#76809,#76810,#76811,#76812)); #27994=EDGE_LOOP('',(#76813,#76814,#76815,#76816)); #27995=EDGE_LOOP('',(#76817,#76818,#76819)); #27996=EDGE_LOOP('',(#76820,#76821,#76822,#76823,#76824,#76825,#76826)); #27997=EDGE_LOOP('',(#76827,#76828)); #27998=EDGE_LOOP('',(#76829,#76830,#76831,#76832,#76833,#76834)); #27999=EDGE_LOOP('',(#76835,#76836,#76837,#76838,#76839,#76840)); #28000=EDGE_LOOP('',(#76841,#76842,#76843,#76844,#76845)); #28001=EDGE_LOOP('',(#76846,#76847,#76848,#76849,#76850,#76851)); #28002=EDGE_LOOP('',(#76852,#76853,#76854,#76855,#76856,#76857,#76858,#76859, #76860,#76861)); #28003=EDGE_LOOP('',(#76862,#76863,#76864,#76865,#76866)); #28004=EDGE_LOOP('',(#76867)); #28005=EDGE_LOOP('',(#76868)); #28006=EDGE_LOOP('',(#76869,#76870,#76871,#76872,#76873,#76874,#76875,#76876, #76877,#76878,#76879,#76880,#76881,#76882,#76883,#76884,#76885,#76886,#76887, #76888,#76889,#76890,#76891,#76892,#76893,#76894,#76895,#76896,#76897)); #28007=EDGE_LOOP('',(#76898,#76899,#76900,#76901,#76902,#76903,#76904,#76905, #76906,#76907,#76908,#76909,#76910,#76911,#76912,#76913,#76914,#76915,#76916, #76917,#76918,#76919,#76920,#76921,#76922,#76923,#76924,#76925,#76926,#76927, #76928,#76929,#76930,#76931,#76932,#76933,#76934,#76935,#76936,#76937,#76938, #76939,#76940,#76941)); #28008=EDGE_LOOP('',(#76942,#76943,#76944,#76945,#76946,#76947,#76948,#76949, #76950,#76951,#76952,#76953,#76954,#76955,#76956,#76957,#76958,#76959,#76960, #76961,#76962,#76963,#76964,#76965,#76966,#76967,#76968,#76969,#76970,#76971, #76972,#76973,#76974,#76975,#76976,#76977,#76978,#76979,#76980,#76981)); #28009=EDGE_LOOP('',(#76982,#76983,#76984,#76985)); #28010=EDGE_LOOP('',(#76986)); #28011=EDGE_LOOP('',(#76987)); #28012=EDGE_LOOP('',(#76988,#76989,#76990,#76991,#76992,#76993,#76994)); #28013=EDGE_LOOP('',(#76995,#76996,#76997)); #28014=EDGE_LOOP('',(#76998,#76999,#77000,#77001)); #28015=EDGE_LOOP('',(#77002)); #28016=EDGE_LOOP('',(#77003,#77004,#77005,#77006)); #28017=EDGE_LOOP('',(#77007,#77008,#77009,#77010)); #28018=EDGE_LOOP('',(#77011,#77012,#77013,#77014)); #28019=EDGE_LOOP('',(#77015,#77016,#77017,#77018)); #28020=EDGE_LOOP('',(#77019,#77020,#77021,#77022)); #28021=EDGE_LOOP('',(#77023,#77024,#77025,#77026)); #28022=EDGE_LOOP('',(#77027,#77028,#77029,#77030)); #28023=EDGE_LOOP('',(#77031,#77032,#77033,#77034,#77035,#77036,#77037,#77038, #77039)); #28024=EDGE_LOOP('',(#77040,#77041,#77042,#77043,#77044,#77045,#77046,#77047, #77048)); #28025=EDGE_LOOP('',(#77049,#77050,#77051,#77052)); #28026=EDGE_LOOP('',(#77053,#77054,#77055,#77056)); #28027=EDGE_LOOP('',(#77057,#77058,#77059,#77060)); #28028=EDGE_LOOP('',(#77061,#77062,#77063,#77064)); #28029=EDGE_LOOP('',(#77065,#77066,#77067,#77068)); #28030=EDGE_LOOP('',(#77069,#77070,#77071,#77072)); #28031=EDGE_LOOP('',(#77073,#77074,#77075,#77076)); #28032=EDGE_LOOP('',(#77077,#77078,#77079,#77080)); #28033=EDGE_LOOP('',(#77081,#77082,#77083,#77084)); #28034=EDGE_LOOP('',(#77085,#77086,#77087,#77088)); #28035=EDGE_LOOP('',(#77089,#77090,#77091,#77092)); #28036=EDGE_LOOP('',(#77093,#77094,#77095,#77096)); #28037=EDGE_LOOP('',(#77097,#77098,#77099,#77100)); #28038=EDGE_LOOP('',(#77101,#77102,#77103,#77104)); #28039=EDGE_LOOP('',(#77105,#77106,#77107,#77108)); #28040=EDGE_LOOP('',(#77109,#77110,#77111,#77112)); #28041=EDGE_LOOP('',(#77113,#77114,#77115,#77116,#77117,#77118,#77119,#77120, #77121,#77122,#77123,#77124,#77125,#77126,#77127,#77128)); #28042=EDGE_LOOP('',(#77129,#77130,#77131,#77132)); #28043=EDGE_LOOP('',(#77133,#77134,#77135,#77136)); #28044=EDGE_LOOP('',(#77137,#77138,#77139,#77140)); #28045=EDGE_LOOP('',(#77141,#77142,#77143,#77144)); #28046=EDGE_LOOP('',(#77145,#77146,#77147,#77148)); #28047=EDGE_LOOP('',(#77149,#77150,#77151,#77152)); #28048=EDGE_LOOP('',(#77153,#77154,#77155,#77156)); #28049=EDGE_LOOP('',(#77157,#77158,#77159,#77160)); #28050=EDGE_LOOP('',(#77161,#77162,#77163,#77164)); #28051=EDGE_LOOP('',(#77165,#77166,#77167,#77168)); #28052=EDGE_LOOP('',(#77169,#77170,#77171,#77172)); #28053=EDGE_LOOP('',(#77173,#77174,#77175,#77176)); #28054=EDGE_LOOP('',(#77177,#77178,#77179,#77180)); #28055=EDGE_LOOP('',(#77181,#77182,#77183,#77184)); #28056=EDGE_LOOP('',(#77185,#77186,#77187,#77188)); #28057=EDGE_LOOP('',(#77189,#77190,#77191,#77192)); #28058=EDGE_LOOP('',(#77193,#77194,#77195,#77196,#77197,#77198,#77199,#77200, #77201,#77202,#77203,#77204,#77205,#77206,#77207,#77208)); #28059=EDGE_LOOP('',(#77209,#77210,#77211,#77212)); #28060=EDGE_LOOP('',(#77213,#77214,#77215,#77216)); #28061=EDGE_LOOP('',(#77217,#77218,#77219,#77220)); #28062=EDGE_LOOP('',(#77221,#77222,#77223,#77224)); #28063=EDGE_LOOP('',(#77225,#77226,#77227,#77228)); #28064=EDGE_LOOP('',(#77229,#77230,#77231,#77232)); #28065=EDGE_LOOP('',(#77233,#77234,#77235,#77236)); #28066=EDGE_LOOP('',(#77237,#77238,#77239,#77240)); #28067=EDGE_LOOP('',(#77241,#77242,#77243,#77244)); #28068=EDGE_LOOP('',(#77245,#77246,#77247,#77248)); #28069=EDGE_LOOP('',(#77249,#77250,#77251,#77252)); #28070=EDGE_LOOP('',(#77253,#77254,#77255,#77256)); #28071=EDGE_LOOP('',(#77257,#77258,#77259,#77260)); #28072=EDGE_LOOP('',(#77261,#77262,#77263,#77264)); #28073=EDGE_LOOP('',(#77265,#77266,#77267,#77268)); #28074=EDGE_LOOP('',(#77269,#77270,#77271,#77272)); #28075=EDGE_LOOP('',(#77273,#77274,#77275,#77276,#77277,#77278,#77279,#77280, #77281,#77282,#77283,#77284,#77285,#77286,#77287,#77288)); #28076=EDGE_LOOP('',(#77289,#77290,#77291,#77292)); #28077=EDGE_LOOP('',(#77293,#77294,#77295,#77296)); #28078=EDGE_LOOP('',(#77297,#77298,#77299,#77300)); #28079=EDGE_LOOP('',(#77301,#77302,#77303,#77304)); #28080=EDGE_LOOP('',(#77305,#77306,#77307,#77308)); #28081=EDGE_LOOP('',(#77309,#77310,#77311,#77312)); #28082=EDGE_LOOP('',(#77313,#77314,#77315,#77316)); #28083=EDGE_LOOP('',(#77317,#77318,#77319,#77320)); #28084=EDGE_LOOP('',(#77321,#77322,#77323,#77324)); #28085=EDGE_LOOP('',(#77325,#77326,#77327,#77328)); #28086=EDGE_LOOP('',(#77329,#77330,#77331,#77332)); #28087=EDGE_LOOP('',(#77333,#77334,#77335,#77336)); #28088=EDGE_LOOP('',(#77337,#77338,#77339,#77340)); #28089=EDGE_LOOP('',(#77341,#77342,#77343,#77344)); #28090=EDGE_LOOP('',(#77345,#77346,#77347,#77348)); #28091=EDGE_LOOP('',(#77349,#77350,#77351,#77352)); #28092=EDGE_LOOP('',(#77353,#77354,#77355,#77356,#77357,#77358,#77359,#77360, #77361,#77362,#77363,#77364,#77365,#77366,#77367,#77368)); #28093=EDGE_LOOP('',(#77369,#77370,#77371,#77372)); #28094=EDGE_LOOP('',(#77373,#77374,#77375,#77376)); #28095=EDGE_LOOP('',(#77377,#77378,#77379,#77380,#77381,#77382)); #28096=EDGE_LOOP('',(#77383,#77384,#77385,#77386)); #28097=EDGE_LOOP('',(#77387,#77388,#77389,#77390)); #28098=EDGE_LOOP('',(#77391,#77392,#77393,#77394,#77395,#77396)); #28099=EDGE_LOOP('',(#77397,#77398,#77399,#77400)); #28100=EDGE_LOOP('',(#77401,#77402,#77403,#77404,#77405,#77406)); #28101=EDGE_LOOP('',(#77407,#77408,#77409,#77410)); #28102=EDGE_LOOP('',(#77411,#77412,#77413,#77414,#77415,#77416)); #28103=EDGE_LOOP('',(#77417,#77418,#77419,#77420,#77421,#77422)); #28104=EDGE_LOOP('',(#77423,#77424,#77425,#77426)); #28105=EDGE_LOOP('',(#77427,#77428,#77429,#77430,#77431,#77432)); #28106=EDGE_LOOP('',(#77433,#77434,#77435,#77436)); #28107=EDGE_LOOP('',(#77437,#77438,#77439,#77440,#77441,#77442)); #28108=EDGE_LOOP('',(#77443,#77444,#77445,#77446)); #28109=EDGE_LOOP('',(#77447,#77448,#77449,#77450)); #28110=EDGE_LOOP('',(#77451,#77452,#77453,#77454)); #28111=EDGE_LOOP('',(#77455,#77456,#77457,#77458)); #28112=EDGE_LOOP('',(#77459,#77460,#77461,#77462)); #28113=EDGE_LOOP('',(#77463,#77464,#77465,#77466)); #28114=EDGE_LOOP('',(#77467,#77468,#77469,#77470)); #28115=EDGE_LOOP('',(#77471,#77472,#77473,#77474)); #28116=EDGE_LOOP('',(#77475,#77476,#77477,#77478)); #28117=EDGE_LOOP('',(#77479,#77480,#77481,#77482)); #28118=EDGE_LOOP('',(#77483,#77484,#77485,#77486)); #28119=EDGE_LOOP('',(#77487,#77488,#77489,#77490)); #28120=EDGE_LOOP('',(#77491,#77492,#77493,#77494)); #28121=EDGE_LOOP('',(#77495,#77496,#77497,#77498)); #28122=EDGE_LOOP('',(#77499,#77500,#77501,#77502)); #28123=EDGE_LOOP('',(#77503,#77504,#77505,#77506,#77507,#77508,#77509,#77510, #77511,#77512)); #28124=EDGE_LOOP('',(#77513,#77514,#77515,#77516)); #28125=EDGE_LOOP('',(#77517,#77518,#77519,#77520)); #28126=EDGE_LOOP('',(#77521,#77522,#77523,#77524)); #28127=EDGE_LOOP('',(#77525,#77526,#77527,#77528)); #28128=EDGE_LOOP('',(#77529,#77530,#77531,#77532)); #28129=EDGE_LOOP('',(#77533,#77534,#77535,#77536)); #28130=EDGE_LOOP('',(#77537,#77538,#77539,#77540)); #28131=EDGE_LOOP('',(#77541,#77542,#77543,#77544)); #28132=EDGE_LOOP('',(#77545,#77546,#77547,#77548)); #28133=EDGE_LOOP('',(#77549,#77550,#77551,#77552)); #28134=EDGE_LOOP('',(#77553,#77554,#77555,#77556)); #28135=EDGE_LOOP('',(#77557,#77558,#77559,#77560,#77561,#77562)); #28136=EDGE_LOOP('',(#77563,#77564,#77565,#77566,#77567,#77568)); #28137=EDGE_LOOP('',(#77569,#77570,#77571,#77572)); #28138=EDGE_LOOP('',(#77573,#77574,#77575,#77576)); #28139=EDGE_LOOP('',(#77577,#77578,#77579,#77580)); #28140=EDGE_LOOP('',(#77581,#77582,#77583,#77584)); #28141=EDGE_LOOP('',(#77585,#77586,#77587,#77588)); #28142=EDGE_LOOP('',(#77589,#77590,#77591,#77592)); #28143=EDGE_LOOP('',(#77593,#77594,#77595,#77596)); #28144=EDGE_LOOP('',(#77597,#77598,#77599,#77600)); #28145=EDGE_LOOP('',(#77601,#77602,#77603,#77604)); #28146=EDGE_LOOP('',(#77605,#77606,#77607,#77608)); #28147=EDGE_LOOP('',(#77609,#77610,#77611,#77612)); #28148=EDGE_LOOP('',(#77613,#77614,#77615,#77616)); #28149=EDGE_LOOP('',(#77617,#77618,#77619,#77620)); #28150=EDGE_LOOP('',(#77621,#77622,#77623,#77624)); #28151=EDGE_LOOP('',(#77625,#77626,#77627,#77628)); #28152=EDGE_LOOP('',(#77629,#77630,#77631,#77632)); #28153=EDGE_LOOP('',(#77633,#77634,#77635,#77636,#77637)); #28154=EDGE_LOOP('',(#77638,#77639,#77640,#77641,#77642,#77643)); #28155=EDGE_LOOP('',(#77644,#77645,#77646,#77647)); #28156=EDGE_LOOP('',(#77648,#77649,#77650,#77651)); #28157=EDGE_LOOP('',(#77652,#77653,#77654,#77655,#77656)); #28158=EDGE_LOOP('',(#77657,#77658,#77659,#77660)); #28159=EDGE_LOOP('',(#77661,#77662,#77663,#77664,#77665,#77666)); #28160=EDGE_LOOP('',(#77667,#77668,#77669,#77670)); #28161=EDGE_LOOP('',(#77671,#77672,#77673,#77674)); #28162=EDGE_LOOP('',(#77675,#77676,#77677,#77678)); #28163=EDGE_LOOP('',(#77679,#77680,#77681,#77682)); #28164=EDGE_LOOP('',(#77683,#77684,#77685,#77686)); #28165=EDGE_LOOP('',(#77687,#77688,#77689,#77690,#77691,#77692,#77693,#77694, #77695,#77696,#77697,#77698,#77699,#77700,#77701,#77702,#77703,#77704,#77705, #77706,#77707)); #28166=EDGE_LOOP('',(#77708,#77709,#77710,#77711)); #28167=EDGE_LOOP('',(#77712,#77713,#77714,#77715,#77716,#77717)); #28168=EDGE_LOOP('',(#77718,#77719,#77720,#77721)); #28169=EDGE_LOOP('',(#77722,#77723,#77724,#77725)); #28170=EDGE_LOOP('',(#77726,#77727,#77728,#77729)); #28171=EDGE_LOOP('',(#77730,#77731,#77732,#77733,#77734,#77735)); #28172=EDGE_LOOP('',(#77736,#77737,#77738,#77739)); #28173=EDGE_LOOP('',(#77740,#77741,#77742,#77743)); #28174=EDGE_LOOP('',(#77744,#77745,#77746,#77747)); #28175=EDGE_LOOP('',(#77748,#77749,#77750,#77751)); #28176=EDGE_LOOP('',(#77752)); #28177=EDGE_LOOP('',(#77753)); #28178=EDGE_LOOP('',(#77754,#77755,#77756,#77757,#77758)); #28179=EDGE_LOOP('',(#77759)); #28180=EDGE_LOOP('',(#77760,#77761,#77762,#77763,#77764,#77765,#77766,#77767, #77768,#77769,#77770,#77771,#77772,#77773,#77774,#77775)); #28181=EDGE_LOOP('',(#77776,#77777,#77778,#77779,#77780,#77781,#77782,#77783, #77784,#77785,#77786,#77787,#77788,#77789,#77790,#77791)); #28182=EDGE_LOOP('',(#77792,#77793,#77794,#77795,#77796,#77797,#77798,#77799, #77800,#77801,#77802,#77803,#77804,#77805,#77806,#77807)); #28183=EDGE_LOOP('',(#77808,#77809,#77810,#77811,#77812,#77813,#77814,#77815, #77816,#77817,#77818,#77819,#77820,#77821,#77822,#77823)); #28184=EDGE_LOOP('',(#77824,#77825,#77826,#77827)); #28185=EDGE_LOOP('',(#77828,#77829,#77830,#77831,#77832)); #28186=EDGE_LOOP('',(#77833,#77834,#77835,#77836)); #28187=EDGE_LOOP('',(#77837,#77838,#77839,#77840)); #28188=EDGE_LOOP('',(#77841,#77842,#77843,#77844,#77845,#77846,#77847,#77848)); #28189=EDGE_LOOP('',(#77849,#77850,#77851,#77852)); #28190=EDGE_LOOP('',(#77853,#77854,#77855,#77856)); #28191=EDGE_LOOP('',(#77857,#77858,#77859,#77860,#77861,#77862,#77863,#77864)); #28192=EDGE_LOOP('',(#77865,#77866,#77867,#77868)); #28193=EDGE_LOOP('',(#77869,#77870,#77871,#77872,#77873)); #28194=EDGE_LOOP('',(#77874,#77875,#77876,#77877)); #28195=EDGE_LOOP('',(#77878,#77879,#77880,#77881,#77882,#77883,#77884,#77885, #77886,#77887,#77888,#77889,#77890,#77891)); #28196=EDGE_LOOP('',(#77892,#77893,#77894,#77895)); #28197=EDGE_LOOP('',(#77896,#77897,#77898,#77899)); #28198=EDGE_LOOP('',(#77900,#77901,#77902,#77903)); #28199=EDGE_LOOP('',(#77904,#77905,#77906,#77907)); #28200=EDGE_LOOP('',(#77908,#77909,#77910,#77911)); #28201=EDGE_LOOP('',(#77912,#77913,#77914,#77915)); #28202=EDGE_LOOP('',(#77916,#77917,#77918,#77919)); #28203=EDGE_LOOP('',(#77920,#77921,#77922,#77923)); #28204=EDGE_LOOP('',(#77924,#77925,#77926,#77927)); #28205=EDGE_LOOP('',(#77928,#77929,#77930,#77931)); #28206=EDGE_LOOP('',(#77932,#77933,#77934,#77935)); #28207=EDGE_LOOP('',(#77936,#77937,#77938,#77939)); #28208=EDGE_LOOP('',(#77940,#77941,#77942,#77943)); #28209=EDGE_LOOP('',(#77944,#77945,#77946,#77947)); #28210=EDGE_LOOP('',(#77948,#77949,#77950,#77951,#77952,#77953,#77954,#77955, #77956,#77957,#77958,#77959,#77960,#77961)); #28211=EDGE_LOOP('',(#77962,#77963,#77964,#77965)); #28212=EDGE_LOOP('',(#77966,#77967,#77968,#77969,#77970)); #28213=EDGE_LOOP('',(#77971,#77972,#77973,#77974,#77975)); #28214=EDGE_LOOP('',(#77976)); #28215=EDGE_LOOP('',(#77977)); #28216=EDGE_LOOP('',(#77978,#77979,#77980,#77981)); #28217=EDGE_LOOP('',(#77982)); #28218=EDGE_LOOP('',(#77983)); #28219=EDGE_LOOP('',(#77984,#77985,#77986,#77987)); #28220=EDGE_LOOP('',(#77988,#77989,#77990,#77991,#77992)); #28221=EDGE_LOOP('',(#77993,#77994,#77995,#77996,#77997,#77998,#77999,#78000, #78001)); #28222=EDGE_LOOP('',(#78002,#78003,#78004,#78005)); #28223=EDGE_LOOP('',(#78006,#78007,#78008,#78009)); #28224=EDGE_LOOP('',(#78010,#78011,#78012,#78013)); #28225=EDGE_LOOP('',(#78014,#78015,#78016,#78017,#78018,#78019,#78020,#78021, #78022,#78023)); #28226=EDGE_LOOP('',(#78024,#78025,#78026,#78027)); #28227=EDGE_LOOP('',(#78028,#78029,#78030,#78031)); #28228=EDGE_LOOP('',(#78032,#78033,#78034,#78035)); #28229=EDGE_LOOP('',(#78036,#78037,#78038,#78039)); #28230=EDGE_LOOP('',(#78040,#78041,#78042,#78043)); #28231=EDGE_LOOP('',(#78044,#78045,#78046,#78047)); #28232=EDGE_LOOP('',(#78048,#78049,#78050,#78051)); #28233=EDGE_LOOP('',(#78052,#78053,#78054,#78055)); #28234=EDGE_LOOP('',(#78056,#78057,#78058,#78059)); #28235=EDGE_LOOP('',(#78060,#78061,#78062,#78063)); #28236=EDGE_LOOP('',(#78064,#78065,#78066,#78067)); #28237=EDGE_LOOP('',(#78068,#78069,#78070,#78071)); #28238=EDGE_LOOP('',(#78072,#78073,#78074,#78075)); #28239=EDGE_LOOP('',(#78076,#78077,#78078,#78079,#78080,#78081,#78082,#78083, #78084,#78085)); #28240=EDGE_LOOP('',(#78086,#78087,#78088,#78089)); #28241=EDGE_LOOP('',(#78090,#78091,#78092,#78093)); #28242=EDGE_LOOP('',(#78094,#78095,#78096,#78097)); #28243=EDGE_LOOP('',(#78098,#78099,#78100,#78101)); #28244=EDGE_LOOP('',(#78102,#78103,#78104,#78105)); #28245=EDGE_LOOP('',(#78106,#78107,#78108,#78109)); #28246=EDGE_LOOP('',(#78110,#78111,#78112,#78113)); #28247=EDGE_LOOP('',(#78114,#78115,#78116,#78117)); #28248=EDGE_LOOP('',(#78118,#78119,#78120,#78121)); #28249=EDGE_LOOP('',(#78122,#78123,#78124,#78125)); #28250=EDGE_LOOP('',(#78126,#78127,#78128,#78129,#78130,#78131,#78132,#78133, #78134,#78135)); #28251=EDGE_LOOP('',(#78136,#78137,#78138,#78139)); #28252=EDGE_LOOP('',(#78140,#78141,#78142,#78143)); #28253=EDGE_LOOP('',(#78144,#78145,#78146,#78147)); #28254=EDGE_LOOP('',(#78148,#78149,#78150,#78151)); #28255=EDGE_LOOP('',(#78152,#78153,#78154,#78155)); #28256=EDGE_LOOP('',(#78156,#78157,#78158,#78159)); #28257=EDGE_LOOP('',(#78160,#78161,#78162,#78163)); #28258=EDGE_LOOP('',(#78164,#78165,#78166,#78167)); #28259=EDGE_LOOP('',(#78168,#78169,#78170,#78171,#78172,#78173,#78174,#78175)); #28260=EDGE_LOOP('',(#78176,#78177,#78178,#78179)); #28261=EDGE_LOOP('',(#78180,#78181,#78182,#78183)); #28262=EDGE_LOOP('',(#78184,#78185,#78186,#78187)); #28263=EDGE_LOOP('',(#78188,#78189,#78190,#78191)); #28264=EDGE_LOOP('',(#78192,#78193,#78194,#78195)); #28265=EDGE_LOOP('',(#78196,#78197,#78198,#78199)); #28266=EDGE_LOOP('',(#78200,#78201,#78202,#78203)); #28267=EDGE_LOOP('',(#78204,#78205,#78206,#78207)); #28268=EDGE_LOOP('',(#78208,#78209,#78210,#78211)); #28269=EDGE_LOOP('',(#78212,#78213,#78214,#78215)); #28270=EDGE_LOOP('',(#78216,#78217,#78218,#78219)); #28271=EDGE_LOOP('',(#78220,#78221,#78222,#78223)); #28272=EDGE_LOOP('',(#78224,#78225,#78226,#78227,#78228,#78229,#78230,#78231, #78232,#78233,#78234,#78235)); #28273=EDGE_LOOP('',(#78236,#78237,#78238,#78239)); #28274=EDGE_LOOP('',(#78240,#78241,#78242,#78243)); #28275=EDGE_LOOP('',(#78244,#78245,#78246,#78247)); #28276=EDGE_LOOP('',(#78248,#78249,#78250,#78251)); #28277=EDGE_LOOP('',(#78252,#78253,#78254,#78255)); #28278=EDGE_LOOP('',(#78256,#78257,#78258,#78259)); #28279=EDGE_LOOP('',(#78260,#78261,#78262,#78263)); #28280=EDGE_LOOP('',(#78264,#78265,#78266,#78267)); #28281=EDGE_LOOP('',(#78268,#78269,#78270,#78271)); #28282=EDGE_LOOP('',(#78272,#78273,#78274,#78275)); #28283=EDGE_LOOP('',(#78276,#78277,#78278,#78279)); #28284=EDGE_LOOP('',(#78280,#78281,#78282,#78283)); #28285=EDGE_LOOP('',(#78284,#78285,#78286,#78287,#78288,#78289,#78290,#78291, #78292,#78293,#78294,#78295)); #28286=EDGE_LOOP('',(#78296,#78297,#78298,#78299)); #28287=EDGE_LOOP('',(#78300,#78301,#78302,#78303)); #28288=EDGE_LOOP('',(#78304,#78305,#78306,#78307)); #28289=EDGE_LOOP('',(#78308,#78309,#78310,#78311)); #28290=EDGE_LOOP('',(#78312,#78313,#78314,#78315)); #28291=EDGE_LOOP('',(#78316,#78317,#78318,#78319)); #28292=EDGE_LOOP('',(#78320,#78321,#78322,#78323)); #28293=EDGE_LOOP('',(#78324,#78325,#78326,#78327)); #28294=EDGE_LOOP('',(#78328,#78329,#78330,#78331)); #28295=EDGE_LOOP('',(#78332,#78333,#78334,#78335)); #28296=EDGE_LOOP('',(#78336,#78337,#78338,#78339)); #28297=EDGE_LOOP('',(#78340,#78341,#78342,#78343)); #28298=EDGE_LOOP('',(#78344,#78345,#78346,#78347)); #28299=EDGE_LOOP('',(#78348,#78349,#78350,#78351)); #28300=EDGE_LOOP('',(#78352,#78353,#78354,#78355)); #28301=EDGE_LOOP('',(#78356,#78357,#78358,#78359)); #28302=EDGE_LOOP('',(#78360,#78361,#78362,#78363)); #28303=EDGE_LOOP('',(#78364,#78365,#78366,#78367)); #28304=EDGE_LOOP('',(#78368,#78369,#78370,#78371)); #28305=EDGE_LOOP('',(#78372,#78373,#78374,#78375,#78376,#78377,#78378,#78379, #78380,#78381,#78382,#78383,#78384,#78385)); #28306=EDGE_LOOP('',(#78386,#78387,#78388,#78389,#78390)); #28307=EDGE_LOOP('',(#78391,#78392,#78393,#78394)); #28308=EDGE_LOOP('',(#78395,#78396,#78397,#78398)); #28309=EDGE_LOOP('',(#78399,#78400,#78401,#78402)); #28310=EDGE_LOOP('',(#78403,#78404,#78405,#78406)); #28311=EDGE_LOOP('',(#78407,#78408,#78409,#78410)); #28312=EDGE_LOOP('',(#78411,#78412,#78413,#78414)); #28313=EDGE_LOOP('',(#78415,#78416,#78417,#78418)); #28314=EDGE_LOOP('',(#78419,#78420,#78421,#78422)); #28315=EDGE_LOOP('',(#78423,#78424,#78425,#78426)); #28316=EDGE_LOOP('',(#78427,#78428,#78429,#78430)); #28317=EDGE_LOOP('',(#78431,#78432,#78433,#78434)); #28318=EDGE_LOOP('',(#78435,#78436,#78437,#78438)); #28319=EDGE_LOOP('',(#78439,#78440,#78441,#78442)); #28320=EDGE_LOOP('',(#78443,#78444,#78445,#78446)); #28321=EDGE_LOOP('',(#78447,#78448,#78449,#78450)); #28322=EDGE_LOOP('',(#78451,#78452,#78453,#78454)); #28323=EDGE_LOOP('',(#78455,#78456,#78457,#78458)); #28324=EDGE_LOOP('',(#78459,#78460,#78461,#78462)); #28325=EDGE_LOOP('',(#78463,#78464,#78465,#78466)); #28326=EDGE_LOOP('',(#78467,#78468,#78469,#78470,#78471,#78472,#78473)); #28327=EDGE_LOOP('',(#78474,#78475,#78476,#78477,#78478,#78479)); #28328=EDGE_LOOP('',(#78480,#78481,#78482,#78483,#78484,#78485)); #28329=EDGE_LOOP('',(#78486,#78487,#78488,#78489)); #28330=EDGE_LOOP('',(#78490,#78491,#78492,#78493)); #28331=EDGE_LOOP('',(#78494,#78495,#78496,#78497)); #28332=EDGE_LOOP('',(#78498,#78499,#78500,#78501)); #28333=EDGE_LOOP('',(#78502,#78503,#78504,#78505)); #28334=EDGE_LOOP('',(#78506,#78507,#78508,#78509)); #28335=EDGE_LOOP('',(#78510,#78511,#78512,#78513)); #28336=EDGE_LOOP('',(#78514,#78515,#78516,#78517)); #28337=EDGE_LOOP('',(#78518,#78519,#78520,#78521)); #28338=EDGE_LOOP('',(#78522,#78523,#78524,#78525)); #28339=EDGE_LOOP('',(#78526,#78527,#78528,#78529)); #28340=EDGE_LOOP('',(#78530,#78531,#78532,#78533)); #28341=EDGE_LOOP('',(#78534,#78535,#78536,#78537,#78538,#78539,#78540,#78541, #78542,#78543,#78544,#78545)); #28342=EDGE_LOOP('',(#78546,#78547,#78548,#78549)); #28343=EDGE_LOOP('',(#78550,#78551,#78552,#78553)); #28344=EDGE_LOOP('',(#78554,#78555,#78556,#78557)); #28345=EDGE_LOOP('',(#78558,#78559,#78560,#78561)); #28346=EDGE_LOOP('',(#78562,#78563,#78564,#78565)); #28347=EDGE_LOOP('',(#78566,#78567,#78568,#78569)); #28348=EDGE_LOOP('',(#78570,#78571,#78572,#78573)); #28349=EDGE_LOOP('',(#78574,#78575,#78576,#78577)); #28350=EDGE_LOOP('',(#78578,#78579,#78580,#78581)); #28351=EDGE_LOOP('',(#78582,#78583,#78584,#78585)); #28352=EDGE_LOOP('',(#78586,#78587,#78588,#78589)); #28353=EDGE_LOOP('',(#78590,#78591,#78592,#78593)); #28354=EDGE_LOOP('',(#78594,#78595,#78596,#78597,#78598,#78599,#78600,#78601, #78602,#78603,#78604,#78605)); #28355=EDGE_LOOP('',(#78606,#78607,#78608,#78609)); #28356=EDGE_LOOP('',(#78610,#78611,#78612,#78613)); #28357=EDGE_LOOP('',(#78614,#78615,#78616,#78617)); #28358=EDGE_LOOP('',(#78618,#78619,#78620,#78621)); #28359=EDGE_LOOP('',(#78622,#78623,#78624,#78625)); #28360=EDGE_LOOP('',(#78626,#78627,#78628,#78629)); #28361=EDGE_LOOP('',(#78630,#78631,#78632,#78633)); #28362=EDGE_LOOP('',(#78634,#78635,#78636,#78637)); #28363=EDGE_LOOP('',(#78638,#78639,#78640,#78641)); #28364=EDGE_LOOP('',(#78642,#78643,#78644,#78645)); #28365=EDGE_LOOP('',(#78646,#78647,#78648,#78649)); #28366=EDGE_LOOP('',(#78650,#78651,#78652,#78653)); #28367=EDGE_LOOP('',(#78654,#78655,#78656,#78657)); #28368=EDGE_LOOP('',(#78658,#78659,#78660,#78661,#78662,#78663,#78664,#78665)); #28369=EDGE_LOOP('',(#78666,#78667,#78668,#78669,#78670)); #28370=EDGE_LOOP('',(#78671,#78672,#78673,#78674)); #28371=EDGE_LOOP('',(#78675,#78676,#78677,#78678)); #28372=EDGE_LOOP('',(#78679,#78680,#78681,#78682)); #28373=EDGE_LOOP('',(#78683,#78684,#78685,#78686)); #28374=EDGE_LOOP('',(#78687,#78688,#78689,#78690)); #28375=EDGE_LOOP('',(#78691,#78692,#78693,#78694)); #28376=EDGE_LOOP('',(#78695,#78696,#78697,#78698)); #28377=EDGE_LOOP('',(#78699,#78700,#78701,#78702)); #28378=EDGE_LOOP('',(#78703,#78704,#78705,#78706)); #28379=EDGE_LOOP('',(#78707,#78708,#78709,#78710)); #28380=EDGE_LOOP('',(#78711,#78712,#78713,#78714)); #28381=EDGE_LOOP('',(#78715,#78716,#78717,#78718)); #28382=EDGE_LOOP('',(#78719,#78720,#78721,#78722)); #28383=EDGE_LOOP('',(#78723,#78724,#78725,#78726)); #28384=EDGE_LOOP('',(#78727,#78728,#78729,#78730)); #28385=EDGE_LOOP('',(#78731,#78732,#78733,#78734)); #28386=EDGE_LOOP('',(#78735,#78736,#78737,#78738)); #28387=EDGE_LOOP('',(#78739,#78740,#78741,#78742)); #28388=EDGE_LOOP('',(#78743,#78744,#78745,#78746)); #28389=EDGE_LOOP('',(#78747,#78748,#78749,#78750,#78751,#78752,#78753,#78754, #78755,#78756,#78757,#78758,#78759,#78760)); #28390=EDGE_LOOP('',(#78761,#78762,#78763,#78764,#78765)); #28391=EDGE_LOOP('',(#78766,#78767,#78768,#78769)); #28392=EDGE_LOOP('',(#78770,#78771,#78772,#78773)); #28393=EDGE_LOOP('',(#78774,#78775,#78776,#78777)); #28394=EDGE_LOOP('',(#78778,#78779,#78780,#78781)); #28395=EDGE_LOOP('',(#78782,#78783,#78784,#78785)); #28396=EDGE_LOOP('',(#78786,#78787,#78788,#78789)); #28397=EDGE_LOOP('',(#78790,#78791,#78792,#78793)); #28398=EDGE_LOOP('',(#78794,#78795,#78796,#78797)); #28399=EDGE_LOOP('',(#78798,#78799,#78800,#78801)); #28400=EDGE_LOOP('',(#78802,#78803,#78804,#78805)); #28401=EDGE_LOOP('',(#78806,#78807,#78808,#78809)); #28402=EDGE_LOOP('',(#78810,#78811,#78812,#78813)); #28403=EDGE_LOOP('',(#78814,#78815,#78816,#78817)); #28404=EDGE_LOOP('',(#78818,#78819,#78820,#78821)); #28405=EDGE_LOOP('',(#78822,#78823,#78824,#78825,#78826,#78827,#78828)); #28406=EDGE_LOOP('',(#78829,#78830,#78831,#78832,#78833,#78834,#78835)); #28407=EDGE_LOOP('',(#78836,#78837,#78838,#78839)); #28408=EDGE_LOOP('',(#78840,#78841,#78842,#78843)); #28409=EDGE_LOOP('',(#78844,#78845,#78846,#78847)); #28410=EDGE_LOOP('',(#78848,#78849,#78850,#78851)); #28411=EDGE_LOOP('',(#78852,#78853,#78854,#78855)); #28412=EDGE_LOOP('',(#78856,#78857,#78858,#78859)); #28413=EDGE_LOOP('',(#78860,#78861,#78862,#78863)); #28414=EDGE_LOOP('',(#78864,#78865,#78866,#78867)); #28415=EDGE_LOOP('',(#78868,#78869,#78870,#78871)); #28416=EDGE_LOOP('',(#78872,#78873,#78874,#78875)); #28417=EDGE_LOOP('',(#78876,#78877,#78878,#78879)); #28418=EDGE_LOOP('',(#78880,#78881,#78882,#78883)); #28419=EDGE_LOOP('',(#78884,#78885,#78886,#78887)); #28420=EDGE_LOOP('',(#78888,#78889,#78890,#78891)); #28421=EDGE_LOOP('',(#78892,#78893,#78894,#78895,#78896,#78897,#78898,#78899)); #28422=EDGE_LOOP('',(#78900,#78901,#78902,#78903,#78904,#78905)); #28423=EDGE_LOOP('',(#78906,#78907,#78908,#78909)); #28424=EDGE_LOOP('',(#78910,#78911,#78912,#78913)); #28425=EDGE_LOOP('',(#78914,#78915,#78916,#78917)); #28426=EDGE_LOOP('',(#78918,#78919,#78920,#78921)); #28427=EDGE_LOOP('',(#78922,#78923,#78924,#78925)); #28428=EDGE_LOOP('',(#78926,#78927,#78928,#78929)); #28429=EDGE_LOOP('',(#78930,#78931,#78932,#78933)); #28430=EDGE_LOOP('',(#78934,#78935,#78936,#78937)); #28431=EDGE_LOOP('',(#78938,#78939,#78940,#78941)); #28432=EDGE_LOOP('',(#78942,#78943,#78944,#78945)); #28433=EDGE_LOOP('',(#78946,#78947,#78948,#78949)); #28434=EDGE_LOOP('',(#78950,#78951,#78952,#78953)); #28435=EDGE_LOOP('',(#78954,#78955,#78956,#78957)); #28436=EDGE_LOOP('',(#78958,#78959,#78960,#78961,#78962,#78963,#78964,#78965)); #28437=EDGE_LOOP('',(#78966,#78967,#78968,#78969,#78970)); #28438=EDGE_LOOP('',(#78971,#78972,#78973,#78974)); #28439=EDGE_LOOP('',(#78975,#78976,#78977,#78978)); #28440=EDGE_LOOP('',(#78979,#78980,#78981,#78982)); #28441=EDGE_LOOP('',(#78983,#78984,#78985,#78986)); #28442=EDGE_LOOP('',(#78987,#78988,#78989,#78990)); #28443=EDGE_LOOP('',(#78991,#78992,#78993,#78994)); #28444=EDGE_LOOP('',(#78995,#78996,#78997,#78998)); #28445=EDGE_LOOP('',(#78999,#79000,#79001,#79002)); #28446=EDGE_LOOP('',(#79003,#79004,#79005,#79006,#79007,#79008,#79009,#79010)); #28447=EDGE_LOOP('',(#79011,#79012,#79013,#79014)); #28448=EDGE_LOOP('',(#79015,#79016,#79017,#79018)); #28449=EDGE_LOOP('',(#79019,#79020,#79021,#79022)); #28450=EDGE_LOOP('',(#79023,#79024,#79025,#79026)); #28451=EDGE_LOOP('',(#79027,#79028,#79029,#79030)); #28452=EDGE_LOOP('',(#79031,#79032,#79033,#79034)); #28453=EDGE_LOOP('',(#79035,#79036,#79037,#79038)); #28454=EDGE_LOOP('',(#79039,#79040,#79041,#79042)); #28455=EDGE_LOOP('',(#79043,#79044,#79045,#79046)); #28456=EDGE_LOOP('',(#79047,#79048,#79049,#79050)); #28457=EDGE_LOOP('',(#79051,#79052,#79053,#79054,#79055,#79056,#79057,#79058, #79059,#79060)); #28458=EDGE_LOOP('',(#79061,#79062,#79063,#79064)); #28459=EDGE_LOOP('',(#79065,#79066,#79067,#79068)); #28460=EDGE_LOOP('',(#79069,#79070,#79071,#79072)); #28461=EDGE_LOOP('',(#79073,#79074,#79075,#79076)); #28462=EDGE_LOOP('',(#79077,#79078,#79079,#79080)); #28463=EDGE_LOOP('',(#79081,#79082,#79083,#79084)); #28464=EDGE_LOOP('',(#79085,#79086,#79087,#79088)); #28465=EDGE_LOOP('',(#79089,#79090,#79091,#79092)); #28466=EDGE_LOOP('',(#79093,#79094,#79095,#79096)); #28467=EDGE_LOOP('',(#79097,#79098,#79099,#79100)); #28468=EDGE_LOOP('',(#79101,#79102,#79103,#79104)); #28469=EDGE_LOOP('',(#79105,#79106,#79107,#79108)); #28470=EDGE_LOOP('',(#79109,#79110,#79111,#79112)); #28471=EDGE_LOOP('',(#79113,#79114,#79115,#79116)); #28472=EDGE_LOOP('',(#79117,#79118,#79119,#79120,#79121,#79122,#79123,#79124)); #28473=EDGE_LOOP('',(#79125,#79126,#79127,#79128,#79129,#79130)); #28474=EDGE_LOOP('',(#79131,#79132,#79133,#79134)); #28475=EDGE_LOOP('',(#79135,#79136,#79137,#79138)); #28476=EDGE_LOOP('',(#79139,#79140,#79141,#79142)); #28477=EDGE_LOOP('',(#79143,#79144,#79145,#79146)); #28478=EDGE_LOOP('',(#79147,#79148,#79149,#79150)); #28479=EDGE_LOOP('',(#79151,#79152,#79153,#79154)); #28480=EDGE_LOOP('',(#79155,#79156,#79157,#79158)); #28481=EDGE_LOOP('',(#79159,#79160,#79161,#79162)); #28482=EDGE_LOOP('',(#79163,#79164,#79165,#79166)); #28483=EDGE_LOOP('',(#79167,#79168,#79169,#79170)); #28484=EDGE_LOOP('',(#79171,#79172,#79173,#79174)); #28485=EDGE_LOOP('',(#79175,#79176,#79177,#79178)); #28486=EDGE_LOOP('',(#79179,#79180,#79181,#79182,#79183,#79184,#79185,#79186, #79187,#79188,#79189,#79190)); #28487=EDGE_LOOP('',(#79191,#79192,#79193,#79194)); #28488=EDGE_LOOP('',(#79195,#79196,#79197,#79198)); #28489=EDGE_LOOP('',(#79199,#79200,#79201,#79202)); #28490=EDGE_LOOP('',(#79203,#79204,#79205,#79206)); #28491=EDGE_LOOP('',(#79207,#79208,#79209,#79210)); #28492=EDGE_LOOP('',(#79211,#79212,#79213,#79214)); #28493=EDGE_LOOP('',(#79215,#79216,#79217,#79218)); #28494=EDGE_LOOP('',(#79219,#79220,#79221,#79222)); #28495=EDGE_LOOP('',(#79223,#79224,#79225,#79226)); #28496=EDGE_LOOP('',(#79227,#79228,#79229,#79230)); #28497=EDGE_LOOP('',(#79231,#79232,#79233,#79234)); #28498=EDGE_LOOP('',(#79235,#79236,#79237,#79238)); #28499=EDGE_LOOP('',(#79239,#79240,#79241,#79242)); #28500=EDGE_LOOP('',(#79243,#79244,#79245,#79246)); #28501=EDGE_LOOP('',(#79247,#79248,#79249,#79250,#79251,#79252,#79253)); #28502=EDGE_LOOP('',(#79254,#79255,#79256,#79257,#79258,#79259,#79260)); #28503=EDGE_LOOP('',(#79261,#79262,#79263,#79264)); #28504=EDGE_LOOP('',(#79265,#79266,#79267,#79268)); #28505=EDGE_LOOP('',(#79269,#79270,#79271,#79272)); #28506=EDGE_LOOP('',(#79273,#79274,#79275,#79276)); #28507=EDGE_LOOP('',(#79277,#79278,#79279,#79280)); #28508=EDGE_LOOP('',(#79281,#79282,#79283,#79284)); #28509=EDGE_LOOP('',(#79285,#79286,#79287,#79288)); #28510=EDGE_LOOP('',(#79289,#79290,#79291,#79292)); #28511=EDGE_LOOP('',(#79293,#79294,#79295,#79296)); #28512=EDGE_LOOP('',(#79297,#79298,#79299,#79300)); #28513=EDGE_LOOP('',(#79301,#79302,#79303,#79304)); #28514=EDGE_LOOP('',(#79305,#79306,#79307,#79308)); #28515=EDGE_LOOP('',(#79309,#79310,#79311,#79312)); #28516=EDGE_LOOP('',(#79313,#79314,#79315,#79316)); #28517=EDGE_LOOP('',(#79317,#79318,#79319,#79320)); #28518=EDGE_LOOP('',(#79321,#79322,#79323,#79324)); #28519=EDGE_LOOP('',(#79325,#79326,#79327,#79328)); #28520=EDGE_LOOP('',(#79329,#79330,#79331,#79332)); #28521=EDGE_LOOP('',(#79333,#79334,#79335,#79336)); #28522=EDGE_LOOP('',(#79337,#79338,#79339,#79340)); #28523=EDGE_LOOP('',(#79341,#79342,#79343,#79344,#79345,#79346,#79347,#79348, #79349,#79350,#79351,#79352,#79353,#79354,#79355)); #28524=EDGE_LOOP('',(#79356,#79357,#79358,#79359)); #28525=EDGE_LOOP('',(#79360,#79361,#79362,#79363,#79364,#79365,#79366,#79367, #79368,#79369)); #28526=EDGE_LOOP('',(#79370,#79371,#79372,#79373,#79374,#79375,#79376,#79377, #79378,#79379)); #28527=EDGE_LOOP('',(#79380,#79381,#79382,#79383,#79384,#79385,#79386,#79387)); #28528=EDGE_LOOP('',(#79388,#79389,#79390,#79391,#79392,#79393,#79394,#79395, #79396,#79397,#79398,#79399)); #28529=EDGE_LOOP('',(#79400,#79401,#79402,#79403,#79404,#79405,#79406,#79407, #79408,#79409,#79410,#79411)); #28530=EDGE_LOOP('',(#79412,#79413,#79414,#79415,#79416,#79417,#79418,#79419, #79420,#79421,#79422,#79423,#79424,#79425)); #28531=EDGE_LOOP('',(#79426,#79427,#79428,#79429,#79430,#79431,#79432)); #28532=EDGE_LOOP('',(#79433,#79434,#79435,#79436,#79437,#79438,#79439,#79440, #79441,#79442,#79443,#79444)); #28533=EDGE_LOOP('',(#79445,#79446,#79447,#79448,#79449,#79450,#79451,#79452, #79453,#79454,#79455,#79456)); #28534=EDGE_LOOP('',(#79457,#79458,#79459,#79460,#79461,#79462,#79463,#79464)); #28535=EDGE_LOOP('',(#79465,#79466,#79467,#79468,#79469,#79470,#79471,#79472, #79473,#79474,#79475,#79476,#79477,#79478)); #28536=EDGE_LOOP('',(#79479,#79480,#79481,#79482,#79483,#79484,#79485)); #28537=EDGE_LOOP('',(#79486,#79487,#79488,#79489,#79490,#79491,#79492,#79493)); #28538=EDGE_LOOP('',(#79494,#79495,#79496,#79497,#79498,#79499,#79500,#79501)); #28539=EDGE_LOOP('',(#79502,#79503,#79504,#79505,#79506,#79507,#79508,#79509)); #28540=EDGE_LOOP('',(#79510,#79511,#79512,#79513,#79514,#79515,#79516,#79517, #79518,#79519)); #28541=EDGE_LOOP('',(#79520,#79521,#79522,#79523,#79524,#79525,#79526,#79527)); #28542=EDGE_LOOP('',(#79528,#79529,#79530,#79531,#79532,#79533,#79534,#79535, #79536,#79537,#79538,#79539)); #28543=EDGE_LOOP('',(#79540,#79541,#79542,#79543,#79544,#79545,#79546)); #28544=EDGE_LOOP('',(#79547,#79548,#79549,#79550)); #28545=EDGE_LOOP('',(#79551,#79552,#79553,#79554,#79555,#79556,#79557,#79558, #79559,#79560,#79561,#79562,#79563,#79564,#79565)); #28546=EDGE_LOOP('',(#79566,#79567,#79568,#79569,#79570,#79571,#79572)); #28547=EDGE_LOOP('',(#79573,#79574,#79575,#79576,#79577,#79578)); #28548=EDGE_LOOP('',(#79579,#79580,#79581,#79582,#79583)); #28549=EDGE_LOOP('',(#79584,#79585,#79586,#79587,#79588,#79589)); #28550=EDGE_LOOP('',(#79590,#79591,#79592,#79593,#79594,#79595,#79596)); #28551=EDGE_LOOP('',(#79597,#79598,#79599,#79600,#79601)); #28552=EDGE_LOOP('',(#79602,#79603,#79604,#79605,#79606)); #28553=EDGE_LOOP('',(#79607,#79608,#79609,#79610,#79611,#79612)); #28554=EDGE_LOOP('',(#79613,#79614,#79615,#79616,#79617,#79618)); #28555=EDGE_LOOP('',(#79619,#79620,#79621,#79622,#79623,#79624)); #28556=EDGE_LOOP('',(#79625,#79626,#79627,#79628)); #28557=EDGE_LOOP('',(#79629,#79630,#79631,#79632,#79633,#79634)); #28558=EDGE_LOOP('',(#79635,#79636,#79637,#79638)); #28559=EDGE_LOOP('',(#79639,#79640,#79641,#79642)); #28560=EDGE_LOOP('',(#79643,#79644,#79645,#79646)); #28561=EDGE_LOOP('',(#79647,#79648,#79649,#79650,#79651,#79652,#79653,#79654, #79655)); #28562=EDGE_LOOP('',(#79656,#79657,#79658,#79659)); #28563=EDGE_LOOP('',(#79660,#79661,#79662,#79663)); #28564=EDGE_LOOP('',(#79664,#79665,#79666,#79667,#79668,#79669,#79670,#79671, #79672)); #28565=EDGE_LOOP('',(#79673,#79674,#79675,#79676)); #28566=EDGE_LOOP('',(#79677,#79678,#79679,#79680)); #28567=EDGE_LOOP('',(#79681,#79682,#79683,#79684)); #28568=EDGE_LOOP('',(#79685,#79686,#79687,#79688)); #28569=EDGE_LOOP('',(#79689,#79690,#79691,#79692)); #28570=EDGE_LOOP('',(#79693,#79694,#79695,#79696)); #28571=EDGE_LOOP('',(#79697,#79698,#79699,#79700)); #28572=EDGE_LOOP('',(#79701,#79702,#79703,#79704,#79705,#79706,#79707,#79708, #79709)); #28573=EDGE_LOOP('',(#79710,#79711,#79712,#79713,#79714,#79715)); #28574=EDGE_LOOP('',(#79716,#79717,#79718,#79719,#79720,#79721)); #28575=EDGE_LOOP('',(#79722,#79723,#79724,#79725,#79726)); #28576=EDGE_LOOP('',(#79727,#79728,#79729,#79730)); #28577=EDGE_LOOP('',(#79731,#79732,#79733,#79734,#79735,#79736)); #28578=EDGE_LOOP('',(#79737,#79738,#79739,#79740,#79741)); #28579=EDGE_LOOP('',(#79742,#79743,#79744,#79745)); #28580=EDGE_LOOP('',(#79746,#79747,#79748,#79749,#79750,#79751)); #28581=EDGE_LOOP('',(#79752,#79753,#79754,#79755)); #28582=EDGE_LOOP('',(#79756,#79757,#79758,#79759,#79760)); #28583=EDGE_LOOP('',(#79761,#79762,#79763,#79764)); #28584=EDGE_LOOP('',(#79765,#79766,#79767,#79768)); #28585=EDGE_LOOP('',(#79769,#79770,#79771,#79772,#79773)); #28586=EDGE_LOOP('',(#79774,#79775,#79776,#79777)); #28587=EDGE_LOOP('',(#79778,#79779,#79780,#79781,#79782)); #28588=EDGE_LOOP('',(#79783,#79784,#79785,#79786)); #28589=EDGE_LOOP('',(#79787,#79788,#79789,#79790,#79791,#79792)); #28590=EDGE_LOOP('',(#79793)); #28591=EDGE_LOOP('',(#79794,#79795,#79796,#79797)); #28592=EDGE_LOOP('',(#79798,#79799,#79800,#79801,#79802)); #28593=EDGE_LOOP('',(#79803,#79804,#79805,#79806)); #28594=EDGE_LOOP('',(#79807,#79808,#79809,#79810)); #28595=EDGE_LOOP('',(#79811,#79812,#79813,#79814,#79815,#79816)); #28596=EDGE_LOOP('',(#79817)); #28597=EDGE_LOOP('',(#79818,#79819,#79820,#79821)); #28598=EDGE_LOOP('',(#79822,#79823,#79824,#79825)); #28599=EDGE_LOOP('',(#79826,#79827,#79828,#79829)); #28600=EDGE_LOOP('',(#79830,#79831,#79832,#79833)); #28601=EDGE_LOOP('',(#79834,#79835,#79836,#79837)); #28602=EDGE_LOOP('',(#79838,#79839,#79840,#79841)); #28603=EDGE_LOOP('',(#79842,#79843,#79844,#79845)); #28604=EDGE_LOOP('',(#79846,#79847,#79848,#79849)); #28605=EDGE_LOOP('',(#79850,#79851,#79852,#79853)); #28606=EDGE_LOOP('',(#79854,#79855,#79856,#79857)); #28607=EDGE_LOOP('',(#79858,#79859,#79860,#79861,#79862,#79863)); #28608=EDGE_LOOP('',(#79864)); #28609=EDGE_LOOP('',(#79865,#79866,#79867,#79868)); #28610=EDGE_LOOP('',(#79869,#79870,#79871,#79872,#79873)); #28611=EDGE_LOOP('',(#79874,#79875,#79876,#79877,#79878)); #28612=EDGE_LOOP('',(#79879,#79880,#79881,#79882)); #28613=EDGE_LOOP('',(#79883,#79884,#79885,#79886,#79887)); #28614=EDGE_LOOP('',(#79888,#79889,#79890,#79891)); #28615=EDGE_LOOP('',(#79892,#79893,#79894,#79895)); #28616=EDGE_LOOP('',(#79896,#79897,#79898,#79899)); #28617=EDGE_LOOP('',(#79900,#79901,#79902,#79903,#79904,#79905)); #28618=EDGE_LOOP('',(#79906)); #28619=EDGE_LOOP('',(#79907,#79908,#79909,#79910)); #28620=EDGE_LOOP('',(#79911,#79912,#79913,#79914,#79915)); #28621=EDGE_LOOP('',(#79916,#79917,#79918,#79919,#79920)); #28622=EDGE_LOOP('',(#79921,#79922,#79923,#79924)); #28623=EDGE_LOOP('',(#79925,#79926,#79927,#79928)); #28624=EDGE_LOOP('',(#79929,#79930,#79931,#79932)); #28625=EDGE_LOOP('',(#79933,#79934,#79935,#79936)); #28626=EDGE_LOOP('',(#79937,#79938,#79939,#79940,#79941,#79942,#79943,#79944, #79945)); #28627=EDGE_LOOP('',(#79946,#79947,#79948,#79949,#79950)); #28628=EDGE_LOOP('',(#79951,#79952,#79953,#79954)); #28629=EDGE_LOOP('',(#79955,#79956,#79957,#79958)); #28630=EDGE_LOOP('',(#79959,#79960,#79961,#79962,#79963)); #28631=EDGE_LOOP('',(#79964,#79965)); #28632=EDGE_LOOP('',(#79966,#79967)); #28633=EDGE_LOOP('',(#79968,#79969,#79970)); #28634=EDGE_LOOP('',(#79971,#79972)); #28635=EDGE_LOOP('',(#79973,#79974,#79975,#79976,#79977,#79978,#79979)); #28636=EDGE_LOOP('',(#79980,#79981,#79982,#79983)); #28637=EDGE_LOOP('',(#79984,#79985,#79986,#79987)); #28638=EDGE_LOOP('',(#79988,#79989,#79990,#79991)); #28639=EDGE_LOOP('',(#79992,#79993,#79994,#79995)); #28640=EDGE_LOOP('',(#79996,#79997,#79998,#79999)); #28641=EDGE_LOOP('',(#80000,#80001,#80002,#80003)); #28642=EDGE_LOOP('',(#80004,#80005,#80006,#80007)); #28643=EDGE_LOOP('',(#80008,#80009,#80010,#80011)); #28644=EDGE_LOOP('',(#80012,#80013,#80014,#80015)); #28645=EDGE_LOOP('',(#80016,#80017,#80018,#80019)); #28646=EDGE_LOOP('',(#80020,#80021,#80022,#80023)); #28647=EDGE_LOOP('',(#80024,#80025,#80026,#80027)); #28648=EDGE_LOOP('',(#80028,#80029,#80030,#80031)); #28649=EDGE_LOOP('',(#80032,#80033,#80034,#80035,#80036,#80037,#80038,#80039)); #28650=EDGE_LOOP('',(#80040,#80041,#80042,#80043)); #28651=EDGE_LOOP('',(#80044,#80045,#80046,#80047)); #28652=EDGE_LOOP('',(#80048,#80049,#80050,#80051)); #28653=EDGE_LOOP('',(#80052,#80053,#80054,#80055)); #28654=EDGE_LOOP('',(#80056,#80057,#80058,#80059)); #28655=EDGE_LOOP('',(#80060,#80061,#80062,#80063)); #28656=EDGE_LOOP('',(#80064,#80065,#80066,#80067)); #28657=EDGE_LOOP('',(#80068,#80069,#80070,#80071)); #28658=EDGE_LOOP('',(#80072,#80073,#80074,#80075)); #28659=EDGE_LOOP('',(#80076,#80077,#80078,#80079)); #28660=EDGE_LOOP('',(#80080,#80081,#80082,#80083)); #28661=EDGE_LOOP('',(#80084,#80085,#80086,#80087,#80088,#80089,#80090,#80091, #80092,#80093,#80094,#80095)); #28662=EDGE_LOOP('',(#80096,#80097,#80098,#80099,#80100,#80101,#80102,#80103)); #28663=EDGE_LOOP('',(#80104,#80105,#80106,#80107)); #28664=EDGE_LOOP('',(#80108,#80109,#80110)); #28665=EDGE_LOOP('',(#80111,#80112,#80113,#80114)); #28666=EDGE_LOOP('',(#80115,#80116,#80117,#80118)); #28667=EDGE_LOOP('',(#80119,#80120,#80121,#80122)); #28668=EDGE_LOOP('',(#80123,#80124,#80125,#80126)); #28669=EDGE_LOOP('',(#80127,#80128,#80129,#80130)); #28670=EDGE_LOOP('',(#80131,#80132,#80133,#80134)); #28671=EDGE_LOOP('',(#80135,#80136,#80137,#80138)); #28672=EDGE_LOOP('',(#80139,#80140,#80141)); #28673=EDGE_LOOP('',(#80142,#80143,#80144)); #28674=EDGE_LOOP('',(#80145,#80146,#80147,#80148)); #28675=EDGE_LOOP('',(#80149,#80150,#80151,#80152,#80153)); #28676=EDGE_LOOP('',(#80154,#80155,#80156,#80157,#80158,#80159)); #28677=EDGE_LOOP('',(#80160,#80161,#80162,#80163,#80164,#80165,#80166,#80167)); #28678=EDGE_LOOP('',(#80168,#80169,#80170,#80171,#80172)); #28679=EDGE_LOOP('',(#80173,#80174,#80175,#80176)); #28680=EDGE_LOOP('',(#80177,#80178,#80179)); #28681=EDGE_LOOP('',(#80180,#80181,#80182)); #28682=EDGE_LOOP('',(#80183,#80184,#80185,#80186)); #28683=EDGE_LOOP('',(#80187,#80188,#80189,#80190)); #28684=EDGE_LOOP('',(#80191,#80192,#80193,#80194)); #28685=EDGE_LOOP('',(#80195,#80196,#80197,#80198)); #28686=EDGE_LOOP('',(#80199,#80200,#80201,#80202)); #28687=EDGE_LOOP('',(#80203,#80204,#80205)); #28688=EDGE_LOOP('',(#80206,#80207,#80208,#80209)); #28689=EDGE_LOOP('',(#80210,#80211,#80212,#80213)); #28690=EDGE_LOOP('',(#80214,#80215,#80216,#80217,#80218)); #28691=EDGE_LOOP('',(#80219,#80220,#80221,#80222,#80223,#80224)); #28692=EDGE_LOOP('',(#80225,#80226,#80227,#80228)); #28693=EDGE_LOOP('',(#80229,#80230,#80231,#80232,#80233,#80234)); #28694=EDGE_LOOP('',(#80235,#80236,#80237,#80238,#80239)); #28695=EDGE_LOOP('',(#80240,#80241,#80242)); #28696=EDGE_LOOP('',(#80243,#80244,#80245)); #28697=EDGE_LOOP('',(#80246,#80247,#80248,#80249)); #28698=EDGE_LOOP('',(#80250,#80251,#80252,#80253)); #28699=EDGE_LOOP('',(#80254,#80255,#80256,#80257)); #28700=EDGE_LOOP('',(#80258,#80259,#80260,#80261)); #28701=EDGE_LOOP('',(#80262,#80263,#80264,#80265)); #28702=EDGE_LOOP('',(#80266,#80267,#80268)); #28703=EDGE_LOOP('',(#80269,#80270,#80271,#80272)); #28704=EDGE_LOOP('',(#80273,#80274,#80275,#80276)); #28705=EDGE_LOOP('',(#80277,#80278,#80279,#80280,#80281)); #28706=EDGE_LOOP('',(#80282,#80283,#80284,#80285,#80286,#80287)); #28707=EDGE_LOOP('',(#80288,#80289,#80290,#80291)); #28708=EDGE_LOOP('',(#80292,#80293,#80294,#80295,#80296,#80297)); #28709=EDGE_LOOP('',(#80298,#80299,#80300,#80301,#80302)); #28710=EDGE_LOOP('',(#80303,#80304,#80305)); #28711=EDGE_LOOP('',(#80306,#80307,#80308,#80309)); #28712=EDGE_LOOP('',(#80310,#80311,#80312,#80313)); #28713=EDGE_LOOP('',(#80314,#80315,#80316)); #28714=EDGE_LOOP('',(#80317,#80318,#80319,#80320)); #28715=EDGE_LOOP('',(#80321,#80322,#80323)); #28716=EDGE_LOOP('',(#80324,#80325,#80326,#80327)); #28717=EDGE_LOOP('',(#80328,#80329,#80330)); #28718=EDGE_LOOP('',(#80331,#80332,#80333)); #28719=EDGE_LOOP('',(#80334,#80335,#80336,#80337)); #28720=EDGE_LOOP('',(#80338,#80339,#80340,#80341)); #28721=EDGE_LOOP('',(#80342,#80343,#80344,#80345)); #28722=EDGE_LOOP('',(#80346,#80347,#80348,#80349,#80350,#80351,#80352)); #28723=EDGE_LOOP('',(#80353,#80354,#80355,#80356)); #28724=EDGE_LOOP('',(#80357,#80358,#80359,#80360)); #28725=EDGE_LOOP('',(#80361,#80362,#80363)); #28726=EDGE_LOOP('',(#80364,#80365,#80366,#80367)); #28727=EDGE_LOOP('',(#80368,#80369,#80370)); #28728=EDGE_LOOP('',(#80371,#80372,#80373,#80374)); #28729=EDGE_LOOP('',(#80375,#80376,#80377)); #28730=EDGE_LOOP('',(#80378,#80379,#80380,#80381)); #28731=EDGE_LOOP('',(#80382,#80383,#80384)); #28732=EDGE_LOOP('',(#80385,#80386,#80387,#80388,#80389,#80390)); #28733=EDGE_LOOP('',(#80391,#80392,#80393,#80394)); #28734=EDGE_LOOP('',(#80395,#80396,#80397,#80398,#80399,#80400)); #28735=EDGE_LOOP('',(#80401,#80402,#80403,#80404)); #28736=EDGE_LOOP('',(#80405,#80406,#80407,#80408)); #28737=EDGE_LOOP('',(#80409,#80410,#80411,#80412,#80413,#80414,#80415)); #28738=EDGE_LOOP('',(#80416,#80417,#80418,#80419)); #28739=EDGE_LOOP('',(#80420,#80421,#80422,#80423,#80424,#80425,#80426,#80427)); #28740=EDGE_LOOP('',(#80428,#80429,#80430,#80431)); #28741=EDGE_LOOP('',(#80432,#80433,#80434,#80435)); #28742=EDGE_LOOP('',(#80436,#80437,#80438)); #28743=EDGE_LOOP('',(#80439,#80440,#80441,#80442)); #28744=EDGE_LOOP('',(#80443,#80444,#80445)); #28745=EDGE_LOOP('',(#80446,#80447,#80448,#80449)); #28746=EDGE_LOOP('',(#80450,#80451,#80452)); #28747=EDGE_LOOP('',(#80453,#80454,#80455,#80456)); #28748=EDGE_LOOP('',(#80457,#80458,#80459)); #28749=EDGE_LOOP('',(#80460,#80461,#80462,#80463,#80464,#80465)); #28750=EDGE_LOOP('',(#80466,#80467,#80468,#80469)); #28751=EDGE_LOOP('',(#80470,#80471,#80472,#80473,#80474,#80475)); #28752=EDGE_LOOP('',(#80476,#80477,#80478,#80479)); #28753=EDGE_LOOP('',(#80480,#80481,#80482,#80483)); #28754=EDGE_LOOP('',(#80484,#80485,#80486,#80487,#80488,#80489,#80490)); #28755=EDGE_LOOP('',(#80491,#80492,#80493,#80494)); #28756=EDGE_LOOP('',(#80495,#80496,#80497,#80498,#80499,#80500,#80501,#80502)); #28757=EDGE_LOOP('',(#80503,#80504,#80505,#80506)); #28758=EDGE_LOOP('',(#80507,#80508,#80509,#80510)); #28759=EDGE_LOOP('',(#80511,#80512,#80513,#80514,#80515)); #28760=EDGE_LOOP('',(#80516,#80517,#80518,#80519)); #28761=EDGE_LOOP('',(#80520,#80521,#80522)); #28762=EDGE_LOOP('',(#80523,#80524,#80525,#80526,#80527,#80528)); #28763=EDGE_LOOP('',(#80529,#80530,#80531,#80532)); #28764=EDGE_LOOP('',(#80533,#80534,#80535,#80536,#80537,#80538)); #28765=EDGE_LOOP('',(#80539,#80540,#80541,#80542,#80543,#80544)); #28766=EDGE_LOOP('',(#80545,#80546,#80547,#80548)); #28767=EDGE_LOOP('',(#80549,#80550,#80551,#80552,#80553,#80554,#80555)); #28768=EDGE_LOOP('',(#80556,#80557,#80558)); #28769=EDGE_LOOP('',(#80559,#80560,#80561,#80562,#80563,#80564)); #28770=EDGE_LOOP('',(#80565,#80566,#80567,#80568)); #28771=EDGE_LOOP('',(#80569,#80570,#80571,#80572,#80573,#80574)); #28772=EDGE_LOOP('',(#80575,#80576,#80577,#80578,#80579,#80580)); #28773=EDGE_LOOP('',(#80581,#80582,#80583,#80584)); #28774=EDGE_LOOP('',(#80585,#80586,#80587,#80588,#80589,#80590,#80591)); #28775=EDGE_LOOP('',(#80592,#80593,#80594,#80595)); #28776=EDGE_LOOP('',(#80596,#80597,#80598,#80599)); #28777=EDGE_LOOP('',(#80600,#80601,#80602,#80603)); #28778=EDGE_LOOP('',(#80604,#80605,#80606,#80607)); #28779=EDGE_LOOP('',(#80608,#80609,#80610,#80611)); #28780=EDGE_LOOP('',(#80612,#80613,#80614,#80615)); #28781=EDGE_LOOP('',(#80616,#80617,#80618,#80619)); #28782=EDGE_LOOP('',(#80620,#80621,#80622,#80623)); #28783=EDGE_LOOP('',(#80624,#80625,#80626,#80627)); #28784=EDGE_LOOP('',(#80628,#80629,#80630,#80631)); #28785=EDGE_LOOP('',(#80632,#80633,#80634,#80635)); #28786=EDGE_LOOP('',(#80636,#80637,#80638,#80639)); #28787=EDGE_LOOP('',(#80640,#80641,#80642,#80643)); #28788=EDGE_LOOP('',(#80644,#80645,#80646,#80647)); #28789=EDGE_LOOP('',(#80648,#80649,#80650,#80651)); #28790=EDGE_LOOP('',(#80652,#80653,#80654,#80655)); #28791=EDGE_LOOP('',(#80656,#80657,#80658,#80659)); #28792=EDGE_LOOP('',(#80660,#80661,#80662,#80663)); #28793=EDGE_LOOP('',(#80664,#80665,#80666,#80667)); #28794=EDGE_LOOP('',(#80668,#80669,#80670,#80671)); #28795=EDGE_LOOP('',(#80672,#80673,#80674,#80675,#80676,#80677)); #28796=EDGE_LOOP('',(#80678,#80679,#80680,#80681,#80682)); #28797=EDGE_LOOP('',(#80683,#80684,#80685,#80686,#80687)); #28798=EDGE_LOOP('',(#80688,#80689,#80690,#80691,#80692,#80693)); #28799=EDGE_LOOP('',(#80694,#80695,#80696,#80697)); #28800=EDGE_LOOP('',(#80698,#80699,#80700,#80701)); #28801=EDGE_LOOP('',(#80702,#80703,#80704,#80705)); #28802=EDGE_LOOP('',(#80706,#80707,#80708,#80709,#80710,#80711)); #28803=EDGE_LOOP('',(#80712,#80713,#80714,#80715)); #28804=EDGE_LOOP('',(#80716,#80717,#80718,#80719,#80720,#80721)); #28805=EDGE_LOOP('',(#80722,#80723,#80724,#80725,#80726,#80727)); #28806=EDGE_LOOP('',(#80728,#80729,#80730,#80731)); #28807=EDGE_LOOP('',(#80732,#80733,#80734,#80735,#80736)); #28808=EDGE_LOOP('',(#80737,#80738,#80739,#80740)); #28809=EDGE_LOOP('',(#80741,#80742,#80743,#80744)); #28810=EDGE_LOOP('',(#80745,#80746,#80747,#80748,#80749)); #28811=EDGE_LOOP('',(#80750,#80751,#80752,#80753,#80754)); #28812=EDGE_LOOP('',(#80755)); #28813=EDGE_LOOP('',(#80756,#80757,#80758,#80759,#80760,#80761,#80762,#80763)); #28814=EDGE_LOOP('',(#80764,#80765,#80766,#80767,#80768,#80769)); #28815=EDGE_LOOP('',(#80770,#80771,#80772,#80773)); #28816=EDGE_LOOP('',(#80774,#80775,#80776,#80777)); #28817=EDGE_LOOP('',(#80778,#80779,#80780,#80781)); #28818=EDGE_LOOP('',(#80782,#80783,#80784,#80785)); #28819=EDGE_LOOP('',(#80786,#80787,#80788,#80789)); #28820=EDGE_LOOP('',(#80790,#80791,#80792,#80793)); #28821=EDGE_LOOP('',(#80794,#80795,#80796,#80797)); #28822=EDGE_LOOP('',(#80798,#80799,#80800,#80801)); #28823=EDGE_LOOP('',(#80802,#80803,#80804,#80805)); #28824=EDGE_LOOP('',(#80806,#80807,#80808,#80809)); #28825=EDGE_LOOP('',(#80810,#80811,#80812,#80813)); #28826=EDGE_LOOP('',(#80814,#80815,#80816,#80817)); #28827=EDGE_LOOP('',(#80818,#80819,#80820,#80821)); #28828=EDGE_LOOP('',(#80822,#80823,#80824,#80825)); #28829=EDGE_LOOP('',(#80826,#80827,#80828,#80829)); #28830=EDGE_LOOP('',(#80830,#80831,#80832,#80833)); #28831=EDGE_LOOP('',(#80834,#80835,#80836,#80837)); #28832=EDGE_LOOP('',(#80838,#80839,#80840,#80841)); #28833=EDGE_LOOP('',(#80842,#80843,#80844)); #28834=EDGE_LOOP('',(#80845,#80846,#80847)); #28835=EDGE_LOOP('',(#80848,#80849,#80850,#80851,#80852)); #28836=EDGE_LOOP('',(#80853,#80854,#80855,#80856,#80857,#80858)); #28837=EDGE_LOOP('',(#80859)); #28838=EDGE_LOOP('',(#80860,#80861,#80862,#80863,#80864)); #28839=EDGE_LOOP('',(#80865,#80866,#80867,#80868)); #28840=EDGE_LOOP('',(#80869,#80870,#80871,#80872)); #28841=EDGE_LOOP('',(#80873,#80874,#80875,#80876)); #28842=EDGE_LOOP('',(#80877,#80878,#80879,#80880)); #28843=EDGE_LOOP('',(#80881,#80882,#80883)); #28844=EDGE_LOOP('',(#80884,#80885,#80886)); #28845=EDGE_LOOP('',(#80887,#80888,#80889)); #28846=EDGE_LOOP('',(#80890,#80891,#80892)); #28847=EDGE_LOOP('',(#80893,#80894,#80895,#80896)); #28848=EDGE_LOOP('',(#80897,#80898,#80899,#80900)); #28849=EDGE_LOOP('',(#80901,#80902,#80903,#80904,#80905,#80906,#80907)); #28850=EDGE_LOOP('',(#80908,#80909,#80910,#80911)); #28851=EDGE_LOOP('',(#80912,#80913,#80914,#80915)); #28852=EDGE_LOOP('',(#80916,#80917,#80918,#80919,#80920,#80921,#80922,#80923)); #28853=EDGE_LOOP('',(#80924,#80925,#80926,#80927,#80928,#80929,#80930,#80931)); #28854=EDGE_LOOP('',(#80932,#80933,#80934,#80935)); #28855=EDGE_LOOP('',(#80936,#80937,#80938,#80939)); #28856=EDGE_LOOP('',(#80940,#80941,#80942,#80943,#80944,#80945)); #28857=EDGE_LOOP('',(#80946,#80947,#80948,#80949,#80950,#80951,#80952,#80953)); #28858=EDGE_LOOP('',(#80954,#80955,#80956,#80957,#80958)); #28859=EDGE_LOOP('',(#80959,#80960,#80961,#80962,#80963,#80964)); #28860=EDGE_LOOP('',(#80965,#80966,#80967,#80968,#80969)); #28861=EDGE_LOOP('',(#80970,#80971,#80972,#80973,#80974,#80975)); #28862=EDGE_LOOP('',(#80976,#80977,#80978,#80979,#80980)); #28863=EDGE_LOOP('',(#80981,#80982,#80983,#80984,#80985,#80986)); #28864=EDGE_LOOP('',(#80987,#80988,#80989,#80990,#80991)); #28865=EDGE_LOOP('',(#80992,#80993,#80994,#80995,#80996,#80997)); #28866=EDGE_LOOP('',(#80998,#80999,#81000,#81001,#81002)); #28867=EDGE_LOOP('',(#81003,#81004,#81005,#81006,#81007,#81008)); #28868=EDGE_LOOP('',(#81009,#81010,#81011,#81012,#81013)); #28869=EDGE_LOOP('',(#81014,#81015,#81016,#81017,#81018,#81019)); #28870=EDGE_LOOP('',(#81020,#81021,#81022,#81023,#81024)); #28871=EDGE_LOOP('',(#81025,#81026,#81027,#81028,#81029,#81030)); #28872=EDGE_LOOP('',(#81031,#81032,#81033,#81034,#81035)); #28873=EDGE_LOOP('',(#81036,#81037,#81038,#81039,#81040,#81041)); #28874=EDGE_LOOP('',(#81042,#81043,#81044,#81045,#81046)); #28875=EDGE_LOOP('',(#81047,#81048,#81049,#81050,#81051,#81052)); #28876=EDGE_LOOP('',(#81053,#81054,#81055,#81056,#81057,#81058)); #28877=EDGE_LOOP('',(#81059,#81060,#81061,#81062,#81063,#81064)); #28878=EDGE_LOOP('',(#81065,#81066,#81067,#81068,#81069,#81070)); #28879=EDGE_LOOP('',(#81071,#81072,#81073,#81074,#81075,#81076)); #28880=EDGE_LOOP('',(#81077,#81078,#81079,#81080,#81081,#81082)); #28881=EDGE_LOOP('',(#81083,#81084,#81085,#81086,#81087,#81088)); #28882=EDGE_LOOP('',(#81089,#81090,#81091,#81092,#81093,#81094)); #28883=EDGE_LOOP('',(#81095,#81096,#81097,#81098,#81099)); #28884=EDGE_LOOP('',(#81100,#81101,#81102,#81103,#81104)); #28885=EDGE_LOOP('',(#81105,#81106,#81107,#81108,#81109)); #28886=EDGE_LOOP('',(#81110,#81111,#81112,#81113,#81114)); #28887=EDGE_LOOP('',(#81115,#81116,#81117,#81118,#81119)); #28888=EDGE_LOOP('',(#81120,#81121,#81122,#81123,#81124)); #28889=EDGE_LOOP('',(#81125,#81126,#81127,#81128,#81129)); #28890=EDGE_LOOP('',(#81130,#81131,#81132,#81133,#81134)); #28891=EDGE_LOOP('',(#81135,#81136,#81137,#81138,#81139)); #28892=EDGE_LOOP('',(#81140,#81141,#81142,#81143)); #28893=EDGE_LOOP('',(#81144,#81145,#81146,#81147)); #28894=EDGE_LOOP('',(#81148,#81149,#81150,#81151)); #28895=EDGE_LOOP('',(#81152,#81153,#81154,#81155)); #28896=EDGE_LOOP('',(#81156,#81157,#81158,#81159)); #28897=EDGE_LOOP('',(#81160,#81161,#81162,#81163)); #28898=EDGE_LOOP('',(#81164,#81165,#81166,#81167)); #28899=EDGE_LOOP('',(#81168,#81169,#81170,#81171)); #28900=EDGE_LOOP('',(#81172,#81173,#81174,#81175)); #28901=EDGE_LOOP('',(#81176,#81177,#81178,#81179)); #28902=EDGE_LOOP('',(#81180,#81181,#81182,#81183)); #28903=EDGE_LOOP('',(#81184,#81185,#81186,#81187)); #28904=EDGE_LOOP('',(#81188,#81189,#81190,#81191)); #28905=EDGE_LOOP('',(#81192,#81193,#81194,#81195)); #28906=EDGE_LOOP('',(#81196,#81197,#81198,#81199)); #28907=EDGE_LOOP('',(#81200,#81201,#81202,#81203)); #28908=EDGE_LOOP('',(#81204,#81205,#81206,#81207)); #28909=EDGE_LOOP('',(#81208,#81209,#81210,#81211,#81212,#81213)); #28910=EDGE_LOOP('',(#81214,#81215,#81216,#81217)); #28911=EDGE_LOOP('',(#81218,#81219,#81220,#81221)); #28912=EDGE_LOOP('',(#81222,#81223,#81224,#81225)); #28913=EDGE_LOOP('',(#81226,#81227,#81228)); #28914=EDGE_LOOP('',(#81229,#81230,#81231,#81232)); #28915=EDGE_LOOP('',(#81233,#81234,#81235)); #28916=EDGE_LOOP('',(#81236,#81237,#81238)); #28917=EDGE_LOOP('',(#81239,#81240,#81241)); #28918=EDGE_LOOP('',(#81242,#81243,#81244,#81245)); #28919=EDGE_LOOP('',(#81246,#81247,#81248,#81249)); #28920=EDGE_LOOP('',(#81250,#81251,#81252,#81253)); #28921=EDGE_LOOP('',(#81254,#81255,#81256,#81257)); #28922=EDGE_LOOP('',(#81258,#81259,#81260,#81261)); #28923=EDGE_LOOP('',(#81262,#81263,#81264,#81265)); #28924=EDGE_LOOP('',(#81266,#81267,#81268,#81269)); #28925=EDGE_LOOP('',(#81270,#81271,#81272,#81273)); #28926=EDGE_LOOP('',(#81274,#81275,#81276,#81277)); #28927=EDGE_LOOP('',(#81278,#81279,#81280,#81281,#81282,#81283)); #28928=EDGE_LOOP('',(#81284,#81285,#81286,#81287,#81288,#81289,#81290,#81291)); #28929=EDGE_LOOP('',(#81292,#81293,#81294,#81295,#81296,#81297,#81298,#81299)); #28930=EDGE_LOOP('',(#81300,#81301,#81302,#81303,#81304,#81305,#81306,#81307)); #28931=EDGE_LOOP('',(#81308,#81309,#81310,#81311)); #28932=EDGE_LOOP('',(#81312,#81313,#81314,#81315)); #28933=EDGE_LOOP('',(#81316,#81317,#81318,#81319)); #28934=EDGE_LOOP('',(#81320,#81321,#81322)); #28935=EDGE_LOOP('',(#81323,#81324,#81325,#81326)); #28936=EDGE_LOOP('',(#81327,#81328,#81329)); #28937=EDGE_LOOP('',(#81330,#81331,#81332)); #28938=EDGE_LOOP('',(#81333,#81334,#81335)); #28939=EDGE_LOOP('',(#81336,#81337,#81338,#81339)); #28940=EDGE_LOOP('',(#81340,#81341,#81342,#81343)); #28941=EDGE_LOOP('',(#81344,#81345,#81346,#81347)); #28942=EDGE_LOOP('',(#81348,#81349,#81350,#81351)); #28943=EDGE_LOOP('',(#81352,#81353,#81354,#81355)); #28944=EDGE_LOOP('',(#81356,#81357,#81358,#81359)); #28945=EDGE_LOOP('',(#81360,#81361,#81362,#81363)); #28946=EDGE_LOOP('',(#81364,#81365,#81366,#81367)); #28947=EDGE_LOOP('',(#81368,#81369,#81370,#81371)); #28948=EDGE_LOOP('',(#81372,#81373,#81374,#81375)); #28949=EDGE_LOOP('',(#81376,#81377,#81378,#81379)); #28950=EDGE_LOOP('',(#81380,#81381,#81382,#81383)); #28951=EDGE_LOOP('',(#81384,#81385,#81386)); #28952=EDGE_LOOP('',(#81387,#81388,#81389,#81390)); #28953=EDGE_LOOP('',(#81391,#81392,#81393)); #28954=EDGE_LOOP('',(#81394,#81395,#81396)); #28955=EDGE_LOOP('',(#81397,#81398,#81399)); #28956=EDGE_LOOP('',(#81400,#81401,#81402,#81403)); #28957=EDGE_LOOP('',(#81404,#81405,#81406,#81407)); #28958=EDGE_LOOP('',(#81408,#81409,#81410,#81411)); #28959=EDGE_LOOP('',(#81412,#81413,#81414,#81415)); #28960=EDGE_LOOP('',(#81416,#81417,#81418,#81419)); #28961=EDGE_LOOP('',(#81420,#81421,#81422,#81423)); #28962=EDGE_LOOP('',(#81424,#81425,#81426,#81427)); #28963=EDGE_LOOP('',(#81428,#81429,#81430,#81431)); #28964=EDGE_LOOP('',(#81432,#81433,#81434,#81435)); #28965=EDGE_LOOP('',(#81436,#81437,#81438,#81439,#81440)); #28966=EDGE_LOOP('',(#81441,#81442,#81443,#81444,#81445,#81446,#81447,#81448, #81449,#81450,#81451,#81452,#81453,#81454,#81455,#81456,#81457,#81458,#81459, #81460,#81461,#81462,#81463,#81464,#81465,#81466,#81467,#81468,#81469,#81470, #81471,#81472,#81473,#81474,#81475,#81476,#81477,#81478,#81479,#81480,#81481, #81482,#81483,#81484,#81485,#81486,#81487,#81488,#81489,#81490,#81491,#81492, #81493,#81494,#81495,#81496,#81497,#81498,#81499)); #28967=EDGE_LOOP('',(#81500)); #28968=EDGE_LOOP('',(#81501,#81502,#81503)); #28969=EDGE_LOOP('',(#81504,#81505,#81506)); #28970=EDGE_LOOP('',(#81507,#81508,#81509)); #28971=EDGE_LOOP('',(#81510,#81511,#81512)); #28972=EDGE_LOOP('',(#81513,#81514,#81515)); #28973=EDGE_LOOP('',(#81516,#81517,#81518)); #28974=EDGE_LOOP('',(#81519,#81520,#81521,#81522,#81523)); #28975=EDGE_LOOP('',(#81524,#81525,#81526,#81527,#81528)); #28976=EDGE_LOOP('',(#81529,#81530,#81531,#81532,#81533)); #28977=EDGE_LOOP('',(#81534,#81535,#81536,#81537,#81538,#81539)); #28978=EDGE_LOOP('',(#81540,#81541,#81542,#81543,#81544)); #28979=EDGE_LOOP('',(#81545,#81546,#81547,#81548,#81549)); #28980=EDGE_LOOP('',(#81550,#81551,#81552,#81553,#81554,#81555,#81556,#81557, #81558,#81559,#81560)); #28981=EDGE_LOOP('',(#81561,#81562,#81563,#81564,#81565,#81566,#81567,#81568, #81569,#81570,#81571,#81572,#81573,#81574,#81575,#81576,#81577,#81578,#81579, #81580,#81581,#81582,#81583,#81584,#81585,#81586,#81587,#81588,#81589,#81590, #81591,#81592,#81593,#81594,#81595,#81596,#81597,#81598,#81599,#81600,#81601)); #28982=EDGE_LOOP('',(#81602,#81603,#81604,#81605)); #28983=EDGE_LOOP('',(#81606,#81607,#81608,#81609,#81610,#81611)); #28984=EDGE_LOOP('',(#81612,#81613,#81614,#81615)); #28985=EDGE_LOOP('',(#81616,#81617,#81618,#81619)); #28986=EDGE_LOOP('',(#81620,#81621,#81622,#81623,#81624,#81625)); #28987=EDGE_LOOP('',(#81626,#81627,#81628,#81629,#81630,#81631)); #28988=EDGE_LOOP('',(#81632,#81633,#81634,#81635,#81636,#81637)); #28989=EDGE_LOOP('',(#81638,#81639,#81640,#81641,#81642,#81643)); #28990=EDGE_LOOP('',(#81644,#81645,#81646,#81647)); #28991=EDGE_LOOP('',(#81648,#81649,#81650,#81651)); #28992=EDGE_LOOP('',(#81652,#81653,#81654,#81655,#81656,#81657)); #28993=EDGE_LOOP('',(#81658,#81659,#81660,#81661,#81662,#81663)); #28994=EDGE_LOOP('',(#81664,#81665,#81666,#81667,#81668,#81669)); #28995=EDGE_LOOP('',(#81670,#81671,#81672,#81673,#81674,#81675)); #28996=EDGE_LOOP('',(#81676,#81677,#81678,#81679)); #28997=EDGE_LOOP('',(#81680,#81681,#81682,#81683)); #28998=EDGE_LOOP('',(#81684,#81685,#81686,#81687,#81688,#81689)); #28999=EDGE_LOOP('',(#81690,#81691,#81692,#81693,#81694,#81695)); #29000=EDGE_LOOP('',(#81696,#81697,#81698,#81699,#81700,#81701)); #29001=EDGE_LOOP('',(#81702,#81703,#81704,#81705,#81706,#81707)); #29002=EDGE_LOOP('',(#81708,#81709,#81710,#81711)); #29003=EDGE_LOOP('',(#81712,#81713,#81714,#81715)); #29004=EDGE_LOOP('',(#81716,#81717,#81718,#81719,#81720,#81721)); #29005=EDGE_LOOP('',(#81722,#81723,#81724,#81725,#81726,#81727)); #29006=EDGE_LOOP('',(#81728,#81729,#81730,#81731,#81732,#81733)); #29007=EDGE_LOOP('',(#81734,#81735,#81736,#81737,#81738,#81739)); #29008=EDGE_LOOP('',(#81740,#81741,#81742,#81743)); #29009=EDGE_LOOP('',(#81744,#81745,#81746,#81747)); #29010=EDGE_LOOP('',(#81748,#81749,#81750,#81751,#81752,#81753)); #29011=EDGE_LOOP('',(#81754,#81755,#81756,#81757,#81758,#81759)); #29012=EDGE_LOOP('',(#81760,#81761,#81762,#81763,#81764,#81765)); #29013=EDGE_LOOP('',(#81766,#81767,#81768,#81769,#81770,#81771,#81772,#81773, #81774,#81775,#81776,#81777)); #29014=EDGE_LOOP('',(#81778,#81779,#81780,#81781,#81782,#81783,#81784,#81785, #81786,#81787,#81788,#81789,#81790,#81791,#81792,#81793,#81794,#81795,#81796, #81797,#81798,#81799,#81800,#81801,#81802,#81803,#81804,#81805,#81806,#81807, #81808,#81809,#81810,#81811,#81812,#81813)); #29015=EDGE_LOOP('',(#81814,#81815,#81816,#81817)); #29016=EDGE_LOOP('',(#81818,#81819,#81820,#81821)); #29017=EDGE_LOOP('',(#81822,#81823,#81824,#81825)); #29018=EDGE_LOOP('',(#81826,#81827,#81828,#81829)); #29019=EDGE_LOOP('',(#81830,#81831,#81832,#81833)); #29020=EDGE_LOOP('',(#81834,#81835,#81836,#81837)); #29021=EDGE_LOOP('',(#81838,#81839,#81840,#81841)); #29022=EDGE_LOOP('',(#81842,#81843,#81844,#81845)); #29023=EDGE_LOOP('',(#81846,#81847,#81848,#81849)); #29024=EDGE_LOOP('',(#81850,#81851,#81852,#81853)); #29025=EDGE_LOOP('',(#81854,#81855,#81856,#81857)); #29026=EDGE_LOOP('',(#81858,#81859,#81860,#81861,#81862,#81863)); #29027=EDGE_LOOP('',(#81864,#81865,#81866,#81867)); #29028=EDGE_LOOP('',(#81868,#81869,#81870,#81871)); #29029=EDGE_LOOP('',(#81872,#81873,#81874,#81875,#81876,#81877)); #29030=EDGE_LOOP('',(#81878,#81879,#81880,#81881,#81882,#81883)); #29031=EDGE_LOOP('',(#81884,#81885,#81886,#81887,#81888,#81889)); #29032=EDGE_LOOP('',(#81890,#81891,#81892,#81893)); #29033=EDGE_LOOP('',(#81894,#81895,#81896,#81897)); #29034=EDGE_LOOP('',(#81898,#81899,#81900,#81901)); #29035=EDGE_LOOP('',(#81902,#81903,#81904,#81905,#81906)); #29036=EDGE_LOOP('',(#81907,#81908,#81909,#81910)); #29037=EDGE_LOOP('',(#81911,#81912,#81913,#81914,#81915)); #29038=EDGE_LOOP('',(#81916,#81917,#81918,#81919)); #29039=EDGE_LOOP('',(#81920,#81921,#81922,#81923)); #29040=EDGE_LOOP('',(#81924,#81925,#81926,#81927,#81928)); #29041=EDGE_LOOP('',(#81929,#81930,#81931,#81932)); #29042=EDGE_LOOP('',(#81933,#81934,#81935,#81936,#81937)); #29043=EDGE_LOOP('',(#81938,#81939,#81940,#81941)); #29044=EDGE_LOOP('',(#81942,#81943,#81944,#81945)); #29045=EDGE_LOOP('',(#81946,#81947,#81948,#81949,#81950)); #29046=EDGE_LOOP('',(#81951,#81952,#81953,#81954)); #29047=EDGE_LOOP('',(#81955,#81956,#81957,#81958,#81959)); #29048=EDGE_LOOP('',(#81960,#81961,#81962,#81963)); #29049=EDGE_LOOP('',(#81964,#81965,#81966,#81967)); #29050=EDGE_LOOP('',(#81968,#81969,#81970,#81971,#81972)); #29051=EDGE_LOOP('',(#81973,#81974,#81975,#81976)); #29052=EDGE_LOOP('',(#81977,#81978,#81979,#81980,#81981)); #29053=EDGE_LOOP('',(#81982,#81983,#81984,#81985)); #29054=EDGE_LOOP('',(#81986,#81987,#81988,#81989)); #29055=EDGE_LOOP('',(#81990,#81991,#81992,#81993,#81994)); #29056=EDGE_LOOP('',(#81995,#81996,#81997,#81998)); #29057=EDGE_LOOP('',(#81999,#82000,#82001,#82002,#82003)); #29058=EDGE_LOOP('',(#82004,#82005,#82006,#82007)); #29059=EDGE_LOOP('',(#82008,#82009,#82010,#82011)); #29060=EDGE_LOOP('',(#82012,#82013,#82014,#82015,#82016)); #29061=EDGE_LOOP('',(#82017,#82018,#82019,#82020)); #29062=EDGE_LOOP('',(#82021,#82022,#82023,#82024,#82025)); #29063=EDGE_LOOP('',(#82026,#82027,#82028,#82029)); #29064=EDGE_LOOP('',(#82030,#82031,#82032,#82033)); #29065=EDGE_LOOP('',(#82034,#82035,#82036,#82037,#82038)); #29066=EDGE_LOOP('',(#82039,#82040,#82041,#82042)); #29067=EDGE_LOOP('',(#82043,#82044,#82045,#82046,#82047)); #29068=EDGE_LOOP('',(#82048,#82049,#82050,#82051)); #29069=EDGE_LOOP('',(#82052,#82053,#82054,#82055)); #29070=EDGE_LOOP('',(#82056,#82057,#82058,#82059,#82060)); #29071=EDGE_LOOP('',(#82061,#82062,#82063,#82064)); #29072=EDGE_LOOP('',(#82065,#82066,#82067,#82068,#82069)); #29073=EDGE_LOOP('',(#82070,#82071,#82072,#82073)); #29074=EDGE_LOOP('',(#82074,#82075,#82076,#82077)); #29075=EDGE_LOOP('',(#82078,#82079,#82080,#82081,#82082)); #29076=EDGE_LOOP('',(#82083,#82084,#82085,#82086)); #29077=EDGE_LOOP('',(#82087,#82088,#82089,#82090,#82091)); #29078=EDGE_LOOP('',(#82092,#82093,#82094,#82095)); #29079=EDGE_LOOP('',(#82096,#82097,#82098,#82099)); #29080=EDGE_LOOP('',(#82100,#82101,#82102,#82103,#82104)); #29081=EDGE_LOOP('',(#82105,#82106,#82107,#82108)); #29082=EDGE_LOOP('',(#82109,#82110,#82111,#82112,#82113)); #29083=EDGE_LOOP('',(#82114,#82115,#82116,#82117)); #29084=EDGE_LOOP('',(#82118,#82119,#82120,#82121)); #29085=EDGE_LOOP('',(#82122,#82123,#82124,#82125,#82126)); #29086=EDGE_LOOP('',(#82127,#82128,#82129,#82130)); #29087=EDGE_LOOP('',(#82131,#82132,#82133,#82134,#82135)); #29088=EDGE_LOOP('',(#82136,#82137,#82138,#82139,#82140)); #29089=EDGE_LOOP('',(#82141,#82142,#82143,#82144,#82145)); #29090=EDGE_LOOP('',(#82146,#82147,#82148,#82149,#82150)); #29091=EDGE_LOOP('',(#82151,#82152,#82153,#82154,#82155)); #29092=EDGE_LOOP('',(#82156,#82157,#82158,#82159,#82160)); #29093=EDGE_LOOP('',(#82161,#82162,#82163,#82164,#82165)); #29094=EDGE_LOOP('',(#82166,#82167,#82168,#82169,#82170)); #29095=EDGE_LOOP('',(#82171,#82172,#82173,#82174,#82175)); #29096=EDGE_LOOP('',(#82176,#82177,#82178,#82179,#82180)); #29097=EDGE_LOOP('',(#82181,#82182,#82183,#82184,#82185)); #29098=EDGE_LOOP('',(#82186,#82187,#82188,#82189,#82190)); #29099=EDGE_LOOP('',(#82191,#82192,#82193,#82194)); #29100=EDGE_LOOP('',(#82195,#82196,#82197,#82198)); #29101=EDGE_LOOP('',(#82199,#82200,#82201,#82202,#82203)); #29102=EDGE_LOOP('',(#82204,#82205,#82206,#82207)); #29103=EDGE_LOOP('',(#82208,#82209,#82210,#82211,#82212)); #29104=EDGE_LOOP('',(#82213,#82214,#82215,#82216,#82217)); #29105=EDGE_LOOP('',(#82218,#82219,#82220,#82221,#82222,#82223,#82224,#82225, #82226,#82227,#82228,#82229,#82230,#82231,#82232,#82233,#82234,#82235,#82236, #82237,#82238,#82239,#82240,#82241,#82242,#82243,#82244,#82245,#82246,#82247, #82248,#82249,#82250,#82251,#82252,#82253,#82254,#82255,#82256,#82257,#82258, #82259,#82260,#82261,#82262,#82263,#82264,#82265)); #29106=EDGE_LOOP('',(#82266,#82267,#82268,#82269,#82270,#82271,#82272,#82273, #82274,#82275,#82276,#82277,#82278,#82279,#82280,#82281,#82282,#82283,#82284, #82285,#82286,#82287,#82288,#82289,#82290,#82291,#82292,#82293,#82294,#82295, #82296,#82297,#82298,#82299,#82300,#82301)); #29107=EDGE_LOOP('',(#82302,#82303,#82304,#82305,#82306,#82307,#82308,#82309, #82310,#82311,#82312,#82313,#82314,#82315,#82316,#82317)); #29108=EDGE_LOOP('',(#82318,#82319,#82320,#82321)); #29109=EDGE_LOOP('',(#82322,#82323,#82324,#82325)); #29110=EDGE_LOOP('',(#82326,#82327,#82328,#82329)); #29111=EDGE_LOOP('',(#82330,#82331,#82332,#82333)); #29112=EDGE_LOOP('',(#82334,#82335,#82336,#82337)); #29113=EDGE_LOOP('',(#82338,#82339,#82340,#82341)); #29114=EDGE_LOOP('',(#82342,#82343,#82344,#82345)); #29115=EDGE_LOOP('',(#82346,#82347,#82348,#82349)); #29116=EDGE_LOOP('',(#82350,#82351,#82352,#82353)); #29117=EDGE_LOOP('',(#82354,#82355,#82356,#82357)); #29118=EDGE_LOOP('',(#82358,#82359,#82360,#82361)); #29119=EDGE_LOOP('',(#82362,#82363,#82364,#82365)); #29120=EDGE_LOOP('',(#82366,#82367,#82368,#82369)); #29121=EDGE_LOOP('',(#82370,#82371,#82372,#82373)); #29122=EDGE_LOOP('',(#82374,#82375,#82376,#82377)); #29123=EDGE_LOOP('',(#82378,#82379,#82380,#82381)); #29124=EDGE_LOOP('',(#82382,#82383,#82384,#82385)); #29125=EDGE_LOOP('',(#82386,#82387,#82388,#82389)); #29126=EDGE_LOOP('',(#82390,#82391,#82392,#82393)); #29127=EDGE_LOOP('',(#82394,#82395,#82396,#82397)); #29128=EDGE_LOOP('',(#82398,#82399,#82400,#82401)); #29129=EDGE_LOOP('',(#82402,#82403,#82404,#82405)); #29130=EDGE_LOOP('',(#82406,#82407,#82408,#82409,#82410,#82411)); #29131=EDGE_LOOP('',(#82412,#82413,#82414,#82415,#82416,#82417)); #29132=EDGE_LOOP('',(#82418,#82419,#82420,#82421)); #29133=EDGE_LOOP('',(#82422,#82423,#82424,#82425)); #29134=EDGE_LOOP('',(#82426,#82427,#82428,#82429)); #29135=EDGE_LOOP('',(#82430,#82431,#82432,#82433)); #29136=EDGE_LOOP('',(#82434,#82435,#82436,#82437)); #29137=EDGE_LOOP('',(#82438,#82439,#82440,#82441)); #29138=EDGE_LOOP('',(#82442,#82443,#82444,#82445)); #29139=EDGE_LOOP('',(#82446,#82447,#82448,#82449)); #29140=EDGE_LOOP('',(#82450,#82451,#82452,#82453)); #29141=EDGE_LOOP('',(#82454,#82455,#82456,#82457)); #29142=EDGE_LOOP('',(#82458,#82459,#82460,#82461)); #29143=EDGE_LOOP('',(#82462,#82463,#82464,#82465)); #29144=EDGE_LOOP('',(#82466,#82467,#82468,#82469,#82470,#82471)); #29145=EDGE_LOOP('',(#82472,#82473,#82474,#82475,#82476,#82477)); #29146=EDGE_LOOP('',(#82478,#82479,#82480,#82481)); #29147=EDGE_LOOP('',(#82482,#82483,#82484,#82485)); #29148=EDGE_LOOP('',(#82486,#82487,#82488,#82489)); #29149=EDGE_LOOP('',(#82490,#82491,#82492,#82493)); #29150=EDGE_LOOP('',(#82494,#82495,#82496,#82497)); #29151=EDGE_LOOP('',(#82498,#82499,#82500,#82501)); #29152=EDGE_LOOP('',(#82502,#82503,#82504,#82505)); #29153=EDGE_LOOP('',(#82506,#82507,#82508,#82509)); #29154=EDGE_LOOP('',(#82510,#82511,#82512,#82513)); #29155=EDGE_LOOP('',(#82514,#82515,#82516,#82517)); #29156=EDGE_LOOP('',(#82518,#82519,#82520,#82521)); #29157=EDGE_LOOP('',(#82522,#82523,#82524,#82525)); #29158=EDGE_LOOP('',(#82526,#82527,#82528,#82529)); #29159=EDGE_LOOP('',(#82530,#82531,#82532,#82533)); #29160=EDGE_LOOP('',(#82534,#82535,#82536,#82537)); #29161=EDGE_LOOP('',(#82538,#82539,#82540,#82541)); #29162=EDGE_LOOP('',(#82542,#82543,#82544,#82545)); #29163=EDGE_LOOP('',(#82546,#82547,#82548,#82549)); #29164=EDGE_LOOP('',(#82550,#82551,#82552,#82553)); #29165=EDGE_LOOP('',(#82554,#82555,#82556,#82557)); #29166=EDGE_LOOP('',(#82558,#82559,#82560,#82561)); #29167=EDGE_LOOP('',(#82562,#82563,#82564,#82565)); #29168=EDGE_LOOP('',(#82566,#82567,#82568,#82569,#82570,#82571,#82572,#82573, #82574,#82575,#82576,#82577,#82578,#82579,#82580,#82581)); #29169=EDGE_LOOP('',(#82582,#82583,#82584,#82585,#82586,#82587,#82588,#82589, #82590,#82591,#82592,#82593,#82594,#82595,#82596,#82597)); #29170=EDGE_LOOP('',(#82598,#82599,#82600,#82601)); #29171=EDGE_LOOP('',(#82602,#82603,#82604,#82605)); #29172=EDGE_LOOP('',(#82606,#82607,#82608,#82609)); #29173=EDGE_LOOP('',(#82610,#82611,#82612,#82613)); #29174=EDGE_LOOP('',(#82614,#82615,#82616,#82617)); #29175=EDGE_LOOP('',(#82618,#82619,#82620,#82621)); #29176=EDGE_LOOP('',(#82622,#82623,#82624,#82625)); #29177=EDGE_LOOP('',(#82626,#82627,#82628,#82629)); #29178=EDGE_LOOP('',(#82630,#82631,#82632,#82633)); #29179=EDGE_LOOP('',(#82634,#82635,#82636,#82637)); #29180=EDGE_LOOP('',(#82638,#82639,#82640,#82641)); #29181=EDGE_LOOP('',(#82642,#82643,#82644,#82645)); #29182=EDGE_LOOP('',(#82646,#82647,#82648,#82649)); #29183=EDGE_LOOP('',(#82650,#82651,#82652,#82653)); #29184=EDGE_LOOP('',(#82654,#82655,#82656,#82657)); #29185=EDGE_LOOP('',(#82658,#82659,#82660,#82661)); #29186=EDGE_LOOP('',(#82662,#82663,#82664,#82665)); #29187=EDGE_LOOP('',(#82666,#82667,#82668,#82669)); #29188=EDGE_LOOP('',(#82670,#82671,#82672,#82673)); #29189=EDGE_LOOP('',(#82674,#82675,#82676,#82677)); #29190=EDGE_LOOP('',(#82678,#82679,#82680,#82681)); #29191=EDGE_LOOP('',(#82682,#82683,#82684,#82685)); #29192=EDGE_LOOP('',(#82686,#82687,#82688,#82689)); #29193=EDGE_LOOP('',(#82690,#82691,#82692,#82693)); #29194=EDGE_LOOP('',(#82694,#82695,#82696,#82697)); #29195=EDGE_LOOP('',(#82698,#82699,#82700,#82701)); #29196=EDGE_LOOP('',(#82702,#82703,#82704,#82705)); #29197=EDGE_LOOP('',(#82706,#82707,#82708,#82709)); #29198=EDGE_LOOP('',(#82710,#82711,#82712,#82713)); #29199=EDGE_LOOP('',(#82714,#82715,#82716,#82717)); #29200=EDGE_LOOP('',(#82718,#82719,#82720,#82721)); #29201=EDGE_LOOP('',(#82722,#82723,#82724,#82725)); #29202=EDGE_LOOP('',(#82726,#82727,#82728,#82729,#82730,#82731,#82732,#82733, #82734,#82735,#82736,#82737,#82738,#82739,#82740,#82741)); #29203=EDGE_LOOP('',(#82742,#82743,#82744,#82745,#82746,#82747,#82748,#82749, #82750,#82751,#82752,#82753,#82754,#82755,#82756,#82757)); #29204=EDGE_LOOP('',(#82758,#82759,#82760,#82761)); #29205=EDGE_LOOP('',(#82762,#82763,#82764,#82765)); #29206=EDGE_LOOP('',(#82766,#82767,#82768,#82769)); #29207=EDGE_LOOP('',(#82770,#82771,#82772,#82773)); #29208=EDGE_LOOP('',(#82774,#82775,#82776,#82777)); #29209=EDGE_LOOP('',(#82778,#82779,#82780,#82781)); #29210=EDGE_LOOP('',(#82782,#82783,#82784,#82785)); #29211=EDGE_LOOP('',(#82786,#82787,#82788,#82789)); #29212=EDGE_LOOP('',(#82790,#82791,#82792,#82793)); #29213=EDGE_LOOP('',(#82794,#82795,#82796,#82797)); #29214=EDGE_LOOP('',(#82798,#82799,#82800,#82801)); #29215=EDGE_LOOP('',(#82802,#82803,#82804,#82805)); #29216=EDGE_LOOP('',(#82806,#82807,#82808,#82809)); #29217=EDGE_LOOP('',(#82810,#82811,#82812,#82813)); #29218=EDGE_LOOP('',(#82814,#82815,#82816,#82817)); #29219=EDGE_LOOP('',(#82818,#82819,#82820,#82821)); #29220=EDGE_LOOP('',(#82822,#82823,#82824,#82825)); #29221=EDGE_LOOP('',(#82826,#82827,#82828,#82829)); #29222=EDGE_LOOP('',(#82830,#82831,#82832,#82833)); #29223=EDGE_LOOP('',(#82834,#82835,#82836,#82837)); #29224=EDGE_LOOP('',(#82838,#82839,#82840,#82841)); #29225=EDGE_LOOP('',(#82842,#82843,#82844,#82845)); #29226=EDGE_LOOP('',(#82846,#82847,#82848,#82849)); #29227=EDGE_LOOP('',(#82850,#82851,#82852,#82853)); #29228=EDGE_LOOP('',(#82854,#82855,#82856,#82857)); #29229=EDGE_LOOP('',(#82858,#82859,#82860,#82861)); #29230=EDGE_LOOP('',(#82862,#82863,#82864,#82865)); #29231=EDGE_LOOP('',(#82866,#82867,#82868,#82869)); #29232=EDGE_LOOP('',(#82870,#82871,#82872,#82873)); #29233=EDGE_LOOP('',(#82874,#82875,#82876,#82877)); #29234=EDGE_LOOP('',(#82878,#82879,#82880,#82881)); #29235=EDGE_LOOP('',(#82882,#82883,#82884,#82885)); #29236=EDGE_LOOP('',(#82886,#82887,#82888,#82889,#82890,#82891,#82892,#82893, #82894,#82895,#82896,#82897,#82898,#82899,#82900,#82901)); #29237=EDGE_LOOP('',(#82902,#82903,#82904,#82905,#82906,#82907,#82908,#82909, #82910,#82911,#82912,#82913,#82914,#82915,#82916,#82917)); #29238=EDGE_LOOP('',(#82918,#82919,#82920,#82921)); #29239=EDGE_LOOP('',(#82922,#82923,#82924,#82925)); #29240=EDGE_LOOP('',(#82926,#82927,#82928,#82929)); #29241=EDGE_LOOP('',(#82930,#82931,#82932,#82933)); #29242=EDGE_LOOP('',(#82934,#82935,#82936,#82937)); #29243=EDGE_LOOP('',(#82938,#82939,#82940,#82941)); #29244=EDGE_LOOP('',(#82942,#82943,#82944,#82945)); #29245=EDGE_LOOP('',(#82946,#82947,#82948,#82949)); #29246=EDGE_LOOP('',(#82950,#82951,#82952,#82953)); #29247=EDGE_LOOP('',(#82954,#82955,#82956,#82957)); #29248=EDGE_LOOP('',(#82958,#82959,#82960,#82961)); #29249=EDGE_LOOP('',(#82962,#82963,#82964,#82965)); #29250=EDGE_LOOP('',(#82966,#82967,#82968,#82969)); #29251=EDGE_LOOP('',(#82970,#82971,#82972,#82973)); #29252=EDGE_LOOP('',(#82974,#82975,#82976,#82977)); #29253=EDGE_LOOP('',(#82978,#82979,#82980,#82981)); #29254=EDGE_LOOP('',(#82982,#82983,#82984,#82985)); #29255=EDGE_LOOP('',(#82986,#82987,#82988,#82989)); #29256=EDGE_LOOP('',(#82990,#82991,#82992,#82993)); #29257=EDGE_LOOP('',(#82994,#82995,#82996,#82997)); #29258=EDGE_LOOP('',(#82998,#82999,#83000,#83001)); #29259=EDGE_LOOP('',(#83002,#83003,#83004,#83005)); #29260=EDGE_LOOP('',(#83006,#83007,#83008,#83009)); #29261=EDGE_LOOP('',(#83010,#83011,#83012,#83013)); #29262=EDGE_LOOP('',(#83014,#83015,#83016,#83017)); #29263=EDGE_LOOP('',(#83018,#83019,#83020,#83021)); #29264=EDGE_LOOP('',(#83022,#83023,#83024,#83025)); #29265=EDGE_LOOP('',(#83026,#83027,#83028,#83029)); #29266=EDGE_LOOP('',(#83030,#83031,#83032,#83033)); #29267=EDGE_LOOP('',(#83034,#83035,#83036,#83037)); #29268=EDGE_LOOP('',(#83038,#83039,#83040,#83041)); #29269=EDGE_LOOP('',(#83042,#83043,#83044,#83045)); #29270=EDGE_LOOP('',(#83046,#83047,#83048,#83049,#83050,#83051,#83052,#83053, #83054,#83055,#83056,#83057,#83058,#83059,#83060,#83061)); #29271=EDGE_LOOP('',(#83062,#83063,#83064,#83065,#83066,#83067,#83068,#83069, #83070,#83071,#83072,#83073,#83074,#83075,#83076,#83077)); #29272=EDGE_LOOP('',(#83078,#83079,#83080,#83081)); #29273=EDGE_LOOP('',(#83082,#83083,#83084,#83085)); #29274=EDGE_LOOP('',(#83086,#83087,#83088,#83089)); #29275=EDGE_LOOP('',(#83090,#83091,#83092,#83093)); #29276=EDGE_LOOP('',(#83094,#83095,#83096,#83097)); #29277=EDGE_LOOP('',(#83098,#83099,#83100,#83101)); #29278=EDGE_LOOP('',(#83102,#83103,#83104,#83105)); #29279=EDGE_LOOP('',(#83106,#83107,#83108,#83109)); #29280=EDGE_LOOP('',(#83110,#83111,#83112,#83113)); #29281=EDGE_LOOP('',(#83114,#83115,#83116,#83117)); #29282=EDGE_LOOP('',(#83118,#83119,#83120,#83121)); #29283=EDGE_LOOP('',(#83122,#83123,#83124,#83125)); #29284=EDGE_LOOP('',(#83126,#83127,#83128,#83129)); #29285=EDGE_LOOP('',(#83130,#83131,#83132,#83133)); #29286=EDGE_LOOP('',(#83134,#83135,#83136,#83137)); #29287=EDGE_LOOP('',(#83138,#83139,#83140,#83141)); #29288=EDGE_LOOP('',(#83142,#83143,#83144,#83145)); #29289=EDGE_LOOP('',(#83146,#83147,#83148,#83149)); #29290=EDGE_LOOP('',(#83150,#83151,#83152,#83153)); #29291=EDGE_LOOP('',(#83154,#83155,#83156,#83157)); #29292=EDGE_LOOP('',(#83158,#83159,#83160,#83161)); #29293=EDGE_LOOP('',(#83162,#83163,#83164,#83165)); #29294=EDGE_LOOP('',(#83166,#83167,#83168,#83169)); #29295=EDGE_LOOP('',(#83170,#83171,#83172,#83173)); #29296=EDGE_LOOP('',(#83174,#83175,#83176,#83177)); #29297=EDGE_LOOP('',(#83178,#83179,#83180,#83181)); #29298=EDGE_LOOP('',(#83182,#83183,#83184,#83185)); #29299=EDGE_LOOP('',(#83186,#83187,#83188,#83189)); #29300=EDGE_LOOP('',(#83190,#83191,#83192,#83193)); #29301=EDGE_LOOP('',(#83194,#83195,#83196,#83197)); #29302=EDGE_LOOP('',(#83198,#83199,#83200,#83201)); #29303=EDGE_LOOP('',(#83202,#83203,#83204,#83205)); #29304=EDGE_LOOP('',(#83206,#83207,#83208,#83209,#83210,#83211,#83212,#83213, #83214,#83215,#83216,#83217,#83218,#83219,#83220,#83221)); #29305=EDGE_LOOP('',(#83222,#83223,#83224,#83225)); #29306=EDGE_LOOP('',(#83226,#83227,#83228,#83229)); #29307=EDGE_LOOP('',(#83230,#83231,#83232,#83233)); #29308=EDGE_LOOP('',(#83234,#83235,#83236,#83237)); #29309=EDGE_LOOP('',(#83238,#83239,#83240,#83241,#83242,#83243,#83244,#83245)); #29310=EDGE_LOOP('',(#83246,#83247,#83248,#83249,#83250,#83251,#83252,#83253, #83254,#83255,#83256,#83257,#83258,#83259,#83260,#83261)); #29311=EDGE_LOOP('',(#83262,#83263,#83264,#83265,#83266,#83267)); #29312=EDGE_LOOP('',(#83268,#83269,#83270,#83271,#83272,#83273)); #29313=EDGE_LOOP('',(#83274,#83275,#83276,#83277,#83278,#83279)); #29314=EDGE_LOOP('',(#83280,#83281,#83282,#83283,#83284,#83285)); #29315=EDGE_LOOP('',(#83286,#83287,#83288,#83289,#83290,#83291,#83292,#83293, #83294,#83295,#83296,#83297,#83298,#83299,#83300,#83301)); #29316=EDGE_LOOP('',(#83302,#83303,#83304,#83305,#83306,#83307,#83308,#83309, #83310,#83311,#83312,#83313,#83314,#83315,#83316,#83317)); #29317=EDGE_LOOP('',(#83318,#83319,#83320,#83321,#83322,#83323,#83324,#83325, #83326,#83327,#83328,#83329,#83330,#83331,#83332,#83333)); #29318=EDGE_LOOP('',(#83334,#83335,#83336,#83337,#83338,#83339,#83340,#83341, #83342,#83343,#83344,#83345,#83346,#83347,#83348,#83349)); #29319=EDGE_LOOP('',(#83350,#83351,#83352,#83353,#83354,#83355,#83356,#83357, #83358,#83359,#83360,#83361,#83362,#83363,#83364,#83365)); #29320=EDGE_LOOP('',(#83366,#83367,#83368,#83369,#83370,#83371,#83372,#83373, #83374,#83375,#83376,#83377,#83378,#83379,#83380,#83381)); #29321=EDGE_LOOP('',(#83382,#83383,#83384,#83385,#83386,#83387,#83388,#83389, #83390,#83391,#83392,#83393,#83394,#83395,#83396,#83397)); #29322=EDGE_LOOP('',(#83398,#83399,#83400,#83401,#83402,#83403,#83404,#83405, #83406,#83407,#83408,#83409,#83410,#83411,#83412,#83413)); #29323=EDGE_LOOP('',(#83414,#83415,#83416,#83417,#83418,#83419,#83420,#83421, #83422,#83423,#83424,#83425,#83426,#83427,#83428,#83429)); #29324=EDGE_LOOP('',(#83430,#83431,#83432,#83433)); #29325=EDGE_LOOP('',(#83434,#83435,#83436,#83437)); #29326=EDGE_LOOP('',(#83438,#83439,#83440,#83441)); #29327=EDGE_LOOP('',(#83442,#83443,#83444,#83445)); #29328=EDGE_LOOP('',(#83446,#83447,#83448,#83449,#83450,#83451,#83452,#83453)); #29329=EDGE_LOOP('',(#83454,#83455,#83456,#83457)); #29330=EDGE_LOOP('',(#83458,#83459,#83460,#83461)); #29331=EDGE_LOOP('',(#83462,#83463,#83464,#83465)); #29332=EDGE_LOOP('',(#83466,#83467,#83468,#83469)); #29333=EDGE_LOOP('',(#83470,#83471,#83472,#83473)); #29334=EDGE_LOOP('',(#83474,#83475,#83476,#83477)); #29335=EDGE_LOOP('',(#83478,#83479,#83480,#83481)); #29336=EDGE_LOOP('',(#83482,#83483,#83484,#83485)); #29337=EDGE_LOOP('',(#83486,#83487,#83488,#83489)); #29338=EDGE_LOOP('',(#83490,#83491,#83492,#83493)); #29339=EDGE_LOOP('',(#83494,#83495,#83496,#83497)); #29340=EDGE_LOOP('',(#83498,#83499,#83500,#83501)); #29341=EDGE_LOOP('',(#83502,#83503,#83504,#83505)); #29342=EDGE_LOOP('',(#83506,#83507,#83508,#83509)); #29343=EDGE_LOOP('',(#83510,#83511,#83512,#83513)); #29344=EDGE_LOOP('',(#83514,#83515,#83516,#83517)); #29345=EDGE_LOOP('',(#83518,#83519,#83520,#83521)); #29346=EDGE_LOOP('',(#83522,#83523,#83524,#83525)); #29347=EDGE_LOOP('',(#83526,#83527,#83528,#83529)); #29348=EDGE_LOOP('',(#83530,#83531,#83532,#83533)); #29349=EDGE_LOOP('',(#83534,#83535,#83536,#83537)); #29350=EDGE_LOOP('',(#83538,#83539,#83540,#83541)); #29351=EDGE_LOOP('',(#83542,#83543,#83544,#83545)); #29352=EDGE_LOOP('',(#83546,#83547,#83548,#83549)); #29353=EDGE_LOOP('',(#83550,#83551,#83552,#83553)); #29354=EDGE_LOOP('',(#83554,#83555,#83556,#83557)); #29355=EDGE_LOOP('',(#83558,#83559,#83560,#83561)); #29356=EDGE_LOOP('',(#83562,#83563,#83564,#83565)); #29357=EDGE_LOOP('',(#83566,#83567,#83568,#83569)); #29358=EDGE_LOOP('',(#83570,#83571,#83572,#83573)); #29359=EDGE_LOOP('',(#83574,#83575,#83576,#83577)); #29360=EDGE_LOOP('',(#83578,#83579,#83580,#83581)); #29361=EDGE_LOOP('',(#83582,#83583,#83584,#83585)); #29362=EDGE_LOOP('',(#83586,#83587,#83588,#83589)); #29363=EDGE_LOOP('',(#83590,#83591,#83592,#83593)); #29364=EDGE_LOOP('',(#83594,#83595,#83596,#83597)); #29365=EDGE_LOOP('',(#83598,#83599,#83600,#83601)); #29366=EDGE_LOOP('',(#83602,#83603,#83604,#83605)); #29367=EDGE_LOOP('',(#83606,#83607,#83608,#83609)); #29368=EDGE_LOOP('',(#83610,#83611,#83612,#83613)); #29369=EDGE_LOOP('',(#83614,#83615,#83616,#83617)); #29370=EDGE_LOOP('',(#83618,#83619,#83620,#83621)); #29371=EDGE_LOOP('',(#83622,#83623,#83624,#83625)); #29372=EDGE_LOOP('',(#83626,#83627,#83628,#83629)); #29373=EDGE_LOOP('',(#83630,#83631,#83632,#83633)); #29374=EDGE_LOOP('',(#83634,#83635,#83636,#83637)); #29375=EDGE_LOOP('',(#83638,#83639,#83640,#83641)); #29376=EDGE_LOOP('',(#83642,#83643,#83644,#83645)); #29377=EDGE_LOOP('',(#83646,#83647,#83648,#83649)); #29378=EDGE_LOOP('',(#83650,#83651,#83652,#83653)); #29379=EDGE_LOOP('',(#83654,#83655,#83656,#83657)); #29380=EDGE_LOOP('',(#83658,#83659,#83660,#83661)); #29381=EDGE_LOOP('',(#83662,#83663,#83664,#83665)); #29382=EDGE_LOOP('',(#83666,#83667,#83668,#83669)); #29383=EDGE_LOOP('',(#83670,#83671,#83672,#83673)); #29384=EDGE_LOOP('',(#83674,#83675,#83676,#83677)); #29385=EDGE_LOOP('',(#83678,#83679,#83680,#83681)); #29386=EDGE_LOOP('',(#83682,#83683,#83684,#83685)); #29387=EDGE_LOOP('',(#83686,#83687,#83688,#83689)); #29388=EDGE_LOOP('',(#83690,#83691,#83692,#83693)); #29389=EDGE_LOOP('',(#83694,#83695,#83696,#83697)); #29390=EDGE_LOOP('',(#83698,#83699,#83700,#83701)); #29391=EDGE_LOOP('',(#83702,#83703,#83704,#83705)); #29392=EDGE_LOOP('',(#83706,#83707,#83708,#83709)); #29393=EDGE_LOOP('',(#83710,#83711,#83712,#83713)); #29394=EDGE_LOOP('',(#83714,#83715,#83716,#83717)); #29395=EDGE_LOOP('',(#83718,#83719,#83720,#83721)); #29396=EDGE_LOOP('',(#83722,#83723,#83724,#83725)); #29397=EDGE_LOOP('',(#83726,#83727,#83728,#83729)); #29398=EDGE_LOOP('',(#83730,#83731,#83732,#83733)); #29399=EDGE_LOOP('',(#83734,#83735,#83736,#83737)); #29400=EDGE_LOOP('',(#83738,#83739,#83740,#83741)); #29401=EDGE_LOOP('',(#83742,#83743,#83744,#83745)); #29402=EDGE_LOOP('',(#83746,#83747,#83748,#83749)); #29403=EDGE_LOOP('',(#83750,#83751,#83752,#83753)); #29404=EDGE_LOOP('',(#83754,#83755,#83756,#83757)); #29405=EDGE_LOOP('',(#83758,#83759,#83760,#83761)); #29406=EDGE_LOOP('',(#83762,#83763,#83764,#83765)); #29407=EDGE_LOOP('',(#83766,#83767,#83768,#83769)); #29408=EDGE_LOOP('',(#83770,#83771,#83772,#83773)); #29409=CIRCLE('',#91051,0.03); #29410=CIRCLE('',#91052,0.03); #29411=CIRCLE('',#91053,0.03); #29412=CIRCLE('',#91054,0.0300000000000003); #29413=CIRCLE('',#91055,0.03); #29414=CIRCLE('',#91056,0.0300000000000003); #29415=CIRCLE('',#91057,0.03); #29416=CIRCLE('',#91059,0.0925240499999999); #29417=CIRCLE('',#91061,0.0925240499999999); #29418=CIRCLE('',#91063,0.125); #29419=CIRCLE('',#91065,0.125); #29420=CIRCLE('',#91067,0.0925240499999999); #29421=CIRCLE('',#91069,0.0925240499999999); #29422=CIRCLE('',#91071,0.125); #29423=CIRCLE('',#91072,0.078740155); #29424=CIRCLE('',#91073,0.05905512); #29425=CIRCLE('',#91074,0.0347230674201975); #29426=CIRCLE('',#91077,0.01); #29427=CIRCLE('',#91078,1.31326086676111); #29428=CIRCLE('',#91079,0.0100000000000003); #29429=CIRCLE('',#91080,1.31993840787643); #29430=CIRCLE('',#91082,0.01); #29431=CIRCLE('',#91083,8.64374844060802); #29432=CIRCLE('',#91084,8.6370708994927); #29433=CIRCLE('',#91086,0.00999999999999981); #29434=CIRCLE('',#91087,1.23137356421224); #29435=CIRCLE('',#91088,1.22469602309692); #29436=CIRCLE('',#91090,0.0100000000000001); #29437=CIRCLE('',#91091,2.82971290728115); #29438=CIRCLE('',#91092,2.82303536616583); #29439=CIRCLE('',#91094,0.00999999999999989); #29440=CIRCLE('',#91095,1.23137356421224); #29441=CIRCLE('',#91096,1.22469602309692); #29442=CIRCLE('',#91098,0.00999999999999971); #29443=CIRCLE('',#91099,8.64374844060802); #29444=CIRCLE('',#91100,8.6370708994927); #29445=CIRCLE('',#91102,0.0100000000000002); #29446=CIRCLE('',#91103,1.31993840787643); #29447=CIRCLE('',#91104,1.31326086676111); #29448=CIRCLE('',#91106,4.60637356421213); #29449=CIRCLE('',#91107,4.59969602309681); #29450=CIRCLE('',#91109,0.964109509104581); #29451=CIRCLE('',#91110,2.75286861231293); #29452=CIRCLE('',#91111,0.964109509104581); #29453=CIRCLE('',#91112,0.964109509104581); #29454=CIRCLE('',#91113,1.15452926924402); #29455=CIRCLE('',#91115,0.964109509104581); #29456=CIRCLE('',#91116,8.5669041456398); #29457=CIRCLE('',#91117,0.964109509104581); #29458=CIRCLE('',#91118,1.24309411290821); #29459=CIRCLE('',#91120,2.89567765277206); #29460=CIRCLE('',#91121,1.29733830970316); #29461=CIRCLE('',#91122,8.70971318609893); #29462=CIRCLE('',#91123,1.38590315336735); #29463=CIRCLE('',#91124,4.67233830970305); #29464=CIRCLE('',#91125,1.38590315336735); #29465=CIRCLE('',#91126,8.70971318609893); #29466=CIRCLE('',#91127,1.29733830970316); #29467=CIRCLE('',#91129,0.01); #29468=CIRCLE('',#91130,8.55812656041934); #29469=CIRCLE('',#91131,0.00999999999999991); #29470=CIRCLE('',#91132,8.54812808346778); #29471=CIRCLE('',#91134,0.0100000000000014); #29472=CIRCLE('',#91135,1.135753207072); #29473=CIRCLE('',#91136,1.14575168402356); #29474=CIRCLE('',#91138,0.0100000000000001); #29475=CIRCLE('',#91139,2.73409255014091); #29476=CIRCLE('',#91140,2.74409102709247); #29477=CIRCLE('',#91142,0.00999999999999995); #29478=CIRCLE('',#91143,1.135753207072); #29479=CIRCLE('',#91144,1.14575168402356); #29480=CIRCLE('',#91146,0.0099999999999986); #29481=CIRCLE('',#91147,8.54812808346778); #29482=CIRCLE('',#91148,8.55812656041934); #29483=CIRCLE('',#91150,0.010000000000001); #29484=CIRCLE('',#91151,1.22431805073619); #29485=CIRCLE('',#91152,1.23431652768775); #29486=CIRCLE('',#91154,0.0100000000000002); #29487=CIRCLE('',#91155,4.51075320707189); #29488=CIRCLE('',#91156,4.52075168402345); #29489=CIRCLE('',#91158,1.22431805073619); #29490=CIRCLE('',#91159,1.23431652768775); #29491=CIRCLE('',#91161,1.14655515431799); #29492=CIRCLE('',#91163,2.7448944973869); #29493=CIRCLE('',#91165,1.14655515431799); #29494=CIRCLE('',#91167,8.55893003071377); #29495=CIRCLE('',#91169,4.487261831809); #29496=CIRCLE('',#91170,1.20082667547329); #29497=CIRCLE('',#91171,8.52463670820488); #29498=CIRCLE('',#91172,1.11226183180911); #29499=CIRCLE('',#91173,2.71060117487801); #29500=CIRCLE('',#91174,1.11226183180911); #29501=CIRCLE('',#91175,8.52463670820488); #29502=CIRCLE('',#91176,1.20082667547329); #29503=CIRCLE('',#91178,0.010000000000001); #29504=CIRCLE('',#91179,4.3001912675971); #29505=CIRCLE('',#91180,0.01); #29506=CIRCLE('',#91181,4.31019088682774); #29507=CIRCLE('',#91183,0.01); #29508=CIRCLE('',#91184,1.02375573049204); #29509=CIRCLE('',#91185,1.0137561112614); #29510=CIRCLE('',#91187,0.01); #29511=CIRCLE('',#91188,8.34756576322362); #29512=CIRCLE('',#91189,8.33756614399298); #29513=CIRCLE('',#91191,0.01); #29514=CIRCLE('',#91192,0.935190886827848); #29515=CIRCLE('',#91193,0.925191267597206); #29516=CIRCLE('',#91195,0.01); #29517=CIRCLE('',#91196,2.53353022989676); #29518=CIRCLE('',#91197,2.52353061066611); #29519=CIRCLE('',#91199,0.01); #29520=CIRCLE('',#91200,0.935190886827848); #29521=CIRCLE('',#91201,0.925191267597206); #29522=CIRCLE('',#91203,0.01); #29523=CIRCLE('',#91204,8.34756576322363); #29524=CIRCLE('',#91205,8.33756614399298); #29525=CIRCLE('',#91207,1.02375573049204); #29526=CIRCLE('',#91208,1.01375611126139); #29527=CIRCLE('',#91210,0.936555155008432); #29528=CIRCLE('',#91212,8.34893003140421); #29529=CIRCLE('',#91214,1.02511999867262); #29530=CIRCLE('',#91216,4.31155515500832); #29531=CIRCLE('',#91218,8.31708337873009); #29532=CIRCLE('',#91219,0.904708502334313); #29533=CIRCLE('',#91220,2.50304784540322); #29534=CIRCLE('',#91221,2.43873415919204); #29535=CIRCLE('',#91222,2.50304784540322); #29536=CIRCLE('',#91223,2.43873415919203); #29537=CIRCLE('',#91224,2.50322087277206); #29538=CIRCLE('',#91225,2.43873415919204); #29539=CIRCLE('',#91226,2.50322087277206); #29540=CIRCLE('',#91227,2.43873415919204); #29541=CIRCLE('',#91228,2.50304784540322); #29542=CIRCLE('',#91229,2.43873415919204); #29543=CIRCLE('',#91230,2.50304784540322); #29544=CIRCLE('',#91231,0.904708502334312); #29545=CIRCLE('',#91232,8.31708337873009); #29546=CIRCLE('',#91233,8.31708337873009); #29547=CIRCLE('',#91234,8.31708337873009); #29548=CIRCLE('',#91235,0.993273345998501); #29549=CIRCLE('',#91236,4.2797085023342); #29550=CIRCLE('',#91237,4.2797085023342); #29551=CIRCLE('',#91238,4.2797085023342); #29552=CIRCLE('',#91239,0.993273345998501); #29553=CIRCLE('',#91240,8.31708337873009); #29554=CIRCLE('',#91241,8.31708337873009); #29555=CIRCLE('',#91243,0.0100000000000002); #29556=CIRCLE('',#91244,0.0099999999999995); #29557=CIRCLE('',#91245,4.325387110426); #29558=CIRCLE('',#91247,1.02511999867262); #29559=CIRCLE('',#91248,0.0100000000000028); #29560=CIRCLE('',#91249,1.0389519540903); #29561=CIRCLE('',#91251,8.34893003140421); #29562=CIRCLE('',#91252,0.0100000000000021); #29563=CIRCLE('',#91253,8.36276198682189); #29564=CIRCLE('',#91255,0.936555155008433); #29565=CIRCLE('',#91256,0.0100000000000007); #29566=CIRCLE('',#91257,0.950387110426111); #29567=CIRCLE('',#91259,2.53489449807734); #29568=CIRCLE('',#91260,0.00999999999999917); #29569=CIRCLE('',#91261,2.54872645349502); #29570=CIRCLE('',#91263,0.0100000000000004); #29571=CIRCLE('',#91264,0.950387110426111); #29572=CIRCLE('',#91266,0.0100000000000044); #29573=CIRCLE('',#91267,8.36276198682189); #29574=CIRCLE('',#91269,1.0389519540903); #29575=CIRCLE('',#91270,0.964109509104581); #29576=CIRCLE('',#91271,1.09690762543362); #29577=CIRCLE('',#91272,0.964109509104581); #29578=CIRCLE('',#91274,0.964109509104581); #29579=CIRCLE('',#91275,8.5092825018294); #29580=CIRCLE('',#91276,0.964109509104582); #29581=CIRCLE('',#91277,1.18547246909781); #29582=CIRCLE('',#91279,0.964109509104581); #29583=CIRCLE('',#91280,4.47190762543351); #29584=CIRCLE('',#91285,0.01); #29585=CIRCLE('',#91286,4.47726221257835); #29586=CIRCLE('',#91287,0.00999999999999889); #29587=CIRCLE('',#91289,0.0100000000000002); #29588=CIRCLE('',#91290,1.19082705624265); #29589=CIRCLE('',#91292,0.0100000000000015); #29590=CIRCLE('',#91293,8.51463708897424); #29591=CIRCLE('',#91295,0.0100000000000003); #29592=CIRCLE('',#91296,1.10226221257846); #29593=CIRCLE('',#91298,0.00999999999999891); #29594=CIRCLE('',#91299,2.70060155564737); #29595=CIRCLE('',#91301,0.00999999999999937); #29596=CIRCLE('',#91302,1.10226221257846); #29597=CIRCLE('',#91304,0.0100000000000006); #29598=CIRCLE('',#91305,8.51463708897424); #29599=CIRCLE('',#91307,1.19082705624265); #29600=CIRCLE('',#91309,1.1015551587639); #29601=CIRCLE('',#91311,8.51393003515968); #29602=CIRCLE('',#91313,1.19012000242809); #29603=CIRCLE('',#91315,4.47655515876379); #29604=CIRCLE('',#91317,0.00999999999999995); #29605=CIRCLE('',#91318,8.51393003515968); #29606=CIRCLE('',#91319,0.009999999999998); #29607=CIRCLE('',#91321,1.1015551587639); #29608=CIRCLE('',#91322,0.0100000000000003); #29609=CIRCLE('',#91324,2.69989450183281); #29610=CIRCLE('',#91325,0.0100000000000006); #29611=CIRCLE('',#91326,2.69524696850253); #29612=CIRCLE('',#91328,0.0100000000000001); #29613=CIRCLE('',#91329,1.09690762543362); #29614=CIRCLE('',#91331,0.00999999999999972); #29615=CIRCLE('',#91332,8.5092825018294); #29616=CIRCLE('',#91334,0.0100000000000003); #29617=CIRCLE('',#91335,1.18547246909781); #29618=CIRCLE('',#91337,0.00999999999999972); #29619=CIRCLE('',#91339,1.19012000242809); #29620=CIRCLE('',#91340,0.964109509104581); #29621=CIRCLE('',#91344,0.00999999999999987); #29622=CIRCLE('',#91345,0.00999999999999944); #29623=CIRCLE('',#91346,0.00999999999999998); #29624=CIRCLE('',#91347,0.0100000000000005); #29625=CIRCLE('',#91348,0.01); #29626=CIRCLE('',#91350,0.00999999999999968); #29627=CIRCLE('',#91351,0.01); #29628=CIRCLE('',#91352,0.0100000000000003); #29629=CIRCLE('',#91353,0.0100000000000002); #29630=CIRCLE('',#91354,0.0100000000000003); #29631=CIRCLE('',#91355,0.01); #29632=CIRCLE('',#91356,0.0099999999999999); #29633=CIRCLE('',#91358,0.00999999999999949); #29634=CIRCLE('',#91359,0.0100000000000002); #29635=CIRCLE('',#91361,0.0099999999999999); #29636=CIRCLE('',#91364,0.00999999999999994); #29637=CIRCLE('',#91365,0.0100000000000002); #29638=CIRCLE('',#91366,0.0100000000000001); #29639=CIRCLE('',#91368,0.00999999999999992); #29640=CIRCLE('',#91369,0.0100000000000018); #29641=CIRCLE('',#91373,0.0100000000000001); #29642=CIRCLE('',#91374,0.0099999999999999); #29643=CIRCLE('',#91376,0.0100000000000002); #29644=CIRCLE('',#91377,0.00999999999999998); #29645=CIRCLE('',#91379,0.0100000000000001); #29646=CIRCLE('',#91382,0.0100000000000001); #29647=CIRCLE('',#91383,0.0100000000000001); #29648=CIRCLE('',#91384,0.0100000000000001); #29649=CIRCLE('',#91386,0.00999999999999992); #29650=CIRCLE('',#91387,0.0100000000000012); #29651=CIRCLE('',#91392,0.0100000000000016); #29652=CIRCLE('',#91394,0.0100000001056228); #29653=CIRCLE('',#91396,0.0100000000000003); #29654=CIRCLE('',#91398,0.0100000000000005); #29655=CIRCLE('',#91400,0.0100000000000001); #29656=CIRCLE('',#91401,0.01); #29657=CIRCLE('',#91403,0.00999999999999951); #29658=CIRCLE('',#91406,0.0100000000000024); #29659=CIRCLE('',#91408,0.00999999999999969); #29660=CIRCLE('',#91410,0.0100000000000038); #29661=CIRCLE('',#91412,0.00999999999999991); #29662=CIRCLE('',#91414,0.0100000000000007); #29663=CIRCLE('',#91416,0.01); #29664=CIRCLE('',#91417,0.00999999999999995); #29665=CIRCLE('',#91418,0.00999999999999983); #29666=CIRCLE('',#91421,0.00999999999999981); #29667=CIRCLE('',#91423,0.0100000000000002); #29668=CIRCLE('',#91424,0.0100000000000001); #29669=CIRCLE('',#91426,0.00999999999999978); #29670=CIRCLE('',#91427,0.35397529); #29671=CIRCLE('',#91428,0.36397529); #29672=CIRCLE('',#91429,0.00999999999999983); #29673=CIRCLE('',#91431,0.0100000000000002); #29674=CIRCLE('',#91432,0.00999999999999982); #29675=CIRCLE('',#91433,0.01); #29676=CIRCLE('',#91436,0.00999999999999988); #29677=CIRCLE('',#91438,0.01); #29678=CIRCLE('',#91439,0.35397529); #29679=CIRCLE('',#91440,0.36397529); #29680=CIRCLE('',#91441,0.00999999999999996); #29681=CIRCLE('',#91442,0.00999999999999991); #29682=CIRCLE('',#91445,0.00999999999999999); #29683=CIRCLE('',#91447,0.00999999999999999); #29684=CIRCLE('',#91448,0.01); #29685=CIRCLE('',#91450,0.01); #29686=CIRCLE('',#91451,0.35397529); #29687=CIRCLE('',#91452,0.36397529); #29688=CIRCLE('',#91453,0.36397529); #29689=CIRCLE('',#91454,0.00999999999999995); #29690=CIRCLE('',#91456,0.00999999999999999); #29691=CIRCLE('',#91457,0.01); #29692=CIRCLE('',#91458,0.00999999999999992); #29693=CIRCLE('',#91461,0.01); #29694=CIRCLE('',#91463,0.00999999999999992); #29695=CIRCLE('',#91464,0.35397529); #29696=CIRCLE('',#91465,0.36397529); #29697=CIRCLE('',#91466,0.01); #29698=CIRCLE('',#91467,0.00999999999999983); #29699=CIRCLE('',#91470,0.00999999999999982); #29700=CIRCLE('',#91472,0.0100000000000002); #29701=CIRCLE('',#91473,0.0100000000000001); #29702=CIRCLE('',#91475,0.00999999999999978); #29703=CIRCLE('',#91476,0.35397529); #29704=CIRCLE('',#91477,0.36397529); #29705=CIRCLE('',#91478,0.00999999999999983); #29706=CIRCLE('',#91480,0.0100000000000002); #29707=CIRCLE('',#91481,0.00999999999999984); #29708=CIRCLE('',#91482,0.01); #29709=CIRCLE('',#91485,0.00999999999999988); #29710=CIRCLE('',#91487,0.00999999999999996); #29711=CIRCLE('',#91488,0.35397529); #29712=CIRCLE('',#91489,0.36397529); #29713=CIRCLE('',#91490,0.0100000000000001); #29714=CIRCLE('',#91491,0.00999999999999991); #29715=CIRCLE('',#91494,0.00999999999999999); #29716=CIRCLE('',#91496,0.00999999999999999); #29717=CIRCLE('',#91497,0.01); #29718=CIRCLE('',#91499,0.01); #29719=CIRCLE('',#91500,0.35397529); #29720=CIRCLE('',#91501,0.36397529); #29721=CIRCLE('',#91502,0.00999999999999999); #29722=CIRCLE('',#91504,0.00999999999999999); #29723=CIRCLE('',#91505,0.01); #29724=CIRCLE('',#91506,0.00999999999999996); #29725=CIRCLE('',#91509,0.00999999999999996); #29726=CIRCLE('',#91511,0.35397529); #29727=CIRCLE('',#91512,0.36397529); #29728=CIRCLE('',#91519,0.284749904146268); #29729=CIRCLE('',#91527,0.283986317752645); #29730=CIRCLE('',#91535,0.284749904146268); #29731=CIRCLE('',#91543,0.283986317752645); #29732=CIRCLE('',#91546,0.36397529); #29733=CIRCLE('',#91547,0.36397529); #29734=CIRCLE('',#91548,0.00999999999999994); #29735=CIRCLE('',#91549,0.00999999999999995); #29736=CIRCLE('',#91550,0.00999999999999985); #29737=CIRCLE('',#91551,0.00999999999999994); #29738=CIRCLE('',#91552,0.0100000000000001); #29739=CIRCLE('',#91553,0.01); #29740=CIRCLE('',#91555,0.01); #29741=CIRCLE('',#91556,0.27474999933906); #29742=CIRCLE('',#91557,0.0100000000000001); #29743=CIRCLE('',#91558,0.0100000000000002); #29744=CIRCLE('',#91559,0.0100000000000001); #29745=CIRCLE('',#91560,0.0100000000000002); #29746=CIRCLE('',#91561,0.0100000000000001); #29747=CIRCLE('',#91563,0.0100000000000003); #29748=CIRCLE('',#91564,0.273986412945437); #29749=CIRCLE('',#91565,0.0100000000000002); #29750=CIRCLE('',#91566,0.00999999999999964); #29751=CIRCLE('',#91567,0.0099999999999998); #29752=CIRCLE('',#91568,0.0099999999999998); #29753=CIRCLE('',#91569,0.0100000000000004); #29754=CIRCLE('',#91571,0.0100000000000001); #29755=CIRCLE('',#91572,0.27474999933906); #29756=CIRCLE('',#91573,0.0100000000000002); #29757=CIRCLE('',#91574,0.0100000000000005); #29758=CIRCLE('',#91575,0.01); #29759=CIRCLE('',#91576,0.00999999999999976); #29760=CIRCLE('',#91577,0.00999999999999995); #29761=CIRCLE('',#91579,0.01); #29762=CIRCLE('',#91580,0.283986317752645); #29763=CIRCLE('',#91581,0.273986412945438); #29764=CIRCLE('',#91582,0.0099999999999999); #29765=CIRCLE('',#91583,0.00999999999999986); #29766=CIRCLE('',#91585,0.00999999999999994); #29767=CIRCLE('',#91586,0.0100000000000001); #29768=CIRCLE('',#91587,0.01); #29769=CIRCLE('',#91589,0.01); #29770=CIRCLE('',#91590,0.284749904146268); #29771=CIRCLE('',#91591,0.27474999933906); #29772=CIRCLE('',#91592,0.27474999933906); #29773=CIRCLE('',#91593,0.0100000000000001); #29774=CIRCLE('',#91594,0.0100000000000002); #29775=CIRCLE('',#91595,0.01); #29776=CIRCLE('',#91596,0.01); #29777=CIRCLE('',#91598,0.0100000000000001); #29778=CIRCLE('',#91600,0.0100000000000005); #29779=CIRCLE('',#91601,0.283986317752645); #29780=CIRCLE('',#91602,0.273986412945438); #29781=CIRCLE('',#91603,0.0100000000000002); #29782=CIRCLE('',#91604,0.00999999999999963); #29783=CIRCLE('',#91606,0.0099999999999998); #29784=CIRCLE('',#91607,0.0100000000000001); #29785=CIRCLE('',#91608,0.0100000000000004); #29786=CIRCLE('',#91610,0.0100000000000001); #29787=CIRCLE('',#91611,0.284749904146268); #29788=CIRCLE('',#91612,0.27474999933906); #29789=CIRCLE('',#91613,0.0100000000000002); #29790=CIRCLE('',#91614,0.0100000000000002); #29791=CIRCLE('',#91615,0.01); #29792=CIRCLE('',#91616,0.00999999999999976); #29793=CIRCLE('',#91618,0.00999999999999994); #29794=CIRCLE('',#91620,0.273986412945438); #29795=CIRCLE('',#91643,0.276340105634853); #29796=CIRCLE('',#91644,0.276340105634853); #29797=CIRCLE('',#91646,0.634913489320407); #29798=CIRCLE('',#91647,0.01); #29799=CIRCLE('',#91648,0.624915012368843); #29800=CIRCLE('',#91650,0.49241311210595); #29801=CIRCLE('',#91651,0.57991311210595); #29802=CIRCLE('',#91654,0.0099999999999995); #29803=CIRCLE('',#91655,0.556606009032576); #29804=CIRCLE('',#91656,0.00999999999999995); #29805=CIRCLE('',#91657,0.566605628263217); #29806=CIRCLE('',#91658,0.00999999999999999); #29807=CIRCLE('',#91659,0.0100000000000001); #29808=CIRCLE('',#91660,0.00999999999999997); #29809=CIRCLE('',#91662,0.00999999999999991); #29810=CIRCLE('',#91663,0.558559686030668); #29811=CIRCLE('',#91664,0.568559305261309); #29812=CIRCLE('',#91665,0.00999999999999994); #29813=CIRCLE('',#91666,0.0100000000000001); #29814=CIRCLE('',#91668,0.00999999999999994); #29815=CIRCLE('',#91669,0.556606009032576); #29816=CIRCLE('',#91670,0.00999999999999995); #29817=CIRCLE('',#91671,0.566605628263217); #29818=CIRCLE('',#91672,0.0100000000000001); #29819=CIRCLE('',#91673,0.01); #29820=CIRCLE('',#91674,0.01); #29821=CIRCLE('',#91676,0.0100000000000003); #29822=CIRCLE('',#91677,0.558559686030667); #29823=CIRCLE('',#91678,0.568559305261309); #29824=CIRCLE('',#91679,0.0100000000000005); #29825=CIRCLE('',#91680,0.0100000000000003); #29826=CIRCLE('',#91682,0.00999999999999973); #29827=CIRCLE('',#91683,0.556606009032576); #29828=CIRCLE('',#91684,0.00999999999999995); #29829=CIRCLE('',#91685,0.566605628263217); #29830=CIRCLE('',#91687,0.00999999999999995); #29831=CIRCLE('',#91688,0.482413492875308); #29832=CIRCLE('',#91689,0.01); #29833=CIRCLE('',#91690,0.00999999999999995); #29834=CIRCLE('',#91691,0.0100000000000001); #29835=CIRCLE('',#91692,0.0100000000000001); #29836=CIRCLE('',#91693,0.00999999999999996); #29837=CIRCLE('',#91695,0.0100000000000001); #29838=CIRCLE('',#91697,0.569913492875308); #29839=CIRCLE('',#91698,0.0100000000000001); #29840=CIRCLE('',#91700,0.0100000000000001); #29841=CIRCLE('',#91701,0.0100000000000001); #29842=CIRCLE('',#91703,0.0100000000000001); #29843=CIRCLE('',#91705,0.0100000000000001); #29844=CIRCLE('',#91707,0.0100000000000001); #29845=CIRCLE('',#91709,0.0100000000000001); #29846=CIRCLE('',#91711,0.00999999999999995); #29847=CIRCLE('',#91713,0.00999999999999995); #29848=CIRCLE('',#91715,0.00999999999999996); #29849=CIRCLE('',#91717,0.0100000000000001); #29850=CIRCLE('',#91720,0.488932233244475); #29851=CIRCLE('',#91725,0.490885910242567); #29852=CIRCLE('',#91730,0.488932233244475); #29853=CIRCLE('',#91735,0.466688616125971); #29854=CIRCLE('',#91736,0.01); #29855=CIRCLE('',#91737,0.476688235356613); #29856=CIRCLE('',#91739,0.37397529); #29857=CIRCLE('',#91741,0.478932614013834); #29858=CIRCLE('',#91742,0.478932614013834); #29859=CIRCLE('',#91743,0.480886291011925); #29860=CIRCLE('',#91744,0.478932614013834); #29861=CIRCLE('',#91745,0.480886291011925); #29862=CIRCLE('',#91746,0.478932614013834); #29863=CIRCLE('',#91748,0.01); #29864=CIRCLE('',#91749,0.0100000000000001); #29865=CIRCLE('',#91750,0.00999999999999995); #29866=CIRCLE('',#91752,0.00999999999999995); #29867=CIRCLE('',#91753,0.488932233244475); #29868=CIRCLE('',#91754,0.0100000000000001); #29869=CIRCLE('',#91755,0.0100000000000001); #29870=CIRCLE('',#91756,0.00999999999999995); #29871=CIRCLE('',#91758,0.00999999999999995); #29872=CIRCLE('',#91759,0.490885910242567); #29873=CIRCLE('',#91760,0.01); #29874=CIRCLE('',#91761,0.01); #29875=CIRCLE('',#91762,0.00999999999999995); #29876=CIRCLE('',#91764,0.00999999999999995); #29877=CIRCLE('',#91765,0.01); #29878=CIRCLE('',#91766,0.00999999999999999); #29879=CIRCLE('',#91767,0.00999999999999995); #29880=CIRCLE('',#91769,0.00999999999999995); #29881=CIRCLE('',#91770,0.0100000000000001); #29882=CIRCLE('',#91771,0.0100000000000001); #29883=CIRCLE('',#91772,0.00999999999999995); #29884=CIRCLE('',#91774,0.00999999999999995); #29885=CIRCLE('',#91775,0.0100000000000001); #29886=CIRCLE('',#92107,0.04113603812497); #29887=CIRCLE('',#92108,0.04113603812497); #29888=CIRCLE('',#92113,0.0176297306249712); #29889=CIRCLE('',#92114,0.0176297306249712); #29890=CIRCLE('',#92122,0.0411360381249703); #29891=CIRCLE('',#92123,0.0411360381249703); #29892=CIRCLE('',#92128,0.0176297306249712); #29893=CIRCLE('',#92129,0.0176297306249712); #29894=CIRCLE('',#92139,0.0176297306249712); #29895=CIRCLE('',#92140,0.0176297306249712); #29896=CIRCLE('',#92148,0.041136038125012); #29897=CIRCLE('',#92149,0.041136038125012); #29898=CIRCLE('',#92153,0.0843644531249999); #29899=CIRCLE('',#92154,0.0843644531249999); #29900=CIRCLE('',#92157,0.0888638906249693); #29901=CIRCLE('',#92158,0.0888638906249693); #29902=CIRCLE('',#92161,0.192350953124977); #29903=CIRCLE('',#92162,0.192350953124977); #29904=CIRCLE('',#92164,0.088863890624854); #29905=CIRCLE('',#92165,0.088863890624854); #29906=CIRCLE('',#92168,0.192350953125047); #29907=CIRCLE('',#92169,0.192350953125047); #29908=CIRCLE('',#92173,0.19235095312514); #29909=CIRCLE('',#92174,0.19235095312514); #29910=CIRCLE('',#92177,0.0888638906250065); #29911=CIRCLE('',#92178,0.0888638906250065); #29912=CIRCLE('',#92181,0.192350953125172); #29913=CIRCLE('',#92182,0.192350953125172); #29914=CIRCLE('',#92185,0.0888638906250359); #29915=CIRCLE('',#92186,0.0888638906250359); #29916=CIRCLE('',#92189,0.0888638906249521); #29917=CIRCLE('',#92190,0.0888638906249521); #29918=CIRCLE('',#92193,0.19235095312502); #29919=CIRCLE('',#92194,0.19235095312502); #29920=CIRCLE('',#92196,0.19235095312498); #29921=CIRCLE('',#92197,0.19235095312498); #29922=CIRCLE('',#92200,0.0888638906251388); #29923=CIRCLE('',#92201,0.0888638906251388); #29924=CIRCLE('',#92204,0.192350953125034); #29925=CIRCLE('',#92205,0.192350953125034); #29926=CIRCLE('',#92208,0.0888638906251803); #29927=CIRCLE('',#92209,0.0888638906251803); #29928=CIRCLE('',#92213,0.192350953125012); #29929=CIRCLE('',#92214,0.192350953125012); #29930=CIRCLE('',#92217,0.0888638906250054); #29931=CIRCLE('',#92218,0.0888638906250054); #29932=CIRCLE('',#92221,0.192350953125021); #29933=CIRCLE('',#92222,0.192350953125021); #29934=CIRCLE('',#92225,0.0888638906249995); #29935=CIRCLE('',#92226,0.0888638906249995); #29936=CIRCLE('',#92228,0.192350953125013); #29937=CIRCLE('',#92229,0.192350953125013); #29938=CIRCLE('',#92232,0.0888638906251385); #29939=CIRCLE('',#92233,0.0888638906251385); #29940=CIRCLE('',#92237,0.192350953125001); #29941=CIRCLE('',#92238,0.192350953125001); #29942=CIRCLE('',#92241,0.0888638906250342); #29943=CIRCLE('',#92242,0.0888638906250342); #29944=CIRCLE('',#92245,0.192350953125027); #29945=CIRCLE('',#92246,0.192350953125027); #29946=CIRCLE('',#92249,0.0888638906250185); #29947=CIRCLE('',#92250,0.0888638906250185); #29948=CIRCLE('',#92252,0.196850390625); #29949=CIRCLE('',#92253,0.196850390625); #29950=CIRCLE('',#92832,0.34397543290648); #29951=CIRCLE('',#92834,0.797152060506128); #29952=CIRCLE('',#92835,0.272297479433685); #29953=CIRCLE('',#92836,2.39729747943369); #29954=CIRCLE('',#92837,2.39729747943369); #29955=CIRCLE('',#92838,2.39729747943369); #29956=CIRCLE('',#92839,2.39729747943369); #29957=CIRCLE('',#92840,2.39729747943369); #29958=CIRCLE('',#92841,2.39729747943369); #29959=CIRCLE('',#92842,0.272297479433685); #29960=CIRCLE('',#92843,0.797152060506128); #29961=CIRCLE('',#92845,0.34397543290648); #29962=CIRCLE('',#92847,0.797152060506128); #29963=CIRCLE('',#92848,6.48315206050612); #29964=CIRCLE('',#92849,0.449461835786941); #29965=CIRCLE('',#92850,0.449461835786941); #29966=CIRCLE('',#92851,6.48315206050612); #29967=CIRCLE('',#92852,0.797152060506128); #29968=CIRCLE('',#92889,0.306339820056475); #29969=CIRCLE('',#92890,0.03); #29970=CIRCLE('',#92892,0.111144082106529); #29971=CIRCLE('',#92893,0.111144082106529); #29972=CIRCLE('',#92894,0.03); #29973=CIRCLE('',#92895,0.0300000000000001); #29974=CIRCLE('',#92896,0.03); #29975=CIRCLE('',#92897,0.0300000000000004); #29976=CIRCLE('',#92899,0.03); #29977=CIRCLE('',#92900,0.0300000000000001); #29978=CIRCLE('',#92902,0.0300000000000005); #29979=CIRCLE('',#92903,0.141139512961221); #29980=CIRCLE('',#92905,0.0300000000000001); #29981=CIRCLE('',#92907,0.0299999999999999); #29982=CIRCLE('',#92908,0.141139512961221); #29983=CIRCLE('',#92910,0.03); #29984=CIRCLE('',#92911,0.03); #29985=CIRCLE('',#92912,0.0300000000000001); #29986=CIRCLE('',#92913,0.03); #29987=CIRCLE('',#92914,0.03); #29988=CIRCLE('',#92915,0.0299999999999999); #29989=CIRCLE('',#92916,0.0300000000000001); #29990=CIRCLE('',#92917,0.0300000000000003); #29991=CIRCLE('',#92918,0.0299999999999999); #29992=CIRCLE('',#92919,0.0299999999999998); #29993=CIRCLE('',#92920,0.0299999999999999); #29994=CIRCLE('',#92923,0.0300000000000001); #29995=CIRCLE('',#92924,0.0300000000000001); #29996=CIRCLE('',#92925,0.0299999999999998); #29997=CIRCLE('',#92926,0.0300000000000006); #29998=CIRCLE('',#92933,0.00499999999999924); #29999=CIRCLE('',#92934,1.23511999798218); #30000=CIRCLE('',#92935,0.00500000000000157); #30001=CIRCLE('',#92937,8.55893003071377); #30002=CIRCLE('',#92938,0.00500000000000432); #30003=CIRCLE('',#92940,0.005); #30004=CIRCLE('',#92942,0.00499999999999924); #30005=CIRCLE('',#92944,0.00500000000000014); #30006=CIRCLE('',#92945,1.15452926924402); #30007=CIRCLE('',#92947,0.00500000000000212); #30008=CIRCLE('',#92948,8.5669041456398); #30009=CIRCLE('',#92950,1.23511999798218); #30010=CIRCLE('',#92951,0.00499999999999965); #30011=CIRCLE('',#92952,1.24309411290821); #30012=CIRCLE('',#92954,4.52155515431788); #30013=CIRCLE('',#92955,4.52952926924391); #30014=CIRCLE('',#92959,0.964109509104581); #30015=CIRCLE('',#92960,0.964109509104581); #30016=CIRCLE('',#92961,0.964109509104582); #30017=CIRCLE('',#92964,0.00999999999999999); #30018=CIRCLE('',#92965,0.00999999999999993); #30019=CIRCLE('',#92967,0.00999999999999999); #30020=CIRCLE('',#92968,0.00999999999999993); #30021=CIRCLE('',#92970,0.0099999999999998); #30022=CIRCLE('',#92971,0.287337372631157); #30023=CIRCLE('',#92972,0.277338895679593); #30024=CIRCLE('',#92974,0.01); #30025=CIRCLE('',#92976,0.00999999999999999); #30026=CIRCLE('',#92977,0.00999999999999993); #30027=CIRCLE('',#92979,0.00999999999999999); #30028=CIRCLE('',#92980,0.0100000000000005); #30029=CIRCLE('',#92982,0.00999999999999999); #30030=CIRCLE('',#92983,0.00999999999999993); #30031=CIRCLE('',#92985,0.320173027368843); #30032=CIRCLE('',#92986,0.330171504320407); #30033=CIRCLE('',#92988,0.00999999999999989); #30034=CIRCLE('',#92990,0.00999999999999989); #30035=CIRCLE('',#92992,0.01); #30036=CIRCLE('',#92994,0.01); #30037=CIRCLE('',#92996,0.273533444045084); #30038=CIRCLE('',#93005,0.00999999999999999); #30039=CIRCLE('',#93006,0.0100000000000001); #30040=CIRCLE('',#93008,0.00999999999999999); #30041=CIRCLE('',#93009,0.01); #30042=CIRCLE('',#93011,0.01); #30043=CIRCLE('',#93012,0.330171504320407); #30044=CIRCLE('',#93013,0.320173027368843); #30045=CIRCLE('',#93015,0.00999999999999999); #30046=CIRCLE('',#93017,0.01); #30047=CIRCLE('',#93019,0.00999999999999999); #30048=CIRCLE('',#93020,0.01); #30049=CIRCLE('',#93022,0.00999999999999989); #30050=CIRCLE('',#93024,0.00999999999999999); #30051=CIRCLE('',#93025,0.00999999999999959); #30052=CIRCLE('',#93027,0.00999999999999999); #30053=CIRCLE('',#93028,0.277338895679593); #30054=CIRCLE('',#93029,0.287337372631157); #30055=CIRCLE('',#93031,0.00999999999999999); #30056=CIRCLE('',#93033,0.00999999999999995); #30057=CIRCLE('',#93035,0.01); #30058=CIRCLE('',#93037,0.333976955954916); #30059=CIRCLE('',#93046,0.00999999999999999); #30060=CIRCLE('',#93047,0.0099999999999999); #30061=CIRCLE('',#93049,0.00999999999999958); #30062=CIRCLE('',#93050,0.00999999999999999); #30063=CIRCLE('',#93052,0.00999999999999993); #30064=CIRCLE('',#93053,0.320173027368843); #30065=CIRCLE('',#93054,0.330171504320407); #30066=CIRCLE('',#93056,0.0100000000000003); #30067=CIRCLE('',#93058,0.00999999999999999); #30068=CIRCLE('',#93059,0.00999999999999998); #30069=CIRCLE('',#93061,0.0100000000000003); #30070=CIRCLE('',#93062,0.00999999999999999); #30071=CIRCLE('',#93064,0.00999999999999999); #30072=CIRCLE('',#93065,0.0100000000000003); #30073=CIRCLE('',#93067,0.287337372631157); #30074=CIRCLE('',#93068,0.277338895679593); #30075=CIRCLE('',#93070,0.00999999999999992); #30076=CIRCLE('',#93072,0.0100000000000001); #30077=CIRCLE('',#93074,0.00999999999999984); #30078=CIRCLE('',#93076,0.00999999999999985); #30079=CIRCLE('',#93081,0.0100000000000003); #30080=CIRCLE('',#93086,0.00999999999999958); #30081=CIRCLE('',#93090,0.00999999999999999); #30082=CIRCLE('',#93091,0.00999999999999998); #30083=CIRCLE('',#93093,0.00999999999999999); #30084=CIRCLE('',#93094,0.0100000000000003); #30085=CIRCLE('',#93096,0.00999999999999999); #30086=CIRCLE('',#93097,0.330171504320407); #30087=CIRCLE('',#93098,0.320173027368843); #30088=CIRCLE('',#93100,0.00999999999999999); #30089=CIRCLE('',#93102,0.00999999999999993); #30090=CIRCLE('',#93104,0.00999999999999999); #30091=CIRCLE('',#93105,0.00999999999999956); #30092=CIRCLE('',#93107,0.0100000000000001); #30093=CIRCLE('',#93109,0.00999999999999999); #30094=CIRCLE('',#93110,0.0100000000000003); #30095=CIRCLE('',#93112,0.00999999999999999); #30096=CIRCLE('',#93113,0.277338895679593); #30097=CIRCLE('',#93114,0.287337372631157); #30098=CIRCLE('',#93116,0.00999999999999999); #30099=CIRCLE('',#93118,0.0100000000000001); #30100=CIRCLE('',#93120,0.00999999999999992); #30101=CIRCLE('',#93125,0.00999999999999993); #30102=CIRCLE('',#93130,0.00999999999999958); #30103=CIRCLE('',#93134,0.00999999999999999); #30104=CIRCLE('',#93135,0.0100000000000006); #30105=CIRCLE('',#93137,0.00999999999999958); #30106=CIRCLE('',#93138,0.00999999999999993); #30107=CIRCLE('',#93140,0.01); #30108=CIRCLE('',#93141,0.00999999999999993); #30109=CIRCLE('',#93142,0.00999999999999993); #30110=CIRCLE('',#93143,0.00999999999999993); #30111=CIRCLE('',#93145,0.0100000000000003); #30112=CIRCLE('',#93147,0.0100000000000001); #30113=CIRCLE('',#93148,0.26353496709352); #30114=CIRCLE('',#93149,0.273533444045084); #30115=CIRCLE('',#93151,0.01); #30116=CIRCLE('',#93152,0.01); #30117=CIRCLE('',#93153,0.0100000000000001); #30118=CIRCLE('',#93154,0.00999999999999993); #30119=CIRCLE('',#93156,0.0100000000000001); #30120=CIRCLE('',#93157,0.00999999999999993); #30121=CIRCLE('',#93161,0.078740157); #30122=CIRCLE('',#93162,0.718711533575816); #30123=CIRCLE('',#93163,0.797152060506128); #30124=CIRCLE('',#93166,0.01); #30125=CIRCLE('',#93167,0.01); #30126=CIRCLE('',#93168,0.0100000000000001); #30127=CIRCLE('',#93171,0.00999999999999993); #30128=CIRCLE('',#93172,0.00999999999999998); #30129=CIRCLE('',#93173,0.0100000000000001); #30130=CIRCLE('',#93174,0.01); #30131=CIRCLE('',#93176,0.0100000000000002); #30132=CIRCLE('',#93178,0.00999999999999993); #30133=CIRCLE('',#93179,0.26353496709352); #30134=CIRCLE('',#93181,0.0100000000000003); #30135=CIRCLE('',#93182,0.00999999999999993); #30136=CIRCLE('',#93183,0.01); #30137=CIRCLE('',#93184,0.00999999999999993); #30138=CIRCLE('',#93186,0.01); #30139=CIRCLE('',#93187,0.00999999999999993); #30140=CIRCLE('',#93189,0.0100000000000003); #30141=CIRCLE('',#93191,0.333976955954916); #30142=CIRCLE('',#93193,0.718711533575816); #30143=CIRCLE('',#93194,0.078740157); #30144=CIRCLE('',#93195,0.797152060506128); #30145=CIRCLE('',#93202,0.00999999999999998); #30146=CIRCLE('',#93204,0.00999999999999994); #30147=CIRCLE('',#93205,0.01); #30148=CIRCLE('',#93207,0.00999999999999998); #30149=CIRCLE('',#93208,0.0100000000000001); #30150=CIRCLE('',#93209,0.0100000000000002); #30151=CIRCLE('',#93210,0.01); #30152=CIRCLE('',#93211,0.00999999999999999); #30153=CIRCLE('',#93212,0.00999999999999973); #30154=CIRCLE('',#93213,0.01); #30155=CIRCLE('',#93215,0.01); #30156=CIRCLE('',#93217,0.00999999999999999); #30157=CIRCLE('',#93219,0.0100000000000003); #30158=CIRCLE('',#93220,0.00999999999999982); #30159=CIRCLE('',#93221,0.00999999999999958); #30160=CIRCLE('',#93222,0.0100000000000004); #30161=CIRCLE('',#93223,0.0100000000000003); #30162=CIRCLE('',#93224,0.01); #30163=CIRCLE('',#93225,0.00999999999999993); #30164=CIRCLE('',#93227,0.01); #30165=CIRCLE('',#93228,0.00999999999999969); #30166=CIRCLE('',#93229,0.00999999999999992); #30167=CIRCLE('',#93231,0.0100000000000001); #30168=CIRCLE('',#93232,0.00999999999999999); #30169=CIRCLE('',#93234,0.00999999999999994); #30170=CIRCLE('',#93235,0.00999999999999958); #30171=CIRCLE('',#93237,0.0100000000000002); #30172=CIRCLE('',#93239,0.00999999999999993); #30173=CIRCLE('',#93243,0.00999999999999998); #30174=CIRCLE('',#93245,0.00999899999999987); #30175=CIRCLE('',#93246,0.00999900000000007); #30176=CIRCLE('',#93247,0.00999899999999995); #30177=CIRCLE('',#93248,0.0099989999999998); #30178=CIRCLE('',#93249,0.00999899999999996); #30179=CIRCLE('',#93250,0.009999); #30180=CIRCLE('',#93252,0.730662848867965); #30181=CIRCLE('',#93253,0.720701898081745); #30182=CIRCLE('',#93257,0.01); #30183=CIRCLE('',#93258,0.125); #30184=CIRCLE('',#93259,0.00999900000000047); #30185=CIRCLE('',#93260,0.0099990000000005); #30186=CIRCLE('',#93261,0.00999899999999999); #30187=CIRCLE('',#93262,0.00999899999999991); #30188=CIRCLE('',#93263,0.00999899999999989); #30189=CIRCLE('',#93264,0.009999); #30190=CIRCLE('',#93266,0.730662848867965); #30191=CIRCLE('',#93267,0.720701898081745); #30192=CIRCLE('',#93269,0.720701818218221); #30193=CIRCLE('',#93270,0.125); #30194=CIRCLE('',#93271,0.00999999999999965); #30195=CIRCLE('',#93274,0.0100000000000001); #30196=CIRCLE('',#93275,0.0099999999999999); #30197=CIRCLE('',#93276,0.0100000000000003); #30198=CIRCLE('',#93278,0.720701818218221); #30199=CIRCLE('',#93281,0.00999999999999923); #30200=CIRCLE('',#93283,0.00999999999999989); #30201=CIRCLE('',#93284,0.0100000000000002); #30202=CIRCLE('',#93286,0.01); #30203=CIRCLE('',#93290,0.00999999999999981); #30204=CIRCLE('',#93291,0.0100000000000003); #30205=CIRCLE('',#93292,0.0100000000000006); #30206=CIRCLE('',#93295,0.0099999999999999); #30207=CIRCLE('',#93296,0.00999999999999993); #30208=CIRCLE('',#93299,0.00999999999999958); #30209=CIRCLE('',#93300,0.00999999999999999); #30210=CIRCLE('',#93301,0.00999999999999994); #30211=CIRCLE('',#93302,0.00999999999999958); #30212=CIRCLE('',#93303,0.0100000000000003); #30213=CIRCLE('',#93305,0.0100000000000001); #30214=CIRCLE('',#93306,0.0100000000000003); #30215=CIRCLE('',#93307,0.01); #30216=CIRCLE('',#93309,0.00999999999999996); #30217=CIRCLE('',#93311,0.00999999999999976); #30218=CIRCLE('',#93313,0.0100000000000002); #30219=CIRCLE('',#93316,0.0100000000000001); #30220=CIRCLE('',#93318,0.964109509104581); #30221=CIRCLE('',#93319,8.29708264852417); #30222=CIRCLE('',#93320,0.973272615792584); #30223=CIRCLE('',#93321,0.0100000000000001); #30224=CIRCLE('',#93322,0.00999999999999998); #30225=CIRCLE('',#93325,0.00999999999999996); #30226=CIRCLE('',#93326,0.0100000000000003); #30227=CIRCLE('',#93327,0.0100000000000002); #30228=CIRCLE('',#93328,0.00999999999999924); #30229=CIRCLE('',#93331,0.00999999999999981); #30230=CIRCLE('',#93332,0.0100000000000003); #30231=CIRCLE('',#93336,0.0100000000000001); #30232=CIRCLE('',#93337,0.0100000000000006); #30233=CIRCLE('',#93338,0.00999999999999994); #30234=CIRCLE('',#93339,0.00999999999999993); #30235=CIRCLE('',#93340,0.00999999999999993); #30236=CIRCLE('',#93341,0.00999999999999993); #30237=CIRCLE('',#93343,0.00999999999999958); #30238=CIRCLE('',#93345,0.0099999999999998); #30239=CIRCLE('',#93346,0.00999999999999993); #30240=CIRCLE('',#93348,0.01); #30241=CIRCLE('',#93350,0.00999999999999989); #30242=CIRCLE('',#93353,0.01); #30243=CIRCLE('',#93355,0.00999999999999968); #30244=CIRCLE('',#93356,0.0100000000000001); #30245=CIRCLE('',#93357,0.964109509104581); #30246=CIRCLE('',#93358,0.973272615792584); #30247=CIRCLE('',#93360,8.29708264852418); #30248=CIRCLE('',#93361,0.01); #30249=CIRCLE('',#93364,0.0099999999999999); #30250=CIRCLE('',#93368,0.01); #30251=CIRCLE('',#93369,0.01); #30252=CIRCLE('',#93370,0.01); #30253=CIRCLE('',#93372,0.01); #30254=CIRCLE('',#93373,0.01); #30255=CIRCLE('',#93375,0.01); #30256=CIRCLE('',#93376,8.30708490177853); #30257=CIRCLE('',#93377,0.01); #30258=CIRCLE('',#93379,0.01); #30259=CIRCLE('',#93381,0.273072609786184); #30260=CIRCLE('',#93382,0.01); #30261=CIRCLE('',#93383,0.01); #30262=CIRCLE('',#93385,0.01); #30263=CIRCLE('',#93386,0.01); #30264=CIRCLE('',#93388,0.01); #30265=CIRCLE('',#93389,8.30708490177853); #30266=CIRCLE('',#93390,0.00999999999999998); #30267=CIRCLE('',#93392,0.0100000000000001); #30268=CIRCLE('',#93393,0.00999999999999996); #30269=CIRCLE('',#93394,0.01); #30270=CIRCLE('',#93396,0.273072609786184); #30271=CIRCLE('',#93397,0.01); #30272=CIRCLE('',#93398,0.01); #30273=CIRCLE('',#93399,0.01); #30274=CIRCLE('',#93400,0.00999999999999986); #30275=CIRCLE('',#93401,0.01); #30276=CIRCLE('',#93403,0.01); #30277=CIRCLE('',#93404,0.01); #30278=CIRCLE('',#93406,0.01); #30279=CIRCLE('',#93407,2.49322087277206); #30280=CIRCLE('',#93408,0.010000000000004); #30281=CIRCLE('',#93410,0.01); #30282=CIRCLE('',#93411,0.0100000000000002); #30283=CIRCLE('',#93412,0.00999999999999997); #30284=CIRCLE('',#93413,0.00999999999999997); #30285=CIRCLE('',#93414,0.00999999999999999); #30286=CIRCLE('',#93415,0.01); #30287=CIRCLE('',#93416,0.01); #30288=CIRCLE('',#93420,0.01); #30289=CIRCLE('',#93423,2.49322087277206); #30290=CIRCLE('',#93425,0.01); #30291=CIRCLE('',#93427,0.01); #30292=CIRCLE('',#93428,0.01); #30293=CIRCLE('',#93429,0.01); #30294=CIRCLE('',#93431,0.00999999999999998); #30295=CIRCLE('',#93432,0.01); #30296=CIRCLE('',#93433,0.01); #30297=CIRCLE('',#93434,0.01); #30298=CIRCLE('',#93435,0.01); #30299=CIRCLE('',#93437,0.01); #30300=CIRCLE('',#93438,0.0100000000000077); #30301=CIRCLE('',#93440,0.01); #30302=CIRCLE('',#93441,2.49322087277206); #30303=CIRCLE('',#93442,0.0100000000000068); #30304=CIRCLE('',#93444,0.01); #30305=CIRCLE('',#93445,0.0099999999999999); #30306=CIRCLE('',#93446,0.01); #30307=CIRCLE('',#93447,0.00999999999999996); #30308=CIRCLE('',#93448,0.00999999999999994); #30309=CIRCLE('',#93449,0.01); #30310=CIRCLE('',#93450,0.01); #30311=CIRCLE('',#93454,0.01); #30312=CIRCLE('',#93457,2.49322087277206); #30313=CIRCLE('',#93459,0.01); #30314=CIRCLE('',#93461,0.0100000000000002); #30315=CIRCLE('',#93462,0.0099999999999999); #30316=CIRCLE('',#93463,0.01); #30317=CIRCLE('',#93466,0.00999999999999998); #30318=CIRCLE('',#93467,0.00999999999999998); #30319=CIRCLE('',#93471,0.01); #30320=CIRCLE('',#93477,0.01); #30321=CIRCLE('',#93484,0.00999999999999958); #30322=CIRCLE('',#93485,0.01); #30323=CIRCLE('',#93488,0.01); #30324=CIRCLE('',#93489,0.00999999999999998); #30325=CIRCLE('',#93491,0.01); #30326=CIRCLE('',#93492,4.26971002538264); #30327=CIRCLE('',#93493,0.00999999999999998); #30328=CIRCLE('',#93495,0.01); #30329=CIRCLE('',#93499,0.0100000000000003); #30330=CIRCLE('',#93501,0.01); #30331=CIRCLE('',#93502,0.983274869046937); #30332=CIRCLE('',#93504,0.00999999999999858); #30333=CIRCLE('',#93505,8.30708490177853); #30334=CIRCLE('',#93506,0.00999999999999989); #30335=CIRCLE('',#93508,8.30393003283705); #30336=CIRCLE('',#93510,0.980120000105458); #30337=CIRCLE('',#93511,0.00999999999999978); #30338=CIRCLE('',#93512,0.01); #30339=CIRCLE('',#93515,0.01); #30340=CIRCLE('',#93516,0.01); #30341=CIRCLE('',#93518,0.730663765199139); #30342=CIRCLE('',#93521,0.01); #30343=CIRCLE('',#93523,0.01); #30344=CIRCLE('',#93524,0.01); #30345=CIRCLE('',#93526,0.01); #30346=CIRCLE('',#93527,8.30708490177853); #30347=CIRCLE('',#93528,0.01); #30348=CIRCLE('',#93530,0.01); #30349=CIRCLE('',#93533,0.01); #30350=CIRCLE('',#93534,0.00999999999999978); #30351=CIRCLE('',#93535,0.00999999999999995); #30352=CIRCLE('',#93536,0.00999999999999984); #30353=CIRCLE('',#93537,0.01); #30354=CIRCLE('',#93539,0.01); #30355=CIRCLE('',#93540,0.01); #30356=CIRCLE('',#93542,0.01); #30357=CIRCLE('',#93543,2.49304936845166); #30358=CIRCLE('',#93545,0.01); #30359=CIRCLE('',#93546,0.894710025382748); #30360=CIRCLE('',#93547,0.01); #30361=CIRCLE('',#93549,0.01); #30362=CIRCLE('',#93550,0.00999999999999983); #30363=CIRCLE('',#93551,0.0100000000000001); #30364=CIRCLE('',#93554,0.01); #30365=CIRCLE('',#93555,0.00999999999999999); #30366=CIRCLE('',#93556,0.0100000000000001); #30367=CIRCLE('',#93557,0.00999999999999999); #30368=CIRCLE('',#93558,0.01); #30369=CIRCLE('',#93559,0.0100000000000001); #30370=CIRCLE('',#93560,0.01); #30371=CIRCLE('',#93561,0.01); #30372=CIRCLE('',#93563,0.01); #30373=CIRCLE('',#93564,0.01); #30374=CIRCLE('',#93566,0.01); #30375=CIRCLE('',#93567,2.49304936845166); #30376=CIRCLE('',#93568,0.00999999999999998); #30377=CIRCLE('',#93570,0.01); #30378=CIRCLE('',#93571,0.00999999999999995); #30379=CIRCLE('',#93572,0.00999999999999985); #30380=CIRCLE('',#93573,0.01); #30381=CIRCLE('',#93574,0.0100000000000001); #30382=CIRCLE('',#93575,0.01); #30383=CIRCLE('',#93576,0.0100000000000001); #30384=CIRCLE('',#93578,0.0100000000000002); #30385=CIRCLE('',#93580,2.48989449951018); #30386=CIRCLE('',#93581,0.00999999999999994); #30387=CIRCLE('',#93583,0.0100000000000001); #30388=CIRCLE('',#93584,0.0100000000000001); #30389=CIRCLE('',#93585,0.00999999999999991); #30390=CIRCLE('',#93587,0.01); #30391=CIRCLE('',#93588,0.00999999999999991); #30392=CIRCLE('',#93589,0.0100000000000001); #30393=CIRCLE('',#93590,0.01); #30394=CIRCLE('',#93591,0.01); #30395=CIRCLE('',#93593,0.01); #30396=CIRCLE('',#93594,0.01); #30397=CIRCLE('',#93596,0.01); #30398=CIRCLE('',#93597,2.49304936845166); #30399=CIRCLE('',#93598,0.01); #30400=CIRCLE('',#93600,0.01); #30401=CIRCLE('',#93601,0.01); #30402=CIRCLE('',#93602,0.00999999999999999); #30403=CIRCLE('',#93603,0.00999999999999996); #30404=CIRCLE('',#93604,0.0100000000000001); #30405=CIRCLE('',#93605,0.00999999999999989); #30406=CIRCLE('',#93606,0.00999999999999994); #30407=CIRCLE('',#93608,0.00999999999999988); #30408=CIRCLE('',#93610,2.48989449951018); #30409=CIRCLE('',#93611,0.00999999999999996); #30410=CIRCLE('',#93613,0.01); #30411=CIRCLE('',#93614,0.0100000000000001); #30412=CIRCLE('',#93615,0.0100000000000002); #30413=CIRCLE('',#93617,0.0100000000000001); #30414=CIRCLE('',#93618,0.0100000000000001); #30415=CIRCLE('',#93619,0.0100000000000001); #30416=CIRCLE('',#93620,0.0100000000000001); #30417=CIRCLE('',#93621,0.00999999999999993); #30418=CIRCLE('',#93623,0.00999999999999997); #30419=CIRCLE('',#93624,0.0100000000000002); #30420=CIRCLE('',#93626,0.00999999999999932); #30421=CIRCLE('',#93627,2.49304936845166); #30422=CIRCLE('',#93629,0.00999999999999977); #30423=CIRCLE('',#93630,0.894710025382748); #30424=CIRCLE('',#93631,0.00999999999999996); #30425=CIRCLE('',#93633,0.0100000000000003); #30426=CIRCLE('',#93634,0.0100000000000003); #30427=CIRCLE('',#93635,0.00999999999999998); #30428=CIRCLE('',#93636,0.01); #30429=CIRCLE('',#93638,0.891555156441269); #30430=CIRCLE('',#93640,2.48989449951018); #30431=CIRCLE('',#93641,0.00999999999999979); #30432=CIRCLE('',#93643,0.00999999999999971); #30433=CIRCLE('',#93644,0.00999999999999986); #30434=CIRCLE('',#93645,0.0100000000000001); #30435=CIRCLE('',#93648,0.0099999999999999); #30436=CIRCLE('',#93649,0.0100000000000002); #30437=CIRCLE('',#93653,0.0099999999999999); #30438=CIRCLE('',#93654,0.00999999999999971); #30439=CIRCLE('',#93655,0.0099999999999999); #30440=CIRCLE('',#93659,0.01); #30441=CIRCLE('',#93660,0.0100000000000001); #30442=CIRCLE('',#93661,0.00999999999999985); #30443=CIRCLE('',#93663,0.0100000000000004); #30444=CIRCLE('',#93664,8.30708490177853); #30445=CIRCLE('',#93665,0.00999999999999987); #30446=CIRCLE('',#93667,0.0099999999999999); #30447=CIRCLE('',#93668,0.00999999999999956); #30448=CIRCLE('',#93673,0.00999999999999989); #30449=CIRCLE('',#93675,8.30393003283705); #30450=CIRCLE('',#93676,0.00999999999999962); #30451=CIRCLE('',#93678,0.01); #30452=CIRCLE('',#93680,0.01); #30453=CIRCLE('',#93681,0.01); #30454=CIRCLE('',#93683,0.01); #30455=CIRCLE('',#93684,4.26971002538264); #30456=CIRCLE('',#93685,0.01); #30457=CIRCLE('',#93687,0.01); #30458=CIRCLE('',#93688,0.01); #30459=CIRCLE('',#93690,0.01); #30460=CIRCLE('',#93691,0.01); #30461=CIRCLE('',#93693,0.01); #30462=CIRCLE('',#93694,4.26971002538264); #30463=CIRCLE('',#93695,0.01); #30464=CIRCLE('',#93697,0.01); #30465=CIRCLE('',#93701,0.00999999999999923); #30466=CIRCLE('',#93702,0.0100000000000002); #30467=CIRCLE('',#93704,0.00999999999999894); #30468=CIRCLE('',#93705,8.30708490177852); #30469=CIRCLE('',#93707,0.00999999999999958); #30470=CIRCLE('',#93708,0.983274869046937); #30471=CIRCLE('',#93709,0.00999999999999998); #30472=CIRCLE('',#93711,0.980120000105458); #30473=CIRCLE('',#93713,8.30393003283705); #30474=CIRCLE('',#93714,0.0100000000000003); #30475=CIRCLE('',#93715,0.00999999999999993); #30476=CIRCLE('',#93716,0.00999999999999996); #30477=CIRCLE('',#93719,0.0100000000000004); #30478=CIRCLE('',#93720,0.0100000000000014); #30479=CIRCLE('',#93722,0.0100000000000003); #30480=CIRCLE('',#93725,0.0100000000000004); #30481=CIRCLE('',#93726,0.0100000000000003); #30482=CIRCLE('',#93727,0.00999999999999979); #30483=CIRCLE('',#93728,0.01); #30484=CIRCLE('',#93729,0.0099999999999999); #30485=CIRCLE('',#93730,0.0100000000000002); #30486=CIRCLE('',#93731,0.0099999999999999); #30487=CIRCLE('',#93733,0.00999999999999972); #30488=CIRCLE('',#93735,0.00999999999999971); #30489=CIRCLE('',#93736,0.00999999999999825); #30490=CIRCLE('',#93737,8.29708264852417); #30491=CIRCLE('',#93739,0.0100000000000001); #30492=CIRCLE('',#93740,0.01); #30493=CIRCLE('',#93743,0.0100000000000001); #30494=CIRCLE('',#93745,0.125); #30495=CIRCLE('',#93746,0.124999999999997); #30496=CIRCLE('',#93747,0.0100000000000001); #30497=CIRCLE('',#93748,0.00999999999999993); #30498=CIRCLE('',#93750,0.0100000000000003); #30499=CIRCLE('',#93751,8.30393003283704); #30500=CIRCLE('',#93752,0.01); #30501=CIRCLE('',#93753,8.29708264852417); #30502=CIRCLE('',#93754,0.0100000000000001); #30503=CIRCLE('',#93755,0.0100000000000001); #30504=CIRCLE('',#93756,0.00999999999999999); #30505=CIRCLE('',#93757,0.0099999999999999); #30506=CIRCLE('',#93759,0.00999999999999996); #30507=CIRCLE('',#93760,0.00999999999999998); #30508=CIRCLE('',#93761,0.0100000000000001); #30509=CIRCLE('',#93763,0.00999999999999994); #30510=CIRCLE('',#93765,0.00999999999999997); #30511=CIRCLE('',#93767,0.0099999999999998); #30512=CIRCLE('',#93768,0.00999999999999974); #30513=CIRCLE('',#93769,0.00999999999999992); #30514=CIRCLE('',#93771,0.00999999999999989); #30515=CIRCLE('',#93775,0.00999999999999991); #30516=CIRCLE('',#93776,0.0099999999999999); #30517=CIRCLE('',#93777,0.00999999999999997); #30518=CIRCLE('',#93778,0.00999999999999989); #30519=CIRCLE('',#93779,0.01); #30520=CIRCLE('',#93780,0.00999999999999998); #30521=CIRCLE('',#93781,0.00999999999999998); #30522=CIRCLE('',#93783,0.00999999999999949); #30523=CIRCLE('',#93785,0.00999999999999993); #30524=CIRCLE('',#93787,0.00999999999999997); #30525=CIRCLE('',#93788,0.0100000000000007); #30526=CIRCLE('',#93789,0.884707772128395); #30527=CIRCLE('',#93791,0.00999999999999974); #30528=CIRCLE('',#93792,2.4830471151973); #30529=CIRCLE('',#93794,0.0100000000000002); #30530=CIRCLE('',#93795,0.00999999999999991); #30531=CIRCLE('',#93798,0.0099999999999999); #30532=CIRCLE('',#93800,0.964109509104581); #30533=CIRCLE('',#93801,0.0100000000000001); #30534=CIRCLE('',#93803,2.40910670415758); #30535=CIRCLE('',#93805,0.0118110236); #30536=CIRCLE('',#93806,0.00999999999999981); #30537=CIRCLE('',#93807,0.00999999999999985); #30538=CIRCLE('',#93808,0.01); #30539=CIRCLE('',#93809,0.00999999999999982); #30540=CIRCLE('',#93810,0.0100000000000002); #30541=CIRCLE('',#93813,0.0100000000000001); #30542=CIRCLE('',#93815,0.0100000000000001); #30543=CIRCLE('',#93816,0.00999999999999922); #30544=CIRCLE('',#93817,2.4830471151973); #30545=CIRCLE('',#93819,0.0100000000000001); #30546=CIRCLE('',#93820,0.0100000000000001); #30547=CIRCLE('',#93822,0.0100000000000001); #30548=CIRCLE('',#93825,0.01); #30549=CIRCLE('',#93827,2.17924727749424); #30550=CIRCLE('',#93829,2.42237922120115); #30551=CIRCLE('',#93831,2.41238074424959); #30552=CIRCLE('',#93832,0.00999999999999957); #30553=CIRCLE('',#93833,2.41255224857); #30554=CIRCLE('',#93835,0.0100000000000001); #30555=CIRCLE('',#93836,0.00999999999999994); #30556=CIRCLE('',#93838,0.00999999999999987); #30557=CIRCLE('',#93839,0.00999999999999952); #30558=CIRCLE('',#93840,2.48640681309); #30559=CIRCLE('',#93842,0.01); #30560=CIRCLE('',#93843,0.01); #30561=CIRCLE('',#93845,2.41255224857); #30562=CIRCLE('',#93847,0.0100000000000001); #30563=CIRCLE('',#93850,2.17924727749424); #30564=CIRCLE('',#93852,2.41255224857); #30565=CIRCLE('',#93854,2.40910670415758); #30566=CIRCLE('',#93857,0.01); #30567=CIRCLE('',#93858,0.01); #30568=CIRCLE('',#93859,2.41255224857); #30569=CIRCLE('',#93861,0.01); #30570=CIRCLE('',#93862,0.0100000000000002); #30571=CIRCLE('',#93863,2.48640681309); #30572=CIRCLE('',#93865,0.0100000000000001); #30573=CIRCLE('',#93866,0.0100000000000001); #30574=CIRCLE('',#93868,2.41255224857); #30575=CIRCLE('',#93870,0.01); #30576=CIRCLE('',#93873,2.17924727749424); #30577=CIRCLE('',#93875,2.41255224857); #30578=CIRCLE('',#93877,2.40910670415758); #30579=CIRCLE('',#93879,0.00999999999999982); #30580=CIRCLE('',#93881,0.00999999999999999); #30581=CIRCLE('',#93882,0.0100000000000001); #30582=CIRCLE('',#93883,0.01); #30583=CIRCLE('',#93884,0.0100000000000001); #30584=CIRCLE('',#93885,0.01); #30585=CIRCLE('',#93888,0.01); #30586=CIRCLE('',#93890,0.00999999999999994); #30587=CIRCLE('',#93891,0.00999999999999939); #30588=CIRCLE('',#93892,2.4830471151973); #30589=CIRCLE('',#93894,0.0100000000000004); #30590=CIRCLE('',#93895,0.01); #30591=CIRCLE('',#93898,0.00999999999999992); #30592=CIRCLE('',#93900,2.17924727749424); #30593=CIRCLE('',#93901,0.00999999999999988); #30594=CIRCLE('',#93902,0.00999999999999999); #30595=CIRCLE('',#93903,0.01); #30596=CIRCLE('',#93904,0.00999999999999994); #30597=CIRCLE('',#93905,0.00999999999999999); #30598=CIRCLE('',#93906,0.0100000000000002); #30599=CIRCLE('',#93907,0.00999999999999997); #30600=CIRCLE('',#93908,0.00999999999999987); #30601=CIRCLE('',#93910,0.00999999999999996); #30602=CIRCLE('',#93912,0.01); #30603=CIRCLE('',#93913,2.48989449951018); #30604=CIRCLE('',#93914,0.0100000000000002); #30605=CIRCLE('',#93915,2.4830471151973); #30606=CIRCLE('',#93917,0.01); #30607=CIRCLE('',#93918,0.884707772128396); #30608=CIRCLE('',#93919,0.89155515644127); #30609=CIRCLE('',#93921,0.0100000000000001); #30610=CIRCLE('',#93922,0.0100000000000001); #30611=CIRCLE('',#93923,0.01); #30612=CIRCLE('',#93925,0.0100000000000001); #30613=CIRCLE('',#93927,0.0100000000000002); #30614=CIRCLE('',#93929,0.00999999999999965); #30615=CIRCLE('',#93930,0.01); #30616=CIRCLE('',#93931,0.01); #30617=CIRCLE('',#93933,0.284106704157583); #30618=CIRCLE('',#93935,0.284106704157583); #30619=CIRCLE('',#93936,0.0118110236); #30620=CIRCLE('',#93937,0.0118110236); #30621=CIRCLE('',#93941,0.01); #30622=CIRCLE('',#93942,0.00999999999999997); #30623=CIRCLE('',#93944,0.00999999999999931); #30624=CIRCLE('',#93945,8.30393003283705); #30625=CIRCLE('',#93946,0.00999999999999756); #30626=CIRCLE('',#93947,8.29708264852418); #30627=CIRCLE('',#93948,0.0100000000000006); #30628=CIRCLE('',#93949,0.01); #30629=CIRCLE('',#93950,0.0100000000000001); #30630=CIRCLE('',#93951,0.00999999999999991); #30631=CIRCLE('',#93953,0.0100000000000006); #30632=CIRCLE('',#93955,0.0100000000000001); #30633=CIRCLE('',#93957,0.00999999999999983); #30634=CIRCLE('',#93958,0.0100000000000002); #30635=CIRCLE('',#93959,0.00999999999999998); #30636=CIRCLE('',#93961,0.00999999999999996); #30637=CIRCLE('',#93962,0.00999999999999998); #30638=CIRCLE('',#93964,0.0100000000000003); #30639=CIRCLE('',#93969,0.0100000000000003); #30640=CIRCLE('',#93970,0.00999999999999968); #30641=CIRCLE('',#93971,0.0099999999999999); #30642=CIRCLE('',#93972,0.01); #30643=CIRCLE('',#93973,0.0100000000000001); #30644=CIRCLE('',#93974,0.01); #30645=CIRCLE('',#93975,0.00999999999999968); #30646=CIRCLE('',#93976,0.0100000000000006); #30647=CIRCLE('',#93978,0.0100000000000001); #30648=CIRCLE('',#93980,0.00999999999999906); #30649=CIRCLE('',#93981,8.30393003283705); #30650=CIRCLE('',#93982,0.00999999999999752); #30651=CIRCLE('',#93983,8.29708264852417); #30652=CIRCLE('',#93985,0.0100000000000001); #30653=CIRCLE('',#93986,0.0099999999999999); #30654=CIRCLE('',#93987,0.00999999999999986); #30655=CIRCLE('',#93989,0.01); #30656=CIRCLE('',#93991,0.01); #30657=CIRCLE('',#93992,0.125); #30658=CIRCLE('',#93995,0.0100000000000008); #30659=CIRCLE('',#93996,0.00999999999999961); #30660=CIRCLE('',#93998,0.00999999999999855); #30661=CIRCLE('',#93999,0.00999999999999998); #30662=CIRCLE('',#94000,0.0100000000000001); #30663=CIRCLE('',#94005,0.0100000000000001); #30664=CIRCLE('',#94006,4.26655515644116); #30665=CIRCLE('',#94007,0.0100000000000011); #30666=CIRCLE('',#94008,4.25970777212829); #30667=CIRCLE('',#94009,0.01); #30668=CIRCLE('',#94010,0.0100000000000001); #30669=CIRCLE('',#94011,0.0099999999999999); #30670=CIRCLE('',#94012,0.00999999999999986); #30671=CIRCLE('',#94014,0.0099999999999998); #30672=CIRCLE('',#94016,0.00999999999999999); #30673=CIRCLE('',#94018,0.0100000000000003); #30674=CIRCLE('',#94019,0.0100000000000002); #30675=CIRCLE('',#94020,0.0100000000000001); #30676=CIRCLE('',#94021,0.00999999999999995); #30677=CIRCLE('',#94023,0.0099999999999999); #30678=CIRCLE('',#94025,0.0100000000000001); #30679=CIRCLE('',#94026,0.00999999999999995); #30680=CIRCLE('',#94028,0.0100000000000001); #30681=CIRCLE('',#94029,4.26655515644116); #30682=CIRCLE('',#94030,0.0100000000000009); #30683=CIRCLE('',#94031,4.25970777212829); #30684=CIRCLE('',#94032,0.0099999999999999); #30685=CIRCLE('',#94033,0.0100000000000001); #30686=CIRCLE('',#94034,0.0099999999999999); #30687=CIRCLE('',#94035,0.0100000000000001); #30688=CIRCLE('',#94037,0.00999999999999984); #30689=CIRCLE('',#94039,0.00999999999999992); #30690=CIRCLE('',#94041,0.01); #30691=CIRCLE('',#94042,0.01); #30692=CIRCLE('',#94043,0.0100000000000001); #30693=CIRCLE('',#94045,0.00999999999999985); #30694=CIRCLE('',#94048,0.125); #30695=CIRCLE('',#94049,0.125); #30696=CIRCLE('',#94050,0.00999999999999999); #30697=CIRCLE('',#94051,0.01); #30698=CIRCLE('',#94053,0.0100000000000004); #30699=CIRCLE('',#94054,4.26655515644116); #30700=CIRCLE('',#94055,0.0100000000000007); #30701=CIRCLE('',#94056,4.25970777212829); #30702=CIRCLE('',#94057,0.0100000000000002); #30703=CIRCLE('',#94058,0.00999999999999979); #30704=CIRCLE('',#94060,0.0100000000000001); #30705=CIRCLE('',#94061,0.0100000000000001); #30706=CIRCLE('',#94062,0.01); #30707=CIRCLE('',#94064,0.00999999999999978); #30708=CIRCLE('',#94066,0.0100000000000005); #30709=CIRCLE('',#94067,0.01); #30710=CIRCLE('',#94068,0.0100000000000003); #30711=CIRCLE('',#94070,0.00999999999999998); #30712=CIRCLE('',#94073,0.125); #30713=CIRCLE('',#94075,0.730663765199139); #30714=CIRCLE('',#94079,0.964109509104581); #30715=CIRCLE('',#94087,2.40910670415758); #30716=CIRCLE('',#94089,2.40910670415758); #30717=CIRCLE('',#94091,2.40910670415758); #30718=CIRCLE('',#94102,0.405344782907478); #30719=CIRCLE('',#94103,0.156536384843413); #30720=CIRCLE('',#94104,2.28153638484341); #30721=CIRCLE('',#94105,0.156536384843414); #30722=CIRCLE('',#94106,0.03); #30723=CIRCLE('',#94107,0.03); #30724=CIRCLE('',#94109,0.03); #30725=CIRCLE('',#94110,0.0300000000000001); #30726=CIRCLE('',#94112,1.31100000000002); #30727=CIRCLE('',#94113,8.69975728737603); #30728=CIRCLE('',#94114,1.31100000000002); #30729=CIRCLE('',#94115,0.0300000000000002); #30730=CIRCLE('',#94116,0.0299999999999997); #30731=CIRCLE('',#94117,0.0299999999999997); #30732=CIRCLE('',#94118,0.0299999999999999); #30733=CIRCLE('',#94119,1.31100000000002); #30734=CIRCLE('',#94120,1.31100000000002); #30735=CIRCLE('',#94121,1.37594725464445); #30736=CIRCLE('',#94123,8.69975728737604); #30737=CIRCLE('',#94124,1.31100000000002); #30738=CIRCLE('',#94125,0.03); #30739=CIRCLE('',#94126,0.0300000000000001); #30740=CIRCLE('',#94128,2.41238074424959); #30741=CIRCLE('',#94131,0.284106704157583); #30742=CIRCLE('',#94135,0.964109509104581); #30743=CIRCLE('',#94136,0.964109509104581); #30744=CIRCLE('',#94141,1.31100000000002); #30745=CIRCLE('',#94142,2.22333934306905); #30746=CIRCLE('',#94143,1.31100000000002); #30747=CIRCLE('',#94144,2.88572175404917); #30748=CIRCLE('',#94145,1.28738241098026); #30749=CIRCLE('',#94146,1.28738241098026); #30750=CIRCLE('',#94147,1.37594725464445); #30751=CIRCLE('',#94148,1.31100000000002); #30752=CIRCLE('',#94150,4.66238241098015); #30753=CIRCLE('',#94190,0.02); #30754=CIRCLE('',#94191,0.02); #30755=CIRCLE('',#94195,0.02); #30756=CIRCLE('',#94197,0.02); #30757=CIRCLE('',#94211,0.02); #30758=CIRCLE('',#94213,0.0199999999999999); #30759=CIRCLE('',#94216,0.0199999999999999); #30760=CIRCLE('',#94266,0.312673027368843); #30761=CIRCLE('',#94267,0.01); #30762=CIRCLE('',#94268,0.322671504320407); #30763=CIRCLE('',#94271,0.0925240499999999); #30764=CIRCLE('',#94273,0.312673027368843); #30765=CIRCLE('',#94274,0.01); #30766=CIRCLE('',#94275,0.322671504320407); #30767=CIRCLE('',#94278,0.0925240499999999); #30768=CIRCLE('',#94279,0.0100000000000002); #30769=CIRCLE('',#94280,0.00999999999999997); #30770=CIRCLE('',#94282,0.00999999999999977); #30771=CIRCLE('',#94283,0.01); #30772=CIRCLE('',#94288,0.125); #30773=CIRCLE('',#94315,0.125); #30774=CIRCLE('',#94316,0.125); #30775=CIRCLE('',#94318,0.125); #30776=CIRCLE('',#94319,0.125000000000001); #30777=CIRCLE('',#94321,0.0118110236); #30778=CIRCLE('',#94322,0.0118110235999997); #30779=CIRCLE('',#94323,0.0118110236); #30780=CIRCLE('',#94324,0.0118110235999999); #30781=CIRCLE('',#94327,0.284106704157583); #30782=CIRCLE('',#94328,0.0118110236); #30783=CIRCLE('',#94330,0.0118110236); #30784=CIRCLE('',#94331,0.0118110235999998); #30785=CIRCLE('',#94332,0.0118110235999997); #30786=CIRCLE('',#94333,0.0118110236000001); #30787=CIRCLE('',#94334,0.0100000000000002); #30788=CIRCLE('',#94335,0.00999999999999998); #30789=CIRCLE('',#94340,0.0100000000000001); #30790=CIRCLE('',#94341,0.0099999999999999); #30791=CIRCLE('',#94342,0.0100000000000001); #30792=CIRCLE('',#94344,0.0200000000000001); #30793=CIRCLE('',#94345,2.89333222445306); #30794=CIRCLE('',#94346,0.0200000000000001); #30795=CIRCLE('',#94348,0.0199999999999987); #30796=CIRCLE('',#94349,1.29499288138416); #30797=CIRCLE('',#94351,0.0200000000000029); #30798=CIRCLE('',#94352,8.70736775777993); #30799=CIRCLE('',#94354,0.019999999999999); #30800=CIRCLE('',#94355,1.38355772504835); #30801=CIRCLE('',#94357,4.66999288138405); #30802=CIRCLE('',#94358,0.02); #30803=CIRCLE('',#94360,1.38355772504835); #30804=CIRCLE('',#94361,0.0200000000000006); #30805=CIRCLE('',#94363,8.70736775777993); #30806=CIRCLE('',#94364,0.0199999999999995); #30807=CIRCLE('',#94366,1.29499288138416); #30808=CIRCLE('',#94376,0.00999999999999999); #30809=CIRCLE('',#94377,0.00999999999999999); #30810=CIRCLE('',#94378,0.00999999999999999); #30811=CIRCLE('',#94381,0.01); #30812=CIRCLE('',#94382,0.01); #30813=CIRCLE('',#94383,0.01); #30814=CIRCLE('',#94385,0.01); #30815=CIRCLE('',#94386,0.00999999999999998); #30816=CIRCLE('',#94388,0.0100000000000002); #30817=CIRCLE('',#94390,0.00999999999999995); #30818=CIRCLE('',#94391,0.00999999999999999); #30819=CIRCLE('',#94392,0.00999999999999998); #30820=CIRCLE('',#94394,0.360590631565976); #30821=CIRCLE('',#94395,0.37058910851754); #30822=CIRCLE('',#94397,0.00999999999999995); #30823=CIRCLE('',#94398,0.00999999999999998); #30824=CIRCLE('',#94399,0.00999999999999999); #30825=CIRCLE('',#94401,0.01); #30826=CIRCLE('',#94402,0.01); #30827=CIRCLE('',#94403,0.00999999999999995); #30828=CIRCLE('',#94405,0.37058910851754); #30829=CIRCLE('',#94406,0.360590631565976); #30830=CIRCLE('',#94408,0.00999999999999995); #30831=CIRCLE('',#94409,0.0100000000000001); #30832=CIRCLE('',#94411,0.37058910851754); #30833=CIRCLE('',#94412,0.360590631565976); #30834=CIRCLE('',#94416,0.00999999999999999); #30835=CIRCLE('',#94420,0.389470974077558); #30836=CIRCLE('',#94422,0.0199999999999996); #30837=CIRCLE('',#94424,0.0199999999999996); #30838=CIRCLE('',#94426,0.0200000000000004); #30839=CIRCLE('',#94427,0.0199999969514038); #30840=CIRCLE('',#94428,0.0199999991490809); #30841=CIRCLE('',#94429,0.02); #30842=CIRCLE('',#94430,0.0200000000000008); #30843=CIRCLE('',#94432,0.0199999999999998); #30844=CIRCLE('',#94434,0.0200000000000002); #30845=CIRCLE('',#94435,0.019999999803013); #30846=CIRCLE('',#94436,0.0200000000000001); #30847=CIRCLE('',#94437,0.0200000000000006); #30848=CIRCLE('',#94440,0.02); #30849=CIRCLE('',#94441,0.0199999998082591); #30850=CIRCLE('',#94442,0.0200000000000008); #30851=CIRCLE('',#94443,0.0200000000000008); #30852=CIRCLE('',#94446,0.0199999990888053); #30853=CIRCLE('',#94447,0.02); #30854=CIRCLE('',#94448,0.0200000000000001); #30855=CIRCLE('',#94449,0.02); #30856=CIRCLE('',#94450,0.02); #30857=CIRCLE('',#94451,0.02); #30858=CIRCLE('',#94452,0.0199999990182671); #30859=CIRCLE('',#94453,0.0200000000000001); #30860=CIRCLE('',#94454,0.0199999999999992); #30861=CIRCLE('',#94458,0.02); #30862=CIRCLE('',#94460,0.02); #30863=CIRCLE('',#94462,0.0200000000000001); #30864=CIRCLE('',#94464,0.02); #30865=CIRCLE('',#94465,0.0199999999999997); #30866=CIRCLE('',#94466,0.0200000000000001); #30867=CIRCLE('',#94467,0.0200000000000001); #30868=CIRCLE('',#94469,0.00999999999999998); #30869=CIRCLE('',#94480,0.01); #30870=CIRCLE('',#94489,0.01); #30871=CIRCLE('',#94498,0.00999999999999998); #30872=CIRCLE('',#94500,0.01); #30873=CIRCLE('',#94503,0.00999999999999996); #30874=CIRCLE('',#94504,0.0699999999999999); #30875=CIRCLE('',#94505,0.02); #30876=CIRCLE('',#94506,0.02); #30877=CIRCLE('',#94512,0.01); #30878=CIRCLE('',#94514,0.0200000000000001); #30879=CIRCLE('',#94515,0.0200000000000001); #30880=CIRCLE('',#94519,0.00999999999999997); #30881=CIRCLE('',#94521,0.00999999999999995); #30882=CIRCLE('',#94523,0.00999999999999977); #30883=CIRCLE('',#94524,0.00999999999999995); #30884=CIRCLE('',#94527,0.00999999999999995); #30885=CIRCLE('',#94529,0.0100000000000001); #30886=CIRCLE('',#94531,0.00999999999999995); #30887=CIRCLE('',#94532,0.01); #30888=CIRCLE('',#94533,0.00999999999999995); #30889=CIRCLE('',#94536,0.00999999999999995); #30890=CIRCLE('',#94537,0.00999999999999995); #30891=CIRCLE('',#94540,0.00999999999999995); #30892=CIRCLE('',#94543,0.00999999999999995); #30893=CIRCLE('',#94544,0.01); #30894=CIRCLE('',#94545,0.00999999999999995); #30895=CIRCLE('',#94548,0.00999999999999995); #30896=CIRCLE('',#94549,0.00999999999999995); #30897=CIRCLE('',#94552,0.00999999999999995); #30898=CIRCLE('',#94555,0.00999999999999995); #30899=CIRCLE('',#94556,0.00999999999999993); #30900=CIRCLE('',#94557,0.00999999999999995); #30901=CIRCLE('',#94560,0.00999999999999995); #30902=CIRCLE('',#94561,0.00999999999999995); #30903=CIRCLE('',#94564,0.00999999999999995); #30904=CIRCLE('',#94568,0.00999999999999998); #30905=CIRCLE('',#94570,0.01); #30906=CIRCLE('',#94572,6.40471153357581); #30907=CIRCLE('',#94573,0.0100000000000002); #30908=CIRCLE('',#94575,0.01); #30909=CIRCLE('',#94577,6.40471153357581); #30910=CIRCLE('',#94580,0.0599999999863915); #30911=CIRCLE('',#94582,0.06); #30912=CIRCLE('',#94583,0.0600000000000002); #30913=CIRCLE('',#94585,0.389470974077558); #30914=CIRCLE('',#94586,0.06); #30915=CIRCLE('',#94590,0.31925); #30916=CIRCLE('',#94591,0.251968504); #30917=CIRCLE('',#94593,0.31925); #30918=CIRCLE('',#94595,0.251968504); #30919=CIRCLE('',#94597,0.251968504); #30920=CIRCLE('',#94598,0.251968504); #30921=CIRCLE('',#94599,0.251968504); #30922=CIRCLE('',#94600,0.251968504); #30923=CIRCLE('',#94601,0.251968504); #30924=CIRCLE('',#94602,0.251968504); #30925=CIRCLE('',#94603,0.251968504); #30926=CIRCLE('',#94609,0.0300000000000001); #30927=CIRCLE('',#94610,0.0299999999999999); #30928=CIRCLE('',#94611,0.0300000000000002); #30929=CIRCLE('',#94612,0.0299999999999999); #30930=CIRCLE('',#94615,2.42237922120115); #30931=CIRCLE('',#94617,0.00999999999999999); #30932=CIRCLE('',#94618,0.00999999999999992); #30933=CIRCLE('',#94619,0.00999999999999998); #30934=CIRCLE('',#94621,0.00999999999999996); #30935=CIRCLE('',#94622,0.01); #30936=CIRCLE('',#94623,0.0100000000000001); #30937=CIRCLE('',#94624,0.00999999999999998); #30938=CIRCLE('',#94626,0.0100000000000001); #30939=CIRCLE('',#94627,0.00999999999999948); #30940=CIRCLE('',#94628,0.00999999999999994); #30941=CIRCLE('',#94631,0.124999999999997); #30942=CIRCLE('',#94632,0.00999999999999947); #30943=CIRCLE('',#94633,0.00999999999999994); #30944=CIRCLE('',#94634,0.00999999999999977); #30945=CIRCLE('',#94635,0.00999999999999923); #30946=CIRCLE('',#94636,0.00999999999999997); #30947=CIRCLE('',#94637,0.01); #30948=CIRCLE('',#94638,0.00999999999999997); #30949=CIRCLE('',#94639,0.125); #30950=CIRCLE('',#94640,0.125); #30951=CIRCLE('',#94641,0.125); #30952=CIRCLE('',#94642,0.124999999998889); #30953=CIRCLE('',#94643,0.125); #30954=CIRCLE('',#94644,0.125); #30955=CIRCLE('',#94650,0.0100000000000004); #30956=CIRCLE('',#94651,0.01); #30957=CIRCLE('',#94654,0.0699999999999999); #30958=CIRCLE('',#94655,0.0700000000000001); #30959=CIRCLE('',#94656,0.0700000000000001); #30960=CIRCLE('',#94657,0.07); #30961=CIRCLE('',#94658,0.0699999999999989); #30962=CIRCLE('',#94659,0.0700000000000002); #30963=CIRCLE('',#94660,0.0700000000000017); #30964=CIRCLE('',#94661,0.0700000000000001); #30965=CIRCLE('',#94664,0.07); #30966=CIRCLE('',#94667,0.395344782907478); #30967=CIRCLE('',#94668,0.01); #30968=CIRCLE('',#94669,0.385344782907478); #30969=CIRCLE('',#94671,0.395344782907478); #30970=CIRCLE('',#94672,0.395344782907478); #30971=CIRCLE('',#94674,0.3307086615); #30972=CIRCLE('',#94675,0.0100000000000001); #30973=CIRCLE('',#94677,0.0100000000000001); #30974=CIRCLE('',#94678,0.03); #30975=CIRCLE('',#94679,0.03); #30976=CIRCLE('',#94680,0.0299999999999999); #30977=CIRCLE('',#94681,0.03); #30978=CIRCLE('',#94682,0.03); #30979=CIRCLE('',#94683,0.0300000000000001); #30980=CIRCLE('',#94684,0.03); #30981=CIRCLE('',#94685,0.03); #30982=CIRCLE('',#94686,0.03); #30983=CIRCLE('',#94687,0.0299999999999999); #30984=CIRCLE('',#94688,0.0299999999999999); #30985=CIRCLE('',#94690,0.03); #30986=CIRCLE('',#94691,0.03); #30987=CIRCLE('',#94692,0.0299999999999999); #30988=CIRCLE('',#94693,0.0300000000000001); #30989=CIRCLE('',#94694,0.03); #30990=CIRCLE('',#94695,0.03); #30991=CIRCLE('',#94696,0.03); #30992=CIRCLE('',#94697,0.0300000000000002); #30993=CIRCLE('',#94698,0.0299999999999999); #30994=CIRCLE('',#94699,0.0300000000000002); #30995=CIRCLE('',#94700,0.0299999999999995); #30996=CIRCLE('',#94701,0.0300000000000004); #30997=CIRCLE('',#94702,0.0300000000000001); #30998=CIRCLE('',#94703,0.0300000000000001); #30999=CIRCLE('',#94704,0.03); #31000=CIRCLE('',#94705,0.03); #31001=CIRCLE('',#94706,0.03); #31002=CIRCLE('',#94707,0.0300000000000001); #31003=CIRCLE('',#94708,0.0299999999999999); #31004=CIRCLE('',#94709,0.0300000000000001); #31005=CIRCLE('',#94710,0.03); #31006=CIRCLE('',#94711,0.0300000000000002); #31007=CIRCLE('',#94712,0.0300000000000006); #31008=CIRCLE('',#94713,0.0299999999999999); #31009=CIRCLE('',#94714,0.0300000000000001); #31010=CIRCLE('',#94715,0.0299999999999993); #31011=CIRCLE('',#94716,0.0299999999999999); #31012=CIRCLE('',#94717,0.0300000000000009); #31013=CIRCLE('',#94718,0.0300000000000004); #31014=CIRCLE('',#94719,0.0300000000000003); #31015=CIRCLE('',#94720,0.0300000000000002); #31016=CIRCLE('',#94721,0.029999999999997); #31017=CIRCLE('',#94722,0.0299999999999979); #31018=CIRCLE('',#94723,0.0300000000000002); #31019=CIRCLE('',#94724,0.03); #31020=CIRCLE('',#94725,0.0299999999999999); #31021=CIRCLE('',#94729,0.125000000000002); #31022=CIRCLE('',#94730,0.125000000000001); #31023=CIRCLE('',#94733,0.3307086615); #31024=CIRCLE('',#94736,0.3307086615); #31025=CIRCLE('',#94737,0.3307086615); #31026=CIRCLE('',#94740,0.3307086615); #31027=CIRCLE('',#94744,0.3307086615); #31028=CIRCLE('',#94747,0.3307086615); #31029=CIRCLE('',#94749,0.3307086615); #31030=CIRCLE('',#94752,0.0309999999999998); #31031=CIRCLE('',#94754,0.031); #31032=CIRCLE('',#94755,0.187531663393261); #31033=CIRCLE('',#94757,0.0310000000000001); #31034=CIRCLE('',#94758,2.31253166339326); #31035=CIRCLE('',#94760,0.0309999999999998); #31036=CIRCLE('',#94761,0.187531663393262); #31037=CIRCLE('',#94769,0.125); #31038=CIRCLE('',#94770,0.125000000000001); #31039=CIRCLE('',#94771,0.125); #31040=CIRCLE('',#94772,0.124999999999998); #31041=CIRCLE('',#94773,0.125); #31042=CIRCLE('',#94774,0.125); #31043=CIRCLE('',#94786,0.245); #31044=CIRCLE('',#94787,0.26); #31045=CIRCLE('',#94789,0.245); #31046=CIRCLE('',#94790,0.26); #31047=CIRCLE('',#94792,0.245); #31048=CIRCLE('',#94793,0.26); #31049=CIRCLE('',#94795,0.245); #31050=CIRCLE('',#94796,0.26); #31051=CIRCLE('',#94798,0.245); #31052=CIRCLE('',#94799,0.26); #31053=CIRCLE('',#94801,0.245); #31054=CIRCLE('',#94802,0.26); #31055=CIRCLE('',#94804,0.245); #31056=CIRCLE('',#94805,0.26); #31057=CIRCLE('',#94807,0.26); #31058=CIRCLE('',#94808,0.245); #31059=CIRCLE('',#94810,0.245); #31060=CIRCLE('',#94811,0.26); #31061=CIRCLE('',#94813,0.245); #31062=CIRCLE('',#94814,0.26); #31063=CIRCLE('',#94816,0.245); #31064=CIRCLE('',#94817,0.26); #31065=CIRCLE('',#94819,0.245); #31066=CIRCLE('',#94820,0.26); #31067=CIRCLE('',#94822,0.245); #31068=CIRCLE('',#94823,0.26); #31069=CIRCLE('',#94825,0.245); #31070=CIRCLE('',#94826,0.26); #31071=CIRCLE('',#94828,0.245); #31072=CIRCLE('',#94829,0.26); #31073=CIRCLE('',#94831,0.26); #31074=CIRCLE('',#94832,0.245); #31075=CIRCLE('',#94867,0.245); #31076=CIRCLE('',#94868,0.26); #31077=CIRCLE('',#94870,0.245); #31078=CIRCLE('',#94871,0.26); #31079=CIRCLE('',#94873,0.245); #31080=CIRCLE('',#94874,0.26); #31081=CIRCLE('',#94876,0.245); #31082=CIRCLE('',#94877,0.26); #31083=CIRCLE('',#94879,0.245); #31084=CIRCLE('',#94880,0.26); #31085=CIRCLE('',#94882,0.245); #31086=CIRCLE('',#94883,0.26); #31087=CIRCLE('',#94885,0.245); #31088=CIRCLE('',#94886,0.26); #31089=CIRCLE('',#94888,0.26); #31090=CIRCLE('',#94889,0.245); #31091=CIRCLE('',#94891,0.245); #31092=CIRCLE('',#94892,0.26); #31093=CIRCLE('',#94894,0.245); #31094=CIRCLE('',#94895,0.26); #31095=CIRCLE('',#94897,0.245); #31096=CIRCLE('',#94898,0.26); #31097=CIRCLE('',#94900,0.245); #31098=CIRCLE('',#94901,0.26); #31099=CIRCLE('',#94903,0.245); #31100=CIRCLE('',#94904,0.26); #31101=CIRCLE('',#94906,0.245); #31102=CIRCLE('',#94907,0.26); #31103=CIRCLE('',#94909,0.245); #31104=CIRCLE('',#94910,0.26); #31105=CIRCLE('',#94912,0.26); #31106=CIRCLE('',#94913,0.245); #31107=CIRCLE('',#94938,0.0925240473580835); #31108=CIRCLE('',#94959,0.0944000000000002); #31109=CIRCLE('',#94961,0.125); #31110=CIRCLE('',#94962,0.125); #31111=CIRCLE('',#94963,0.125); #31112=CIRCLE('',#94999,0.245); #31113=CIRCLE('',#95000,0.245); #31114=CIRCLE('',#95001,0.245); #31115=CIRCLE('',#95002,0.245); #31116=CIRCLE('',#95003,0.245); #31117=CIRCLE('',#95004,0.245); #31118=CIRCLE('',#95005,0.245); #31119=CIRCLE('',#95006,0.245); #31120=CIRCLE('',#95008,0.125); #31121=CIRCLE('',#95009,0.0970175625561636); #31122=CIRCLE('',#95011,0.26); #31123=CIRCLE('',#95013,0.26); #31124=CIRCLE('',#95015,0.26); #31125=CIRCLE('',#95017,0.26); #31126=CIRCLE('',#95019,0.26); #31127=CIRCLE('',#95021,0.26); #31128=CIRCLE('',#95023,0.26); #31129=CIRCLE('',#95025,0.26); #31130=CIRCLE('',#95027,0.26); #31131=CIRCLE('',#95030,0.26); #31132=CIRCLE('',#95033,0.26); #31133=CIRCLE('',#95036,0.26); #31134=CIRCLE('',#95039,0.245); #31135=CIRCLE('',#95040,0.26); #31136=CIRCLE('',#95042,0.245); #31137=CIRCLE('',#95044,0.245); #31138=CIRCLE('',#95046,0.245); #31139=CIRCLE('',#95048,0.245); #31140=CIRCLE('',#95050,0.245); #31141=CIRCLE('',#95051,0.26); #31142=CIRCLE('',#95053,0.245); #31143=CIRCLE('',#95054,0.26); #31144=CIRCLE('',#95056,0.26); #31145=CIRCLE('',#95057,0.245); #31146=CIRCLE('',#95068,0.0944000000000002); #31147=CIRCLE('',#95070,0.0925240473580836); #31148=CIRCLE('',#95071,0.0970409697172381); #31149=CIRCLE('',#95080,0.19375); #31150=CIRCLE('',#95081,0.20875); #31151=CIRCLE('',#95084,0.20875); #31152=CIRCLE('',#95085,0.19375); #31153=CIRCLE('',#95087,0.20875); #31154=CIRCLE('',#95090,0.12925); #31155=CIRCLE('',#95092,0.098); #31156=CIRCLE('',#95093,0.01); #31157=CIRCLE('',#95094,0.088); #31158=CIRCLE('',#95096,0.098); #31159=CIRCLE('',#95097,0.098); #31160=CIRCLE('',#95099,0.01); #31161=CIRCLE('',#95100,0.00999999999999996); #31162=CIRCLE('',#95101,0.01); #31163=CIRCLE('',#95103,0.01); #31164=CIRCLE('',#95104,0.01); #31165=CIRCLE('',#95105,0.00999999999999999); #31166=CIRCLE('',#95106,0.00999999999999986); #31167=CIRCLE('',#95107,0.00999999999999999); #31168=CIRCLE('',#95109,0.00999999999999999); #31169=CIRCLE('',#95110,0.00999999999999999); #31170=CIRCLE('',#95112,0.00999999999999996); #31171=CIRCLE('',#95113,0.00999999999999999); #31172=CIRCLE('',#95114,0.00999999999999999); #31173=CIRCLE('',#95115,0.01); #31174=CIRCLE('',#95117,0.00999999999999999); #31175=CIRCLE('',#95118,0.00999999999999999); #31176=CIRCLE('',#95119,0.01); #31177=CIRCLE('',#95120,0.01); #31178=CIRCLE('',#95122,0.00999999999999986); #31179=CIRCLE('',#95124,0.19); #31180=CIRCLE('',#95125,0.25); #31181=CIRCLE('',#95127,0.25); #31182=CIRCLE('',#95129,0.19); #31183=CIRCLE('',#95131,0.2734375); #31184=CIRCLE('',#95132,0.2734375); #31185=CIRCLE('',#95133,0.01); #31186=CIRCLE('',#95134,0.2634375); #31187=CIRCLE('',#95136,0.21875); #31188=CIRCLE('',#95137,0.21875); #31189=CIRCLE('',#95139,0.2734375); #31190=CIRCLE('',#95144,0.20875); #31191=CIRCLE('',#95149,0.25770321); #31192=CIRCLE('',#95150,0.1185); #31193=CIRCLE('',#95152,0.31770321); #31194=CIRCLE('',#95153,0.06); #31195=CIRCLE('',#95155,0.31770321); #31196=CIRCLE('',#95157,0.25770321); #31197=CIRCLE('',#95158,0.06); #31198=CIRCLE('',#95160,0.1185); #31199=CIRCLE('',#95162,0.0099999999999999); #31200=CIRCLE('',#95163,0.06); #31201=CIRCLE('',#95164,0.00999999999999999); #31202=CIRCLE('',#95165,0.05); #31203=CIRCLE('',#95167,0.00999999999999999); #31204=CIRCLE('',#95169,0.05); #31205=CIRCLE('',#95170,0.06); #31206=CIRCLE('',#95171,0.01); #31207=CIRCLE('',#95173,0.06); #31208=CIRCLE('',#95175,0.06); #31209=CIRCLE('',#95176,0.00999999999999999); #31210=CIRCLE('',#95179,0.06); #31211=CIRCLE('',#95181,0.01); #31212=CIRCLE('',#95182,0.01); #31213=CIRCLE('',#95184,0.00999999999999999); #31214=CIRCLE('',#95185,0.00999999999999999); #31215=CIRCLE('',#95187,0.00999999999999999); #31216=CIRCLE('',#95188,0.05); #31217=CIRCLE('',#95190,0.00999999999999999); #31218=CIRCLE('',#95191,0.00999999999999999); #31219=CIRCLE('',#95193,0.00999999999999999); #31220=CIRCLE('',#95195,0.00999999999999999); #31221=CIRCLE('',#95196,0.05); #31222=CIRCLE('',#95197,0.06); #31223=CIRCLE('',#95199,0.00999999999999999); #31224=CIRCLE('',#95200,0.01); #31225=CIRCLE('',#95201,0.00999999999999999); #31226=CIRCLE('',#95203,0.00999999999999999); #31227=CIRCLE('',#95204,0.00999999999999999); #31228=CIRCLE('',#95206,0.00999999999999999); #31229=CIRCLE('',#95207,0.05); #31230=CIRCLE('',#95208,0.06); #31231=CIRCLE('',#95210,0.01); #31232=CIRCLE('',#95211,0.00999999999999999); #31233=CIRCLE('',#95213,0.01); #31234=CIRCLE('',#95215,0.00999999999999999); #31235=CIRCLE('',#95216,0.05); #31236=CIRCLE('',#95217,0.06); #31237=CIRCLE('',#95219,0.00999999999999999); #31238=CIRCLE('',#95221,0.2834375); #31239=CIRCLE('',#95222,0.00999999999999991); #31240=CIRCLE('',#95224,0.2834375); #31241=CIRCLE('',#95228,0.2734375); #31242=CIRCLE('',#95229,0.00999999999999998); #31243=CIRCLE('',#95230,0.2834375); #31244=CIRCLE('',#95231,0.2834375); #31245=CIRCLE('',#95232,0.00999999999999991); #31246=CIRCLE('',#95235,0.2734375); #31247=CIRCLE('',#95236,0.01); #31248=CIRCLE('',#95240,0.0985); #31249=CIRCLE('',#95242,0.0985); #31250=CIRCLE('',#95245,0.2555); #31251=CIRCLE('',#95246,0.0350000000000001); #31252=CIRCLE('',#95247,0.2205); #31253=CIRCLE('',#95248,0.2205); #31254=CIRCLE('',#95250,0.2205); #31255=CIRCLE('',#95251,0.2205); #31256=CIRCLE('',#95253,0.0349999999999999); #31257=CIRCLE('',#95254,0.2555); #31258=CIRCLE('',#95257,0.2734375); #31259=CIRCLE('',#95264,0.0393700789999998); #31260=CIRCLE('',#95265,0.039370079); #31261=CIRCLE('',#95266,0.0393700789999998); #31262=CIRCLE('',#95267,0.039370079); #31263=CIRCLE('',#95268,0.0393700789999995); #31264=CIRCLE('',#95269,0.0393700789999995); #31265=CIRCLE('',#95270,0.0393700789999999); #31266=CIRCLE('',#95272,0.039370079); #31267=CIRCLE('',#95274,0.0393700790000001); #31268=CIRCLE('',#95275,0.68085114715129); #31269=CIRCLE('',#95276,0.641487064405015); #31270=CIRCLE('',#95277,0.039370079); #31271=CIRCLE('',#95278,0.039370079); #31272=CIRCLE('',#95279,0.039370079); #31273=CIRCLE('',#95280,0.039370079); #31274=CIRCLE('',#95281,0.039370079); #31275=CIRCLE('',#95284,0.039370079); #31276=CIRCLE('',#95287,0.039370079); #31277=CIRCLE('',#95289,0.039370079); #31278=CIRCLE('',#95291,0.0393700790000001); #31279=CIRCLE('',#95292,0.039370079); #31280=CIRCLE('',#95293,0.039370079); #31281=CIRCLE('',#95295,0.0393700790000001); #31282=CIRCLE('',#95296,0.68085114715129); #31283=CIRCLE('',#95297,0.0393700790000307); #31284=CIRCLE('',#95298,0.641487064405015); #31285=CIRCLE('',#95299,0.039370079); #31286=CIRCLE('',#95300,0.0393700789999996); #31287=CIRCLE('',#95302,0.039370079); #31288=CIRCLE('',#95303,0.0393700790000001); #31289=CIRCLE('',#95304,0.039370079); #31290=CIRCLE('',#95305,0.0393700790000001); #31291=CIRCLE('',#95306,0.0393700789999999); #31292=CIRCLE('',#95307,0.039370079); #31293=CIRCLE('',#95308,0.0393700789999999); #31294=CIRCLE('',#95309,0.039370079); #31295=CIRCLE('',#95310,0.039370079); #31296=CIRCLE('',#95311,0.039370079); #31297=CIRCLE('',#95313,0.111437235563606); #31298=CIRCLE('',#95314,0.0742914900612364); #31299=CIRCLE('',#95315,0.039370079); #31300=CIRCLE('',#95316,0.039370079); #31301=CIRCLE('',#95317,0.0393700790000001); #31302=CIRCLE('',#95318,0.039370079); #31303=CIRCLE('',#95322,0.0393700789999999); #31304=CIRCLE('',#95323,0.039370079); #31305=CIRCLE('',#95324,0.039370079); #31306=CIRCLE('',#95326,0.039370079); #31307=CIRCLE('',#95327,0.0742914900610639); #31308=CIRCLE('',#95328,0.111437235563347); #31309=CIRCLE('',#95331,0.078740157); #31310=CIRCLE('',#95334,0.0787401569999999); #31311=CIRCLE('',#95335,0.0787401569999998); #31312=CIRCLE('',#95336,0.078740157); #31313=CIRCLE('',#95337,0.078740157); #31314=CIRCLE('',#95338,0.0787401569999998); #31315=CIRCLE('',#95339,0.0787401569999991); #31316=CIRCLE('',#95340,0.0787401570000001); #31317=CIRCLE('',#95341,0.0787401569999999); #31318=CIRCLE('',#95343,0.0787401570000003); #31319=CIRCLE('',#95344,0.078740157); #31320=CIRCLE('',#95346,0.0599999999999999); #31321=CIRCLE('',#95347,0.06); #31322=CIRCLE('',#95349,0.06); #31323=CIRCLE('',#95351,0.06); #31324=CIRCLE('',#95352,0.0599999999999998); #31325=CIRCLE('',#95357,0.631854326533196); #31326=CIRCLE('',#95358,0.430645673466802); #31327=CIRCLE('',#95359,0.135645673466804); #31328=CIRCLE('',#95360,2.2126456734668); #31329=CIRCLE('',#95361,0.135645673466804); #31330=CIRCLE('',#95362,0.430645673466805); #31331=CIRCLE('',#95363,0.631854326533196); #31332=CIRCLE('',#95364,0.493213785944833); #31333=CIRCLE('',#95366,0.00999999999999999); #31334=CIRCLE('',#95367,0.01); #31335=CIRCLE('',#95371,0.520564530676756); #31336=CIRCLE('',#95372,0.125); #31337=CIRCLE('',#95374,0.184671504320407); #31338=CIRCLE('',#95375,0.184671504320407); #31339=CIRCLE('',#95377,0.01); #31340=CIRCLE('',#95378,0.174673027368843); #31341=CIRCLE('',#95380,0.095); #31342=CIRCLE('',#95382,0.174673027368843); #31343=CIRCLE('',#95383,0.01); #31344=CIRCLE('',#95384,0.184671504320407); #31345=CIRCLE('',#95385,0.184671504320407); #31346=CIRCLE('',#95388,0.095); #31347=CIRCLE('',#95389,0.00999999999999989); #31348=CIRCLE('',#95390,0.0100000000000001); #31349=CIRCLE('',#95393,0.520564530676759); #31350=CIRCLE('',#95395,0.125); #31351=CIRCLE('',#95396,0.078740157); #31352=CIRCLE('',#95397,0.0787401569999998); #31353=CIRCLE('',#95398,0.0787401569999999); #31354=CIRCLE('',#95399,0.0787401570000003); #31355=CIRCLE('',#95400,0.0787401570000001); #31356=CIRCLE('',#95401,0.078740157); #31357=CIRCLE('',#95402,0.078740157); #31358=CIRCLE('',#95403,0.0787401569999999); #31359=CIRCLE('',#95405,0.078740157); #31360=CIRCLE('',#95406,0.078740157); #31361=CIRCLE('',#95407,0.078740157); #31362=CIRCLE('',#95409,0.078740157); #31363=CIRCLE('',#95410,0.0787401569999999); #31364=CIRCLE('',#95411,0.078740157); #31365=CIRCLE('',#95412,0.0787401570000003); #31366=CIRCLE('',#95413,0.0787401570000101); #31367=CIRCLE('',#95414,0.078740157); #31368=CIRCLE('',#95416,0.078740157); #31369=CIRCLE('',#95417,0.0787401569999999); #31370=CIRCLE('',#95418,1.02787599755886); #31371=CIRCLE('',#95420,1.02787599755886); #31372=CIRCLE('',#95425,0.03); #31373=CIRCLE('',#95426,0.463218355090142); #31374=CIRCLE('',#95428,0.462497716480611); #31375=CIRCLE('',#95430,0.447500001053265); #31376=CIRCLE('',#95431,0.015); #31377=CIRCLE('',#95434,0.06); #31378=CIRCLE('',#95435,0.06); #31379=CIRCLE('',#95437,0.06); #31380=CIRCLE('',#95438,0.57189087691205); #31381=CIRCLE('',#95440,0.06); #31382=CIRCLE('',#95441,0.490609123087951); #31383=CIRCLE('',#95443,0.06); #31384=CIRCLE('',#95445,0.06); #31385=CIRCLE('',#95446,0.19560912308795); #31386=CIRCLE('',#95448,0.06); #31387=CIRCLE('',#95449,2.27260912308795); #31388=CIRCLE('',#95451,0.06); #31389=CIRCLE('',#95452,0.19560912308795); #31390=CIRCLE('',#95454,0.06); #31391=CIRCLE('',#95456,0.06); #31392=CIRCLE('',#95457,0.490609123087947); #31393=CIRCLE('',#95459,0.06); #31394=CIRCLE('',#95460,0.57189087691205); #31395=CIRCLE('',#95461,0.06); #31396=CIRCLE('',#95462,0.06); #31397=CIRCLE('',#95464,0.0599999999999999); #31398=CIRCLE('',#95466,0.06); #31399=CIRCLE('',#95470,0.06); #31400=CIRCLE('',#95471,0.00249999999999998); #31401=CIRCLE('',#95472,0.00249999999999997); #31402=CIRCLE('',#95474,0.00250000000000006); #31403=CIRCLE('',#95475,0.00249999999999998); #31404=CIRCLE('',#95481,0.00250000000000001); #31405=CIRCLE('',#95482,0.0025); #31406=CIRCLE('',#95484,0.00250000000000001); #31407=CIRCLE('',#95485,0.00250000000000002); #31408=CIRCLE('',#95487,0.00999999999999998); #31409=CIRCLE('',#95489,0.01); #31410=CIRCLE('',#95490,0.01); #31411=CIRCLE('',#95494,0.01); #31412=CIRCLE('',#95495,0.01); #31413=CIRCLE('',#95513,0.0100000000000001); #31414=CIRCLE('',#95514,0.01); #31415=CIRCLE('',#95516,0.0100000000000001); #31416=CIRCLE('',#95518,0.06); #31417=CIRCLE('',#95521,0.06); #31418=CIRCLE('',#95523,0.06); #31419=CIRCLE('',#95524,0.46060108105561); #31420=CIRCLE('',#95525,0.06); #31421=CIRCLE('',#95526,0.06); #31422=CIRCLE('',#95527,0.0599999999999999); #31423=CIRCLE('',#95528,0.06); #31424=CIRCLE('',#95529,0.06); #31425=CIRCLE('',#95530,0.0599999999999999); #31426=CIRCLE('',#95531,0.06); #31427=CIRCLE('',#95533,0.0600000000000022); #31428=CIRCLE('',#95534,0.460601081055613); #31429=CIRCLE('',#95536,9.54314184385035); #31430=CIRCLE('',#95537,9.54314184385035); #31431=CIRCLE('',#95538,9.54314184385035); #31432=CIRCLE('',#95539,9.54314184385035); #31433=CIRCLE('',#95540,9.54314184385035); #31434=CIRCLE('',#95541,9.54314184385035); #31435=CIRCLE('',#95542,9.54314184385035); #31436=CIRCLE('',#95546,0.03); #31437=CIRCLE('',#95548,0.03); #31438=CIRCLE('',#95552,0.03); #31439=CIRCLE('',#95553,0.0300000000000001); #31440=CIRCLE('',#95555,0.03); #31441=CIRCLE('',#95556,0.0300000000000001); #31442=CIRCLE('',#95559,0.0300000000000001); #31443=CIRCLE('',#95560,0.0299999999999999); #31444=CIRCLE('',#95563,0.03); #31445=CIRCLE('',#95564,0.03); #31446=CIRCLE('',#95567,0.03); #31447=CIRCLE('',#95570,0.03); #31448=CIRCLE('',#95578,0.0600000000004632); #31449=CIRCLE('',#95579,0.0600000000000002); #31450=CIRCLE('',#95580,0.0600000000000002); #31451=CIRCLE('',#95581,0.0600000000000001); #31452=CIRCLE('',#95582,0.0600000000000001); #31453=CIRCLE('',#95583,0.06); #31454=CIRCLE('',#95584,0.06); #31455=CIRCLE('',#95585,0.06); #31456=CIRCLE('',#95586,0.0600000000000001); #31457=CIRCLE('',#95587,0.0599999999999097); #31458=CIRCLE('',#95588,0.06); #31459=CIRCLE('',#95589,0.06); #31460=CIRCLE('',#95602,1.03766203920601); #31461=CIRCLE('',#95609,0.1565); #31462=CIRCLE('',#95611,0.0925000008287535); #31463=CIRCLE('',#95613,0.0925000008287535); #31464=CIRCLE('',#95614,0.0925000008287535); #31465=CIRCLE('',#95616,0.0124999999999996); #31466=CIRCLE('',#95617,0.117500000828753); #31467=CIRCLE('',#95619,0.141250001657506); #31468=CIRCLE('',#95621,0.06875); #31469=CIRCLE('',#95622,0.06875); #31470=CIRCLE('',#95623,0.0362500008287531); #31471=CIRCLE('',#95625,0.06875); #31472=CIRCLE('',#95629,0.27592502); #31473=CIRCLE('',#95632,0.33070866); #31474=CIRCLE('',#95633,0.33070866); #31475=CIRCLE('',#95636,0.405344785); #31476=CIRCLE('',#95637,0.01); #31477=CIRCLE('',#95638,0.395344785); #31478=CIRCLE('',#95640,0.395344785); #31479=CIRCLE('',#95642,0.4475); #31480=CIRCLE('',#95644,0.385344785); #31481=CIRCLE('',#95645,0.01); #31482=CIRCLE('',#95648,0.4475); #31483=CIRCLE('',#95752,0.12); #31484=CIRCLE('',#95753,0.12); #31485=CIRCLE('',#95754,1.11041132); #31486=CIRCLE('',#95755,0.18); #31487=CIRCLE('',#95756,1.0605); #31488=CIRCLE('',#95757,0.1875); #31489=CIRCLE('',#95758,0.306); #31490=CIRCLE('',#95759,0.1875); #31491=CIRCLE('',#95760,0.1875); #31492=CIRCLE('',#95761,0.306); #31493=CIRCLE('',#95762,0.1875); #31494=CIRCLE('',#95763,1.0605); #31495=CIRCLE('',#95764,0.18); #31496=CIRCLE('',#95765,1.11041132); #31497=CIRCLE('',#95766,0.21375); #31498=CIRCLE('',#95767,0.21375); #31499=CIRCLE('',#95768,0.125); #31500=CIRCLE('',#95769,0.125); #31501=CIRCLE('',#95776,0.12); #31502=CIRCLE('',#95779,0.12); #31503=CIRCLE('',#95781,0.12); #31504=CIRCLE('',#95782,0.2396); #31505=CIRCLE('',#95785,1.35001132); #31506=CIRCLE('',#95786,1.23041132); #31507=CIRCLE('',#95788,1.35001132); #31508=CIRCLE('',#95791,1.35001132); #31509=CIRCLE('',#95792,1.35001132); #31510=CIRCLE('',#95794,1.23041132); #31511=CIRCLE('',#95797,0.2396); #31512=CIRCLE('',#95798,0.12); #31513=CIRCLE('',#95801,0.2396); #31514=CIRCLE('',#95802,0.12); #31515=CIRCLE('',#95805,0.2396); #31516=CIRCLE('',#95807,1.23041132); #31517=CIRCLE('',#95809,1.23041132); #31518=CIRCLE('',#95811,1.11041132); #31519=CIRCLE('',#95812,0.12); #31520=CIRCLE('',#95814,1.11041132); #31521=CIRCLE('',#95817,0.18); #31522=CIRCLE('',#95819,1.0605); #31523=CIRCLE('',#95820,0.1875); #31524=CIRCLE('',#95821,0.306); #31525=CIRCLE('',#95822,0.1875); #31526=CIRCLE('',#95823,0.1875); #31527=CIRCLE('',#95824,0.306); #31528=CIRCLE('',#95825,0.1875); #31529=CIRCLE('',#95826,1.0605); #31530=CIRCLE('',#95827,0.18); #31531=CIRCLE('',#95828,0.21375); #31532=CIRCLE('',#95829,0.21375); #31533=CIRCLE('',#95830,0.1875); #31534=CIRCLE('',#95831,0.1875); #31535=CIRCLE('',#95836,0.25); #31536=CIRCLE('',#95837,0.25); #31537=CIRCLE('',#95840,0.25); #31538=CIRCLE('',#95841,0.1285); #31539=CIRCLE('',#95842,0.1285); #31540=CIRCLE('',#95844,0.25); #31541=CIRCLE('',#95853,0.125); #31542=CIRCLE('',#95856,0.125); #31543=CIRCLE('',#95864,0.1285); #31544=CIRCLE('',#95867,0.1285); #31545=CIRCLE('',#95869,0.2396); #31546=CIRCLE('',#95870,0.2396); #31547=CIRCLE('',#95873,0.12); #31548=CIRCLE('',#95875,0.12); #31549=CIRCLE('',#95880,0.2396); #31550=CIRCLE('',#95881,0.2396); #31551=CIRCLE('',#95885,0.12); #31552=CIRCLE('',#95887,0.12); #31553=CIRCLE('',#95894,0.2396); #31554=CIRCLE('',#95895,0.2396); #31555=CIRCLE('',#95898,0.12); #31556=CIRCLE('',#95900,0.12); #31557=CIRCLE('',#95910,0.21375); #31558=CIRCLE('',#95911,0.21375); #31559=CIRCLE('',#95914,0.21375); #31560=CIRCLE('',#95915,0.21375); #31561=CIRCLE('',#95917,0.0469999999999999); #31562=CIRCLE('',#95918,0.01); #31563=CIRCLE('',#95919,0.0568449037519986); #31564=CIRCLE('',#95920,0.047); #31565=CIRCLE('',#95921,0.000728952365605247); #31566=CIRCLE('',#95924,0.0469999999999999); #31567=CIRCLE('',#95925,0.01); #31568=CIRCLE('',#95926,0.0568449037519986); #31569=CIRCLE('',#95927,0.047); #31570=CIRCLE('',#95928,0.000728952365605247); #31571=CIRCLE('',#95931,0.0469999999999999); #31572=CIRCLE('',#95932,0.01); #31573=CIRCLE('',#95933,0.0568449037519986); #31574=CIRCLE('',#95934,0.047); #31575=CIRCLE('',#95935,0.000728952365605247); #31576=CIRCLE('',#95938,0.531); #31577=CIRCLE('',#95939,0.0568449037519986); #31578=CIRCLE('',#95941,0.0568449037519989); #31579=CIRCLE('',#95942,0.01); #31580=CIRCLE('',#95943,0.0470000000000001); #31581=CIRCLE('',#95944,0.000728952365606208); #31582=CIRCLE('',#95945,0.047); #31583=CIRCLE('',#95948,0.0568449037519989); #31584=CIRCLE('',#95949,0.01); #31585=CIRCLE('',#95950,0.0470000000000001); #31586=CIRCLE('',#95951,0.000728952365606208); #31587=CIRCLE('',#95952,0.047); #31588=CIRCLE('',#95955,0.0568449037519989); #31589=CIRCLE('',#95956,0.01); #31590=CIRCLE('',#95957,0.0470000000000001); #31591=CIRCLE('',#95958,0.000728952365606208); #31592=CIRCLE('',#95959,0.047); #31593=CIRCLE('',#95962,0.531); #31594=CIRCLE('',#95963,0.0568449037519989); #31595=CIRCLE('',#95965,0.0469999999999999); #31596=CIRCLE('',#95966,0.01); #31597=CIRCLE('',#95967,0.047); #31598=CIRCLE('',#95968,0.000728952365605247); #31599=CIRCLE('',#95971,0.01); #31600=CIRCLE('',#95972,0.0470000000000001); #31601=CIRCLE('',#95973,0.000728952365606208); #31602=CIRCLE('',#95974,0.047); #31603=CIRCLE('',#95981,0.16929095546862); #31604=CIRCLE('',#95982,0.005); #31605=CIRCLE('',#95983,0.172536656633995); #31606=CIRCLE('',#95984,0.172536656633995); #31607=CIRCLE('',#95986,0.12); #31608=CIRCLE('',#95987,0.12); #31609=CIRCLE('',#95989,1.60359953); #31610=CIRCLE('',#95992,0.12125); #31611=CIRCLE('',#95993,0.09125); #31612=CIRCLE('',#95995,0.12125); #31613=CIRCLE('',#95998,0.12); #31614=CIRCLE('',#95999,0.015); #31615=CIRCLE('',#96000,0.135); #31616=CIRCLE('',#96002,0.1775); #31617=CIRCLE('',#96005,0.1925); #31618=CIRCLE('',#96006,0.015); #31619=CIRCLE('',#96008,0.1925); #31620=CIRCLE('',#96009,0.1925); #31621=CIRCLE('',#96011,0.015); #31622=CIRCLE('',#96012,0.1775); #31623=CIRCLE('',#96014,0.13625); #31624=CIRCLE('',#96016,0.015); #31625=CIRCLE('',#96022,0.125); #31626=CIRCLE('',#96023,0.125); #31627=CIRCLE('',#96025,0.3125); #31628=CIRCLE('',#96026,0.3125); #31629=CIRCLE('',#96031,0.34497663602064); #31630=CIRCLE('',#96032,0.005); #31631=CIRCLE('',#96033,0.339976690103051); #31632=CIRCLE('',#96034,0.34497663602064); #31633=CIRCLE('',#96036,0.164); #31634=CIRCLE('',#96038,0.34); #31635=CIRCLE('',#96039,0.34); #31636=CIRCLE('',#96041,0.2325); #31637=CIRCLE('',#96042,0.005); #31638=CIRCLE('',#96043,0.2275); #31639=CIRCLE('',#96045,0.292665566676456); #31640=CIRCLE('',#96047,0.2275); #31641=CIRCLE('',#96049,0.005); #31642=CIRCLE('',#96050,0.29761498364473); #31643=CIRCLE('',#96051,0.29761498364473); #31644=CIRCLE('',#96054,0.164); #31645=CIRCLE('',#96058,0.1225); #31646=CIRCLE('',#96059,0.0925); #31647=CIRCLE('',#96061,0.1225); #31648=CIRCLE('',#96064,0.094); #31649=CIRCLE('',#96066,0.094); #31650=CIRCLE('',#96068,0.106); #31651=CIRCLE('',#96077,0.215); #31652=CIRCLE('',#96078,0.185); #31653=CIRCLE('',#96080,0.215); #31654=CIRCLE('',#96083,0.1495); #31655=CIRCLE('',#96084,0.1495); #31656=CIRCLE('',#96086,0.1545); #31657=CIRCLE('',#96088,0.1545); #31658=CIRCLE('',#96093,0.089); #31659=CIRCLE('',#96094,0.089); #31660=CIRCLE('',#96097,0.089); #31661=CIRCLE('',#96099,0.089); #31662=CIRCLE('',#96102,0.162); #31663=CIRCLE('',#96104,0.147); #31664=CIRCLE('',#96105,0.115); #31665=CIRCLE('',#96107,0.189); #31666=CIRCLE('',#96109,0.115); #31667=CIRCLE('',#96110,0.115); #31668=CIRCLE('',#96112,0.074); #31669=CIRCLE('',#96114,0.147); #31670=CIRCLE('',#96115,0.147); #31671=CIRCLE('',#96117,0.015); #31672=CIRCLE('',#96130,0.015); #31673=CIRCLE('',#96131,0.015); #31674=CIRCLE('',#96132,0.015); #31675=CIRCLE('',#96133,0.015); #31676=CIRCLE('',#96134,0.03); #31677=CIRCLE('',#96136,0.015); #31678=CIRCLE('',#96137,0.103); #31679=CIRCLE('',#96138,0.088); #31680=CIRCLE('',#96139,0.015); #31681=CIRCLE('',#96140,0.005); #31682=CIRCLE('',#96141,0.02); #31683=CIRCLE('',#96143,0.0150000000000001); #31684=CIRCLE('',#96144,0.015); #31685=CIRCLE('',#96145,0.005); #31686=CIRCLE('',#96146,0.02); #31687=CIRCLE('',#96148,0.015); #31688=CIRCLE('',#96149,0.015); #31689=CIRCLE('',#96150,0.005); #31690=CIRCLE('',#96151,0.02); #31691=CIRCLE('',#96153,0.015); #31692=CIRCLE('',#96154,0.015); #31693=CIRCLE('',#96155,0.005); #31694=CIRCLE('',#96156,0.02); #31695=CIRCLE('',#96158,0.015); #31696=CIRCLE('',#96159,0.103); #31697=CIRCLE('',#96160,0.088); #31698=CIRCLE('',#96161,0.03); #31699=CIRCLE('',#96162,0.015); #31700=CIRCLE('',#96164,0.0199999999999999); #31701=CIRCLE('',#96166,0.16786476); #31702=CIRCLE('',#96167,0.2535); #31703=CIRCLE('',#96169,0.0199999999999996); #31704=CIRCLE('',#96171,0.088); #31705=CIRCLE('',#96173,0.03); #31706=CIRCLE('',#96175,0.07875); #31707=CIRCLE('',#96178,0.015); #31708=CIRCLE('',#96179,0.015); #31709=CIRCLE('',#96180,0.0150000000000001); #31710=CIRCLE('',#96181,0.005); #31711=CIRCLE('',#96182,0.02); #31712=CIRCLE('',#96184,0.015); #31713=CIRCLE('',#96185,0.103); #31714=CIRCLE('',#96186,0.088); #31715=CIRCLE('',#96187,0.015); #31716=CIRCLE('',#96188,0.015); #31717=CIRCLE('',#96189,0.03); #31718=CIRCLE('',#96191,0.015); #31719=CIRCLE('',#96192,0.015); #31720=CIRCLE('',#96193,0.015); #31721=CIRCLE('',#96194,0.03); #31722=CIRCLE('',#96196,0.0150000000000002); #31723=CIRCLE('',#96197,0.103); #31724=CIRCLE('',#96198,0.088); #31725=CIRCLE('',#96199,0.015); #31726=CIRCLE('',#96200,0.005); #31727=CIRCLE('',#96201,0.02); #31728=CIRCLE('',#96203,0.015); #31729=CIRCLE('',#96204,0.015); #31730=CIRCLE('',#96205,0.005); #31731=CIRCLE('',#96206,0.02); #31732=CIRCLE('',#96208,0.0149999999999999); #31733=CIRCLE('',#96209,0.02); #31734=CIRCLE('',#96210,0.005); #31735=CIRCLE('',#96212,0.03); #31736=CIRCLE('',#96215,0.03); #31737=CIRCLE('',#96217,0.088); #31738=CIRCLE('',#96219,0.02); #31739=CIRCLE('',#96224,0.088); #31740=CIRCLE('',#96225,0.088); #31741=CIRCLE('',#96227,0.03); #31742=CIRCLE('',#96233,0.0199999999999999); #31743=CIRCLE('',#96235,0.02); #31744=CIRCLE('',#96236,0.2735); #31745=CIRCLE('',#96237,0.2535); #31746=CIRCLE('',#96239,0.02); #31747=CIRCLE('',#96241,0.16786476); #31748=CIRCLE('',#96244,0.02); #31749=CIRCLE('',#96247,0.03); #31750=CIRCLE('',#96248,0.088); #31751=CIRCLE('',#96249,0.02); #31752=CIRCLE('',#96251,0.0199999999999997); #31753=CIRCLE('',#96253,0.2735); #31754=CIRCLE('',#96258,0.088); #31755=CIRCLE('',#96261,0.02); #31756=CIRCLE('',#96262,0.02); #31757=CIRCLE('',#96264,0.03); #31758=CIRCLE('',#96268,0.0199999999999999); #31759=CIRCLE('',#96273,0.07875); #31760=CIRCLE('',#96283,0.01); #31761=CIRCLE('',#96284,0.01); #31762=CIRCLE('',#96286,0.25); #31763=CIRCLE('',#96287,0.25); #31764=CIRCLE('',#96289,0.01); #31765=CIRCLE('',#96290,0.01); #31766=CIRCLE('',#96292,0.01); #31767=CIRCLE('',#96293,0.2934); #31768=CIRCLE('',#96294,0.01); #31769=CIRCLE('',#96295,0.01); #31770=CIRCLE('',#96296,0.25); #31771=CIRCLE('',#96298,0.2934); #31772=CIRCLE('',#96300,0.01); #31773=CIRCLE('',#96302,0.01); #31774=CIRCLE('',#96304,0.25); #31775=CIRCLE('',#96306,0.01); #31776=CIRCLE('',#96317,0.01); #31777=CIRCLE('',#96318,0.01); #31778=CIRCLE('',#96321,0.25); #31779=CIRCLE('',#96322,0.01); #31780=CIRCLE('',#96323,0.01); #31781=CIRCLE('',#96324,0.2934); #31782=CIRCLE('',#96325,0.01); #31783=CIRCLE('',#96326,0.01); #31784=CIRCLE('',#96327,0.25); #31785=CIRCLE('',#96329,0.01); #31786=CIRCLE('',#96332,0.25); #31787=CIRCLE('',#96333,0.01); #31788=CIRCLE('',#96334,0.01); #31789=CIRCLE('',#96335,0.2934); #31790=CIRCLE('',#96336,0.01); #31791=CIRCLE('',#96337,0.25); #31792=CIRCLE('',#96350,0.01); #31793=CIRCLE('',#96351,0.25); #31794=CIRCLE('',#96352,0.01); #31795=CIRCLE('',#96353,0.01); #31796=CIRCLE('',#96354,0.2934); #31797=CIRCLE('',#96355,0.01); #31798=CIRCLE('',#96356,0.01); #31799=CIRCLE('',#96357,0.25); #31800=CIRCLE('',#96359,0.25); #31801=CIRCLE('',#96362,0.01); #31802=CIRCLE('',#96365,0.01); #31803=CIRCLE('',#96367,0.2934); #31804=CIRCLE('',#96369,0.01); #31805=CIRCLE('',#96372,0.01); #31806=CIRCLE('',#96374,0.01); #31807=CIRCLE('',#96375,0.25); #31808=CIRCLE('',#96387,0.01); #31809=CIRCLE('',#96388,0.01); #31810=CIRCLE('',#96391,0.25); #31811=CIRCLE('',#96392,0.01); #31812=CIRCLE('',#96393,0.01); #31813=CIRCLE('',#96394,0.2934); #31814=CIRCLE('',#96395,0.01); #31815=CIRCLE('',#96396,0.01); #31816=CIRCLE('',#96397,0.25); #31817=CIRCLE('',#96399,0.01); #31818=CIRCLE('',#96402,0.25); #31819=CIRCLE('',#96403,0.01); #31820=CIRCLE('',#96404,0.01); #31821=CIRCLE('',#96405,0.2934); #31822=CIRCLE('',#96406,0.01); #31823=CIRCLE('',#96407,0.25); #31824=CIRCLE('',#96422,0.01); #31825=CIRCLE('',#96423,0.01); #31826=CIRCLE('',#96425,0.25); #31827=CIRCLE('',#96426,0.25); #31828=CIRCLE('',#96428,0.25); #31829=CIRCLE('',#96429,0.01); #31830=CIRCLE('',#96430,0.01); #31831=CIRCLE('',#96431,0.2934); #31832=CIRCLE('',#96432,0.01); #31833=CIRCLE('',#96433,0.01); #31834=CIRCLE('',#96435,0.01); #31835=CIRCLE('',#96438,0.01); #31836=CIRCLE('',#96441,0.01); #31837=CIRCLE('',#96443,0.01); #31838=CIRCLE('',#96446,0.25); #31839=CIRCLE('',#96448,0.2934); #31840=CIRCLE('',#96452,0.00250000000000003); #31841=CIRCLE('',#96453,0.0025); #31842=CIRCLE('',#96455,0.00249999999999999); #31843=CIRCLE('',#96456,0.315); #31844=CIRCLE('',#96457,0.3125); #31845=CIRCLE('',#96458,0.3125); #31846=CIRCLE('',#96459,0.00250000000000002); #31847=CIRCLE('',#96461,0.00250000000000001); #31848=CIRCLE('',#96462,0.00250000000000002); #31849=CIRCLE('',#96464,0.00249999999999999); #31850=CIRCLE('',#96465,0.315); #31851=CIRCLE('',#96466,0.3125); #31852=CIRCLE('',#96467,0.3125); #31853=CIRCLE('',#96468,0.00249999999999998); #31854=CIRCLE('',#96471,0.32); #31855=CIRCLE('',#96473,0.00250000000000001); #31856=CIRCLE('',#96476,0.00249999999999999); #31857=CIRCLE('',#96478,0.3125); #31858=CIRCLE('',#96479,0.3125); #31859=CIRCLE('',#96480,0.3125); #31860=CIRCLE('',#96481,0.3125); #31861=CIRCLE('',#96482,0.3125); #31862=CIRCLE('',#96483,0.3125); #31863=CIRCLE('',#96484,0.3125); #31864=CIRCLE('',#96485,0.3125); #31865=CIRCLE('',#96486,0.3125); #31866=CIRCLE('',#96487,0.3125); #31867=CIRCLE('',#96488,0.3125); #31868=CIRCLE('',#96489,0.3125); #31869=CIRCLE('',#96491,0.00250000000000003); #31870=CIRCLE('',#96492,0.3225); #31871=CIRCLE('',#96493,0.00249999999999998); #31872=CIRCLE('',#96494,0.32); #31873=CIRCLE('',#96496,0.00250000000000001); #31874=CIRCLE('',#96497,0.00250000000000002); #31875=CIRCLE('',#96500,0.00250000000000003); #31876=CIRCLE('',#96501,0.3225); #31877=CIRCLE('',#96502,0.00249999999999997); #31878=CIRCLE('',#96505,0.00250000000000002); #31879=CIRCLE('',#96506,0.00249999999999999); #31880=CIRCLE('',#96508,0.00250000000000001); #31881=CIRCLE('',#96510,0.00249999999999999); #31882=CIRCLE('',#96515,0.0025); #31883=CIRCLE('',#96517,0.005); #31884=CIRCLE('',#96518,0.0025); #31885=CIRCLE('',#96520,0.00250000000000006); #31886=CIRCLE('',#96523,0.00250000000000012); #31887=CIRCLE('',#96525,0.0025); #31888=CIRCLE('',#96526,0.005); #31889=CIRCLE('',#96528,0.24925); #31890=CIRCLE('',#96531,0.00250000000000012); #31891=CIRCLE('',#96532,0.0025); #31892=CIRCLE('',#96534,0.0025); #31893=CIRCLE('',#96536,0.31); #31894=CIRCLE('',#96537,0.0025); #31895=CIRCLE('',#96539,0.25175); #31896=CIRCLE('',#96540,0.0025); #31897=CIRCLE('',#96542,0.0025); #31898=CIRCLE('',#96544,0.00249999999999997); #31899=CIRCLE('',#96547,0.24925); #31900=CIRCLE('',#96548,0.24925); #31901=CIRCLE('',#96554,0.325); #31902=CIRCLE('',#96555,0.313); #31903=CIRCLE('',#96557,0.313); #31904=CIRCLE('',#96558,0.313); #31905=CIRCLE('',#96559,0.313); #31906=CIRCLE('',#96560,0.313); #31907=CIRCLE('',#96561,0.313); #31908=CIRCLE('',#96562,0.313); #31909=CIRCLE('',#96563,0.313); #31910=CIRCLE('',#96564,0.313); #31911=CIRCLE('',#96565,0.313); #31912=CIRCLE('',#96566,0.313); #31913=CIRCLE('',#96567,0.313); #31914=CIRCLE('',#96568,0.313); #31915=CIRCLE('',#96570,0.325); #31916=CIRCLE('',#96571,0.015); #31917=CIRCLE('',#96572,0.015); #31918=CIRCLE('',#96574,0.015); #31919=CIRCLE('',#96575,0.0882499999999999); #31920=CIRCLE('',#96576,0.0882499999999999); #31921=CIRCLE('',#96577,0.015); #31922=CIRCLE('',#96578,0.10325); #31923=CIRCLE('',#96579,0.00249999999999999); #31924=CIRCLE('',#96581,0.24675); #31925=CIRCLE('',#96582,0.0025); #31926=CIRCLE('',#96583,0.24925); #31927=CIRCLE('',#96584,0.24925); #31928=CIRCLE('',#96587,0.0783933982822018); #31929=CIRCLE('',#96588,0.015); #31930=CIRCLE('',#96589,0.074); #31931=CIRCLE('',#96590,0.074); #31932=CIRCLE('',#96591,0.0783933982822018); #31933=CIRCLE('',#96593,0.0838566017177982); #31934=CIRCLE('',#96594,0.0838566017177982); #31935=CIRCLE('',#96596,0.074); #31936=CIRCLE('',#96598,0.015); #31937=CIRCLE('',#96599,0.0882499999999999); #31938=CIRCLE('',#96600,0.0882499999999999); #31939=CIRCLE('',#96603,0.24925); #31940=CIRCLE('',#96604,0.0025); #31941=CIRCLE('',#96605,0.24675); #31942=CIRCLE('',#96606,0.24925); #31943=CIRCLE('',#96608,0.223); #31944=CIRCLE('',#96610,0.24925); #31945=CIRCLE('',#96611,0.24925); #31946=CIRCLE('',#96612,0.24925); #31947=CIRCLE('',#96613,0.24925); #31948=CIRCLE('',#96614,0.24925); #31949=CIRCLE('',#96615,0.24925); #31950=CIRCLE('',#96616,0.24925); #31951=CIRCLE('',#96617,0.24925); #31952=CIRCLE('',#96618,0.24925); #31953=CIRCLE('',#96619,0.24925); #31954=CIRCLE('',#96620,0.24925); #31955=CIRCLE('',#96621,0.24925); #31956=CIRCLE('',#96622,0.24925); #31957=CIRCLE('',#96623,0.24925); #31958=CIRCLE('',#96624,0.24925); #31959=CIRCLE('',#96625,0.24925); #31960=CIRCLE('',#96626,0.24925); #31961=CIRCLE('',#96627,0.24925); #31962=CIRCLE('',#96628,0.24925); #31963=CIRCLE('',#96629,0.24925); #31964=CIRCLE('',#96630,0.24925); #31965=CIRCLE('',#96631,0.24925); #31966=CIRCLE('',#96632,0.24925); #31967=CIRCLE('',#96633,0.24925); #31968=CIRCLE('',#96634,0.24925); #31969=CIRCLE('',#96635,0.24925); #31970=CIRCLE('',#96636,0.24925); #31971=CIRCLE('',#96637,0.24925); #31972=CIRCLE('',#96638,0.24925); #31973=CIRCLE('',#96639,0.24925); #31974=CIRCLE('',#96640,0.24925); #31975=CIRCLE('',#96641,0.24925); #31976=CIRCLE('',#96642,0.24925); #31977=CIRCLE('',#96643,0.24925); #31978=CIRCLE('',#96644,0.24925); #31979=CIRCLE('',#96645,0.24925); #31980=CIRCLE('',#96646,0.24925); #31981=CIRCLE('',#96647,0.24925); #31982=CIRCLE('',#96648,0.24925); #31983=CIRCLE('',#96649,0.24925); #31984=CIRCLE('',#96650,0.24925); #31985=CIRCLE('',#96651,0.24925); #31986=CIRCLE('',#96652,0.24925); #31987=CIRCLE('',#96653,0.24925); #31988=CIRCLE('',#96654,0.24925); #31989=CIRCLE('',#96655,0.24925); #31990=CIRCLE('',#96656,0.24925); #31991=CIRCLE('',#96657,0.24925); #31992=CIRCLE('',#96658,0.24925); #31993=CIRCLE('',#96659,0.24925); #31994=CIRCLE('',#96661,0.24925); #31995=CIRCLE('',#96662,0.0025); #31996=CIRCLE('',#96663,0.24675); #31997=CIRCLE('',#96665,0.223); #31998=CIRCLE('',#96668,0.0025); #31999=CIRCLE('',#96669,0.0715); #32000=CIRCLE('',#96674,0.005); #32001=CIRCLE('',#96675,0.005); #32002=CIRCLE('',#96677,0.20925); #32003=CIRCLE('',#96678,0.20925); #32004=CIRCLE('',#96680,0.005); #32005=CIRCLE('',#96681,0.005); #32006=CIRCLE('',#96739,0.03); #32007=CIRCLE('',#96771,0.03565); #32008=CIRCLE('',#96774,0.03565); #32009=CIRCLE('',#96777,0.03565); #32010=CIRCLE('',#96780,0.03565); #32011=CIRCLE('',#96783,0.03565); #32012=CIRCLE('',#96805,0.325); #32013=CIRCLE('',#96806,0.325); #32014=CIRCLE('',#96807,0.313); #32015=CIRCLE('',#96813,0.325); #32016=CIRCLE('',#96818,0.325); #32017=CIRCLE('',#96820,0.325); #32018=CIRCLE('',#96822,0.325); #32019=CIRCLE('',#96824,0.325); #32020=CIRCLE('',#96825,0.325); #32021=CIRCLE('',#96826,0.325); #32022=CIRCLE('',#96827,0.325); #32023=CIRCLE('',#96828,0.325); #32024=CIRCLE('',#96830,0.313); #32025=CIRCLE('',#96841,0.308); #32026=CIRCLE('',#96842,0.3075); #32027=CIRCLE('',#96844,0.00500000000000028); #32028=CIRCLE('',#96846,0.308); #32029=CIRCLE('',#96848,0.017); #32030=CIRCLE('',#96858,0.005); #32031=CIRCLE('',#96860,0.0075); #32032=CIRCLE('',#96861,0.01); #32033=CIRCLE('',#96862,0.1857); #32034=CIRCLE('',#96863,0.24925); #32035=CIRCLE('',#96864,0.1857); #32036=CIRCLE('',#96865,0.01); #32037=CIRCLE('',#96866,0.00749999999999996); #32038=CIRCLE('',#96867,0.2455); #32039=CIRCLE('',#96871,0.01); #32040=CIRCLE('',#96874,0.1857); #32041=CIRCLE('',#96876,0.24925); #32042=CIRCLE('',#96878,0.1857); #32043=CIRCLE('',#96881,0.01); #32044=CIRCLE('',#96885,0.00749999999999996); #32045=CIRCLE('',#96887,0.2455); #32046=CIRCLE('',#96889,0.0075); #32047=CIRCLE('',#96893,0.0295); #32048=CIRCLE('',#96894,0.193); #32049=CIRCLE('',#96899,0.113625); #32050=CIRCLE('',#96900,0.098); #32051=CIRCLE('',#96901,0.098); #32052=CIRCLE('',#96903,0.098); #32053=CIRCLE('',#96905,0.175313831966935); #32054=CIRCLE('',#96907,0.128); #32055=CIRCLE('',#96909,0.205313831966935); #32056=CIRCLE('',#96911,0.205313831966935); #32057=CIRCLE('',#96912,0.03); #32058=CIRCLE('',#96914,0.205313831966935); #32059=CIRCLE('',#96915,0.205313831966935); #32060=CIRCLE('',#97154,0.1875); #32061=CIRCLE('',#97155,0.1875); #32062=CIRCLE('',#97157,0.125); #32063=CIRCLE('',#97158,0.2296); #32064=CIRCLE('',#97160,0.1875); #32065=CIRCLE('',#97161,0.1875); #32066=CIRCLE('',#97163,0.2296); #32067=CIRCLE('',#97164,0.125); #32068=CIRCLE('',#97166,0.125); #32069=CIRCLE('',#97167,0.125); #32070=CIRCLE('',#97170,0.125); #32071=CIRCLE('',#97171,0.125); #32072=CIRCLE('',#97173,0.125); #32073=CIRCLE('',#97174,0.125); #32074=CIRCLE('',#97177,0.125); #32075=CIRCLE('',#97178,0.125); #32076=CIRCLE('',#97180,0.125); #32077=CIRCLE('',#97183,0.125); #32078=CIRCLE('',#97186,0.125); #32079=CIRCLE('',#97189,0.125); #32080=CIRCLE('',#97192,1.43526107); #32081=CIRCLE('',#97193,1.43526107); #32082=CIRCLE('',#97196,1.43526107); #32083=CIRCLE('',#97197,1.43526107); #32084=CIRCLE('',#97199,0.125); #32085=CIRCLE('',#97200,0.2296); #32086=CIRCLE('',#97202,1.43526107); #32087=CIRCLE('',#97203,1.43526107); #32088=CIRCLE('',#97205,0.229599999999999); #32089=CIRCLE('',#97206,0.124999999999999); #32090=CIRCLE('',#97208,1.43526107); #32091=CIRCLE('',#97209,1.43526107); #32092=CIRCLE('',#97211,0.384458196424918); #32093=CIRCLE('',#97212,0.384458196424918); #32094=CIRCLE('',#97214,0.384458196424917); #32095=CIRCLE('',#97215,0.384458196424917); #32096=CIRCLE('',#97218,0.125); #32097=CIRCLE('',#97220,0.125); #32098=CIRCLE('',#97222,0.1405); #32099=CIRCLE('',#97225,0.125); #32100=CIRCLE('',#97228,0.2296); #32101=CIRCLE('',#97230,0.1405); #32102=CIRCLE('',#97235,0.2296); #32103=CIRCLE('',#97238,0.2296); #32104=CIRCLE('',#97241,0.2296); #32105=CIRCLE('',#97243,0.125); #32106=CIRCLE('',#97246,0.1405); #32107=CIRCLE('',#97256,0.1405); #32108=CIRCLE('',#97259,0.2296); #32109=CIRCLE('',#97261,0.2296); #32110=CIRCLE('',#97266,0.125); #32111=CIRCLE('',#97270,0.125); #32112=CIRCLE('',#97276,0.0385); #32113=CIRCLE('',#97279,0.0385); #32114=CIRCLE('',#97281,0.01); #32115=CIRCLE('',#97282,0.01); #32116=CIRCLE('',#97284,0.00999999999999999); #32117=CIRCLE('',#97285,0.21639063905992); #32118=CIRCLE('',#97286,0.226390258290562); #32119=CIRCLE('',#97287,0.00999999999999999); #32120=CIRCLE('',#97289,0.01); #32121=CIRCLE('',#97290,0.01); #32122=CIRCLE('',#97292,0.00999999999999999); #32123=CIRCLE('',#97293,0.21639063905992); #32124=CIRCLE('',#97294,0.226390258290562); #32125=CIRCLE('',#97295,0.00999999999999999); #32126=CIRCLE('',#97298,0.213838030231123); #32127=CIRCLE('',#97301,0.296567461133379); #32128=CIRCLE('',#97302,0.296567461133379); #32129=CIRCLE('',#97303,0.01); #32130=CIRCLE('',#97304,0.01); #32131=CIRCLE('',#97306,0.00999999999999999); #32132=CIRCLE('',#97307,0.213838030231123); #32133=CIRCLE('',#97308,0.223837649461765); #32134=CIRCLE('',#97309,0.00999999999999999); #32135=CIRCLE('',#97311,0.00999999999999999); #32136=CIRCLE('',#97312,0.00999999999999999); #32137=CIRCLE('',#97314,0.00999999999999999); #32138=CIRCLE('',#97315,0.223837649461765); #32139=CIRCLE('',#97316,0.00999999999999999); #32140=CIRCLE('',#97320,0.26242514342387); #32141=CIRCLE('',#97321,0.27352438789405); #32142=CIRCLE('',#97322,0.262425143423877); #32143=CIRCLE('',#97323,0.27352438789405); #32144=CIRCLE('',#97325,0.01); #32145=CIRCLE('',#97326,0.01); #32146=CIRCLE('',#97327,0.00999999999999999); #32147=CIRCLE('',#97328,0.01); #32148=CIRCLE('',#97330,0.0100000000000001); #32149=CIRCLE('',#97331,0.470086507124692); #32150=CIRCLE('',#97332,0.46008688789405); #32151=CIRCLE('',#97333,0.00999999999999999); #32152=CIRCLE('',#97334,0.01); #32153=CIRCLE('',#97335,0.01); #32154=CIRCLE('',#97337,0.00999999999999994); #32155=CIRCLE('',#97338,0.00999999999999999); #32156=CIRCLE('',#97339,0.0100000000000001); #32157=CIRCLE('',#97341,0.46008688789405); #32158=CIRCLE('',#97342,0.37615739210595); #32159=CIRCLE('',#97343,0.388761386613517); #32160=CIRCLE('',#97344,0.37615739210595); #32161=CIRCLE('',#97345,0.388761386613517); #32162=CIRCLE('',#97346,0.00999999999999999); #32163=CIRCLE('',#97347,0.00999999999999998); #32164=CIRCLE('',#97348,0.00999999999999999); #32165=CIRCLE('',#97350,0.01); #32166=CIRCLE('',#97351,0.01); #32167=CIRCLE('',#97352,0.01); #32168=CIRCLE('',#97354,0.01); #32169=CIRCLE('',#97355,0.283524007124692); #32170=CIRCLE('',#97356,0.00999999999484997); #32171=CIRCLE('',#97357,0.0100000000000001); #32172=CIRCLE('',#97358,0.01); #32173=CIRCLE('',#97359,0.00999999999999998); #32174=CIRCLE('',#97360,0.01); #32175=CIRCLE('',#97361,0.00999999999999999); #32176=CIRCLE('',#97363,0.283778950268511); #32177=CIRCLE('',#97364,0.01); #32178=CIRCLE('',#97365,0.00999999999999999); #32179=CIRCLE('',#97367,0.01); #32180=CIRCLE('',#97368,0.01); #32181=CIRCLE('',#97369,0.01); #32182=CIRCLE('',#97370,0.00999999999999995); #32183=CIRCLE('',#97372,0.01); #32184=CIRCLE('',#97373,0.283524007124692); #32185=CIRCLE('',#97374,0.0100000000000582); #32186=CIRCLE('',#97375,0.0100000000000002); #32187=CIRCLE('',#97376,0.01); #32188=CIRCLE('',#97377,0.01); #32189=CIRCLE('',#97378,0.01); #32190=CIRCLE('',#97379,0.00999999999999994); #32191=CIRCLE('',#97381,0.283778950268511); #32192=CIRCLE('',#97382,0.00999999999999999); #32193=CIRCLE('',#97383,0.00999999999999996); #32194=CIRCLE('',#97385,0.01); #32195=CIRCLE('',#97387,0.0100000000000001); #32196=CIRCLE('',#97388,0.480413424745424); #32197=CIRCLE('',#97389,0.00999999999999999); #32198=CIRCLE('',#97390,0.470413805514782); #32199=CIRCLE('',#97392,0.01); #32200=CIRCLE('',#97393,0.00999999999999995); #32201=CIRCLE('',#97395,0.01); #32202=CIRCLE('',#97397,0.01); #32203=CIRCLE('',#97398,0.549586575254576); #32204=CIRCLE('',#97399,0.00999999999999995); #32205=CIRCLE('',#97400,0.559586194485217); #32206=CIRCLE('',#97402,0.01); #32207=CIRCLE('',#97404,0.01); #32208=CIRCLE('',#97405,0.00999999999999995); #32209=CIRCLE('',#97407,0.01); #32210=CIRCLE('',#97409,0.01); #32211=CIRCLE('',#97410,0.01); #32212=CIRCLE('',#97412,0.562892535990877); #32213=CIRCLE('',#97413,0.00999999999999989); #32214=CIRCLE('',#97416,0.00750000000000001); #32215=CIRCLE('',#97417,0.00750000000000002); #32216=CIRCLE('',#97418,0.00749999999999997); #32217=CIRCLE('',#97419,0.0075); #32218=CIRCLE('',#97420,0.00750000000000005); #32219=CIRCLE('',#97421,0.00749999999999996); #32220=CIRCLE('',#97422,0.0075); #32221=CIRCLE('',#97423,0.0075); #32222=CIRCLE('',#97426,0.36758249789405); #32223=CIRCLE('',#97427,0.377582117124692); #32224=CIRCLE('',#97430,0.28335061210595); #32225=CIRCLE('',#97431,0.273350992875308); #32226=CIRCLE('',#97433,0.00750000000000004); #32227=CIRCLE('',#97435,0.378761767382876); #32228=CIRCLE('',#97437,0.00749999999999999); #32229=CIRCLE('',#97438,0.00749999999999999); #32230=CIRCLE('',#97439,0.0075); #32231=CIRCLE('',#97440,0.0075); #32232=CIRCLE('',#97441,0.00749999999999999); #32233=CIRCLE('',#97442,0.00749999999999999); #32234=CIRCLE('',#97443,0.0075); #32235=CIRCLE('',#97444,0.0075); #32236=CIRCLE('',#97447,0.36758249789405); #32237=CIRCLE('',#97448,0.377582117124692); #32238=CIRCLE('',#97451,0.283350612105956); #32239=CIRCLE('',#97452,0.273350992875314); #32240=CIRCLE('',#97454,0.00750000000000004); #32241=CIRCLE('',#97456,0.378761767382876); #32242=CIRCLE('',#97459,0.470086507124692); #32243=CIRCLE('',#97461,0.472892535990877); #32244=CIRCLE('',#97463,0.01); #32245=CIRCLE('',#97464,0.01); #32246=CIRCLE('',#97466,0.00999999999999996); #32247=CIRCLE('',#97467,0.272424762654512); #32248=CIRCLE('',#97471,0.01); #32249=CIRCLE('',#97472,0.01); #32250=CIRCLE('',#97474,0.01); #32251=CIRCLE('',#97475,0.272424762654519); #32252=CIRCLE('',#97478,0.0100000000000012); #32253=CIRCLE('',#97479,0.00999999999999999); #32254=CIRCLE('',#97480,0.01); #32255=CIRCLE('',#97481,0.00999999999999999); #32256=CIRCLE('',#97482,0.00999999999999999); #32257=CIRCLE('',#97484,0.00999999999999999); #32258=CIRCLE('',#97485,0.01); #32259=CIRCLE('',#97486,0.01); #32260=CIRCLE('',#97487,0.0100000000000006); #32261=CIRCLE('',#97488,0.01); #32262=CIRCLE('',#97490,0.00999999999999997); #32263=CIRCLE('',#97491,0.356156630538235); #32264=CIRCLE('',#97492,0.366156249768877); #32265=CIRCLE('',#97493,0.0100000000000012); #32266=CIRCLE('',#97494,0.00999999999999998); #32267=CIRCLE('',#97495,0.00999999999999999); #32268=CIRCLE('',#97496,0.00999999999999999); #32269=CIRCLE('',#97498,0.00999999999999999); #32270=CIRCLE('',#97499,0.01); #32271=CIRCLE('',#97500,0.01); #32272=CIRCLE('',#97501,0.0100000000000006); #32273=CIRCLE('',#97502,0.01); #32274=CIRCLE('',#97504,0.356156630538235); #32275=CIRCLE('',#97505,0.366156249768877); #32276=CIRCLE('',#97507,0.0075); #32277=CIRCLE('',#97510,0.293778569499153); #32278=CIRCLE('',#97512,0.366157772875308); #32279=CIRCLE('',#97516,0.293778569499153); #32280=CIRCLE('',#97519,0.366157772875308); #32281=CIRCLE('',#97521,0.0075); #32282=CIRCLE('',#97523,0.37222154344807); #32283=CIRCLE('',#97524,0.01); #32284=CIRCLE('',#97525,0.382221162678711); #32285=CIRCLE('',#97526,0.382221162678711); #32286=CIRCLE('',#97528,0.317236168958542); #32287=CIRCLE('',#97529,0.317236168958542); #32288=CIRCLE('',#97531,0.382890632042592); #32289=CIRCLE('',#97532,0.382890632042592); #32290=CIRCLE('',#97533,0.01); #32291=CIRCLE('',#97534,0.01); #32292=CIRCLE('',#97536,0.01); #32293=CIRCLE('',#97537,0.307236549727901); #32294=CIRCLE('',#97538,0.01); #32295=CIRCLE('',#97540,0.01); #32296=CIRCLE('',#97541,0.01); #32297=CIRCLE('',#97543,0.01); #32298=CIRCLE('',#97544,0.307236549727901); #32299=CIRCLE('',#97545,0.01); #32300=CIRCLE('',#97548,0.30656708036402); #32301=CIRCLE('',#97549,0.01); #32302=CIRCLE('',#97551,0.00999999999999998); #32303=CIRCLE('',#97553,0.00999999999999995); #32304=CIRCLE('',#97554,0.00999999999999998); #32305=CIRCLE('',#97555,0.552892916760235); #32306=CIRCLE('',#97557,0.00999999999999995); #32307=CIRCLE('',#97558,0.01); #32308=CIRCLE('',#97559,0.462892916760235); #32309=CIRCLE('',#97560,0.01); #32310=CIRCLE('',#97562,0.01); #32311=CIRCLE('',#97564,0.01); #32312=CIRCLE('',#97565,0.00999999999999999); #32313=CIRCLE('',#97569,0.392890251273233); #32314=CIRCLE('',#97571,0.01); #32315=CIRCLE('',#97573,0.00999999999999995); #32316=CIRCLE('',#97574,0.01); #32317=CIRCLE('',#97576,0.01); #32318=CIRCLE('',#97577,0.00999999999999998); #32319=CIRCLE('',#97579,0.00999999999999995); #32320=CIRCLE('',#97580,0.30656708036402); #32321=CIRCLE('',#97581,0.01); #32322=CIRCLE('',#97583,0.01); #32323=CIRCLE('',#97584,0.00999999999999998); #32324=CIRCLE('',#97587,0.00999999999999998); #32325=CIRCLE('',#97589,0.01); #32326=CIRCLE('',#97601,0.125455975517253); #32327=CIRCLE('',#97607,0.125455975517253); #32328=CIRCLE('',#97612,0.125455975517253); #32329=CIRCLE('',#97617,0.125455975517253); #32330=CIRCLE('',#97622,0.125455975517253); #32331=CIRCLE('',#97627,0.125455975517253); #32332=CIRCLE('',#97632,0.125455975517253); #32333=CIRCLE('',#97637,0.125455975517253); #32334=CIRCLE('',#97642,0.125455975517253); #32335=CIRCLE('',#97647,0.125455975517253); #32336=CIRCLE('',#97651,0.125455975517253); #32337=CIRCLE('',#97655,0.125455975517253); #32338=CIRCLE('',#97659,0.125455975517253); #32339=CIRCLE('',#97663,0.125455975517253); #32340=CIRCLE('',#97667,0.125455975517253); #32341=CIRCLE('',#97671,0.125455975517253); #32342=CIRCLE('',#97675,0.125455975517253); #32343=CIRCLE('',#97687,0.125); #32344=CIRCLE('',#97688,0.125455975517253); #32345=CIRCLE('',#97690,0.125); #32346=CIRCLE('',#97692,0.125); #32347=CIRCLE('',#97694,0.125); #32348=CIRCLE('',#97696,0.125); #32349=CIRCLE('',#97698,0.125); #32350=CIRCLE('',#97700,0.125); #32351=CIRCLE('',#97702,0.125); #32352=CIRCLE('',#97704,0.125); #32353=CIRCLE('',#97706,0.125); #32354=CIRCLE('',#97708,0.125); #32355=CIRCLE('',#97710,0.125); #32356=CIRCLE('',#97712,0.125); #32357=CIRCLE('',#97714,0.125); #32358=CIRCLE('',#97716,0.125); #32359=CIRCLE('',#97718,0.125); #32360=CIRCLE('',#97720,0.125); #32361=CIRCLE('',#97725,0.005); #32362=CIRCLE('',#97726,0.00500000000000002); #32363=CIRCLE('',#97728,0.005); #32364=CIRCLE('',#97729,0.00500000000000002); #32365=CIRCLE('',#97731,0.005); #32366=CIRCLE('',#97732,0.00500000000000002); #32367=CIRCLE('',#97734,0.005); #32368=CIRCLE('',#97736,0.00499999999999999); #32369=CIRCLE('',#97737,0.00500000000000002); #32370=CIRCLE('',#97739,0.005); #32371=CIRCLE('',#97741,0.005); #32372=CIRCLE('',#97743,0.005); #32373=CIRCLE('',#97746,0.00499999999999997); #32374=CIRCLE('',#97747,0.00499999999999999); #32375=CIRCLE('',#97749,0.00500000000000002); #32376=CIRCLE('',#97750,0.01); #32377=CIRCLE('',#97752,0.005); #32378=CIRCLE('',#97753,0.01); #32379=CIRCLE('',#97755,0.00499999999999997); #32380=CIRCLE('',#97757,0.00499999999999998); #32381=CIRCLE('',#97759,0.00500000000000002); #32382=CIRCLE('',#97760,0.01); #32383=CIRCLE('',#97762,0.00500000000000001); #32384=CIRCLE('',#97763,0.01); #32385=CIRCLE('',#97766,0.1875); #32386=CIRCLE('',#97767,0.1875); #32387=CIRCLE('',#97768,0.1875); #32388=CIRCLE('',#97769,0.1875); #32389=CIRCLE('',#97770,0.1875); #32390=CIRCLE('',#97771,0.1875); #32391=CIRCLE('',#97772,0.01); #32392=CIRCLE('',#97773,0.01); #32393=CIRCLE('',#97774,0.01); #32394=CIRCLE('',#97775,0.01); #32395=CIRCLE('',#97776,0.01); #32396=CIRCLE('',#97777,0.01); #32397=CIRCLE('',#97778,0.01); #32398=CIRCLE('',#97779,0.01); #32399=CIRCLE('',#97781,0.005); #32400=CIRCLE('',#97782,0.00500000000000002); #32401=CIRCLE('',#97784,0.005); #32402=CIRCLE('',#97785,0.00500000000000002); #32403=CIRCLE('',#97787,0.00500000000000001); #32404=CIRCLE('',#97788,0.00500000000000002); #32405=CIRCLE('',#97790,0.005); #32406=CIRCLE('',#97792,0.00499999999999999); #32407=CIRCLE('',#97793,0.00500000000000002); #32408=CIRCLE('',#97795,0.005); #32409=CIRCLE('',#97797,0.005); #32410=CIRCLE('',#97799,0.005); #32411=CIRCLE('',#97802,0.00499999999999997); #32412=CIRCLE('',#97803,0.005); #32413=CIRCLE('',#97805,0.00500000000000002); #32414=CIRCLE('',#97807,0.00499999999999999); #32415=CIRCLE('',#97809,0.00499999999999997); #32416=CIRCLE('',#97811,0.00500000000000001); #32417=CIRCLE('',#97813,0.00500000000000002); #32418=CIRCLE('',#97815,0.005); #32419=CIRCLE('',#97818,0.00499999999999999); #32420=CIRCLE('',#97819,0.00500000000000002); #32421=CIRCLE('',#97821,0.005); #32422=CIRCLE('',#97822,0.00500000000000002); #32423=CIRCLE('',#97824,0.00499999999999999); #32424=CIRCLE('',#97825,0.00500000000000002); #32425=CIRCLE('',#97827,0.005); #32426=CIRCLE('',#97829,0.005); #32427=CIRCLE('',#97830,0.00500000000000002); #32428=CIRCLE('',#97832,0.005); #32429=CIRCLE('',#97834,0.005); #32430=CIRCLE('',#97836,0.005); #32431=CIRCLE('',#97839,0.005); #32432=CIRCLE('',#97840,0.00500000000000002); #32433=CIRCLE('',#97842,0.005); #32434=CIRCLE('',#97844,0.00500000000000002); #32435=CIRCLE('',#97846,0.00499999999999999); #32436=CIRCLE('',#97848,0.00500000000000002); #32437=CIRCLE('',#97850,0.00499999999999999); #32438=CIRCLE('',#97852,0.00500000000000002); #32439=CIRCLE('',#97855,0.0943275000000001); #32440=CIRCLE('',#97856,0.125); #32441=CIRCLE('',#97857,0.125); #32442=CIRCLE('',#97859,0.125); #32443=CIRCLE('',#97874,0.28); #32444=CIRCLE('',#97875,0.28); #32445=CIRCLE('',#97876,0.125); #32446=CIRCLE('',#97891,0.2755); #32447=CIRCLE('',#97892,0.2755); #32448=CIRCLE('',#97893,0.2755); #32449=CIRCLE('',#97894,0.2755); #32450=CIRCLE('',#97895,0.2755); #32451=CIRCLE('',#97896,0.2755); #32452=CIRCLE('',#97897,0.2755); #32453=CIRCLE('',#97898,0.2755); #32454=CIRCLE('',#97899,0.2755); #32455=CIRCLE('',#97900,0.2755); #32456=CIRCLE('',#97901,0.2755); #32457=CIRCLE('',#97902,0.2755); #32458=CIRCLE('',#97903,0.2065); #32459=CIRCLE('',#97904,0.2065); #32460=CIRCLE('',#97905,0.2065); #32461=CIRCLE('',#97906,0.2065); #32462=CIRCLE('',#97907,0.2065); #32463=CIRCLE('',#97908,0.2065); #32464=CIRCLE('',#97909,0.2065); #32465=CIRCLE('',#97910,0.2065); #32466=CIRCLE('',#97911,0.2065); #32467=CIRCLE('',#97912,0.2065); #32468=CIRCLE('',#97913,0.2065); #32469=CIRCLE('',#97914,0.2065); #32470=CIRCLE('',#97916,0.2065); #32471=CIRCLE('',#97918,0.2065); #32472=CIRCLE('',#97920,0.2065); #32473=CIRCLE('',#97922,0.2065); #32474=CIRCLE('',#97924,0.2065); #32475=CIRCLE('',#97926,0.2065); #32476=CIRCLE('',#97928,0.2065); #32477=CIRCLE('',#97930,0.2065); #32478=CIRCLE('',#97932,0.2065); #32479=CIRCLE('',#97934,0.2065); #32480=CIRCLE('',#97936,0.2065); #32481=CIRCLE('',#97940,0.2065); #32482=CIRCLE('',#97943,0.0793744944475091); #32483=CIRCLE('',#97944,0.138309752067995); #32484=CIRCLE('',#97948,0.0793744944475091); #32485=CIRCLE('',#97949,0.138309752067995); #32486=CIRCLE('',#97953,0.0793744944475091); #32487=CIRCLE('',#97954,0.138309752067995); #32488=CIRCLE('',#97958,0.0793744944475091); #32489=CIRCLE('',#97959,0.138309752067995); #32490=CIRCLE('',#97963,0.0793744944475091); #32491=CIRCLE('',#97964,0.138309752067995); #32492=CIRCLE('',#97968,0.0793744944475091); #32493=CIRCLE('',#97969,0.138309752067995); #32494=CIRCLE('',#97973,0.0793744944475091); #32495=CIRCLE('',#97974,0.138309752067995); #32496=CIRCLE('',#97978,0.0793744944475091); #32497=CIRCLE('',#97979,0.138309752067995); #32498=CIRCLE('',#97983,0.0793744944475091); #32499=CIRCLE('',#97984,0.138309752067995); #32500=CIRCLE('',#97988,0.0793744944475091); #32501=CIRCLE('',#97989,0.138309752067995); #32502=CIRCLE('',#97993,0.0793744944475091); #32503=CIRCLE('',#97994,0.138309752067995); #32504=CIRCLE('',#97997,0.2755); #32505=CIRCLE('',#97999,0.2755); #32506=CIRCLE('',#98001,0.2755); #32507=CIRCLE('',#98003,0.2755); #32508=CIRCLE('',#98005,0.2755); #32509=CIRCLE('',#98007,0.2755); #32510=CIRCLE('',#98009,0.2755); #32511=CIRCLE('',#98011,0.2755); #32512=CIRCLE('',#98013,0.2755); #32513=CIRCLE('',#98015,0.2755); #32514=CIRCLE('',#98017,0.2755); #32515=CIRCLE('',#98020,0.0793744944475091); #32516=CIRCLE('',#98021,0.138309752067995); #32517=CIRCLE('',#98024,0.2755); #32518=CIRCLE('',#98385,0.00999999999999979); #32519=CIRCLE('',#98386,4.30986110105867); #32520=CIRCLE('',#98387,0.00999999999999978); #32521=CIRCLE('',#98388,4.31019088680522); #32522=CIRCLE('',#98390,4.31078821521769); #32523=CIRCLE('',#98392,0.00999999999999837); #32524=CIRCLE('',#98393,0.00999999999999836); #32525=CIRCLE('',#98394,4.3120787341221); #32526=CIRCLE('',#98396,0.0937500000000001); #32527=CIRCLE('',#98397,0.09375); #32528=CIRCLE('',#98398,4.47537436523895); #32529=CIRCLE('',#98400,0.0100000000000061); #32530=CIRCLE('',#98401,0.0100000000000061); #32531=CIRCLE('',#98402,4.47666488414335); #32532=CIRCLE('',#98404,4.47726221255583); #32533=CIRCLE('',#98406,0.00999999999999977); #32534=CIRCLE('',#98407,0.00999999999999976); #32535=CIRCLE('',#98408,4.47759199830237); #32536=CIRCLE('',#98410,0.01); #32537=CIRCLE('',#98411,0.01); #32538=CIRCLE('',#98412,4.47678134274517); #32539=CIRCLE('',#98414,0.0937500000000022); #32540=CIRCLE('',#98415,0.0937500000000022); #32541=CIRCLE('',#98416,4.31067175661588); #32542=CIRCLE('',#98418,0.01); #32543=CIRCLE('',#98419,0.01); #32544=CIRCLE('',#98421,0.00999999999999979); #32545=CIRCLE('',#98422,1.02342594472298); #32546=CIRCLE('',#98423,1.02375573046952); #32547=CIRCLE('',#98425,1.024353058882); #32548=CIRCLE('',#98427,0.00999999999999837); #32549=CIRCLE('',#98428,1.02564357778641); #32550=CIRCLE('',#98430,0.0937500000000001); #32551=CIRCLE('',#98431,1.18893920890326); #32552=CIRCLE('',#98433,0.0100000000000061); #32553=CIRCLE('',#98434,1.19022972780767); #32554=CIRCLE('',#98436,1.19082705622014); #32555=CIRCLE('',#98438,0.00999999999999977); #32556=CIRCLE('',#98439,1.19115684196668); #32557=CIRCLE('',#98441,0.01); #32558=CIRCLE('',#98442,1.19034618640948); #32559=CIRCLE('',#98444,0.0937500000000022); #32560=CIRCLE('',#98445,1.02423660028018); #32561=CIRCLE('',#98447,0.01); #32562=CIRCLE('',#98449,0.00999999999999979); #32563=CIRCLE('',#98450,8.34723597745454); #32564=CIRCLE('',#98451,8.34756576320103); #32565=CIRCLE('',#98453,8.34816309161351); #32566=CIRCLE('',#98455,0.00999999999999837); #32567=CIRCLE('',#98456,8.34945361051795); #32568=CIRCLE('',#98458,0.0937500000000001); #32569=CIRCLE('',#98459,8.51274924163479); #32570=CIRCLE('',#98461,0.0100000000000061); #32571=CIRCLE('',#98462,8.51403976053919); #32572=CIRCLE('',#98464,8.51463708895169); #32573=CIRCLE('',#98466,0.00999999999999977); #32574=CIRCLE('',#98467,8.51496687469822); #32575=CIRCLE('',#98469,0.01); #32576=CIRCLE('',#98470,8.51415621914101); #32577=CIRCLE('',#98472,0.0937500000000022); #32578=CIRCLE('',#98473,8.34804663301171); #32579=CIRCLE('',#98475,0.01); #32580=CIRCLE('',#98477,0.00999999999999978); #32581=CIRCLE('',#98478,0.934861101058803); #32582=CIRCLE('',#98479,0.935190886805345); #32583=CIRCLE('',#98481,0.935788215217824); #32584=CIRCLE('',#98483,0.00999999999999837); #32585=CIRCLE('',#98484,0.937078734122232); #32586=CIRCLE('',#98486,0.0937500000000001); #32587=CIRCLE('',#98487,1.10037436523908); #32588=CIRCLE('',#98489,0.0100000000000061); #32589=CIRCLE('',#98490,1.10166488414349); #32590=CIRCLE('',#98492,1.10226221255596); #32591=CIRCLE('',#98494,0.00999999999999977); #32592=CIRCLE('',#98495,1.10259199830249); #32593=CIRCLE('',#98497,0.01); #32594=CIRCLE('',#98498,1.1017813427453); #32595=CIRCLE('',#98500,0.0937500000000022); #32596=CIRCLE('',#98501,0.935671756615998); #32597=CIRCLE('',#98503,0.01); #32598=CIRCLE('',#98505,0.00999999999999978); #32599=CIRCLE('',#98506,2.5332004441277); #32600=CIRCLE('',#98507,2.53353022987424); #32601=CIRCLE('',#98509,2.53412755828672); #32602=CIRCLE('',#98511,0.00999999999999836); #32603=CIRCLE('',#98512,2.53541807719113); #32604=CIRCLE('',#98514,0.0937500000000001); #32605=CIRCLE('',#98515,2.69871370830798); #32606=CIRCLE('',#98517,0.0100000000000061); #32607=CIRCLE('',#98518,2.70000422721239); #32608=CIRCLE('',#98520,2.70060155562486); #32609=CIRCLE('',#98522,0.00999999999999977); #32610=CIRCLE('',#98523,2.7009313413714); #32611=CIRCLE('',#98525,0.01); #32612=CIRCLE('',#98526,2.70012068581419); #32613=CIRCLE('',#98528,0.0937500000000022); #32614=CIRCLE('',#98529,2.5340110996849); #32615=CIRCLE('',#98531,0.01); #32616=CIRCLE('',#98533,0.00999999999999978); #32617=CIRCLE('',#98534,0.934861101058795); #32618=CIRCLE('',#98535,0.935190886805346); #32619=CIRCLE('',#98537,0.935788215217816); #32620=CIRCLE('',#98539,0.00999999999999836); #32621=CIRCLE('',#98540,0.937078734122239); #32622=CIRCLE('',#98542,0.0937500000000001); #32623=CIRCLE('',#98543,1.10037436523908); #32624=CIRCLE('',#98545,0.0100000000000061); #32625=CIRCLE('',#98546,1.10166488414348); #32626=CIRCLE('',#98548,1.10226221255596); #32627=CIRCLE('',#98550,0.00999999999999976); #32628=CIRCLE('',#98551,1.1025919983025); #32629=CIRCLE('',#98553,0.01); #32630=CIRCLE('',#98554,1.10178134274529); #32631=CIRCLE('',#98556,0.0937500000000022); #32632=CIRCLE('',#98557,0.935671756616); #32633=CIRCLE('',#98559,0.01); #32634=CIRCLE('',#98561,0.00999999999999978); #32635=CIRCLE('',#98562,8.3472359774548); #32636=CIRCLE('',#98563,8.34756576320134); #32637=CIRCLE('',#98565,8.3481630916138); #32638=CIRCLE('',#98567,0.00999999999999836); #32639=CIRCLE('',#98568,8.34945361051823); #32640=CIRCLE('',#98570,0.09375); #32641=CIRCLE('',#98571,8.51274924163508); #32642=CIRCLE('',#98573,0.0100000000000061); #32643=CIRCLE('',#98574,8.51403976053946); #32644=CIRCLE('',#98576,8.51463708895191); #32645=CIRCLE('',#98578,0.00999999999999976); #32646=CIRCLE('',#98579,8.51496687469851); #32647=CIRCLE('',#98581,0.01); #32648=CIRCLE('',#98582,8.51415621914129); #32649=CIRCLE('',#98584,0.0937500000000022); #32650=CIRCLE('',#98585,8.34804663301197); #32651=CIRCLE('',#98587,0.01); #32652=CIRCLE('',#98589,1.02342594472297); #32653=CIRCLE('',#98590,1.02375573046952); #32654=CIRCLE('',#98592,1.024353058882); #32655=CIRCLE('',#98594,1.02564357778641); #32656=CIRCLE('',#98596,1.18893920890326); #32657=CIRCLE('',#98598,1.19022972780767); #32658=CIRCLE('',#98600,1.19082705622014); #32659=CIRCLE('',#98602,1.19115684196668); #32660=CIRCLE('',#98604,1.19034618640948); #32661=CIRCLE('',#98606,1.02423660028018); #32662=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120348,#120349,#120350,#120351, #120352,#120353),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #32663=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120355,#120356,#120357,#120358, #120359,#120360),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #32664=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120401,#120402,#120403,#120404, #120405,#120406),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #32665=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120408,#120409,#120410,#120411, #120412,#120413,#120414,#120415,#120416,#120417,#120418,#120419,#120420), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.5,0.628215350010996,0.767528803058398, 0.917698689598004,1.),.UNSPECIFIED.); #32666=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120459,#120460,#120461,#120462, #120463,#120464),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #32667=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120467,#120468,#120469,#120470, #120471,#120472,#120473,#120474,#120475,#120476,#120477,#120478,#120479), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.13258789897587,0.303925055307325, 0.429575395431048,0.5),.UNSPECIFIED.); #32668=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120517,#120518,#120519,#120520, #120521,#120522),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #32669=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120563,#120564,#120565,#120566, #120567,#120568),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #32670=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120570,#120571,#120572,#120573, #120574,#120575,#120576,#120577,#120578,#120579),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.5,0.665168183503028,0.832584091751514,1.),.UNSPECIFIED.); #32671=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120587,#120588,#120589,#120590), .UNSPECIFIED.,.F.,.F.,(4,4),(103.399680087209,103.672557568463), .UNSPECIFIED.); #32672=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120596,#120597,#120598,#120599, #120600,#120601,#120602),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(109.955742875643, 110.67816935683,111.641637713644,112.605106070457,113.568574427271), .UNSPECIFIED.); #32673=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120605,#120606,#120607,#120608, #120609,#120610,#120611,#120612,#120613),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(109.955742875643,109.965888391489,110.929356748303,111.892825105116, 112.85629346193,113.819761818744,114.353972590668),.UNSPECIFIED.); #32674=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120619,#120620,#120621,#120622, #120623,#120624),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(114.668131856027,114.783230175557, 115.746698532371,116.710166889184),.UNSPECIFIED.); #32675=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120627,#120628,#120629,#120630, #120631,#120632,#120633,#120634,#120635,#120636,#120637,#120638,#120639), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-111.212380128755,-110.67816935683, -109.714701000016,-108.751232643203,-108.384946548848),.UNSPECIFIED.); #32676=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120645,#120646,#120647,#120648, #120649,#120650,#120651),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-102.101761241668, -102.006954145507,-101.043485788693),.UNSPECIFIED.); #32677=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120654,#120655,#120656,#120657, #120658,#120659),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(106.541272549123,107.075483321048, 108.038951677862,108.384946548848),.UNSPECIFIED.); #32678=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120666,#120667,#120668,#120669), .UNSPECIFIED.,.F.,.F.,(4,4),(103.399680087209,103.672557568463), .UNSPECIFIED.); #32679=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120675,#120676,#120677,#120678, #120679,#120680,#120681),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(109.955742875643, 110.67816935683,111.641637713644,112.605106070457,113.568574427271), .UNSPECIFIED.); #32680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120684,#120685,#120686,#120687, #120688,#120689,#120690,#120691,#120692),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(109.955742875643,109.965888391489,110.929356748303,111.892825105116, 112.85629346193,113.819761818744,114.353972590668),.UNSPECIFIED.); #32681=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120698,#120699,#120700,#120701, #120702,#120703,#120704,#120705,#120706,#120707,#120708,#120709,#120710, #120711,#120712,#120713),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(-105.243353895258, -104.897359215948,-103.933890859134,-102.970422502321,-102.006954145507, -101.043485788693),.UNSPECIFIED.); #32682=B_SPLINE_CURVE_WITH_KNOTS('',3,(#120716,#120717,#120718,#120719, #120720,#120721,#120722,#120723,#120724),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(106.541272549123,107.075483321048,108.038951677862,109.002420034675, 109.965888391489,110.929356748303,111.526539202438),.UNSPECIFIED.); #32683=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121009,#121010,#121011,#121012), .UNSPECIFIED.,.F.,.F.,(4,4),(5.42549142727004E-13,0.0280112599562699), .UNSPECIFIED.); #32684=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121016,#121017,#121018,#121019, #121020),.UNSPECIFIED.,.F.,.F.,(4,1,4),(4.99452669263336E-16,0.0395188944086413, 0.0790377888172822),.UNSPECIFIED.); #32685=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121024,#121025,#121026,#121027, #121028),.UNSPECIFIED.,.F.,.F.,(4,1,4),(6.08671238664144E-34,0.0408752352034986, 0.0817504704069973),.UNSPECIFIED.); #32686=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121032,#121033,#121034,#121035), .UNSPECIFIED.,.F.,.F.,(4,4),(4.91080159641775,4.97379290975108), .UNSPECIFIED.); #32687=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121039,#121040,#121041,#121042, #121043),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.22975394403785E-16,0.0400917915989412, 0.0801835831978823),.UNSPECIFIED.); #32688=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121049,#121050,#121051,#121052, #121053),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0424982048897048,0.0849964097794096), .UNSPECIFIED.); #32689=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121061,#121062,#121063,#121064, #121065),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.037887372815538,0.075774745631076), .UNSPECIFIED.); #32690=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121069,#121070,#121071,#121072, #121073),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0414755139026103,0.0829510278052206), .UNSPECIFIED.); #32691=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121081,#121082,#121083,#121084, #121085),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0389169178034172,0.0778338356068344), .UNSPECIFIED.); #32692=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121089,#121090,#121091,#121092, #121093),.UNSPECIFIED.,.F.,.F.,(4,1,4),(6.38092154822467E-33,0.0402001777911919, 0.0804003555823838),.UNSPECIFIED.); #32693=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121101,#121102,#121103,#121104, #121105),.UNSPECIFIED.,.F.,.F.,(4,1,4),(3.75677757714401E-16,0.0402001775945557, 0.080400355189111),.UNSPECIFIED.); #32694=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121109,#121110,#121111,#121112, #121113),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0389169178668417,0.0778338357336834), .UNSPECIFIED.); #32695=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121121,#121122,#121123,#121124, #121125),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.10556714971059E-16,0.0414755139067583, 0.0829510278135165),.UNSPECIFIED.); #32696=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121129,#121130,#121131,#121132, #121133),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0378873728147867,0.0757747456295734), .UNSPECIFIED.); #32697=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121141,#121142,#121143,#121144, #121145),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0424982048897045,0.0849964097794089), .UNSPECIFIED.); #32698=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121151,#121152,#121153,#121154, #121155),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0400917915989408,0.0801835831978815), .UNSPECIFIED.); #32699=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121159,#121160,#121161,#121162), .UNSPECIFIED.,.F.,.F.,(4,4),(4.97984354035416,5.04272272457333), .UNSPECIFIED.); #32700=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121166,#121167,#121168,#121169, #121170),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0408752352047323,0.0817504704094646), .UNSPECIFIED.); #32701=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121174,#121175,#121176,#121177, #121178),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0395188944086188,0.0790377888172376), .UNSPECIFIED.); #32702=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121182,#121183,#121184,#121185), .UNSPECIFIED.,.F.,.F.,(4,4),(0.047659547759023,0.0756708077153381), .UNSPECIFIED.); #32703=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121187,#121188,#121189,#121190), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.15569473912329,-1.09104062445646), .UNSPECIFIED.); #32704=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121192,#121193,#121194,#121195, #121196),.UNSPECIFIED.,.F.,.F.,(4,1,4),(3.84788276671275E-13,0.024399893045985, 0.0377172038794565),.UNSPECIFIED.); #32705=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121200,#121201,#121202,#121203, #121204),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0404429595953024,0.0808859191906048), .UNSPECIFIED.); #32706=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121208,#121209,#121210,#121211, #121212),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0406151323192579,0.0812302646385158), .UNSPECIFIED.); #32707=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121220,#121221,#121222,#121223, #121224),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0393467856533314,0.0786935713066628), .UNSPECIFIED.); #32708=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121230,#121231,#121232,#121233, #121234),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0422887563282258,0.0845775126564515), .UNSPECIFIED.); #32709=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121242,#121243,#121244,#121245, #121246),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0389152114696922,0.0778304229393844), .UNSPECIFIED.); #32710=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121250,#121251,#121252,#121253, #121254),.UNSPECIFIED.,.F.,.F.,(4,1,4),(6.2627729875144E-35,0.039464095337323, 0.0789281906746461),.UNSPECIFIED.); #32711=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121258,#121259,#121260,#121261), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0468150449270325,0.083637015422959), .UNSPECIFIED.); #32712=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121263,#121264,#121265,#121266, #121267,#121268,#121269),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-1.86858419574616, -1.83612352324862,-1.80005370944132),.UNSPECIFIED.); #32713=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121271,#121272,#121273,#121274), .UNSPECIFIED.,.F.,.F.,(4,4),(1.04373925144062E-12,0.0307488231785333), .UNSPECIFIED.); #32714=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121278,#121279,#121280,#121281, #121282),.UNSPECIFIED.,.F.,.F.,(4,1,4),(8.4069673234816E-17,0.0406682237752445, 0.081336447550489),.UNSPECIFIED.); #32715=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121286,#121287,#121288,#121289, #121290),.UNSPECIFIED.,.F.,.F.,(4,1,4),(8.94986046483933E-16,0.0406682237728477, 0.0813364475456946),.UNSPECIFIED.); #32716=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121294,#121295,#121296,#121297), .UNSPECIFIED.,.F.,.F.,(4,4),(0.046874303651421,0.077623126830089), .UNSPECIFIED.); #32717=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121299,#121300,#121301,#121302, #121303,#121304,#121305),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-1.11397559813286, -1.07801682184673,-1.04565716655549),.UNSPECIFIED.); #32718=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121307,#121308,#121309,#121310), .UNSPECIFIED.,.F.,.F.,(4,4),(9.62508020290443E-13,0.0368219704932647), .UNSPECIFIED.); #32719=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121314,#121315,#121316,#121317, #121318),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0394640953374183,0.0789281906748366), .UNSPECIFIED.); #32720=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121322,#121323,#121324,#121325, #121326),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0778304229382503,-0.0389152114691252, 0.),.UNSPECIFIED.); #32721=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121334,#121335,#121336,#121337, #121338),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0422887563176541,0.0845775126353081), .UNSPECIFIED.); #32722=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121344,#121345,#121346,#121347, #121348),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0393467856534376,0.0786935713068753), .UNSPECIFIED.); #32723=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121356,#121357,#121358,#121359, #121360),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.00111344702156E-15,0.0406151323192596, 0.0812302646385182),.UNSPECIFIED.); #32724=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121364,#121365,#121366,#121367, #121368),.UNSPECIFIED.,.F.,.F.,(4,1,4),(2.0510246480932E-15,0.0404429595953042, 0.0808859191906063),.UNSPECIFIED.); #32725=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121372,#121373,#121374,#121375, #121376),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0476824217820885,0.0609997326164535, 0.085399625663035),.UNSPECIFIED.); #32726=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121377,#121378,#121379,#121380, #121381,#121382,#121383),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.735314398032659, -0.704178106610482,-0.667359713279352),.UNSPECIFIED.); #32727=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121587,#121588,#121589,#121590, #121591,#121592),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0259183000255238,0.0263986995296379), .UNSPECIFIED.); #32728=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121593,#121594,#121595,#121596, #121597,#121598),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0263986995296379,-0.0259183000255238, 0.),.UNSPECIFIED.); #32729=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121630,#121631,#121632,#121633, #121634,#121635),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.141292072047608,-0.100922908605434, -0.0605537451632605,0.),.UNSPECIFIED.); #32730=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121638,#121639,#121640,#121641, #121642,#121643),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0605537451632605, 0.100922908605434,0.141292072047608),.UNSPECIFIED.); #32731=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121667,#121668,#121669,#121670), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.09534261057466,-5.53421043742205E-10), .UNSPECIFIED.); #32732=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121671,#121672,#121673,#121674), .UNSPECIFIED.,.F.,.F.,(4,4),(5.53421043742205E-10,1.09534261057466), .UNSPECIFIED.); #32733=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121696,#121697,#121698,#121699), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0136267210520832,0.),.UNSPECIFIED.); #32734=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121702,#121703,#121704,#121705), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0136267210520832),.UNSPECIFIED.); #32735=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121737,#121738,#121739,#121740, #121741,#121742),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0818323921774078,0., 0.000442751702543281),.UNSPECIFIED.); #32736=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121743,#121744,#121745,#121746, #121747,#121748),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000442751702543281,0., 0.0818323921774078),.UNSPECIFIED.); #32737=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121770,#121771,#121772,#121773), .UNSPECIFIED.,.F.,.F.,(4,4),(3.00962463740264,3.38624517893605), .UNSPECIFIED.); #32738=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121777,#121778,#121779,#121780), .UNSPECIFIED.,.F.,.F.,(4,4),(-3.38624517893605,-2.97631492268636), .UNSPECIFIED.); #32739=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121781,#121782,#121783,#121784), .UNSPECIFIED.,.F.,.F.,(4,4),(2.95717470505531,2.97631492268636), .UNSPECIFIED.); #32740=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121786,#121787,#121788,#121789), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.05558921024234),.UNSPECIFIED.); #32741=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121811,#121812,#121813,#121814), .UNSPECIFIED.,.F.,.F.,(4,4),(1.19492978283335,1.20267706970607), .UNSPECIFIED.); #32742=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121816,#121817,#121818,#121819), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.19492978283335,0.),.UNSPECIFIED.); #32743=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121838,#121839,#121840,#121841), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0253618236727573,0.173392612861531), .UNSPECIFIED.); #32744=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121843,#121844,#121845,#121846, #121847,#121848),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000480399503906584,0., 0.0259183000257323),.UNSPECIFIED.); #32745=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121849,#121850,#121851,#121852), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.193144958925882,-0.00560947760830167), .UNSPECIFIED.); #32746=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121879,#121880,#121881,#121882, #121883,#121884),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0259183000257323,0., 0.000480399503906584),.UNSPECIFIED.); #32747=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121916,#121917,#121918,#121919, #121920,#121921),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.14129207204761,-0.100922908605436, -0.0605537451632616,0.),.UNSPECIFIED.); #32748=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121924,#121925,#121926,#121927, #121928,#121929),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0605537451632616, 0.100922908605436,0.14129207204761),.UNSPECIFIED.); #32749=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121953,#121954,#121955,#121956), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.09534261094477,-9.23538199708715E-10), .UNSPECIFIED.); #32750=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121957,#121958,#121959,#121960), .UNSPECIFIED.,.F.,.F.,(4,4),(9.23538199708715E-10,1.09534261094477), .UNSPECIFIED.); #32751=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121984,#121985,#121986,#121987), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.01362672105523,-3.14397781045411E-12), .UNSPECIFIED.); #32752=B_SPLINE_CURVE_WITH_KNOTS('',3,(#121988,#121989,#121990,#121991), .UNSPECIFIED.,.F.,.F.,(4,4),(3.14397781045411E-12,0.01362672105523), .UNSPECIFIED.); #32753=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122017,#122018,#122019,#122020, #122021,#122022),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0818323921779039,0.0822751438799478), .UNSPECIFIED.); #32754=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122023,#122024,#122025,#122026, #122027,#122028),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0822751438799478,-0.0818323921779039, 0.),.UNSPECIFIED.); #32755=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122049,#122050,#122051,#122052), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.227334080558695,5.0065053357896E-5), .UNSPECIFIED.); #32756=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122053,#122054,#122055,#122056), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0487127818131141,0.178571233692159), .UNSPECIFIED.); #32757=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122101,#122102,#122103,#122104, #122105,#122106),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0248369541056837,0.025321137497113), .UNSPECIFIED.); #32758=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122107,#122108,#122109,#122110, #122111,#122112),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.025321137497113,-0.0248369541056837, 0.),.UNSPECIFIED.); #32759=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122144,#122145,#122146,#122147, #122148,#122149),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.149132842813352,-0.106523459152395, -0.0639140754914368,0.),.UNSPECIFIED.); #32760=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122152,#122153,#122154,#122155, #122156,#122157),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0639140754914368, 0.106523459152395,0.149132842813352),.UNSPECIFIED.); #32761=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122185,#122186,#122187,#122188), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0130040602039134,0.),.UNSPECIFIED.); #32762=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122191,#122192,#122193,#122194), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0130040602039134),.UNSPECIFIED.); #32763=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122226,#122227,#122228,#122229, #122230,#122231),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0782605762713911,0., 0.000457254031061029),.UNSPECIFIED.); #32764=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122232,#122233,#122234,#122235, #122236,#122237),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000457254031061029,0., 0.0782605762713911),.UNSPECIFIED.); #32765=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122264,#122265,#122266,#122267), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.17604509559731),.UNSPECIFIED.); #32766=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122289,#122290,#122291,#122292), .UNSPECIFIED.,.F.,.F.,(4,4),(1.32293564846987,1.33073270948824), .UNSPECIFIED.); #32767=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122294,#122295,#122296,#122297), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.32293564846987,0.),.UNSPECIFIED.); #32768=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122316,#122317,#122318,#122319), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0476542266644084,0.0476542266644053), .UNSPECIFIED.); #32769=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122321,#122322,#122323,#122324, #122325,#122326),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000484141454894164,0., 0.0248369958034287),.UNSPECIFIED.); #32770=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122327,#122328,#122329,#122330), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0596997573830785,0.0596997573830793), .UNSPECIFIED.); #32771=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122357,#122358,#122359,#122360, #122361,#122362),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0248369958034287,0., 0.000484141454894164),.UNSPECIFIED.); #32772=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122394,#122395,#122396,#122397, #122398,#122399),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.149132846343639,-0.106523461674028, -0.0639140770044169,0.),.UNSPECIFIED.); #32773=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122402,#122403,#122404,#122405, #122406,#122407),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0639140770044169, 0.106523461674028,0.149132846343639),.UNSPECIFIED.); #32774=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122437,#122438,#122439,#122440), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0250373615787474,0.),.UNSPECIFIED.); #32775=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122441,#122442,#122443,#122444), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0250373615787474),.UNSPECIFIED.); #32776=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122470,#122471,#122472,#122473, #122474,#122475),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0758813360701163,0.0763160347869043), .UNSPECIFIED.); #32777=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122476,#122477,#122478,#122479, #122480,#122481),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0763160347869043,-0.0758813360701163, 0.),.UNSPECIFIED.); #32778=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122533,#122534,#122535,#122536, #122537,#122538),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0248369958038149,0.0253211372583188), .UNSPECIFIED.); #32779=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122539,#122540,#122541,#122542, #122543,#122544),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0253211372583188,-0.0248369958038149, 0.),.UNSPECIFIED.); #32780=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122576,#122577,#122578,#122579, #122580,#122581),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.149132846343645,-0.106523461674032, -0.0639140770044193,0.),.UNSPECIFIED.); #32781=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122584,#122585,#122586,#122587, #122588,#122589),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0639140770044193, 0.106523461674032,0.149132846343645),.UNSPECIFIED.); #32782=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122617,#122618,#122619,#122620), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0250373615787385,0.),.UNSPECIFIED.); #32783=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122623,#122624,#122625,#122626), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0250373615787385),.UNSPECIFIED.); #32784=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122658,#122659,#122660,#122661, #122662,#122663),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0758813360702306,0., 0.000434698716677442),.UNSPECIFIED.); #32785=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122664,#122665,#122666,#122667, #122668,#122669),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000434698716677442,0., 0.0758813360702306),.UNSPECIFIED.); #32786=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122696,#122697,#122698,#122699), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.15137891188328),.UNSPECIFIED.); #32787=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122721,#122722,#122723,#122724), .UNSPECIFIED.,.F.,.F.,(4,4),(1.29826946475588,1.30606652577422), .UNSPECIFIED.); #32788=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122726,#122727,#122728,#122729), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.29826946475588,0.),.UNSPECIFIED.); #32789=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122748,#122749,#122750,#122751), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0476542266644073,0.0476542266644124), .UNSPECIFIED.); #32790=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122753,#122754,#122755,#122756, #122757,#122758),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000484183391701218,0., 0.0248369541054081),.UNSPECIFIED.); #32791=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122759,#122760,#122761,#122762), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0596997573830808,0.0596997573830778), .UNSPECIFIED.); #32792=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122789,#122790,#122791,#122792, #122793,#122794),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0248369541054081,0., 0.000484183391701218),.UNSPECIFIED.); #32793=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122826,#122827,#122828,#122829, #122830,#122831),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.149132842813367,-0.106523459152405, -0.063914075491443,0.),.UNSPECIFIED.); #32794=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122834,#122835,#122836,#122837, #122838,#122839),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.063914075491443, 0.106523459152405,0.149132842813367),.UNSPECIFIED.); #32795=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122869,#122870,#122871,#122872), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0130040602039146,0.),.UNSPECIFIED.); #32796=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122873,#122874,#122875,#122876), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0130040602039146),.UNSPECIFIED.); #32797=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122902,#122903,#122904,#122905, #122906,#122907),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.55877557293059E-15,0.078260575382369, 0.0787178304134279),.UNSPECIFIED.); #32798=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122908,#122909,#122910,#122911, #122912,#122913),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0787178304134279,-0.078260575382369, -2.55877557293059E-15),.UNSPECIFIED.); #32799=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122984,#122985,#122986,#122987), .UNSPECIFIED.,.F.,.F.,(4,4),(0.375999930985488,1.55204502657485), .UNSPECIFIED.); #32800=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122991,#122992,#122993,#122994), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.55204502658279,-0.229109378115358), .UNSPECIFIED.); #32801=B_SPLINE_CURVE_WITH_KNOTS('',3,(#122995,#122996,#122997,#122998), .UNSPECIFIED.,.F.,.F.,(4,4),(0.221312317094549,0.229109378115358), .UNSPECIFIED.); #32802=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123023,#123024,#123025,#123026), .UNSPECIFIED.,.F.,.F.,(4,4),(0.409930256319745,0.429070473950794), .UNSPECIFIED.); #32803=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123028,#123029,#123030,#123031), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.409930256319745,0.),.UNSPECIFIED.); #32804=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123034,#123035,#123036,#123037), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.376620541603468),.UNSPECIFIED.); #32805=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123058,#123059,#123060,#123061), .UNSPECIFIED.,.F.,.F.,(4,4),(0.368449950703937,1.424039160955), .UNSPECIFIED.); #32806=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123065,#123066,#123067,#123068), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.424039160955,-0.229109378114842), .UNSPECIFIED.); #32807=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123069,#123070,#123071,#123072), .UNSPECIFIED.,.F.,.F.,(4,4),(0.221362091240206,0.229109378114842), .UNSPECIFIED.); #32808=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123125,#123126,#123127,#123128), .UNSPECIFIED.,.F.,.F.,(4,4),(0.3759999309855,1.52737884286685), .UNSPECIFIED.); #32809=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123132,#123133,#123134,#123135), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.52737884286685,-0.229109378115254), .UNSPECIFIED.); #32810=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123136,#123137,#123138,#123139), .UNSPECIFIED.,.F.,.F.,(4,4),(0.221312317094563,0.229109378115254), .UNSPECIFIED.); #32811=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123167,#123168,#123169,#123170), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0418782409273777,0.),.UNSPECIFIED.); #32812=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123173,#123174,#123175,#123176), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0418782409273777),.UNSPECIFIED.); #32813=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123225,#123226,#123227,#123228), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0401205440632114,0.),.UNSPECIFIED.); #32814=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123229,#123230,#123231,#123232), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0401205440632114),.UNSPECIFIED.); #32815=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123272,#123273,#123274,#123275, #123276,#123277),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.198198440320095,-0.141570314514354, -0.0849421887086122,0.),.UNSPECIFIED.); #32816=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123278,#123279,#123280,#123281, #123282,#123283),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0849421887086122, 0.141570314514354,0.198198440320095),.UNSPECIFIED.); #32817=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123323,#123324,#123325,#123326, #123327,#123328),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.19819838622299,-0.113256220698852, -0.0566281103494258,-4.41131786010086E-17),.UNSPECIFIED.); #32818=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123329,#123330,#123331,#123332, #123333,#123334),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(4.41131786010086E-17, 0.0566281103494258,0.113256220698852,0.19819838622299),.UNSPECIFIED.); #32819=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123364,#123365,#123366,#123367), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.040120617728706,0.),.UNSPECIFIED.); #32820=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123368,#123369,#123370,#123371), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.040120617728706),.UNSPECIFIED.); #32821=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123420,#123421,#123422,#123423), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0418782594706637,-1.36472451064302E-16), .UNSPECIFIED.); #32822=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123424,#123425,#123426,#123427), .UNSPECIFIED.,.F.,.F.,(4,4),(1.36472451064302E-16,0.0418782594706637), .UNSPECIFIED.); #32823=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123457,#123458,#123459,#123460), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0418782409273783,-7.67570987839647E-17), .UNSPECIFIED.); #32824=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123461,#123462,#123463,#123464), .UNSPECIFIED.,.F.,.F.,(4,4),(7.67570987839647E-17,0.0418782409273783), .UNSPECIFIED.); #32825=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123513,#123514,#123515,#123516), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0401205440632108,0.),.UNSPECIFIED.); #32826=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123517,#123518,#123519,#123520), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0401205440632108),.UNSPECIFIED.); #32827=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123560,#123561,#123562,#123563, #123564,#123565),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.198198440320095,-0.141570314514354, -0.0849421887086121,-8.13908454597944E-20),.UNSPECIFIED.); #32828=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123566,#123567,#123568,#123569, #123570,#123571),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(8.13908454597944E-20, 0.0849421887086121,0.141570314514354,0.198198440320095),.UNSPECIFIED.); #32829=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123613,#123614,#123615,#123616, #123617,#123618),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.19819838622299,-0.141570275873564, -0.0849421655241386,0.),.UNSPECIFIED.); #32830=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123619,#123620,#123621,#123622, #123623,#123624),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0849421655241386, 0.141570275873564,0.19819838622299),.UNSPECIFIED.); #32831=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123654,#123655,#123656,#123657), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0401206177287054,0.),.UNSPECIFIED.); #32832=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123658,#123659,#123660,#123661), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0401206177287054),.UNSPECIFIED.); #32833=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123710,#123711,#123712,#123713), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0418782594706642,0.),.UNSPECIFIED.); #32834=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123714,#123715,#123716,#123717), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0418782594706642),.UNSPECIFIED.); #32835=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123747,#123748,#123749,#123750), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0418782409273778,0.),.UNSPECIFIED.); #32836=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123751,#123752,#123753,#123754), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0418782409273778),.UNSPECIFIED.); #32837=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123803,#123804,#123805,#123806), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0401205440632114,0.),.UNSPECIFIED.); #32838=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123807,#123808,#123809,#123810), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0401205440632114),.UNSPECIFIED.); #32839=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123850,#123851,#123852,#123853, #123854,#123855),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.198198440320043,-0.141570314514316, -0.0849421887085897,-2.88864353371997E-20),.UNSPECIFIED.); #32840=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123856,#123857,#123858,#123859, #123860,#123861),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(2.88864353371997E-20, 0.0849421887085897,0.141570314514316,0.198198440320043),.UNSPECIFIED.); #32841=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123901,#123902,#123903,#123904, #123905,#123906),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.19819838622299,-0.113256220698852, -0.0566281103494258,-4.52604356742835E-17),.UNSPECIFIED.); #32842=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123907,#123908,#123909,#123910, #123911,#123912),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(4.52604356742835E-17, 0.0566281103494258,0.113256220698852,0.19819838622299),.UNSPECIFIED.); #32843=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123942,#123943,#123944,#123945), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0401206177287061,0.),.UNSPECIFIED.); #32844=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123946,#123947,#123948,#123949), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0401206177287061),.UNSPECIFIED.); #32845=B_SPLINE_CURVE_WITH_KNOTS('',3,(#123998,#123999,#124000,#124001), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0418782594706638,-2.92758356701616E-16), .UNSPECIFIED.); #32846=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124002,#124003,#124004,#124005), .UNSPECIFIED.,.F.,.F.,(4,4),(2.92758356701616E-16,0.0418782594706638), .UNSPECIFIED.); #32847=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124035,#124036,#124037,#124038), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0418782409273779,0.),.UNSPECIFIED.); #32848=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124039,#124040,#124041,#124042), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0418782409273779),.UNSPECIFIED.); #32849=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124091,#124092,#124093,#124094), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0401205440632118,0.),.UNSPECIFIED.); #32850=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124095,#124096,#124097,#124098), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0401205440632118),.UNSPECIFIED.); #32851=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124138,#124139,#124140,#124141, #124142,#124143),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.198198440320095,-0.113256251611483, -0.0566281258057418,-4.71799031719729E-16),.UNSPECIFIED.); #32852=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124144,#124145,#124146,#124147, #124148,#124149),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(4.71799031719729E-16, 0.0566281258057418,0.113256251611483,0.198198440320095),.UNSPECIFIED.); #32853=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124189,#124190,#124191,#124192, #124193,#124194),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.19819838622299,-0.113256220698852, -0.0566281103494258,-7.15279187172321E-17),.UNSPECIFIED.); #32854=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124195,#124196,#124197,#124198, #124199,#124200),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(7.15279187172321E-17, 0.0566281103494258,0.113256220698852,0.19819838622299),.UNSPECIFIED.); #32855=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124230,#124231,#124232,#124233), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0401206177287056,0.),.UNSPECIFIED.); #32856=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124234,#124235,#124236,#124237), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0401206177287056),.UNSPECIFIED.); #32857=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124286,#124287,#124288,#124289), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0418782594702202,-4.37701552597333E-16), .UNSPECIFIED.); #32858=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124290,#124291,#124292,#124293), .UNSPECIFIED.,.F.,.F.,(4,4),(4.37701552597333E-16,0.0418782594702202), .UNSPECIFIED.); #32859=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124306,#124307,#124308,#124309), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.040273473554689,-1.90670516161286E-16), .UNSPECIFIED.); #32860=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124313,#124314,#124315,#124316), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.211339120155111),.UNSPECIFIED.); #32861=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124320,#124321,#124322,#124323, #124324),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198964525524314,-0.0852705109389917, 0.),.UNSPECIFIED.); #32862=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124332,#124333,#124334,#124335, #124336),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198964482997624,-0.113693990284356, -7.48041233083017E-17),.UNSPECIFIED.); #32863=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124340,#124341,#124342,#124343), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.211339120155113),.UNSPECIFIED.); #32864=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124347,#124348,#124349,#124350), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0402733652188631,-1.16494244478662E-16), .UNSPECIFIED.); #32865=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124358,#124359,#124360,#124361), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0431762493997741,0.),.UNSPECIFIED.); #32866=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124369,#124370,#124371,#124372), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0431763048246853,-7.54484478875213E-16), .UNSPECIFIED.); #32867=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124380,#124381,#124382,#124383), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.040273473554689,0.),.UNSPECIFIED.); #32868=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124387,#124388,#124389,#124390), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.21133912015511),.UNSPECIFIED.); #32869=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124394,#124395,#124396,#124397, #124398),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198964525524315,-0.0852705109389931, -1.89506508550124E-15),.UNSPECIFIED.); #32870=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124406,#124407,#124408,#124409, #124410),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198964482997623,-0.113693990284356, -4.05705774509201E-16),.UNSPECIFIED.); #32871=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124414,#124415,#124416,#124417), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.211339120155114),.UNSPECIFIED.); #32872=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124421,#124422,#124423,#124424), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0402733652188626,0.),.UNSPECIFIED.); #32873=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124432,#124433,#124434,#124435), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0431763048246843,0.),.UNSPECIFIED.); #32874=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124443,#124444,#124445,#124446), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0431763048246845,0.),.UNSPECIFIED.); #32875=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124477,#124478,#124479,#124480), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0431763048246843),.UNSPECIFIED.); #32876=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124503,#124504,#124505,#124506), .UNSPECIFIED.,.F.,.F.,(4,4),(1.16798324277638,1.25225672430072), .UNSPECIFIED.); #32877=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124529,#124530,#124531,#124532), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0402733652188626),.UNSPECIFIED.); #32878=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124554,#124555,#124556,#124557), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.211339120155114,0.),.UNSPECIFIED.); #32879=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124586,#124587,#124588,#124589, #124590),.UNSPECIFIED.,.F.,.F.,(4,1,4),(4.05705774509201E-16,0.113693990284356, 0.198964482997623),.UNSPECIFIED.); #32880=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124622,#124623,#124624,#124625, #124626),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.89506508550124E-15,0.0852705109389931, 0.198964525524315),.UNSPECIFIED.); #32881=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124648,#124649,#124650,#124651), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.21133912015511,0.),.UNSPECIFIED.); #32882=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124675,#124676,#124677,#124678), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.040273473554689),.UNSPECIFIED.); #32883=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124701,#124702,#124703,#124704), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.25225672430071,-1.16798324277638), .UNSPECIFIED.); #32884=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124727,#124728,#124729,#124730), .UNSPECIFIED.,.F.,.F.,(4,4),(7.54484478875213E-16,0.0431763048246853), .UNSPECIFIED.); #32885=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124757,#124758,#124759,#124760), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0431762493997741),.UNSPECIFIED.); #32886=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124783,#124784,#124785,#124786), .UNSPECIFIED.,.F.,.F.,(4,4),(1.16798324277638,1.25225672430072), .UNSPECIFIED.); #32887=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124809,#124810,#124811,#124812), .UNSPECIFIED.,.F.,.F.,(4,4),(1.16494244478662E-16,0.0402733652188631), .UNSPECIFIED.); #32888=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124834,#124835,#124836,#124837), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.211339120155113,0.),.UNSPECIFIED.); #32889=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124866,#124867,#124868,#124869, #124870),.UNSPECIFIED.,.F.,.F.,(4,1,4),(7.48041233083017E-17,0.113693990284356, 0.198964482997624),.UNSPECIFIED.); #32890=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124902,#124903,#124904,#124905, #124906),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0852705109389917,0.198964525524314), .UNSPECIFIED.); #32891=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124928,#124929,#124930,#124931), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.211339120155111,0.),.UNSPECIFIED.); #32892=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124955,#124956,#124957,#124958), .UNSPECIFIED.,.F.,.F.,(4,4),(1.90670516161286E-16,0.040273473554689), .UNSPECIFIED.); #32893=B_SPLINE_CURVE_WITH_KNOTS('',3,(#124981,#124982,#124983,#124984), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.25225672430072,-1.16798324277638), .UNSPECIFIED.); #32894=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125008,#125009,#125010,#125011), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0431763048246846,0.),.UNSPECIFIED.); #32895=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125012,#125013,#125014,#125015), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0431763048246846),.UNSPECIFIED.); #32896=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125045,#125046,#125047,#125048), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0431762493997746,0.),.UNSPECIFIED.); #32897=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125049,#125050,#125051,#125052), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0431762493997746),.UNSPECIFIED.); #32898=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125077,#125078,#125079,#125080), .UNSPECIFIED.,.F.,.F.,(4,4),(1.16798324277638,1.25225672430072), .UNSPECIFIED.); #32899=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125104,#125105,#125106,#125107), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0402733652188625,0.),.UNSPECIFIED.); #32900=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125108,#125109,#125110,#125111), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0402733652188625),.UNSPECIFIED.); #32901=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125133,#125134,#125135,#125136), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.211339120155112,0.),.UNSPECIFIED.); #32902=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125139,#125140,#125141,#125142), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.211339120155112),.UNSPECIFIED.); #32903=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125171,#125172,#125173,#125174, #125175),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198964482997622,-0.0852704927132668, -3.99298684701371E-16),.UNSPECIFIED.); #32904=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125176,#125177,#125178,#125179, #125180),.UNSPECIFIED.,.F.,.F.,(4,1,4),(3.99298684701371E-16,0.0852704927132668, 0.198964482997622),.UNSPECIFIED.); #32905=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125217,#125218,#125219,#125220, #125221),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198964525524315,-0.085270510938992, 0.),.UNSPECIFIED.); #32906=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125222,#125223,#125224,#125225, #125226),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.085270510938992,0.198964525524315), .UNSPECIFIED.); #32907=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125248,#125249,#125250,#125251), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.211339120155109,0.),.UNSPECIFIED.); #32908=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125254,#125255,#125256,#125257), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.211339120155109),.UNSPECIFIED.); #32909=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125281,#125282,#125283,#125284), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0402734735546892,-1.90458211362586E-16), .UNSPECIFIED.); #32910=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125285,#125286,#125287,#125288), .UNSPECIFIED.,.F.,.F.,(4,4),(1.90458211362586E-16,0.0402734735546892), .UNSPECIFIED.); #32911=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125313,#125314,#125315,#125316), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.25225672430072,-1.16798324277638), .UNSPECIFIED.); #32912=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125340,#125341,#125342,#125343), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0431763048246856,0.),.UNSPECIFIED.); #32913=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125344,#125345,#125346,#125347), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0431763048246856),.UNSPECIFIED.); #32914=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125377,#125378,#125379,#125380), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0431762493997742,0.),.UNSPECIFIED.); #32915=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125381,#125382,#125383,#125384), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0431762493997742),.UNSPECIFIED.); #32916=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125409,#125410,#125411,#125412), .UNSPECIFIED.,.F.,.F.,(4,4),(1.16798324277638,1.25225672430072), .UNSPECIFIED.); #32917=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125436,#125437,#125438,#125439), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0402733652188633,-3.03777267126876E-19), .UNSPECIFIED.); #32918=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125440,#125441,#125442,#125443), .UNSPECIFIED.,.F.,.F.,(4,4),(3.03777267126876E-19,0.0402733652188633), .UNSPECIFIED.); #32919=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125465,#125466,#125467,#125468), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.211339120155113,0.),.UNSPECIFIED.); #32920=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125471,#125472,#125473,#125474), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.211339120155113),.UNSPECIFIED.); #32921=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125503,#125504,#125505,#125506, #125507),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198964482997623,-0.085270492713267, 0.),.UNSPECIFIED.); #32922=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125508,#125509,#125510,#125511, #125512),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.085270492713267,0.198964482997623), .UNSPECIFIED.); #32923=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125547,#125548,#125549,#125550, #125551),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198964525524314,-0.0852705109389918, 0.),.UNSPECIFIED.); #32924=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125552,#125553,#125554,#125555, #125556),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0852705109389918,0.198964525524314), .UNSPECIFIED.); #32925=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125578,#125579,#125580,#125581), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.21133912015511,0.),.UNSPECIFIED.); #32926=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125584,#125585,#125586,#125587), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.21133912015511),.UNSPECIFIED.); #32927=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125611,#125612,#125613,#125614), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0402734735546891,-4.12721910862014E-16), .UNSPECIFIED.); #32928=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125615,#125616,#125617,#125618), .UNSPECIFIED.,.F.,.F.,(4,4),(4.12721910862014E-16,0.0402734735546891), .UNSPECIFIED.); #32929=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125642,#125643,#125644,#125645), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.25225672430072,-1.16798324277638), .UNSPECIFIED.); #32930=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125668,#125669,#125670,#125671), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0431763048246845),.UNSPECIFIED.); #32931=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125731,#125732,#125733,#125734, #125735),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0749746015117797,-0.0374873007558899, 0.),.UNSPECIFIED.); #32932=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125743,#125744,#125745,#125746, #125747),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0749746015098166,-0.0374873007549083, 0.),.UNSPECIFIED.); #32933=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125751,#125752,#125753,#125754, #125755),.UNSPECIFIED.,.F.,.F.,(4,1,4),(22.7100119352184,22.9044132898708, 23.4645970533609),.UNSPECIFIED.); #32934=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125758,#125759,#125760,#125761, #125762,#125763,#125764),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(20.8504061570739, 21.2238619994006,21.5973178417273,22.3442295263808,22.7096336235737), .UNSPECIFIED.); #32935=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125766,#125767,#125768,#125769, #125770,#125771,#125772,#125773,#125774,#125775),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-1.50702031136548,-1.29173169545612,-1.07644307954677, -0.861154463637416,-0.645865847728062,-0.430577231818708,-0.215288615909354, 0.),.UNSPECIFIED.); #32936=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125776,#125777,#125778,#125779, #125780,#125781,#125782,#125783),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(7.81101218225391, 8.55792386690736,8.93137970923408,9.67829139388753,9.95838327563257,10.425203078541), .UNSPECIFIED.); #32937=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125784,#125785,#125786,#125787, #125788),.UNSPECIFIED.,.F.,.F.,(4,1,4),(9.61414249393669,10.2611224767363, 11.1237624538025),.UNSPECIFIED.); #32938=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125789,#125790,#125791,#125792, #125793),.UNSPECIFIED.,.F.,.F.,(4,1,4),(9.72432238394553,10.3708492585445, 11.2328850913431),.UNSPECIFIED.); #32939=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125827,#125828,#125829,#125830), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.46794617248156,0.),.UNSPECIFIED.); #32940=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125833,#125834,#125835,#125836), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.46794617248156),.UNSPECIFIED.); #32941=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125865,#125866,#125867,#125868, #125869),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.159754039762329,-0.068466017040998, 0.),.UNSPECIFIED.); #32942=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125870,#125871,#125872,#125873, #125874),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.068466017040998,0.159754039762329), .UNSPECIFIED.); #32943=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125909,#125910,#125911,#125912, #125913),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.160648126423707,-0.0688491970387318, 0.),.UNSPECIFIED.); #32944=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125914,#125915,#125916,#125917, #125918),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0688491970387318,0.160648126423707), .UNSPECIFIED.); #32945=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125941,#125942,#125943,#125944), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.467045853804136,-7.53839680999645E-17), .UNSPECIFIED.); #32946=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125945,#125946,#125947,#125948), .UNSPECIFIED.,.F.,.F.,(4,4),(7.53839680999645E-17,0.467045853804136), .UNSPECIFIED.); #32947=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125981,#125982,#125983,#125984), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.467045853804136,0.),.UNSPECIFIED.); #32948=B_SPLINE_CURVE_WITH_KNOTS('',3,(#125987,#125988,#125989,#125990), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.467045853804136),.UNSPECIFIED.); #32949=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126019,#126020,#126021,#126022, #126023),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.160646851361448,-0.0917982007779704, -4.0461762138028E-16),.UNSPECIFIED.); #32950=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126024,#126025,#126026,#126027, #126028),.UNSPECIFIED.,.F.,.F.,(4,1,4),(4.0461762138028E-16,0.0917982007779704, 0.160646851361448),.UNSPECIFIED.); #32951=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126063,#126064,#126065,#126066, #126067),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.159755319010455,-0.0912887537202602, 0.),.UNSPECIFIED.); #32952=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126068,#126069,#126070,#126071, #126072),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0912887537202602,0.159755319010455), .UNSPECIFIED.); #32953=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126095,#126096,#126097,#126098), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.467946172481561,-4.64065788703832E-16), .UNSPECIFIED.); #32954=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126099,#126100,#126101,#126102), .UNSPECIFIED.,.F.,.F.,(4,4),(4.64065788703832E-16,0.467946172481561), .UNSPECIFIED.); #32955=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126144,#126145,#126146,#126147, #126148),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0374873007549083,0.0749746015098166), .UNSPECIFIED.); #32956=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126178,#126179,#126180,#126181, #126182),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0374873007558899,0.0749746015117797), .UNSPECIFIED.); #32957=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126211,#126212,#126213,#126214), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0506588149604271,1.01349706090414), .UNSPECIFIED.); #32958=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126217,#126218,#126219,#126220), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01349706090414,-0.05065881496043), .UNSPECIFIED.); #32959=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126246,#126247,#126248,#126249), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0506588149482214,1.01349706089193), .UNSPECIFIED.); #32960=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126251,#126252,#126253,#126254), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01349706089193,-0.0506588149482228), .UNSPECIFIED.); #32961=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126275,#126276,#126277,#126278, #126279),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0798040334344336,-0.0399020167172168, 0.),.UNSPECIFIED.); #32962=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126288,#126289,#126290,#126291, #126292),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0798030314785262,-0.0399015157392631, 0.),.UNSPECIFIED.); #32963=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126296,#126297,#126298,#126299), .UNSPECIFIED.,.F.,.F.,(4,4),(4.61070696991109E-16,0.467944620991907), .UNSPECIFIED.); #32964=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126303,#126304,#126305,#126306, #126307),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0801711924687511,-0.0343590824866076, 0.),.UNSPECIFIED.); #32965=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126315,#126316,#126317,#126318, #126319),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0806178827006336,-0.0345505211574144, 0.),.UNSPECIFIED.); #32966=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126323,#126324,#126325,#126326), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.467046183993257),.UNSPECIFIED.); #32967=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126330,#126331,#126332,#126333, #126334),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0802713139384917,-0.0401356569692459, 0.),.UNSPECIFIED.); #32968=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126342,#126343,#126344,#126345, #126346),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0796102397229931,-0.0398051198614966, 0.),.UNSPECIFIED.); #32969=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126348,#126349,#126350,#126351), .UNSPECIFIED.,.F.,.F.,(4,4),(4.67250670278754E-16,0.962835115483067), .UNSPECIFIED.); #32970=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126364,#126365,#126366,#126367), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01341681554361,-0.0505817000605423), .UNSPECIFIED.); #32971=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126369,#126370,#126371,#126372, #126373),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0398051181843849,0.0796102363687698), .UNSPECIFIED.); #32972=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126380,#126381,#126382,#126383, #126384),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0401351005354746,0.0802702010709491), .UNSPECIFIED.); #32973=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126386,#126387,#126388,#126389), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.467046183993258,-1.26942249164224E-15), .UNSPECIFIED.); #32974=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126391,#126392,#126393,#126394, #126395),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0345510110590108,0.0806190258043585), .UNSPECIFIED.); #32975=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126399,#126400,#126401,#126402, #126403),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0343586349403433,0.0801701481941343), .UNSPECIFIED.); #32976=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126405,#126406,#126407,#126408), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.467944620991906,0.),.UNSPECIFIED.); #32977=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126410,#126411,#126412,#126413, #126414),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0399020167172168,0.0798040334344336), .UNSPECIFIED.); #32978=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126418,#126419,#126420,#126421, #126422),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0399015157392631,0.0798030314785262), .UNSPECIFIED.); #32979=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126424,#126425,#126426,#126427), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.467944620991907,-4.61070696991109E-16), .UNSPECIFIED.); #32980=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126429,#126430,#126431,#126432, #126433),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0343590824866076,0.0801711924687511), .UNSPECIFIED.); #32981=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126437,#126438,#126439,#126440, #126441),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0345505211574144,0.0806178827006336), .UNSPECIFIED.); #32982=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126443,#126444,#126445,#126446), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.467046183993257,0.),.UNSPECIFIED.); #32983=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126448,#126449,#126450,#126451, #126452),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0401356569692459,0.0802713139384917), .UNSPECIFIED.); #32984=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126456,#126457,#126458,#126459, #126460),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0398051198614966,0.0796102397229931), .UNSPECIFIED.); #32985=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126461,#126462,#126463,#126464), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.962835115483067,-4.67250670278754E-16), .UNSPECIFIED.); #32986=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126488,#126489,#126490,#126491), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505817000605423,1.01341681554361), .UNSPECIFIED.); #32987=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126519,#126520,#126521,#126522, #126523),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0796102363687698,-0.0398051181843849, 0.),.UNSPECIFIED.); #32988=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126555,#126556,#126557,#126558, #126559),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0802702010709491,-0.0401351005354746, 0.),.UNSPECIFIED.); #32989=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126583,#126584,#126585,#126586), .UNSPECIFIED.,.F.,.F.,(4,4),(1.26942249164224E-15,0.467046183993258), .UNSPECIFIED.); #32990=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126613,#126614,#126615,#126616, #126617),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0806190258043585,-0.0345510110590108, 0.),.UNSPECIFIED.); #32991=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126649,#126650,#126651,#126652, #126653),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0801701481941343,-0.0343586349403433, 0.),.UNSPECIFIED.); #32992=B_SPLINE_CURVE_WITH_KNOTS('',3,(#126676,#126677,#126678,#126679), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.467944620991906),.UNSPECIFIED.); #32993=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127042,#127043,#127044,#127045), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #32994=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127049,#127050,#127051,#127052), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #32995=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127063,#127064,#127065,#127066), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #32996=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127068,#127069,#127070,#127071), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #32997=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127082,#127083,#127084,#127085), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #32998=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127087,#127088,#127089,#127090), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #32999=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127101,#127102,#127103,#127104), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33000=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127106,#127107,#127108,#127109), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33001=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127120,#127121,#127122,#127123), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33002=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127125,#127126,#127127,#127128), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33003=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127138,#127139,#127140,#127141), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33004=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127142,#127143,#127144,#127145), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33005=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127156,#127157,#127158,#127159), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33006=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127163,#127164,#127165,#127166), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33007=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127177,#127178,#127179,#127180), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33008=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127183,#127184,#127185,#127186), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33009=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127196,#127197,#127198,#127199), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33010=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127202,#127203,#127204,#127205), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33011=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127215,#127216,#127217,#127218), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33012=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127221,#127222,#127223,#127224), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33013=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127234,#127235,#127236,#127237), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33014=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127240,#127241,#127242,#127243), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33015=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127253,#127254,#127255,#127256), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33016=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127259,#127260,#127261,#127262), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33017=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127272,#127273,#127274,#127275), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33018=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127278,#127279,#127280,#127281), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33019=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127290,#127291,#127292,#127293), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33020=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127294,#127295,#127296,#127297), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33021=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127468,#127469,#127470,#127471), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33022=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127475,#127476,#127477,#127478), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33023=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127507,#127508,#127509,#127510), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33024=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127512,#127513,#127514,#127515), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33025=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127526,#127527,#127528,#127529), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33026=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127531,#127532,#127533,#127534), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33027=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127544,#127545,#127546,#127547), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33028=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127548,#127549,#127550,#127551), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33029=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127570,#127571,#127572,#127573), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33030=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127576,#127577,#127578,#127579), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33031=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127589,#127590,#127591,#127592), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33032=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127595,#127596,#127597,#127598), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33033=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127608,#127609,#127610,#127611), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33034=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127614,#127615,#127616,#127617), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33035=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127668,#127669,#127670,#127671), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33036=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127675,#127676,#127677,#127678), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33037=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127707,#127708,#127709,#127710), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33038=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127712,#127713,#127714,#127715), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33039=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127726,#127727,#127728,#127729), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33040=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127731,#127732,#127733,#127734), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33041=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127744,#127745,#127746,#127747), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33042=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127748,#127749,#127750,#127751), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33043=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127762,#127763,#127764,#127765), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33044=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127769,#127770,#127771,#127772), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33045=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127801,#127802,#127803,#127804), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33046=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127806,#127807,#127808,#127809), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33047=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127820,#127821,#127822,#127823), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33048=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127825,#127826,#127827,#127828), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33049=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127838,#127839,#127840,#127841), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33050=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127842,#127843,#127844,#127845), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33051=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127856,#127857,#127858,#127859), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33052=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127863,#127864,#127865,#127866), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33053=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127883,#127884,#127885,#127886), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33054=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127889,#127890,#127891,#127892), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33055=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127902,#127903,#127904,#127905), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33056=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127908,#127909,#127910,#127911), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33057=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127921,#127922,#127923,#127924), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33058=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127927,#127928,#127929,#127930), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33059=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127958,#127959,#127960,#127961), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33060=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127964,#127965,#127966,#127967), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33061=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127976,#127977,#127978,#127979), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33062=B_SPLINE_CURVE_WITH_KNOTS('',2,(#127980,#127981,#127982,#127983), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33063=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128005,#128006,#128007,#128008, #128009),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33064=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128012,#128013,#128014,#128015, #128016),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33065=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128030,#128031,#128032), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33066=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128035,#128036,#128037), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33067=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128047,#128048,#128049,#128050), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33068=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128053,#128054,#128055,#128056), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33069=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128083,#128084,#128085,#128086), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33070=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128090,#128091,#128092,#128093), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33071=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128102,#128103,#128104), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33072=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128107,#128108,#128109), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33073=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128117,#128118,#128119), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33074=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128122,#128123,#128124), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33075=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128138,#128139,#128140), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33076=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128143,#128144,#128145), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33077=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128153,#128154,#128155), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33078=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128158,#128159,#128160), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33079=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128168,#128169,#128170), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33080=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128173,#128174,#128175), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33081=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128183,#128184,#128185), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33082=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128188,#128189,#128190), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33083=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128213,#128214,#128215,#128216), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33084=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128219,#128220,#128221,#128222), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33085=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128234,#128235,#128236,#128237, #128238),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33086=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128241,#128242,#128243,#128244, #128245),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33087=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128255,#128256,#128257,#128258), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33088=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128261,#128262,#128263,#128264), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33089=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128274,#128275,#128276,#128277), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33090=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128280,#128281,#128282,#128283), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33091=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128299,#128300,#128301,#128302), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33092=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128305,#128306,#128307,#128308), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33093=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128318,#128319,#128320,#128321), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33094=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128324,#128325,#128326,#128327), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33095=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128337,#128338,#128339,#128340), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33096=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128343,#128344,#128345,#128346), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33097=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128356,#128357,#128358,#128359), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33098=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128362,#128363,#128364,#128365), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33099=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128373,#128374,#128375), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33100=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128378,#128379,#128380), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33101=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128401,#128402,#128403,#128404), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33102=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128408,#128409,#128410,#128411), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33103=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128422,#128423,#128424,#128425), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33104=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128427,#128428,#128429,#128430), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33105=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128441,#128442,#128443,#128444), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33106=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128446,#128447,#128448,#128449), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33107=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128458,#128459,#128460), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33108=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128462,#128463,#128464), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33109=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128505,#128506,#128507,#128508, #128509,#128510,#128511),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,1,3),(0.,1.,2., 3.,4.,5.),.UNSPECIFIED.); #33110=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128514,#128515,#128516,#128517, #128518,#128519,#128520),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,1,3),(0.,1.,2., 3.,4.,5.),.UNSPECIFIED.); #33111=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128530,#128531,#128532,#128533), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33112=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128536,#128537,#128538,#128539), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33113=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128547,#128548,#128549), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33114=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128552,#128553,#128554), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33115=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128562,#128563,#128564), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33116=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128567,#128568,#128569), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33117=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128677,#128678,#128679,#128680), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33118=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128683,#128684,#128685,#128686), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33119=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128702,#128703,#128704,#128705), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33120=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128708,#128709,#128710,#128711), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33121=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128746,#128747,#128748,#128749), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33122=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128752,#128753,#128754,#128755), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33123=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128767,#128768,#128769,#128770, #128771),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33124=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128774,#128775,#128776,#128777, #128778),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33125=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128786,#128787,#128788), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33126=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128791,#128792,#128793), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33127=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128805,#128806,#128807,#128808, #128809),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33128=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128812,#128813,#128814,#128815, #128816),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33129=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128828,#128829,#128830,#128831, #128832),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33130=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128835,#128836,#128837,#128838, #128839),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33131=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128857,#128858,#128859,#128860, #128861),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33132=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128864,#128865,#128866,#128867, #128868),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33133=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128880,#128881,#128882,#128883, #128884),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33134=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128887,#128888,#128889,#128890, #128891),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33135=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128903,#128904,#128905,#128906, #128907),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33136=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128910,#128911,#128912,#128913, #128914),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33137=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128924,#128925,#128926,#128927), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33138=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128930,#128931,#128932,#128933), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33139=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128985,#128986,#128987,#128988), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33140=B_SPLINE_CURVE_WITH_KNOTS('',2,(#128992,#128993,#128994,#128995), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33141=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129006,#129007,#129008,#129009), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33142=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129011,#129012,#129013,#129014), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33143=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129025,#129026,#129027,#129028), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33144=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129030,#129031,#129032,#129033), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33145=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129045,#129046,#129047,#129048, #129049),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33146=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129050,#129051,#129052,#129053, #129054),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33147=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129065,#129066,#129067,#129068), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33148=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129072,#129073,#129074,#129075), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33149=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129084,#129085,#129086), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33150=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129089,#129090,#129091), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33151=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129099,#129100,#129101), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33152=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129104,#129105,#129106), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33153=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129116,#129117,#129118,#129119), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33154=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129122,#129123,#129124,#129125), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33155=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129137,#129138,#129139,#129140, #129141),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33156=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129144,#129145,#129146,#129147, #129148),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33157=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129158,#129159,#129160,#129161), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33158=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129164,#129165,#129166,#129167), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33159=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129177,#129178,#129179,#129180), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33160=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129183,#129184,#129185,#129186), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33161=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129196,#129197,#129198,#129199), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33162=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129202,#129203,#129204,#129205), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33163=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129213,#129214,#129215), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33164=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129218,#129219,#129220), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33165=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129228,#129229,#129230), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33166=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129233,#129234,#129235), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33167=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129243,#129244,#129245), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33168=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129248,#129249,#129250), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33169=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129271,#129272,#129273), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33170=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129275,#129276,#129277), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33171=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129286,#129287,#129288), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33172=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129290,#129291,#129292), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33173=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129362,#129363,#129364,#129365), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33174=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129369,#129370,#129371,#129372), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33175=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129383,#129384,#129385,#129386), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33176=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129388,#129389,#129390,#129391), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33177=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129400,#129401,#129402), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33178=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129404,#129405,#129406), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33179=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129453,#129454,#129455,#129456), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33180=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129459,#129460,#129461,#129462), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33181=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129474,#129475,#129476,#129477, #129478),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33182=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129481,#129482,#129483,#129484, #129485),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #33183=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129493,#129494,#129495), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33184=B_SPLINE_CURVE_WITH_KNOTS('',2,(#129498,#129499,#129500), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33185=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133204,#133205,#133206), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33186=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133209,#133210,#133211), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33187=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133219,#133220,#133221), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33188=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133224,#133225,#133226), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33189=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133234,#133235,#133236), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33190=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133239,#133240,#133241), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33191=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133249,#133250,#133251), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33192=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133254,#133255,#133256), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33193=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133270,#133271,#133272), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33194=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133275,#133276,#133277), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33195=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133285,#133286,#133287), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33196=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133290,#133291,#133292), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33197=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133301,#133302,#133303,#133304), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33198=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133305,#133306,#133307,#133308), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33199=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133381,#133382,#133383,#133384), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33200=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133388,#133389,#133390,#133391), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33201=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133400,#133401,#133402), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33202=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133405,#133406,#133407), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33203=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133415,#133416,#133417), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33204=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133420,#133421,#133422), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33205=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133432,#133433,#133434,#133435), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33206=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133438,#133439,#133440,#133441), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33207=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133449,#133450,#133451), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33208=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133454,#133455,#133456), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33209=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133502,#133503,#133504,#133505), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33210=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133508,#133509,#133510,#133511), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33211=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133521,#133522,#133523,#133524), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33212=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133527,#133528,#133529,#133530), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33213=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133540,#133541,#133542,#133543), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33214=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133546,#133547,#133548,#133549), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33215=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133559,#133560,#133561,#133562), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33216=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133565,#133566,#133567,#133568), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33217=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133643,#133644,#133645), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33218=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133648,#133649,#133650), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33219=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133658,#133659,#133660), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33220=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133663,#133664,#133665), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33221=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133692,#133693,#133694,#133695), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33222=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133699,#133700,#133701,#133702), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33223=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133711,#133712,#133713), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33224=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133716,#133717,#133718), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33225=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133726,#133727,#133728), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33226=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133731,#133732,#133733), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33227=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133743,#133744,#133745,#133746), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33228=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133749,#133750,#133751,#133752), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33229=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133760,#133761,#133762), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33230=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133765,#133766,#133767), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #33231=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133813,#133814,#133815,#133816), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33232=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133819,#133820,#133821,#133822), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33233=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133832,#133833,#133834,#133835), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33234=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133838,#133839,#133840,#133841), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33235=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133851,#133852,#133853,#133854), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33236=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133857,#133858,#133859,#133860), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33237=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133870,#133871,#133872,#133873), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33238=B_SPLINE_CURVE_WITH_KNOTS('',2,(#133876,#133877,#133878,#133879), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33239=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134150,#134151,#134152,#134153), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33240=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134157,#134158,#134159,#134160), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33241=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134189,#134190,#134191,#134192), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33242=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134194,#134195,#134196,#134197), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33243=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134208,#134209,#134210,#134211), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33244=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134213,#134214,#134215,#134216), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33245=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134226,#134227,#134228,#134229), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33246=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134230,#134231,#134232,#134233), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33247=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134252,#134253,#134254,#134255), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33248=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134258,#134259,#134260,#134261), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33249=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134271,#134272,#134273,#134274), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33250=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134277,#134278,#134279,#134280), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33251=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134290,#134291,#134292,#134293), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33252=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134296,#134297,#134298,#134299), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33253=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134350,#134351,#134352,#134353), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33254=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134357,#134358,#134359,#134360), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33255=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134389,#134390,#134391,#134392), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33256=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134394,#134395,#134396,#134397), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33257=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134408,#134409,#134410,#134411), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33258=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134413,#134414,#134415,#134416), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33259=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134426,#134427,#134428,#134429), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33260=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134430,#134431,#134432,#134433), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33261=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134444,#134445,#134446,#134447), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33262=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134451,#134452,#134453,#134454), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33263=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134465,#134466,#134467,#134468), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33264=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134471,#134472,#134473,#134474), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33265=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134502,#134503,#134504,#134505), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33266=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134508,#134509,#134510,#134511), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33267=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134520,#134521,#134522,#134523), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33268=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134524,#134525,#134526,#134527), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33269=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134618,#134619,#134620,#134621), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33270=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134625,#134626,#134627,#134628), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33271=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134639,#134640,#134641,#134642), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33272=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134645,#134646,#134647,#134648), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33273=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134688,#134689,#134690,#134691), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33274=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134694,#134695,#134696,#134697), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33275=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134707,#134708,#134709,#134710), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33276=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134713,#134714,#134715,#134716), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33277=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134726,#134727,#134728,#134729), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33278=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134732,#134733,#134734,#134735), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33279=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134745,#134746,#134747,#134748), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33280=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134751,#134752,#134753,#134754), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33281=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134764,#134765,#134766,#134767), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33282=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134770,#134771,#134772,#134773), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33283=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134783,#134784,#134785,#134786), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33284=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134789,#134790,#134791,#134792), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33285=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134814,#134815,#134816,#134817), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33286=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134820,#134821,#134822,#134823), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33287=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134833,#134834,#134835,#134836), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33288=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134839,#134840,#134841,#134842), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33289=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134852,#134853,#134854,#134855), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33290=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134858,#134859,#134860,#134861), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33291=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134871,#134872,#134873,#134874), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33292=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134877,#134878,#134879,#134880), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33293=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134890,#134891,#134892,#134893), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33294=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134896,#134897,#134898,#134899), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33295=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134908,#134909,#134910,#134911), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33296=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134912,#134913,#134914,#134915), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33297=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134927,#134928,#134929,#134930), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33298=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134934,#134935,#134936,#134937), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33299=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134948,#134949,#134950,#134951), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33300=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134954,#134955,#134956,#134957), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33301=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134979,#134980,#134981,#134982), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33302=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134985,#134986,#134987,#134988), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33303=B_SPLINE_CURVE_WITH_KNOTS('',2,(#134998,#134999,#135000,#135001), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33304=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135004,#135005,#135006,#135007), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33305=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135017,#135018,#135019,#135020), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33306=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135023,#135024,#135025,#135026), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33307=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135036,#135037,#135038,#135039), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33308=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135042,#135043,#135044,#135045), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33309=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135055,#135056,#135057,#135058), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33310=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135061,#135062,#135063,#135064), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33311=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135074,#135075,#135076,#135077), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33312=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135080,#135081,#135082,#135083), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33313=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135093,#135094,#135095,#135096), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33314=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135099,#135100,#135101,#135102), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33315=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135112,#135113,#135114,#135115), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33316=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135118,#135119,#135120,#135121), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33317=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135143,#135144,#135145,#135146), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33318=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135149,#135150,#135151,#135152), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33319=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135162,#135163,#135164,#135165), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33320=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135168,#135169,#135170,#135171), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33321=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135181,#135182,#135183,#135184), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33322=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135187,#135188,#135189,#135190), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33323=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135200,#135201,#135202,#135203), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33324=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135206,#135207,#135208,#135209), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33325=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135219,#135220,#135221,#135222), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33326=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135225,#135226,#135227,#135228), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33327=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135237,#135238,#135239,#135240), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33328=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135241,#135242,#135243,#135244), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33329=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135256,#135257,#135258,#135259), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33330=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135263,#135264,#135265,#135266), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33331=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135295,#135296,#135297,#135298), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33332=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135300,#135301,#135302,#135303), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33333=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135314,#135315,#135316,#135317), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33334=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135319,#135320,#135321,#135322), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33335=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135332,#135333,#135334,#135335), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33336=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135336,#135337,#135338,#135339), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33337=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135350,#135351,#135352,#135353), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33338=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135357,#135358,#135359,#135360), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33339=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135371,#135372,#135373,#135374), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33340=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135377,#135378,#135379,#135380), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33341=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135420,#135421,#135422,#135423), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33342=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135426,#135427,#135428,#135429), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33343=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135438,#135439,#135440,#135441), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33344=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135442,#135443,#135444,#135445), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33345=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135573,#135574,#135575,#135576), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33346=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135580,#135581,#135582,#135583), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33347=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135612,#135613,#135614,#135615), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33348=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135617,#135618,#135619,#135620), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33349=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135631,#135632,#135633,#135634), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33350=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135636,#135637,#135638,#135639), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33351=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135649,#135650,#135651,#135652), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33352=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135653,#135654,#135655,#135656), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33353=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135667,#135668,#135669,#135670), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33354=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135674,#135675,#135676,#135677), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33355=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135688,#135689,#135690,#135691), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33356=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135694,#135695,#135696,#135697), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33357=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135737,#135738,#135739,#135740), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33358=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135743,#135744,#135745,#135746), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33359=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135755,#135756,#135757,#135758), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33360=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135759,#135760,#135761,#135762), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33361=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135847,#135848,#135849,#135850), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33362=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135854,#135855,#135856,#135857), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33363=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135886,#135887,#135888,#135889), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33364=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135891,#135892,#135893,#135894), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33365=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135905,#135906,#135907,#135908), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33366=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135910,#135911,#135912,#135913), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33367=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135923,#135924,#135925,#135926), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33368=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135927,#135928,#135929,#135930), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33369=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135941,#135942,#135943,#135944), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33370=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135948,#135949,#135950,#135951), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33371=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135962,#135963,#135964,#135965), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33372=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135968,#135969,#135970,#135971), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33373=B_SPLINE_CURVE_WITH_KNOTS('',2,(#135999,#136000,#136001,#136002), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33374=B_SPLINE_CURVE_WITH_KNOTS('',2,(#136005,#136006,#136007,#136008), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33375=B_SPLINE_CURVE_WITH_KNOTS('',2,(#136017,#136018,#136019,#136020), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33376=B_SPLINE_CURVE_WITH_KNOTS('',2,(#136021,#136022,#136023,#136024), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #33377=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136078,#136079,#136080,#136081), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.82352186601593,-1.79857673971103), .UNSPECIFIED.); #33378=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136083,#136084,#136085,#136086), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.92453271712458,-1.82352186601593), .UNSPECIFIED.); #33379=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136088,#136089,#136090,#136091), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.94947152153694,-1.92453271712458), .UNSPECIFIED.); #33380=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136099,#136100,#136101,#136102), .UNSPECIFIED.,.F.,.F.,(4,4),(-4.03947500388288,-4.01453503514847), .UNSPECIFIED.); #33381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136104,#136105,#136106,#136107), .UNSPECIFIED.,.F.,.F.,(4,4),(-4.14048124863273,-4.03947500388288), .UNSPECIFIED.); #33382=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136109,#136110,#136111,#136112), .UNSPECIFIED.,.F.,.F.,(4,4),(-4.16542056625049,-4.14048124863273), .UNSPECIFIED.); #33383=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136120,#136121,#136122,#136123), .UNSPECIFIED.,.F.,.F.,(4,4),(-6.4608684941235,-6.43592887402099), .UNSPECIFIED.); #33384=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136125,#136126,#136127,#136128), .UNSPECIFIED.,.F.,.F.,(4,4),(-6.56187464548497,-6.4608684941235), .UNSPECIFIED.); #33385=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136130,#136131,#136132,#136133), .UNSPECIFIED.,.F.,.F.,(4,4),(-6.58681426558748,-6.56187464548497), .UNSPECIFIED.); #33386=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136141,#136142,#136143,#136144), .UNSPECIFIED.,.F.,.F.,(4,4),(-8.8821803924171,-8.85724107442152), .UNSPECIFIED.); #33387=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136146,#136147,#136148,#136149), .UNSPECIFIED.,.F.,.F.,(4,4),(-8.98318663716409,-8.8821803924171), .UNSPECIFIED.); #33388=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136151,#136152,#136153,#136154), .UNSPECIFIED.,.F.,.F.,(4,4),(-9.00812660552022,-8.98318663716409), .UNSPECIFIED.); #33389=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136162,#136163,#136164,#136165), .UNSPECIFIED.,.F.,.F.,(4,4),(-11.098183790671,-11.073244985326), .UNSPECIFIED.); #33390=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136167,#136168,#136169,#136170), .UNSPECIFIED.,.F.,.F.,(4,4),(-11.1991946421888,-11.098183790671), .UNSPECIFIED.); #33391=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136172,#136173,#136174,#136175), .UNSPECIFIED.,.F.,.F.,(4,4),(-11.2241397677562,-11.1991946421888), .UNSPECIFIED.); #33392=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136205,#136206,#136207,#136208), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0664173287886358,-8.39889318400801E-12), .UNSPECIFIED.); #33393=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136216,#136217,#136218,#136219), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0664173218647434,0.),.UNSPECIFIED.); #33394=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136265,#136266,#136267,#136268), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637164701689065,-2.61641308341609E-12), .UNSPECIFIED.); #33395=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136270,#136271,#136272,#136273, #136274),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.21018958255956,-0.518652678239822, -1.9713682488088E-14),.UNSPECIFIED.); #33396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136276,#136277,#136278,#136279), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0216350967898706,-1.79502058394945E-13), .UNSPECIFIED.); #33397=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136281,#136282,#136283,#136284), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.839788428391418,-6.7943241135682E-9), .UNSPECIFIED.); #33398=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136296,#136297,#136298,#136299), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.839788399894592,0.),.UNSPECIFIED.); #33399=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136301,#136302,#136303,#136304), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0216351206382286,0.),.UNSPECIFIED.); #33400=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136306,#136307,#136308,#136309, #136310),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.691536904320877,1.21018958256154), .UNSPECIFIED.); #33401=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136312,#136313,#136314,#136315), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0637753693476042),.UNSPECIFIED.); #33402=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136317,#136318,#136319,#136320), .UNSPECIFIED.,.F.,.F.,(4,4),(1.68394463697702,1.80318628316595), .UNSPECIFIED.); #33403=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136322,#136323,#136324,#136325, #136326,#136327,#136328),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.358130342815063, 0.685825285163918,1.13276968436473,1.57971408356555,1.68394463697702), .UNSPECIFIED.); #33404=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136329,#136330,#136331,#136332), .UNSPECIFIED.,.F.,.F.,(4,4),(0.238880885963103,0.358190188541707), .UNSPECIFIED.); #33405=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136360,#136361,#136362,#136363, #136364,#136365),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.179935149748921,0.181075865299483), .UNSPECIFIED.); #33406=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136366,#136367,#136368,#136369, #136370,#136371),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.181075865299483,-0.179935149748921, 0.),.UNSPECIFIED.); #33407=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136390,#136391,#136392,#136393), .UNSPECIFIED.,.F.,.F.,(4,4),(2.61641308341609E-12,0.0637164701689065), .UNSPECIFIED.); #33408=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136416,#136417,#136418,#136419, #136420),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.9713682488088E-14,0.518652678239822, 1.21018958255956),.UNSPECIFIED.); #33409=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136443,#136444,#136445,#136446), .UNSPECIFIED.,.F.,.F.,(4,4),(1.79502058394945E-13,0.0216350967898706), .UNSPECIFIED.); #33410=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136489,#136490,#136491,#136492), .UNSPECIFIED.,.F.,.F.,(4,4),(1.57111390725028E-8,0.839788428391418), .UNSPECIFIED.); #33411=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136516,#136517,#136518,#136519, #136520),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.21018958256154,-0.691536904320877, 0.),.UNSPECIFIED.); #33412=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136539,#136540,#136541,#136542), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637753693476042,0.),.UNSPECIFIED.); #33413=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136569,#136570,#136571,#136572, #136573,#136574),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.179935149750163,0.,0.00114071554733858), .UNSPECIFIED.); #33414=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136575,#136576,#136577,#136578, #136579,#136580),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00114071554733858,0., 0.179935149750163),.UNSPECIFIED.); #33415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136603,#136604,#136605,#136606), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0216351206382286),.UNSPECIFIED.); #33416=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136627,#136628,#136629,#136630), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.839788402019908),.UNSPECIFIED.); #33417=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136648,#136649,#136650,#136651), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.190019216388081,0.),.UNSPECIFIED.); #33418=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136682,#136683,#136684,#136685, #136686),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.15419170349612,1.57971408356555, 1.70435310444778),.UNSPECIFIED.); #33419=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136688,#136689,#136690,#136691, #136692),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.15419170349612,-1.13276968436473, -0.887874309564989),.UNSPECIFIED.); #33420=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136693,#136694,#136695,#136696, #136697),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.33775988046747,0.685825285163918, 0.88792321087297),.UNSPECIFIED.); #33421=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136721,#136722,#136723,#136724), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.31671118741089,-1.29291365594342), .UNSPECIFIED.); #33422=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136747,#136748,#136749,#136750), .UNSPECIFIED.,.F.,.F.,(4,4),(0.288340901830359,0.535012512078424), .UNSPECIFIED.); #33423=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136753,#136754,#136755,#136756), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.535012512078423,0.547935941865245), .UNSPECIFIED.); #33424=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136785,#136786,#136787,#136788, #136789),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.68701786862897,-0.294436231166098, -3.28889247682201E-9),.UNSPECIFIED.); #33425=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136790,#136791,#136792,#136793, #136794),.UNSPECIFIED.,.F.,.F.,(4,1,4),(3.06894422452056E-9,0.294436231166098, 0.68701786862897),.UNSPECIFIED.); #33426=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136848,#136849,#136850,#136851, #136852),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.68702730380043,-0.294440273057328, -1.44647350540572E-15),.UNSPECIFIED.); #33427=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136853,#136854,#136855,#136856, #136857),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.44647350540572E-15,0.294440273057328, 0.68702730380043),.UNSPECIFIED.); #33428=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136880,#136881,#136882,#136883), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.545881416872283,0.537067038055326), .UNSPECIFIED.); #33429=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136884,#136885,#136886,#136887), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.537067038055331,-0.29039542514482), .UNSPECIFIED.); #33430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136910,#136911,#136912,#136913), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0237975052264478,0.),.UNSPECIFIED.); #33431=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136940,#136941,#136942,#136943, #136944),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-2.2403863554471,-1.68629204101463, -0.947499621771329),.UNSPECIFIED.); #33432=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136962,#136963,#136964,#136965), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.634539017488337,-0.444519802167655), .UNSPECIFIED.); #33433=B_SPLINE_CURVE_WITH_KNOTS('',3,(#136991,#136992,#136993,#136994, #136995),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.29288673367362,-0.554094314431553, 0.),.UNSPECIFIED.); #33434=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137127,#137128,#137129,#137130), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0787669195730952),.UNSPECIFIED.); #33435=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137135,#137136,#137137,#137138, #137139,#137140),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0801579024914378,-0.0572556446367413, -0.0343533867820448,0.),.UNSPECIFIED.); #33436=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137146,#137147,#137148,#137149), .UNSPECIFIED.,.F.,.F.,(4,4),(4.70715750048527E-16,0.0306080430922467), .UNSPECIFIED.); #33437=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137241,#137242,#137243,#137244), .UNSPECIFIED.,.F.,.F.,(4,4),(4.76720995718297E-18,0.0306080430922243), .UNSPECIFIED.); #33438=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137249,#137250,#137251,#137252, #137253,#137254,#137255),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.080158200107731, -0.0572558572198078,-0.0343535143318847,-0.0114511714439616,0.), .UNSPECIFIED.); #33439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137261,#137262,#137263,#137264), .UNSPECIFIED.,.F.,.F.,(4,4),(4.89619125498356E-16,0.0787669195730782), .UNSPECIFIED.); #33440=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137334,#137335,#137336,#137337, #137338,#137339),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(1.30828972960935E-15, 0.59458510599484,0.990975176658065,1.38736524732129),.UNSPECIFIED.); #33441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137347,#137348,#137349,#137350, #137351,#137352,#137353,#137354,#137355,#137356),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.0154619386814479,-0.00883159602595907,-0.0066214818074628, -0.00441136758896654,-0.00220125337047028,-0.00109619626122215,-0.000543667706598083, 8.8608480259822E-6),.UNSPECIFIED.); #33442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137362,#137363,#137364,#137365), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.197188118250034),.UNSPECIFIED.); #33443=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137366,#137367,#137368,#137369), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.212092694308646),.UNSPECIFIED.); #33444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137382,#137383,#137384,#137385), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.204398468036011,-0.0409655822080651), .UNSPECIFIED.); #33445=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137387,#137388,#137389,#137390), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.6173056692638),.UNSPECIFIED.); #33446=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137392,#137393,#137394,#137395), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.589718374926714),.UNSPECIFIED.); #33447=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137397,#137398,#137399,#137400), .UNSPECIFIED.,.F.,.F.,(4,4),(0.552588135873074,1.01180835696111), .UNSPECIFIED.); #33448=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137412,#137413,#137414,#137415), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0355039702042015,0.546121552886093), .UNSPECIFIED.); #33449=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137419,#137420,#137421,#137422, #137423,#137424),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0669419719427277,-0.0621604025182471, -0.0382525553958444,0.),.UNSPECIFIED.); #33450=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137495,#137496,#137497,#137498, #137499,#137500),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(2.1563551548973E-16,0.594577877588865, 0.990963129314774,1.38734838104068),.UNSPECIFIED.); #33451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137508,#137509,#137510,#137511, #137512,#137513,#137514,#137515,#137516,#137517),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.0174102580156354,-0.00994476460144053,-0.00745626679670889, -0.00496776899197724,-0.0024792711872456,-0.00123502228487978,-0.000612897833696874, 9.22661748603559E-6),.UNSPECIFIED.); #33452=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137523,#137524,#137525,#137526), .UNSPECIFIED.,.F.,.F.,(4,4),(3.20758919160098E-13,0.506476251593771), .UNSPECIFIED.); #33453=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137527,#137528,#137529,#137530), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.123156683276489),.UNSPECIFIED.); #33454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137543,#137544,#137545,#137546), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000794497539381171),.UNSPECIFIED.); #33455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137548,#137549,#137550,#137551), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00739528804939575),.UNSPECIFIED.); #33456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137553,#137554,#137555,#137556), .UNSPECIFIED.,.F.,.F.,(4,4),(1.86940718503162E-13,0.121627840313366), .UNSPECIFIED.); #33457=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137558,#137559,#137560,#137561), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.076922141836897),.UNSPECIFIED.); #33458=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137563,#137564,#137565,#137566, #137567),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.229334164139351,0.458668328278702), .UNSPECIFIED.); #33459=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137569,#137570,#137571,#137572), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.54911998600892),.UNSPECIFIED.); #33460=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137574,#137575,#137576,#137577), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.622480456144241,-0.163250978505926), .UNSPECIFIED.); #33461=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137589,#137590,#137591,#137592), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0206341962205702),.UNSPECIFIED.); #33462=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137594,#137595,#137596,#137597), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.75645399135801),.UNSPECIFIED.); #33463=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137601,#137602,#137603,#137604, #137605,#137606,#137607,#137608,#137609,#137610,#137611,#137612,#137613, #137614,#137615,#137616,#137617,#137618,#137619,#137620,#137621,#137622), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(-0.0654815716773444, -0.0653354074548503,-0.0651892432323563,-0.0648969147873681,-0.0643122578973918, -0.0631429441174393,-0.0608043165575341,-0.0584656889976289,-0.0561270614377238, -0.0514498063179135,-0.0491111787580083,-0.0467725511981032,-0.0374180409584825, -0.0327407858386722,-0.0280635307188619,-0.0233862755990516,-0.0187090204792413, -0.014031765359431,-0.0116931377995258,0.),.UNSPECIFIED.); #33464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137680,#137681,#137682,#137683), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0306080430922243,-4.76720995718297E-18), .UNSPECIFIED.); #33465=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137721,#137722,#137723,#137724, #137725,#137726,#137727),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0114511714439616, 0.0343535143318847,0.0572558572198078,0.080158200107731),.UNSPECIFIED.); #33466=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137750,#137751,#137752,#137753), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0787669195730782,-4.89619125498356E-16), .UNSPECIFIED.); #33467=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137793,#137794,#137795,#137796), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.233775865239553,-8.75548959931301E-16), .UNSPECIFIED.); #33468=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137797,#137798,#137799,#137800), .UNSPECIFIED.,.F.,.F.,(4,4),(8.75548959931301E-16,0.233775865239553), .UNSPECIFIED.); #33469=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137850,#137851,#137852,#137853, #137854,#137855,#137856,#137857),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.0807314396181818, -0.0691983768155844,-0.057665314012987,-0.0403657198090909,-0.0172995942038961, 0.),.UNSPECIFIED.); #33470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137860,#137861,#137862,#137863, #137864,#137865,#137866,#137867),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.0172995942038961,0.0403657198090909,0.057665314012987,0.0691983768155844, 0.0807314396181818),.UNSPECIFIED.); #33471=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137890,#137891,#137892,#137893), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0262882963784154,0.),.UNSPECIFIED.); #33472=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137894,#137895,#137896,#137897), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0262882963784154),.UNSPECIFIED.); #33473=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137938,#137939,#137940,#137941, #137942,#137943),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0361237335128051,0.0362667532786928), .UNSPECIFIED.); #33474=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137945,#137946,#137947,#137948), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0895253076724079,0.),.UNSPECIFIED.); #33475=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137950,#137951,#137952,#137953), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0886252857755896),.UNSPECIFIED.); #33476=B_SPLINE_CURVE_WITH_KNOTS('',3,(#137954,#137955,#137956,#137957), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.137476925891562,0.),.UNSPECIFIED.); #33477=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138021,#138022,#138023,#138024), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0262882963786271,0.),.UNSPECIFIED.); #33478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138025,#138026,#138027,#138028), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0262882963786271),.UNSPECIFIED.); #33479=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138072,#138073,#138074,#138075, #138076,#138077,#138078),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0807325330527834, -0.0576660950377024,-0.0403662665263917,-0.0172998285113107,0.), .UNSPECIFIED.); #33480=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138081,#138082,#138083,#138084, #138085,#138086,#138087),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0172998285113107, 0.0403662665263917,0.0576660950377024,0.0807325330527834),.UNSPECIFIED.); #33481=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138111,#138112,#138113,#138114), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.233775865456877,0.),.UNSPECIFIED.); #33482=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138115,#138116,#138117,#138118), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.233775865456877),.UNSPECIFIED.); #33483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138154,#138155,#138156,#138157), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0787669195730952,0.),.UNSPECIFIED.); #33484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138190,#138191,#138192,#138193, #138194,#138195),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0343533867820448, 0.0572556446367413,0.0801579024914378),.UNSPECIFIED.); #33485=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138217,#138218,#138219,#138220), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0306080430922467,-4.70715750048527E-16), .UNSPECIFIED.); #33486=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138255,#138256,#138257,#138258), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.137476925913764),.UNSPECIFIED.); #33487=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138260,#138261,#138262,#138263), .UNSPECIFIED.,.F.,.F.,(4,4),(7.54864538977318E-13,0.0886252857479287), .UNSPECIFIED.); #33488=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138265,#138266,#138267,#138268), .UNSPECIFIED.,.F.,.F.,(4,4),(9.19359809672124E-12,0.0895266913325423), .UNSPECIFIED.); #33489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138270,#138271,#138272,#138273, #138274,#138275),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000143019766034437,0., 0.0361237335787988),.UNSPECIFIED.); #33490=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138316,#138317,#138318,#138319, #138320,#138321),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0669375340488607,-0.0286849786529693, -0.00477713153053721,4.43789394921624E-6),.UNSPECIFIED.); #33491=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138325,#138326,#138327,#138328, #138329,#138330),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-6.5268328055027E-13, 0.00477713153053721,0.0286849786529693,0.0669375340488607), .UNSPECIFIED.); #33492=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138335,#138336,#138337,#138338, #138339,#138340,#138341,#138342,#138343,#138344),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.322828530165341,0.645657060330681,0.968485590496022,1.29131412066136), .UNSPECIFIED.); #33493=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138378,#138379,#138380,#138381), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.75645399135801,0.),.UNSPECIFIED.); #33494=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138416,#138417,#138418,#138419, #138420),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.891641463195012,-0.382132055655005, 0.),.UNSPECIFIED.); #33495=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138422,#138423,#138424,#138425, #138426),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.382132055655005,0.891641463195012), .UNSPECIFIED.); #33496=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138448,#138449,#138450,#138451), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0206341962205702,0.),.UNSPECIFIED.); #33497=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138482,#138483,#138484,#138485, #138486,#138487,#138488,#138489,#138490,#138491,#138492,#138493,#138494), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.285714285715231,0.42857142857285, 0.714285714288088,1.00000000000333),.UNSPECIFIED.); #33498=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138496,#138497,#138498,#138499), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.510620161491646,0.),.UNSPECIFIED.); #33499=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138502,#138503,#138504,#138505), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.510617582681883),.UNSPECIFIED.); #33500=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138535,#138536,#138537,#138538), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.166091261079423,0.),.UNSPECIFIED.); #33501=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138540,#138541,#138542,#138543), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.166091261079423),.UNSPECIFIED.); #33502=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138573,#138574,#138575,#138576, #138577),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.,-0.571428571428571,0.), .UNSPECIFIED.); #33503=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138603,#138604,#138605,#138606), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.14603782156148,0.),.UNSPECIFIED.); #33504=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138609,#138610,#138611,#138612), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.14603782156148),.UNSPECIFIED.); #33505=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138622,#138623,#138624,#138625, #138626),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.65463548429609,-1.17327249018593, -0.812250244603319),.UNSPECIFIED.); #33506=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138647,#138648,#138649,#138650, #138651),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.812250244603319,1.17327249018593, 1.65463548429609),.UNSPECIFIED.); #33507=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138673,#138674,#138675,#138676), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.187585016503063),.UNSPECIFIED.); #33508=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138677,#138678,#138679,#138680, #138681),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.265609821949986,1.10574233539794, 2.20875585981711),.UNSPECIFIED.); #33509=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138711,#138712,#138713,#138714, #138715,#138716,#138717,#138718,#138719,#138720,#138721,#138722,#138723), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.285714285715239,0.571428571430478, 0.714285714288098,1.00000000000333),.UNSPECIFIED.); #33510=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138726,#138727,#138728,#138729), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.546121552886093,-0.0355013913944386), .UNSPECIFIED.); #33511=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138761,#138762,#138763,#138764), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.166091261123681,-4.42887263473421E-11), .UNSPECIFIED.); #33512=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138765,#138766,#138767,#138768), .UNSPECIFIED.,.F.,.F.,(4,4),(4.42887263473421E-11,0.166091261123681), .UNSPECIFIED.); #33513=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138798,#138799,#138800,#138801, #138802),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.891641463912336,-0.38213205596243, 0.),.UNSPECIFIED.); #33514=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138804,#138805,#138806,#138807, #138808),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.38213205596243,0.891641463912336), .UNSPECIFIED.); #33515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138832,#138833,#138834,#138835), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.020634196220612,-8.81249690191157E-16), .UNSPECIFIED.); #33516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138836,#138837,#138838,#138839), .UNSPECIFIED.,.F.,.F.,(4,4),(8.81249690191157E-16,0.020634196220612), .UNSPECIFIED.); #33517=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138874,#138875,#138876,#138877), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.756453991357953,0.),.UNSPECIFIED.); #33518=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138879,#138880,#138881,#138882), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.756453991357953),.UNSPECIFIED.); #33519=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138915,#138916,#138917,#138918, #138919,#138920),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0382525553958444, 0.0621604025182471,0.0669419719427277),.UNSPECIFIED.); #33520=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138923,#138924,#138925,#138926, #138927,#138928,#138929,#138930,#138931,#138932),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.322828530165343,0.645657060330687,0.96848559049603,1.29131412066137), .UNSPECIFIED.); #33521=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138959,#138960,#138961,#138962, #138963),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.,-0.571428571428571,0.), .UNSPECIFIED.); #33522=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138985,#138986,#138987,#138988), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0944598297470017,0.240497651308517), .UNSPECIFIED.); #33523=B_SPLINE_CURVE_WITH_KNOTS('',3,(#138991,#138992,#138993,#138994), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.240497651308517,-0.0944598297470017), .UNSPECIFIED.); #33524=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139006,#139007,#139008,#139009, #139010,#139011),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0654773568658625,-0.0280593159073895, -0.00467304030834394,4.21481146517522E-6),.UNSPECIFIED.); #33525=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139073,#139074,#139075,#139076, #139077),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0473236166147354,-0.0202815499777437, 0.),.UNSPECIFIED.); #33526=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139080,#139081,#139082,#139083, #139084),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0202815499777437,0.0473236166147354), .UNSPECIFIED.); #33527=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139106,#139107,#139108,#139109), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.163295525472245,0.),.UNSPECIFIED.); #33528=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139112,#139113,#139114,#139115), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.163295525472245),.UNSPECIFIED.); #33529=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139136,#139137,#139138,#139139), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.187585016503065,0.),.UNSPECIFIED.); #33530=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139140,#139141,#139142,#139143), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.187588390065374),.UNSPECIFIED.); #33531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139167,#139168,#139169,#139170), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0319643082794541,-2.2815083156047E-14), .UNSPECIFIED.); #33532=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139171,#139172,#139173,#139174), .UNSPECIFIED.,.F.,.F.,(4,4),(-6.96732337911948E-14,0.0319643082794528), .UNSPECIFIED.); #33533=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139235,#139236,#139237,#139238, #139239,#139240,#139241,#139242,#139243,#139244,#139245,#139246,#139247, #139248),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.0385604778762737, -0.027540983408259,-0.0220312361742517,-0.0206537993657498,-0.019276362557248, -0.0165214889402443,-0.011011741706237,-0.00550199447222961,-0.00274712085522594, -0.0013696840467241,-0.000680965642473179,7.75276177774004E-6), .UNSPECIFIED.); #33534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139249,#139250,#139251,#139252, #139253,#139254,#139255,#139256,#139257,#139258,#139259,#139260,#139261, #139262),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-7.75276177774004E-6, 0.000680965642473179,0.0013696840467241,0.00274712085522594,0.00550199447222961, 0.011011741706237,0.0165214889402443,0.019276362557248,0.0206537993657498, 0.0220312361742517,0.027540983408259,0.0385604778762737),.UNSPECIFIED.); #33535=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139286,#139287,#139288,#139289), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0318966256765703,-3.21464169941023E-16), .UNSPECIFIED.); #33536=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139290,#139291,#139292,#139293), .UNSPECIFIED.,.F.,.F.,(4,4),(3.21464169941023E-16,0.0318966256765703), .UNSPECIFIED.); #33537=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139303,#139304,#139305,#139306), .UNSPECIFIED.,.F.,.F.,(4,4),(2.53379654771063E-13,0.00956185010272547), .UNSPECIFIED.); #33538=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139335,#139336,#139337,#139338, #139339),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0820484844553911,-0.0410242422278222, -2.53379654771063E-13),.UNSPECIFIED.); #33539=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139358,#139359,#139360,#139361), .UNSPECIFIED.,.F.,.F.,(4,4),(2.35132080009722,2.56237917917585), .UNSPECIFIED.); #33540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139365,#139366,#139367,#139368), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.56237917917585,-2.38030697483692), .UNSPECIFIED.); #33541=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139369,#139370,#139371,#139372), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0317525760651055,0.204398468036011), .UNSPECIFIED.); #33542=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139421,#139422,#139423,#139424, #139425,#139426,#139427,#139428,#139429,#139430,#139431),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(0.,0.0110194944680745,0.022038988936149,0.0275487361701862, 0.0330584834042235,0.0358133570212421,0.0371907938297514,0.037879512234006, 0.0385682306382607),.UNSPECIFIED.); #33543=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139432,#139433,#139434,#139435, #139436,#139437,#139438,#139439,#139440,#139441,#139442),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.0385682306382607,-0.037879512234006,-0.0371907938297514, -0.0358133570212421,-0.0330584834042235,-0.0275487361701862,-0.022038988936149, -0.0110194944680745,0.),.UNSPECIFIED.); #33544=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139466,#139467,#139468,#139469), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0318966256765688,0.),.UNSPECIFIED.); #33545=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139470,#139471,#139472,#139473), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0318966256765688),.UNSPECIFIED.); #33546=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139503,#139504,#139505,#139506, #139507),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0473236166148041,-0.0202815499777732, 0.),.UNSPECIFIED.); #33547=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139510,#139511,#139512,#139513, #139514),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0202815499777732,0.0473236166148041), .UNSPECIFIED.); #33548=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139537,#139538,#139539,#139540), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.163295525472178,0.),.UNSPECIFIED.); #33549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139541,#139542,#139543,#139544), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.163295525472178),.UNSPECIFIED.); #33550=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139565,#139566,#139567,#139568), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.187588390065373,0.),.UNSPECIFIED.); #33551=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139592,#139593,#139594,#139595), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0319653406013624,5.02693133123294E-16), .UNSPECIFIED.); #33552=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139596,#139597,#139598,#139599), .UNSPECIFIED.,.F.,.F.,(4,4),(-5.02693133123294E-16,0.0319653406013624), .UNSPECIFIED.); #33553=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139605,#139606,#139607,#139608, #139609),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-2.22591901999522,-1.10574233539794, -0.267424556748882),.UNSPECIFIED.); #33554=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139611,#139612,#139613,#139614), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.56237917917585,-2.35132080009723), .UNSPECIFIED.); #33555=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139616,#139617,#139618,#139619), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0294992175725784,0.),.UNSPECIFIED.); #33556=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139623,#139624,#139625,#139626), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.674330533047222),.UNSPECIFIED.); #33557=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139628,#139629,#139630,#139631, #139632,#139633),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.540797195823775, 0.901328659706292,1.26186012358881),.UNSPECIFIED.); #33558=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139637,#139638,#139639,#139640), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0707051104850295,-4.84791962921439E-12), .UNSPECIFIED.); #33559=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139642,#139643,#139644,#139645), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0941538893573484,0.),.UNSPECIFIED.); #33560=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139647,#139648,#139649,#139650), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0574945572025471,0.),.UNSPECIFIED.); #33561=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139654,#139655,#139656,#139657, #139658,#139659),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.00407428318219976, -0.00232457017197863,-0.0011580948318312,8.38050831621879E-6), .UNSPECIFIED.); #33562=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139660,#139661,#139662,#139663, #139664),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0792056167979959,-0.0396028083989979, 0.),.UNSPECIFIED.); #33563=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139682,#139683,#139684,#139685), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.172645891970908),.UNSPECIFIED.); #33564=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139687,#139688,#139689,#139690), .UNSPECIFIED.,.F.,.F.,(4,4),(2.38030697483696,2.56237917917585), .UNSPECIFIED.); #33565=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139718,#139719,#139720,#139721), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0724866343547977,0.0820484844575679), .UNSPECIFIED.); #33566=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139724,#139725,#139726,#139727, #139728),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0820484844575679,-0.0410242422287839, 1.38777878078145E-17),.UNSPECIFIED.); #33567=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139762,#139763,#139764,#139765), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.134623001567741,-1.38329656711869E-13), .UNSPECIFIED.); #33568=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139766,#139767,#139768,#139769), .UNSPECIFIED.,.F.,.F.,(4,4),(1.38329656711869E-13,0.134623001567741), .UNSPECIFIED.); #33569=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139790,#139791,#139792,#139793, #139794,#139795,#139796,#139797,#139798,#139799),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.109408011321284,-0.0941109181773919,-0.0696355691471652, -0.0378176154078705,-0.0339351749493042),.UNSPECIFIED.); #33570=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139800,#139801,#139802,#139803), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00408266369047572,0.),.UNSPECIFIED.); #33571=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139829,#139830,#139831,#139832, #139833),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.079205615595594,-0.039602807797797, 0.),.UNSPECIFIED.); #33572=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139834,#139835,#139836,#139837, #139838),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.039602807797797,0.079205615595594), .UNSPECIFIED.); #33573=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139859,#139860,#139861,#139862, #139863),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-2.2087558598171,-1.10574233539794, -0.265609821949986),.UNSPECIFIED.); #33574=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139864,#139865,#139866,#139867, #139868),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.166415489358357,0.647778483468543, 1.00880072905118),.UNSPECIFIED.); #33575=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139889,#139890,#139891,#139892, #139893),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.267424556748886,1.10574233539794, 2.22591901999521),.UNSPECIFIED.); #33576=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139898,#139899,#139900,#139901), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.27955334977132,-1.22205879255493), .UNSPECIFIED.); #33577=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139903,#139904,#139905,#139906), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0941538893573901),.UNSPECIFIED.); #33578=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139908,#139909,#139910,#139911), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0707051104801887,0.),.UNSPECIFIED.); #33579=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139915,#139916,#139917,#139918, #139919,#139920),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.540830583597421, 0.901384305995701,1.26193802839398),.UNSPECIFIED.); #33580=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139922,#139923,#139924,#139925), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.674331809679418),.UNSPECIFIED.); #33581=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139928,#139929,#139930,#139931), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0294992176417363,-6.91596481763986E-11), .UNSPECIFIED.); #33582=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139958,#139959,#139960,#139961, #139962,#139963),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(4.83672632161329E-12, 0.00467304030834394,0.0280593159073895,0.0654773568658625), .UNSPECIFIED.); #33583=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140025,#140026,#140027,#140028, #140029,#140030,#140031,#140032,#140033,#140034,#140035,#140036), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(-0.394985007822154,-0.392850691636513, -0.365994332131694,-0.345335594051065,-0.329444257065965,-0.319512171450278), .UNSPECIFIED.); #33584=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140058,#140059,#140060,#140061), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.134623001567601,0.),.UNSPECIFIED.); #33585=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140064,#140065,#140066,#140067), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.134623001567601),.UNSPECIFIED.); #33586=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140093,#140094,#140095,#140096, #140097),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0396028083989979,0.0792056167979959), .UNSPECIFIED.); #33587=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140212,#140213,#140214,#140215, #140216,#140217,#140218,#140219,#140220,#140221,#140222,#140223,#140224, #140225,#140226,#140227,#140228,#140229,#140230,#140231,#140232,#140233), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0116931377995258, 0.014031765359431,0.0187090204792413,0.0233862755990516,0.0280635307188619, 0.0327407858386722,0.0374180409584825,0.0467725511981032,0.0491111787580083, 0.0514498063179135,0.0561270614377238,0.0584656889976289,0.0608043165575341, 0.0631429441174393,0.0643122578973918,0.0648969147873681,0.0651892432323563, 0.0653354074548503,0.0654815716773444),.UNSPECIFIED.); #33588=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140315,#140316,#140317,#140318, #140319,#140320,#140321,#140322,#140323,#140324,#140325),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.0174194846331197,-0.0167973601819369,-0.016175235730754, -0.0149309868283883,-0.0124424890236569,-0.0111982401212912,-0.00995399121892555, -0.00497699560946277,0.),.UNSPECIFIED.); #33589=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140391,#140392,#140393,#140394), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.506476251589269,0.),.UNSPECIFIED.); #33590=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140396,#140397,#140398,#140399), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.506476251589269),.UNSPECIFIED.); #33591=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140422,#140423,#140424,#140425), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.123156683277777,0.),.UNSPECIFIED.); #33592=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140426,#140427,#140428,#140429), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.123156683277777),.UNSPECIFIED.); #33593=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140462,#140463,#140464,#140465, #140466,#140467),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.38736524732129,-0.990975176658065, -0.59458510599484,-1.30828972960935E-15),.UNSPECIFIED.); #33594=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140490,#140491,#140492,#140493), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.212092694308646,0.),.UNSPECIFIED.); #33595=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140515,#140516,#140517,#140518), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.197188118250034,0.),.UNSPECIFIED.); #33596=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140614,#140615,#140616,#140617), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.521291788463599),.UNSPECIFIED.); #33597=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140619,#140620,#140621,#140622), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.622147891532983,-0.162925452081857), .UNSPECIFIED.); #33598=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140645,#140646,#140647,#140648), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.521291792403274,0.),.UNSPECIFIED.); #33599=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140664,#140665,#140666,#140667), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.60978403369415,-1.43531799290005), .UNSPECIFIED.); #33600=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140669,#140670,#140671,#140672), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.590982811170867,0.),.UNSPECIFIED.); #33601=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140691,#140692,#140693,#140694), .UNSPECIFIED.,.F.,.F.,(4,4),(1.43531799290005,1.60978403369415), .UNSPECIFIED.); #33602=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140712,#140713,#140714,#140715), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.13659535289586,-0.0258369101272195), .UNSPECIFIED.); #33603=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140737,#140738,#140739,#140740), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0258369101272195,0.13659535289586), .UNSPECIFIED.); #33604=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140746,#140747,#140748,#140749), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.534578941833084,-0.0753387397500636), .UNSPECIFIED.); #33605=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140750,#140751,#140752,#140753), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.590982811170867),.UNSPECIFIED.); #33606=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140776,#140777,#140778,#140779), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.197188118250045,0.),.UNSPECIFIED.); #33607=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140782,#140783,#140784,#140785), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.197188118250045),.UNSPECIFIED.); #33608=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140810,#140811,#140812,#140813, #140814),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0154707995294796,-0.0132606853109825, 0.),.UNSPECIFIED.); #33609=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140880,#140881,#140882,#140883), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.212092694308624,0.),.UNSPECIFIED.); #33610=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140885,#140886,#140887,#140888), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.212092694308624),.UNSPECIFIED.); #33611=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140921,#140922,#140923,#140924, #140925,#140926),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.38734838104068,-0.990963129314774, -0.594577877588865,-2.1563551548973E-16),.UNSPECIFIED.); #33612=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140949,#140950,#140951,#140952), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.123156683276489,0.),.UNSPECIFIED.); #33613=B_SPLINE_CURVE_WITH_KNOTS('',3,(#140975,#140976,#140977,#140978), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.506476251593771,-3.20758919160098E-13), .UNSPECIFIED.); #33614=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141083,#141084,#141085,#141086), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.136595352895857,-0.0258369101398901), .UNSPECIFIED.); #33615=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141088,#141089,#141090,#141091), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.590982811170867),.UNSPECIFIED.); #33616=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141092,#141093,#141094,#141095), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.625927175894056,-0.166686973811038), .UNSPECIFIED.); #33617=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141117,#141118,#141119,#141120), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0258369101272132,0.136595352895857), .UNSPECIFIED.); #33618=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141138,#141139,#141140,#141141), .UNSPECIFIED.,.F.,.F.,(4,4),(0.211266939960333,0.385732980754446), .UNSPECIFIED.); #33619=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141159,#141160,#141161,#141162), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.385732980754446,-0.211266939960333), .UNSPECIFIED.); #33620=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141177,#141178,#141179,#141180), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.590982811170867,0.),.UNSPECIFIED.); #33621=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141183,#141184,#141185,#141186), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.544130141516177,-0.0228383491128723), .UNSPECIFIED.); #33622=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141209,#141210,#141211,#141212), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0228383530525602,0.544130141516177), .UNSPECIFIED.); #33623=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141217,#141218,#141219,#141220), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.53455831841732,-0.0753358789661944), .UNSPECIFIED.); #33624=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141274,#141275,#141276,#141277, #141278,#141279,#141280,#141281,#141282,#141283),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,2,4),(-0.104864939691519,-0.083891951753215,-0.0629189638149113, -0.0419459758766075,-0.0209729879383038,0.,0.000469370131457246), .UNSPECIFIED.); #33625=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141284,#141285,#141286,#141287, #141288,#141289,#141290,#141291,#141292,#141293),.UNSPECIFIED.,.F.,.F., (4,2,1,1,1,1,4),(-0.000469370131457246,0.,0.0209729879383038,0.0419459758766075, 0.0629189638149113,0.083891951753215,0.104864939691519),.UNSPECIFIED.); #33626=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141346,#141347,#141348,#141349, #141350,#141351,#141352),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0855100589313484, -0.07329433622687,-0.0610786135223917,-0.036647168113435,0.), .UNSPECIFIED.); #33627=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141353,#141354,#141355,#141356, #141357,#141358,#141359),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.036647168113435, 0.0610786135223917,0.07329433622687,0.0855100589313484),.UNSPECIFIED.); #33628=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141409,#141410,#141411,#141412, #141413,#141414,#141415),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0976308296350458, -0.0836835682586107,-0.0697363068821756,-0.0418417841293054,0.), .UNSPECIFIED.); #33629=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141418,#141419,#141420,#141421, #141422,#141423,#141424),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0418417841293054, 0.0697363068821756,0.0836835682586107,0.0976308296350458),.UNSPECIFIED.); #33630=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141456,#141457,#141458,#141459, #141460),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0817504704069973,-0.0408752352034986, -6.08671238664144E-34),.UNSPECIFIED.); #33631=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141492,#141493,#141494,#141495, #141496),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0790377888172822,-0.0395188944086413, -4.99452669263336E-16),.UNSPECIFIED.); #33632=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141527,#141528,#141529,#141530, #141531,#141532,#141533,#141534,#141535,#141536),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-0.000222460997263624,0.0159533593419715,0.0316937789795675, 0.0470753344778717),.UNSPECIFIED.); #33633=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141537,#141538,#141539,#141540, #141541),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.075670807715269,-0.0378354038579058, -5.42549142727004E-13),.UNSPECIFIED.); #33634=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141563,#141564,#141565,#141566), .UNSPECIFIED.,.F.,.F.,(4,4),(-5.027895678282,-4.95406866283019), .UNSPECIFIED.); #33635=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141567,#141568,#141569,#141570, #141571,#141572),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0921347971645491,-0.0394863416419496, -0.0197431708209748,0.),.UNSPECIFIED.); #33636=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141577,#141578,#141579,#141580, #141581,#141582,#141583,#141584),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(0., 0.0329103377066647,0.0493655065599971,0.0822758442666618,0.0825405765925368), .UNSPECIFIED.); #33637=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141585,#141586,#141587,#141588), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00508313572195059,0.),.UNSPECIFIED.); #33638=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141620,#141621,#141622,#141623), .UNSPECIFIED.,.F.,.F.,(4,4),(0.182078330603578,0.187795870446655), .UNSPECIFIED.); #33639=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141626,#141627,#141628,#141629, #141630,#141631),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.187795870446655,-0.162814868491448, -0.137833866536242,-0.0712178613223583),.UNSPECIFIED.); #33640=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141658,#141659,#141660,#141661, #141662,#141663,#141664,#141665,#141666,#141667),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.0151310882059881,0.0306151969466483,0.0465276154208377), .UNSPECIFIED.); #33641=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141670,#141671,#141672,#141673, #141674),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0756708077153381,-0.0378354038576689, 2.4980018054066E-16),.UNSPECIFIED.); #33642=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141706,#141707,#141708,#141709, #141710),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0790377888172376,-0.0395188944086188, 0.),.UNSPECIFIED.); #33643=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141742,#141743,#141744,#141745, #141746),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0817504704094646,-0.0408752352047323, 0.),.UNSPECIFIED.); #33644=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141808,#141809,#141810,#141811, #141812,#141813,#141814,#141815),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.0976344885172012, -0.0697389207588915,-0.0418433524553349,-0.0278955683035566,-0.0139477841517783, 0.),.UNSPECIFIED.); #33645=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141818,#141819,#141820,#141821, #141822,#141823,#141824,#141825),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.0139477841517783,0.0278955683035566,0.0418433524553349,0.0697389207588915, 0.0976344867804343),.UNSPECIFIED.); #33646=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141876,#141877,#141878,#141879, #141880,#141881,#141882),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0855105769140972, -0.0732947802120833,-0.0610789835100694,-0.0366473901060417,0.), .UNSPECIFIED.); #33647=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141885,#141886,#141887,#141888, #141889,#141890,#141891),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0366473901060417, 0.0610789835100694,0.0732947802120833,0.0855105769140972),.UNSPECIFIED.); #33648=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141948,#141949,#141950,#141951, #141952,#141953,#141954,#141955,#141956,#141957,#141958),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,2,4),(1.69877234975067E-14,0.020972987938319,0.03145948190747, 0.0419459758766209,0.0629189638149229,0.0838919517532249,0.104864939691527, 0.105334309822989),.UNSPECIFIED.); #33649=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141959,#141960,#141961,#141962, #141963,#141964,#141965,#141966,#141967,#141968,#141969),.UNSPECIFIED., .F.,.F.,(4,2,1,1,1,1,1,4),(-0.105334309822989,-0.104864939691527,-0.0838919517532249, -0.0629189638149229,-0.0419459758766209,-0.03145948190747,-0.020972987938319, -1.69877234975067E-14),.UNSPECIFIED.); #33650=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141972,#141973,#141974,#141975), .UNSPECIFIED.,.F.,.F.,(4,4),(-4.999556006118,-4.92567408655017), .UNSPECIFIED.); #33651=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141977,#141978,#141979,#141980), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0050831357219511),.UNSPECIFIED.); #33652=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141982,#141983,#141984,#141985, #141986,#141987,#141988,#141989),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.000264731292553816, 0.,0.0329103377066632,0.0493655065599948,0.0822758442666579), .UNSPECIFIED.); #33653=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142011,#142012,#142013,#142014, #142015,#142016),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0921347970126687,-0.0658105692947634, -0.039486341576858,0.),.UNSPECIFIED.); #33654=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142044,#142045,#142046,#142047, #142048,#142049),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.046802883360135,0.0472799321439901), .UNSPECIFIED.); #33655=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142050,#142051,#142052,#142053, #142054,#142055),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0472799321439901,-0.046802883360135, 0.),.UNSPECIFIED.); #33656=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142099,#142100,#142101,#142102, #142103,#142104,#142105,#142106,#142107,#142108),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.00603001785220816,-0.00581466007177216,-0.00559930229133615, -0.00516858673046414,-0.00473787116959213,-0.00452251338915612,-0.00430715560872012, 0.),.UNSPECIFIED.); #33657=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142109,#142110,#142111,#142112, #142113,#142114,#142115,#142116,#142117,#142118),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(2.29918532583014E-9,0.00430715560872012,0.00452251338915612, 0.00473787116959213,0.00516858673046414,0.00559930229133615,0.00581466007177216, 0.00603001785220816),.UNSPECIFIED.); #33658=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142143,#142144,#142145,#142146), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0386732914952951,0.),.UNSPECIFIED.); #33659=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142149,#142150,#142151,#142152), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0386732914952951),.UNSPECIFIED.); #33660=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142178,#142179,#142180,#142181, #142182),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0625354102663259,-0.031267705133163, 0.),.UNSPECIFIED.); #33661=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142185,#142186,#142187,#142188, #142189),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.031267705133163,0.0625354102663259), .UNSPECIFIED.); #33662=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142221,#142222,#142223,#142224, #142225),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0778338357336834,-0.0389169178668417, 0.),.UNSPECIFIED.); #33663=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142257,#142258,#142259,#142260, #142261),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.080400355189111,-0.0402001775945557, -3.75677757714401E-16),.UNSPECIFIED.); #33664=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142292,#142293,#142294,#142295, #142296),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0625361055069503,-0.0312680527534751, 0.),.UNSPECIFIED.); #33665=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142299,#142300,#142301,#142302, #142303),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0312680527534751,0.0625361055069503), .UNSPECIFIED.); #33666=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142325,#142326,#142327,#142328), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0387209352778142,0.),.UNSPECIFIED.); #33667=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142331,#142332,#142333,#142334), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0387209352778142),.UNSPECIFIED.); #33668=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142365,#142366,#142367,#142368, #142369,#142370),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0484181801226596,-0.020750648623997, -0.0103753243119985,0.),.UNSPECIFIED.); #33669=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142371,#142372,#142373,#142374, #142375,#142376),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0103753243119985, 0.020750648623997,0.0484181801226596),.UNSPECIFIED.); #33670=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142421,#142422,#142423,#142424, #142425,#142426,#142427,#142428,#142429,#142430),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.0060323475659933,-0.00581690658149354,-0.00560146559699378, -0.00517058362799426,-0.00473970165899474,-0.00452426067449498,-0.00430881968999522, 0.),.UNSPECIFIED.); #33671=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142433,#142434,#142435,#142436, #142437,#142438,#142439,#142440,#142441,#142442),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(0.,0.00430881968999522,0.00452426067449498,0.00473970165899474, 0.00517058362799426,0.00560146559699378,0.00581690658149354,0.0060323475659933), .UNSPECIFIED.); #33672=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142467,#142468,#142469,#142470, #142471,#142472),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0467877523353669,0., 0.000477124825399131),.UNSPECIFIED.); #33673=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142473,#142474,#142475,#142476, #142477,#142478),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000477124825399131,0., 0.0467877521756936),.UNSPECIFIED.); #33674=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142514,#142515,#142516,#142517, #142518,#142519,#142520),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0484444421766572, -0.0415238075799919,-0.0346031729833266,-0.0207619037899959,0.), .UNSPECIFIED.); #33675=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142521,#142522,#142523,#142524, #142525,#142526,#142527),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0207619037899959, 0.0346031729833266,0.0415238075799919,0.0484444421766572),.UNSPECIFIED.); #33676=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142554,#142555,#142556,#142557, #142558),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0422372615739206,0.0511226969291431, 0.0860549345186782),.UNSPECIFIED.); #33677=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142562,#142563,#142564,#142565, #142566),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0860549345186782,-0.0511226969291431, -0.0161904593396081),.UNSPECIFIED.); #33678=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142572,#142573,#142574,#142575), .UNSPECIFIED.,.F.,.F.,(4,4),(8.64111171887429E-16,0.786291278624816), .UNSPECIFIED.); #33679=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142578,#142579,#142580,#142581), .UNSPECIFIED.,.F.,.F.,(4,4),(2.67798555828199E-17,0.476570310857537), .UNSPECIFIED.); #33680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142634,#142635,#142636,#142637, #142638),.UNSPECIFIED.,.F.,.F.,(4,1,4),(9.15139104389859E-17,0.0349183582569818, 0.0437660839738602),.UNSPECIFIED.); #33681=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142640,#142641,#142642,#142643), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0506519150361079,0.527222036311448), .UNSPECIFIED.); #33682=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142646,#142647,#142648,#142649), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.785882510957401),.UNSPECIFIED.); #33683=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142678,#142679,#142680,#142681, #142682),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0698367165139636,-0.0349183582569818, -9.15139104389859E-17),.UNSPECIFIED.); #33684=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142701,#142702,#142703,#142704), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0162182051673978,2.57397885671741E-15), .UNSPECIFIED.); #33685=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142733,#142734,#142735,#142736, #142737,#142738),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.046787752154306,0.0472648769797132), .UNSPECIFIED.); #33686=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142739,#142740,#142741,#142742, #142743,#142744),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0472648769797132,-0.046787752154306, 0.),.UNSPECIFIED.); #33687=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142788,#142789,#142790,#142791, #142792,#142793,#142794,#142795,#142796,#142797),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.00603234756621996,-0.00581690658171211,-0.00560146559720425, -0.00517058362818854,-0.00473970165917283,-0.00452426067466497,-0.00430881969015712, 0.),.UNSPECIFIED.); #33688=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142798,#142799,#142800,#142801, #142802,#142803,#142804,#142805,#142806,#142807),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(0.,0.00430881969015712,0.00452426067466497,0.00473970165917283, 0.00517058362818854,0.00560146559720425,0.00581690658171211,0.00603234756621996), .UNSPECIFIED.); #33689=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142832,#142833,#142834,#142835), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0387209352778148,0.),.UNSPECIFIED.); #33690=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142838,#142839,#142840,#142841), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0387209352778148),.UNSPECIFIED.); #33691=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142867,#142868,#142869,#142870, #142871),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0625361047142308,-0.0312680523571154, 0.),.UNSPECIFIED.); #33692=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142874,#142875,#142876,#142877, #142878),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0312680523571154,0.0625361047142308), .UNSPECIFIED.); #33693=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142910,#142911,#142912,#142913, #142914),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0804003555823838,-0.0402001777911919, -6.38092154822467E-33),.UNSPECIFIED.); #33694=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142946,#142947,#142948,#142949, #142950),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0778338356068344,-0.0389169178034172, 0.),.UNSPECIFIED.); #33695=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142981,#142982,#142983,#142984, #142985),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0625354106791004,-0.0312677053395502, 0.),.UNSPECIFIED.); #33696=B_SPLINE_CURVE_WITH_KNOTS('',3,(#142988,#142989,#142990,#142991, #142992),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0312677053395502,0.0625354106791004), .UNSPECIFIED.); #33697=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143014,#143015,#143016,#143017), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0386732914952942,0.),.UNSPECIFIED.); #33698=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143020,#143021,#143022,#143023), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0386732914952942),.UNSPECIFIED.); #33699=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143059,#143060,#143061,#143062, #143063,#143064,#143065),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0484454171349493, -0.0276830955056853,-0.0138415477528427,-0.00692077387642133,0.), .UNSPECIFIED.); #33700=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143066,#143067,#143068,#143069, #143070,#143071,#143072),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.00692077387642133, 0.0138415477528427,0.0276830955056853,0.0484454171349493),.UNSPECIFIED.); #33701=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143117,#143118,#143119,#143120, #143121,#143122,#143123,#143124,#143125,#143126),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.00603001785506203,-0.0058146600745241,-0.00559930229398617, -0.00516858673291031,-0.00473787117183445,-0.00452251339129652,-0.00430715561075859, 0.),.UNSPECIFIED.); #33702=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143129,#143130,#143131,#143132, #143133,#143134,#143135,#143136,#143137,#143138),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(0.,0.00430715561075859,0.00452251339129652,0.00473787117183445, 0.00516858673291031,0.00559930229398617,0.0058146600745241,0.00603001785506203), .UNSPECIFIED.); #33703=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143163,#143164,#143165,#143166, #143167,#143168),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.046802881092086,0.,0.000477048781540439), .UNSPECIFIED.); #33704=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143169,#143170,#143171,#143172, #143173,#143174),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000477048781540439,0., 0.046802881092086),.UNSPECIFIED.); #33705=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143210,#143211,#143212,#143213, #143214,#143215,#143216),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0484171984965949, -0.0415004558542242,-0.0345837132118535,-0.0207502279271121,0.), .UNSPECIFIED.); #33706=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143217,#143218,#143219,#143220, #143221,#143222,#143223),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0207502279271121, 0.0345837132118535,0.0415004558542242,0.0484171984965949),.UNSPECIFIED.); #33707=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143250,#143251,#143252,#143253, #143254),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0422888331544709,0.0511365915582026, 0.0860549701815046),.UNSPECIFIED.); #33708=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143258,#143259,#143260,#143261, #143262),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0860549701815046,-0.0511365915582026, -0.0162182129349006),.UNSPECIFIED.); #33709=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143268,#143269,#143270,#143271), .UNSPECIFIED.,.F.,.F.,(4,4),(2.54094115757011E-17,0.785882510957402), .UNSPECIFIED.); #33710=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143274,#143275,#143276,#143277), .UNSPECIFIED.,.F.,.F.,(4,4),(2.39608973596807E-17,0.476570121275354), .UNSPECIFIED.); #33711=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143330,#143331,#143332,#143333, #143334),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.86154366269352E-17,0.0349322174586643, 0.0438176204178209),.UNSPECIFIED.); #33712=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143336,#143337,#143338,#143339), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.476570310857504,0.),.UNSPECIFIED.); #33713=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143342,#143343,#143344,#143345), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.786291278624808),.UNSPECIFIED.); #33714=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143374,#143375,#143376,#143377, #143378),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0698644349173286,-0.0349322174586643, -1.86154366269352E-17),.UNSPECIFIED.); #33715=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143397,#143398,#143399,#143400), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.36430079416655,-0.348110347934343), .UNSPECIFIED.); #33716=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143470,#143471,#143472,#143473), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.163432885827688,0.),.UNSPECIFIED.); #33717=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143483,#143484,#143485,#143486), .UNSPECIFIED.,.F.,.F.,(4,4),(0.166799001857189,0.626019222945245), .UNSPECIFIED.); #33718=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143488,#143489,#143490,#143491), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0342767718002156,0.623993861428855), .UNSPECIFIED.); #33719=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143492,#143493,#143494,#143495), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.61730566851015,0.),.UNSPECIFIED.); #33720=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143622,#143623,#143624,#143625, #143626),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0389152114691252,0.0778304229382503), .UNSPECIFIED.); #33721=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143658,#143659,#143660,#143661, #143662),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0789281906748366,-0.0394640953374183, 0.),.UNSPECIFIED.); #33722=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143693,#143694,#143695,#143696, #143697,#143698,#143699,#143700,#143701,#143702,#143703,#143704,#143705, #143706,#143707,#143708,#143709,#143710,#143711,#143712,#143713,#143714, #143715,#143716,#143717),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.000226029233717295, 0.,0.0106782869759089,0.0124237053256461,0.0234509082029586,0.0237130807745742, 0.0349329833683485,0.0383139579209049,0.046048514015705),.UNSPECIFIED.); #33723=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143718,#143719,#143720,#143721, #143722),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0836370154187176,-0.0418185077098401, -9.62508020290443E-13),.UNSPECIFIED.); #33724=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143753,#143754,#143755,#143756, #143757),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0845775126353081,-0.0422887563176541, 0.),.UNSPECIFIED.); #33725=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143792,#143793,#143794,#143795, #143796),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0786935713068753,-0.0393467856534376, 0.),.UNSPECIFIED.); #33726=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143818,#143819,#143820,#143821), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0753358789661948,0.53455831841732), .UNSPECIFIED.); #33727=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143829,#143830,#143831,#143832), .UNSPECIFIED.,.F.,.F.,(4,4),(0.166686973811038,0.625927175894067), .UNSPECIFIED.); #33728=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143913,#143914,#143915,#143916), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.000794497539381171,0.),.UNSPECIFIED.); #33729=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143948,#143949,#143950,#143951, #143952,#143953),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.090405181534233,0.0907028387146368), .UNSPECIFIED.); #33730=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143954,#143955,#143956,#143957, #143958,#143959),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0907028387146368,-0.090405181534233, 0.),.UNSPECIFIED.); #33731=B_SPLINE_CURVE_WITH_KNOTS('',3,(#143996,#143997,#143998,#143999, #144000,#144001,#144002,#144003,#144004,#144005,#144006,#144007,#144008), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0149829248321324,0.0197792030954695, 0.0303578735616643,0.0466110438121831),.UNSPECIFIED.); #33732=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144011,#144012,#144013,#144014, #144015,#144016),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.085399625663035,-0.0609997326164535, -0.0365998395698721,6.93889390390723E-18),.UNSPECIFIED.); #33733=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144048,#144049,#144050,#144051, #144052),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0808859191906063,-0.0404429595953042, -2.0510246480932E-15),.UNSPECIFIED.); #33734=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144083,#144084,#144085,#144086, #144087),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0812302646385182,-0.0406151323192596, -1.00111344702156E-15),.UNSPECIFIED.); #33735=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144144,#144145,#144146,#144147, #144148,#144149),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0467515901678848,0.0472288805107751), .UNSPECIFIED.); #33736=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144150,#144151,#144152,#144153, #144154,#144155),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0472288805107751,-0.0467515901678848, 0.),.UNSPECIFIED.); #33737=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144199,#144200,#144201,#144202, #144203,#144204,#144205,#144206,#144207,#144208),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.0060382653445675,-0.00582261301083294,-0.00560696067709839, -0.00517565600962928,-0.00474435134216018,-0.00452869900842562,-0.00431304667469107, 0.),.UNSPECIFIED.); #33738=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144209,#144210,#144211,#144212, #144213,#144214,#144215,#144216,#144217,#144218),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(1.13764430549945E-9,0.00431304667469107,0.00452869900842562, 0.00474435134216018,0.00517565600962928,0.00560696067709839,0.00582261301083294, 0.0060382653445675),.UNSPECIFIED.); #33739=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144243,#144244,#144245,#144246), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0388364330045842,0.),.UNSPECIFIED.); #33740=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144249,#144250,#144251,#144252), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0388364330045842),.UNSPECIFIED.); #33741=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144278,#144279,#144280,#144281, #144282),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0625380735937657,-0.0312690367968829, 0.),.UNSPECIFIED.); #33742=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144285,#144286,#144287,#144288, #144289),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0312690367968829,0.0625380735937657), .UNSPECIFIED.); #33743=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144321,#144322,#144323,#144324, #144325),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0849964097794096,-0.0424982048897048, 0.),.UNSPECIFIED.); #33744=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144361,#144362,#144363,#144364, #144365),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0801835831978823,-0.0400917915989412, -1.22975394403785E-16),.UNSPECIFIED.); #33745=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144402,#144403,#144404,#144405, #144406,#144407),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0197431708209748, 0.0394863416419496,0.0921347971645491),.UNSPECIFIED.); #33746=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144426,#144427,#144428,#144429), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00508313572195059),.UNSPECIFIED.); #33747=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144462,#144463,#144464,#144465, #144466,#144467,#144468,#144469),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.0825405764238972, -0.0822758442666618,-0.0493655065599971,-0.0329103377066647,0.), .UNSPECIFIED.); #33748=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144500,#144501,#144502,#144503, #144504,#144505),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0485109542288685,-0.0207904089552299, -0.0103952044776155,-9.92537755210703E-16),.UNSPECIFIED.); #33749=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144506,#144507,#144508,#144509, #144510,#144511),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(9.92537755210703E-16, 0.0103952044776155,0.0207904089552299,0.0485109542288685),.UNSPECIFIED.); #33750=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144514,#144515,#144516,#144517), .UNSPECIFIED.,.F.,.F.,(4,4),(11.1243828560874,11.1723711370558), .UNSPECIFIED.); #33751=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144518,#144519,#144520,#144521, #144522),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0625345451535496,-0.0312672725767748, 0.),.UNSPECIFIED.); #33752=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144544,#144545,#144546,#144547, #144548,#144549),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0468244960275468,0., 0.000476949232355871),.UNSPECIFIED.); #33753=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144551,#144552,#144553,#144554, #144555,#144556,#144557,#144558,#144559,#144560),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.00602683504907783,-0.0058115909401822,-0.00559634683128656, -0.00516585861349529,-0.00473537039570401,-0.00452012628680837,-0.00430488217791274, 0.),.UNSPECIFIED.); #33754=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144562,#144563,#144564,#144565, #144566,#144567,#144568),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0207336069639955, 0.0345560116066591,0.041467213927991,0.0483784162493228),.UNSPECIFIED.); #33755=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144569,#144570,#144571,#144572), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0386058244286963,0.),.UNSPECIFIED.); #33756=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144593,#144594,#144595,#144596), .UNSPECIFIED.,.F.,.F.,(4,4),(-9.31602926990301E-16,0.0162854070074368), .UNSPECIFIED.); #33757=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144623,#144624,#144625,#144626, #144627),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0424137705099043,0.0511704001958444, 0.0860553829244866),.UNSPECIFIED.); #33758=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144630,#144631,#144632,#144633, #144634),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0860553829244866,-0.0511704001958444, -0.0162854174672022),.UNSPECIFIED.); #33759=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144662,#144663,#144664,#144665, #144666,#144667),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0467727214599875,0.047249923069269), .UNSPECIFIED.); #33760=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144668,#144669,#144670,#144671, #144672,#144673),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.047249923069269,-0.0467727214599875, 0.),.UNSPECIFIED.); #33761=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144717,#144718,#144719,#144720, #144721,#144722,#144723,#144724,#144725,#144726),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.00603474526330884,-0.0058192186467621,-0.00560369203021535, -0.00517263879712187,-0.00474158556402838,-0.00452605894748163,-0.00431053233093489, 0.),.UNSPECIFIED.); #33762=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144727,#144728,#144729,#144730, #144731,#144732,#144733,#144734,#144735,#144736),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(0.,0.00431053233093489,0.00452605894748163,0.00474158556402838, 0.00517263879712187,0.00560369203021535,0.0058192186467621,0.00603474526330884), .UNSPECIFIED.); #33763=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144761,#144762,#144763,#144764), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.038768645205307,0.),.UNSPECIFIED.); #33764=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144767,#144768,#144769,#144770), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.038768645205307),.UNSPECIFIED.); #33765=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144796,#144797,#144798,#144799, #144800),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0625368693571495,-0.0312684346785747, 0.),.UNSPECIFIED.); #33766=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144803,#144804,#144805,#144806, #144807),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0312684346785747,0.0625368693571495), .UNSPECIFIED.); #33767=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144839,#144840,#144841,#144842, #144843),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0829510278052206,-0.0414755139026103, 0.),.UNSPECIFIED.); #33768=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144875,#144876,#144877,#144878, #144879),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.075774745631076,-0.037887372815538, 0.),.UNSPECIFIED.); #33769=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144911,#144912,#144913,#144914, #144915),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0312672725767748,0.0625345451535496), .UNSPECIFIED.); #33770=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144938,#144939,#144940,#144941), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0386058244286963),.UNSPECIFIED.); #33771=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144972,#144973,#144974,#144975, #144976,#144977),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0484725733168246,-0.0207739599929252, -0.0103869799964629,-5.6756839571217E-16),.UNSPECIFIED.); #33772=B_SPLINE_CURVE_WITH_KNOTS('',3,(#144978,#144979,#144980,#144981, #144982,#144983),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(5.6756839571217E-16,0.0103869799964629, 0.0207739599929252,0.0484725733168246),.UNSPECIFIED.); #33773=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145028,#145029,#145030,#145031, #145032,#145033,#145034,#145035,#145036,#145037),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(0.,0.00430488217791274,0.00452012628680837,0.00473537039570401, 0.00516585861349529,0.00559634683128656,0.0058115909401822,0.00602683504907783), .UNSPECIFIED.); #33774=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145062,#145063,#145064,#145065, #145066,#145067),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000476949232355871,0., 0.0468244959122972),.UNSPECIFIED.); #33775=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145103,#145104,#145105,#145106, #145107,#145108,#145109),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0483784162493228, -0.041467213927991,-0.0345560116066591,-0.0207336069639955,0.), .UNSPECIFIED.); #33776=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145136,#145137,#145138,#145139, #145140),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.042340467964464,0.0511505358969059, 0.0860550848340958),.UNSPECIFIED.); #33777=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145144,#145145,#145146,#145147, #145148),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0860550848340958,-0.0511505358969059, -0.016245986959716),.UNSPECIFIED.); #33778=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145152,#145153,#145154,#145155), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.509800479894527,-0.0505657293986541), .UNSPECIFIED.); #33779=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145157,#145158,#145159,#145160), .UNSPECIFIED.,.F.,.F.,(4,4),(2.93000702664954E-17,0.778767123049668), .UNSPECIFIED.); #33780=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145163,#145164,#145165,#145166), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.476569932193619,0.),.UNSPECIFIED.); #33781=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145188,#145189,#145190,#145191), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505657293986487,0.509800479894527), .UNSPECIFIED.); #33782=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145195,#145196,#145197,#145198), .UNSPECIFIED.,.F.,.F.,(4,4),(0.173141298101258,0.632356499887998), .UNSPECIFIED.); #33783=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145221,#145222,#145223,#145224), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.632356499887992,-0.173141298101258), .UNSPECIFIED.); #33784=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145228,#145229,#145230,#145231, #145232),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0349519264980465,0.043890795933647), .UNSPECIFIED.); #33785=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145234,#145235,#145236,#145237), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.475642412481342,0.),.UNSPECIFIED.); #33786=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145238,#145239,#145240,#145241), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.711963487141405),.UNSPECIFIED.); #33787=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145270,#145271,#145272,#145273, #145274),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0699038529960929,-0.0349519264980465, 0.),.UNSPECIFIED.); #33788=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145293,#145294,#145295,#145296), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0161511178262365,3.16017268044726E-15), .UNSPECIFIED.); #33789=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145325,#145326,#145327,#145328, #145329,#145330),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0468245007251147,0.0473014499624872), .UNSPECIFIED.); #33790=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145331,#145332,#145333,#145334, #145335,#145336),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0473014499624872,-0.0468245007251147, 0.),.UNSPECIFIED.); #33791=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145380,#145381,#145382,#145383, #145384,#145385,#145386,#145387,#145388,#145389),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.00602683323573182,-0.00581158919159854,-0.00559634514746526, -0.0051658570591987,-0.00473536897093214,-0.00452012492679886,-0.00430488088266558, 0.),.UNSPECIFIED.); #33792=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145390,#145391,#145392,#145393, #145394,#145395,#145396,#145397,#145398,#145399),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(2.95534685673994E-9,0.00430488088266558,0.00452012492679886, 0.00473536897093214,0.0051658570591987,0.00559634514746526,0.00581158919159854, 0.00602683323573182),.UNSPECIFIED.); #33793=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145424,#145425,#145426,#145427), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0386058244286964,0.),.UNSPECIFIED.); #33794=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145430,#145431,#145432,#145433), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0386058244286964),.UNSPECIFIED.); #33795=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145459,#145460,#145461,#145462, #145463),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.062534544779195,-0.0312672723895975, 0.),.UNSPECIFIED.); #33796=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145466,#145467,#145468,#145469, #145470),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0312672723895975,0.062534544779195), .UNSPECIFIED.); #33797=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145502,#145503,#145504,#145505, #145506),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0757747456295734,-0.0378873728147867, 0.),.UNSPECIFIED.); #33798=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145538,#145539,#145540,#145541, #145542),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0829510278135165,-0.0414755139067583, -1.10556714971059E-16),.UNSPECIFIED.); #33799=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145573,#145574,#145575,#145576, #145577),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0625368698281901,-0.031268434914095, 0.),.UNSPECIFIED.); #33800=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145580,#145581,#145582,#145583, #145584),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.031268434914095,0.0625368698281901), .UNSPECIFIED.); #33801=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145606,#145607,#145608,#145609), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0387686452053074,0.),.UNSPECIFIED.); #33802=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145612,#145613,#145614,#145615), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0387686452053074),.UNSPECIFIED.); #33803=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145651,#145652,#145653,#145654, #145655,#145656,#145657),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0483794072732699, -0.0276453755847258,-0.013822687792363,-0.00691134389618166,-2.89383906585807E-16), .UNSPECIFIED.); #33804=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145658,#145659,#145660,#145661, #145662,#145663,#145664),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(2.89383906585807E-16, 0.00691134389618166,0.013822687792363,0.0276453755847258,0.0483794072732699), .UNSPECIFIED.); #33805=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145709,#145710,#145711,#145712, #145713,#145714,#145715,#145716,#145717,#145718),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.00603474526305818,-0.00581921864652038,-0.00560369202998259, -0.00517263879690701,-0.00474158556383142,-0.00452605894729363,-0.00431053233075584, 0.),.UNSPECIFIED.); #33806=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145721,#145722,#145723,#145724, #145725,#145726,#145727,#145728,#145729,#145730),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(0.,0.00431053233075584,0.00452605894729363,0.00474158556383142, 0.00517263879690701,0.00560369202998259,0.00581921864652038,0.00603474526305818), .UNSPECIFIED.); #33807=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145755,#145756,#145757,#145758, #145759,#145760),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0467727216646567,0., 0.000477201606953199),.UNSPECIFIED.); #33808=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145761,#145762,#145763,#145764, #145765,#145766),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000477201606953199,0., 0.046772721486139),.UNSPECIFIED.); #33809=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145802,#145803,#145804,#145805, #145806,#145807,#145808),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.048471605060376, -0.0415470900517508,-0.0346225750431257,-0.0207735450258754,0.), .UNSPECIFIED.); #33810=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145809,#145810,#145811,#145812, #145813,#145814,#145815),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0207735450258754, 0.0346225750431257,0.0415470900517508,0.048471605060376),.UNSPECIFIED.); #33811=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145842,#145843,#145844,#145845, #145846),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0421641707300006,0.0511030727368643, 0.0860550193657438),.UNSPECIFIED.); #33812=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145850,#145851,#145852,#145853, #145854),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0860550193657438,-0.0511030727368643, -0.0161511261079848),.UNSPECIFIED.); #33813=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145858,#145859,#145860,#145861), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.509778778196622,-0.0505635764098821), .UNSPECIFIED.); #33814=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145863,#145864,#145865,#145866), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.711963487141388),.UNSPECIFIED.); #33815=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145867,#145868,#145869,#145870), .UNSPECIFIED.,.F.,.F.,(4,4),(2.78623368114923E-17,0.47564241248136), .UNSPECIFIED.); #33816=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145892,#145893,#145894,#145895), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505635764098778,0.509778778196622), .UNSPECIFIED.); #33817=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145899,#145900,#145901,#145902), .UNSPECIFIED.,.F.,.F.,(4,4),(0.174154847314519,0.633389597810392), .UNSPECIFIED.); #33818=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145925,#145926,#145927,#145928), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.633389597810391,-0.174154847314519), .UNSPECIFIED.); #33819=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145932,#145933,#145934,#145935, #145936),.UNSPECIFIED.,.F.,.F.,(4,1,4),(2.75387351811322E-17,0.0349045289292922, 0.0437145648933099),.UNSPECIFIED.); #33820=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145938,#145939,#145940,#145941), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.476569932193619),.UNSPECIFIED.); #33821=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145944,#145945,#145946,#145947), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.77876712304963),.UNSPECIFIED.); #33822=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145976,#145977,#145978,#145979, #145980),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0698090578585844,-0.0349045289292922, -2.75387351811322E-17),.UNSPECIFIED.); #33823=B_SPLINE_CURVE_WITH_KNOTS('',3,(#145999,#146000,#146001,#146002), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0162459791240139,2.19019496155941E-15), .UNSPECIFIED.); #33824=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146031,#146032,#146033,#146034, #146035,#146036),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0467515880277409,0., 0.000477290337607202),.UNSPECIFIED.); #33825=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146037,#146038,#146039,#146040, #146041,#146042),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000477290337607202,0., 0.0467515880277409),.UNSPECIFIED.); #33826=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146086,#146087,#146088,#146089, #146090,#146091,#146092,#146093,#146094,#146095),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.00603826614592608,-0.00582261378357158,-0.00560696142121707, -0.00517565669650807,-0.00474435197179906,-0.00452869960944456,-0.00431304724709006, 0.),.UNSPECIFIED.); #33827=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146096,#146097,#146098,#146099, #146100,#146101,#146102,#146103,#146104,#146105),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(0.,0.00431304724709006,0.00452869960944456,0.00474435197179906, 0.00517565669650807,0.00560696142121707,0.00582261378357158,0.00603826614592608), .UNSPECIFIED.); #33828=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146130,#146131,#146132,#146133), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0388364330045839,0.),.UNSPECIFIED.); #33829=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146136,#146137,#146138,#146139), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0388364330045839),.UNSPECIFIED.); #33830=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146167,#146168,#146169,#146170, #146171),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0625380735967046,-0.0312690367983523, 0.),.UNSPECIFIED.); #33831=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146172,#146173,#146174,#146175, #146176),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0312690367983523,0.0625380735967046), .UNSPECIFIED.); #33832=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146207,#146208,#146209,#146210, #146211),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0849964097794089,-0.0424982048897045, 0.),.UNSPECIFIED.); #33833=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146247,#146248,#146249,#146250, #146251),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0801835831978815,-0.0400917915989408, 0.),.UNSPECIFIED.); #33834=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146289,#146290,#146291,#146292, #146293,#146294),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.039486341576858, 0.0658105692947634,0.0921347970126687),.UNSPECIFIED.); #33835=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146312,#146313,#146314,#146315), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0050831357219511,0.),.UNSPECIFIED.); #33836=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146349,#146350,#146351,#146352, #146353,#146354,#146355,#146356),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(-0.0822758442666579, -0.0493655065599948,-0.0329103377066632,0.,0.000264730260450508), .UNSPECIFIED.); #33837=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146392,#146393,#146394,#146395, #146396,#146397,#146398),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0485109542247423, -0.0277205452712817,-0.0138602726356412,-0.00693013631782103,-8.26100079342223E-16), .UNSPECIFIED.); #33838=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146399,#146400,#146401,#146402, #146403,#146404,#146405),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(8.26100079342223E-16, 0.00693013631782103,0.0138602726356412,0.0277205452712817,0.0485109542247423), .UNSPECIFIED.); #33839=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146427,#146428,#146429,#146430), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0503733317991576,0.509600048117361), .UNSPECIFIED.); #33840=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146433,#146434,#146435,#146436), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.509600048117361,-0.0503733317991604), .UNSPECIFIED.); #33841=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146443,#146444,#146445,#146446), .UNSPECIFIED.,.F.,.F.,(4,4),(0.17278052924363,0.632021960930585), .UNSPECIFIED.); #33842=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146469,#146470,#146471,#146472), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.63202196093058,-0.17278052924363), .UNSPECIFIED.); #33843=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146476,#146477,#146478,#146479, #146480),.UNSPECIFIED.,.F.,.F.,(4,1,4),(2.32193071806666E-17,0.0348849627932963, 0.0436415607830384),.UNSPECIFIED.); #33844=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146482,#146483,#146484,#146485), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.475121086196189),.UNSPECIFIED.); #33845=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146486,#146487,#146488,#146489), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.697415989725703),.UNSPECIFIED.); #33846=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146518,#146519,#146520,#146521, #146522),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0697699255865925,-0.0348849627932963, -2.32193071806666E-17),.UNSPECIFIED.); #33847=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146541,#146542,#146543,#146544), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.364406635952118,-0.348121226134145), .UNSPECIFIED.); #33848=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146566,#146567,#146568,#146569), .UNSPECIFIED.,.F.,.F.,(4,4),(1.85034537156999,1.89833365233431), .UNSPECIFIED.); #33849=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146643,#146644,#146645,#146646), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.000794497539381445,-9.55358857044202E-17), .UNSPECIFIED.); #33850=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146647,#146648,#146649,#146650), .UNSPECIFIED.,.F.,.F.,(4,4),(9.55358857044202E-17,0.000794497539381445), .UNSPECIFIED.); #33851=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146683,#146684,#146685,#146686, #146687,#146688,#146689,#146690),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(-0.0904051815340795, -0.0723241452272636,-0.0542431089204477,0.,0.000297657180553509), .UNSPECIFIED.); #33852=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146691,#146692,#146693,#146694, #146695,#146696,#146697,#146698),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.000297657180553509, 0.,0.0542431089204477,0.0723241452272636,0.0904051815340795), .UNSPECIFIED.); #33853=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146720,#146721,#146722,#146723), .UNSPECIFIED.,.F.,.F.,(4,4),(1.27215806172251,1.27955334977132), .UNSPECIFIED.); #33854=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146733,#146734,#146735,#146736), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01182879770138,-0.552599320063074), .UNSPECIFIED.); #33855=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146738,#146739,#146740,#146741), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.549119986008916),.UNSPECIFIED.); #33856=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146743,#146744,#146745,#146746, #146747),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.22933416413935,0.458668328278701), .UNSPECIFIED.); #33857=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146749,#146750,#146751,#146752), .UNSPECIFIED.,.F.,.F.,(4,4),(8.3510081541004E-12,0.0769221418495992), .UNSPECIFIED.); #33858=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146753,#146754,#146755,#146756), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.121627840251016),.UNSPECIFIED.); #33859=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146789,#146790,#146791,#146792, #146793),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0808859191906048,-0.0404429595953024, 0.),.UNSPECIFIED.); #33860=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146824,#146825,#146826,#146827, #146828),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0812302646385158,-0.0406151323192579, 0.),.UNSPECIFIED.); #33861=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146893,#146894,#146895,#146896, #146897,#146898,#146899,#146900,#146901,#146902,#146903,#146904,#146905), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.000232107046464526,0.016292236327491, 0.0270487076775765,0.0319237052320887,0.0471566098213412),.UNSPECIFIED.); #33862=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146906,#146907,#146908,#146909, #146910,#146911),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0853996256599855,-0.0487997860915852, -0.024399893045985,-3.84788276671275E-13),.UNSPECIFIED.); #33863=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146936,#146937,#146938,#146939), .UNSPECIFIED.,.F.,.F.,(4,4),(0.552599320063069,1.01182879770138), .UNSPECIFIED.); #33864=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146943,#146944,#146945,#146946), .UNSPECIFIED.,.F.,.F.,(4,4),(0.166601275504572,0.625829755494177), .UNSPECIFIED.); #33865=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146969,#146970,#146971,#146972), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.62582975549417,-0.166601275504572), .UNSPECIFIED.); #33866=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146976,#146977,#146978,#146979), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.211449065607477,-0.0459674435308229), .UNSPECIFIED.); #33867=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146981,#146982,#146983,#146984), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.621636424994431),.UNSPECIFIED.); #33868=B_SPLINE_CURVE_WITH_KNOTS('',3,(#146985,#146986,#146987,#146988), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.586268179932409),.UNSPECIFIED.); #33869=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147015,#147016,#147017,#147018, #147019,#147020,#147021,#147022,#147023,#147024,#147025,#147026,#147027, #147028,#147029,#147030,#147031,#147032,#147033,#147034,#147035,#147036, #147037,#147038,#147039),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(0., 0.0111923025995891,0.0117052611942074,0.0224139677546003,0.0226866440365885, 0.0335871030711406,0.044040717407636,0.0457052689019466,0.0459234654091494), .UNSPECIFIED.); #33870=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147042,#147043,#147044,#147045, #147046),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.077623126830089,-0.0388115634150443, 4.28042690196543E-16),.UNSPECIFIED.); #33871=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147078,#147079,#147080,#147081, #147082),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0813364475456946,-0.0406682237728477, -8.94986046483933E-16),.UNSPECIFIED.); #33872=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147114,#147115,#147116,#147117, #147118),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.081336447550489,-0.0406682237752445, -8.4069673234816E-17),.UNSPECIFIED.); #33873=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147149,#147150,#147151,#147152, #147153,#147154,#147155,#147156,#147157,#147158,#147159,#147160,#147161, #147162,#147163,#147164,#147165,#147166,#147167,#147168,#147169,#147170, #147171,#147172,#147173),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.000220166555726163, 0.,0.0105479983157782,0.0122275775216292,0.0232264539186216,0.0235015921226418, 0.0343069847622469,0.0348245747251686,0.0461179296655208),.UNSPECIFIED.); #33874=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147174,#147175,#147176,#147177, #147178),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0776231268299341,-0.0388115634154889, -1.04373925144062E-12),.UNSPECIFIED.); #33875=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147205,#147206,#147207,#147208, #147209,#147210,#147211,#147212,#147213,#147214,#147215,#147216,#147217, #147218,#147219,#147220,#147221,#147222,#147223,#147224,#147225,#147226, #147227,#147228,#147229),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(0., 0.00766566135595984,0.0110165204898913,0.0221364834373558,0.0223963200383305, 0.0333253007276705,0.0439084805715113,0.0456383415521158,0.0458623575470944), .UNSPECIFIED.); #33876=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147232,#147233,#147234,#147235, #147236),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.083637015422959,-0.0418185077114787, 1.63814750619705E-15),.UNSPECIFIED.); #33877=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147268,#147269,#147270,#147271, #147272),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0789281906746461,-0.039464095337323, -6.2627729875144E-35),.UNSPECIFIED.); #33878=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147303,#147304,#147305,#147306, #147307),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0778304229393844,-0.0389152114696922, 0.),.UNSPECIFIED.); #33879=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147365,#147366,#147367,#147368, #147369),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0786935713066628,-0.0393467856533314, 0.),.UNSPECIFIED.); #33880=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147404,#147405,#147406,#147407, #147408),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0845775126564515,-0.0422887563282258, 0.),.UNSPECIFIED.); #33881=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147430,#147431,#147432,#147433), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0753387397500651,0.534578941833084), .UNSPECIFIED.); #33882=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147441,#147442,#147443,#147444), .UNSPECIFIED.,.F.,.F.,(4,4),(0.162925452081857,0.622147891532988), .UNSPECIFIED.); #33883=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147520,#147521,#147522,#147523), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.621636424994431,0.),.UNSPECIFIED.); #33884=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147545,#147546,#147547,#147548), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0359875377037949,0.211449065607477), .UNSPECIFIED.); #33885=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147572,#147573,#147574,#147575), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.549119986008916,0.),.UNSPECIFIED.); #33886=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147599,#147600,#147601,#147602), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.121627840251016,0.),.UNSPECIFIED.); #33887=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147625,#147626,#147627,#147628), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0769221418495992,-8.3510081541004E-12), .UNSPECIFIED.); #33888=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147656,#147657,#147658,#147659, #147660),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.458668328278701,-0.22933416413935, 0.),.UNSPECIFIED.); #33889=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147691,#147692,#147693,#147694), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.586268179932409,0.),.UNSPECIFIED.); #33890=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147700,#147701,#147702,#147703, #147704),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-3.98818922024532,-3.0955491192697, -2.20290901829408),.UNSPECIFIED.); #33891=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147734,#147735,#147736,#147737), .UNSPECIFIED.,.F.,.F.,(4,4),(2.34036500439829E-12,0.177437774885915), .UNSPECIFIED.); #33892=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147739,#147740,#147741,#147742, #147743),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.12428654484724,-0.414183623781055, 0.),.UNSPECIFIED.); #33893=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147746,#147747,#147748,#147749, #147750,#147751,#147752),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.414183623781055, 1.24255087134317,2.07091811890528,2.89928536646739),.UNSPECIFIED.); #33894=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147755,#147756,#147757,#147758, #147759),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-2.89928536646739,-2.07091811890528, -1.28009120569839),.UNSPECIFIED.); #33895=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147784,#147785,#147786,#147787), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.589316997746466,0.),.UNSPECIFIED.); #33896=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147790,#147791,#147792,#147793), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.589316997746466),.UNSPECIFIED.); #33897=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147833,#147834,#147835,#147836, #147837,#147838),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.116578009124293,-0.066616005213882, -0.033308002606941,0.),.UNSPECIFIED.); #33898=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147841,#147842,#147843,#147844), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00574984345646876),.UNSPECIFIED.); #33899=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147862,#147863,#147864,#147865), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.296169178952909,0.),.UNSPECIFIED.); #33900=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147868,#147869,#147870,#147871), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.296169178952909),.UNSPECIFIED.); #33901=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147895,#147896,#147897,#147898), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.351804744690704,0.),.UNSPECIFIED.); #33902=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147901,#147902,#147903,#147904), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.351804744690704),.UNSPECIFIED.); #33903=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147929,#147930,#147931,#147932), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.654630189974396,0.),.UNSPECIFIED.); #33904=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147935,#147936,#147937,#147938), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.654630189974396),.UNSPECIFIED.); #33905=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147967,#147968,#147969,#147970), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.630475187589924,0.),.UNSPECIFIED.); #33906=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147973,#147974,#147975,#147976), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.630475187589924),.UNSPECIFIED.); #33907=B_SPLINE_CURVE_WITH_KNOTS('',3,(#147997,#147998,#147999,#148000), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.166896696173237,-2.34036500439829E-12), .UNSPECIFIED.); #33908=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148004,#148005,#148006,#148007), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.630431148891865,-0.1712001378065), .UNSPECIFIED.); #33909=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148028,#148029,#148030,#148031), .UNSPECIFIED.,.F.,.F.,(4,4),(0.1712001378065,0.63043114889187), .UNSPECIFIED.); #33910=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148033,#148034,#148035,#148036), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0504993456348229,0.50972269995263), .UNSPECIFIED.); #33911=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148057,#148058,#148059,#148060), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.50972269995263,-0.0504993456348264), .UNSPECIFIED.); #33912=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148083,#148084,#148085,#148086), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,2.03438883684374),.UNSPECIFIED.); #33913=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148109,#148110,#148111,#148112), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.475121086196189,0.),.UNSPECIFIED.); #33914=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148137,#148138,#148139,#148140), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.683688221103852,0.),.UNSPECIFIED.); #33915=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148143,#148144,#148145,#148146), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.683688221103852),.UNSPECIFIED.); #33916=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148170,#148171,#148172,#148173), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.129362882081938,0.),.UNSPECIFIED.); #33917=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148176,#148177,#148178,#148179), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.129362882081938),.UNSPECIFIED.); #33918=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148217,#148218,#148219,#148220, #148221,#148222),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.333561585907781,0.364141308212882, 0.377618968368848,0.404574288680781),.UNSPECIFIED.); #33919=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148225,#148226,#148227,#148228, #148229,#148230),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.404574288680781,-0.377618968368848, -0.364141308212882,-0.310230671254715),.UNSPECIFIED.); #33920=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148257,#148258,#148259,#148260), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.411821594171651,0.),.UNSPECIFIED.); #33921=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148261,#148262,#148263,#148264), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.411821594171651),.UNSPECIFIED.); #33922=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148301,#148302,#148303,#148304), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.697415989725703,0.),.UNSPECIFIED.); #33923=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148311,#148312,#148313,#148314), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.38413039299345),.UNSPECIFIED.); #33924=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148316,#148317,#148318,#148319), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.163542593286694),.UNSPECIFIED.); #33925=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148342,#148343,#148344,#148345), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.38413039299345,0.),.UNSPECIFIED.); #33926=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148374,#148375,#148376,#148377), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.476569932193619,0.),.UNSPECIFIED.); #33927=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148402,#148403,#148404,#148405), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.711963487141388,0.),.UNSPECIFIED.); #33928=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148429,#148430,#148431,#148432), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.47564241248136,-2.78623368114923E-17), .UNSPECIFIED.); #33929=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148449,#148450,#148451,#148452), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.55116709744471E-16,0.0161511115115983), .UNSPECIFIED.); #33930=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148485,#148486,#148487,#148488), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.77876712304963,0.),.UNSPECIFIED.); #33931=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148500,#148501,#148502,#148503), .UNSPECIFIED.,.F.,.F.,(4,4),(2.15575198882056,2.88465258598308), .UNSPECIFIED.); #33932=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148531,#148532,#148533,#148534), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.50153452873854,-2.67798555828199E-17), .UNSPECIFIED.); #33933=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148536,#148537,#148538,#148539, #148540,#148541,#148542,#148543,#148544,#148545,#148546,#148547,#148548, #148549),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.0476323899536055,-0.0473781715394427, -0.0334557932560645,-0.0204251541787388,-0.0110206886865171,-0.00348627141262364, -0.000118050813701119),.UNSPECIFIED.); #33934=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148577,#148578,#148579,#148580), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.786291278624816,-8.64111171887429E-16), .UNSPECIFIED.); #33935=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148609,#148610,#148611,#148612), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.785882510957401,0.),.UNSPECIFIED.); #33936=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148617,#148618,#148619,#148620, #148621,#148622,#148623,#148624,#148625,#148626,#148627,#148628,#148629, #148630),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.000256770543021492,0., 0.0107692976530259,0.0210743234395481,0.0322191760445483,0.0405773093626022, 0.0477585138531165),.UNSPECIFIED.); #33937=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148652,#148653,#148654,#148655), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.527222036311448,-0.0256877070651654), .UNSPECIFIED.); #33938=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148674,#148675,#148676,#148677), .UNSPECIFIED.,.F.,.F.,(4,4),(2.79528397746633E-16,0.0161904462322094), .UNSPECIFIED.); #33939=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148692,#148693,#148694,#148695, #148696,#148697,#148698,#148699,#148700,#148701,#148702,#148703,#148704, #148705),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(0.,0.00720392346471749, 0.0155085975975238,0.0265959065855212,0.0367355595373862,0.0473252748271556, 0.0475797205608202),.UNSPECIFIED.); #33940=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148728,#148729,#148730,#148731), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.785882510957402,-2.54094115757011E-17), .UNSPECIFIED.); #33941=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148753,#148754,#148755,#148756), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.501534329246283,-2.39608973596807E-17), .UNSPECIFIED.); #33942=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148786,#148787,#148788,#148789), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.786291278624808,0.),.UNSPECIFIED.); #33943=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148794,#148795,#148796,#148797, #148798,#148799,#148800,#148801,#148802,#148803,#148804,#148805,#148806, #148807),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.000256987354395517,0., 0.0108023332985085,0.0211363293591373,0.0322635604054275,0.0406127604426283, 0.0477582938708262),.UNSPECIFIED.); #33944=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148829,#148830,#148831,#148832), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.50153452873854),.UNSPECIFIED.); #33945=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148851,#148852,#148853,#148854), .UNSPECIFIED.,.F.,.F.,(4,4),(-5.25802735439796E-15,0.0162182051673942), .UNSPECIFIED.); #33946=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148886,#148887,#148888,#148889), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.475642412481342),.UNSPECIFIED.); #33947=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148918,#148919,#148920,#148921), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.778767123049668,-2.93000702664954E-17), .UNSPECIFIED.); #33948=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148944,#148945,#148946,#148947), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.476569932193619),.UNSPECIFIED.); #33949=B_SPLINE_CURVE_WITH_KNOTS('',3,(#148964,#148965,#148966,#148967), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.62089693390747E-15,0.0162459791240168), .UNSPECIFIED.); #33950=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149000,#149001,#149002,#149003), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.711963487141405,0.),.UNSPECIFIED.); #33951=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149010,#149011,#149012,#149013), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.728900361720267),.UNSPECIFIED.); #33952=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149036,#149037,#149038,#149039), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.728900361720267,0.),.UNSPECIFIED.); #33953=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149069,#149070,#149071,#149072), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.411821594171514,0.),.UNSPECIFIED.); #33954=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149075,#149076,#149077,#149078), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.411821594171514),.UNSPECIFIED.); #33955=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149115,#149116,#149117,#149118, #149119,#149120),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.498917909772664,-0.445007269148725, -0.431529608992741,-0.404574288680771),.UNSPECIFIED.); #33956=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149121,#149122,#149123,#149124, #149125,#149126),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.404574288680771,0.431529608992741, 0.445007269148725,0.475586991453896),.UNSPECIFIED.); #33957=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149150,#149151,#149152,#149153), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.129362882081966,0.),.UNSPECIFIED.); #33958=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149156,#149157,#149158,#149159), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.129362882081966),.UNSPECIFIED.); #33959=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149184,#149185,#149186,#149187), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.697415989725719,0.),.UNSPECIFIED.); #33960=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149190,#149191,#149192,#149193), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.697415989725719),.UNSPECIFIED.); #33961=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149214,#149215,#149216,#149217), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.475121086196189,0.),.UNSPECIFIED.); #33962=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149220,#149221,#149222,#149223), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.475121086196189),.UNSPECIFIED.); #33963=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149264,#149265,#149266,#149267), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.683688221103853,0.),.UNSPECIFIED.); #33964=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149270,#149271,#149272,#149273), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.683688221103853),.UNSPECIFIED.); #33965=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149297,#149298,#149299,#149300), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0319246171816553,0.174034150690642), .UNSPECIFIED.); #33966=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149303,#149304,#149305,#149306), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.174034150690642,-0.0319246171816553), .UNSPECIFIED.); #33967=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149323,#149324,#149325,#149326), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0104629033796211,0.183633183924417), .UNSPECIFIED.); #33968=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149348,#149349,#149350,#149351), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.183633183924417,-0.0104629033796211), .UNSPECIFIED.); #33969=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149359,#149360,#149361,#149362), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.163542593286707,0.),.UNSPECIFIED.); #33970=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149363,#149364,#149365,#149366, #149367),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.589656670806521,-0.336946669032298, 0.),.UNSPECIFIED.); #33971=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149374,#149375,#149376,#149377), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.631384320866473,-0.172157604548266), .UNSPECIFIED.); #33972=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149398,#149399,#149400,#149401), .UNSPECIFIED.,.F.,.F.,(4,4),(0.172157604548266,0.63138432086647), .UNSPECIFIED.); #33973=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149405,#149406,#149407,#149408), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505664657710776,0.509807897458028), .UNSPECIFIED.); #33974=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149433,#149434,#149435,#149436), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.654630189974403,0.),.UNSPECIFIED.); #33975=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149439,#149440,#149441,#149442), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.654630189974403),.UNSPECIFIED.); #33976=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149472,#149473,#149474,#149475), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0390304876803468,0.216468262566273), .UNSPECIFIED.); #33977=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149478,#149479,#149480,#149481), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.216468262566273,-0.0495715663921626), .UNSPECIFIED.); #33978=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149505,#149506,#149507,#149508), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.630475187588726,-1.22132806637055E-12), .UNSPECIFIED.); #33979=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149509,#149510,#149511,#149512), .UNSPECIFIED.,.F.,.F.,(4,4),(1.22132806637055E-12,0.630475187588726), .UNSPECIFIED.); #33980=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149535,#149536,#149537,#149538), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.589316997746471,0.),.UNSPECIFIED.); #33981=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149541,#149542,#149543,#149544), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.589316997746471),.UNSPECIFIED.); #33982=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149574,#149575,#149576,#149577), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.351804744690732,0.),.UNSPECIFIED.); #33983=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149580,#149581,#149582,#149583), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.351804744690732),.UNSPECIFIED.); #33984=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149604,#149605,#149606,#149607), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.296169178952898,0.),.UNSPECIFIED.); #33985=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149608,#149609,#149610,#149611), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.296169178952898),.UNSPECIFIED.); #33986=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149615,#149616,#149617,#149618), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.62600089687396,-0.166777542556149), .UNSPECIFIED.); #33987=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149639,#149640,#149641,#149642), .UNSPECIFIED.,.F.,.F.,(4,4),(0.166777542556149,0.626000896873954), .UNSPECIFIED.); #33988=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149644,#149645,#149646,#149647), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0504832676344451,0.509714278719811), .UNSPECIFIED.); #33989=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149668,#149669,#149670,#149671), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.509714278719811,-0.0504832676344407), .UNSPECIFIED.); #33990=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149675,#149676,#149677,#149678), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.488116318941506,-0.438838866289442), .UNSPECIFIED.); #33991=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149680,#149681,#149682,#149683), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0639441162929636,0.),.UNSPECIFIED.); #33992=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149713,#149714,#149715,#149716, #149717),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.458668328278702,-0.229334164139351, 0.),.UNSPECIFIED.); #33993=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149741,#149742,#149743,#149744), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.076922141836897,0.),.UNSPECIFIED.); #33994=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149766,#149767,#149768,#149769), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.121627840313366,-1.86940718503162E-13), .UNSPECIFIED.); #33995=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149814,#149815,#149816,#149817), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.586268179932409,0.),.UNSPECIFIED.); #33996=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149820,#149821,#149822,#149823), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.586268179932409),.UNSPECIFIED.); #33997=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149845,#149846,#149847,#149848), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.16548162207599,0.),.UNSPECIFIED.); #33998=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149851,#149852,#149853,#149854), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.175461527903696),.UNSPECIFIED.); #33999=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149875,#149876,#149877,#149878), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.621636424994433,0.),.UNSPECIFIED.); #34000=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149881,#149882,#149883,#149884), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.621636424994433),.UNSPECIFIED.); #34001=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149919,#149920,#149921,#149922), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.54911998600892,0.),.UNSPECIFIED.); #34002=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149945,#149946,#149947,#149948), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0905076567817817),.UNSPECIFIED.); #34003=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149988,#149989,#149990,#149991), .UNSPECIFIED.,.F.,.F.,(4,4),(4.84791962921439E-12,0.0707051104850295), .UNSPECIFIED.); #34004=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149992,#149993,#149994,#149995, #149996),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.28004215920597,2.07092070563433, 2.89928898788807),.UNSPECIFIED.); #34005=B_SPLINE_CURVE_WITH_KNOTS('',3,(#149997,#149998,#149999,#150000, #150001),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.42877002903811,1.3214101356594, 2.21405024228069),.UNSPECIFIED.); #34006=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150022,#150023,#150024,#150025), .UNSPECIFIED.,.F.,.F.,(4,4),(0.163250978505926,0.622480456144237), .UNSPECIFIED.); #34007=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150027,#150028,#150029,#150030), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0504965147733023,0.509724994762909), .UNSPECIFIED.); #34008=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150091,#150092,#150093,#150094), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0447374626899646,0.22836392491398), .UNSPECIFIED.); #34009=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150097,#150098,#150099,#150100), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.22836392491398,-0.0563410582089267), .UNSPECIFIED.); #34010=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150124,#150125,#150126,#150127), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.675343925422507,-8.12501585572485E-13), .UNSPECIFIED.); #34011=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150128,#150129,#150130,#150131), .UNSPECIFIED.,.F.,.F.,(4,4),(8.12501585572485E-13,0.675343925422507), .UNSPECIFIED.); #34012=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150154,#150155,#150156,#150157), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.619221602347647,0.),.UNSPECIFIED.); #34013=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150160,#150161,#150162,#150163), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.619221602347647),.UNSPECIFIED.); #34014=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150193,#150194,#150195,#150196), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.623993861428855,-0.0342767718002156), .UNSPECIFIED.); #34015=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150219,#150220,#150221,#150222), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.61730566851015),.UNSPECIFIED.); #34016=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150245,#150246,#150247,#150248), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.628200544747078,-0.168977459060656), .UNSPECIFIED.); #34017=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150249,#150250,#150251,#150252), .UNSPECIFIED.,.F.,.F.,(4,4),(0.168977459060656,0.628200544747087), .UNSPECIFIED.); #34018=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150254,#150255,#150256,#150257), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.626019222945208,-0.166799001857189), .UNSPECIFIED.); #34019=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150295,#150296,#150297,#150298), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0417627083111852),.UNSPECIFIED.); #34020=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150324,#150325,#150326,#150327), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.62077015738081,0.),.UNSPECIFIED.); #34021=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150330,#150331,#150332,#150333), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.62077015738081),.UNSPECIFIED.); #34022=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150363,#150364,#150365,#150366), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0453099065464933,0.229264510155699), .UNSPECIFIED.); #34023=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150369,#150370,#150371,#150372), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.229264510155699,-0.0570187040310304), .UNSPECIFIED.); #34024=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150394,#150395,#150396,#150397), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.677874012591187,0.),.UNSPECIFIED.); #34025=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150400,#150401,#150402,#150403), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.677874012591187),.UNSPECIFIED.); #34026=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150426,#150427,#150428,#150429), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.620770157380808,0.),.UNSPECIFIED.); #34027=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150432,#150433,#150434,#150435), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.620770157380808),.UNSPECIFIED.); #34028=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150465,#150466,#150467,#150468), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.677874012603171,0.),.UNSPECIFIED.); #34029=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150471,#150472,#150473,#150474), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.677874012603171),.UNSPECIFIED.); #34030=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150495,#150496,#150497,#150498), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.172245806123114,-1.15025708146739E-11), .UNSPECIFIED.); #34031=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150499,#150500,#150501,#150502), .UNSPECIFIED.,.F.,.F.,(4,4),(1.15025708146739E-11,0.183954603609157), .UNSPECIFIED.); #34032=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150525,#150526,#150527,#150528), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.628265957177157,-0.169036141450648), .UNSPECIFIED.); #34033=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150529,#150530,#150531,#150532), .UNSPECIFIED.,.F.,.F.,(4,4),(0.169036141450648,0.628265957177161), .UNSPECIFIED.); #34034=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150534,#150535,#150536,#150537), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0504975139550648,0.509727329681575), .UNSPECIFIED.); #34035=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150558,#150559,#150560,#150561), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.509727329681575,-0.050497513955062), .UNSPECIFIED.); #34036=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150584,#150585,#150586,#150587), .UNSPECIFIED.,.F.,.F.,(4,4),(1.94276778913892,2.97509476009427), .UNSPECIFIED.); #34037=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150590,#150591,#150592,#150593, #150594),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-2.97509476009427,-1.27504061146897, 0.),.UNSPECIFIED.); #34038=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150597,#150598,#150599,#150600, #150601),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,1.27504061146897,1.78625159174399), .UNSPECIFIED.); #34039=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150619,#150620,#150621,#150622), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.08186703922246),.UNSPECIFIED.); #34040=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150623,#150624,#150625,#150626, #150627,#150628),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.819596528770064,-0.549507721976182, -0.133452314338075),.UNSPECIFIED.); #34041=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150653,#150654,#150655,#150656), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.619221602347649,0.),.UNSPECIFIED.); #34042=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150659,#150660,#150661,#150662), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.619221602347649),.UNSPECIFIED.); #34043=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150713,#150714,#150715,#150716), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.6173056692638,0.),.UNSPECIFIED.); #34044=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150742,#150743,#150744,#150745), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.589718374926714,0.),.UNSPECIFIED.); #34045=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150774,#150775,#150776,#150777), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.67534392553473,0.),.UNSPECIFIED.); #34046=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150780,#150781,#150782,#150783), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.67534392553473),.UNSPECIFIED.); #34047=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150804,#150805,#150806,#150807), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.172022866650726,-5.70333106313006E-11), .UNSPECIFIED.); #34048=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150808,#150809,#150810,#150811), .UNSPECIFIED.,.F.,.F.,(4,4),(5.70333106313006E-11,0.183626462171119), .UNSPECIFIED.); #34049=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150834,#150835,#150836,#150837), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01180835696111,-0.552588135873049), .UNSPECIFIED.); #34050=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150839,#150840,#150841,#150842), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0504755833629459,0.509698669049376), .UNSPECIFIED.); #34051=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150863,#150864,#150865,#150866), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.509698669049376,-0.0504755833629454), .UNSPECIFIED.); #34052=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150889,#150890,#150891,#150892, #150893),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.78625433172674,-1.27504236555003, 0.),.UNSPECIFIED.); #34053=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150895,#150896,#150897,#150898, #150899),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,1.27504236555003,2.97509885295007), .UNSPECIFIED.); #34054=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150900,#150901,#150902,#150903), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.97509885295007,-1.94277048340138), .UNSPECIFIED.); #34055=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150925,#150926,#150927,#150928, #150929),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.840385582856138,1.96089969333099), .UNSPECIFIED.); #34056=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150931,#150932,#150933,#150934), .UNSPECIFIED.,.F.,.F.,(4,4),(6.91596481763986E-11,0.0294992176417363), .UNSPECIFIED.); #34057=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150941,#150942,#150943,#150944), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.801669659097975,-0.507593688904403), .UNSPECIFIED.); #34058=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150945,#150946,#150947,#150948), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.03438883684374,0.),.UNSPECIFIED.); #34059=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150959,#150960,#150961,#150962, #150963),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.510781803084638,0.847728472116965, 1.10043847389121),.UNSPECIFIED.); #34060=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150964,#150965,#150966,#150967), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.199469751288553,-0.0573602168638772), .UNSPECIFIED.); #34061=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150988,#150989,#150990,#150991), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.509807897458028,-0.0505664657710783), .UNSPECIFIED.); #34062=B_SPLINE_CURVE_WITH_KNOTS('',3,(#150996,#150997,#150998,#150999), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.163542593286707),.UNSPECIFIED.); #34063=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151000,#151001,#151002,#151003), .UNSPECIFIED.,.F.,.F.,(4,4),(11.5896271799878,12.9737575729813), .UNSPECIFIED.); #34064=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151024,#151025,#151026,#151027), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.509724994762909,-0.0504965147733108), .UNSPECIFIED.); #34065=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151029,#151030,#151031,#151032, #151033),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-2.21405024228069,-1.3214101356594, -0.42877002903811),.UNSPECIFIED.); #34066=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151036,#151037,#151038,#151039, #151040),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-3.21182558943747,-2.37144000657938, -1.25092589610191),.UNSPECIFIED.); #34067=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151041,#151042,#151043,#151044), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0417627083111852,0.),.UNSPECIFIED.); #34068=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151048,#151049,#151050,#151051), .UNSPECIFIED.,.F.,.F.,(4,4),(-3.21182485836991,-2.12995781922659), .UNSPECIFIED.); #34069=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151052,#151053,#151054,#151055), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.08186703922246,0.),.UNSPECIFIED.); #34070=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151059,#151060,#151061,#151062), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.042970206031773,-0.00120749772149275), .UNSPECIFIED.); #34071=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151063,#151064,#151065,#151066, #151067),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.96089969333099,-0.840385582856138, 0.),.UNSPECIFIED.); #34072=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151090,#151091,#151092,#151093), .UNSPECIFIED.,.F.,.F.,(4,4),(8.90856173728937,8.95654833646695), .UNSPECIFIED.); #34073=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151118,#151119,#151120,#151121), .UNSPECIFIED.,.F.,.F.,(4,4),(4.06611330481927,4.11409990399694), .UNSPECIFIED.); #34074=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151142,#151143,#151144,#151145), .UNSPECIFIED.,.F.,.F.,(4,4),(6.4873782917045,6.53536484790394), .UNSPECIFIED.); #34075=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151160,#151161,#151162,#151163, #151164,#151165),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.503485988036578, 1.00697197607316,1.76220095812802),.UNSPECIFIED.); #34076=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151167,#151168,#151169,#151170), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,2.18211119776325),.UNSPECIFIED.); #34077=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151172,#151173,#151174,#151175, #151176),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.718251516704716,0.720992800559517, 0.776453785217942),.UNSPECIFIED.); #34078=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151180,#151181,#151182,#151183, #151184),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0554609851757356,0.0582217088450233), .UNSPECIFIED.); #34079=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151186,#151187,#151188,#151189), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,2.18211117169953),.UNSPECIFIED.); #34080=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151191,#151192,#151193,#151194, #151195,#151196),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.503489870827958, 1.00697974165592,1.76221454789785),.UNSPECIFIED.); #34081=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151226,#151227,#151228,#151229), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.18211117169953,0.),.UNSPECIFIED.); #34082=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151269,#151270,#151271,#151272, #151273,#151274),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-5.00221718458897,-3.93031350217705, -2.85840981976513,0.),.UNSPECIFIED.); #34083=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151276,#151277,#151278,#151279), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0980642812589056,0.),.UNSPECIFIED.); #34084=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151283,#151284,#151285,#151286, #151287),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.384631466643809,-0.164842057133064, -4.57601636187845E-15),.UNSPECIFIED.); #34085=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151289,#151290,#151291,#151292, #151293,#151294),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-3.02763715542043,-2.3788577649732, -1.73007837452596,0.),.UNSPECIFIED.); #34086=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151297,#151298,#151299,#151300), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0573910913974949,0.),.UNSPECIFIED.); #34087=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151328,#151329,#151330,#151331, #151332,#151333),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-5.23474943097666,-1.01144908106142, -5.5913125854413E-5),.UNSPECIFIED.); #34088=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151335,#151336,#151337,#151338), .UNSPECIFIED.,.F.,.F.,(4,4),(-5.59129967533758E-5,0.),.UNSPECIFIED.); #34089=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151342,#151343,#151344,#151345), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.81944214064525E-5,0.),.UNSPECIFIED.); #34090=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151379,#151380,#151381,#151382), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.130269582469631,0.),.UNSPECIFIED.); #34091=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151384,#151385,#151386,#151387, #151388),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-3.2445317181927,-1.85401812468154, 0.),.UNSPECIFIED.); #34092=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151390,#151391,#151392,#151393), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0226856479459802,0.32725954897555), .UNSPECIFIED.); #34093=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151395,#151396,#151397,#151398, #151399,#151400),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.973635739108655,-0.652181529744138, -0.327259548975556),.UNSPECIFIED.); #34094=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151402,#151403,#151404,#151405), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.87477643363629,0.),.UNSPECIFIED.); #34095=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151406,#151407,#151408,#151409), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.102094972921352,-7.33064878058329E-13), .UNSPECIFIED.); #34096=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151430,#151431,#151432,#151433, #151434,#151435),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.5922494571442,-1.13732104081728, -0.68239262449037,-2.5018200927107E-16),.UNSPECIFIED.); #34097=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151436,#151437,#151438,#151439, #151440,#151441),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.50192465889812,-1.07280332778437, -0.643681996670623,0.),.UNSPECIFIED.); #34098=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151469,#151470,#151471,#151472, #151473,#151474,#151475,#151476),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-1.49798980365156, -0.985466130097714,-0.43029875436559,0.),.UNSPECIFIED.); #34099=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151498,#151499,#151500,#151501, #151502),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.00880072905118,-0.647778483468543, -0.166415489358357),.UNSPECIFIED.); #34100=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151531,#151532,#151533,#151534), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.102094972921361,0.),.UNSPECIFIED.); #34101=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151535,#151536,#151537,#151538), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.87477480009825,0.),.UNSPECIFIED.); #34102=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151540,#151541,#151542,#151543, #151544),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-3.24453169136698,-1.39051358201442, 0.),.UNSPECIFIED.); #34103=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151545,#151546,#151547,#151548), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.130269582469645,0.),.UNSPECIFIED.); #34104=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151575,#151576,#151577,#151578, #151579,#151580,#151581,#151582),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-1.49829561375041, -1.11934452672268,-0.614301029509874,0.),.UNSPECIFIED.); #34105=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151585,#151586,#151587,#151588, #151589,#151590),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.50193442472521,-1.07281030337515, -0.643686182025092,0.),.UNSPECIFIED.); #34106=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151605,#151606,#151607,#151608), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.202604894527784,-0.202549178351216), .UNSPECIFIED.); #34107=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151609,#151610,#151611,#151612, #151613,#151614,#151615),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0982563326326235, -0.049085320700093,0.),.UNSPECIFIED.); #34108=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151617,#151618,#151619,#151620, #151621,#151622,#151623),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.130837786424772, -0.0652736385068152,0.),.UNSPECIFIED.); #34109=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151625,#151626,#151627,#151628), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.5290100862679E-5,0.),.UNSPECIFIED.); #34110=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151670,#151671,#151672,#151673, #151674,#151675),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-5.00187537469987,-2.14366087487137, -1.07183043743569,0.),.UNSPECIFIED.); #34111=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151677,#151678,#151679,#151680), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0573910913974923,0.),.UNSPECIFIED.); #34112=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151684,#151685,#151686,#151687, #151688,#151689),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-3.02770476993267,-1.29758775854257, -0.648793879271287,0.),.UNSPECIFIED.); #34113=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151691,#151692,#151693,#151694, #151695),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.384787840937085,-0.219878766301279, -1.20204490932012E-10),.UNSPECIFIED.); #34114=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151697,#151698,#151699,#151700), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0980642812589087,0.),.UNSPECIFIED.); #34115=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151703,#151704,#151705,#151706, #151707,#151708),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-5.76198393498447,-2.49915835837834, 0.),.UNSPECIFIED.); #34116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151713,#151714,#151715,#151716), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00189214222259173,-0.00187394779556857), .UNSPECIFIED.); #34117=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151746,#151747,#151748,#151749), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.88465258598308,-2.15575198882056), .UNSPECIFIED.); #34118=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151756,#151757,#151758,#151759), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0639441162927846,0.),.UNSPECIFIED.); #34119=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151760,#151761,#151762,#151763), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0492774525149669,0.),.UNSPECIFIED.); #34120=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151782,#151783,#151784,#151785), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0905076567829583,0.),.UNSPECIFIED.); #34121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151809,#151810,#151811,#151812, #151813),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.336946669032298,0.589656670806521), .UNSPECIFIED.); #34122=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151818,#151819,#151820,#151821), .UNSPECIFIED.,.F.,.F.,(4,4),(-4.41692590564765,-2.38253706880391), .UNSPECIFIED.); #34123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151822,#151823,#151824,#151825), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.294075970193125,0.),.UNSPECIFIED.); #34124=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151831,#151832,#151833,#151834), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0657822709896358,-0.0650109724681263), .UNSPECIFIED.); #34125=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151836,#151837,#151838,#151839, #151840,#151841,#151842,#151843,#151844,#151845),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-0.282776111271319,-0.167220046594185,-0.139490360605984,0.), .UNSPECIFIED.); #34126=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151847,#151848,#151849,#151850, #151851,#151852,#151853,#151854),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-0.486894730479068, -0.402857551632372,-0.199365344686795,0.),.UNSPECIFIED.); #34127=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151858,#151859,#151860,#151861, #151862,#151863,#151864,#151865,#151866,#151867,#151868,#151869,#151870), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.278086307276059,-0.207942729181134, -0.137799151086208,-0.044559507704875,0.),.UNSPECIFIED.); #34128=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151872,#151873,#151874,#151875, #151876,#151877,#151878,#151879,#151880,#151881,#151882,#151883,#151884), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.283178985774271,-0.145866866331997, -0.137992786416689,-0.0227354575898598,0.),.UNSPECIFIED.); #34129=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151886,#151887,#151888,#151889), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.000771189168754335,0.),.UNSPECIFIED.); #34130=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151903,#151904,#151905,#151906, #151907,#151908,#151909),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0573984435010521, -0.0286989682764357,-1.81944360485719E-5),.UNSPECIFIED.); #34131=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151910,#151911,#151912,#151913, #151914,#151915,#151916,#151917,#151918,#151919,#151920,#151921,#151922), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.723003790996764,-0.520660235507571, -0.318316680018379,-0.138353632292385,0.),.UNSPECIFIED.); #34132=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151935,#151936,#151937,#151938, #151939,#151940),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.7236666596542,-0.314960714740191, -0.00077118106355889),.UNSPECIFIED.); #34133=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151941,#151942,#151943,#151944, #151945,#151946,#151947),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0573715523739622, -0.0286876463555124,0.),.UNSPECIFIED.); #34134=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151957,#151958,#151959,#151960, #151961,#151962,#151963),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0991355582033398, -0.0495854245362844,0.),.UNSPECIFIED.); #34135=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151969,#151970,#151971,#151972), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.131986255583203,-0.131960978449478), .UNSPECIFIED.); #34136=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151973,#151974,#151975,#151976, #151977,#151978,#151979),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.13032607533292, -0.0651505614838237,0.),.UNSPECIFIED.); #34137=B_SPLINE_CURVE_WITH_KNOTS('',3,(#151983,#151984,#151985,#151986, #151987,#151988,#151989,#151990,#151991,#151992,#151993,#151994,#151995, #151996,#151997,#151998,#151999,#152000,#152001),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(-3.258277866973,-2.53032761180035,-1.86075459973136,-1.62761720109579, -0.949369288865878,-0.270722755792091,-2.52900822825902E-5), .UNSPECIFIED.); #34138=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152002,#152003,#152004,#152005, #152006,#152007,#152008,#152009,#152010,#152011,#152012,#152013,#152014, #152015,#152016,#152017,#152018,#152019,#152020),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(-3.24553357794733,-2.56973907086078,-1.8937747208335,-1.62395489202733, -1.39206754064853,-0.666958356638754,0.),.UNSPECIFIED.); #34139=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152147,#152148,#152149,#152150), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0503647020428575,0.720760254971248), .UNSPECIFIED.); #34140=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152154,#152155,#152156,#152157), .UNSPECIFIED.,.F.,.F.,(4,4),(2.10343572481785,2.77383127774624), .UNSPECIFIED.); #34141=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152242,#152243,#152244,#152245, #152246,#152247,#152248,#152249,#152250,#152251,#152252,#152253,#152254, #152255,#152256,#152257,#152258,#152259,#152260,#152261,#152262,#152263, #152264,#152265,#152266,#152267,#152268,#152269,#152270,#152271,#152272), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.132444647435153,0.133375791320322, 0.137747461553221,0.144866026296087,0.14923174711755,0.159484856505312, 0.192823863813831,0.200214559378909,0.231212659252744,0.260600816994419, 0.268982371936958),.UNSPECIFIED.); #34142=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152278,#152279,#152280,#152281, #152282,#152283,#152284,#152285,#152286,#152287),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.136234080723204,0.147350418068302,0.158850751413429,0.175725250660569, 0.192599749907708),.UNSPECIFIED.); #34143=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152291,#152292,#152293,#152294, #152295,#152296),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.481391683866918,0.559642763347345, 0.68573992079696),.UNSPECIFIED.); #34144=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152298,#152299,#152300,#152301, #152302,#152303),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.68573992079696,0.688528110833769, 0.688680121545739),.UNSPECIFIED.); #34145=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152323,#152324,#152325,#152326, #152327,#152328,#152329,#152330,#152331,#152332,#152333,#152334,#152335), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.120300198381183,0.131149378920376, 0.251444533411685,0.262293299474235),.UNSPECIFIED.); #34146=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152365,#152366,#152367,#152368, #152369,#152370),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.557524659028622,-0.406143679872938, -0.254762700717254,-0.0847929121223057),.UNSPECIFIED.); #34147=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152372,#152373,#152374,#152375), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0604387475310938,-0.0563135694809161), .UNSPECIFIED.); #34148=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152395,#152396,#152397,#152398), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0563135695925721,0.0604387476427972), .UNSPECIFIED.); #34149=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152400,#152401,#152402,#152403, #152404,#152405),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.084792912122305,0.254762700717253, 0.406143679872937,0.557524659028621),.UNSPECIFIED.); #34150=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152563,#152564,#152565,#152566, #152567,#152568,#152569,#152570,#152571,#152572,#152573,#152574), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(0.135541741328444,0.135669510949048, 0.147202344385083,0.158735177821119,0.175657551383156,0.192579924945193), .UNSPECIFIED.); #34151=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152576,#152577,#152578,#152579, #152580,#152581,#152582,#152583,#152584,#152585,#152586,#152587), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(0.101398167068266,0.139230078025871, 0.177063664810332,0.197053827697083,0.207048909140458,0.217043990583833), .UNSPECIFIED.); #34152=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152601,#152602,#152603,#152604, #152605,#152606,#152607,#152608,#152609,#152610,#152611,#152612), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(0.,0.0100205038109313,0.0200410076218627, 0.0400820152437254,0.0779826742081016,0.115881652015112),.UNSPECIFIED.); #34153=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152613,#152614,#152615,#152616, #152617,#152618,#152619,#152620),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.0313087679902778, 0.0440388166307322,0.0563847016461846),.UNSPECIFIED.); #34154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152635,#152636,#152637,#152638, #152639,#152640,#152641,#152642,#152643),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(0.,0.413243639886918,0.826487279773836,1.03310909971729,1.23973091966075, 1.44635273960421,1.46587357487399),.UNSPECIFIED.); #34155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152645,#152646,#152647,#152648, #152649,#152650,#152651,#152652,#152653),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(1.2510155828928E-14,0.379145460081257,1.13743638024375,1.51658184032499, 1.89572730040624,2.27487276048748,2.65401822056873),.UNSPECIFIED.); #34156=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152654,#152655,#152656,#152657, #152658,#152659,#152660),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(1.46598668060002, 1.65297455954767,2.06621819943459,2.47946183932151,2.89270547920843), .UNSPECIFIED.); #34157=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152663,#152664,#152665,#152666, #152667,#152668,#152669,#152670,#152671,#152672,#152673,#152674,#152675, #152676,#152677,#152678,#152679,#152680,#152681,#152682,#152683,#152684, #152685,#152686,#152687,#152688,#152689,#152690,#152691,#152692,#152693, #152694,#152695,#152696,#152697,#152698,#152699,#152700,#152701,#152702, #152703,#152704,#152705,#152706,#152707,#152708,#152709,#152710,#152711, #152712,#152713,#152714),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(-0.659907762436723,-0.607520185346738,-0.580512586332315, -0.554045106184152,-0.52949397789803,-0.47048459381485,-0.449482508677449, -0.3870411447559,-0.350700625877536,-0.331273863395947,-0.292890944144089, -0.248100349295225,-0.220822177475137,-0.165616633106353,-0.131421173016403, -0.10462092795976,-0.0349566901894893,0.),.UNSPECIFIED.); #34158=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152717,#152718,#152719,#152720, #152721,#152722,#152723,#152724,#152725,#152726,#152727,#152728,#152729, #152730,#152731,#152732,#152733,#152734,#152735,#152736,#152737,#152738, #152739,#152740,#152741,#152742,#152743,#152744,#152745,#152746,#152747, #152748,#152749,#152750,#152751,#152752,#152753,#152754,#152755,#152756, #152757,#152758,#152759,#152760,#152761,#152762,#152763,#152764,#152765, #152766,#152767,#152768,#152769,#152770,#152771),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.655639735283508,-0.605874174358623, -0.565412620015507,-0.522340028923844,-0.499429055597232,-0.43592531204131, -0.404840170134907,-0.382928918678881,-0.319437322373003,-0.296569195347336, -0.27520136999631,-0.245414081373547,-0.201924058163176,-0.177231178687063, -0.132923384015297,-0.0947623689940791,-0.073137075331457,-0.01802617742762, 0.),.UNSPECIFIED.); #34159=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152781,#152782,#152783,#152784, #152785,#152786,#152787,#152788,#152789,#152790,#152791,#152792), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.413243610597668,0.826487221195336, 1.03310902649417,1.239730831793,1.44635263709184,1.65297444239067,2.06621805298834, 2.47946166358601,2.89270527418367),.UNSPECIFIED.); #34160=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152794,#152795,#152796,#152797, #152798,#152799,#152800),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(1.32110350472073, 1.51658278068071,1.89572847585089,2.27487417102107,2.65401986619125), .UNSPECIFIED.); #34161=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152802,#152803,#152804,#152805, #152806,#152807,#152808),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(6.03789103795207E-16, 0.379145695170179,0.758291390340358,1.13743708551054,1.32110350472073), .UNSPECIFIED.); #34162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152810,#152811,#152812,#152813, #152814,#152815,#152816,#152817,#152818,#152819,#152820,#152821,#152822, #152823,#152824,#152825,#152826,#152827,#152828,#152829,#152830,#152831, #152832,#152833,#152834,#152835,#152836,#152837,#152838,#152839,#152840, #152841,#152842,#152843,#152844,#152845,#152846,#152847,#152848,#152849, #152850,#152851,#152852,#152853,#152854,#152855,#152856,#152857,#152858, #152859,#152860,#152861),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(-0.659907762436723,-0.607520204265443,-0.580512585477074, -0.554045106184152,-0.529493960570114,-0.470484539374424,-0.449482466728851, -0.387041101715627,-0.350700473992955,-0.33127357836692,-0.292890944144089, -0.248100446435398,-0.220822212093826,-0.165616659070369,-0.131421287216698, -0.104620986069354,-0.0349568014048757,0.),.UNSPECIFIED.); #34163=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152864,#152865,#152866,#152867, #152868,#152869,#152870,#152871,#152872,#152873,#152874,#152875,#152876, #152877,#152878,#152879,#152880,#152881,#152882,#152883,#152884,#152885, #152886,#152887,#152888,#152889,#152890,#152891,#152892,#152893,#152894, #152895,#152896,#152897,#152898,#152899,#152900,#152901,#152902,#152903, #152904,#152905,#152906,#152907,#152908,#152909,#152910,#152911,#152912, #152913,#152914,#152915,#152916,#152917,#152918),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.655639735283508,-0.605874171680606, -0.565412620769903,-0.522340028923844,-0.499429060645986,-0.435925315827876, -0.404840149304761,-0.382928918973767,-0.319437345352688,-0.296569237745363, -0.275201376240883,-0.245414081373547,-0.201924212951534,-0.177231215787112, -0.132923411840334,-0.094762411171766,-0.0731370827297654,-0.0180261291408674, 0.),.UNSPECIFIED.); #34164=B_SPLINE_CURVE_WITH_KNOTS('',3,(#152982,#152983,#152984,#152985, #152986,#152987,#152988,#152989,#152990,#152991,#152992,#152993), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(-2.89270527418367,-2.47946166358601, -2.06621805298834,-1.65297444239067,-1.44635263709184,-1.239730831793,-1.03310902649417, -0.826487221195336,-0.413243610597668,0.),.UNSPECIFIED.); #34165=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153045,#153046,#153047,#153048, #153049,#153050,#153051,#153052,#153053,#153054),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-2.65401986619125,-2.27487417102107,-1.89572847585089, -1.51658278068071,-1.13743708551054,-0.758291390340358,-0.379145695170179, -6.03789103795207E-16),.UNSPECIFIED.); #34166=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153059,#153060,#153061,#153062, #153063,#153064,#153065),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(2.43338229996883, 2.92590499901832,3.17308454083157,3.66744362445809,4.1618027080846), .UNSPECIFIED.); #34167=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153069,#153070,#153071,#153072), .UNSPECIFIED.,.F.,.F.,(4,4),(-4.92051473707006,-1.76031080495957), .UNSPECIFIED.); #34168=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153074,#153075,#153076,#153077, #153078,#153079),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.26186012358881,-0.901328659706292, -0.540797195823775,0.),.UNSPECIFIED.); #34169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153144,#153145,#153146,#153147, #153148,#153149,#153150,#153151,#153152,#153153,#153154,#153155), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(-2.89270547920843,-2.47946183932151, -2.06621819943459,-1.65297455954767,-1.44635273960421,-1.23973091966075, -1.03310909971729,-0.826487279773836,-0.413243639886918,0.), .UNSPECIFIED.); #34170=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153202,#153203,#153204,#153205, #153206,#153207,#153208,#153209,#153210),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-2.65401822056873,-2.27487276048748,-1.89572730040624,-1.51658184032499, -1.13743638024375,-0.379145460081257,-1.2510155828928E-14), .UNSPECIFIED.); #34171=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153215,#153216,#153217,#153218, #153219,#153220),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.26193802839398,-0.901384305995701, -0.540830583597421,0.),.UNSPECIFIED.); #34172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153222,#153223,#153224,#153225), .UNSPECIFIED.,.F.,.F.,(4,4),(1.76023757529451,4.92035497048853), .UNSPECIFIED.); #34173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153229,#153230,#153231,#153232, #153233,#153234,#153235),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-4.08409863832557, -3.589433506196,-3.34210094013122,-2.84743580800165,-2.35459688604666), .UNSPECIFIED.); #34174=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153257,#153258,#153259,#153260, #153261,#153262,#153263,#153264,#153265,#153266,#153267,#153268,#153269, #153270,#153271,#153272,#153273,#153274,#153275,#153276,#153277,#153278, #153279,#153280,#153281,#153282,#153283,#153284,#153285,#153286,#153287, #153288,#153289,#153290,#153291,#153292,#153293,#153294,#153295,#153296, #153297,#153298,#153299,#153300,#153301,#153302,#153303,#153304,#153305, #153306,#153307,#153308,#153309,#153310,#153311),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.655639735283535,-0.60587417209641, -0.565412620651773,-0.522340028923838,-0.499429059870111,-0.435925315245972, -0.404840152508708,-0.38292891892482,-0.319437341802831,-0.296569231201821, -0.275201375276801,-0.245414081373556,-0.201924189068512,-0.17723121007395, -0.132923407555463,-0.0947624046837447,-0.0731370815935026,-0.0180261365943169, 0.),.UNSPECIFIED.); #34175=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153314,#153315,#153316,#153317, #153318,#153319,#153320,#153321,#153322,#153323,#153324,#153325,#153326, #153327,#153328,#153329,#153330,#153331,#153332,#153333,#153334,#153335, #153336,#153337,#153338,#153339,#153340,#153341,#153342,#153343,#153344, #153345,#153346,#153347,#153348,#153349,#153350,#153351,#153352,#153353, #153354,#153355,#153356,#153357,#153358,#153359,#153360,#153361,#153362, #153363,#153364,#153365),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(-0.659907762436655,-0.607520203329496,-0.580512585516405, -0.554045106184143,-0.529493961425143,-0.470484542060518,-0.449482468801062, -0.38704110384008,-0.350700481484987,-0.331273592435491,-0.292890944144087, -0.248100441630555,-0.220822210390419,-0.165616657792815,-0.131421281596263, -0.104620983183718,-0.0349567958913545,0.),.UNSPECIFIED.); #34176=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153373,#153374,#153375,#153376, #153377,#153378,#153379,#153380,#153381),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(111.526539202438,111.892825105116,112.85629346193,113.819761818744, 114.783230175557,115.746698532371,116.710166889184),.UNSPECIFIED.); #34177=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153382,#153383,#153384,#153385, #153386,#153387,#153388,#153389,#153390,#153391,#153392,#153393,#153394, #153395,#153396,#153397,#153398,#153399,#153400,#153401,#153402,#153403), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-111.212380128755,-110.67816935683, -109.714701000016,-108.751232643203,-107.787764286389,-106.824295929575, -105.860827572762,-105.243353895258),.UNSPECIFIED.); #34178=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153468,#153469,#153470,#153471, #153472,#153473,#153474,#153475,#153476),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(104.185078250607,105.148546607421,106.112014964234,107.075483321048, 108.038951677862,109.002420034675,109.955742875643),.UNSPECIFIED.); #34179=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153541,#153542,#153543,#153544, #153545,#153546,#153547,#153548,#153549,#153550,#153551),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(103.672557568463,103.933890859134,104.897359215948, 105.860827572762,106.824295929575,107.787764286389,108.751232643203,109.714701000016, 109.955742875643),.UNSPECIFIED.); #34180=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153572,#153573,#153574,#153575, #153576,#153577,#153578,#153579,#153580,#153581,#153582,#153583,#153584, #153585,#153586,#153587,#153588,#153589,#153590,#153591,#153592,#153593, #153594,#153595,#153596,#153597,#153598,#153599,#153600,#153601,#153602, #153603,#153604,#153605,#153606,#153607,#153608,#153609,#153610,#153611, #153612,#153613,#153614,#153615,#153616,#153617,#153618,#153619,#153620, #153621,#153622,#153623,#153624,#153625,#153626),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.655639735283535,-0.605874163735185, -0.565412622929134,-0.522340028923838,-0.499429075575164,-0.435925327024762, -0.404840087445373,-0.382928919884876,-0.319437413604883,-0.296569363756106, -0.275201394857669,-0.245414081373556,-0.201924672182103,-0.177231325882544, -0.132923494411908,-0.0947625363416079,-0.0731371047029678,-0.0180259860100011, 0.),.UNSPECIFIED.); #34181=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153627,#153628,#153629,#153630, #153631,#153632,#153633,#153634,#153635,#153636,#153637,#153638,#153639, #153640,#153641,#153642,#153643,#153644,#153645,#153646,#153647,#153648, #153649,#153650,#153651,#153652,#153653,#153654,#153655,#153656,#153657, #153658,#153659,#153660,#153661,#153662,#153663,#153664,#153665,#153666, #153667,#153668,#153669,#153670,#153671,#153672,#153673,#153674,#153675, #153676,#153677,#153678),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(-0.659907762436655,-0.607520209374723,-0.580512585234778, -0.554045106184143,-0.529493955875345,-0.470484524658841,-0.449482455391458, -0.387041090105732,-0.350700432963945,-0.331273501360502,-0.292890944144087, -0.24810047278564,-0.220822221512317,-0.165616666134238,-0.131421318262546, -0.104621001839659,-0.0349568315823913,0.),.UNSPECIFIED.); #34182=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153686,#153687,#153688,#153689, #153690,#153691,#153692,#153693,#153694,#153695),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(108.384946548848,109.002420034675,109.965888391489,110.929356748303, 111.892825105116,112.85629346193,113.819761818744,114.668131856027), .UNSPECIFIED.); #34183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153696,#153697,#153698,#153699, #153700,#153701,#153702,#153703,#153704,#153705,#153706,#153707,#153708, #153709,#153710,#153711,#153712,#153713,#153714,#153715,#153716,#153717), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-108.384946548848,-107.787764286389, -106.824295929575,-105.860827572762,-104.897359215948,-103.933890859134, -102.970422502321,-102.101761241668),.UNSPECIFIED.); #34184=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153782,#153783,#153784,#153785, #153786,#153787,#153788,#153789,#153790),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(104.185078250607,105.148546607421,106.112014964234,107.075483321048, 108.038951677862,109.002420034675,109.955742875643),.UNSPECIFIED.); #34185=B_SPLINE_CURVE_WITH_KNOTS('',3,(#153855,#153856,#153857,#153858, #153859,#153860,#153861,#153862,#153863,#153864,#153865),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(103.672557568463,103.933890859134,104.897359215948, 105.860827572762,106.824295929575,107.787764286389,108.751232643203,109.714701000016, 109.955742875643),.UNSPECIFIED.); #34186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154030,#154031,#154032,#154033, #154034,#154035,#154036,#154037,#154038,#154039,#154040,#154041,#154042, #154043,#154044,#154045,#154046,#154047,#154048,#154049,#154050,#154051, #154052,#154053,#154054,#154055,#154056,#154057,#154058,#154059,#154060, #154061,#154062,#154063,#154064,#154065,#154066,#154067,#154068,#154069, #154070,#154071,#154072,#154073,#154074,#154075,#154076,#154077,#154078, #154079,#154080,#154081,#154082,#154083,#154084,#154085,#154086,#154087), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(4.498033528461E-13,0.229911116015311,0.296929081519464,0.402105156924252, 0.447187879005617,0.49932464171033,0.509296346760134,0.528859693649562, 0.538457654425966,0.567018898199619,0.585932970478042,0.642125498063147, 0.679083896139583,0.751709158564986,0.787369994140653,0.857496094398944, 0.891898565455,0.95924685889588,0.991970209522738,1.03976687954345,1.05533194169496, 1.078326379546,1.0858984493466,1.1008951217551,1.1083531444693,1.13082300687607, 1.14585187541747,1.17604591869273,1.20637150259352),.UNSPECIFIED.); #34187=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154089,#154090,#154091,#154092, #154093,#154094,#154095,#154096,#154097,#154098,#154099,#154100,#154101, #154102,#154103,#154104,#154105,#154106,#154107,#154108,#154109,#154110, #154111,#154112,#154113,#154114,#154115,#154116,#154117,#154118), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(1.36340358895188E-14, 0.0922769035715064,0.437380328081134,0.613089552452178,0.880840660128224, 0.971234211122543,1.1079164615524,1.1538849248347,1.24761615773669,1.29540145390104, 1.54511718231813,1.76036119766396,2.25465278136583,2.55654459823145,3.12972706593555), .UNSPECIFIED.); #34188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154119,#154120,#154121,#154122, #154123,#154124,#154125,#154126,#154127,#154128,#154129,#154130,#154131, #154132,#154133,#154134,#154135,#154136,#154137,#154138,#154139,#154140, #154141,#154142,#154143,#154144,#154145,#154146,#154147,#154148,#154149, #154150),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(2.97436176319978E-14, 0.0371422946889163,0.0746621607305561,0.0935668899544013,0.122154301040608, 0.131735985906695,0.151156463916988,0.161044953699101,0.191447889322557, 0.212380436324347,0.278827270874706,0.326710657351375,0.434840990724914, 0.498171788901046,0.66858555022922,0.731748340833569),.UNSPECIFIED.); #34189=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154443,#154444,#154445,#154446, #154447,#154448,#154449,#154450,#154451,#154452,#154453,#154454,#154455, #154456,#154457,#154458,#154459,#154460,#154461,#154462,#154463,#154464, #154465,#154466,#154467,#154468,#154469,#154470,#154471,#154472,#154473, #154474,#154475,#154476,#154477,#154478,#154479,#154480,#154481,#154482, #154483,#154484,#154485,#154486,#154487,#154488,#154489,#154490,#154491, #154492,#154493,#154494,#154495,#154496,#154497,#154498,#154499,#154500), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-1.20637150259352,-1.17604591869273,-1.14585187541747,-1.13082300687607, -1.1083531444693,-1.1008951217551,-1.0858984493466,-1.078326379546,-1.05533194169496, -1.03976687954345,-0.991970209522738,-0.95924685889588,-0.891898565455, -0.857496094398944,-0.787369994140653,-0.751709158564986,-0.679083896139583, -0.642125498063147,-0.585932970478042,-0.567018898199619,-0.538457654425966, -0.528859693649562,-0.509296346760134,-0.49932464171033,-0.447187879005617, -0.402105156924252,-0.296929081519464,-0.229911116015311,-4.498033528461E-13), .UNSPECIFIED.); #34190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154506,#154507,#154508,#154509, #154510,#154511,#154512,#154513,#154514,#154515,#154516,#154517,#154518, #154519,#154520,#154521,#154522,#154523,#154524,#154525,#154526,#154527, #154528,#154529,#154530,#154531,#154532,#154533,#154534,#154535,#154536, #154537,#154538,#154539,#154540,#154541,#154542,#154543,#154544,#154545, #154546,#154547,#154548,#154549,#154550,#154551,#154552,#154553,#154554, #154555,#154556,#154557,#154558,#154559,#154560,#154561,#154562,#154563), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-1.20457793387252,-0.974696896302921,-0.907882989605471,-0.803235501402645, -0.758239475374476,-0.706035162838857,-0.696026485257117,-0.676383242648883, -0.666752502637945,-0.63812210825022,-0.619187203263646,-0.563062984737193, -0.526175271466438,-0.453522345114648,-0.417768459423004,-0.347601662048513, -0.313325158597784,-0.246431346552652,-0.213914570034855,-0.166342514370438, -0.150832830186071,-0.127905896823397,-0.12035256136474,-0.105388235106768, -0.0979440615986093,-0.0755076591747452,-0.0604948574869943,-0.0303201003976051, 0.),.UNSPECIFIED.); #34191=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154567,#154568,#154569,#154570), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.466402376219753),.UNSPECIFIED.); #34192=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154572,#154573,#154574,#154575, #154576,#154577,#154578,#154579,#154580,#154581),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(0.,0.53437718571399,1.06875437142798,1.33594296428497, 1.60313155714197,1.73672585357047,1.80352300178472,1.87032014999896), .UNSPECIFIED.); #34193=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154583,#154584,#154585,#154586), .UNSPECIFIED.,.F.,.F.,(4,4),(3.93428564626211E-15,0.00757900097039432), .UNSPECIFIED.); #34194=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154589,#154590,#154591,#154592), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.476662124423586,-1.0657024853428E-15), .UNSPECIFIED.); #34195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#154885,#154886,#154887,#154888, #154889,#154890,#154891,#154892,#154893,#154894,#154895,#154896,#154897, #154898,#154899,#154900,#154901,#154902,#154903,#154904,#154905,#154906, #154907,#154908,#154909,#154910,#154911,#154912,#154913,#154914,#154915, #154916,#154917,#154918,#154919,#154920,#154921,#154922,#154923,#154924, #154925,#154926,#154927,#154928,#154929,#154930,#154931,#154932,#154933, #154934,#154935,#154936,#154937,#154938,#154939,#154940,#154941,#154942), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(0.,0.0303201003976051,0.0604948574869943,0.0755076591747452, 0.0979440615986093,0.105388235106768,0.12035256136474,0.127905896823397, 0.150832830186071,0.166342514370438,0.213914570034855,0.246431346552652, 0.313325158597784,0.347601662048513,0.417768459423004,0.453522345114648, 0.526175271466438,0.563062984737193,0.619187203263646,0.63812210825022, 0.666752502637945,0.676383242648883,0.696026485257117,0.706035162838857, 0.758239475374476,0.803235501402645,0.907882989605471,0.974696896302921, 1.20457793387252),.UNSPECIFIED.); #34196=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155032,#155033,#155034,#155035, #155036,#155037,#155038,#155039,#155040,#155041,#155042,#155043,#155044, #155045,#155046,#155047,#155048,#155049,#155050,#155051,#155052,#155053, #155054,#155055,#155056,#155057,#155058,#155059,#155060,#155061,#155062, #155063),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(0.,0.0631368053444859, 0.233503870150237,0.296707053785371,0.40509930065355,0.453342540919715, 0.520216177932506,0.541259930234447,0.571803954402213,0.581733067581212, 0.60122575845265,0.610839367432608,0.63950746416197,0.658453588693368,0.696027624996517, 0.733187503444589),.UNSPECIFIED.); #34197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155064,#155065,#155066,#155067, #155068,#155069,#155070,#155071,#155072,#155073,#155074,#155075,#155076, #155077,#155078,#155079,#155080,#155081,#155082,#155083,#155084,#155085, #155086,#155087,#155088,#155089,#155090,#155091,#155092,#155093), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(0.,0.573137152849042, 0.874975991158221,1.36917976976992,1.58442939409503,1.83419406498411,1.88199042998532, 1.97574271938562,2.02172116257744,2.15843157181741,2.24884236586636,2.51663699622078, 2.69236769486347,3.03749377148308,3.12977179846946),.UNSPECIFIED.); #34198=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155096,#155097,#155098,#155099), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.93364405033835),.UNSPECIFIED.); #34199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155100,#155101,#155102,#155103, #155104),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.316086241018133,0.737534562375643), .UNSPECIFIED.); #34200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155106,#155107,#155108,#155109), .UNSPECIFIED.,.F.,.F.,(4,4),(1.0657024853428E-15,0.476662124423586), .UNSPECIFIED.); #34201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155111,#155112,#155113,#155114, #155115),.UNSPECIFIED.,.F.,.F.,(4,1,4),(4.34707588220017E-15,2.54802931015925, 5.94540172370491),.UNSPECIFIED.); #34202=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155116,#155117,#155118,#155119), .UNSPECIFIED.,.F.,.F.,(4,4),(1.42333604754745E-14,0.476662502182532), .UNSPECIFIED.); #34203=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155121,#155122,#155123,#155124, #155125),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.316086240815888,0.737534561903739), .UNSPECIFIED.); #34204=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155126,#155127,#155128,#155129), .UNSPECIFIED.,.F.,.F.,(4,4),(3.60989782964236,5.54558948490663), .UNSPECIFIED.); #34205=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155156,#155157,#155158,#155159, #155160,#155161),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0361237335787988,0., 0.000143019766034437),.UNSPECIFIED.); #34206=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155180,#155181,#155182,#155183), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0895266913325423,-9.19359809672124E-12), .UNSPECIFIED.); #34207=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155207,#155208,#155209,#155210), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.137476925913764,0.),.UNSPECIFIED.); #34208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155243,#155244,#155245,#155246), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.137476925891562),.UNSPECIFIED.); #34209=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155267,#155268,#155269,#155270), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0886252857479287,-7.54864538977318E-13), .UNSPECIFIED.); #34210=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155291,#155292,#155293,#155294), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0895253076724079),.UNSPECIFIED.); #34211=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155319,#155320,#155321,#155322, #155323,#155324),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0362667532786928,-0.0361237335128051, 0.),.UNSPECIFIED.); #34212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155345,#155346,#155347,#155348), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0886252857755896,0.),.UNSPECIFIED.); #34213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155370,#155371,#155372,#155373), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.105399755601298,0.),.UNSPECIFIED.); #34214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155377,#155378,#155379,#155380), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.18450722745864),.UNSPECIFIED.); #34215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155383,#155384,#155385,#155386), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.38294964952666,0.),.UNSPECIFIED.); #34216=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155388,#155389,#155390,#155391), .UNSPECIFIED.,.F.,.F.,(4,4),(-12.9737575729813,-11.5896271799878), .UNSPECIFIED.); #34217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155394,#155395,#155396,#155397), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.233308619698266,-0.0601383397857304), .UNSPECIFIED.); #34218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155399,#155400,#155401,#155402, #155403),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.10043847389121,-0.847728472116965, -0.510781803084638),.UNSPECIFIED.); #34219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155404,#155405,#155406,#155407), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.163542593286694,0.),.UNSPECIFIED.); #34220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155410,#155411,#155412,#155413), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.257738035847304,-0.152747373712698), .UNSPECIFIED.); #34221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155414,#155415,#155416,#155417), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.382949649710817,0.),.UNSPECIFIED.); #34222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155441,#155442,#155443,#155444), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0735634245049099,0.257738035847304), .UNSPECIFIED.); #34223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155464,#155465,#155466,#155467), .UNSPECIFIED.,.F.,.F.,(4,4),(-7.95040758699129E-17,0.073554231427113), .UNSPECIFIED.); #34224=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155484,#155485,#155486,#155487), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0326130815353158,-1.71483748365018E-16), .UNSPECIFIED.); #34225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155563,#155564,#155565,#155566), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0244302414132372,0.),.UNSPECIFIED.); #34226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155567,#155568,#155569,#155570), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0244302414132372),.UNSPECIFIED.); #34227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155596,#155597,#155598,#155599, #155600,#155601),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0682474814890424,0., 0.000437057773405045),.UNSPECIFIED.); #34228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155602,#155603,#155604,#155605, #155606,#155607),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000437057773405045,0., 0.0682474814890424),.UNSPECIFIED.); #34229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155625,#155626,#155627,#155628), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.314044675676075,0.),.UNSPECIFIED.); #34230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155631,#155632,#155633,#155634), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.360022828210357),.UNSPECIFIED.); #34231=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155640,#155641,#155642,#155643), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0244302414132377),.UNSPECIFIED.); #34232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155645,#155646,#155647,#155648), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.56107967862082,-2.24703500297022), .UNSPECIFIED.); #34233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155650,#155651,#155652,#155653), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0503703480002068,0.405746481331039), .UNSPECIFIED.); #34234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155656,#155657,#155658,#155659), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.355376133330593),.UNSPECIFIED.); #34235=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155683,#155684,#155685,#155686), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0244302414132377,0.),.UNSPECIFIED.); #34236=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155719,#155720,#155721,#155722, #155723,#155724),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0682474814890004,0.0686845392624477), .UNSPECIFIED.); #34237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155725,#155726,#155727,#155728, #155729,#155730),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0686845392624477,-0.0682474814890004, 0.),.UNSPECIFIED.); #34238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155764,#155765,#155766,#155767, #155768,#155769),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.557524659028621,-0.406143679872937, -0.254762700717253,-0.0428117067248875),.UNSPECIFIED.); #34239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155770,#155771,#155772,#155773), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0276912319837268,0.0428117067248877), .UNSPECIFIED.); #34240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155777,#155778,#155779,#155780, #155781,#155782),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.120811620137381,0.124675356632479, 0.136472915750484),.UNSPECIFIED.); #34241=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155804,#155805,#155806,#155807, #155808,#155809,#155810,#155811,#155812,#155813,#155814,#155815,#155816, #155817,#155818,#155819,#155820,#155821,#155822),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(-0.000251478130884708,0.,0.0128334663670304,0.0161583049934036, 0.0188403094863562,0.0280177726882195,0.0351642475986984),.UNSPECIFIED.); #34242=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155823,#155824,#155825,#155826), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0436568261493189,0.),.UNSPECIFIED.); #34243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155845,#155846,#155847,#155848), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.77383127774624,-2.10306933510683), .UNSPECIFIED.); #34244=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155851,#155852,#155853,#155854, #155855,#155856),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0470552120051694,0.058852771123174, 0.0627165076183475),.UNSPECIFIED.); #34245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155879,#155880,#155881,#155882, #155883,#155884,#155885,#155886,#155887,#155888,#155889,#155890,#155891, #155892,#155893,#155894,#155895,#155896,#155897),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.0102276602223714,0.0193727238966835,0.0225556404009402, 0.0377859837595668,0.041731796280494,0.0420302430039705),.UNSPECIFIED.); #34246=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155898,#155899,#155900,#155901), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.043656826149319,0.),.UNSPECIFIED.); #34247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155913,#155914,#155915,#155916, #155917,#155918,#155919,#155920,#155921,#155922,#155923,#155924), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(0.,0.00988833697772081,0.0197969835585772, 0.0297441475599612,0.0394198763886167,0.0396488104791406),.UNSPECIFIED.); #34248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155953,#155954,#155955,#155956), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.721126644682268,-0.0503647020428575), .UNSPECIFIED.); #34249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155969,#155970,#155971,#155972, #155973,#155974,#155975,#155976,#155977,#155978,#155979,#155980), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(-0.000228969930457813,0.,0.00967724357676357, 0.0196259648197895,0.0295361626123365,0.039426047622241),.UNSPECIFIED.); #34250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155987,#155988,#155989,#155990, #155991,#155992),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.193048321857242,0.193265100928436, 0.193271628554352),.UNSPECIFIED.); #34251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155994,#155995,#155996,#155997, #155998,#155999),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.193451192048075,0.193457719673991, 0.193674498745185),.UNSPECIFIED.); #34252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156016,#156017,#156018,#156019), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.355376133330593,0.),.UNSPECIFIED.); #34253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156040,#156041,#156042,#156043), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.405746481331039,-0.0503703480002068), .UNSPECIFIED.); #34254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156060,#156061,#156062,#156063), .UNSPECIFIED.,.F.,.F.,(4,4),(2.20105685043594,2.56107967862082), .UNSPECIFIED.); #34255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156078,#156079,#156080,#156081), .UNSPECIFIED.,.F.,.F.,(4,4),(0.364400679723404,2.77234442377072), .UNSPECIFIED.); #34256=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156084,#156085,#156086,#156087, #156088,#156089),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.911421403511462,0.912648726532499, 0.91275043667308),.UNSPECIFIED.); #34257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156115,#156116,#156117,#156118), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.42930082024728,-0.413434381631714), .UNSPECIFIED.); #34258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156120,#156121,#156122,#156123, #156124,#156125,#156126,#156127,#156128),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 2,4),(7.78298352508278E-12,0.0193099909674681,0.0386199819271533,0.0579299728868384, 0.0965499548062087,0.0970328504588895),.UNSPECIFIED.); #34259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156129,#156130,#156131,#156132), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0664173218647434),.UNSPECIFIED.); #34260=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156195,#156196,#156197,#156198, #156199,#156200),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.119861879403828,-0.0856156281455987, -0.0513693768873697,-2.60962506509432E-14),.UNSPECIFIED.); #34261=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156201,#156202,#156203,#156204, #156205,#156206),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(2.60962506509432E-14, 0.0513693768873697,0.0856156281455987,0.119861879403828),.UNSPECIFIED.); #34262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156230,#156231,#156232,#156233), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0541937934502284,0.336608976345765), .UNSPECIFIED.); #34263=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156234,#156235,#156236,#156237), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.336608976345777,-0.0541937934502285), .UNSPECIFIED.); #34264=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156261,#156262,#156263,#156264), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.201866381968015,0.193866607703332), .UNSPECIFIED.); #34265=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156267,#156268,#156269,#156270), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.1938666067508,0.201866381203799), .UNSPECIFIED.); #34266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156332,#156333,#156334,#156335, #156336,#156337),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.120079821220791,-0.0686170406976067, -0.0343085203488176,-2.8403840674307E-14),.UNSPECIFIED.); #34267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156338,#156339,#156340,#156341, #156342,#156343),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(2.8403840674307E-14,0.0343085203488176, 0.0686170406976067,0.120079821220791),.UNSPECIFIED.); #34268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156367,#156368,#156369,#156370), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0394671010241837,0.0425638642063429), .UNSPECIFIED.); #34269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156371,#156372,#156373,#156374), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0425638642063522,0.0394671008300835), .UNSPECIFIED.); #34270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156403,#156404,#156405,#156406, #156407),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.319623522862251,-0.182642013067854, -8.65845650658668E-12),.UNSPECIFIED.); #34271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156408,#156409,#156410,#156411, #156412),.UNSPECIFIED.,.F.,.F.,(4,1,4),(8.65845650658668E-12,0.182642013067854, 0.319623522862251),.UNSPECIFIED.); #34272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156466,#156467,#156468,#156469, #156470),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.319622536972187,-0.136981087273795, 0.),.UNSPECIFIED.); #34273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156471,#156472,#156473,#156474, #156475),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.136981087273795,0.319622536972187), .UNSPECIFIED.); #34274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156499,#156500,#156501,#156502), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0424047743243668,0.0396261908192659), .UNSPECIFIED.); #34275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156503,#156504,#156505,#156506), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0396261908192655,0.0424047741158182), .UNSPECIFIED.); #34276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156540,#156541,#156542,#156543, #156544,#156545),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.120079701686569,-0.0857712154904073, -0.0514627292942452,-2.0964133302129E-15),.UNSPECIFIED.); #34277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156546,#156547,#156548,#156549, #156550,#156551),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(2.0964133302129E-15,0.0514627292942452, 0.0857712154904073,0.120079701686569),.UNSPECIFIED.); #34278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156599,#156600,#156601,#156602), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.193866607703331,0.201866381968016), .UNSPECIFIED.); #34279=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156605,#156606,#156607,#156608), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.201866380976177,0.193866606588572), .UNSPECIFIED.); #34280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156645,#156646,#156647,#156648, #156649,#156650,#156651,#156652),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(-0.19748341350067, -0.157986730800536,-0.118490048100402,0.,0.00075990070923421), .UNSPECIFIED.); #34281=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156653,#156654,#156655,#156656, #156657,#156658,#156659,#156660),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.00075990070923421, 0.,0.118490048100402,0.157986730800536,0.19748341350067),.UNSPECIFIED.); #34282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156684,#156685,#156686,#156687), .UNSPECIFIED.,.F.,.F.,(4,4),(0.152201318956449,0.172906199352691), .UNSPECIFIED.); #34283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156688,#156689,#156690,#156691), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.172906199352691,-0.152201318956449), .UNSPECIFIED.); #34284=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156720,#156721,#156722,#156723, #156724),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.152323654603374,-0.0761618273016869, 0.),.UNSPECIFIED.); #34285=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156725,#156726,#156727,#156728, #156729),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0761618273016869,0.152323654603374), .UNSPECIFIED.); #34286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156754,#156755,#156756,#156757), .UNSPECIFIED.,.F.,.F.,(4,4),(0.052901079363743,0.335316261497338), .UNSPECIFIED.); #34287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156760,#156761,#156762,#156763), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.335316263146429,-0.0529010793637436), .UNSPECIFIED.); #34288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156797,#156798,#156799,#156800, #156801,#156802),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.119862254870453,-0.0684927170688301, -0.0342463585344151,-1.91178017605562E-9),.UNSPECIFIED.); #34289=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156803,#156804,#156805,#156806, #156807,#156808),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0342463585344151, 0.0684927170688301,0.119862254870453),.UNSPECIFIED.); #34290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156853,#156854,#156855,#156856), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.390460874140839,-3.49343638753628E-16), .UNSPECIFIED.); #34291=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156857,#156858,#156859,#156860), .UNSPECIFIED.,.F.,.F.,(4,4),(3.49343638753628E-16,0.39046087478146), .UNSPECIFIED.); #34292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156892,#156893,#156894,#156895), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.390488491856693,-6.35920729498786E-10), .UNSPECIFIED.); #34293=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156896,#156897,#156898,#156899), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.390488491856693),.UNSPECIFIED.); #34294=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156924,#156925,#156926,#156927), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0207049568433609,0.),.UNSPECIFIED.); #34295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156930,#156931,#156932,#156933), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0207049568433609),.UNSPECIFIED.); #34296=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156975,#156976,#156977,#156978, #156979,#156980,#156981,#156982),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(1.89264772201985E-15, 0.0789933654000531,0.118490048100079,0.19748341350013,0.198243314209908), .UNSPECIFIED.); #34297=B_SPLINE_CURVE_WITH_KNOTS('',3,(#156983,#156984,#156985,#156986, #156987,#156988,#156989,#156990),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.198243314209908, -0.19748341350013,-0.118490048100079,-0.0789933654000531,-1.89264772201985E-15), .UNSPECIFIED.); #34298=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157016,#157017,#157018,#157019, #157020),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.1523267347375,-0.0761633673687504, -8.61663437366658E-16),.UNSPECIFIED.); #34299=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157021,#157022,#157023,#157024, #157025),.UNSPECIFIED.,.F.,.F.,(4,1,4),(8.61663437366658E-16,0.0761633673687504, 0.1523267347375),.UNSPECIFIED.); #34300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157035,#157036,#157037,#157038), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0120813045230101,0.),.UNSPECIFIED.); #34301=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157123,#157124,#157125,#157126, #157127,#157128,#157129,#157130),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.195676882338128, -0.194995549500245,-0.155996439600196,-0.116997329700147,0.), .UNSPECIFIED.); #34302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157133,#157134,#157135,#157136), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.03681738241061,-2.02473607788761), .UNSPECIFIED.); #34303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157162,#157163,#157164,#157165), .UNSPECIFIED.,.F.,.F.,(4,4),(1.86303030213005,2.03681738241061), .UNSPECIFIED.); #34304=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157188,#157189,#157190,#157191), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.00962723649382,0.),.UNSPECIFIED.); #34305=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157195,#157196,#157197,#157198), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,2.0485673979714),.UNSPECIFIED.); #34306=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157199,#157200,#157201,#157202), .UNSPECIFIED.,.F.,.F.,(4,4),(2.0485673980388,2.07209572946561), .UNSPECIFIED.); #34307=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157237,#157238,#157239,#157240, #157241,#157242,#157243,#157244),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(-0.19499554957034, -0.155996439656272,-0.116997329742204,0.,0.000681332754477647), .UNSPECIFIED.); #34308=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157245,#157246,#157247,#157248, #157249,#157250,#157251,#157252),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.000681332754477647, 0.,0.116997329742204,0.155996439656272,0.19499554957034),.UNSPECIFIED.); #34309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157345,#157346,#157347,#157348, #157349,#157350,#157351,#157352),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(0., 0.116997329700147,0.155996439600196,0.194995549500245,0.195676882338128), .UNSPECIFIED.); #34310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157658,#157659,#157660,#157661), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.173787080280563),.UNSPECIFIED.); #34311=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157666,#157667,#157668,#157669), .UNSPECIFIED.,.F.,.F.,(4,4),(4.97537933896515,4.99890767065935), .UNSPECIFIED.); #34312=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157671,#157672,#157673,#157674), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0563135694809161,0.0604387475310938), .UNSPECIFIED.); #34313=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157676,#157677,#157678,#157679), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.97286684597228,-0.957000407350914), .UNSPECIFIED.); #34314=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157683,#157684,#157685,#157686), .UNSPECIFIED.,.F.,.F.,(4,4),(4.99890767099019,7.0474750684311), .UNSPECIFIED.); #34315=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157730,#157731,#157732,#157733), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0604387476427972,-0.0563135695925721), .UNSPECIFIED.); #34316=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157739,#157740,#157741,#157742, #157743,#157744,#157745,#157746),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-10.425203078541, -9.95838327563257,-9.67829139388753,-8.93137970923408,-8.55792386690736, -7.81101218447506),.UNSPECIFIED.); #34317=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157748,#157749,#157750,#157751), .UNSPECIFIED.,.F.,.F.,(4,4),(-5.70004595152016,-3.56850350484861), .UNSPECIFIED.); #34318=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157752,#157753,#157754,#157755), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.674331809679418,0.),.UNSPECIFIED.); #34319=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157756,#157757,#157758,#157759), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.44886795018799,0.),.UNSPECIFIED.); #34320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157761,#157762,#157763,#157764), .UNSPECIFIED.,.F.,.F.,(4,4),(-7.0474750684311,-5.03784783193695), .UNSPECIFIED.); #34321=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157765,#157766,#157767,#157768), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.448867950188998,-3.91879784928108E-12), .UNSPECIFIED.); #34322=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157770,#157771,#157772,#157773), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.674330533047222,0.),.UNSPECIFIED.); #34323=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157775,#157776,#157777,#157778), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.13157566639271,0.),.UNSPECIFIED.); #34324=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157779,#157780,#157781,#157782, #157783,#157784,#157785,#157786),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-23.4645970511398, -22.9044132898708,-22.3442295263808,-21.5973178417273,-21.2238619994006, -20.8504061570739),.UNSPECIFIED.); #34325=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157787,#157788,#157789,#157790, #157791,#157792,#157793,#157794,#157795,#157796,#157797,#157798,#157799, #157800,#157801,#157802,#157803,#157804,#157805,#157806,#157807,#157808, #157809,#157810,#157811,#157812,#157813,#157814,#157815,#157816,#157817), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(-11.232885091032,-11.0769714714084, -10.8688069028671,-10.6588756310524,-10.4505243403757,-10.4253962357228, -10.3707421990101,-10.1705533769229,-10.0255229477027,-9.81879914698538, -9.72432238394554),.UNSPECIFIED.); #34326=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157818,#157819,#157820,#157821, #157822,#157823,#157824,#157825,#157826,#157827,#157828,#157829,#157830, #157831,#157832,#157833,#157834,#157835,#157836,#157837,#157838,#157839, #157840,#157841,#157842,#157843,#157844,#157845),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(-11.1237624538025,-11.0522759304381,-10.8347690021956, -10.6159389447825,-10.4248312662098,-10.2609960792158,-10.0626786562335, -9.86568935196941,-9.68871902655462,-9.61414249440739),.UNSPECIFIED.); #34327=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157877,#157878,#157879,#157880), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0276912319837281,0.0428117067248889), .UNSPECIFIED.); #34328=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157881,#157882,#157883,#157884, #157885,#157886),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.0428117067248888,0.254762700717254, 0.406143679872938,0.557524659028622),.UNSPECIFIED.); #34329=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157889,#157890,#157891,#157892, #157893,#157894,#157895,#157896,#157897,#157898,#157899,#157900), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(-0.000611896208575442,0.,0.059653032362785, 0.119594265919625,0.178943852185906,0.237966454933196),.UNSPECIFIED.); #34330=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157903,#157904,#157905,#157906, #157907,#157908,#157909,#157910,#157911,#157912,#157913,#157914), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(-0.23849425169281,-0.237882571179029, -0.178250566656458,-0.118330462529833,-0.0590017971366607,0.), .UNSPECIFIED.); #34331=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157915,#157916,#157917,#157918, #157919,#157920),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.304445032109361,0.304546742249939, 0.305774065270977),.UNSPECIFIED.); #34332=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157973,#157974,#157975,#157976, #157977,#157978,#157979,#157980,#157981,#157982),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,2,4),(-0.0965499548066286,-0.0772399638453029,-0.0579299728839772, -0.0386199819226514,-0.0193099909613257,0.,0.000482895652281054), .UNSPECIFIED.); #34333=B_SPLINE_CURVE_WITH_KNOTS('',3,(#157983,#157984,#157985,#157986, #157987,#157988,#157989,#157990,#157991,#157992),.UNSPECIFIED.,.F.,.F., (4,2,1,1,1,1,4),(-0.000482895652281054,0.,0.0193099909613257,0.0386199819226514, 0.0579299728839772,0.0772399638453029,0.0965499548066286),.UNSPECIFIED.); #34334=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158015,#158016,#158017,#158018), .UNSPECIFIED.,.F.,.F.,(4,4),(8.39889318400801E-12,0.0664173287886358), .UNSPECIFIED.); #34335=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158087,#158088,#158089,#158090, #158091,#158092,#158093,#158094,#158095),.UNSPECIFIED.,.F.,.F.,(4,2,1,1, 1,4),(-0.0970328504588895,-0.0965499548062087,-0.0579299728868384,-0.0386199819271533, -0.0193099909674681,-7.78298352508278E-12),.UNSPECIFIED.); #34336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158097,#158098,#158099,#158100), .UNSPECIFIED.,.F.,.F.,(4,4),(0.613956803827745,3.02190054587451), .UNSPECIFIED.); #34337=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158138,#158139,#158140,#158141, #158142,#158143,#158144,#158145),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.220045377347611, 0.226259152917859,0.263969210619489,0.301679268321119),.UNSPECIFIED.); #34338=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158148,#158149,#158150,#158151, #158152,#158153,#158154,#158155,#158156,#158157,#158158,#158159,#158160, #158161,#158162),.UNSPECIFIED.,.F.,.F.,(4,2,2,3,2,2,4),(-0.0816338834287698, -0.0754201154032608,-0.0377100577016304,0.,0.0377100577016304,0.0754201154032608, 0.0816338909735087),.UNSPECIFIED.); #34339=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158163,#158164,#158165,#158166, #158167,#158168,#158169,#158170),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.301679268321119, 0.33938932602275,0.37709938372438,0.383313151751693),.UNSPECIFIED.); #34340=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158193,#158194,#158195,#158196, #158197,#158198),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.452822049838091,0.490556832621211, 0.522070778635809),.UNSPECIFIED.); #34341=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158199,#158200,#158201,#158202, #158203,#158204),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.383573321040374,0.415087267054972, 0.452822049838091),.UNSPECIFIED.); #34342=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158220,#158221,#158222,#158223, #158224,#158225),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0816919469303802,0.113205900496244, 0.150940683279364),.UNSPECIFIED.); #34343=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158228,#158229,#158230,#158231, #158232,#158233),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.150940683279364,0.188675466062484, 0.220189419628348),.UNSPECIFIED.); #34344=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158459,#158460,#158461,#158462), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0573602168638772,0.199469751288553), .UNSPECIFIED.); #34345=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158529,#158530,#158531,#158532), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0601383397857304,0.233308619698266), .UNSPECIFIED.); #34346=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158556,#158557,#158558,#158559), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.38294964952666),.UNSPECIFIED.); #34347=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158582,#158583,#158584,#158585), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0639441162929636),.UNSPECIFIED.); #34348=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158606,#158607,#158608,#158609), .UNSPECIFIED.,.F.,.F.,(4,4),(0.438838866289442,0.488116318941506), .UNSPECIFIED.); #34349=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158627,#158628,#158629,#158630), .UNSPECIFIED.,.F.,.F.,(4,4),(1.86140499750926E-17,0.0735542314271179), .UNSPECIFIED.); #34350=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158648,#158649,#158650,#158651), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.69970011574872,-1.66708703420716), .UNSPECIFIED.); #34351=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158654,#158655,#158656,#158657, #158658,#158659,#158660,#158661,#158662,#158663,#158664),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.645757135760909,-0.637863586936716,-0.629970038112524, -0.614182940464139,-0.58260874516737,-0.519460354573832,-0.456311963980294, -0.393163573386755,-0.215727332835349),.UNSPECIFIED.); #34352=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158666,#158667,#158668,#158669), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.246957076585375,0.),.UNSPECIFIED.); #34353=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158677,#158678,#158679,#158680, #158681,#158682,#158683),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-4.1618027080846, -3.66744362445809,-3.17308454083157,-2.92590499901832,-2.4315459153918), .UNSPECIFIED.); #34354=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158684,#158685,#158686,#158687), .UNSPECIFIED.,.F.,.F.,(4,4),(3.91879784928108E-12,0.448867950188998), .UNSPECIFIED.); #34355=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158733,#158734,#158735,#158736, #158737,#158738,#158739,#158740,#158741,#158742,#158743),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(0.20371840160614,0.393163573386755,0.456311963980294, 0.519460354573832,0.58260874516737,0.614182940464139,0.629970038112524, 0.637863586936716,0.645757135760909),.UNSPECIFIED.); #34356=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158779,#158780,#158781,#158782), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.294075970193125),.UNSPECIFIED.); #34357=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158786,#158787,#158788,#158789), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.318578537993576),.UNSPECIFIED.); #34358=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158790,#158791,#158792,#158793), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.246957076585375),.UNSPECIFIED.); #34359=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158816,#158817,#158818,#158819), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0492774525149669),.UNSPECIFIED.); #34360=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158842,#158843,#158844,#158845), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0639441162927846),.UNSPECIFIED.); #34361=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158866,#158867,#158868,#158869), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.382949649710817),.UNSPECIFIED.); #34362=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158889,#158890,#158891,#158892), .UNSPECIFIED.,.F.,.F.,(4,4),(7.68315847338608E-10,0.246957077353684), .UNSPECIFIED.); #34363=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158893,#158894,#158895,#158896), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.318578537993538,0.),.UNSPECIFIED.); #34364=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158897,#158898,#158899,#158900), .UNSPECIFIED.,.F.,.F.,(4,4),(0.507593688904403,0.801669659097975), .UNSPECIFIED.); #34365=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158954,#158955,#158956,#158957, #158958),.UNSPECIFIED.,.F.,.F.,(4,1,4),(2.20290901829408,3.0955491192697, 3.98818922024532),.UNSPECIFIED.); #34366=B_SPLINE_CURVE_WITH_KNOTS('',3,(#158975,#158976,#158977,#158978), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00120749772149275,0.042970206031773), .UNSPECIFIED.); #34367=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159049,#159050,#159051,#159052), .UNSPECIFIED.,.F.,.F.,(4,4),(2.12995781922659,3.21182485836991), .UNSPECIFIED.); #34368=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159106,#159107,#159108,#159109, #159110),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.25092589610191,2.37144000657938, 3.21182558943747),.UNSPECIFIED.); #34369=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159131,#159132,#159133,#159134), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0294992175725784),.UNSPECIFIED.); #34370=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159188,#159189,#159190,#159191), .UNSPECIFIED.,.F.,.F.,(4,4),(2.38253706880391,4.41692590564765), .UNSPECIFIED.); #34371=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159212,#159213,#159214,#159215, #159216,#159217),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.414184141126867, 0.828368282253734,1.1242895991622),.UNSPECIFIED.); #34372=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159236,#159237,#159238,#159239), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.318578537993576,0.),.UNSPECIFIED.); #34373=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159274,#159275,#159276,#159277, #159278,#159279,#159280,#159281),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-2.89928898788807, -2.07092070563433,-1.2425524233806,-0.828368282253734,-0.414184141126867, 0.),.UNSPECIFIED.); #34374=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159381,#159382,#159383,#159384), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.318578537993538),.UNSPECIFIED.); #34375=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159401,#159402,#159403,#159404), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0707051104801887),.UNSPECIFIED.); #34376=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159450,#159451,#159452,#159453, #159454,#159455,#159456,#159457,#159458,#159459,#159460),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(0.215727332835352,0.393163573394363,0.456311963985995, 0.519460354577627,0.582608745169259,0.614182940465075,0.629970038112983, 0.637863586936937,0.645757135760891),.UNSPECIFIED.); #34377=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159462,#159463,#159464,#159465, #159466,#159467,#159468,#159469,#159470,#159471,#159472),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.645757135760891,-0.637863586936937,-0.629970038112983, -0.614182940465075,-0.582608745169259,-0.519460354577627,-0.456311963985995, -0.393163573394363,-0.203718402204838),.UNSPECIFIED.); #34378=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159489,#159490,#159491,#159492), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.246957077353684,-7.68315847338608E-10), .UNSPECIFIED.); #34379=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159495,#159496,#159497,#159498), .UNSPECIFIED.,.F.,.F.,(4,4),(1.75843885694422,4.92051473707006), .UNSPECIFIED.); #34380=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159500,#159501,#159502,#159503), .UNSPECIFIED.,.F.,.F.,(4,4),(4.06853370771619,4.0688198954916), .UNSPECIFIED.); #34381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159506,#159507,#159508,#159509, #159510),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,2.44287683636578,5.70004595152016), .UNSPECIFIED.); #34382=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159517,#159518,#159519,#159520), .UNSPECIFIED.,.F.,.F.,(4,4),(8.13748924832402,8.13777543609944), .UNSPECIFIED.); #34383=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159521,#159522,#159523,#159524), .UNSPECIFIED.,.F.,.F.,(4,4),(-4.92035497048853,-1.75836562562227), .UNSPECIFIED.); #34384=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159527,#159528,#159529,#159530), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.44886795018799),.UNSPECIFIED.); #34385=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159532,#159533,#159534,#159535, #159536,#159537,#159538),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(2.35277067587208, 2.84743580800165,3.34210094013122,3.589433506196,4.08409863832557), .UNSPECIFIED.); #34386=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159684,#159685,#159686,#159687, #159688,#159689,#159690,#159691,#159692,#159693),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(0.,0.215288615909354,0.430577231818708,0.645865847728062, 0.861154463637416,1.07644307954677,1.29173169545612,1.50702031136548), .UNSPECIFIED.); #34387=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159814,#159815,#159816,#159817), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00037275201615117),.UNSPECIFIED.); #34388=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159818,#159819,#159820,#159821, #159822,#159823,#159824,#159825,#159826,#159827,#159828,#159829,#159830, #159831,#159832,#159833,#159834,#159835,#159836),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.0461463757487552,0.0531680419218275,0.0624461447025982, 0.108633201221231,0.123953576820862,0.124532039391177),.UNSPECIFIED.); #34389=B_SPLINE_CURVE_WITH_KNOTS('',3,(#159854,#159855,#159856,#159857, #159858,#159859,#159860,#159861,#159862,#159863,#159864,#159865,#159866, #159867,#159868,#159869),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.0461711825770644, 0.0621195938155732,0.108295572158979,0.123664866461253,0.124240152454059), .UNSPECIFIED.); #34390=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160016,#160017,#160018,#160019, #160020,#160021,#160022,#160023,#160024,#160025,#160026,#160027,#160028, #160029,#160030,#160031),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(-0.000575287069720439, 0.,0.0461760648052058,0.0615453878768945,0.107716656867231,0.123665098000729), .UNSPECIFIED.); #34391=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160035,#160036,#160037,#160038, #160039,#160040,#160041,#160042,#160043,#160044,#160045,#160046,#160047, #160048,#160049,#160050,#160051,#160052,#160053),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(-0.12395385194114,-0.0777638232703347,-0.061507568697438, -0.0529696846925424,-0.0068208208173722,0.,0.000578463852305301), .UNSPECIFIED.); #34392=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160092,#160093,#160094,#160095), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0543481121714509,0.0545260129131514), .UNSPECIFIED.); #34393=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160127,#160128,#160129,#160130, #160131,#160132,#160133,#160134,#160135,#160136,#160137),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,2,4),(-0.764318937926102,-0.611455150340881,-0.458591362755661, -0.382159468963051,-0.305727575170441,-0.15286378758522,0.,0.00395390298215414), .UNSPECIFIED.); #34394=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160144,#160145,#160146,#160147, #160148,#160149,#160150,#160151),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.768272840370321, -0.76431893742785,-0.30572757497114,-0.15286378748557,0.),.UNSPECIFIED.); #34395=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160152,#160153,#160154,#160155), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.872188651501401,-0.823287957714523), .UNSPECIFIED.); #34396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160157,#160158,#160159,#160160, #160161,#160162,#160163,#160164,#160165,#160166),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.165593893177012,0.332796946588506,0.5),.UNSPECIFIED.); #34397=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160167,#160168,#160169,#160170), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.187222632688512,-0.13832461070557), .UNSPECIFIED.); #34398=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160207,#160208,#160209,#160210, #160211,#160212,#160213,#160214),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(0., 0.15286378748557,0.30572757497114,0.76431893742785,0.768272840370321), .UNSPECIFIED.); #34399=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160272,#160273,#160274,#160275, #160276,#160277,#160278,#160279,#160280,#160281,#160282),.UNSPECIFIED., .F.,.F.,(4,2,1,1,1,1,1,4),(-0.00395390298215414,0.,0.15286378758522,0.305727575170441, 0.382159468963051,0.458591362755661,0.611455150340881,0.764318937926102), .UNSPECIFIED.); #34400=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160325,#160326,#160327,#160328, #160329,#160330,#160331,#160332),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.776453785217942, -0.720992800559517,-0.665531815901093,-0.554609846584244,-0.332765907950546, 0.),.UNSPECIFIED.); #34401=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160366,#160367,#160368,#160369), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.728708964800022,0.),.UNSPECIFIED.); #34402=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160388,#160389,#160390,#160391), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.285606538283204,0.),.UNSPECIFIED.); #34403=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160410,#160411,#160412,#160413), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.482530222145906,0.),.UNSPECIFIED.); #34404=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160432,#160433,#160434,#160435), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.87102878059612,0.),.UNSPECIFIED.); #34405=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160438,#160439,#160440,#160441), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.87102878059612),.UNSPECIFIED.); #34406=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160467,#160468,#160469,#160470, #160471,#160472),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-3.70681287872786,-2.11817878784449, -1.05908939392225,0.),.UNSPECIFIED.); #34407=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160475,#160476,#160477,#160478, #160479,#160480),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,1.05908939392225,2.11817878784449, 3.70681287872786),.UNSPECIFIED.); #34408=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160504,#160505,#160506,#160507, #160508),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,2.116197106274,3.70334493597951), .UNSPECIFIED.); #34409=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160509,#160510,#160511,#160512, #160513),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-3.70334493597951,-2.116197106274, 0.),.UNSPECIFIED.); #34410=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160532,#160533,#160534,#160535), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.87102878060287),.UNSPECIFIED.); #34411=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160536,#160537,#160538,#160539), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.87102878060287,0.),.UNSPECIFIED.); #34412=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160557,#160558,#160559,#160560), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.482530222145896,0.),.UNSPECIFIED.); #34413=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160579,#160580,#160581,#160582), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.285606538283202,0.),.UNSPECIFIED.); #34414=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160600,#160601,#160602,#160603), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.728708964583434,0.),.UNSPECIFIED.); #34415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160639,#160640,#160641,#160642), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.149088664041195,-4.91691790759989E-15), .UNSPECIFIED.); #34416=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160644,#160645,#160646,#160647), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.466402376219783,-2.18651234658905E-14), .UNSPECIFIED.); #34417=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160651,#160652,#160653,#160654), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.172251692475377,0.),.UNSPECIFIED.); #34418=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160656,#160657,#160658,#160659), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.380215768470244,-0.197566565604529), .UNSPECIFIED.); #34419=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160661,#160662,#160663,#160664, #160665,#160666,#160667),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.952753413976382, -0.680538152840274,-0.544430522272219,-0.272215261136111,-2.62261884058805E-15), .UNSPECIFIED.); #34420=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160669,#160670,#160671,#160672), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0555609502183893,-1.32779234324747E-10), .UNSPECIFIED.); #34421=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160694,#160695,#160696,#160697, #160698,#160699),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.59227015165281,-0.682401493565498, -0.454934329043669,-1.10531820596567E-14),.UNSPECIFIED.); #34422=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160718,#160719,#160720,#160721), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.18211119776325,0.),.UNSPECIFIED.); #34423=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160748,#160749,#160750,#160751, #160752,#160753),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.76220095812802,-1.00697197607316, -0.503485988036578,0.),.UNSPECIFIED.); #34424=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160785,#160786,#160787,#160788, #160789,#160790),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(1.27024814999951E-11, 0.0326209537053418,0.0978628610906205,0.228346675861178),.UNSPECIFIED.); #34425=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160792,#160793,#160794,#160795, #160796,#160797),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.228346675861178,-0.0978628610906205, -0.0326209537053418,-1.27024814999951E-11),.UNSPECIFIED.); #34426=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160821,#160822,#160823,#160824), .UNSPECIFIED.,.F.,.F.,(4,4),(0.197566565604529,0.380215768470244), .UNSPECIFIED.); #34427=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160863,#160864,#160865,#160866, #160867,#160868,#160869),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(2.62261884058805E-15, 0.272215261136111,0.544430522272219,0.680538152840274,0.952753413976382), .UNSPECIFIED.); #34428=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160892,#160893,#160894,#160895), .UNSPECIFIED.,.F.,.F.,(4,4),(1.32779234324747E-10,0.0555609502183893), .UNSPECIFIED.); #34429=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160924,#160925,#160926,#160927, #160928),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-2.2975662421793,-1.31289499553103, 0.),.UNSPECIFIED.); #34430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160929,#160930,#160931,#160932, #160933),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,1.31289499553103,2.2975662421793), .UNSPECIFIED.); #34431=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160962,#160963,#160964,#160965, #160966),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-3.66319718953301,-2.09325553687601, -2.91797150655773E-16),.UNSPECIFIED.); #34432=B_SPLINE_CURVE_WITH_KNOTS('',3,(#160967,#160968,#160969,#160970, #160971),.UNSPECIFIED.,.F.,.F.,(4,1,4),(2.91797150655773E-16,2.09325553687601, 3.66319718953301),.UNSPECIFIED.); #34433=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161005,#161006,#161007,#161008, #161009,#161010),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-3.65560616744291,-2.87226198870515, -2.08891780996738,0.),.UNSPECIFIED.); #34434=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161011,#161012,#161013,#161014, #161015,#161016),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,2.08891780996738,2.87226198870515, 3.65560616744291),.UNSPECIFIED.); #34435=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161045,#161046,#161047,#161048, #161049),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-2.29739744741517,-0.984598906035071, 0.),.UNSPECIFIED.); #34436=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161050,#161051,#161052,#161053, #161054),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.984598906035071,2.29739744741517), .UNSPECIFIED.); #34437=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161078,#161079,#161080,#161081), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0555609500855894,0.),.UNSPECIFIED.); #34438=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161082,#161083,#161084,#161085), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0555609500855894),.UNSPECIFIED.); #34439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161124,#161125,#161126,#161127, #161128,#161129,#161130),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.952588397859121, -0.680420284185087,-0.408252170511052,-0.272168113674035,0.), .UNSPECIFIED.); #34440=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161131,#161132,#161133,#161134, #161135,#161136,#161137),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.272168113674035, 0.408252170511052,0.680420284185087,0.952588397859121),.UNSPECIFIED.); #34441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161161,#161162,#161163,#161164), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.182649202865695,0.),.UNSPECIFIED.); #34442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161165,#161166,#161167,#161168), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.182649202865695),.UNSPECIFIED.); #34443=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161189,#161190,#161191,#161192), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.172251692475377),.UNSPECIFIED.); #34444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161226,#161227,#161228,#161229, #161230,#161231),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.13048381477061,0.195725722155915, 0.228346675848567),.UNSPECIFIED.); #34445=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161234,#161235,#161236,#161237, #161238,#161239),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.228346675848567,-0.195725722155915, -0.13048381477061,0.),.UNSPECIFIED.); #34446=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161264,#161265,#161266,#161267, #161268,#161269),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.76221454789785,-1.00697974165592, -0.503489870827958,0.),.UNSPECIFIED.); #34447=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161305,#161306,#161307,#161308, #161309,#161310,#161311),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.776453792460298, -0.332765911054414,-0.110921970351471,-0.0554609851757356,0.), .UNSPECIFIED.); #34448=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161332,#161333,#161334,#161335), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.172691342976828,-3.39886308235228E-15), .UNSPECIFIED.); #34449=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161336,#161337,#161338,#161339), .UNSPECIFIED.,.F.,.F.,(4,4),(3.39886308235228E-15,0.172691342976828), .UNSPECIFIED.); #34450=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161365,#161366,#161367,#161368, #161369),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.00911040330662115,0.502297973703638, 0.872188651501401),.UNSPECIFIED.); #34451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161397,#161398,#161399,#161400), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00757900097036515,-1.58997529866794E-16), .UNSPECIFIED.); #34452=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161401,#161402,#161403,#161404), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.5979667921217,0.),.UNSPECIFIED.); #34453=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161441,#161442,#161443,#161444, #161445,#161446,#161447,#161448,#161449,#161450),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-1.87084972812584,-1.3363212343756,-0.80179274062536, -0.534528493750241,-0.267264246875121,-0.133632123437562,-0.0668160617187818, -1.98879657365766E-15),.UNSPECIFIED.); #34454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161508,#161509,#161510,#161511), .UNSPECIFIED.,.F.,.F.,(4,4),(2.92336765092476,3.1437020913819), .UNSPECIFIED.); #34455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161589,#161590,#161591,#161592), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.466402376219753,0.),.UNSPECIFIED.); #34456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161593,#161594,#161595,#161596), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.149088664041235,0.),.UNSPECIFIED.); #34457=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161654,#161655,#161656,#161657), .UNSPECIFIED.,.F.,.F.,(4,4),(0.468165819664234,3.06613257279053), .UNSPECIFIED.); #34458=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161660,#161661,#161662,#161663), .UNSPECIFIED.,.F.,.F.,(4,4),(-3.06613257279053,-0.468165819664234), .UNSPECIFIED.); #34459=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161686,#161687,#161688,#161689), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00757900097039432,-3.93428564626211E-15), .UNSPECIFIED.); #34460=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161741,#161742,#161743,#161744, #161745,#161746,#161747,#161748,#161749,#161750),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-1.87032014999896,-1.80352300178472,-1.73672585357047, -1.60313155714197,-1.33594296428497,-1.06875437142798,-0.53437718571399, 0.),.UNSPECIFIED.); #34461=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161786,#161787,#161788,#161789), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.149088664041235),.UNSPECIFIED.); #34462=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161816,#161817,#161818,#161819, #161820,#161821),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.648793879271287, 1.29758775854257,3.02770476993267),.UNSPECIFIED.); #34463=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161844,#161845,#161846,#161847, #161848),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.20204490932012E-10,0.219878766301279, 0.384787840937085),.UNSPECIFIED.); #34464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161875,#161876,#161877,#161878, #161879,#161880),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(1.10531820596567E-14, 0.454934329043669,0.682401493565498,1.59227015165281),.UNSPECIFIED.); #34465=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161899,#161900,#161901,#161902), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.102094972921361),.UNSPECIFIED.); #34466=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161921,#161922,#161923,#161924), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,2.87477480009825),.UNSPECIFIED.); #34467=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161943,#161944,#161945,#161946), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,2.87477643363629),.UNSPECIFIED.); #34468=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161965,#161966,#161967,#161968), .UNSPECIFIED.,.F.,.F.,(4,4),(7.33064878058329E-13,0.102094972921352), .UNSPECIFIED.); #34469=B_SPLINE_CURVE_WITH_KNOTS('',3,(#161995,#161996,#161997,#161998, #161999,#162000),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(2.5018200927107E-16,0.68239262449037, 1.13732104081728,1.5922494571442),.UNSPECIFIED.); #34470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162023,#162024,#162025,#162026, #162027),.UNSPECIFIED.,.F.,.F.,(4,1,4),(4.57601636187845E-15,0.164842057133064, 0.384631466643809),.UNSPECIFIED.); #34471=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162054,#162055,#162056,#162057, #162058,#162059),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,1.73007837452596,2.3788577649732, 3.02763715542043),.UNSPECIFIED.); #34472=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162078,#162079,#162080,#162081), .UNSPECIFIED.,.F.,.F.,(4,4),(4.91691790759989E-15,0.149088664041195), .UNSPECIFIED.); #34473=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162100,#162101,#162102,#162103), .UNSPECIFIED.,.F.,.F.,(4,4),(2.18651234658905E-14,0.466402376219783), .UNSPECIFIED.); #34474=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162156,#162157,#162158,#162159, #162160,#162161,#162162,#162163,#162164,#162165),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(1.98879657365766E-15,0.0668160617187818,0.133632123437562, 0.267264246875121,0.534528493750241,0.80179274062536,1.3363212343756,1.87084972812584), .UNSPECIFIED.); #34475=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162188,#162189,#162190,#162191), .UNSPECIFIED.,.F.,.F.,(4,4),(1.58997529866794E-16,0.00757900097036515), .UNSPECIFIED.); #34476=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162212,#162213,#162214,#162215), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,2.5979667921217),.UNSPECIFIED.); #34477=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162241,#162242,#162243,#162244, #162245),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.13832461070557,0.631511321100284, 1.00140135389632),.UNSPECIFIED.); #34478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162250,#162251,#162252,#162253, #162254,#162255,#162256,#162257,#162258,#162259,#162260,#162261,#162262, #162263,#162264,#162265,#162266,#162267,#162268,#162269,#162270,#162271, #162272,#162273,#162274,#162275,#162276,#162277,#162278,#162279,#162280, #162281),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-0.733187503444589, -0.696027624996517,-0.658453588693368,-0.63950746416197,-0.610839367432608, -0.60122575845265,-0.581733067581212,-0.571803954402213,-0.541259930234447, -0.520216177932506,-0.453342540919715,-0.40509930065355,-0.296707053785371, -0.233503870150237,-0.0631368053444859,0.),.UNSPECIFIED.); #34479=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162283,#162284,#162285,#162286, #162287),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.737534562375643,-0.316086241018133, 0.),.UNSPECIFIED.); #34480=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162290,#162291,#162292,#162293, #162294,#162295,#162296,#162297,#162298,#162299,#162300,#162301,#162302, #162303,#162304,#162305,#162306,#162307,#162308,#162309,#162310,#162311, #162312,#162313,#162314,#162315,#162316,#162317,#162318,#162319), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-3.12977179846946, -3.03749377148308,-2.69236769486347,-2.51663699622078,-2.24884236586636, -2.15843157181741,-2.02172116257744,-1.97574271938562,-1.88199042998532, -1.83419406498411,-1.58442939409503,-1.36917976976992,-0.874975991158221, -0.573137152849042,0.),.UNSPECIFIED.); #34481=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162323,#162324,#162325,#162326, #162327),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-5.94540172370491,-2.54802931015925, -4.34707588220017E-15),.UNSPECIFIED.); #34482=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162482,#162483,#162484,#162485, #162486),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-5.54558948490663,-2.37668120781713, 0.),.UNSPECIFIED.); #34483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162541,#162542,#162543,#162544, #162545),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.737534561903739,-0.316086240815888, 0.),.UNSPECIFIED.); #34484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162708,#162709,#162710,#162711, #162712,#162713,#162714,#162715,#162716,#162717,#162718,#162719,#162720, #162721,#162722,#162723,#162724,#162725,#162726,#162727,#162728,#162729, #162730,#162731,#162732,#162733,#162734,#162735,#162736,#162737,#162738, #162739),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-0.731748340833569, -0.66858555022922,-0.498171788901046,-0.434840990724914,-0.326710657351375, -0.278827270874706,-0.212380436324347,-0.191447889322557,-0.161044953699101, -0.151156463916988,-0.131735985906695,-0.122154301040608,-0.0935668899544013, -0.0746621607305561,-0.0371422946889163,-2.97436176319978E-14), .UNSPECIFIED.); #34485=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162890,#162891,#162892,#162893, #162894,#162895,#162896,#162897,#162898,#162899,#162900,#162901,#162902, #162903,#162904,#162905,#162906,#162907,#162908,#162909,#162910,#162911, #162912,#162913,#162914,#162915,#162916,#162917,#162918,#162919), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-3.12972706593555, -2.55654459823145,-2.25465278136583,-1.76036119766396,-1.54511718231813, -1.29540145390104,-1.24761615773669,-1.1538849248347,-1.1079164615524,-0.971234211122543, -0.880840660128224,-0.613089552452178,-0.437380328081134,-0.0922769035715064, -1.36340358895188E-14),.UNSPECIFIED.); #34486=B_SPLINE_CURVE_WITH_KNOTS('',3,(#162940,#162941,#162942,#162943), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.476662502182532,-1.42333604754745E-14), .UNSPECIFIED.); #34487=B_SPLINE_CURVE_WITH_KNOTS('',3,(#164675,#164676,#164677,#164678, #164679,#164680,#164681,#164682,#164683,#164684,#164685,#164686,#164687, #164688,#164689,#164690,#164691,#164692,#164693,#164694,#164695,#164696, #164697,#164698,#164699,#164700,#164701,#164702),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,2,2,2,2,4),(-1.73315424822627,-1.66468041410951,-1.46043991265752, -1.27599399858436,-1.10304496121957,-0.945617907258173,-0.803055042914746, -0.729757677066551,-0.58306767792873,-0.441672657108186,-0.311399607821729, -0.194599543107001,-0.0880143960326113,-9.99999999917733E-7), .UNSPECIFIED.); #34488=B_SPLINE_CURVE_WITH_KNOTS('',3,(#164709,#164710,#164711,#164712, #164713,#164714,#164715,#164716,#164717,#164718,#164719,#164720,#164721, #164722,#164723,#164724,#164725,#164726,#164727,#164728,#164729,#164730, #164731,#164732,#164733,#164734,#164735,#164736,#164737,#164738,#164739, #164740,#164741,#164742,#164743,#164744),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.49456009384838,-2.49404522120517,-2.3674516433256, -2.24563359270013,-2.11892417352756,-1.99699469680151,-1.87016929637073, -1.74812825488801,-1.62118673553958,-1.49903399325035,-1.3719762194594, -1.24971164271339,-1.12253748093013,-1.00016093828621,-0.872870256787391, -0.750381618838012,-0.622974287588735,-0.601404789092381),.UNSPECIFIED.); #34489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#164752,#164753,#164754,#164755, #164756,#164757,#164758,#164759,#164760,#164761,#164762,#164763,#164764, #164765,#164766,#164767,#164768,#164769,#164770,#164771,#164772,#164773, #164774,#164775,#164776,#164777,#164778,#164779,#164780,#164781,#164782, #164783),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-36.3008959128857, -36.2844310236295,-36.1643777591863,-36.0489362066269,-35.9289877509208, -35.8136441719579,-35.6938000679023,-35.5785536631509,-35.4588134355979, -35.3436633398494,-35.2240264955728,-35.1089717713768,-34.9894377992253, -34.8744774298757,-34.7550458011254,-34.7483128209731),.UNSPECIFIED.); #34490=B_SPLINE_CURVE_WITH_KNOTS('',3,(#164788,#164789,#164790,#164791, #164792,#164793,#164794,#164795,#164796,#164797,#164798,#164799,#164800, #164801,#164802,#164803,#164804,#164805,#164806,#164807,#164808,#164809, #164810,#164811,#164812,#164813,#164814,#164815,#164816,#164817,#164818, #164819,#164820,#164821,#164822,#164823),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-4.47400055575849,-4.4731920340025,-4.34751980390808, -4.22658777387554,-4.10080093025337,-3.97975870865829,-3.85385708526435, -3.73270450265491,-3.60668793743959,-3.48542482941813,-3.35929316423146, -3.23791937105105,-3.11167245136658,-2.99018781756047,-2.86382549221675, -2.74222986625796,-2.61575198721677,-2.49456009384838),.UNSPECIFIED.); #34491=B_SPLINE_CURVE_WITH_KNOTS('',3,(#164824,#164825,#164826,#164827, #164828,#164829,#164830,#164831,#164832,#164833,#164834,#164835,#164836, #164837,#164838,#164839,#164840,#164841,#164842,#164843,#164844,#164845, #164846,#164847,#164848,#164849,#164850,#164851,#164852,#164853,#164854, #164855,#164856,#164857,#164858,#164859),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-34.7483128209731,-34.6401786830097,-34.5208488519445, -34.4060737862202,-34.2868451909708,-34.1721608745349,-34.0530329382077, -33.9384379539319,-33.8194100860567,-33.7049028922684,-33.5859744905963, -33.471553409796,-33.3527238624708,-33.2383870692919,-33.119655757417,-33.0054012658488, -32.8867675664644,-32.8749830649059),.UNSPECIFIED.); #34492=B_SPLINE_CURVE_WITH_KNOTS('',3,(#164864,#164865,#164866,#164867, #164868,#164869,#164870,#164871,#164872,#164873,#164874,#164875,#164876, #164877,#164878,#164879,#164880,#164881,#164882,#164883,#164884,#164885, #164886,#164887,#164888,#164889,#164890,#164891,#164892,#164893,#164894, #164895,#164896,#164897,#164898,#164899),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-6.43932632343784,-6.4379771237743,-6.3132152002632, -6.19315783066203,-6.0682828167825,-5.94811685532199,-5.82312853814268, -5.70285375524112,-5.57775192915596,-5.45736810515992,-5.3321525714147, -5.21165949578479,-5.08633006202841,-4.96572753259502,-4.8402840124548, -4.71957183473746,-4.59401404741813,-4.47400055575849),.UNSPECIFIED.); #34493=B_SPLINE_CURVE_WITH_KNOTS('',3,(#164900,#164901,#164902,#164903, #164904,#164905,#164906,#164907,#164908,#164909,#164910,#164911,#164912, #164913,#164914,#164915,#164916,#164917,#164918,#164919,#164920,#164921, #164922,#164923,#164924,#164925,#164926,#164927,#164928,#164929,#164930, #164931,#164932,#164933,#164934,#164935),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-32.8749830649059,-32.772593216383,-32.6540565058567, -32.5399599489327,-32.4215196067567,-32.3074982918396,-32.1891537048122, -32.0752048629253,-31.9569554296739,-31.8430760587933,-31.7249211945765, -31.6111080444115,-31.4930471861113,-31.3792967431472,-31.2613293543343, -31.1476378274511,-31.0297634033752,-31.0097322822105),.UNSPECIFIED.); #34494=B_SPLINE_CURVE_WITH_KNOTS('',3,(#164940,#164941,#164942,#164943, #164944,#164945,#164946,#164947,#164948,#164949,#164950,#164951,#164952, #164953,#164954,#164955,#164956,#164957,#164958,#164959,#164960,#164961, #164962,#164963,#164964,#164965,#164966,#164967,#164968,#164969,#164970, #164971,#164972,#164973,#164974,#164975),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-8.39094913676027,-8.38861091278497,-8.26474499209769, -8.1455465631612,-8.02156958408479,-7.90226488462986,-7.77817655570666, -7.65876523624873,-7.53456527797868,-7.41504700904107,-7.29073515323176, -7.17110962363654,-7.04668561276936,-6.92695252808269,-6.80241611469783, -6.68257519581495,-6.55792614191752,-6.43932632343784),.UNSPECIFIED.); #34495=B_SPLINE_CURVE_WITH_KNOTS('',3,(#164976,#164977,#164978,#164979, #164980,#164981,#164982,#164983,#164984,#164985,#164986,#164987,#164988, #164989,#164990,#164991,#164992,#164993,#164994,#164995,#164996,#164997, #164998,#164999,#165000,#165001,#165002,#165003,#165004,#165005,#165006, #165007,#165008,#165009,#165010,#165011),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-31.0097322822105,-30.9161267104001,-30.7983447827259, -30.6847585383281,-30.5670686794029,-30.45352818478,-30.3359300111901,-30.2224302460313, -30.1049234211762,-29.9914590384127,-29.8740432738021,-29.7606085976664, -29.6432836526345,-29.5298726805418,-29.4126383600722,-29.2992447688107, -29.1821009191738,-29.1497461366449),.UNSPECIFIED.); #34496=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165016,#165017,#165018,#165019, #165020,#165021,#165022,#165023,#165024,#165025,#165026,#165027,#165028, #165029,#165030,#165031,#165032,#165033,#165034,#165035,#165036,#165037, #165038,#165039,#165040,#165041,#165042,#165043,#165044,#165045,#165046, #165047,#165048,#165049,#165050,#165051),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-10.3295194141255,-10.3253915368854,-10.2024019368042, -10.0840379724808,-9.96094015556022,-9.84247370740846,-9.71926726290965, -9.60069773514604,-9.47738226939525,-9.35870910780298,-9.23528424364062, -9.11650693188592,-8.99297230806853,-8.87409036435458,-8.75044563492287, -8.63145860895092,-8.50770344257519,-8.39094913676027),.UNSPECIFIED.); #34497=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165052,#165053,#165054,#165055, #165056,#165057,#165058,#165059,#165060,#165061,#165062,#165063,#165064, #165065,#165066,#165067,#165068,#165069,#165070,#165071,#165072,#165073, #165074,#165075,#165076,#165077,#165078,#165079,#165080,#165081,#165082, #165083,#165084,#165085,#165086,#165087),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-29.1497461366449,-29.0687180758386,-28.9516645777772, -28.8382855558054,-28.721322315049,-28.6079399156104,-28.4910668505674, -28.3776736294386,-28.2608906559997,-28.1474789558979,-28.0307859693884, -27.9173479575756,-27.8007448120127,-27.6872725228005,-27.5707590077338, -27.4572443893407,-27.3408202046866,-27.2922985562585),.UNSPECIFIED.); #34498=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165092,#165093,#165094,#165095, #165096,#165097,#165098,#165099,#165100,#165101,#165102,#165103,#165104, #165105,#165106,#165107,#165108,#165109,#165110,#165111,#165112,#165113, #165114,#165115,#165116,#165117,#165118,#165119,#165120,#165121,#165122, #165123,#165124,#165125,#165126,#165127),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-12.2560838574495,-12.2487788714913,-12.1266380567694, -12.0090659844038,-11.8868208897341,-11.7691531773526,-11.6468032472672, -11.5290387817504,-11.4065834782947,-11.2887212340305,-11.1661600373133, -11.0481990684851,-10.9255314770018,-10.8074709105211,-10.6846964412771, -10.566535470319,-10.4436536587844,-10.3295194141255),.UNSPECIFIED.); #34499=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165128,#165129,#165130,#165131, #165132,#165133,#165134,#165135,#165136,#165137,#165138,#165139,#165140, #165141,#165142,#165143,#165144,#165145,#165146,#165147,#165148,#165149, #165150,#165151,#165152,#165153,#165154,#165155,#165156,#165157,#165158, #165159,#165160,#165161,#165162,#165163),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-27.2922985562585,-27.2272551697214,-27.1109198991226, -26.9972963778094,-26.8810494611624,-26.7673594562901,-26.6512001621711, -26.537435804647,-26.4213632034296,-26.3075167120049,-26.1915296357202, -26.0775932627272,-25.9616903068254,-25.8476568810205,-25.7318363792699, -25.6176990551157,-25.5019590585085,-25.4354932754346),.UNSPECIFIED.); #34500=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165168,#165169,#165170,#165171, #165172,#165173,#165174,#165175,#165176,#165177,#165178,#165179,#165180, #165181,#165182,#165183,#165184,#165185,#165186,#165187,#165188,#165189, #165190,#165191,#165192,#165193,#165194,#165195,#165196,#165197,#165198, #165199,#165200,#165201,#165202,#165203),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-14.1722846258728,-14.15952613325,-14.0381978748858, -13.9213372372893,-13.7999096075955,-13.6829665486585,-13.5614389092589, -13.4444112508334,-13.3227829646838,-13.2056687043245,-13.0839391391089, -12.9667364364096,-12.8449049675111,-12.7276121311596,-12.6056781442154, -12.4882936198071,-12.3662565128615,-12.2560838574495),.UNSPECIFIED.); #34501=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165204,#165205,#165206,#165207, #165208,#165209,#165210,#165211,#165212,#165213,#165214,#165215,#165216, #165217,#165218,#165219,#165220,#165221,#165222,#165223,#165224,#165225, #165226,#165227,#165228,#165229,#165230,#165231,#165232,#165233,#165234, #165235,#165236,#165237,#165238,#165239),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-25.4354932754346,-25.3877113580182,-25.272049622843, -25.1576854718797,-25.0420994525038,-24.9276132108943,-24.8121000575044, -24.6974865425218,-24.5820431038986,-24.4672976068748,-24.3519204381092, -24.2370387341448,-24.1217241090463,-24.006702459979,-23.8914463877847, -23.7762815387516,-23.6610797846317,-23.5779720577226),.UNSPECIFIED.); #34502=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165244,#165245,#165246,#165247, #165248,#165249,#165250,#165251,#165252,#165253,#165254,#165255,#165256, #165257,#165258,#165259,#165260,#165261,#165262,#165263,#165264,#165265, #165266,#165267,#165268,#165269,#165270,#165271,#165272,#165273,#165274, #165275,#165276,#165277,#165278,#165279),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-16.0804937423782,-16.0588975512856,-15.9383425389334, -15.8220368672693,-15.7013871120667,-15.5850245625683,-15.464279578298, -15.3478560268554,-15.2270152908614,-15.1105269088837,-14.9895898669794, -14.8730331074347,-14.7519991790354,-14.6353707616032,-14.514239344907, -14.397536240602,-14.2763067176724,-14.1722846258728),.UNSPECIFIED.); #34503=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165280,#165281,#165282,#165283, #165284,#165285,#165286,#165287,#165288,#165289,#165290,#165291,#165292, #165293,#165294,#165295,#165296,#165297,#165298,#165299,#165300,#165301, #165302,#165303,#165304,#165305,#165306,#165307,#165308,#165309,#165310, #165311,#165312,#165313,#165314,#165315),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-23.5779720577226,-23.5457689547142,-23.4306170634796, -23.3151579310353,-23.2000512533973,-23.0844419367744,-22.9693756574793, -22.8536146918621,-22.7385838590211,-22.6226701701801,-22.5076697251435, -22.3916026008581,-22.2766274080256,-22.1630555479934,-22.0452544999727, -21.9316285123164,-21.8137346230436,-21.7178364859326),.UNSPECIFIED.); #34504=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165320,#165321,#165322,#165323, #165324,#165325,#165326,#165327,#165328,#165329,#165330,#165331,#165332, #165333,#165334,#165335,#165336,#165337,#165338,#165339,#165340,#165341, #165342,#165343,#165344,#165345,#165346,#165347,#165348,#165349,#165350, #165351,#165352,#165353,#165354,#165355),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-17.9836220099833,-17.9489687445459,-17.829159571348, -17.713121854787,-17.5932201961671,-17.4771702578994,-17.3571759861992, -17.2411070930743,-17.1210200439306,-17.0049257820871,-16.884745747919, -16.7686200330869,-16.6483467590483,-16.532183842071,-16.4118170241881, -16.2956114922187,-16.1751507774076,-16.0804937423782),.UNSPECIFIED.); #34505=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165356,#165357,#165358,#165359, #165360,#165361,#165362,#165363,#165364,#165365,#165366,#165367,#165368, #165369,#165370,#165371,#165372,#165373,#165374,#165375,#165376,#165377, #165378,#165379,#165380,#165381,#165382,#165383,#165384,#165385,#165386, #165387,#165388,#165389,#165390,#165391),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-21.7178364859326,-21.7000503800237,-21.5820631505011, -21.4683168173186,-21.3502357161074,-21.2364237376488,-21.1182482058294, -21.004367292416,-20.8860967486493,-20.7721438611573,-20.653777706695,-20.5397500413665, -20.4212876648874,-20.3071826381013,-20.1886234202821,-20.0744386535095, -19.9557819712613,-19.8518902855674),.UNSPECIFIED.); #34506=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165396,#165397,#165398,#165399, #165400,#165401,#165402,#165403,#165404,#165405,#165406,#165407,#165408, #165409,#165410,#165411,#165412,#165413,#165414,#165415,#165416,#165417, #165418,#165419,#165420,#165421,#165422,#165423,#165424,#165425,#165426, #165427,#165428,#165429,#165430,#165431),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-19.8843980230319,-19.8328835892845,-19.7138097414063, -19.5975967284208,-19.478431800469,-19.3622689206998,-19.2430125096372, -19.1268914889737,-19.0075433058566,-18.8914559159957,-18.7720157589319, -18.655953868448,-18.5364215971693,-18.4203772187296,-18.3007527313007, -18.1847180643144,-18.0650012763748,-17.9836220099833),.UNSPECIFIED.); #34507=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165432,#165433,#165434,#165435, #165436,#165437,#165438,#165439,#165440,#165441,#165442,#165443,#165444, #165445,#165446,#165447,#165448,#165449,#165450,#165451,#165452,#165453, #165454,#165455,#165456,#165457,#165458,#165459,#165460,#165461,#165462, #165463,#165464,#165465,#165466,#165467),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-19.8518902855674,-19.8415152763849,-19.7227605067122, -19.6084098718502,-19.4895563953056,-19.3751199712444,-19.2561671749698, -19.1416432622794,-19.0225905426369,-18.907977579517,-18.788824344321,-18.6741208952025, -18.5548665655741,-18.440071310484,-18.3207153223526,-18.2058270470344, -18.0863688523148,-17.9772899403011),.UNSPECIFIED.); #34508=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165472,#165473,#165474,#165475, #165476,#165477,#165478,#165479,#165480,#165481,#165482,#165483,#165484, #165485,#165486,#165487,#165488,#165489,#165490,#165491,#165492,#165493, #165494,#165495,#165496,#165497,#165498,#165499,#165500,#165501,#165502, #165503,#165504,#165505,#165506,#165507),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-21.7846677425645,-21.7147810011,-21.596398521545, -21.47949757571,-21.361034575006,-21.2442454458487,-21.1256996817652,-21.0090156703361, -20.8903852004262,-20.773799238802,-20.6550824073824,-20.5385871024097, -20.4197825146884,-20.3033698206395,-20.1844763270945,-20.0681383143095, -19.9491550013566,-19.8843980230319),.UNSPECIFIED.); #34509=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165508,#165509,#165510,#165511, #165512,#165513,#165514,#165515,#165516,#165517,#165518,#165519,#165520, #165521,#165522,#165523,#165524,#165525,#165526,#165527,#165528,#165529, #165530,#165531,#165532,#165533,#165534,#165535,#165536,#165537,#165538, #165539,#165540,#165541,#165542,#165543),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-17.9772899403011,-17.9713864390873,-17.8518255065621, -17.7367479258892,-17.6170837418284,-17.5019100445675,-17.3821421131152, -17.2668714234072,-17.1469992667645,-17.031630775527,-16.9116539339604, -16.796186892943,-16.6761049246429,-16.5605386410051,-16.4403511218196, -16.3246849531906,-16.2043914762556,-16.0919578246881),.UNSPECIFIED.); #34510=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165548,#165549,#165550,#165551, #165552,#165553,#165554,#165555,#165556,#165557,#165558,#165559,#165560, #165561,#165562,#165563,#165564,#165565,#165566,#165567,#165568,#165569, #165570,#165571,#165572,#165573,#165574,#165575,#165576,#165577,#165578, #165579,#165580,#165581,#165582,#165583),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-23.6858263404984,-23.6010054903091,-23.4811142629932, -23.3650754594415,-23.2452767041515,-23.1292420947125,-23.0095357141858, -22.893497941858,-22.7738838523569,-22.6578353078138,-22.5383134236889, -22.42090680427,-22.3027493433744,-22.1854769787621,-22.0672475794111,-21.9501045640653, -21.8318000553831,-21.7846677425645),.UNSPECIFIED.); #34511=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165584,#165585,#165586,#165587, #165588,#165589,#165590,#165591,#165592,#165593,#165594,#165595,#165596, #165597,#165598,#165599,#165600,#165601,#165602,#165603,#165604,#165605, #165606,#165607,#165608,#165609,#165610,#165611,#165612,#165613,#165614, #165615,#165616,#165617,#165618,#165619),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-16.0919578246881,-16.0886248262386,-15.9682250015251, -15.8523573156092,-15.7318507694033,-15.6158815312478,-15.4952679055807, -15.3791966336395,-15.2584755856805,-15.1423018301367,-15.0214730315597, -14.9051963715416,-14.7842595078769,-14.6678795489294,-14.5468343189078, -14.4303506906965,-14.3091968055934,-14.1945051772909),.UNSPECIFIED.); #34512=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165624,#165625,#165626,#165627, #165628,#165629,#165630,#165631,#165632,#165633,#165634,#165635,#165636, #165637,#165638,#165639,#165640,#165641,#165642,#165643,#165644,#165645, #165646,#165647,#165648,#165649,#165650,#165651,#165652,#165653,#165654, #165655,#165656,#165657,#165658,#165659),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-25.5899080948402,-25.4927322096322,-25.3720932030596, -25.2557927866779,-25.1352484609923,-25.0189998566806,-24.8985497725068, -24.7823481841527,-24.6619919472653,-24.5458322499897,-24.4255695139918, -24.3094462486546,-24.1892767163631,-24.0731840874342,-23.9531075106564, -23.8370393879783,-23.7170555653676,-23.6858263404984),.UNSPECIFIED.); #34513=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165660,#165661,#165662,#165663, #165664,#165665,#165666,#165667,#165668,#165669,#165670,#165671,#165672, #165673,#165674,#165675,#165676,#165677,#165678,#165679,#165680,#165681, #165682,#165683,#165684,#165685,#165686,#165687,#165688,#165689,#165690, #165691,#165692,#165693,#165694,#165695),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-14.1945051772909,-14.1926091598184,-14.0713463428042, -13.9546543513043,-13.8332823368048,-13.716485689834,-13.5950042229058, -13.4781026275663,-13.3565114632897,-13.2395046421049,-13.117803544997, -13.0006912346135,-12.8788799780623,-12.7616619280667,-12.639740293789, -12.5224162656294,-12.4003840431518,-12.2840591047279),.UNSPECIFIED.); #34514=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165700,#165701,#165702,#165703, #165704,#165705,#165706,#165707,#165708,#165709,#165710,#165711,#165712, #165713,#165714,#165715,#165716,#165717,#165718,#165719,#165720,#165721, #165722,#165723,#165724,#165725,#165726,#165727,#165728,#165729,#165730, #165731,#165732,#165733,#165734,#165735),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-27.4997662328268,-27.3940609688327,-27.2726447194652, -27.1557929993034,-27.0344760354551,-26.9177040285328,-26.796485714959, -26.6797908187949,-26.5586705275533,-26.4420499173455,-26.3210270324127, -26.2044776454659,-26.0835515676422,-25.9670700877865,-25.8462402397996, -25.7298230820671,-25.6090889137557,-25.5899080948402),.UNSPECIFIED.); #34515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165736,#165737,#165738,#165739, #165740,#165741,#165742,#165743,#165744,#165745,#165746,#165747,#165748, #165749,#165750,#165751,#165752,#165753,#165754,#165755,#165756,#165757, #165758,#165759,#165760,#165761,#165762,#165763,#165764,#165765,#165766, #165767,#165768,#165769,#165770,#165771),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-12.2840591047279,-12.2829538091546,-12.1608107953187, -12.0432741377907,-11.9210201362831,-11.8033768466918,-11.6810116675971, -11.5632615458217,-11.4407850052005,-11.3229278588468,-11.2003397783359, -11.0823754221095,-10.9596756285453,-10.8416038836777,-10.7187922087417, -10.6006129024648,-10.4776891823492,-10.3600762567112),.UNSPECIFIED.); #34516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165776,#165777,#165778,#165779, #165780,#165781,#165782,#165783,#165784,#165785,#165786,#165787,#165788, #165789,#165790,#165791,#165792,#165793,#165794,#165795,#165796,#165797, #165798,#165799,#165800,#165801,#165802,#165803,#165804,#165805,#165806, #165807,#165808,#165809,#165810,#165811),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-29.4182318325832,-29.3069749365158,-29.1847418772405, -29.0671806156157,-28.9450517605453,-28.8275847574035,-28.7055595321369, -28.5881854582192,-28.4662632729276,-28.3489806826849,-28.2271609335805, -28.1099682544391,-27.9882503256003,-27.8711458464455,-27.7495291120236, -27.6325109709077,-27.5109947977382,-27.4997662328268),.UNSPECIFIED.); #34517=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165812,#165813,#165814,#165815, #165816,#165817,#165818,#165819,#165820,#165821,#165822,#165823,#165824, #165825,#165826,#165827,#165828,#165829,#165830,#165831,#165832,#165833, #165834,#165835,#165836,#165837,#165838,#165839,#165840,#165841,#165842, #165843,#165844,#165845,#165846,#165847),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-10.3600762567112,-10.3594021474133,-10.2363662225079, -10.1179712967399,-9.99482301133783,-9.87632003723532,-9.75305923925748, -9.63444806361673,-9.51107460435337,-9.39235507792709,-9.26886881179647, -9.15004078897949,-9.02644157330203,-8.90750491184221,-8.78379260662966, -8.66474716736196,-8.54092163512054,-8.42220759915314),.UNSPECIFIED.); #34518=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165852,#165853,#165854,#165855, #165856,#165857,#165858,#165859,#165860,#165861,#165862,#165863,#165864, #165865,#165866,#165867,#165868,#165869,#165870,#165871,#165872,#165873, #165874,#165875,#165876,#165877,#165878,#165879,#165880,#165881,#165882, #165883,#165884,#165885,#165886,#165887),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-31.3475067396975,-31.2326656985038,-31.1095804336317, -30.9912282495695,-30.8682511457443,-30.7500007140105,-30.6271313404824, -30.5089819623181,-30.3862198703245,-30.2681707912789,-30.1455155137492, -30.0275659182285,-29.9050169696119,-29.7871659749026,-29.6647228511438, -29.5469695008675,-29.4246316795566,-29.4182318325832),.UNSPECIFIED.); #34519=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165888,#165889,#165890,#165891, #165892,#165893,#165894,#165895,#165896,#165897,#165898,#165899,#165900, #165901,#165902,#165903,#165904,#165905,#165906,#165907,#165908,#165909, #165910,#165911,#165912,#165913,#165914,#165915,#165916,#165917,#165918, #165919,#165920,#165921,#165922,#165923),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-8.42220759915314,-8.42176728172235,-8.29782838726913, -8.17856498603492,-8.05451259632661,-7.93514001596047,-7.81097399993392, -7.69149211135834,-7.56721233978194,-7.4476210159616,-7.32322736129697, -7.20352647707638,-7.07901881334965,-6.95920824530321,-6.83458644798525, -6.71466607427914,-6.58993002017426,-6.47021384575053),.UNSPECIFIED.); #34520=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165928,#165929,#165930,#165931, #165932,#165933,#165934,#165935,#165936,#165937,#165938,#165939,#165940, #165941,#165942,#165943,#165944,#165945,#165946,#165947,#165948,#165949, #165950,#165951,#165952,#165953,#165954,#165955,#165956,#165957,#165958, #165959,#165960,#165961,#165962,#165963),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-33.2890770704324,-33.1718373144772,-33.0478732543072, -32.9286871311212,-32.8048340904022,-32.685753815479,-32.5620114883392, -32.4430366628745,-32.3194047300594,-32.2005349286855,-32.077013056886, -31.9582478251321,-31.8348356663181,-31.7161745178141,-31.5928717085826, -31.474314121979,-31.3511202829259,-31.3475067396975),.UNSPECIFIED.); #34521=B_SPLINE_CURVE_WITH_KNOTS('',3,(#165964,#165965,#165966,#165967, #165968,#165969,#165970,#165971,#165972,#165973,#165974,#165975,#165976, #165977,#165978,#165979,#165980,#165981,#165982,#165983,#165984,#165985, #165986,#165987,#165988,#165989,#165990,#165991,#165992,#165993,#165994, #165995,#165996,#165997,#165998,#165999),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-6.47021384575053,-6.46989972043889,-6.34504928758131, -6.22490894279361,-6.09994401035123,-5.97969350272603,-5.85461395091108, -5.73425316380072,-5.60905887378674,-5.48858769158847,-5.36327854543318, -5.24269685350351,-5.11727273407725,-4.99658041865289,-4.87104120957216, -4.75023815769692,-4.6245837432627,-4.50391620592959),.UNSPECIFIED.); #34522=B_SPLINE_CURVE_WITH_KNOTS('',3,(#166004,#166005,#166006,#166007, #166008,#166009,#166010,#166011,#166012,#166013,#166014,#166015,#166016, #166017,#166018,#166019,#166020,#166021,#166022,#166023,#166024,#166025, #166026,#166027,#166028,#166029,#166030,#166031,#166032,#166033,#166034, #166035,#166036,#166037,#166038,#166039),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-35.2438802666607,-35.1249195661815,-35.00005772876, -34.8800129777673,-34.7552642029894,-34.6353277715607,-34.5106918375508, -34.3908634717794,-34.2663401482415,-34.1466195811988,-34.0222086288659, -33.9025955794122,-33.7782967494716,-33.6587909209509,-33.5346039544477, -33.4152050332544,-33.2911296604735,-33.2890770704324),.UNSPECIFIED.); #34523=B_SPLINE_CURVE_WITH_KNOTS('',3,(#166040,#166041,#166042,#166043, #166044,#166045,#166046,#166047,#166048,#166049,#166050,#166051,#166052, #166053,#166054,#166055,#166056,#166057,#166058,#166059,#166060,#166061, #166062,#166063,#166064,#166065,#166066,#166067,#166068,#166069,#166070, #166071,#166072,#166073,#166074,#166075),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-4.50391620592959,-4.50366984271998,-4.37790010786049, -4.25687524711079,-4.13099007732839,-4.00985414545163,-3.88385342677353, -3.76260631341566,-3.63648993234823,-3.51513152767188,-3.38889937115826, -3.26742956579709,-3.1410815211779,-3.01950020619436,-2.89303616117118, -2.77134322801749,-2.64476307061911,-2.52316867749739),.UNSPECIFIED.); #34524=B_SPLINE_CURVE_WITH_KNOTS('',3,(#166080,#166081,#166082,#166083, #166084,#166085,#166086,#166087,#166088,#166089,#166090,#166091,#166092, #166093,#166094,#166095,#166096,#166097,#166098,#166099,#166100,#166101, #166102,#166103,#166104,#166105,#166106,#166107,#166108,#166109,#166110, #166111,#166112,#166113,#166114,#166115),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-37.2124992696167,-37.0921932222224,-36.9664197442718, -36.8455005411417,-36.7198416557009,-36.599032446774,-36.4734879824412, -36.3527885859339,-36.227358366416,-36.1067685939732,-35.9814524377273, -35.8609720938453,-35.7357698136969,-35.6153986950926,-35.4903100978318, -35.3700479927536,-35.245072878707,-35.2438802666607),.UNSPECIFIED.); #34525=B_SPLINE_CURVE_WITH_KNOTS('',3,(#166116,#166117,#166118,#166119, #166120,#166121,#166122,#166123,#166124,#166125,#166126,#166127,#166128, #166129,#166130,#166131,#166132,#166133,#166134,#166135,#166136,#166137, #166138,#166139,#166140,#166141,#166142,#166143,#166144,#166145,#166146, #166147,#166148,#166149,#166150,#166151),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.52316867749739,-2.52295841110112,-2.39626202965219, -2.27434553589589,-2.14753281898782,-2.02550438340851,-1.89857521987256, -1.77643473514613,-1.64938901402843,-1.52713637306491,-1.39997398360331, -1.27760907952235,-1.15032991112494,-1.02785263723308,-0.900456579458316, -0.77786682922804,-0.6503537717663,-0.527842751791539),.UNSPECIFIED.); #34526=B_SPLINE_CURVE_WITH_KNOTS('',3,(#166228,#166229,#166230,#166231, #166232,#166233,#166234,#166235,#166236,#166237,#166238,#166239,#166240, #166241,#166242,#166243),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.433675934415433, -0.403625056630654,-0.366173871113974,-0.311961623232288,-0.244357691446746, -0.162275132094217,-0.0612810961586028,0.),.UNSPECIFIED.); #34527=B_SPLINE_CURVE_WITH_KNOTS('',3,(#166244,#166245,#166246,#166247, #166248,#166249,#166250,#166251,#166252,#166253,#166254,#166255,#166256, #166257,#166258,#166259),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-1.35346051544772, -1.04115163736412,-0.775184978260654,-0.509485386085076,-0.31618830512003, -0.156411122675685,-0.0449890881038285,0.),.UNSPECIFIED.); #34528=B_SPLINE_CURVE_WITH_KNOTS('',3,(#166279,#166280,#166281,#166282, #166283,#166284,#166285,#166286,#166287,#166288,#166289,#166290,#166291, #166292),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.527842751791539,-0.527651439178959, -0.400021513631256,-0.277206724382838,-0.149459579079627,-0.073883743492669, -1.00000005176515E-6),.UNSPECIFIED.); #34529=B_SPLINE_CURVE_WITH_KNOTS('',3,(#166295,#166296,#166297,#166298, #166299,#166300,#166301,#166302,#166303,#166304),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-37.4859017475433,-37.4133365482485,-37.3391103761739,-37.2132225926894, -37.2124992696167),.UNSPECIFIED.); #34530=B_SPLINE_CURVE_WITH_KNOTS('',3,(#166980,#166981,#166982,#166983, #166984,#166985,#166986,#166987,#166988,#166989,#166990,#166991), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(-2.74546489278641,-2.57092871949514, -2.40122280382884,-2.1319574507095,-1.88541798116305,-1.73315424822627), .UNSPECIFIED.); #34531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#167204,#167205,#167206,#167207, #167208,#167209,#167210,#167211,#167212,#167213,#167214,#167215,#167216, #167217),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.388034479876362,-0.345425577706488, -0.296187174174976,-0.224358078190102,-0.179993788628485,-0.0922258804730672, 0.),.UNSPECIFIED.); #34532=B_SPLINE_CURVE_WITH_KNOTS('',3,(#167911,#167912,#167913,#167914), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0554235531291587),.UNSPECIFIED.); #34533=B_SPLINE_CURVE_WITH_KNOTS('',3,(#167917,#167918,#167919,#167920), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0554235531291639),.UNSPECIFIED.); #34534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#167925,#167926,#167927,#167928), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.055423553129127),.UNSPECIFIED.); #34535=B_SPLINE_CURVE_WITH_KNOTS('',3,(#167934,#167935,#167936,#167937), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0554235531291412),.UNSPECIFIED.); #34536=B_SPLINE_CURVE_WITH_KNOTS('',3,(#167996,#167997,#167998,#167999, #168000,#168001),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.126098089973374,0.,0.000686778319029098), .UNSPECIFIED.); #34537=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168002,#168003,#168004,#168005, #168006,#168007),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000686778319029098,0., 0.126098089973374),.UNSPECIFIED.); #34538=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168032,#168033,#168034,#168035), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.139919107116652,0.),.UNSPECIFIED.); #34539=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168038,#168039,#168040,#168041), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.139919107116652),.UNSPECIFIED.); #34540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168069,#168070,#168071,#168072), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.139919107116643,0.),.UNSPECIFIED.); #34541=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168075,#168076,#168077,#168078), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.139919107116643),.UNSPECIFIED.); #34542=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168099,#168100,#168101,#168102), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0225283286757467,0.),.UNSPECIFIED.); #34543=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168103,#168104,#168105,#168106), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0225283286757467),.UNSPECIFIED.); #34544=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168139,#168140,#168141,#168142, #168143,#168144),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.126098089973374,0.126784868292404), .UNSPECIFIED.); #34545=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168146,#168147,#168148,#168149, #168150,#168151),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.126784868292404,-0.126098089973374, 0.),.UNSPECIFIED.); #34546=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168173,#168174,#168175,#168176), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0225283286775858,-1.82954956783021E-12), .UNSPECIFIED.); #34547=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168177,#168178,#168179,#168180), .UNSPECIFIED.,.F.,.F.,(4,4),(1.82954956783021E-12,0.0225283286775858), .UNSPECIFIED.); #34548=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168207,#168208,#168209,#168210, #168211),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0168431527614338,0.0859275678932975, 0.200497658417694),.UNSPECIFIED.); #34549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168215,#168216,#168217,#168218, #168219),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.200497658417694,-0.0859275678932975, 0.),.UNSPECIFIED.); #34550=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168223,#168224,#168225,#168226, #168227),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.200494954766766,-0.085926409185757, -0.016842995989939),.UNSPECIFIED.); #34551=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168257,#168258,#168259,#168260, #168261),.UNSPECIFIED.,.F.,.F.,(4,1,4),(8.23280744206826E-17,0.085926409185757, 0.200494954766766),.UNSPECIFIED.); #34552=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168293,#168294,#168295,#168296, #168297,#168298),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0909272735196965,0.0916213922130927), .UNSPECIFIED.); #34553=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168299,#168300,#168301,#168302, #168303,#168304),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0916213922130927,-0.0909272735196965, 0.),.UNSPECIFIED.); #34554=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168324,#168325,#168326,#168327), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00813757557712166,-9.37486948459975E-13), .UNSPECIFIED.); #34555=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168328,#168329,#168330,#168331), .UNSPECIFIED.,.F.,.F.,(4,4),(9.37486948459975E-13,0.00813757557712166), .UNSPECIFIED.); #34556=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168356,#168357,#168358,#168359), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.121968706756319,0.),.UNSPECIFIED.); #34557=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168362,#168363,#168364,#168365), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.121968706756319),.UNSPECIFIED.); #34558=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168393,#168394,#168395,#168396), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.121968706756319,0.),.UNSPECIFIED.); #34559=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168399,#168400,#168401,#168402), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.121968706756319),.UNSPECIFIED.); #34560=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168423,#168424,#168425,#168426), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0687726512063544,0.),.UNSPECIFIED.); #34561=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168427,#168428,#168429,#168430), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0687726512063544),.UNSPECIFIED.); #34562=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168451,#168452,#168453,#168454), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00813757546317667,0.),.UNSPECIFIED.); #34563=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168457,#168458,#168459,#168460), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00813757546317667),.UNSPECIFIED.); #34564=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168486,#168487,#168488,#168489, #168490,#168491),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0909272735906779,0., 0.000694118693396118),.UNSPECIFIED.); #34565=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168492,#168493,#168494,#168495, #168496,#168497),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000694118693396118,0., 0.0909272735906779),.UNSPECIFIED.); #34566=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168518,#168519,#168520,#168521), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.068772655765147,0.),.UNSPECIFIED.); #34567=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168522,#168523,#168524,#168525), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.068772655765147),.UNSPECIFIED.); #34568=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168559,#168560,#168561,#168562, #168563,#168564,#168565,#168566,#168567,#168568,#168569,#168570,#168571, #168572),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.0410382712868228,-0.0408010639566164, -0.0350693819139594,-0.0293601025676564,-0.0191288666914387,-0.00943436344754833, 0.),.UNSPECIFIED.); #34569=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168573,#168574,#168575,#168576, #168577,#168578,#168579,#168580,#168581,#168582,#168583,#168584,#168585, #168586),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.000237635476227903,0., 0.00574202740958229,0.0114616116871874,0.0217113143744413,0.0314233156568543, 0.0408747076050787),.UNSPECIFIED.); #34570=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168588,#168589,#168590,#168591, #168592,#168593,#168594,#168595,#168596,#168597,#168598,#168599,#168600, #168601),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.000237635476228954,0., 0.00574202740959289,0.0114616116872114,0.02171131437449,0.0314233156569236, 0.040874707605169),.UNSPECIFIED.); #34571=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168604,#168605,#168606,#168607, #168608,#168609,#168610,#168611,#168612,#168613,#168614,#168615,#168616, #168617),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.0410382712868331,-0.0408010639566268, -0.0350693819139618,-0.0293601025676574,-0.0191288666914408,-0.00943436344754885, 0.),.UNSPECIFIED.); #34572=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168635,#168636,#168637,#168638), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0834402416870339),.UNSPECIFIED.); #34573=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168640,#168641,#168642,#168643, #168644,#168645),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000866084446517176,0., 0.182661834968939),.UNSPECIFIED.); #34574=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168647,#168648,#168649,#168650, #168651,#168652),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.18266183497115,0.183527919415456), .UNSPECIFIED.); #34575=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168654,#168655,#168656,#168657), .UNSPECIFIED.,.F.,.F.,(4,4),(1.12701797770316E-16,0.083440241687034), .UNSPECIFIED.); #34576=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168683,#168684,#168685,#168686), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0834402416870341),.UNSPECIFIED.); #34577=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168688,#168689,#168690,#168691, #168692,#168693),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000866084445177727,0., 0.182661834970278),.UNSPECIFIED.); #34578=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168695,#168696,#168697,#168698, #168699,#168700),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.182661834969321,0.183527919415455), .UNSPECIFIED.); #34579=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168702,#168703,#168704,#168705), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0834402416870338),.UNSPECIFIED.); #34580=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168746,#168747,#168748,#168749, #168750,#168751,#168752),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.422557209937378, -0.332009236379369,-0.301826578526699,-0.181095947116019,0.), .UNSPECIFIED.); #34581=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168780,#168781,#168782,#168783, #168784,#168785),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.182661834970278,0.,0.000866084445177727), .UNSPECIFIED.); #34582=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168817,#168818,#168819,#168820, #168821,#168822),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.183527919415455,-0.182661834969321, 0.),.UNSPECIFIED.); #34583=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168875,#168876,#168877,#168878, #168879,#168880,#168881),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.181095947116019, 0.301826578526699,0.332009236379369,0.422557209937378),.UNSPECIFIED.); #34584=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168915,#168916,#168917,#168918), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0834402416870341,0.),.UNSPECIFIED.); #34585=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168950,#168951,#168952,#168953, #168954,#168955),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.183527919415456,-0.18266183497115, 0.),.UNSPECIFIED.); #34586=B_SPLINE_CURVE_WITH_KNOTS('',3,(#168983,#168984,#168985,#168986, #168987,#168988),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.182661834968939,0.,0.000866084446517176), .UNSPECIFIED.); #34587=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169009,#169010,#169011,#169012), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.083440241687034,-1.12701797770316E-16), .UNSPECIFIED.); #34588=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169067,#169068,#169069,#169070, #169071,#169072,#169073),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.422557209937376, -0.332009236379367,-0.301826578526697,-0.181095947116018,0.), .UNSPECIFIED.); #34589=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169076,#169077,#169078,#169079, #169080,#169081,#169082),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.181095947116018, 0.301826578526697,0.332009236379367,0.422557209937376),.UNSPECIFIED.); #34590=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169118,#169119,#169120,#169121), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0834402416870339,0.),.UNSPECIFIED.); #34591=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169142,#169143,#169144,#169145), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0834402416870338,0.),.UNSPECIFIED.); #34592=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169173,#169174,#169175,#169176, #169177,#169178),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000928654518812049,0., 0.192560738442248),.UNSPECIFIED.); #34593=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169217,#169218,#169219,#169220, #169221,#169222),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00092865451881205,0., 0.192560738442249),.UNSPECIFIED.); #34594=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169259,#169260,#169261,#169262, #169263,#169264),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.370621311024035,0.563182049466284, 0.564110703985095),.UNSPECIFIED.); #34595=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169295,#169296,#169297,#169298, #169299,#169300),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.370621311024035,0.563182049466284, 0.564110703985096),.UNSPECIFIED.); #34596=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169367,#169368,#169369,#169370), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.266360553098244,0.),.UNSPECIFIED.); #34597=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169373,#169374,#169375,#169376), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.266360553098244),.UNSPECIFIED.); #34598=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169403,#169404,#169405,#169406, #169407),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.506243057926109,-0.216961310539761, 0.),.UNSPECIFIED.); #34599=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169410,#169411,#169412,#169413, #169414),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.216961310539761,0.506243057926109), .UNSPECIFIED.); #34600=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169436,#169437,#169438,#169439), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.37547810664693,0.),.UNSPECIFIED.); #34601=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169442,#169443,#169444,#169445), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,2.37547810664693),.UNSPECIFIED.); #34602=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169467,#169468,#169469,#169470), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.411170690245427,0.),.UNSPECIFIED.); #34603=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169473,#169474,#169475,#169476), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.411170690245427),.UNSPECIFIED.); #34604=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169501,#169502,#169503,#169504), .UNSPECIFIED.,.F.,.F.,(4,4),(1.35235875811831,1.58292339044232), .UNSPECIFIED.); #34605=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169534,#169535,#169536,#169537, #169538),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.536646122808412,-0.229991195489319, 0.),.UNSPECIFIED.); #34606=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169541,#169542,#169543,#169544, #169545),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.229991195489319,0.536646122808412), .UNSPECIFIED.); #34607=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169579,#169580,#169581,#169582), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0315277354671108,0.326100826087755), .UNSPECIFIED.); #34608=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169583,#169584,#169585,#169586), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.326100826087755,-0.0315277354671108), .UNSPECIFIED.); #34609=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169614,#169615,#169616,#169617), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0315258875498621,0.),.UNSPECIFIED.); #34610=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169618,#169619,#169620,#169621), .UNSPECIFIED.,.F.,.F.,(4,4),(3.12625934696325E-10,0.0315258875498621), .UNSPECIFIED.); #34611=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169651,#169652,#169653,#169654, #169655),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.367124132289326,0.399469756392538, 0.423728974469948),.UNSPECIFIED.); #34612=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169658,#169659,#169660,#169661, #169662),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.423728974469948,-0.399469756392538, -0.367124132289326),.UNSPECIFIED.); #34613=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169769,#169770,#169771,#169772, #169773),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.367135280007954,0.391393248296745, 0.423737206015133),.UNSPECIFIED.); #34614=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169774,#169775,#169776,#169777, #169778),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.423737206015133,-0.391393248296745, -0.367135280007954),.UNSPECIFIED.); #34615=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169806,#169807,#169808,#169809), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.11714058305475,3.32067080010827E-16), .UNSPECIFIED.); #34616=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169810,#169811,#169812,#169813), .UNSPECIFIED.,.F.,.F.,(4,4),(-3.32067080010827E-16,0.11714058305475), .UNSPECIFIED.); #34617=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169841,#169842,#169843,#169844), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.26814336400025,0.),.UNSPECIFIED.); #34618=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169845,#169846,#169847,#169848), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.26814336400025),.UNSPECIFIED.); #34619=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169884,#169885,#169886,#169887, #169888),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.53664674631646,-0.229991462707054, 0.),.UNSPECIFIED.); #34620=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169891,#169892,#169893,#169894, #169895),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.229991462707054,0.53664674631646), .UNSPECIFIED.); #34621=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169919,#169920,#169921,#169922), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.58292339190798,-1.35235875811807), .UNSPECIFIED.); #34622=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169948,#169949,#169950,#169951), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.37547810559253,0.),.UNSPECIFIED.); #34623=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169954,#169955,#169956,#169957), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,2.37547810559253),.UNSPECIFIED.); #34624=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169984,#169985,#169986,#169987, #169988),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.5062429575224,-0.2892816900128, 0.),.UNSPECIFIED.); #34625=B_SPLINE_CURVE_WITH_KNOTS('',3,(#169991,#169992,#169993,#169994, #169995),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.2892816900128,0.5062429575224), .UNSPECIFIED.); #34626=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170017,#170018,#170019,#170020), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.266360553098172,0.),.UNSPECIFIED.); #34627=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170023,#170024,#170025,#170026), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.266360553098172),.UNSPECIFIED.); #34628=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170048,#170049,#170050,#170051), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.64753664756314,0.),.UNSPECIFIED.); #34629=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170054,#170055,#170056,#170057), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.64753664756314),.UNSPECIFIED.); #34630=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170082,#170083,#170084,#170085), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.395285935419132,0.),.UNSPECIFIED.); #34631=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170088,#170089,#170090,#170091), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.395285935419132),.UNSPECIFIED.); #34632=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170125,#170126,#170127,#170128, #170129,#170130),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.93714957925022,-1.10694261671441, -0.553471308357213,-1.09347276814254E-14),.UNSPECIFIED.); #34633=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170131,#170132,#170133,#170134, #170135,#170136),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(1.09347276814254E-14, 0.553471308357213,1.10694261671441,1.93714957925022),.UNSPECIFIED.); #34634=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170160,#170161,#170162,#170163), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.395285935419099,0.),.UNSPECIFIED.); #34635=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170164,#170165,#170166,#170167), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.395285935419099),.UNSPECIFIED.); #34636=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170196,#170197,#170198,#170199, #170200),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.34763183055797,-0.57755649881056, 0.),.UNSPECIFIED.); #34637=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170201,#170202,#170203,#170204, #170205),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.57755649881056,1.34763183055797), .UNSPECIFIED.); #34638=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170232,#170233,#170234,#170235), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.411170690245455,0.),.UNSPECIFIED.); #34639=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170236,#170237,#170238,#170239), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.411170690245455),.UNSPECIFIED.); #34640=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170266,#170267,#170268,#170269, #170270),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.957032996348131,-0.410156998434913, 0.),.UNSPECIFIED.); #34641=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170273,#170274,#170275,#170276, #170277),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.410156998434913,0.957032996348131), .UNSPECIFIED.); #34642=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170298,#170299,#170300,#170301), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.696550878894372,0.),.UNSPECIFIED.); #34643=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170302,#170303,#170304,#170305), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.696550878894372),.UNSPECIFIED.); #34644=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170333,#170334,#170335,#170336), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.294573087635605,-7.26923381345053E-11), .UNSPECIFIED.); #34645=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170337,#170338,#170339,#170340), .UNSPECIFIED.,.F.,.F.,(4,4),(7.26923381345053E-11,0.294573087635605), .UNSPECIFIED.); #34646=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170365,#170366,#170367,#170368), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.03152588754982,-8.56350396404375E-13), .UNSPECIFIED.); #34647=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170369,#170370,#170371,#170372), .UNSPECIFIED.,.F.,.F.,(4,4),(8.56350396404375E-13,0.0315258872371949), .UNSPECIFIED.); #34648=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170428,#170429,#170430,#170431), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.28150976925921,-1.16436918620433), .UNSPECIFIED.); #34649=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170432,#170433,#170434,#170435), .UNSPECIFIED.,.F.,.F.,(4,4),(1.16436918620433,1.28150976925921), .UNSPECIFIED.); #34650=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170460,#170461,#170462,#170463), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.26814336398766,-8.42462558964991E-17), .UNSPECIFIED.); #34651=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170464,#170465,#170466,#170467), .UNSPECIFIED.,.F.,.F.,(4,4),(8.42462558964991E-17,1.26814336398766), .UNSPECIFIED.); #34652=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170489,#170490,#170491,#170492), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.696538974549789,0.),.UNSPECIFIED.); #34653=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170495,#170496,#170497,#170498), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.696538974549789),.UNSPECIFIED.); #34654=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170524,#170525,#170526,#170527, #170528),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.957048382937082,-0.410163592687321, 0.),.UNSPECIFIED.); #34655=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170529,#170530,#170531,#170532, #170533),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.410163592687321,0.957048382937082), .UNSPECIFIED.); #34656=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170557,#170558,#170559,#170560), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.64753664670817,0.),.UNSPECIFIED.); #34657=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170561,#170562,#170563,#170564), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.64753664670817),.UNSPECIFIED.); #34658=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170596,#170597,#170598,#170599, #170600),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.34764826405546,-0.577563541738052, 0.),.UNSPECIFIED.); #34659=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170601,#170602,#170603,#170604, #170605),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.577563541738052,1.34764826405546), .UNSPECIFIED.); #34660=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170610,#170611,#170612,#170613), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.09909120704054),.UNSPECIFIED.); #34661=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170622,#170623,#170624,#170625, #170626,#170627,#170628,#170629),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-0.982627262896989, -0.86676249155748,-0.474922478423211,0.),.UNSPECIFIED.); #34662=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170632,#170633,#170634,#170635), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.50966226374418),.UNSPECIFIED.); #34663=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170637,#170638,#170639,#170640, #170641,#170642),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.511918785458876,1.58703298991291, 2.30377579288227,3.02051859585163),.UNSPECIFIED.); #34664=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170643,#170644,#170645,#170646), .UNSPECIFIED.,.F.,.F.,(4,4),(1.29773554049677E-10,0.310599285872858), .UNSPECIFIED.); #34665=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170675,#170676,#170677,#170678, #170679),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.33255371750844,-0.571094450360759, 0.),.UNSPECIFIED.); #34666=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170708,#170709,#170710,#170711, #170712),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.693707692209972,-0.297303296662169, -1.31697574508677E-12),.UNSPECIFIED.); #34667=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170735,#170736,#170737,#170738), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.412261301667098,0.),.UNSPECIFIED.); #34668=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170761,#170762,#170763,#170764), .UNSPECIFIED.,.F.,.F.,(4,4),(1.53766965364355,1.80516889582393), .UNSPECIFIED.); #34669=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170797,#170798,#170799,#170800, #170801,#170802),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.175029923954063,-0.159121234159175, -0.148515440962583,-0.137909647765991),.UNSPECIFIED.); #34670=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170835,#170836,#170837,#170838, #170839,#170840),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-3.1520152473495,-1.87036707239749, -1.38974900674769,-0.90913094109788),.UNSPECIFIED.); #34671=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170863,#170864,#170865,#170866), .UNSPECIFIED.,.F.,.F.,(4,4),(-4.03684387208548,-2.47288539087602), .UNSPECIFIED.); #34672=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170900,#170901,#170902,#170903), .UNSPECIFIED.,.F.,.F.,(4,4),(1.64936821309312,2.0760873463542), .UNSPECIFIED.); #34673=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170906,#170907,#170908,#170909, #170910,#170911),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-2.0760873463542,-1.48291953311014, -0.889751719866084,0.),.UNSPECIFIED.); #34674=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170930,#170931,#170932,#170933), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0624133273091963),.UNSPECIFIED.); #34675=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170974,#170975,#170976,#170977), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.56082692654645,-1.3297328351954), .UNSPECIFIED.); #34676=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170980,#170981,#170982,#170983), .UNSPECIFIED.,.F.,.F.,(4,4),(1.3297328351954,1.71739676868723), .UNSPECIFIED.); #34677=B_SPLINE_CURVE_WITH_KNOTS('',3,(#170990,#170991,#170992,#170993, #170994),.UNSPECIFIED.,.F.,.F.,(4,1,4),(2.78370146033758E-16,0.329862921484309, 0.577260112597541),.UNSPECIFIED.); #34678=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171019,#171020,#171021,#171022), .UNSPECIFIED.,.F.,.F.,(4,4),(1.3297328351953,1.56082692508122), .UNSPECIFIED.); #34679=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171058,#171059,#171060,#171061, #171062,#171063),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.07917154949134,-0.319072151976472, 0.187660779700104,0.694393711376679),.UNSPECIFIED.); #34680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171146,#171147,#171148,#171149, #171150,#171151,#171152,#171153,#171154,#171155,#171156,#171157,#171158, #171159,#171160,#171161,#171162,#171163,#171164,#171165,#171166,#171167, #171168,#171169,#171170,#171171,#171172,#171173,#171174,#171175,#171176), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(-0.00303234389211632,6.93889390390723E-18, 0.0416493126983837,0.0459291092394099,0.0795973678338839,0.0967725984383655, 0.10987365564166,0.124088555016797,0.146865669130136,0.216127122588496, 0.219261856594159),.UNSPECIFIED.); #34681=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171177,#171178,#171179,#171180, #171181,#171182,#171183,#171184,#171185,#171186),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-1.76243251723874,-1.61472848963889,-1.29178279171111, -1.13030994274722,-0.968837093783334,-0.645891395855556,-0.322945697927778, -2.01519267934759E-16),.UNSPECIFIED.); #34682=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171188,#171189,#171190,#171191, #171192,#171193,#171194),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(2.01519267934759E-16, 0.322945697927778,0.645891395855556,0.968837093783334,1.12393155192286), .UNSPECIFIED.); #34683=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171195,#171196,#171197,#171198, #171199,#171200,#171201,#171202),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(1.12392453403908, 1.13030994274722,1.29178279171111,1.61472848963889,1.93767418756667,2.26061988549445), .UNSPECIFIED.); #34684=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171203,#171204,#171205,#171206), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.26061988549445,-1.9820198705857), .UNSPECIFIED.); #34685=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171210,#171211,#171212,#171213), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.204267291513556,0.),.UNSPECIFIED.); #34686=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171216,#171217,#171218,#171219, #171220),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.788783990909412,-0.497660872425233, -0.375235000637551),.UNSPECIFIED.); #34687=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171222,#171223,#171224,#171225), .UNSPECIFIED.,.F.,.F.,(4,4),(2.61509564003503E-16,1.46316558393865), .UNSPECIFIED.); #34688=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171227,#171228,#171229,#171230, #171231),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.154951938338867,0.271165892093017), .UNSPECIFIED.); #34689=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171268,#171269,#171270,#171271, #171272,#171273),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.248830436212617, 0.497660872425233,0.870906526744158),.UNSPECIFIED.); #34690=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171274,#171275,#171276,#171277, #171278),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.194141565198391,-0.0832035279421675, 0.),.UNSPECIFIED.); #34691=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171304,#171305,#171306,#171307, #171308,#171309,#171310,#171311),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(8.61996847966886E-17, 0.322946067518181,0.645892135036362,0.968838202554544,1.13031123631363, 1.1367206869294),.UNSPECIFIED.); #34692=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171313,#171314,#171315,#171316, #171317,#171318),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.694393711376604,0.0657056861382189, 0.572438617814768,1.07917154949132),.UNSPECIFIED.); #34693=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171319,#171320,#171321,#171322, #171323,#171324,#171325),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(1.1367206869294, 1.29178427007272,1.61473033759091,1.93767640510909,2.26062247262727), .UNSPECIFIED.); #34694=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171443,#171444,#171445,#171446, #171447,#171448,#171449,#171450,#171451,#171452,#171453,#171454,#171455, #171456,#171457,#171458,#171459,#171460,#171461,#171462,#171463,#171464, #171465,#171466,#171467,#171468,#171469,#171470,#171471,#171472,#171473), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(-0.0031333157022517,-4.33680868994202E-18, 0.0692292538800782,0.0919967808694399,0.106205579269394,0.119300755182632, 0.136467736358245,0.170119576793918,0.174396594199898,0.216029510486735, 0.219060485933357),.UNSPECIFIED.); #34695=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171474,#171475,#171476,#171477), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.278370298108794,-8.61996847966886E-17), .UNSPECIFIED.); #34696=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171478,#171479,#171480,#171481, #171482,#171483,#171484,#171485,#171486,#171487),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-2.26062247262727,-1.93767640510909,-1.61473033759091, -1.29178427007272,-1.13031123631363,-0.968838202554544,-0.645892135036362, -0.498420801153794),.UNSPECIFIED.); #34697=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171494,#171495,#171496,#171497, #171498,#171499),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0774754591068205, 0.154950918213641,0.271164106873872),.UNSPECIFIED.); #34698=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171501,#171502,#171503,#171504), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.46316558393881,0.),.UNSPECIFIED.); #34699=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171505,#171506,#171507,#171508, #171509),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.688802573436986,-0.441932379473336, -0.275300380558112),.UNSPECIFIED.); #34700=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171512,#171513,#171514,#171515), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.0640084700049,-0.860007746100396), .UNSPECIFIED.); #34701=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171548,#171549,#171550,#171551, #171552),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.194142822716144,-0.0832040668783476, 0.),.UNSPECIFIED.); #34702=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171554,#171555,#171556,#171557, #171558,#171559),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.19310194326071,0.441932379473336, 0.690762815685961,1.0640084700049),.UNSPECIFIED.); #34703=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171583,#171584,#171585,#171586), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00131129734570179,0.311910583088842), .UNSPECIFIED.); #34704=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171590,#171591,#171592,#171593), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.311910583088842,-0.00370709013023439), .UNSPECIFIED.); #34705=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171595,#171596,#171597,#171598), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000157623521523158),.UNSPECIFIED.); #34706=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171599,#171600,#171601,#171602, #171603,#171604,#171605,#171606,#171607,#171608,#171609,#171610,#171611, #171612,#171613,#171614,#171615,#171616,#171617,#171618,#171619,#171620, #171621,#171622,#171623,#171624,#171625,#171626,#171627,#171628,#171629), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(-0.307181406944459,-0.256759063271168, -0.229057573158824,-0.178310740061186,-0.150931411166696,-0.131075615227211, -0.0805901757951362,-0.0727990043993616,-0.0223137335578324,0.,0.00115011295768854), .UNSPECIFIED.); #34707=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171653,#171654,#171655,#171656), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.412261301667129,0.),.UNSPECIFIED.); #34708=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171685,#171686,#171687,#171688, #171689),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.693716417943391,-0.297307036261454, -2.10785237844026E-15),.UNSPECIFIED.); #34709=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171716,#171717,#171718,#171719), .UNSPECIFIED.,.F.,.F.,(4,4),(0.822891771261355,1.33255379486888), .UNSPECIFIED.); #34710=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171722,#171723,#171724,#171725, #171726),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.33255379486888,-0.571094483515232, 0.),.UNSPECIFIED.); #34711=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171758,#171759,#171760,#171761, #171762,#171763),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-2.50621146981949,-1.43348560596026, -0.716742802981251,-2.23942812629047E-12),.UNSPECIFIED.); #34712=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171764,#171765,#171766,#171767, #171768,#171769),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(2.23942812629047E-12, 0.716742802981251,1.43348560596026,2.50859981042878),.UNSPECIFIED.); #34713=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171770,#171771,#171772,#171773), .UNSPECIFIED.,.F.,.F.,(4,4),(2.50621147863784,2.50621402098191), .UNSPECIFIED.); #34714=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171818,#171819,#171820,#171821), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.115864771339509),.UNSPECIFIED.); #34715=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171823,#171824,#171825,#171826), .UNSPECIFIED.,.F.,.F.,(4,4),(0.115864771339509,0.50770478447378), .UNSPECIFIED.); #34716=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171827,#171828,#171829,#171830), .UNSPECIFIED.,.F.,.F.,(4,4),(0.50770478447378,0.982627262799894), .UNSPECIFIED.); #34717=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171831,#171832,#171833,#171834, #171835,#171836,#171837,#171838),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-0.982627262799894, -0.50770478447378,-0.115864771339509,0.),.UNSPECIFIED.); #34718=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171861,#171862,#171863,#171864), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.09909120704054,0.),.UNSPECIFIED.); #34719=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171911,#171912,#171913,#171914), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.474922478423211),.UNSPECIFIED.); #34720=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171916,#171917,#171918,#171919), .UNSPECIFIED.,.F.,.F.,(4,4),(0.475013833785516,0.866714886175217), .UNSPECIFIED.); #34721=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171920,#171921,#171922,#171923), .UNSPECIFIED.,.F.,.F.,(4,4),(0.86676249155748,0.982627262896989), .UNSPECIFIED.); #34722=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171946,#171947,#171948,#171949), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.3082034930883,-1.29773554049677E-10), .UNSPECIFIED.); #34723=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171951,#171952,#171953,#171954, #171955,#171956,#171957,#171958,#171959,#171960,#171961,#171962,#171963, #171964,#171965,#171966,#171967,#171968,#171969,#171970,#171971,#171972, #171973,#171974,#171975,#171976,#171977,#171978,#171979,#171980,#171981), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(-0.308330588062758,-0.307180478585021, -0.256695364166904,-0.234381694219128,-0.183896407357354,-0.17610525950876, -0.156249523575386,-0.105502843838969,-0.0781235976879493,-0.0277014063960812, 0.),.UNSPECIFIED.); #34724=B_SPLINE_CURVE_WITH_KNOTS('',3,(#171982,#171983,#171984,#171985), .UNSPECIFIED.,.F.,.F.,(4,4),(0.527637761992935,0.528483622609526), .UNSPECIFIED.); #34725=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172017,#172018,#172019,#172020, #172021,#172022),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-3.02051859585163,-2.30377579288227, -1.58703298991291,-0.514307126068087),.UNSPECIFIED.); #34726=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172023,#172024,#172025,#172026), .UNSPECIFIED.,.F.,.F.,(4,4),(0.514304574905745,0.514307117249736), .UNSPECIFIED.); #34727=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172062,#172063,#172064,#172065, #172066,#172067),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-2.39025204078012,-1.70732288627151, -1.02439373176291,0.),.UNSPECIFIED.); #34728=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172069,#172070,#172071,#172072, #172073,#172074),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,1.02439373176291,1.70732288627151, 2.39025204078012),.UNSPECIFIED.); #34729=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172095,#172096,#172097,#172098), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0624133273091963,0.),.UNSPECIFIED.); #34730=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172132,#172133,#172134,#172135, #172136,#172137),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-2.07608783270403,-1.48291988050288, -0.889751928301728,0.),.UNSPECIFIED.); #34731=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172140,#172141,#172142,#172143), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.42669033336863),.UNSPECIFIED.); #34732=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172164,#172165,#172166,#172167), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0624133273173712,-6.79164198050208E-15), .UNSPECIFIED.); #34733=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172168,#172169,#172170,#172171), .UNSPECIFIED.,.F.,.F.,(4,4),(6.79164198050208E-15,0.0624133273173712), .UNSPECIFIED.); #34734=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172194,#172195,#172196,#172197), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.56395848202123,0.),.UNSPECIFIED.); #34735=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172227,#172228,#172229,#172230, #172231),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-4.48803206376013,-3.52673415769845, -2.24500361628289),.UNSPECIFIED.); #34736=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172259,#172260,#172261,#172262, #172263),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.17503002779188,-0.153818367662657, -0.137909622565741),.UNSPECIFIED.); #34737=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172284,#172285,#172286,#172287), .UNSPECIFIED.,.F.,.F.,(4,4),(1.83501243363665,2.1024410198509), .UNSPECIFIED.); #34738=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172300,#172301,#172302,#172303), .UNSPECIFIED.,.F.,.F.,(4,4),(0.1945340775537,0.989328245162856), .UNSPECIFIED.); #34739=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172351,#172352,#172353,#172354, #172355),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.577260112597541,-0.329862921484309, -2.78370146033758E-16),.UNSPECIFIED.); #34740=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172419,#172420,#172421,#172422, #172423),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.577267127393911,-0.247400197454533, 0.),.UNSPECIFIED.); #34741=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172424,#172425,#172426,#172427, #172428),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.247400197454533,0.577267127393911), .UNSPECIFIED.); #34742=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172451,#172452,#172453,#172454), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.71739676868724,-1.3297328351953), .UNSPECIFIED.); #34743=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172497,#172498,#172499,#172500, #172501,#172502),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.106975021710758,0.107569042244097), .UNSPECIFIED.); #34744=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172503,#172504,#172505,#172506, #172507,#172508),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.107569042244097,-0.106975021710758, 0.),.UNSPECIFIED.); #34745=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172530,#172531,#172532,#172533), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.147631429060125,0.),.UNSPECIFIED.); #34746=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172536,#172537,#172538,#172539), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.147631429060125),.UNSPECIFIED.); #34747=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172567,#172568,#172569,#172570), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.147631428848823,0.),.UNSPECIFIED.); #34748=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172573,#172574,#172575,#172576), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.147631428848823),.UNSPECIFIED.); #34749=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172608,#172609,#172610,#172611, #172612,#172613),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.106975021710757,0.,0.000594020533339272), .UNSPECIFIED.); #34750=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172614,#172615,#172616,#172617, #172618,#172619),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000594020533339272,0., 0.106975021710757),.UNSPECIFIED.); #34751=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172643,#172644,#172645,#172646), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.146122194999869,0.),.UNSPECIFIED.); #34752=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172648,#172649,#172650,#172651, #172652,#172653),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.107025981446899,-0.106437567312107, 0.),.UNSPECIFIED.); #34753=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172682,#172683,#172684,#172685, #172686,#172687),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.106437567312107,0.,0.000588414134791877), .UNSPECIFIED.); #34754=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172688,#172689,#172690,#172691), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.146122204571203,0.),.UNSPECIFIED.); #34755=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172718,#172719,#172720,#172721, #172722,#172723),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.106437567312107,0.107025981446899), .UNSPECIFIED.); #34756=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172746,#172747,#172748,#172749), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.146122194999869),.UNSPECIFIED.); #34757=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172776,#172777,#172778,#172779), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.146122204571203),.UNSPECIFIED.); #34758=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172810,#172811,#172812,#172813, #172814,#172815),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000588414134791877,0., 0.106437567312107),.UNSPECIFIED.); #34759=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172969,#172970,#172971,#172972, #172973),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198941712697117,-0.0852607340130502, 0.),.UNSPECIFIED.); #34760=B_SPLINE_CURVE_WITH_KNOTS('',3,(#172974,#172975,#172976,#172977, #172978),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0852607340130502,0.198941712697117), .UNSPECIFIED.); #34761=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173010,#173011,#173012,#173013, #173014),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.198941710478407,-0.113680977416233, -1.00659992839631E-16),.UNSPECIFIED.); #34762=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173015,#173016,#173017,#173018, #173019),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.00659992839631E-16,0.113680977416233, 0.198941710478407),.UNSPECIFIED.); #34763=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173109,#173110,#173111,#173112, #173113),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.271165892093017,-0.154951938338867, 0.),.UNSPECIFIED.); #34764=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173132,#173133,#173134,#173135), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.46316558393865,-2.61509564003503E-16), .UNSPECIFIED.); #34765=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173163,#173164,#173165,#173166, #173167),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0832035279421675,0.194141565198391), .UNSPECIFIED.); #34766=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173195,#173196,#173197,#173198, #173199,#173200,#173201,#173202,#173203,#173204),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.248959189663078,-0.162702887343102,-0.0768891184763433, 0.,0.00100315353529854),.UNSPECIFIED.); #34767=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173205,#173206,#173207,#173208), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0863153505109047,0.),.UNSPECIFIED.); #34768=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173209,#173210,#173211,#173212, #173213),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.766928968567607,1.78950092665775), .UNSPECIFIED.); #34769=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173217,#173218,#173219,#173220), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.78950092665775,-1.70318564349338), .UNSPECIFIED.); #34770=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173222,#173223,#173224,#173225, #173226,#173227,#173228,#173229,#173230,#173231),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.250571732742684,-0.249566133593897,-0.172489565182387,-0.0864665887495745, 0.),.UNSPECIFIED.); #34771=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173233,#173234,#173235,#173236, #173237,#173238,#173239),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.103242122272314, 0.10598997603503),.UNSPECIFIED.); #34772=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173241,#173242,#173243,#173244, #173245),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.35785447188078,-0.766928968567607, -0.431647678230179),.UNSPECIFIED.); #34773=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173246,#173247,#173248,#173249, #173250,#173251,#173252),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.00275490830069405, 0.,0.103507420584158),.UNSPECIFIED.); #34774=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173280,#173281,#173282,#173283, #173284),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0832040668783476,0.194142822716144), .UNSPECIFIED.); #34775=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173303,#173304,#173305,#173306), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.46316558393881),.UNSPECIFIED.); #34776=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173339,#173340,#173341,#173342, #173343,#173344),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.271164106873872,-0.154950918213641, -0.0774754591068205,0.),.UNSPECIFIED.); #34777=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173437,#173438,#173439,#173440, #173441,#173442,#173443,#173444,#173445),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 2,4),(1.70973121992977,1.7443546184804,1.80764423487317,1.96513280890068, 2.11812166728509,2.17788467140901),.UNSPECIFIED.); #34778=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173447,#173448,#173449,#173450, #173451,#173452,#173453,#173454,#173455),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(0.87558873289072,1.01057419563282,1.17172645036936,1.33153399471117, 1.49085800929655,1.6495717224819,1.70973121992977),.UNSPECIFIED.); #34779=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173457,#173458,#173459,#173460, #173461,#173462),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.477547858568154,0.645820042811065, 0.836088360157403,0.87558873289072),.UNSPECIFIED.); #34780=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173464,#173465,#173466,#173467, #173468,#173469,#173470,#173471),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.0102344067659358, 0.0792029792615922,0.227036463215859,0.442301308869513,0.443764459694552, 0.477547858568154),.UNSPECIFIED.); #34781=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173473,#173474,#173475,#173476), .UNSPECIFIED.,.F.,.F.,(4,4),(1.4934630680619E-6,0.327022448400725), .UNSPECIFIED.); #34782=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173478,#173479,#173480,#173481), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000100271211168434),.UNSPECIFIED.); #34783=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173497,#173498,#173499,#173500), .UNSPECIFIED.,.F.,.F.,(4,4),(1.41172612237892,1.41215481829748), .UNSPECIFIED.); #34784=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173502,#173503,#173504,#173505), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.327020954937721),.UNSPECIFIED.); #34785=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173507,#173508,#173509,#173510, #173511,#173512,#173513,#173514),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(1.64058619298805, 1.67436946337504,1.67590783161218,1.89114146571588,2.03893236325099,2.10790100211451), .UNSPECIFIED.); #34786=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173516,#173517,#173518,#173519, #173520,#173521),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(1.24254380606051,1.28213756851404, 1.4724048332555,1.64058619298805),.UNSPECIFIED.); #34787=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173523,#173524,#173525,#173526, #173527,#173528,#173529,#173530,#173531),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(0.408397854904352,0.46859081878346,0.627315897048424,0.786651305272404, 0.946470274338157,1.10763784957637,1.24254380606051),.UNSPECIFIED.); #34788=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173532,#173533,#173534,#173535, #173536,#173537,#173538,#173539,#173540),.UNSPECIFIED.,.F.,.F.,(4,2,1,1, 1,4),(-0.0597566915828805,0.,0.15300694437844,0.310506945633181,0.373774847156598, 0.408397854904352),.UNSPECIFIED.); #34789=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173544,#173545,#173546,#173547, #173548,#173549,#173550,#173551,#173552,#173553,#173554,#173555,#173556, #173557,#173558,#173559,#173560,#173561,#173562,#173563,#173564,#173565, #173566,#173567,#173568,#173569),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,2,1,1, 1,1,1,2,1,1,2,1,1,1,1,4),(-2.17788467140901,-2.11812166728509,-1.96513280890068, -1.80764423487317,-1.7443546184804,-1.70973121992977,-1.6495717224819,-1.49085800929655, -1.33153399471117,-1.17172645036936,-1.01057419563282,-0.87558873289072, -0.836088360157403,-0.645820042811065,-0.477547858568154,-0.443764459694552, -0.442301308869513,-0.227036463215859,-0.0792029792615922,-0.0102344067659358), .UNSPECIFIED.); #34790=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173809,#173810,#173811,#173812, #173813,#173814),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.489309240558429,1.13459634812188, 1.77990351629919,2.74786426856515),.UNSPECIFIED.); #34791=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173818,#173819,#173820,#173821, #173822,#173823),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-2.74786426856515,-1.77990351629919, -1.13459634812188,-0.490971642204463),.UNSPECIFIED.); #34792=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173824,#173825,#173826,#173827, #173828,#173829,#173830,#173831,#173832,#173833,#173834,#173835,#173836, #173837,#173838,#173839,#173840,#173841,#173842,#173843,#173844,#173845, #173846,#173847,#173848,#173849,#173850,#173851),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(-0.000992210674251575,0.,0.0412263601599919,0.0611563405539663, 0.102250092938311,0.120692437615778,0.162120346442043,0.180224698470041, 0.221330975085589,0.239755698031679),.UNSPECIFIED.); #34793=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173875,#173876,#173877,#173878), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.901319969067186,0.),.UNSPECIFIED.); #34794=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173879,#173880,#173881,#173882), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.901319969067186),.UNSPECIFIED.); #34795=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173911,#173912,#173913,#173914, #173915),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.990279075747246,-0.424405318177677, -5.00426512922813E-13),.UNSPECIFIED.); #34796=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173916,#173917,#173918,#173919, #173920),.UNSPECIFIED.,.F.,.F.,(4,1,4),(5.00426512922813E-13,0.424405318177677, 0.990279075747246),.UNSPECIFIED.); #34797=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173944,#173945,#173946,#173947), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.679764914491596,0.),.UNSPECIFIED.); #34798=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173948,#173949,#173950,#173951), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.679764914491596),.UNSPECIFIED.); #34799=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173985,#173986,#173987,#173988, #173989,#173990),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.588856996483742,-0.42061214034553, -0.252367284207318,0.),.UNSPECIFIED.); #34800=B_SPLINE_CURVE_WITH_KNOTS('',3,(#173991,#173992,#173993,#173994, #173995,#173996),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.252367284207318, 0.42061214034553,0.588856996483742),.UNSPECIFIED.); #34801=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174050,#174051,#174052,#174053, #174054,#174055,#174056,#174057,#174058,#174059),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-11.1115698769003,-9.52420275162883,-7.93683562635736, -6.34946850108589,-4.76210137581441,-3.17473425054294,-1.58736712527147, -4.93830136044119E-16),.UNSPECIFIED.); #34802=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174060,#174061,#174062,#174063, #174064,#174065,#174066,#174067,#174068,#174069),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(4.93830136044119E-16,1.58736712527147,3.17473425054294, 4.76210137581441,6.34946850108589,7.93683562635736,9.52420275162883,11.1115698769003), .UNSPECIFIED.); #34803=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174103,#174104,#174105,#174106, #174107,#174108),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.588846475947888,-0.420604625677063, -0.252362775406238,0.),.UNSPECIFIED.); #34804=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174109,#174110,#174111,#174112, #174113,#174114),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.252362775406238, 0.420604625677063,0.588846475947888),.UNSPECIFIED.); #34805=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174138,#174139,#174140,#174141), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.679764914491602,0.),.UNSPECIFIED.); #34806=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174142,#174143,#174144,#174145), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.679764914491602),.UNSPECIFIED.); #34807=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174174,#174175,#174176,#174177, #174178),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.990284377797932,-0.565876787313105, -8.89617244297778E-16),.UNSPECIFIED.); #34808=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174179,#174180,#174181,#174182, #174183),.UNSPECIFIED.,.F.,.F.,(4,1,4),(8.89617244297778E-16,0.565876787313105, 0.990284377797932),.UNSPECIFIED.); #34809=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174207,#174208,#174209,#174210), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.901319975092165,0.),.UNSPECIFIED.); #34810=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174211,#174212,#174213,#174214), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.901319975092165),.UNSPECIFIED.); #34811=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174246,#174247,#174248,#174249, #174250,#174251),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-2.25689262639013,-1.61326792046462, -0.967960752279157,-9.57623689853841E-13),.UNSPECIFIED.); #34812=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174252,#174253,#174254,#174255, #174256,#174257),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(9.57623689853841E-13, 0.967960752279157,1.61326792046462,2.25855502803603),.UNSPECIFIED.); #34813=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174258,#174259,#174260,#174261, #174262,#174263,#174264,#174265,#174266,#174267,#174268,#174269,#174270, #174271,#174272,#174273,#174274,#174275,#174276,#174277,#174278,#174279, #174280,#174281,#174282,#174283,#174284,#174285),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(0.,0.0411061709510536,0.0595308465361113,0.100958648871463, 0.119062954361602,0.13750525163266,0.178598898384764,0.219825153662443, 0.239755081747833,0.240747289871759),.UNSPECIFIED.); #34814=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174307,#174308,#174309,#174310), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.325354286876046,0.),.UNSPECIFIED.); #34815=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174333,#174334,#174335,#174336), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.327022448400725,-0.00166816152467231), .UNSPECIFIED.); #34816=B_SPLINE_CURVE_WITH_KNOTS('',3,(#174493,#174494,#174495,#174496, #174497,#174498,#174499,#174500,#174501,#174502,#174503,#174504,#174505, #174506,#174507,#174508,#174509,#174510,#174511,#174512,#174513,#174514, #174515,#174516,#174517,#174518),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,2,1,1, 2,1,1,1,1,1,2,1,1,1,2,4),(-2.10790100211451,-2.03893236325099,-1.89114146571588, -1.67590783161218,-1.67436946337504,-1.64058619298805,-1.4724048332555, -1.28213756851404,-1.24254380606051,-1.10763784957637,-0.946470274338157, -0.786651305272404,-0.627315897048424,-0.46859081878346,-0.408397854904352, -0.373774847156598,-0.310506945633181,-0.15300694437844,0.,0.0597566915828805), .UNSPECIFIED.); #34817=B_SPLINE_CURVE_WITH_KNOTS('',2,(#174999,#175000,#175001,#175002), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34818=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175006,#175007,#175008,#175009), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34819=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175020,#175021,#175022,#175023), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34820=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175025,#175026,#175027,#175028), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34821=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175039,#175040,#175041,#175042), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34822=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175044,#175045,#175046,#175047), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34823=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175056,#175057,#175058), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34824=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175060,#175061,#175062), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34825=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175103,#175104,#175105,#175106, #175107,#175108,#175109),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,1,3),(0.,1.,2., 3.,4.,5.),.UNSPECIFIED.); #34826=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175112,#175113,#175114,#175115, #175116,#175117,#175118),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,1,3),(0.,1.,2., 3.,4.,5.),.UNSPECIFIED.); #34827=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175128,#175129,#175130,#175131), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34828=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175134,#175135,#175136,#175137), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34829=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175145,#175146,#175147), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34830=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175150,#175151,#175152), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34831=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175160,#175161,#175162), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34832=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175165,#175166,#175167), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34833=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175190,#175191,#175192,#175193, #175194),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34834=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175198,#175199,#175200,#175201, #175202),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34835=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175213,#175214,#175215,#175216), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34836=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175218,#175219,#175220,#175221), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34837=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175266,#175267,#175268), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34838=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175271,#175272,#175273), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34839=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175285,#175286,#175287,#175288, #175289),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34840=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175292,#175293,#175294,#175295, #175296),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34841=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175322,#175323,#175324), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34842=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175327,#175328,#175329), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34843=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175337,#175338,#175339), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34844=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175342,#175343,#175344), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34845=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175354,#175355,#175356,#175357), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34846=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175360,#175361,#175362,#175363), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34847=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175375,#175376,#175377,#175378, #175379),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34848=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175382,#175383,#175384,#175385, #175386),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34849=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175413,#175414,#175415), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34850=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175417,#175418,#175419), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34851=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175428,#175429,#175430), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34852=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175432,#175433,#175434), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34853=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175648,#175649,#175650), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34854=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175654,#175655,#175656), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34855=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175667,#175668,#175669,#175670), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34856=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175672,#175673,#175674,#175675), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34857=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175688,#175689,#175690,#175691, #175692),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34858=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175694,#175695,#175696,#175697, #175698),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34859=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175723,#175724,#175725), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34860=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175729,#175730,#175731), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34861=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175744,#175745,#175746,#175747, #175748),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34862=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175750,#175751,#175752,#175753, #175754),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34863=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175767,#175768,#175769,#175770, #175771),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34864=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175773,#175774,#175775,#175776, #175777),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34865=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175816,#175817,#175818,#175819, #175820,#175821),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,3),(0.,1.,2.,3.,4.), .UNSPECIFIED.); #34866=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175824,#175825,#175826,#175827, #175828,#175829),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,3),(0.,1.,2.,3.,4.), .UNSPECIFIED.); #34867=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175839,#175840,#175841,#175842), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34868=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175845,#175846,#175847,#175848), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34869=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175858,#175859,#175860,#175861), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34870=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175864,#175865,#175866,#175867), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34871=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175879,#175880,#175881,#175882, #175883),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34872=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175886,#175887,#175888,#175889, #175890),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34873=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175913,#175914,#175915,#175916, #175917),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34874=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175921,#175922,#175923,#175924, #175925),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34875=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175936,#175937,#175938,#175939), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34876=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175941,#175942,#175943,#175944), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34877=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175989,#175990,#175991), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34878=B_SPLINE_CURVE_WITH_KNOTS('',2,(#175994,#175995,#175996), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34879=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176008,#176009,#176010,#176011, #176012),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34880=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176015,#176016,#176017,#176018, #176019),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34881=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176045,#176046,#176047), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34882=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176050,#176051,#176052), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34883=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176060,#176061,#176062), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34884=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176065,#176066,#176067), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34885=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176077,#176078,#176079,#176080), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34886=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176083,#176084,#176085,#176086), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #34887=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176098,#176099,#176100,#176101, #176102),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34888=B_SPLINE_CURVE_WITH_KNOTS('',2,(#176105,#176106,#176107,#176108, #176109),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #34889=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176284,#176285,#176286,#176287, #176288,#176289,#176290,#176291,#176292,#176293),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.22966469580448,0.258368316863776,0.287071937923073,0.31577843403154, 0.344484930140008),.UNSPECIFIED.); #34890=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176297,#176298,#176299,#176300, #176301,#176302,#176303,#176304,#176305,#176306),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.114850657766201,0.143557759460348,0.172264861154495,0.20096850152099, 0.229672141887485),.UNSPECIFIED.); #34891=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176321,#176322,#176323,#176324, #176325,#176326,#176327,#176328,#176329,#176330),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.461230349010796,0.576235249842092,0.691240150673388,0.80606032015796, 0.920880489642533),.UNSPECIFIED.); #34892=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176333,#176334,#176335,#176336, #176337,#176338,#176339,#176340,#176341,#176342),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.229672141576451,0.258375781942946,0.287079422309441,0.315786524003588, 0.344493625697736),.UNSPECIFIED.); #34893=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176345,#176346,#176347,#176348, #176349,#176350,#176351,#176352,#176353,#176354),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.11484446168335,0.143550957791818,0.172257453900286,0.200961074959582, 0.229664696018879),.UNSPECIFIED.); #34894=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176359,#176360,#176361,#176362, #176363,#176364,#176365,#176366,#176367,#176368),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.920880253157803,1.03570042264238,1.15052059212695,1.26552549295824, 1.38053039378954),.UNSPECIFIED.); #34895=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176378,#176379,#176380,#176381, #176382,#176383,#176384,#176385,#176386,#176387),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.921371878523574,1.03619328494248,1.15101469136138,1.26605867923888, 1.38110266711638),.UNSPECIFIED.); #34896=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176399,#176400,#176401,#176402, #176403,#176404,#176405,#176406,#176407,#176408),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.461641089930763,0.576685077808265,0.691729065685766,0.806550472104671, 0.921371878523575),.UNSPECIFIED.); #34897=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176421,#176422,#176423,#176424, #176425,#176426,#176427,#176428,#176429,#176430),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.0897951945314642,0.151880351016364,0.225210316538811,0.356403444717401, 0.430678440192459),.UNSPECIFIED.); #34898=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176441,#176442,#176443,#176444, #176445,#176446,#176447,#176448,#176449,#176450,#176451,#176452), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(2.81175827802722,2.87984680270325, 2.99337712161544,3.09746397351228,3.20828869199677,3.25657785554477), .UNSPECIFIED.); #34899=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176456,#176457,#176458,#176459, #176460,#176461,#176462,#176463,#176464,#176465),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(1.72583996315468,1.83696287708068,1.95103170413021,2.06078964524276, 2.17165935634533),.UNSPECIFIED.); #34900=B_SPLINE_CURVE_WITH_KNOTS('',3,(#176468,#176469,#176470,#176471, #176472,#176473,#176474,#176475,#176476,#176477,#176478,#176479), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,4),(0.355140730071948,0.379778599779874, 0.554467577905037,0.64467539940995,0.717199547566378,0.737702495011286), .UNSPECIFIED.); #34901=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177057,#177058,#177059,#177060, #177061,#177062,#177063,#177064,#177065,#177066),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.0555628448558776,0.111125689711755,0.166573976437891, 0.222022263164027),.UNSPECIFIED.); #34902=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177068,#177069,#177070,#177071, #177072,#177073,#177074,#177075,#177076,#177077),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.666066789492082,0.721515076218218,0.776963362944354,0.832526207800232, 0.888089052656109),.UNSPECIFIED.); #34903=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177079,#177080,#177081,#177082, #177083,#177084,#177085,#177086,#177087,#177088),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.444044526328055,0.499607371183932,0.55517021603981,0.610618502765946, 0.666066789492082),.UNSPECIFIED.); #34904=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177092,#177093,#177094,#177095, #177096,#177097,#177098,#177099,#177100,#177101,#177102,#177103,#177104, #177105,#177106,#177107,#177108,#177109),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(0.,0.0555628448558775,0.111125689711755,0.166573976437891,0.222022263164027, 0.277470549890163,0.3329188366163,0.388481681472177,0.444044526328055), .UNSPECIFIED.); #34905=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177110,#177111,#177112,#177113, #177114,#177115,#177116,#177117,#177118,#177119,#177120,#177121,#177122, #177123,#177124,#177125,#177126,#177127),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(0.444044526328055,0.499607371183932,0.55517021603981,0.610618502765946, 0.666066789492082,0.721515076218218,0.776963362944354,0.832526207800232, 0.888089052656109),.UNSPECIFIED.); #34906=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177128,#177129,#177130,#177131, #177132,#177133,#177134,#177135,#177136,#177137),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.222022263164027,0.277470549890164,0.3329188366163,0.388481681472177, 0.444044526328055),.UNSPECIFIED.); #34907=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177620,#177621,#177622,#177623), .UNSPECIFIED.,.F.,.F.,(4,4),(0.55474943976761,0.69455448669558), .UNSPECIFIED.); #34908=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177625,#177626,#177627,#177628), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0910660870967446,-2.70217956344077E-9), .UNSPECIFIED.); #34909=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177632,#177633,#177634,#177635, #177636,#177637,#177638,#177639,#177640,#177641),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-0.248637780325476,-0.207931982688241,-0.191378270730715,-0.172922473540536), .UNSPECIFIED.); #34910=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177642,#177643,#177644,#177645), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.139805046927971),.UNSPECIFIED.); #34911=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177774,#177775,#177776,#177777), .UNSPECIFIED.,.F.,.F.,(4,4),(0.554749441659735,0.69455448669558), .UNSPECIFIED.); #34912=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177779,#177780,#177781,#177782), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0911111275885885,0.),.UNSPECIFIED.); #34913=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177786,#177787,#177788,#177789, #177790,#177791,#177792,#177793,#177794,#177795),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-0.248637781657411,-0.208637710932764,-0.19137888755239,-0.172922478738815), .UNSPECIFIED.); #34914=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177796,#177797,#177798,#177799), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.139805045035846),.UNSPECIFIED.); #34915=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177813,#177814,#177815,#177816, #177817,#177818,#177819,#177820,#177821,#177822,#177823,#177824,#177825, #177826,#177827,#177828,#177829,#177830,#177831,#177832,#177833,#177834, #177835,#177836,#177837,#177838,#177839,#177840,#177841,#177842,#177843), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(-0.119382420872689,-0.110895388630595, -0.0972111750136067,-0.0929039146637271,-0.0892983537460015,-0.0868397448727833, -0.0844443307335097,-0.0810336378122136,-0.0731526627283189,-0.0601944933123045, -0.0541100308330485),.UNSPECIFIED.); #34916=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177846,#177847,#177848,#177849, #177850,#177851,#177852,#177853,#177854,#177855,#177856,#177857,#177858, #177859,#177860,#177861,#177862,#177863,#177864,#177865,#177866,#177867, #177868,#177869,#177870,#177871,#177872,#177873,#177874,#177875,#177876), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(-0.119382911852527,-0.110915557217506, -0.0972254575156725,-0.0929200539859227,-0.0893141054858632,-0.0868554160892404, -0.084460027149405,-0.0810496009180575,-0.0731717626356912,-0.0602002310427392, -0.0541100145981818),.UNSPECIFIED.); #34917=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177879,#177880,#177881,#177882, #177883,#177884,#177885,#177886,#177887,#177888),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-0.248637773538266,-0.207775466883742,-0.191381425956288,-0.172922491957645), .UNSPECIFIED.); #34918=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177890,#177891,#177892,#177893), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.139805044368929),.UNSPECIFIED.); #34919=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177895,#177896,#177897,#177898), .UNSPECIFIED.,.F.,.F.,(4,4),(0.554749442326325,0.694554486695587), .UNSPECIFIED.); #34920=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177900,#177901,#177902,#177903), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0910777358964165,0.),.UNSPECIFIED.); #34921=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177907,#177908,#177909,#177910, #177911,#177912,#177913,#177914,#177915,#177916,#177917,#177918,#177919), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.248637784526091,-0.207310018480727, -0.191377726472717,-0.185415500872425,-0.172922471317489),.UNSPECIFIED.); #34922=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177921,#177922,#177923,#177924), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.139805044369263),.UNSPECIFIED.); #34923=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177926,#177927,#177928,#177929), .UNSPECIFIED.,.F.,.F.,(4,4),(0.554749442326658,0.694554486695587), .UNSPECIFIED.); #34924=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177930,#177931,#177932,#177933), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0910300070131305,0.),.UNSPECIFIED.); #34925=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177935,#177936,#177937,#177938, #177939,#177940,#177941,#177942,#177943,#177944,#177945,#177946,#177947, #177948,#177949,#177950,#177951,#177952,#177953,#177954,#177955,#177956, #177957,#177958,#177959,#177960,#177961,#177962,#177963,#177964,#177965), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(-0.119382595567419,-0.110930026849622, -0.0972379209629343,-0.0929284450077354,-0.089316568728775,-0.0868510419365656, -0.0844470166403888,-0.0810317249422296,-0.073169462283342,-0.0601973746509195, -0.0541100227072595),.UNSPECIFIED.); #34926=B_SPLINE_CURVE_WITH_KNOTS('',3,(#177966,#177967,#177968,#177969, #177970,#177971,#177972,#177973,#177974,#177975,#177976,#177977,#177978, #177979,#177980,#177981,#177982,#177983,#177984,#177985,#177986,#177987, #177988,#177989,#177990,#177991,#177992,#177993,#177994,#177995,#177996), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(-0.119382523512982,-0.110917704336837, -0.0972363155556906,-0.0929279557823383,-0.0893154240942809,-0.0868475297507, -0.0844456662866489,-0.0810312722735545,-0.0731648445419202,-0.0601965513385273, -0.0541100250368677),.UNSPECIFIED.); #34927=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178033,#178034,#178035,#178036, #178037,#178038),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.875378381827479,0.918345125255979, 0.98639888812443,1.02936348668212),.UNSPECIFIED.); #34928=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178040,#178041,#178042,#178043, #178044),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.11367908606455,1.12250641386133, 1.19056017672978),.UNSPECIFIED.); #34929=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178048,#178049,#178050,#178051, #178052,#178053,#178054,#178055,#178056,#178057,#178058,#178059,#178060, #178061,#178062,#178063,#178064,#178065,#178066,#178067,#178068,#178069, #178070,#178071,#178072,#178073,#178074,#178075,#178076,#178077,#178078, #178079,#178080,#178081,#178082,#178083,#178084,#178085,#178086,#178087, #178088,#178089,#178090,#178091,#178092,#178093,#178094,#178095,#178096, #178097,#178098,#178099,#178100,#178101,#178102,#178103,#178104,#178105, #178106,#178107,#178108,#178109,#178110,#178111,#178112,#178113,#178114, #178115,#178116,#178117,#178118,#178119,#178120),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-1.19056017672978, -1.18855999857016,-1.15905207602893,-1.1295441534877,-1.122483623962,-1.09497614354338, -1.07052830840525,-1.05440648924013,-1.02744454385736,-1.01151246332279, -0.98636691821407,-0.958217647905919,-0.952496618240339,-0.925479764359354, -0.918867147238583,-0.918280282339151,-0.892309511238565,-0.885237676236826, -0.855729753695598,-0.826221831154371,-0.800304951340513,-0.782331402448705, -0.767205986071916,-0.735411247672016,-0.714183836650625),.UNSPECIFIED.); #34930=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178122,#178123,#178124,#178125, #178126),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.714183836650625,0.782237599519076, 0.790983299084136),.UNSPECIFIED.); #34931=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178131,#178132,#178133,#178134, #178135),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.19056017672978,-1.12250641386133, -1.11367908605255),.UNSPECIFIED.); #34932=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178137,#178138,#178139,#178140, #178141,#178142),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.02936348668148,-0.986398888124428, -0.918345125255977,-0.875378381732463),.UNSPECIFIED.); #34933=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178144,#178145,#178146,#178147, #178148,#178149,#178150),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.791046128185704, -0.782293506285433,-0.714183836650623),.UNSPECIFIED.); #34934=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178260,#178261,#178262,#178263, #178264,#178265,#178266,#178267,#178268),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(0.714183836650623,0.782237599519075,0.918345125255977,0.986398888124428, 1.05445265099288,1.12250641386133,1.19056017672978),.UNSPECIFIED.); #34935=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178837,#178838,#178839,#178840, #178841,#178842),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0200284669387463,-0.0143060478133902, -0.00858362868803414,0.),.UNSPECIFIED.); #34936=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178845,#178846,#178847,#178848, #178849,#178850),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.00858362868803414, 0.0143060478133902,0.0200284669387463),.UNSPECIFIED.); #34937=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178892,#178893,#178894,#178895, #178896,#178897),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.020028500110679,-0.0143060715076278, -0.00858364290457671,0.),.UNSPECIFIED.); #34938=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178898,#178899,#178900,#178901, #178902,#178903),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.00858364290457671, 0.0143060715076278,0.020028500110679),.UNSPECIFIED.); #34939=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178943,#178944,#178945,#178946, #178947,#178948),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0200284669387464,-0.0143060478133903, -0.00858362868803418,-4.51823164953301E-31),.UNSPECIFIED.); #34940=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178949,#178950,#178951,#178952, #178953,#178954),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(4.51823164953301E-31, 0.00858362868803418,0.0143060478133903,0.0200284669387464), .UNSPECIFIED.); #34941=B_SPLINE_CURVE_WITH_KNOTS('',3,(#178996,#178997,#178998,#178999, #179000,#179001),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.0200285001106791,-0.014306071507628, -0.00858364290457678,0.),.UNSPECIFIED.); #34942=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179002,#179003,#179004,#179005, #179006,#179007),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.00858364290457678, 0.014306071507628,0.0200285001106791),.UNSPECIFIED.); #34943=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179040,#179041,#179042,#179043, #179044,#179045,#179046,#179047,#179048,#179049),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.0298845805408717,0.0323534341368177,0.0361797594126083,0.0424590503302322, 0.0425799097125151),.UNSPECIFIED.); #34944=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179077,#179078,#179079,#179080, #179081,#179082,#179083,#179084,#179085,#179086),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.000113149004873257,0.,0.0058786955957261,0.00946091560151573, 0.0117722655535815),.UNSPECIFIED.); #34945=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179129,#179130,#179131,#179132, #179133,#179134,#179135,#179136,#179137,#179138),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.0389608957182822,0.0413482913436307,0.0437356869689791,0.0462153849311477, 0.0486950828933164),.UNSPECIFIED.); #34946=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179155,#179156,#179157,#179158, #179159),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0809814718201393,0.0896602762263098, 0.0897447645532371),.UNSPECIFIED.); #34947=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179164,#179165,#179166,#179167, #179168,#179169,#179170,#179171,#179172,#179173),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.0292267085432479,0.0317064065054165,0.0341861044675852,0.0365735000929336, 0.038960895718282),.UNSPECIFIED.); #34948=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179225,#179226,#179227,#179228, #179229,#179230,#179231,#179232,#179233,#179234,#179235,#179236,#179237), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-5.39193279196775E-5,0.003819823278645, 0.00490422823012473,0.00762907036770135,0.011529356849495), .UNSPECIFIED.); #34949=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179238,#179239,#179240,#179241, #179242,#179243,#179244,#179245,#179246,#179247,#179248,#179249,#179250), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.101232015434537,-0.09544700417801, -0.09137587027386,-0.0862184194309453,-0.0809814718201394), .UNSPECIFIED.); #34950=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179289,#179290,#179291,#179292, #179293),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0269991029619901,-0.0154273637371002, -0.00674855931843281),.UNSPECIFIED.); #34951=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179295,#179296,#179297,#179298, #179299,#179300,#179301,#179302,#179303,#179304,#179305,#179306,#179307, #179308,#179309,#179310,#179311,#179312,#179313,#179314,#179315,#179316), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(0.,0.00322925051240275,0.00386373664647761, 0.00651400676487084,0.00728494109526396,0.0109559176613457,0.0113378173012833, 0.0113907402786411),.UNSPECIFIED.); #34952=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179317,#179318,#179319,#179320), .UNSPECIFIED.,.F.,.F.,(4,4),(0.01823580997133,0.0269991029619901), .UNSPECIFIED.); #34953=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179422,#179423,#179424,#179425, #179426,#179427),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0716846342306991,0.0720301475582152), .UNSPECIFIED.); #34954=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179428,#179429,#179430,#179431, #179432,#179433),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0720301475582152,-0.0716846342306991, 0.),.UNSPECIFIED.); #34955=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179459,#179460,#179461,#179462, #179463,#179464),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0716846342279997,0., 0.00034551333022201),.UNSPECIFIED.); #34956=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179466,#179467,#179468,#179469, #179470,#179471),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00034551333022201,0., 0.0716846342279997),.UNSPECIFIED.); #34957=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179509,#179510,#179511,#179512, #179513),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.128976249516607,-0.0737007140094902, -1.34866257532265E-15),.UNSPECIFIED.); #34958=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179514,#179515,#179516,#179517, #179518),.UNSPECIFIED.,.F.,.F.,(4,1,4),(1.34866257532265E-15,0.0737007140094902, 0.128976249516607),.UNSPECIFIED.); #34959=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179544,#179545,#179546,#179547, #179548),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.128976248293762,-0.0552755349830407, 0.),.UNSPECIFIED.); #34960=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179549,#179550,#179551,#179552, #179553),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0552755349830407,0.128976248293762), .UNSPECIFIED.); #34961=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179580,#179581,#179582,#179583, #179584,#179585),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.124395325015467,0.189480204776333, 0.190287178534257),.UNSPECIFIED.); #34962=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179765,#179766,#179767,#179768, #179769,#179770,#179771,#179772,#179773,#179774,#179775,#179776,#179777, #179778,#179779,#179780,#179781,#179782,#179783,#179784,#179785,#179786, #179787,#179788,#179789,#179790,#179791,#179792,#179793,#179794,#179795, #179796,#179797,#179798,#179799),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 3,2,2,2,2,2,2,2,4),(-0.256524381772009,-0.24510042344958,-0.203567113869272, -0.162033804288964,-0.115515154771735,-0.0689965052545068,-0.0344982526272535, -0.0172491263136267,0.,0.0172491263136267,0.0344982526272534,0.0689965052545068, 0.115515154771735,0.162033804288964,0.203567113869272,0.24510042344958, 0.256524381772008),.UNSPECIFIED.); #34963=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179815,#179816,#179817,#179818, #179819,#179820,#179821,#179822,#179823,#179824,#179825,#179826,#179827, #179828,#179829,#179830,#179831,#179832,#179833,#179834,#179835,#179836, #179837,#179838,#179839,#179840,#179841,#179842,#179843,#179844,#179845, #179846,#179847,#179848,#179849),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 3,2,2,2,2,2,2,2,4),(-0.256524381772009,-0.24510042344958,-0.203567113869272, -0.162033804288964,-0.115515154771735,-0.0689965052545068,-0.0344982526272534, -0.0172491263136266,0.,0.0189539331703042,0.0379078663406084,0.0758157326812169, 0.119029661562485,0.162243590443752,0.20377690002406,0.245310209604368, 0.256734167926797),.UNSPECIFIED.); #34964=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179869,#179870,#179871,#179872, #179873,#179874,#179875,#179876,#179877,#179878,#179879,#179880,#179881, #179882,#179883,#179884,#179885,#179886,#179887,#179888,#179889,#179890, #179891,#179892,#179893,#179894,#179895,#179896,#179897,#179898,#179899, #179900,#179901,#179902),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(0.0557852004701431,0.0672091587925721,0.10874246837288,0.150275777953188, 0.196794427470416,0.243313076987645,0.277811329614898,0.295060455928525, 0.312309582242152,0.329558708555779,0.346807834869405,0.381306087496659, 0.427824737013887,0.474343386531116,0.515876696111424,0.557410005691732, 0.568833964014161),.UNSPECIFIED.); #34965=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179918,#179919,#179920,#179921, #179922,#179923,#179924,#179925,#179926,#179927,#179928,#179929,#179930, #179931,#179932,#179933,#179934,#179935,#179936,#179937,#179938,#179939, #179940,#179941,#179942,#179943,#179944,#179945,#179946,#179947,#179948, #179949,#179950,#179951),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(0.0557852004701431,0.0672091587925719,0.10874246837288,0.150275777953188, 0.196794427470416,0.243313076987645,0.277811329614898,0.295060455928525, 0.312309582242152,0.329558708555778,0.346807834869405,0.381306087496659, 0.427824737013887,0.474343386531116,0.515876696111424,0.557410005691732, 0.568833964014161),.UNSPECIFIED.); #34966=B_SPLINE_CURVE_WITH_KNOTS('',3,(#179963,#179964,#179965,#179966, #179967,#179968,#179969,#179970,#179971,#179972,#179973,#179974,#179975, #179976,#179977,#179978,#179979,#179980,#179981,#179982,#179983,#179984, #179985,#179986,#179987,#179988,#179989,#179990,#179991,#179992,#179993, #179994,#179995,#179996,#179997),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 3,2,2,2,2,2,2,2,4),(-0.256524381772009,-0.24510042344958,-0.203567113869272, -0.162033804288964,-0.115515154771735,-0.0689965052545068,-0.0344982526272534, -0.0172491263136266,0.,0.0172491263136266,0.0344982526272533,0.0689965052545066, 0.115515154771735,0.162033804288964,0.203567113869272,0.245100423449579, 0.256524381772008),.UNSPECIFIED.); #34967=B_SPLINE_CURVE_WITH_KNOTS('',3,(#180010,#180011,#180012,#180013, #180014,#180015),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00080697375792461,0., 0.0650848797608649),.UNSPECIFIED.); #34968=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180108,#180109,#180110), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34969=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180112,#180113,#180114), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34970=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180123,#180124,#180125), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34971=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180127,#180128,#180129), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34972=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180174,#180175,#180176), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34973=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180178,#180179,#180180), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34974=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180189,#180190,#180191), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34975=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180193,#180194,#180195), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34976=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180241,#180242,#180243), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34977=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180245,#180246,#180247), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34978=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180256,#180257,#180258), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34979=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180260,#180261,#180262), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34980=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180307,#180308,#180309), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34981=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180311,#180312,#180313), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34982=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180322,#180323,#180324), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34983=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180326,#180327,#180328), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34984=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180374,#180375,#180376), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34985=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180378,#180379,#180380), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34986=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180389,#180390,#180391), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34987=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180393,#180394,#180395), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34988=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180440,#180441,#180442), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34989=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180444,#180445,#180446), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34990=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180455,#180456,#180457), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34991=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180459,#180460,#180461), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34992=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180507,#180508,#180509), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34993=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180511,#180512,#180513), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34994=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180522,#180523,#180524), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34995=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180526,#180527,#180528), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34996=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180573,#180574,#180575), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34997=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180577,#180578,#180579), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #34998=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180588,#180589,#180590), .UNSPECIFIED.,.F.,.F.,(3,3),(-1.,0.),.UNSPECIFIED.); #34999=B_SPLINE_CURVE_WITH_KNOTS('',2,(#180592,#180593,#180594), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35000=B_SPLINE_CURVE_WITH_KNOTS('',3,(#180804,#180805,#180806,#180807, #180808,#180809,#180810,#180811,#180812,#180813),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.0453693767270532,-0.0339301139173471,-0.0225543486110073, -0.0112492370556978,0.),.UNSPECIFIED.); #35001=B_SPLINE_CURVE_WITH_KNOTS('',3,(#180819,#180820,#180821,#180822, #180823,#180824,#180825,#180826,#180827,#180828),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.0112492370556978,0.0225543486110073,0.0339301139173471, 0.0453693767270532),.UNSPECIFIED.); #35002=B_SPLINE_CURVE_WITH_KNOTS('',3,(#180890,#180891,#180892,#180893, #180894,#180895,#180896,#180897,#180898,#180899),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.0453693767270532,-0.0339301139173471,-0.0225543486110073, -0.0112492370556978,0.),.UNSPECIFIED.); #35003=B_SPLINE_CURVE_WITH_KNOTS('',3,(#180901,#180902,#180903,#180904, #180905,#180906,#180907,#180908,#180909,#180910),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.0112492370556978,0.0225543486110073,0.0339301139173471, 0.0453693767270532),.UNSPECIFIED.); #35004=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181488,#181489,#181490,#181491, #181492),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35005=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181496,#181497,#181498,#181499, #181500),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35006=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181511,#181512,#181513,#181514), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35007=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181516,#181517,#181518,#181519), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35008=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181564,#181565,#181566), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35009=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181569,#181570,#181571), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35010=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181583,#181584,#181585,#181586, #181587),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35011=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181590,#181591,#181592,#181593, #181594),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35012=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181620,#181621,#181622), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35013=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181625,#181626,#181627), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35014=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181635,#181636,#181637), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35015=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181640,#181641,#181642), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35016=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181652,#181653,#181654,#181655), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35017=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181658,#181659,#181660,#181661), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35018=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181673,#181674,#181675,#181676, #181677),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35019=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181680,#181681,#181682,#181683, #181684),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35020=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181703,#181704,#181705), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35021=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181709,#181710,#181711), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35022=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181722,#181723,#181724,#181725), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35023=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181727,#181728,#181729,#181730), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35024=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181743,#181744,#181745,#181746, #181747),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35025=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181749,#181750,#181751,#181752, #181753),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35026=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181778,#181779,#181780), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35027=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181784,#181785,#181786), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35028=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181799,#181800,#181801,#181802, #181803),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35029=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181805,#181806,#181807,#181808, #181809),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35030=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181822,#181823,#181824,#181825, #181826),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35031=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181828,#181829,#181830,#181831, #181832),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35032=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181871,#181872,#181873,#181874, #181875,#181876),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,3),(0.,1.,2.,3.,4.), .UNSPECIFIED.); #35033=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181879,#181880,#181881,#181882, #181883,#181884),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,3),(0.,1.,2.,3.,4.), .UNSPECIFIED.); #35034=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181894,#181895,#181896,#181897), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35035=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181900,#181901,#181902,#181903), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35036=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181913,#181914,#181915,#181916), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35037=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181919,#181920,#181921,#181922), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35038=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181934,#181935,#181936,#181937, #181938),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35039=B_SPLINE_CURVE_WITH_KNOTS('',2,(#181941,#181942,#181943,#181944, #181945),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35040=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182118,#182119,#182120), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35041=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182122,#182123,#182124), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35042=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182133,#182134,#182135), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35043=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182137,#182138,#182139), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35044=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182211,#182212,#182213,#182214, #182215),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35045=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182219,#182220,#182221,#182222, #182223),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35046=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182234,#182235,#182236,#182237), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35047=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182239,#182240,#182241,#182242), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35048=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182287,#182288,#182289), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35049=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182292,#182293,#182294), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35050=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182306,#182307,#182308,#182309, #182310),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35051=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182313,#182314,#182315,#182316, #182317),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35052=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182343,#182344,#182345), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35053=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182348,#182349,#182350), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35054=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182358,#182359,#182360), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35055=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182363,#182364,#182365), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35056=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182375,#182376,#182377,#182378), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35057=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182381,#182382,#182383,#182384), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35058=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182396,#182397,#182398,#182399, #182400),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35059=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182403,#182404,#182405,#182406, #182407),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35060=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182428,#182429,#182430,#182431), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35061=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182435,#182436,#182437,#182438), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35062=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182449,#182450,#182451,#182452), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35063=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182454,#182455,#182456,#182457), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35064=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182468,#182469,#182470,#182471), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35065=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182473,#182474,#182475,#182476), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35066=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182485,#182486,#182487), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35067=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182489,#182490,#182491), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35068=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182532,#182533,#182534,#182535, #182536,#182537,#182538),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,1,3),(0.,1.,2., 3.,4.,5.),.UNSPECIFIED.); #35069=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182541,#182542,#182543,#182544, #182545,#182546,#182547),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,1,3),(0.,1.,2., 3.,4.,5.),.UNSPECIFIED.); #35070=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182557,#182558,#182559,#182560), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35071=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182563,#182564,#182565,#182566), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35072=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182574,#182575,#182576), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35073=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182579,#182580,#182581), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35074=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182589,#182590,#182591), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35075=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182594,#182595,#182596), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35076=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182617,#182618,#182619,#182620), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35077=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182624,#182625,#182626,#182627), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35078=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182638,#182639,#182640,#182641), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35079=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182643,#182644,#182645,#182646), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35080=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182655,#182656,#182657), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35081=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182659,#182660,#182661), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35082=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182708,#182709,#182710,#182711), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35083=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182714,#182715,#182716,#182717), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35084=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182729,#182730,#182731,#182732, #182733),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35085=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182736,#182737,#182738,#182739, #182740),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35086=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182748,#182749,#182750), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35087=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182753,#182754,#182755), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35088=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182782,#182783,#182784), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35089=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182786,#182787,#182788), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35090=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182797,#182798,#182799), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35091=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182801,#182802,#182803), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35092=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182983,#182984,#182985,#182986), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35093=B_SPLINE_CURVE_WITH_KNOTS('',2,(#182990,#182991,#182992,#182993), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35094=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183004,#183005,#183006,#183007), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35095=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183009,#183010,#183011,#183012), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35096=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183021,#183022,#183023), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35097=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183025,#183026,#183027), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35098=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183074,#183075,#183076,#183077), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35099=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183080,#183081,#183082,#183083), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35100=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183095,#183096,#183097,#183098, #183099),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35101=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183102,#183103,#183104,#183105, #183106),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35102=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183114,#183115,#183116), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35103=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183119,#183120,#183121), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35104=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183215,#183216,#183217,#183218), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35105=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183222,#183223,#183224,#183225), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35106=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183236,#183237,#183238,#183239), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35107=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183241,#183242,#183243,#183244), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35108=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183255,#183256,#183257,#183258), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35109=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183260,#183261,#183262,#183263), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35110=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183272,#183273,#183274), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35111=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183276,#183277,#183278), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35112=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183319,#183320,#183321,#183322, #183323,#183324,#183325),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,1,3),(0.,1.,2., 3.,4.,5.),.UNSPECIFIED.); #35113=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183328,#183329,#183330,#183331, #183332,#183333,#183334),.UNSPECIFIED.,.F.,.F.,(3,1,1,1,1,3),(0.,1.,2., 3.,4.,5.),.UNSPECIFIED.); #35114=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183344,#183345,#183346,#183347), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35115=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183350,#183351,#183352,#183353), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35116=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183361,#183362,#183363), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35117=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183366,#183367,#183368), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35118=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183376,#183377,#183378), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35119=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183381,#183382,#183383), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35120=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183443,#183444,#183445,#183446), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35121=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183449,#183450,#183451,#183452), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35122=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183464,#183465,#183466,#183467, #183468),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35123=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183471,#183472,#183473,#183474, #183475),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35124=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183483,#183484,#183485), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35125=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183488,#183489,#183490), .UNSPECIFIED.,.F.,.F.,(3,3),(0.,1.),.UNSPECIFIED.); #35126=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183502,#183503,#183504,#183505, #183506),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35127=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183509,#183510,#183511,#183512, #183513),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35128=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183525,#183526,#183527,#183528, #183529),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35129=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183532,#183533,#183534,#183535, #183536),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35130=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183554,#183555,#183556,#183557, #183558),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35131=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183561,#183562,#183563,#183564, #183565),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35132=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183577,#183578,#183579,#183580, #183581),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35133=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183584,#183585,#183586,#183587, #183588),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35134=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183600,#183601,#183602,#183603, #183604),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35135=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183607,#183608,#183609,#183610, #183611),.UNSPECIFIED.,.F.,.F.,(3,1,1,3),(0.,1.,2.,3.),.UNSPECIFIED.); #35136=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183621,#183622,#183623,#183624), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35137=B_SPLINE_CURVE_WITH_KNOTS('',2,(#183627,#183628,#183629,#183630), .UNSPECIFIED.,.F.,.F.,(3,1,3),(0.,1.,2.),.UNSPECIFIED.); #35138=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183674,#183675,#183676,#183677), .UNSPECIFIED.,.F.,.F.,(4,4),(1.50073311041247,1.51966683546589), .UNSPECIFIED.); #35139=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183680,#183681,#183682,#183683), .UNSPECIFIED.,.F.,.F.,(4,4),(2.33272847054498,2.35171898495266), .UNSPECIFIED.); #35140=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183699,#183700,#183701,#183702), .UNSPECIFIED.,.F.,.F.,(4,4),(2.29326492662932,2.312255441037), .UNSPECIFIED.); #35141=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183705,#183706,#183707,#183708), .UNSPECIFIED.,.F.,.F.,(4,4),(1.47292424513881,1.49185797019222), .UNSPECIFIED.); #35142=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183827,#183828,#183829,#183830, #183831,#183832,#183833,#183834,#183835,#183836),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.329457384079767,0.388470493838478,0.44748360359719,0.536003268235257), .UNSPECIFIED.); #35143=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183842,#183843,#183844,#183845, #183846,#183847),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.536003268235257,-0.44748360359719, -0.388470493838479,-0.329457384079767),.UNSPECIFIED.); #35144=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183878,#183879,#183880,#183881, #183882,#183883,#183884),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-8.67279326135144E-17, 0.0965889392442118,0.169030643677371),.UNSPECIFIED.); #35145=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183887,#183888,#183889,#183890, #183891),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.169030643677371,-0.0965889392442119, 7.11376913341398E-17),.UNSPECIFIED.); #35146=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183926,#183927,#183928,#183929, #183930,#183931,#183932,#183933,#183934,#183935),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.352026339662574,0.411039449421285,0.470052559179996,0.558572223818063), .UNSPECIFIED.); #35147=B_SPLINE_CURVE_WITH_KNOTS('',3,(#183941,#183942,#183943,#183944, #183945,#183946),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.558572223818063,-0.470052559179996, -0.411039449421285,-0.352026339662574),.UNSPECIFIED.); #35148=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184009,#184010,#184011,#184012, #184013),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.888029607897831,-0.791440668653619, -0.71899896422046),.UNSPECIFIED.); #35149=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184014,#184015,#184016,#184017, #184018,#184019,#184020),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.71899896422046, 0.791440668653619,0.888029607897831),.UNSPECIFIED.); #35150=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184151,#184152,#184153,#184154, #184155,#184156,#184157,#184158,#184159,#184160,#184161,#184162,#184163, #184164,#184165,#184166,#184167,#184168,#184169,#184170,#184171,#184172, #184173,#184174,#184175,#184176,#184177,#184178,#184179,#184180,#184181, #184182,#184183,#184184,#184185,#184186,#184187,#184188,#184189,#184190, #184191,#184192,#184193,#184194,#184195,#184196,#184197,#184198,#184199, #184200,#184201,#184202,#184203,#184204,#184205,#184206,#184207,#184208, #184209,#184210,#184211,#184212,#184213,#184214,#184215,#184216), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,4),(-7.76489646135637,-7.46337911949211,-7.16186177762785, -6.80580856252471,-6.44975534742157,-6.09844027273144,-5.81247507517783, -5.45796223518488,-5.11373899322558,-4.7694611695162,-4.4374521749043,-4.09243133895336, -3.91964885977365,-3.87526329234133,-3.86143902281373,-3.8548106932378, -3.85025473152162,-3.8457448553935,-3.83970583852813,-3.82946509750539, -3.75806687343546,-3.67599000519535,-3.57779422275436,-3.30452993380335, -2.92812099287399,-2.582341838347,-2.18519631733571,-1.88759897899488,-1.51812255247628, -1.13859191435721,-0.759061276238138,-0.379530638119069,0.), .UNSPECIFIED.); #35151=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184223,#184224,#184225,#184226, #184227,#184228,#184229,#184230,#184231,#184232,#184233,#184234,#184235, #184236,#184237,#184238,#184239,#184240,#184241,#184242,#184243,#184244, #184245,#184246,#184247,#184248,#184249,#184250,#184251,#184252,#184253, #184254,#184255,#184256,#184257,#184258,#184259,#184260,#184261,#184262, #184263,#184264,#184265,#184266,#184267,#184268,#184269,#184270,#184271, #184272,#184273,#184274,#184275,#184276,#184277,#184278,#184279,#184280), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-7.56949426368279,-7.33058829713796,-7.09168233059312,-6.76122732035391, -6.43077231011471,-6.10075020079513,-5.80809496101717,-5.5147833038056, -5.19554557865808,-4.87550426792535,-4.56269446481947,-4.24503027879963, -4.08584105517566,-3.97081797633574,-3.9352630551268,-3.92211324858802, -3.91504903627982,-3.90957335782406,-3.9036563339392,-3.89484809277652, -3.87628617744277,-3.84026199552294,-3.57779422275455,-3.30452993380336, -2.92812099287399,-2.582341838347,-2.18519631733571,-1.88759897899488,-1.5308761506133), .UNSPECIFIED.); #35152=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184283,#184284,#184285,#184286, #184287,#184288),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(20.4203522483337,20.943951023932, 21.4675497995303,21.9911485751286),.UNSPECIFIED.); #35153=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184721,#184722,#184723,#184724, #184725,#184726,#184727,#184728,#184729,#184730,#184731,#184732,#184733, #184734,#184735,#184736,#184737,#184738,#184739,#184740,#184741,#184742, #184743,#184744,#184745,#184746,#184747,#184748,#184749,#184750,#184751, #184752,#184753,#184754,#184755,#184756,#184757,#184758,#184759,#184760), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,2,1,1,4),(0.,0.523598775598299,1.0471975511966,1.5707963267949, 2.0943951023932,2.61799387799149,3.14159265358979,3.79609112308767,4.45058959258554, 5.10508806208341,5.75958653158129,6.41408500107916,7.06858347057704,7.72308194007491, 8.37758040957278,9.03207887907066,9.68657734856853,10.3410758180664,10.6683250528153, 10.9955742875643,11.4319066005629,11.8682389135614,12.30457122656,12.9590696960579, 13.6135681655558,14.2680666350536,14.9225651045515,15.5770635740494,16.2315620435473, 16.8860605130451,17.540558982543,18.1950574520409,18.8495559215388,19.3731546971371, 19.8967534727354,20.4203522483337),.UNSPECIFIED.); #35154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184786,#184787,#184788,#184789), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0341852065192621,0.),.UNSPECIFIED.); #35155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184792,#184793,#184794,#184795), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0341852065192621),.UNSPECIFIED.); #35156=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184825,#184826,#184827,#184828), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0341852065192621,-1.91216493369636E-17), .UNSPECIFIED.); #35157=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184829,#184830,#184831,#184832), .UNSPECIFIED.,.F.,.F.,(4,4),(1.91216493369636E-17,0.0341852065192621), .UNSPECIFIED.); #35158=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184862,#184863,#184864,#184865), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.034185206519262,0.),.UNSPECIFIED.); #35159=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184866,#184867,#184868,#184869), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.034185206519262),.UNSPECIFIED.); #35160=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184899,#184900,#184901,#184902), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0341852065192623,0.),.UNSPECIFIED.); #35161=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184903,#184904,#184905,#184906), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0341852065192623),.UNSPECIFIED.); #35162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184912,#184913,#184914,#184915), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.79357228387751,-0.0505905078998887), .UNSPECIFIED.); #35163=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184918,#184919,#184920,#184921), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505905078998889,0.79357228387751), .UNSPECIFIED.); #35164=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184939,#184940,#184941,#184942), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505905078998889,0.79357228387751), .UNSPECIFIED.); #35165=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184943,#184944,#184945,#184946), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0344070829014155,0.),.UNSPECIFIED.); #35166=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184949,#184950,#184951,#184952), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.793562283450219,-0.0505805074725982), .UNSPECIFIED.); #35167=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184971,#184972,#184973,#184974), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505805074725982,0.793562283450219), .UNSPECIFIED.); #35168=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184975,#184976,#184977,#184978), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0344070829014156,0.),.UNSPECIFIED.); #35169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185012,#185013,#185014,#185015), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0344070829014155,0.),.UNSPECIFIED.); #35170=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185018,#185019,#185020,#185021), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0344070829014155),.UNSPECIFIED.); #35171=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185049,#185050,#185051,#185052), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0344070829014156),.UNSPECIFIED.); #35172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185079,#185080,#185081,#185082), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0344070829014155),.UNSPECIFIED.); #35173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185110,#185111,#185112,#185113), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0344070829014156,0.),.UNSPECIFIED.); #35174=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185114,#185115,#185116,#185117), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0344070829014156),.UNSPECIFIED.); #35175=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185137,#185138,#185139,#185140), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.79357228387751,-0.0505905078998889), .UNSPECIFIED.); #35176=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185142,#185143,#185144,#185145), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505905078998887,0.79357228387751), .UNSPECIFIED.); #35177=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185162,#185163,#185164,#185165), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.79357228387751,-0.0505905078998886), .UNSPECIFIED.); #35178=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185169,#185170,#185171,#185172), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0106580857368315),.UNSPECIFIED.); #35179=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185174,#185175,#185176,#185177, #185178),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0245796903731028,0.0531379589497947), .UNSPECIFIED.); #35180=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185182,#185183,#185184,#185185, #185186),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0042147168312102,0.0245796903850008, 0.0573526108983352),.UNSPECIFIED.); #35181=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185188,#185189,#185190,#185191), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0106580857664147),.UNSPECIFIED.); #35182=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185195,#185196,#185197,#185198), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0106580857680978),.UNSPECIFIED.); #35183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185200,#185201,#185202,#185203, #185204),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0245796903731002,0.0531379589497792), .UNSPECIFIED.); #35184=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185208,#185209,#185210,#185211, #185212),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0042147168312185,0.0245796903849986, 0.0573526108983301),.UNSPECIFIED.); #35185=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185214,#185215,#185216,#185217), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0106580857665534),.UNSPECIFIED.); #35186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185248,#185249,#185250,#185251, #185252,#185253),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00847310706347302, 0.00887515789645156),.UNSPECIFIED.); #35187=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185254,#185255,#185256,#185257, #185258,#185259),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00887515789645156,-0.00847310706347302, 0.),.UNSPECIFIED.); #35188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185295,#185296,#185297,#185298, #185299,#185300,#185301,#185302),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.000504726913928285, -0.00036051922423449,-0.000252363456964143,-0.000144207689693796,-7.21038448468979E-5, 0.),.UNSPECIFIED.); #35189=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185303,#185304,#185305,#185306, #185307,#185308,#185309,#185310),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 7.21038448468979E-5,0.000144207689693796,0.000252363456964143,0.00036051922423449, 0.000504726913928285),.UNSPECIFIED.); #35190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185404,#185405,#185406,#185407, #185408,#185409,#185410,#185411,#185412,#185413,#185414,#185415,#185416, #185417,#185418,#185419,#185420,#185421),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(-0.0745952135794561,-0.0639387544966767,-0.0426258363311178, -0.0319693772483383,-0.0266411477069486,-0.0213129181655589,-0.0159846886241692, -0.0106564590827794,-0.00799234431208459,-0.00532822954138972,-0.00399617215604229, -0.00266411477069486,-0.00133205738534743,-0.000666028692673716,-0.000333014346336858, 0.),.UNSPECIFIED.); #35191=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185422,#185423,#185424,#185425, #185426,#185427,#185428,#185429,#185430,#185431,#185432,#185433,#185434, #185435,#185436,#185437,#185438,#185439),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.000333014346336858,0.000666028692673716, 0.00133205738534743,0.00266411477069486,0.00399617215604229,0.00532822954138972, 0.00799234431208459,0.0106564590827794,0.0159846886241692,0.0213129181655589, 0.0266411477069486,0.0319693772483383,0.0426258363311178,0.0639387544966767, 0.0745952135794561),.UNSPECIFIED.); #35192=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185463,#185464,#185465,#185466), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0260304081078809,0.),.UNSPECIFIED.); #35193=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185467,#185468,#185469,#185470), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0260304081078809),.UNSPECIFIED.); #35194=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185498,#185499,#185500,#185501), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0260304081085115,0.),.UNSPECIFIED.); #35195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185504,#185505,#185506,#185507), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0260304081085115),.UNSPECIFIED.); #35196=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185599,#185600,#185601,#185602, #185603,#185604,#185605,#185606,#185607,#185608,#185609,#185610,#185611, #185612,#185613,#185614,#185615,#185616),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(-0.0745942057605656,-0.0639378906519134,-0.0532815755432611, -0.0319689453259567,-0.0266407877716306,-0.0213126302173045,-0.0159844726629783, -0.0106563151086522,-0.00799223633148917,-0.00532815755432611,-0.00399611816574459, -0.00266407877716306,-0.00199805908287229,-0.00133203938858153,-0.000666019694290764, 0.),.UNSPECIFIED.); #35197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185619,#185620,#185621,#185622, #185623,#185624,#185625,#185626,#185627,#185628,#185629,#185630,#185631, #185632,#185633,#185634,#185635,#185636),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.000666019694290764,0.00133203938858153,0.00199805908287229, 0.00266407877716306,0.00399611816574459,0.00532815755432611,0.00799223633148917, 0.0106563151086522,0.0159844726629783,0.0213126302173045,0.0266407877716306, 0.0319689453259567,0.0532815755432611,0.0639378906519134,0.0745942057605656), .UNSPECIFIED.); #35198=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185670,#185671,#185672,#185673, #185674,#185675,#185676,#185677),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.00050443102229457, -0.00036030787306755,-0.000252215511147285,-0.000108092361920265,-7.206157461351E-5, 0.),.UNSPECIFIED.); #35199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185680,#185681,#185682,#185683, #185684,#185685,#185686,#185687),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 7.206157461351E-5,0.000108092361920265,0.000252215511147285,0.00036030787306755, 0.00050443102229457),.UNSPECIFIED.); #35200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185713,#185714,#185715,#185716, #185717,#185718),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00847310706348996,0., 0.000402050832962517),.UNSPECIFIED.); #35201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185719,#185720,#185721,#185722, #185723,#185724),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000402050832962517,0., 0.00847310706348996),.UNSPECIFIED.); #35202=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185747,#185748,#185749,#185750), .UNSPECIFIED.,.F.,.F.,(4,4),(2.03496010696447E-17,0.0190798334622969), .UNSPECIFIED.); #35203=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185777,#185778,#185779,#185780, #185781),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.0269720083470142,0.0354741409684497, 0.053001279202213),.UNSPECIFIED.); #35204=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185784,#185785,#185786,#185787, #185788),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.053001279202213,-0.0354741409684497, 0.),.UNSPECIFIED.); #35205=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185791,#185792,#185793,#185794, #185795),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0177632788006069,0.0260292708552785), .UNSPECIFIED.); #35206=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185799,#185800,#185801,#185802, #185803),.UNSPECIFIED.,.F.,.F.,(4,1,4),(9.09142851770691E-13,0.0254636994729524, 0.0594152987690101),.UNSPECIFIED.); #35207=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185807,#185808,#185809,#185810, #185811),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0254636542015716,0.0594151931370005), .UNSPECIFIED.); #35208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185815,#185816,#185817,#185818, #185819),.UNSPECIFIED.,.F.,.F.,(4,1,4),(9.09242871883999E-13,0.0254636994729524, 0.0594152987690098),.UNSPECIFIED.); #35209=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185823,#185824,#185825,#185826, #185827),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.025463654201591,0.0594151931370457), .UNSPECIFIED.); #35210=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185857,#185858,#185859,#185860, #185861),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.053001279202214,-0.0177632788006069, 0.),.UNSPECIFIED.); #35211=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185881,#185882,#185883,#185884), .UNSPECIFIED.,.F.,.F.,(4,4),(-6.59922638053089E-16,0.0190798334622564), .UNSPECIFIED.); #35212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185919,#185920,#185921,#185922, #185923,#185924),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0746290327339193,0.0749166461116395), .UNSPECIFIED.); #35213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185926,#185927,#185928,#185929, #185930,#185931),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0749166461116395,-0.0746290327339193, 0.),.UNSPECIFIED.); #35214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185956,#185957,#185958,#185959), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0149557931655802,0.),.UNSPECIFIED.); #35215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185960,#185961,#185962,#185963), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0149557931655802),.UNSPECIFIED.); #35216=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185986,#185987,#185988,#185989), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00631902734716256,0.),.UNSPECIFIED.); #35217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#185990,#185991,#185992,#185993), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00631902734716256),.UNSPECIFIED.); #35218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186022,#186023,#186024,#186025), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0106580857665534,0.),.UNSPECIFIED.); #35219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186049,#186050,#186051,#186052), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00631902734873818,0.),.UNSPECIFIED.); #35220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186053,#186054,#186055,#186056), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00631902734873818),.UNSPECIFIED.); #35221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186085,#186086,#186087,#186088, #186089,#186090),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0746290327338815,0., 0.00028761337773116),.UNSPECIFIED.); #35222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186091,#186092,#186093,#186094, #186095,#186096),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00028761337773116,0., 0.0746290327338815),.UNSPECIFIED.); #35223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186117,#186118,#186119,#186120), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.014955743252677,-4.89861018083662E-17), .UNSPECIFIED.); #35224=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186121,#186122,#186123,#186124), .UNSPECIFIED.,.F.,.F.,(4,4),(4.89861018083662E-17,0.014955743252677), .UNSPECIFIED.); #35225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186145,#186146,#186147,#186148), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0106580857368315,0.),.UNSPECIFIED.); #35226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186172,#186173,#186174,#186175), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.37006178097391,-0.345501593267051), .UNSPECIFIED.); #35227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186176,#186177,#186178,#186179), .UNSPECIFIED.,.F.,.F.,(4,4),(0.320100583862039,0.345501593267051), .UNSPECIFIED.); #35228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186206,#186207,#186208,#186209, #186210),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.000397497551001452,0.0505294727656823, 0.117902103119925),.UNSPECIFIED.); #35229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186213,#186214,#186215,#186216, #186217),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.117902103119925,-0.0505294727656823, 0.),.UNSPECIFIED.); #35230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186220,#186221,#186222,#186223, #186224),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0673726693732212,0.117504672811994), .UNSPECIFIED.); #35231=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186254,#186255,#186256,#186257, #186258),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.117902171403137,-0.0673726693732212, 0.),.UNSPECIFIED.); #35232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186281,#186282,#186283,#186284), .UNSPECIFIED.,.F.,.F.,(4,4),(0.320100583862039,0.345501593267051), .UNSPECIFIED.); #35233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186285,#186286,#186287,#186288), .UNSPECIFIED.,.F.,.F.,(4,4),(0.345501593267051,0.370061780973919), .UNSPECIFIED.); #35234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186325,#186326,#186327,#186328, #186329,#186330),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0746290327338889,0.0749166461116136), .UNSPECIFIED.); #35235=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186332,#186333,#186334,#186335, #186336,#186337),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0749166461116136,-0.0746290327338889, 0.),.UNSPECIFIED.); #35236=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186362,#186363,#186364,#186365), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0149557931656168,0.),.UNSPECIFIED.); #35237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186366,#186367,#186368,#186369), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0149557931656168),.UNSPECIFIED.); #35238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186392,#186393,#186394,#186395), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00631902734715331,0.),.UNSPECIFIED.); #35239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186396,#186397,#186398,#186399), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00631902734715331),.UNSPECIFIED.); #35240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186428,#186429,#186430,#186431), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0106580857664147,0.),.UNSPECIFIED.); #35241=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186455,#186456,#186457,#186458), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00631902734884126,0.),.UNSPECIFIED.); #35242=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186459,#186460,#186461,#186462), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00631902734884126),.UNSPECIFIED.); #35243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186491,#186492,#186493,#186494, #186495,#186496),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0746290327339076,0., 0.000287613377733261),.UNSPECIFIED.); #35244=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186497,#186498,#186499,#186500, #186501,#186502),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.000287613377733261,0., 0.0746290327339076),.UNSPECIFIED.); #35245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186523,#186524,#186525,#186526), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0149557432525458,0.),.UNSPECIFIED.); #35246=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186527,#186528,#186529,#186530), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0149557432525458),.UNSPECIFIED.); #35247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186551,#186552,#186553,#186554), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0106580857680978,0.),.UNSPECIFIED.); #35248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186578,#186579,#186580,#186581), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.370061781086996,-0.345501593380136), .UNSPECIFIED.); #35249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186582,#186583,#186584,#186585), .UNSPECIFIED.,.F.,.F.,(4,4),(0.320100583975124,0.345501593380136), .UNSPECIFIED.); #35250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186612,#186613,#186614,#186615, #186616),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.000397498568092391,0.0505295020299184, 0.117902171403143),.UNSPECIFIED.); #35251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186619,#186620,#186621,#186622, #186623),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.117902171403143,-0.0505295020299184, 0.),.UNSPECIFIED.); #35252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186626,#186627,#186628,#186629, #186630,#186631),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.0505294727656823, 0.0842157879428038,0.117504604060595),.UNSPECIFIED.); #35253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186666,#186667,#186668,#186669, #186670,#186671),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-0.117902103119925,-0.0842157879428038, -0.0505294727656823,0.),.UNSPECIFIED.); #35254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186694,#186695,#186696,#186697), .UNSPECIFIED.,.F.,.F.,(4,4),(0.320100583975124,0.345501593380136), .UNSPECIFIED.); #35255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186698,#186699,#186700,#186701), .UNSPECIFIED.,.F.,.F.,(4,4),(0.345501593380136,0.370061781086995), .UNSPECIFIED.); #35256=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186767,#186768,#186769,#186770), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01341975564086,-0.0510251852912262), .UNSPECIFIED.); #35257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186773,#186774,#186775,#186776), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0510251852912262,1.01341975564086), .UNSPECIFIED.); #35258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186779,#186780,#186781,#186782), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0511138289256528,1.01350839927528), .UNSPECIFIED.); #35259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186805,#186806,#186807,#186808), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01350839927528,-0.0511138289256528), .UNSPECIFIED.); #35260=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186812,#186813,#186814,#186815), .UNSPECIFIED.,.F.,.F.,(4,4),(0.893074514650161,1.01352504266273), .UNSPECIFIED.); #35261=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186816,#186817,#186818,#186819), .UNSPECIFIED.,.F.,.F.,(4,4),(0.867894015474794,0.893074514650161), .UNSPECIFIED.); #35262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186821,#186822,#186823,#186824), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0511136576714125,0.867876782747575), .UNSPECIFIED.); #35263=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186851,#186852,#186853,#186854), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.338366436452961,-0.338311021265489), .UNSPECIFIED.); #35264=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186857,#186858,#186859,#186860), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,5.54159154638434E-5),.UNSPECIFIED.); #35265=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186902,#186903,#186904,#186905, #186906,#186907,#186908,#186909),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(-0.0110144487310797, -0.00660866923864779,-0.00440577949243186,0.,0.000140047165665835), .UNSPECIFIED.); #35266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186910,#186911,#186912,#186913, #186914,#186915,#186916,#186917),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.000140047165665835, 0.,0.00440577949243186,0.00660866923864779,0.0110144487310797), .UNSPECIFIED.); #35267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186942,#186943,#186944,#186945), .UNSPECIFIED.,.F.,.F.,(4,4),(-5.54159154641202E-5,0.),.UNSPECIFIED.); #35268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186948,#186949,#186950,#186951), .UNSPECIFIED.,.F.,.F.,(4,4),(0.338163941610718,0.33821935679819), .UNSPECIFIED.); #35269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#186993,#186994,#186995,#186996, #186997,#186998,#186999,#187000),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(0., 0.00440578382769382,0.00660867574154073,0.0110144595692346,0.0111544964497297), .UNSPECIFIED.); #35270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187001,#187002,#187003,#187004, #187005,#187006,#187007,#187008),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.0111544964497297, -0.0110144595692346,-0.00660867574154073,-0.00440578382769382,0.), .UNSPECIFIED.); #35271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187033,#187034,#187035,#187036), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.319168121906375,-0.31911270571798), .UNSPECIFIED.); #35272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187039,#187040,#187041,#187042), .UNSPECIFIED.,.F.,.F.,(4,4),(1.57301687898925E-16,5.54169049480448E-5), .UNSPECIFIED.); #35273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187084,#187085,#187086,#187087, #187088,#187089,#187090,#187091),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(-0.0128312335163501, -0.00769874010981008,-0.00513249340654005,0.,0.000178666877747356), .UNSPECIFIED.); #35274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187092,#187093,#187094,#187095, #187096,#187097,#187098,#187099),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.000178666877747356, 0.,0.00513249340654005,0.00769874010981008,0.0128312335163501), .UNSPECIFIED.); #35275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187124,#187125,#187126,#187127), .UNSPECIFIED.,.F.,.F.,(4,4),(-5.54169049477129E-5,-3.37457902304384E-18), .UNSPECIFIED.); #35276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187130,#187131,#187132,#187133), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0442855231246632,-0.0442301069362689), .UNSPECIFIED.); #35277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187180,#187181,#187182,#187183, #187184,#187185,#187186,#187187,#187188),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 2,4),(5.17209620414936E-17,0.0025662467032701,0.00769874010981018,0.0102649868130802, 0.0128312335163503,0.0130099003940978),.UNSPECIFIED.); #35278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187189,#187190,#187191,#187192, #187193,#187194,#187195,#187196,#187197),.UNSPECIFIED.,.F.,.F.,(4,2,1,1, 1,4),(-0.0130099003940978,-0.0128312335163503,-0.0102649868130802,-0.00769874010981018, -0.0025662467032701,-5.17209620414936E-17),.UNSPECIFIED.); #35279=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187230,#187231,#187232,#187233), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.338163941610718,-0.0690120578489762), .UNSPECIFIED.); #35280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187235,#187236,#187237,#187238, #187239),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0573526108983352,-0.0245796903850008, 0.),.UNSPECIFIED.); #35281=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187240,#187241,#187242,#187243), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0686241473495457,0.338163941610718), .UNSPECIFIED.); #35282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187246,#187247,#187248,#187249), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.31911270571798),.UNSPECIFIED.); #35283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187272,#187273,#187274,#187275), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.31911270571798,0.),.UNSPECIFIED.); #35284=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187279,#187280,#187281,#187282), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.363398228842643,-0.0442855231246632), .UNSPECIFIED.); #35285=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187284,#187285,#187286,#187287), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0243689648215729,2.74826808180165E-16), .UNSPECIFIED.); #35286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187290,#187291,#187292,#187293), .UNSPECIFIED.,.F.,.F.,(4,4),(1.05249253417969E-16,0.0243688129710696), .UNSPECIFIED.); #35287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187319,#187320,#187321,#187322), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.338366436566047,-0.338311021378574), .UNSPECIFIED.); #35288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187325,#187326,#187327,#187328), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,5.5415915463899E-5),.UNSPECIFIED.); #35289=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187370,#187371,#187372,#187373, #187374,#187375,#187376,#187377),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(-0.0110144604771682, -0.00660867628630094,-0.0044057841908673,0.,0.000140036018882969), .UNSPECIFIED.); #35290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187378,#187379,#187380,#187381, #187382,#187383,#187384,#187385),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.000140036018882969, 0.,0.0044057841908673,0.00660867628630094,0.0110144604771682), .UNSPECIFIED.); #35291=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187410,#187411,#187412,#187413), .UNSPECIFIED.,.F.,.F.,(4,4),(-5.54159154640214E-5,-3.44234247461746E-18), .UNSPECIFIED.); #35292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187416,#187417,#187418,#187419), .UNSPECIFIED.,.F.,.F.,(4,4),(0.338311021265489,0.338366436452962), .UNSPECIFIED.); #35293=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187461,#187462,#187463,#187464, #187465,#187466,#187467,#187468),.UNSPECIFIED.,.F.,.F.,(4,1,1,2,4),(0., 0.00440577949243147,0.00660866923864721,0.0110144487310787,0.0111544958967452), .UNSPECIFIED.); #35294=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187469,#187470,#187471,#187472, #187473,#187474,#187475,#187476),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,4),(-0.0111544958967452, -0.0110144487310787,-0.00660866923864721,-0.00440577949243147,0.), .UNSPECIFIED.); #35295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187501,#187502,#187503,#187504), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0442301069362683,0.044285523124663), .UNSPECIFIED.); #35296=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187507,#187508,#187509,#187510), .UNSPECIFIED.,.F.,.F.,(4,4),(1.68439280160874E-16,5.54169049480089E-5), .UNSPECIFIED.); #35297=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187557,#187558,#187559,#187560, #187561,#187562,#187563,#187564,#187565),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 2,4),(-0.0128312335163509,-0.0102649868130807,-0.00513249340654036,-0.00256624670327018, 0.,0.000178666877747478),.UNSPECIFIED.); #35298=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187566,#187567,#187568,#187569, #187570,#187571,#187572,#187573,#187574),.UNSPECIFIED.,.F.,.F.,(4,2,1,1, 1,4),(-0.000178666877747478,0.,0.00256624670327018,0.00513249340654036, 0.0102649868130807,0.0128312335163509),.UNSPECIFIED.); #35299=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187599,#187600,#187601,#187602), .UNSPECIFIED.,.F.,.F.,(4,4),(-5.54169049477127E-5,-3.37457902300999E-18), .UNSPECIFIED.); #35300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187605,#187606,#187607,#187608), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0444326025836071,-0.0443771863952131), .UNSPECIFIED.); #35301=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187655,#187656,#187657,#187658, #187659,#187660,#187661,#187662,#187663),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 2,4),(0.,0.00256624670327018,0.00769874010981055,0.0102649868130807,0.0128312335163509, 0.0130099003940983),.UNSPECIFIED.); #35302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187664,#187665,#187666,#187667, #187668,#187669,#187670,#187671,#187672),.UNSPECIFIED.,.F.,.F.,(4,2,1,1, 1,4),(-0.0130099003940983,-0.0128312335163509,-0.0102649868130807,-0.00769874010981055, -0.00256624670327018,0.),.UNSPECIFIED.); #35303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187705,#187706,#187707,#187708), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.338311021265489,-0.0691591375037506), .UNSPECIFIED.); #35304=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187710,#187711,#187712,#187713, #187714),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0573526108983301,-0.0245796903849986, 0.),.UNSPECIFIED.); #35305=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187715,#187716,#187717,#187718), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0687712270043174,0.338311021265489), .UNSPECIFIED.); #35306=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187721,#187722,#187723,#187724), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.363398228842643,-0.0442855231246629), .UNSPECIFIED.); #35307=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187747,#187748,#187749,#187750), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0442855231246629,0.363398228842643), .UNSPECIFIED.); #35308=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187754,#187755,#187756,#187757), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.363545308301587,-0.0444326025836071), .UNSPECIFIED.); #35309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187759,#187760,#187761,#187762), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0243689648265892,-2.65087283219997E-16), .UNSPECIFIED.); #35310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187765,#187766,#187767,#187768), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.67198811731232E-16,0.0243688129684355), .UNSPECIFIED.); #35311=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187793,#187794,#187795,#187796), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.867876782747574,-0.0511136576714125), .UNSPECIFIED.); #35312=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187797,#187798,#187799,#187800, #187801),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0718274973471377,-0.0359137486735689, 0.),.UNSPECIFIED.); #35313=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187804,#187805,#187806,#187807), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01312867368373,-0.196365548607571), .UNSPECIFIED.); #35314=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187839,#187840,#187841,#187842, #187843),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0573526108705731,-0.0245796903731028, 0.),.UNSPECIFIED.); #35315=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187874,#187875,#187876,#187877), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.33831102126549,-0.0687712270043174), .UNSPECIFIED.); #35316=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187898,#187899,#187900,#187901), .UNSPECIFIED.,.F.,.F.,(4,4),(0.069159137503748,0.33831102126549), .UNSPECIFIED.); #35317=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187905,#187906,#187907,#187908), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0442855231246632,0.363398228842643), .UNSPECIFIED.); #35318=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187939,#187940,#187941,#187942, #187943),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0573526108705672,-0.0245796903731002, 0.),.UNSPECIFIED.); #35319=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187974,#187975,#187976,#187977), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.338311021378575,-0.0687712271174027), .UNSPECIFIED.); #35320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#187998,#187999,#188000,#188001), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0691591376168333,0.338311021378575), .UNSPECIFIED.); #35321=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188005,#188006,#188007,#188008), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0444326025836071,0.363545308301587), .UNSPECIFIED.); #35322=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188033,#188034,#188035,#188036), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00816983308067967,0.),.UNSPECIFIED.); #35323=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188039,#188040,#188041,#188042), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00816983308067967),.UNSPECIFIED.); #35324=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188079,#188080,#188081,#188082, #188083,#188084,#188085),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0906819907358847, -0.0647728505256319,-0.0388637103153792,-0.0194318551576896,0.), .UNSPECIFIED.); #35325=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188088,#188089,#188090,#188091, #188092,#188093,#188094),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0194318551576896, 0.0388637103153792,0.0647728505256319,0.0906819907358847),.UNSPECIFIED.); #35326=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188115,#188116,#188117,#188118), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0204702665421777,0.),.UNSPECIFIED.); #35327=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188121,#188122,#188123,#188124), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0204702665421777),.UNSPECIFIED.); #35328=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188151,#188152,#188153,#188154, #188155),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0594152987690098,-0.0254636994729524, -9.09242871883999E-13),.UNSPECIFIED.); #35329=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188185,#188186,#188187,#188188, #188189),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0594151931370005,-0.0254636542015716, -1.6719163345921E-9),.UNSPECIFIED.); #35330=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188213,#188214,#188215,#188216), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0204702659534856,-4.51337530663147E-16), .UNSPECIFIED.); #35331=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188217,#188218,#188219,#188220), .UNSPECIFIED.,.F.,.F.,(4,4),(4.51337530663147E-16,0.0204702659534856), .UNSPECIFIED.); #35332=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188259,#188260,#188261,#188262, #188263,#188264,#188265),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0906807521811534, -0.064771965843681,-0.0388631795062087,-0.0194315897531044,-1.38763879083087E-16), .UNSPECIFIED.); #35333=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188266,#188267,#188268,#188269, #188270,#188271,#188272),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(1.38763879083087E-16, 0.0194315897531044,0.0388631795062087,0.064771965843681,0.0906807521811534), .UNSPECIFIED.); #35334=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188296,#188297,#188298,#188299), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00816983308049704,-2.80394754847453E-14), .UNSPECIFIED.); #35335=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188300,#188301,#188302,#188303), .UNSPECIFIED.,.F.,.F.,(4,4),(2.80394754847453E-14,0.00816983308049704), .UNSPECIFIED.); #35336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188333,#188334,#188335,#188336), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00816983308067905,-7.32883212915944E-17), .UNSPECIFIED.); #35337=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188337,#188338,#188339,#188340), .UNSPECIFIED.,.F.,.F.,(4,4),(7.32883212915944E-17,0.00816983308067905), .UNSPECIFIED.); #35338=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188377,#188378,#188379,#188380, #188381,#188382,#188383),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0906819911250176, -0.064772850803584,-0.0388637104821504,-0.0194318552410752,0.), .UNSPECIFIED.); #35339=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188386,#188387,#188388,#188389, #188390,#188391,#188392),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0194318552410752, 0.0388637104821504,0.064772850803584,0.0906819911250176),.UNSPECIFIED.); #35340=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188413,#188414,#188415,#188416), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0204702665421822,0.),.UNSPECIFIED.); #35341=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188419,#188420,#188421,#188422), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0204702665421822),.UNSPECIFIED.); #35342=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188449,#188450,#188451,#188452, #188453),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0594152987690101,-0.0254636994729524, -9.09142851770691E-13),.UNSPECIFIED.); #35343=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188483,#188484,#188485,#188486, #188487),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0594151931370457,-0.025463654201591, -1.67187802663142E-9),.UNSPECIFIED.); #35344=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188511,#188512,#188513,#188514), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0204702659534899,0.),.UNSPECIFIED.); #35345=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188515,#188516,#188517,#188518), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0204702659534899),.UNSPECIFIED.); #35346=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188557,#188558,#188559,#188560, #188561,#188562,#188563),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(-0.0906807521863543, -0.0647719658473959,-0.0388631795084376,-0.0194315897542188,0.), .UNSPECIFIED.); #35347=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188564,#188565,#188566,#188567, #188568,#188569,#188570),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.0194315897542188, 0.0388631795084376,0.0647719658473959,0.0906807521863543),.UNSPECIFIED.); #35348=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188594,#188595,#188596,#188597), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00816983308049732,-2.81051729803016E-14), .UNSPECIFIED.); #35349=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188598,#188599,#188600,#188601), .UNSPECIFIED.,.F.,.F.,(4,4),(2.81051729803016E-14,0.00816983308049732), .UNSPECIFIED.); #35350=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188606,#188607,#188608,#188609), .UNSPECIFIED.,.F.,.F.,(4,4),(2.65087283219997E-16,0.0454241117233226), .UNSPECIFIED.); #35351=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188652,#188653,#188654,#188655), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0454238054908238,1.67198811731232E-16), .UNSPECIFIED.); #35352=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188665,#188666,#188667,#188668), .UNSPECIFIED.,.F.,.F.,(4,4),(-2.74826808180165E-16,0.0454241117147729), .UNSPECIFIED.); #35353=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188695,#188696,#188697,#188698), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0454238054977934,-1.05249253417969E-16), .UNSPECIFIED.); #35354=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188771,#188772,#188773,#188774), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0283171480495291),.UNSPECIFIED.); #35355=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188778,#188779,#188780,#188781), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0283171480495291),.UNSPECIFIED.); #35356=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188785,#188786,#188787,#188788), .UNSPECIFIED.,.F.,.F.,(4,4),(7.9289548742181E-35,0.0283171480495292), .UNSPECIFIED.); #35357=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188792,#188793,#188794,#188795), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0283171480495293),.UNSPECIFIED.); #35358=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188826,#188827,#188828,#188829), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0283171480495291,0.),.UNSPECIFIED.); #35359=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188857,#188858,#188859,#188860), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0283171480495293,0.),.UNSPECIFIED.); #35360=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188887,#188888,#188889,#188890), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0283171480495292,-7.9289548742181E-35), .UNSPECIFIED.); #35361=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188917,#188918,#188919,#188920), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0283171480495291,0.),.UNSPECIFIED.); #35362=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188925,#188926,#188927,#188928), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505904305122874,0.245450978959201), .UNSPECIFIED.); #35363=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188931,#188932,#188933,#188934), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.245450978959201,-0.0505904305122873), .UNSPECIFIED.); #35364=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188952,#188953,#188954,#188955), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.245450978959201,-0.0505904305122874), .UNSPECIFIED.); #35365=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188959,#188960,#188961,#188962), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505904305122873,0.245450978959201), .UNSPECIFIED.); #35366=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188981,#188982,#188983,#188984), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.245450978959201,-0.0505904305122873), .UNSPECIFIED.); #35367=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189024,#189025,#189026,#189027, #189028),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-0.0718274973471378,-0.0359137486735689, 0.),.UNSPECIFIED.); #35368=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189031,#189032,#189033,#189034, #189035),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0359137486735689,0.0718274973471378), .UNSPECIFIED.); #35369=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189070,#189071,#189072,#189073, #189074),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0359137486735689,0.0718274973471377), .UNSPECIFIED.); #35370=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189097,#189098,#189099,#189100), .UNSPECIFIED.,.F.,.F.,(4,4),(0.196365548607572,1.01312867368373), .UNSPECIFIED.); #35371=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189145,#189146,#189147,#189148), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.245440978547137,-0.0505804301002232), .UNSPECIFIED.); #35372=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189149,#189150,#189151,#189152), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505804301002232,0.245440978547137), .UNSPECIFIED.); #35373=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189156,#189157,#189158,#189159), .UNSPECIFIED.,.F.,.F.,(4,4),(0.867805370427013,0.892985869602378), .UNSPECIFIED.); #35374=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189160,#189161,#189162,#189163), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01343639761494,-0.892985869602378), .UNSPECIFIED.); #35375=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189180,#189181,#189182,#189183), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0505904305122873,0.245450978959201), .UNSPECIFIED.); #35376=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189205,#189206,#189207,#189208), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.01352504266273,-0.918255013342155), .UNSPECIFIED.); #35377=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189229,#189230,#189231,#189232), .UNSPECIFIED.,.F.,.F.,(4,4),(0.918166368294373,1.01343639761494), .UNSPECIFIED.); #35378=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189896,#189897,#189898,#189899, #189900,#189901,#189902),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.259497090847111, 0.,0.259497090847111),.UNSPECIFIED.); #35379=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189907,#189908,#189909,#189910, #189911,#189912,#189913),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.259497090847111, 0.,0.259497090847111),.UNSPECIFIED.); #35380=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189917,#189918,#189919,#189920, #189921,#189922,#189923),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.259497090847111, 0.,0.259497090847111),.UNSPECIFIED.); #35381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189926,#189927,#189928,#189929), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.259497090847111),.UNSPECIFIED.); #35382=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189931,#189932,#189933,#189934), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.259497090847111,0.),.UNSPECIFIED.); #35383=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189938,#189939,#189940,#189941, #189942,#189943,#189944),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.259497090847111, 0.,0.259497090847111),.UNSPECIFIED.); #35384=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189947,#189948,#189949,#189950, #189951,#189952,#189953),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.259497090847111, 0.,0.259497090847112),.UNSPECIFIED.); #35385=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189982,#189983,#189984,#189985), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187067697368012,0.196310398396342), .UNSPECIFIED.); #35386=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189987,#189988,#189989,#189990, #189991,#189992),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471064087085502,0.0939806902709708), .UNSPECIFIED.); #35387=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189994,#189995,#189996,#189997), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928383995791408),.UNSPECIFIED.); #35388=B_SPLINE_CURVE_WITH_KNOTS('',3,(#189999,#190000,#190001,#190002), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35389=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190005,#190006,#190007,#190008), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35390=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190042,#190043,#190044,#190045), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35391=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190065,#190066,#190067,#190068), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35392=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190087,#190088,#190089,#190090), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928370527424265),.UNSPECIFIED.); #35393=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190092,#190093,#190094,#190095), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187087742539626,0.196330458347706), .UNSPECIFIED.); #35394=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190096,#190097,#190098,#190099, #190100,#190101),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471067461125888,0.0939806905636617), .UNSPECIFIED.); #35395=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190120,#190121,#190122,#190123), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187067697367668,0.196310398395988), .UNSPECIFIED.); #35396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190125,#190126,#190127,#190128, #190129,#190130),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471064087079754,0.0939806902706096), .UNSPECIFIED.); #35397=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190132,#190133,#190134,#190135), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928383995791407),.UNSPECIFIED.); #35398=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190137,#190138,#190139,#190140), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35399=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190143,#190144,#190145,#190146), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35400=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190180,#190181,#190182,#190183), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35401=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190203,#190204,#190205,#190206), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35402=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190225,#190226,#190227,#190228), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928370527424261),.UNSPECIFIED.); #35403=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190230,#190231,#190232,#190233), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187087742539107,0.196330458347143), .UNSPECIFIED.); #35404=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190234,#190235,#190236,#190237, #190238,#190239),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471067461130925,0.0939806905635453), .UNSPECIFIED.); #35405=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190258,#190259,#190260,#190261), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187067697367913,0.196310398396235), .UNSPECIFIED.); #35406=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190263,#190264,#190265,#190266, #190267,#190268),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471064087086087,0.0939806902720162), .UNSPECIFIED.); #35407=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190270,#190271,#190272,#190273), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928383995791402),.UNSPECIFIED.); #35408=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190275,#190276,#190277,#190278), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35409=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190281,#190282,#190283,#190284), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35410=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190318,#190319,#190320,#190321), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35411=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190341,#190342,#190343,#190344), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35412=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190363,#190364,#190365,#190366), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928370527424269),.UNSPECIFIED.); #35413=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190368,#190369,#190370,#190371), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187087742539552,0.196330458347614), .UNSPECIFIED.); #35414=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190372,#190373,#190374,#190375, #190376,#190377),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471067461139161,0.0939806905645667), .UNSPECIFIED.); #35415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190396,#190397,#190398,#190399), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187067697367717,0.196310398396043), .UNSPECIFIED.); #35416=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190401,#190402,#190403,#190404, #190405,#190406),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471064087095015,0.0939806902731886), .UNSPECIFIED.); #35417=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190408,#190409,#190410,#190411), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928383995791396),.UNSPECIFIED.); #35418=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190413,#190414,#190415,#190416), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35419=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190419,#190420,#190421,#190422), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35420=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190456,#190457,#190458,#190459), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35421=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190479,#190480,#190481,#190482), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35422=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190501,#190502,#190503,#190504), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928370527424261),.UNSPECIFIED.); #35423=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190506,#190507,#190508,#190509), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187087742539923,0.196330458348), .UNSPECIFIED.); #35424=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190510,#190511,#190512,#190513, #190514,#190515),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471067461144879,0.093980690564017), .UNSPECIFIED.); #35425=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190534,#190535,#190536,#190537), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187067697367356,0.196310398395659), .UNSPECIFIED.); #35426=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190539,#190540,#190541,#190542, #190543,#190544),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471064087076439,0.0939806902696913), .UNSPECIFIED.); #35427=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190546,#190547,#190548,#190549), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928383995791396),.UNSPECIFIED.); #35428=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190551,#190552,#190553,#190554), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35429=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190557,#190558,#190559,#190560), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190594,#190595,#190596,#190597), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35431=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190617,#190618,#190619,#190620), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35432=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190639,#190640,#190641,#190642), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928370527424269),.UNSPECIFIED.); #35433=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190644,#190645,#190646,#190647), .UNSPECIFIED.,.F.,.F.,(4,4),(0.18708774253952,0.196330458347584), .UNSPECIFIED.); #35434=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190648,#190649,#190650,#190651, #190652,#190653),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471067461138155,0.0939806905638972), .UNSPECIFIED.); #35435=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190683,#190684,#190685,#190686), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187087742539587,0.19633045834765), .UNSPECIFIED.); #35436=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190694,#190695,#190696,#190697), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928383995791397),.UNSPECIFIED.); #35437=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190711,#190712,#190713,#190714, #190715,#190716),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471064087076035,0.0939806902699943), .UNSPECIFIED.); #35438=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190733,#190734,#190735,#190736, #190737,#190738),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.0471067461140637,0.0939806905645362), .UNSPECIFIED.); #35439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190760,#190761,#190762,#190763), .UNSPECIFIED.,.F.,.F.,(4,4),(0.187067697366139,0.196310398394359), .UNSPECIFIED.); #35440=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190765,#190766,#190767,#190768), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190771,#190772,#190773,#190774), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190804,#190805,#190806,#190807), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35443=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190826,#190827,#190828,#190829), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #35444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190847,#190848,#190849,#190850), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00928370527424258),.UNSPECIFIED.); #35445=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190860,#190861,#190862,#190863), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257123,1.),.UNSPECIFIED.); #35446=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190890,#190891,#190892,#190893), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.013275094625713),.UNSPECIFIED.); #35447=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190911,#190912,#190913,#190914, #190915,#190916,#190917,#190918,#190919,#190920,#190921,#190922,#190923), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626053,0.104036974747121, 0.164285041814189,0.209738767509175),.UNSPECIFIED.); #35448=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190936,#190937,#190938,#190939), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257118,1.),.UNSPECIFIED.); #35449=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190966,#190967,#190968,#190969), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257128),.UNSPECIFIED.); #35450=B_SPLINE_CURVE_WITH_KNOTS('',3,(#190987,#190988,#190989,#190990, #190991,#190992,#190993,#190994,#190995,#190996,#190997,#190998,#190999), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626151,0.104036974747117, 0.164285041814182,0.209738767509169),.UNSPECIFIED.); #35451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191012,#191013,#191014,#191015), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257123,1.),.UNSPECIFIED.); #35452=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191042,#191043,#191044,#191045), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257121),.UNSPECIFIED.); #35453=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191063,#191064,#191065,#191066, #191067,#191068,#191069,#191070,#191071,#191072,#191073,#191074,#191075), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626324,0.104036974747113, 0.164285041814171,0.209738767509152),.UNSPECIFIED.); #35454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191088,#191089,#191090,#191091), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257123,1.),.UNSPECIFIED.); #35455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191118,#191119,#191120,#191121), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.013275094625713),.UNSPECIFIED.); #35456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191139,#191140,#191141,#191142, #191143,#191144,#191145,#191146,#191147,#191148,#191149,#191150,#191151), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626066,0.104036974747119, 0.164285041814185,0.209738767509174),.UNSPECIFIED.); #35457=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191164,#191165,#191166,#191167), .UNSPECIFIED.,.F.,.F.,(4,4),(0.013275094625712,1.),.UNSPECIFIED.); #35458=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191194,#191195,#191196,#191197), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257123),.UNSPECIFIED.); #35459=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191215,#191216,#191217,#191218, #191219,#191220,#191221,#191222,#191223,#191224,#191225,#191226,#191227), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626067,0.10403697474712, 0.164285041814185,0.209738767509172),.UNSPECIFIED.); #35460=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191240,#191241,#191242,#191243), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257124,1.),.UNSPECIFIED.); #35461=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191270,#191271,#191272,#191273), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257122),.UNSPECIFIED.); #35462=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191291,#191292,#191293,#191294, #191295,#191296,#191297,#191298,#191299,#191300,#191301,#191302,#191303), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626154,0.104036974747118, 0.164285041814179,0.209738767509166),.UNSPECIFIED.); #35463=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191316,#191317,#191318,#191319), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257123,1.),.UNSPECIFIED.); #35464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191346,#191347,#191348,#191349), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257128),.UNSPECIFIED.); #35465=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191367,#191368,#191369,#191370, #191371,#191372,#191373,#191374,#191375,#191376,#191377,#191378,#191379), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626187,0.104036974747118, 0.164285041814182,0.209738767509167),.UNSPECIFIED.); #35466=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191392,#191393,#191394,#191395), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257123,1.),.UNSPECIFIED.); #35467=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191422,#191423,#191424,#191425), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257127),.UNSPECIFIED.); #35468=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191443,#191444,#191445,#191446, #191447,#191448,#191449,#191450,#191451,#191452,#191453,#191454,#191455), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.065817955162645,0.104036974747113, 0.164285041814169,0.209738767509158),.UNSPECIFIED.); #35469=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191468,#191469,#191470,#191471), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257118,1.),.UNSPECIFIED.); #35470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191498,#191499,#191500,#191501), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257129),.UNSPECIFIED.); #35471=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191519,#191520,#191521,#191522, #191523,#191524,#191525,#191526,#191527,#191528,#191529,#191530,#191531), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626214,0.104036974747116, 0.164285041814177,0.209738767509163),.UNSPECIFIED.); #35472=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191544,#191545,#191546,#191547), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257121,1.),.UNSPECIFIED.); #35473=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191574,#191575,#191576,#191577), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257124),.UNSPECIFIED.); #35474=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191595,#191596,#191597,#191598, #191599,#191600,#191601,#191602,#191603,#191604,#191605,#191606,#191607), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626375,0.104036974747113, 0.164285041814171,0.209738767509159),.UNSPECIFIED.); #35475=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191620,#191621,#191622,#191623), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257121,1.),.UNSPECIFIED.); #35476=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191650,#191651,#191652,#191653), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257124),.UNSPECIFIED.); #35477=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191671,#191672,#191673,#191674, #191675,#191676,#191677,#191678,#191679,#191680,#191681,#191682,#191683), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626186,0.104036974747118, 0.164285041814181,0.209738767509168),.UNSPECIFIED.); #35478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191718,#191719,#191720,#191721), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0132750946257124,1.),.UNSPECIFIED.); #35479=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191748,#191749,#191750,#191751), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0132750946257129),.UNSPECIFIED.); #35480=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191769,#191770,#191771,#191772, #191773,#191774,#191775,#191776,#191777,#191778,#191779,#191780,#191781), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.0658179551626193,0.104036974747117, 0.164285041814179,0.209738767509165),.UNSPECIFIED.); #35481=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191800,#191801,#191802,#191803, #191804,#191805,#191806),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361678667668053, -0.0148376819643742,0.),.UNSPECIFIED.); #35482=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191808,#191809,#191810,#191811, #191812,#191813,#191814),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0354805414966844, -0.0185423577749569,0.),.UNSPECIFIED.); #35483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191828,#191829,#191830,#191831, #191832,#191833),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.018983498550503,-0.00950520701631764, 0.),.UNSPECIFIED.); #35484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191835,#191836,#191837,#191838, #191839,#191840,#191841),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0210580617919508, -0.00803270657129032,0.),.UNSPECIFIED.); #35485=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191869,#191870,#191871,#191872, #191873,#191874,#191875),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0122714720770727, 0.0354494820612205),.UNSPECIFIED.); #35486=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191884,#191885,#191886,#191887, #191888,#191889,#191890),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.012626015931452, 0.0361178410273151),.UNSPECIFIED.); #35487=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191922,#191923,#191924,#191925, #191926,#191927),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00874266024845097, 0.0189835161228395),.UNSPECIFIED.); #35488=B_SPLINE_CURVE_WITH_KNOTS('',3,(#191936,#191937,#191938,#191939, #191940,#191941,#191942),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.00688192722253383, 0.0210142576704368),.UNSPECIFIED.); #35489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192130,#192131,#192132,#192133, #192134,#192135,#192136),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.02099866921886, -0.00801019713991989,0.),.UNSPECIFIED.); #35490=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192139,#192140,#192141,#192142, #192143,#192144,#192145),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.00697566509985749, 0.0209639556015717),.UNSPECIFIED.); #35491=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192153,#192154,#192155,#192156, #192157,#192158),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0189666384512004,-0.00949651465783775, 0.),.UNSPECIFIED.); #35492=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192161,#192162,#192163,#192164, #192165,#192166),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00909305946605705, 0.0189666460963706),.UNSPECIFIED.); #35493=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192210,#192211,#192212,#192213, #192214,#192215,#192216),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361300737184387, -0.0148198389485818,0.),.UNSPECIFIED.); #35494=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192218,#192219,#192220,#192221, #192222,#192223,#192224),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0132563784508818, 0.0361084023960739),.UNSPECIFIED.); #35495=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192233,#192234,#192235,#192236, #192237,#192238,#192239),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0353801466432124, -0.0184906712599907,0.),.UNSPECIFIED.); #35496=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192241,#192242,#192243,#192244, #192245,#192246,#192247),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.012574640274265, 0.0353523430484994),.UNSPECIFIED.); #35497=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192274,#192275,#192276,#192277, #192278,#192279,#192280),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361300737184098, -0.0148198389485758,0.),.UNSPECIFIED.); #35498=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192282,#192283,#192284,#192285, #192286,#192287,#192288),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0353801466432126, -0.0184906712599918,0.),.UNSPECIFIED.); #35499=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192302,#192303,#192304,#192305, #192306,#192307),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0189666384528011,-0.00949651465849384, 0.),.UNSPECIFIED.); #35500=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192309,#192310,#192311,#192312, #192313,#192314,#192315),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0209986692190438, -0.00801019713993427,0.),.UNSPECIFIED.); #35501=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192343,#192344,#192345,#192346, #192347,#192348,#192349),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.012574640274047, 0.0353523430477049),.UNSPECIFIED.); #35502=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192358,#192359,#192360,#192361, #192362,#192363,#192364),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.013256378450857, 0.0361084023957193),.UNSPECIFIED.); #35503=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192396,#192397,#192398,#192399, #192400,#192401),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00909305946638513, 0.0189666460970436),.UNSPECIFIED.); #35504=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192410,#192411,#192412,#192413, #192414,#192415,#192416),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.00697566509986243, 0.0209639556014676),.UNSPECIFIED.); #35505=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192456,#192457,#192458,#192459, #192460,#192461,#192462),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0209986692190389, -0.00801019713993336,0.),.UNSPECIFIED.); #35506=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192465,#192466,#192467,#192468, #192469,#192470,#192471),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.00697566509987185, 0.0209639556017805),.UNSPECIFIED.); #35507=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192479,#192480,#192481,#192482, #192483,#192484),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0189666384530046,-0.00949651465846648, 0.),.UNSPECIFIED.); #35508=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192487,#192488,#192489,#192490, #192491,#192492),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00909305946607539, 0.0189666460965409),.UNSPECIFIED.); #35509=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192536,#192537,#192538,#192539, #192540,#192541,#192542),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361300737184031, -0.014819838948575,0.),.UNSPECIFIED.); #35510=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192544,#192545,#192546,#192547, #192548,#192549,#192550),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0132563784508624, 0.0361084023958049),.UNSPECIFIED.); #35511=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192559,#192560,#192561,#192562, #192563,#192564,#192565),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0353801466435092, -0.0184906712600881,0.),.UNSPECIFIED.); #35512=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192567,#192568,#192569,#192570, #192571,#192572,#192573),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0125746402743357, 0.0353523430487671),.UNSPECIFIED.); #35513=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192600,#192601,#192602,#192603, #192604,#192605,#192606),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361300737183483, -0.0148198389485711,0.),.UNSPECIFIED.); #35514=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192608,#192609,#192610,#192611, #192612,#192613,#192614),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0353801466433573, -0.0184906712600059,0.),.UNSPECIFIED.); #35515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192628,#192629,#192630,#192631, #192632,#192633),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0189666384525589,-0.00949651465831112, 0.),.UNSPECIFIED.); #35516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192635,#192636,#192637,#192638, #192639,#192640,#192641),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0209986692188576, -0.00801019713991959,0.),.UNSPECIFIED.); #35517=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192669,#192670,#192671,#192672, #192673,#192674,#192675),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0125746402741953, 0.0353523430482728),.UNSPECIFIED.); #35518=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192684,#192685,#192686,#192687, #192688,#192689,#192690),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0132563784508641, 0.036108402395782),.UNSPECIFIED.); #35519=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192722,#192723,#192724,#192725, #192726,#192727),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00909305946634282, 0.0189666460973226),.UNSPECIFIED.); #35520=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192736,#192737,#192738,#192739, #192740,#192741,#192742),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.00697566509990219, 0.0209639556026288),.UNSPECIFIED.); #35521=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192782,#192783,#192784,#192785, #192786,#192787,#192788),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0210580617920134, -0.00803270657128764,0.),.UNSPECIFIED.); #35522=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192791,#192792,#192793,#192794, #192795,#192796,#192797),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0068819272224969, 0.0210142576695715),.UNSPECIFIED.); #35523=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192805,#192806,#192807,#192808, #192809,#192810),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0189834985494247,-0.00950520701580978, 0.),.UNSPECIFIED.); #35524=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192813,#192814,#192815,#192816, #192817,#192818),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00874266024915906, 0.018983516125164),.UNSPECIFIED.); #35525=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192862,#192863,#192864,#192865, #192866,#192867,#192868),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361678667668349, -0.0148376819643801,0.),.UNSPECIFIED.); #35526=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192870,#192871,#192872,#192873, #192874,#192875,#192876),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0126260159314483, 0.0361178410272299),.UNSPECIFIED.); #35527=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192885,#192886,#192887,#192888, #192889,#192890,#192891),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0354805414974287, -0.018542357775264,0.),.UNSPECIFIED.); #35528=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192893,#192894,#192895,#192896, #192897,#192898,#192899),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0122714720774212, 0.0354494820625546),.UNSPECIFIED.); #35529=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192926,#192927,#192928,#192929, #192930,#192931,#192932),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361678667668846, -0.0148376819643809,0.),.UNSPECIFIED.); #35530=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192934,#192935,#192936,#192937, #192938,#192939,#192940),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0354805414974622, -0.018542357775266,0.),.UNSPECIFIED.); #35531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192954,#192955,#192956,#192957, #192958,#192959),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0189834985511047,-0.00950520701640965, 0.),.UNSPECIFIED.); #35532=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192961,#192962,#192963,#192964, #192965,#192966,#192967),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0210580617913611, -0.00803270657127511,0.),.UNSPECIFIED.); #35533=B_SPLINE_CURVE_WITH_KNOTS('',3,(#192995,#192996,#192997,#192998, #192999,#193000,#193001),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0122714720770909, 0.0354494820613841),.UNSPECIFIED.); #35534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193010,#193011,#193012,#193013, #193014,#193015,#193016),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0126260159314602, 0.0361178410272579),.UNSPECIFIED.); #35535=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193048,#193049,#193050,#193051, #193052,#193053),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00874266024911351, 0.0189835161250102),.UNSPECIFIED.); #35536=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193062,#193063,#193064,#193065, #193066,#193067,#193068),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.00688192722254558, 0.0210142576704089),.UNSPECIFIED.); #35537=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193108,#193109,#193110,#193111, #193112,#193113,#193114),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0210580617913612, -0.00803270657127511,0.),.UNSPECIFIED.); #35538=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193117,#193118,#193119,#193120, #193121,#193122,#193123),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.00688192722253482, 0.021014257670234),.UNSPECIFIED.); #35539=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193131,#193132,#193133,#193134, #193135,#193136),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0189834985507105,-0.00950520701631772, 0.),.UNSPECIFIED.); #35540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193139,#193140,#193141,#193142, #193143,#193144),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00874266024889453, 0.0189835161244892),.UNSPECIFIED.); #35541=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193188,#193189,#193190,#193191, #193192,#193193,#193194),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361678667668093, -0.0148376819643742,0.),.UNSPECIFIED.); #35542=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193196,#193197,#193198,#193199, #193200,#193201,#193202),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0126260159314598, 0.0361178410274134),.UNSPECIFIED.); #35543=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193211,#193212,#193213,#193214, #193215,#193216,#193217),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0354805414971374, -0.018542357775154,0.),.UNSPECIFIED.); #35544=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193219,#193220,#193221,#193222, #193223,#193224,#193225),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0122714720773165, 0.0354494820621847),.UNSPECIFIED.); #35545=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193252,#193253,#193254,#193255, #193256,#193257,#193258),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361678667665321, -0.0148376819643565,0.),.UNSPECIFIED.); #35546=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193260,#193261,#193262,#193263, #193264,#193265,#193266),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0354805414971406, -0.0185423577751552,0.),.UNSPECIFIED.); #35547=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193280,#193281,#193282,#193283, #193284,#193285),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.018983498552059,-0.00950520701678472, 0.),.UNSPECIFIED.); #35548=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193287,#193288,#193289,#193290, #193291,#193292,#193293),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0210580617904684, -0.00803270657123097,0.),.UNSPECIFIED.); #35549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193321,#193322,#193323,#193324, #193325,#193326,#193327),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0122714720771401, 0.0354494820615908),.UNSPECIFIED.); #35550=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193336,#193337,#193338,#193339, #193340,#193341,#193342),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0126260159314677, 0.0361178410274752),.UNSPECIFIED.); #35551=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193374,#193375,#193376,#193377, #193378,#193379),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00874266024890939, 0.0189835161241811),.UNSPECIFIED.); #35552=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193388,#193389,#193390,#193391, #193392,#193393,#193394),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.00688192722253844, 0.0210142576702623),.UNSPECIFIED.); #35553=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193434,#193435,#193436,#193437, #193438,#193439,#193440),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0210580617911841, -0.00803270657126257,0.),.UNSPECIFIED.); #35554=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193443,#193444,#193445,#193446, #193447,#193448,#193449),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.00688192722256285, 0.0210142576712108),.UNSPECIFIED.); #35555=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193457,#193458,#193459,#193460, #193461,#193462),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0189834985492621,-0.00950520701583952, 0.),.UNSPECIFIED.); #35556=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193465,#193466,#193467,#193468, #193469,#193470),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.00874266024868857, 0.0189835161235618),.UNSPECIFIED.); #35557=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193514,#193515,#193516,#193517, #193518,#193519,#193520),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.0361678667667885, -0.0148376819643751,0.),.UNSPECIFIED.); #35558=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193522,#193523,#193524,#193525, #193526,#193527,#193528),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0126260159314583, 0.036117841027423),.UNSPECIFIED.); #35559=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193537,#193538,#193539,#193540, #193541,#193542,#193543),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-0.035480541497425, -0.0185423577752625,0.),.UNSPECIFIED.); #35560=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193545,#193546,#193547,#193548, #193549,#193550,#193551),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0122714720772001, 0.035449482061744),.UNSPECIFIED.); #35561=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193580,#193581,#193582,#193583, #193584,#193585,#193586,#193587,#193588,#193589),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.115003285931664,-0.0862516658437951,-0.0575000457559264, -0.0287500228779632,0.),.UNSPECIFIED.); #35562=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193592,#193593,#193594,#193595, #193596,#193597,#193598,#193599,#193600,#193601),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.0287496200874989,0.0574992401749978,0.0862512734958585, 0.115003306816719),.UNSPECIFIED.); #35563=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193610,#193611,#193612,#193613, #193614,#193615,#193616,#193617,#193618,#193619),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.0288748238127536,0.0577496476255072,0.0866316891134178, 0.115513730601328),.UNSPECIFIED.); #35564=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193622,#193623,#193624,#193625, #193626,#193627,#193628,#193629,#193630,#193631),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.11551380584106,-0.0866317319859536,-0.0577496581308469, -0.0288748290654234,0.),.UNSPECIFIED.); #35565=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193634,#193635,#193636,#193637, #193638,#193639,#193640,#193641,#193642,#193643),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.231137923438944,0.26008928232117,0.289040641203397,0.318086249852602, 0.347131858501807),.UNSPECIFIED.); #35566=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193647,#193648,#193649,#193650, #193651,#193652,#193653,#193654,#193655,#193656),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.11595802135027,0.144846033354167,0.173734045358063,0.202435984398503, 0.231137923438943),.UNSPECIFIED.); #35567=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193665,#193666,#193667,#193668, #193669,#193670,#193671,#193672,#193673,#193674),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.231166014886107,0.259867939944746,0.288569865003385,0.317457928831947, 0.346345992660508),.UNSPECIFIED.); #35568=B_SPLINE_CURVE_WITH_KNOTS('',3,(#193680,#193681,#193682,#193683, #193684,#193685,#193686,#193687,#193688,#193689),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.115171916899794,0.144217583283756,0.173263249667718,0.202214632276913, 0.231166014886107),.UNSPECIFIED.); #35569=VERTEX_POINT('',#120346); #35570=VERTEX_POINT('',#120347); #35571=VERTEX_POINT('',#120354); #35572=VERTEX_POINT('',#120361); #35573=VERTEX_POINT('',#120400); #35574=VERTEX_POINT('',#120407); #35575=VERTEX_POINT('',#120458); #35576=VERTEX_POINT('',#120465); #35577=VERTEX_POINT('',#120516); #35578=VERTEX_POINT('',#120523); #35579=VERTEX_POINT('',#120562); #35580=VERTEX_POINT('',#120569); #35581=VERTEX_POINT('',#120582); #35582=VERTEX_POINT('',#120583); #35583=VERTEX_POINT('',#120585); #35584=VERTEX_POINT('',#120592); #35585=VERTEX_POINT('',#120593); #35586=VERTEX_POINT('',#120595); #35587=VERTEX_POINT('',#120603); #35588=VERTEX_POINT('',#120615); #35589=VERTEX_POINT('',#120616); #35590=VERTEX_POINT('',#120618); #35591=VERTEX_POINT('',#120625); #35592=VERTEX_POINT('',#120641); #35593=VERTEX_POINT('',#120642); #35594=VERTEX_POINT('',#120644); #35595=VERTEX_POINT('',#120652); #35596=VERTEX_POINT('',#120661); #35597=VERTEX_POINT('',#120662); #35598=VERTEX_POINT('',#120664); #35599=VERTEX_POINT('',#120671); #35600=VERTEX_POINT('',#120672); #35601=VERTEX_POINT('',#120674); #35602=VERTEX_POINT('',#120682); #35603=VERTEX_POINT('',#120694); #35604=VERTEX_POINT('',#120695); #35605=VERTEX_POINT('',#120697); #35606=VERTEX_POINT('',#120714); #35607=VERTEX_POINT('',#120752); #35608=VERTEX_POINT('',#120754); #35609=VERTEX_POINT('',#120759); #35610=VERTEX_POINT('',#120760); #35611=VERTEX_POINT('',#120762); #35612=VERTEX_POINT('',#120764); #35613=VERTEX_POINT('',#120768); #35614=VERTEX_POINT('',#120769); #35615=VERTEX_POINT('',#120774); #35616=VERTEX_POINT('',#120775); #35617=VERTEX_POINT('',#120780); #35618=VERTEX_POINT('',#120781); #35619=VERTEX_POINT('',#120786); #35620=VERTEX_POINT('',#120787); #35621=VERTEX_POINT('',#120792); #35622=VERTEX_POINT('',#120793); #35623=VERTEX_POINT('',#120798); #35624=VERTEX_POINT('',#120799); #35625=VERTEX_POINT('',#120807); #35626=VERTEX_POINT('',#120809); #35627=VERTEX_POINT('',#120821); #35628=VERTEX_POINT('',#120825); #35629=VERTEX_POINT('',#120837); #35630=VERTEX_POINT('',#120841); #35631=VERTEX_POINT('',#120842); #35632=VERTEX_POINT('',#120844); #35633=VERTEX_POINT('',#120846); #35634=VERTEX_POINT('',#120848); #35635=VERTEX_POINT('',#120850); #35636=VERTEX_POINT('',#120852); #35637=VERTEX_POINT('',#120854); #35638=VERTEX_POINT('',#120858); #35639=VERTEX_POINT('',#120859); #35640=VERTEX_POINT('',#120861); #35641=VERTEX_POINT('',#120863); #35642=VERTEX_POINT('',#120867); #35643=VERTEX_POINT('',#120868); #35644=VERTEX_POINT('',#120873); #35645=VERTEX_POINT('',#120874); #35646=VERTEX_POINT('',#120879); #35647=VERTEX_POINT('',#120880); #35648=VERTEX_POINT('',#120885); #35649=VERTEX_POINT('',#120886); #35650=VERTEX_POINT('',#120891); #35651=VERTEX_POINT('',#120892); #35652=VERTEX_POINT('',#120897); #35653=VERTEX_POINT('',#120898); #35654=VERTEX_POINT('',#120906); #35655=VERTEX_POINT('',#120908); #35656=VERTEX_POINT('',#120912); #35657=VERTEX_POINT('',#120916); #35658=VERTEX_POINT('',#120920); #35659=VERTEX_POINT('',#120924); #35660=VERTEX_POINT('',#120925); #35661=VERTEX_POINT('',#120927); #35662=VERTEX_POINT('',#120929); #35663=VERTEX_POINT('',#120931); #35664=VERTEX_POINT('',#120933); #35665=VERTEX_POINT('',#120935); #35666=VERTEX_POINT('',#120937); #35667=VERTEX_POINT('',#120941); #35668=VERTEX_POINT('',#120942); #35669=VERTEX_POINT('',#120944); #35670=VERTEX_POINT('',#120946); #35671=VERTEX_POINT('',#120950); #35672=VERTEX_POINT('',#120951); #35673=VERTEX_POINT('',#120956); #35674=VERTEX_POINT('',#120957); #35675=VERTEX_POINT('',#120962); #35676=VERTEX_POINT('',#120963); #35677=VERTEX_POINT('',#120968); #35678=VERTEX_POINT('',#120969); #35679=VERTEX_POINT('',#120974); #35680=VERTEX_POINT('',#120975); #35681=VERTEX_POINT('',#120980); #35682=VERTEX_POINT('',#120981); #35683=VERTEX_POINT('',#120989); #35684=VERTEX_POINT('',#120991); #35685=VERTEX_POINT('',#120995); #35686=VERTEX_POINT('',#120999); #35687=VERTEX_POINT('',#121003); #35688=VERTEX_POINT('',#121007); #35689=VERTEX_POINT('',#121008); #35690=VERTEX_POINT('',#121013); #35691=VERTEX_POINT('',#121015); #35692=VERTEX_POINT('',#121021); #35693=VERTEX_POINT('',#121023); #35694=VERTEX_POINT('',#121029); #35695=VERTEX_POINT('',#121031); #35696=VERTEX_POINT('',#121036); #35697=VERTEX_POINT('',#121038); #35698=VERTEX_POINT('',#121044); #35699=VERTEX_POINT('',#121046); #35700=VERTEX_POINT('',#121048); #35701=VERTEX_POINT('',#121054); #35702=VERTEX_POINT('',#121056); #35703=VERTEX_POINT('',#121058); #35704=VERTEX_POINT('',#121060); #35705=VERTEX_POINT('',#121066); #35706=VERTEX_POINT('',#121068); #35707=VERTEX_POINT('',#121074); #35708=VERTEX_POINT('',#121076); #35709=VERTEX_POINT('',#121078); #35710=VERTEX_POINT('',#121080); #35711=VERTEX_POINT('',#121086); #35712=VERTEX_POINT('',#121088); #35713=VERTEX_POINT('',#121094); #35714=VERTEX_POINT('',#121096); #35715=VERTEX_POINT('',#121098); #35716=VERTEX_POINT('',#121100); #35717=VERTEX_POINT('',#121106); #35718=VERTEX_POINT('',#121108); #35719=VERTEX_POINT('',#121114); #35720=VERTEX_POINT('',#121116); #35721=VERTEX_POINT('',#121118); #35722=VERTEX_POINT('',#121120); #35723=VERTEX_POINT('',#121126); #35724=VERTEX_POINT('',#121128); #35725=VERTEX_POINT('',#121134); #35726=VERTEX_POINT('',#121136); #35727=VERTEX_POINT('',#121138); #35728=VERTEX_POINT('',#121140); #35729=VERTEX_POINT('',#121146); #35730=VERTEX_POINT('',#121148); #35731=VERTEX_POINT('',#121150); #35732=VERTEX_POINT('',#121156); #35733=VERTEX_POINT('',#121158); #35734=VERTEX_POINT('',#121163); #35735=VERTEX_POINT('',#121165); #35736=VERTEX_POINT('',#121171); #35737=VERTEX_POINT('',#121173); #35738=VERTEX_POINT('',#121179); #35739=VERTEX_POINT('',#121181); #35740=VERTEX_POINT('',#121186); #35741=VERTEX_POINT('',#121191); #35742=VERTEX_POINT('',#121197); #35743=VERTEX_POINT('',#121199); #35744=VERTEX_POINT('',#121205); #35745=VERTEX_POINT('',#121207); #35746=VERTEX_POINT('',#121213); #35747=VERTEX_POINT('',#121215); #35748=VERTEX_POINT('',#121217); #35749=VERTEX_POINT('',#121219); #35750=VERTEX_POINT('',#121225); #35751=VERTEX_POINT('',#121227); #35752=VERTEX_POINT('',#121229); #35753=VERTEX_POINT('',#121235); #35754=VERTEX_POINT('',#121237); #35755=VERTEX_POINT('',#121239); #35756=VERTEX_POINT('',#121241); #35757=VERTEX_POINT('',#121247); #35758=VERTEX_POINT('',#121249); #35759=VERTEX_POINT('',#121255); #35760=VERTEX_POINT('',#121257); #35761=VERTEX_POINT('',#121262); #35762=VERTEX_POINT('',#121270); #35763=VERTEX_POINT('',#121275); #35764=VERTEX_POINT('',#121277); #35765=VERTEX_POINT('',#121283); #35766=VERTEX_POINT('',#121285); #35767=VERTEX_POINT('',#121291); #35768=VERTEX_POINT('',#121293); #35769=VERTEX_POINT('',#121298); #35770=VERTEX_POINT('',#121306); #35771=VERTEX_POINT('',#121311); #35772=VERTEX_POINT('',#121313); #35773=VERTEX_POINT('',#121319); #35774=VERTEX_POINT('',#121321); #35775=VERTEX_POINT('',#121327); #35776=VERTEX_POINT('',#121329); #35777=VERTEX_POINT('',#121331); #35778=VERTEX_POINT('',#121333); #35779=VERTEX_POINT('',#121339); #35780=VERTEX_POINT('',#121341); #35781=VERTEX_POINT('',#121343); #35782=VERTEX_POINT('',#121349); #35783=VERTEX_POINT('',#121351); #35784=VERTEX_POINT('',#121353); #35785=VERTEX_POINT('',#121355); #35786=VERTEX_POINT('',#121361); #35787=VERTEX_POINT('',#121363); #35788=VERTEX_POINT('',#121369); #35789=VERTEX_POINT('',#121371); #35790=VERTEX_POINT('',#121385); #35791=VERTEX_POINT('',#121387); #35792=VERTEX_POINT('',#121391); #35793=VERTEX_POINT('',#121393); #35794=VERTEX_POINT('',#121397); #35795=VERTEX_POINT('',#121399); #35796=VERTEX_POINT('',#121403); #35797=VERTEX_POINT('',#121405); #35798=VERTEX_POINT('',#121410); #35799=VERTEX_POINT('',#121414); #35800=VERTEX_POINT('',#121418); #35801=VERTEX_POINT('',#121432); #35802=VERTEX_POINT('',#121434); #35803=VERTEX_POINT('',#121438); #35804=VERTEX_POINT('',#121450); #35805=VERTEX_POINT('',#121454); #35806=VERTEX_POINT('',#121464); #35807=VERTEX_POINT('',#121466); #35808=VERTEX_POINT('',#121470); #35809=VERTEX_POINT('',#121474); #35810=VERTEX_POINT('',#121478); #35811=VERTEX_POINT('',#121482); #35812=VERTEX_POINT('',#121486); #35813=VERTEX_POINT('',#121490); #35814=VERTEX_POINT('',#121496); #35815=VERTEX_POINT('',#121498); #35816=VERTEX_POINT('',#121502); #35817=VERTEX_POINT('',#121506); #35818=VERTEX_POINT('',#121510); #35819=VERTEX_POINT('',#121514); #35820=VERTEX_POINT('',#121516); #35821=VERTEX_POINT('',#121520); #35822=VERTEX_POINT('',#121525); #35823=VERTEX_POINT('',#121529); #35824=VERTEX_POINT('',#121533); #35825=VERTEX_POINT('',#121583); #35826=VERTEX_POINT('',#121584); #35827=VERTEX_POINT('',#121586); #35828=VERTEX_POINT('',#121629); #35829=VERTEX_POINT('',#121636); #35830=VERTEX_POINT('',#121664); #35831=VERTEX_POINT('',#121665); #35832=VERTEX_POINT('',#121695); #35833=VERTEX_POINT('',#121700); #35834=VERTEX_POINT('',#121736); #35835=VERTEX_POINT('',#121769); #35836=VERTEX_POINT('',#121774); #35837=VERTEX_POINT('',#121776); #35838=VERTEX_POINT('',#121810); #35839=VERTEX_POINT('',#121815); #35840=VERTEX_POINT('',#121837); #35841=VERTEX_POINT('',#121842); #35842=VERTEX_POINT('',#121877); #35843=VERTEX_POINT('',#121915); #35844=VERTEX_POINT('',#121922); #35845=VERTEX_POINT('',#121950); #35846=VERTEX_POINT('',#121951); #35847=VERTEX_POINT('',#121981); #35848=VERTEX_POINT('',#121982); #35849=VERTEX_POINT('',#122016); #35850=VERTEX_POINT('',#122097); #35851=VERTEX_POINT('',#122098); #35852=VERTEX_POINT('',#122100); #35853=VERTEX_POINT('',#122143); #35854=VERTEX_POINT('',#122150); #35855=VERTEX_POINT('',#122159); #35856=VERTEX_POINT('',#122160); #35857=VERTEX_POINT('',#122184); #35858=VERTEX_POINT('',#122189); #35859=VERTEX_POINT('',#122225); #35860=VERTEX_POINT('',#122258); #35861=VERTEX_POINT('',#122260); #35862=VERTEX_POINT('',#122288); #35863=VERTEX_POINT('',#122293); #35864=VERTEX_POINT('',#122315); #35865=VERTEX_POINT('',#122320); #35866=VERTEX_POINT('',#122355); #35867=VERTEX_POINT('',#122393); #35868=VERTEX_POINT('',#122400); #35869=VERTEX_POINT('',#122409); #35870=VERTEX_POINT('',#122410); #35871=VERTEX_POINT('',#122434); #35872=VERTEX_POINT('',#122435); #35873=VERTEX_POINT('',#122469); #35874=VERTEX_POINT('',#122529); #35875=VERTEX_POINT('',#122530); #35876=VERTEX_POINT('',#122532); #35877=VERTEX_POINT('',#122575); #35878=VERTEX_POINT('',#122582); #35879=VERTEX_POINT('',#122591); #35880=VERTEX_POINT('',#122592); #35881=VERTEX_POINT('',#122616); #35882=VERTEX_POINT('',#122621); #35883=VERTEX_POINT('',#122657); #35884=VERTEX_POINT('',#122690); #35885=VERTEX_POINT('',#122692); #35886=VERTEX_POINT('',#122720); #35887=VERTEX_POINT('',#122725); #35888=VERTEX_POINT('',#122747); #35889=VERTEX_POINT('',#122752); #35890=VERTEX_POINT('',#122787); #35891=VERTEX_POINT('',#122825); #35892=VERTEX_POINT('',#122832); #35893=VERTEX_POINT('',#122841); #35894=VERTEX_POINT('',#122842); #35895=VERTEX_POINT('',#122866); #35896=VERTEX_POINT('',#122867); #35897=VERTEX_POINT('',#122901); #35898=VERTEX_POINT('',#122959); #35899=VERTEX_POINT('',#122961); #35900=VERTEX_POINT('',#122988); #35901=VERTEX_POINT('',#122990); #35902=VERTEX_POINT('',#123022); #35903=VERTEX_POINT('',#123027); #35904=VERTEX_POINT('',#123032); #35905=VERTEX_POINT('',#123062); #35906=VERTEX_POINT('',#123064); #35907=VERTEX_POINT('',#123100); #35908=VERTEX_POINT('',#123102); #35909=VERTEX_POINT('',#123129); #35910=VERTEX_POINT('',#123131); #35911=VERTEX_POINT('',#123163); #35912=VERTEX_POINT('',#123164); #35913=VERTEX_POINT('',#123166); #35914=VERTEX_POINT('',#123171); #35915=VERTEX_POINT('',#123197); #35916=VERTEX_POINT('',#123198); #35917=VERTEX_POINT('',#123222); #35918=VERTEX_POINT('',#123223); #35919=VERTEX_POINT('',#123234); #35920=VERTEX_POINT('',#123235); #35921=VERTEX_POINT('',#123269); #35922=VERTEX_POINT('',#123270); #35923=VERTEX_POINT('',#123285); #35924=VERTEX_POINT('',#123286); #35925=VERTEX_POINT('',#123320); #35926=VERTEX_POINT('',#123321); #35927=VERTEX_POINT('',#123336); #35928=VERTEX_POINT('',#123337); #35929=VERTEX_POINT('',#123361); #35930=VERTEX_POINT('',#123362); #35931=VERTEX_POINT('',#123392); #35932=VERTEX_POINT('',#123393); #35933=VERTEX_POINT('',#123417); #35934=VERTEX_POINT('',#123418); #35935=VERTEX_POINT('',#123429); #35936=VERTEX_POINT('',#123430); #35937=VERTEX_POINT('',#123454); #35938=VERTEX_POINT('',#123455); #35939=VERTEX_POINT('',#123485); #35940=VERTEX_POINT('',#123486); #35941=VERTEX_POINT('',#123510); #35942=VERTEX_POINT('',#123511); #35943=VERTEX_POINT('',#123522); #35944=VERTEX_POINT('',#123523); #35945=VERTEX_POINT('',#123557); #35946=VERTEX_POINT('',#123558); #35947=VERTEX_POINT('',#123573); #35948=VERTEX_POINT('',#123574); #35949=VERTEX_POINT('',#123577); #35950=VERTEX_POINT('',#123610); #35951=VERTEX_POINT('',#123611); #35952=VERTEX_POINT('',#123626); #35953=VERTEX_POINT('',#123627); #35954=VERTEX_POINT('',#123651); #35955=VERTEX_POINT('',#123652); #35956=VERTEX_POINT('',#123682); #35957=VERTEX_POINT('',#123683); #35958=VERTEX_POINT('',#123707); #35959=VERTEX_POINT('',#123708); #35960=VERTEX_POINT('',#123719); #35961=VERTEX_POINT('',#123720); #35962=VERTEX_POINT('',#123744); #35963=VERTEX_POINT('',#123745); #35964=VERTEX_POINT('',#123775); #35965=VERTEX_POINT('',#123776); #35966=VERTEX_POINT('',#123800); #35967=VERTEX_POINT('',#123801); #35968=VERTEX_POINT('',#123812); #35969=VERTEX_POINT('',#123813); #35970=VERTEX_POINT('',#123847); #35971=VERTEX_POINT('',#123848); #35972=VERTEX_POINT('',#123863); #35973=VERTEX_POINT('',#123864); #35974=VERTEX_POINT('',#123898); #35975=VERTEX_POINT('',#123899); #35976=VERTEX_POINT('',#123914); #35977=VERTEX_POINT('',#123915); #35978=VERTEX_POINT('',#123939); #35979=VERTEX_POINT('',#123940); #35980=VERTEX_POINT('',#123970); #35981=VERTEX_POINT('',#123971); #35982=VERTEX_POINT('',#123995); #35983=VERTEX_POINT('',#123996); #35984=VERTEX_POINT('',#124007); #35985=VERTEX_POINT('',#124008); #35986=VERTEX_POINT('',#124032); #35987=VERTEX_POINT('',#124033); #35988=VERTEX_POINT('',#124063); #35989=VERTEX_POINT('',#124064); #35990=VERTEX_POINT('',#124088); #35991=VERTEX_POINT('',#124089); #35992=VERTEX_POINT('',#124100); #35993=VERTEX_POINT('',#124101); #35994=VERTEX_POINT('',#124135); #35995=VERTEX_POINT('',#124136); #35996=VERTEX_POINT('',#124151); #35997=VERTEX_POINT('',#124152); #35998=VERTEX_POINT('',#124186); #35999=VERTEX_POINT('',#124187); #36000=VERTEX_POINT('',#124202); #36001=VERTEX_POINT('',#124203); #36002=VERTEX_POINT('',#124227); #36003=VERTEX_POINT('',#124228); #36004=VERTEX_POINT('',#124258); #36005=VERTEX_POINT('',#124259); #36006=VERTEX_POINT('',#124283); #36007=VERTEX_POINT('',#124284); #36008=VERTEX_POINT('',#124298); #36009=VERTEX_POINT('',#124300); #36010=VERTEX_POINT('',#124304); #36011=VERTEX_POINT('',#124311); #36012=VERTEX_POINT('',#124318); #36013=VERTEX_POINT('',#124326); #36014=VERTEX_POINT('',#124330); #36015=VERTEX_POINT('',#124338); #36016=VERTEX_POINT('',#124345); #36017=VERTEX_POINT('',#124352); #36018=VERTEX_POINT('',#124356); #36019=VERTEX_POINT('',#124363); #36020=VERTEX_POINT('',#124367); #36021=VERTEX_POINT('',#124374); #36022=VERTEX_POINT('',#124378); #36023=VERTEX_POINT('',#124385); #36024=VERTEX_POINT('',#124392); #36025=VERTEX_POINT('',#124400); #36026=VERTEX_POINT('',#124404); #36027=VERTEX_POINT('',#124412); #36028=VERTEX_POINT('',#124419); #36029=VERTEX_POINT('',#124426); #36030=VERTEX_POINT('',#124430); #36031=VERTEX_POINT('',#124437); #36032=VERTEX_POINT('',#124441); #36033=VERTEX_POINT('',#124448); #36034=VERTEX_POINT('',#124449); #36035=VERTEX_POINT('',#124473); #36036=VERTEX_POINT('',#124475); #36037=VERTEX_POINT('',#124501); #36038=VERTEX_POINT('',#124527); #36039=VERTEX_POINT('',#124553); #36040=VERTEX_POINT('',#124584); #36041=VERTEX_POINT('',#124592); #36042=VERTEX_POINT('',#124620); #36043=VERTEX_POINT('',#124647); #36044=VERTEX_POINT('',#124673); #36045=VERTEX_POINT('',#124699); #36046=VERTEX_POINT('',#124725); #36047=VERTEX_POINT('',#124732); #36048=VERTEX_POINT('',#124755); #36049=VERTEX_POINT('',#124781); #36050=VERTEX_POINT('',#124807); #36051=VERTEX_POINT('',#124833); #36052=VERTEX_POINT('',#124864); #36053=VERTEX_POINT('',#124872); #36054=VERTEX_POINT('',#124900); #36055=VERTEX_POINT('',#124927); #36056=VERTEX_POINT('',#124953); #36057=VERTEX_POINT('',#124979); #36058=VERTEX_POINT('',#125005); #36059=VERTEX_POINT('',#125006); #36060=VERTEX_POINT('',#125017); #36061=VERTEX_POINT('',#125018); #36062=VERTEX_POINT('',#125042); #36063=VERTEX_POINT('',#125043); #36064=VERTEX_POINT('',#125073); #36065=VERTEX_POINT('',#125074); #36066=VERTEX_POINT('',#125101); #36067=VERTEX_POINT('',#125102); #36068=VERTEX_POINT('',#125132); #36069=VERTEX_POINT('',#125137); #36070=VERTEX_POINT('',#125168); #36071=VERTEX_POINT('',#125169); #36072=VERTEX_POINT('',#125182); #36073=VERTEX_POINT('',#125183); #36074=VERTEX_POINT('',#125186); #36075=VERTEX_POINT('',#125214); #36076=VERTEX_POINT('',#125215); #36077=VERTEX_POINT('',#125247); #36078=VERTEX_POINT('',#125252); #36079=VERTEX_POINT('',#125278); #36080=VERTEX_POINT('',#125279); #36081=VERTEX_POINT('',#125309); #36082=VERTEX_POINT('',#125310); #36083=VERTEX_POINT('',#125337); #36084=VERTEX_POINT('',#125338); #36085=VERTEX_POINT('',#125349); #36086=VERTEX_POINT('',#125350); #36087=VERTEX_POINT('',#125374); #36088=VERTEX_POINT('',#125375); #36089=VERTEX_POINT('',#125405); #36090=VERTEX_POINT('',#125406); #36091=VERTEX_POINT('',#125433); #36092=VERTEX_POINT('',#125434); #36093=VERTEX_POINT('',#125464); #36094=VERTEX_POINT('',#125469); #36095=VERTEX_POINT('',#125500); #36096=VERTEX_POINT('',#125501); #36097=VERTEX_POINT('',#125514); #36098=VERTEX_POINT('',#125515); #36099=VERTEX_POINT('',#125544); #36100=VERTEX_POINT('',#125545); #36101=VERTEX_POINT('',#125577); #36102=VERTEX_POINT('',#125582); #36103=VERTEX_POINT('',#125608); #36104=VERTEX_POINT('',#125609); #36105=VERTEX_POINT('',#125639); #36106=VERTEX_POINT('',#125666); #36107=VERTEX_POINT('',#125717); #36108=VERTEX_POINT('',#125719); #36109=VERTEX_POINT('',#125723); #36110=VERTEX_POINT('',#125725); #36111=VERTEX_POINT('',#125729); #36112=VERTEX_POINT('',#125730); #36113=VERTEX_POINT('',#125736); #36114=VERTEX_POINT('',#125738); #36115=VERTEX_POINT('',#125740); #36116=VERTEX_POINT('',#125742); #36117=VERTEX_POINT('',#125750); #36118=VERTEX_POINT('',#125757); #36119=VERTEX_POINT('',#125765); #36120=VERTEX_POINT('',#125795); #36121=VERTEX_POINT('',#125796); #36122=VERTEX_POINT('',#125798); #36123=VERTEX_POINT('',#125800); #36124=VERTEX_POINT('',#125823); #36125=VERTEX_POINT('',#125824); #36126=VERTEX_POINT('',#125826); #36127=VERTEX_POINT('',#125831); #36128=VERTEX_POINT('',#125862); #36129=VERTEX_POINT('',#125863); #36130=VERTEX_POINT('',#125876); #36131=VERTEX_POINT('',#125877); #36132=VERTEX_POINT('',#125906); #36133=VERTEX_POINT('',#125907); #36134=VERTEX_POINT('',#125939); #36135=VERTEX_POINT('',#125950); #36136=VERTEX_POINT('',#125952); #36137=VERTEX_POINT('',#125954); #36138=VERTEX_POINT('',#125977); #36139=VERTEX_POINT('',#125978); #36140=VERTEX_POINT('',#125980); #36141=VERTEX_POINT('',#125985); #36142=VERTEX_POINT('',#126016); #36143=VERTEX_POINT('',#126017); #36144=VERTEX_POINT('',#126030); #36145=VERTEX_POINT('',#126031); #36146=VERTEX_POINT('',#126060); #36147=VERTEX_POINT('',#126061); #36148=VERTEX_POINT('',#126093); #36149=VERTEX_POINT('',#126104); #36150=VERTEX_POINT('',#126106); #36151=VERTEX_POINT('',#126108); #36152=VERTEX_POINT('',#126112); #36153=VERTEX_POINT('',#126114); #36154=VERTEX_POINT('',#126142); #36155=VERTEX_POINT('',#126143); #36156=VERTEX_POINT('',#126176); #36157=VERTEX_POINT('',#126177); #36158=VERTEX_POINT('',#126186); #36159=VERTEX_POINT('',#126215); #36160=VERTEX_POINT('',#126222); #36161=VERTEX_POINT('',#126245); #36162=VERTEX_POINT('',#126272); #36163=VERTEX_POINT('',#126274); #36164=VERTEX_POINT('',#126282); #36165=VERTEX_POINT('',#126286); #36166=VERTEX_POINT('',#126294); #36167=VERTEX_POINT('',#126301); #36168=VERTEX_POINT('',#126309); #36169=VERTEX_POINT('',#126313); #36170=VERTEX_POINT('',#126321); #36171=VERTEX_POINT('',#126328); #36172=VERTEX_POINT('',#126336); #36173=VERTEX_POINT('',#126340); #36174=VERTEX_POINT('',#126354); #36175=VERTEX_POINT('',#126356); #36176=VERTEX_POINT('',#126360); #36177=VERTEX_POINT('',#126363); #36178=VERTEX_POINT('',#126368); #36179=VERTEX_POINT('',#126374); #36180=VERTEX_POINT('',#126377); #36181=VERTEX_POINT('',#126379); #36182=VERTEX_POINT('',#126385); #36183=VERTEX_POINT('',#126390); #36184=VERTEX_POINT('',#126396); #36185=VERTEX_POINT('',#126398); #36186=VERTEX_POINT('',#126404); #36187=VERTEX_POINT('',#126409); #36188=VERTEX_POINT('',#126415); #36189=VERTEX_POINT('',#126417); #36190=VERTEX_POINT('',#126423); #36191=VERTEX_POINT('',#126428); #36192=VERTEX_POINT('',#126434); #36193=VERTEX_POINT('',#126436); #36194=VERTEX_POINT('',#126442); #36195=VERTEX_POINT('',#126447); #36196=VERTEX_POINT('',#126453); #36197=VERTEX_POINT('',#126455); #36198=VERTEX_POINT('',#126487); #36199=VERTEX_POINT('',#126518); #36200=VERTEX_POINT('',#126526); #36201=VERTEX_POINT('',#126554); #36202=VERTEX_POINT('',#126581); #36203=VERTEX_POINT('',#126612); #36204=VERTEX_POINT('',#126620); #36205=VERTEX_POINT('',#126648); #36206=VERTEX_POINT('',#126922); #36207=VERTEX_POINT('',#126923); #36208=VERTEX_POINT('',#126925); #36209=VERTEX_POINT('',#126927); #36210=VERTEX_POINT('',#126931); #36211=VERTEX_POINT('',#126933); #36212=VERTEX_POINT('',#126937); #36213=VERTEX_POINT('',#126939); #36214=VERTEX_POINT('',#126943); #36215=VERTEX_POINT('',#126945); #36216=VERTEX_POINT('',#126949); #36217=VERTEX_POINT('',#126951); #36218=VERTEX_POINT('',#126955); #36219=VERTEX_POINT('',#126957); #36220=VERTEX_POINT('',#126961); #36221=VERTEX_POINT('',#126963); #36222=VERTEX_POINT('',#126967); #36223=VERTEX_POINT('',#126969); #36224=VERTEX_POINT('',#126973); #36225=VERTEX_POINT('',#126975); #36226=VERTEX_POINT('',#126983); #36227=VERTEX_POINT('',#126984); #36228=VERTEX_POINT('',#126986); #36229=VERTEX_POINT('',#126988); #36230=VERTEX_POINT('',#126992); #36231=VERTEX_POINT('',#126994); #36232=VERTEX_POINT('',#126998); #36233=VERTEX_POINT('',#127000); #36234=VERTEX_POINT('',#127008); #36235=VERTEX_POINT('',#127009); #36236=VERTEX_POINT('',#127011); #36237=VERTEX_POINT('',#127013); #36238=VERTEX_POINT('',#127017); #36239=VERTEX_POINT('',#127019); #36240=VERTEX_POINT('',#127023); #36241=VERTEX_POINT('',#127025); #36242=VERTEX_POINT('',#127040); #36243=VERTEX_POINT('',#127041); #36244=VERTEX_POINT('',#127046); #36245=VERTEX_POINT('',#127048); #36246=VERTEX_POINT('',#127062); #36247=VERTEX_POINT('',#127067); #36248=VERTEX_POINT('',#127081); #36249=VERTEX_POINT('',#127086); #36250=VERTEX_POINT('',#127100); #36251=VERTEX_POINT('',#127105); #36252=VERTEX_POINT('',#127119); #36253=VERTEX_POINT('',#127124); #36254=VERTEX_POINT('',#127154); #36255=VERTEX_POINT('',#127155); #36256=VERTEX_POINT('',#127160); #36257=VERTEX_POINT('',#127162); #36258=VERTEX_POINT('',#127176); #36259=VERTEX_POINT('',#127181); #36260=VERTEX_POINT('',#127195); #36261=VERTEX_POINT('',#127200); #36262=VERTEX_POINT('',#127214); #36263=VERTEX_POINT('',#127219); #36264=VERTEX_POINT('',#127233); #36265=VERTEX_POINT('',#127238); #36266=VERTEX_POINT('',#127252); #36267=VERTEX_POINT('',#127257); #36268=VERTEX_POINT('',#127271); #36269=VERTEX_POINT('',#127276); #36270=VERTEX_POINT('',#127300); #36271=VERTEX_POINT('',#127301); #36272=VERTEX_POINT('',#127303); #36273=VERTEX_POINT('',#127305); #36274=VERTEX_POINT('',#127309); #36275=VERTEX_POINT('',#127311); #36276=VERTEX_POINT('',#127315); #36277=VERTEX_POINT('',#127317); #36278=VERTEX_POINT('',#127325); #36279=VERTEX_POINT('',#127326); #36280=VERTEX_POINT('',#127328); #36281=VERTEX_POINT('',#127330); #36282=VERTEX_POINT('',#127334); #36283=VERTEX_POINT('',#127336); #36284=VERTEX_POINT('',#127340); #36285=VERTEX_POINT('',#127342); #36286=VERTEX_POINT('',#127346); #36287=VERTEX_POINT('',#127348); #36288=VERTEX_POINT('',#127352); #36289=VERTEX_POINT('',#127354); #36290=VERTEX_POINT('',#127358); #36291=VERTEX_POINT('',#127360); #36292=VERTEX_POINT('',#127364); #36293=VERTEX_POINT('',#127366); #36294=VERTEX_POINT('',#127370); #36295=VERTEX_POINT('',#127372); #36296=VERTEX_POINT('',#127376); #36297=VERTEX_POINT('',#127378); #36298=VERTEX_POINT('',#127386); #36299=VERTEX_POINT('',#127387); #36300=VERTEX_POINT('',#127389); #36301=VERTEX_POINT('',#127391); #36302=VERTEX_POINT('',#127395); #36303=VERTEX_POINT('',#127397); #36304=VERTEX_POINT('',#127401); #36305=VERTEX_POINT('',#127403); #36306=VERTEX_POINT('',#127407); #36307=VERTEX_POINT('',#127409); #36308=VERTEX_POINT('',#127413); #36309=VERTEX_POINT('',#127415); #36310=VERTEX_POINT('',#127419); #36311=VERTEX_POINT('',#127421); #36312=VERTEX_POINT('',#127425); #36313=VERTEX_POINT('',#127427); #36314=VERTEX_POINT('',#127431); #36315=VERTEX_POINT('',#127433); #36316=VERTEX_POINT('',#127437); #36317=VERTEX_POINT('',#127439); #36318=VERTEX_POINT('',#127443); #36319=VERTEX_POINT('',#127445); #36320=VERTEX_POINT('',#127449); #36321=VERTEX_POINT('',#127451); #36322=VERTEX_POINT('',#127466); #36323=VERTEX_POINT('',#127467); #36324=VERTEX_POINT('',#127472); #36325=VERTEX_POINT('',#127474); #36326=VERTEX_POINT('',#127481); #36327=VERTEX_POINT('',#127483); #36328=VERTEX_POINT('',#127487); #36329=VERTEX_POINT('',#127489); #36330=VERTEX_POINT('',#127493); #36331=VERTEX_POINT('',#127495); #36332=VERTEX_POINT('',#127506); #36333=VERTEX_POINT('',#127511); #36334=VERTEX_POINT('',#127525); #36335=VERTEX_POINT('',#127530); #36336=VERTEX_POINT('',#127553); #36337=VERTEX_POINT('',#127554); #36338=VERTEX_POINT('',#127556); #36339=VERTEX_POINT('',#127558); #36340=VERTEX_POINT('',#127569); #36341=VERTEX_POINT('',#127574); #36342=VERTEX_POINT('',#127588); #36343=VERTEX_POINT('',#127593); #36344=VERTEX_POINT('',#127607); #36345=VERTEX_POINT('',#127612); #36346=VERTEX_POINT('',#127619); #36347=VERTEX_POINT('',#127621); #36348=VERTEX_POINT('',#127625); #36349=VERTEX_POINT('',#127627); #36350=VERTEX_POINT('',#127631); #36351=VERTEX_POINT('',#127633); #36352=VERTEX_POINT('',#127637); #36353=VERTEX_POINT('',#127639); #36354=VERTEX_POINT('',#127643); #36355=VERTEX_POINT('',#127645); #36356=VERTEX_POINT('',#127649); #36357=VERTEX_POINT('',#127651); #36358=VERTEX_POINT('',#127666); #36359=VERTEX_POINT('',#127667); #36360=VERTEX_POINT('',#127672); #36361=VERTEX_POINT('',#127674); #36362=VERTEX_POINT('',#127681); #36363=VERTEX_POINT('',#127683); #36364=VERTEX_POINT('',#127687); #36365=VERTEX_POINT('',#127689); #36366=VERTEX_POINT('',#127693); #36367=VERTEX_POINT('',#127695); #36368=VERTEX_POINT('',#127706); #36369=VERTEX_POINT('',#127711); #36370=VERTEX_POINT('',#127725); #36371=VERTEX_POINT('',#127730); #36372=VERTEX_POINT('',#127760); #36373=VERTEX_POINT('',#127761); #36374=VERTEX_POINT('',#127766); #36375=VERTEX_POINT('',#127768); #36376=VERTEX_POINT('',#127775); #36377=VERTEX_POINT('',#127777); #36378=VERTEX_POINT('',#127781); #36379=VERTEX_POINT('',#127783); #36380=VERTEX_POINT('',#127787); #36381=VERTEX_POINT('',#127789); #36382=VERTEX_POINT('',#127800); #36383=VERTEX_POINT('',#127805); #36384=VERTEX_POINT('',#127819); #36385=VERTEX_POINT('',#127824); #36386=VERTEX_POINT('',#127854); #36387=VERTEX_POINT('',#127855); #36388=VERTEX_POINT('',#127860); #36389=VERTEX_POINT('',#127862); #36390=VERTEX_POINT('',#127869); #36391=VERTEX_POINT('',#127871); #36392=VERTEX_POINT('',#127882); #36393=VERTEX_POINT('',#127887); #36394=VERTEX_POINT('',#127901); #36395=VERTEX_POINT('',#127906); #36396=VERTEX_POINT('',#127920); #36397=VERTEX_POINT('',#127925); #36398=VERTEX_POINT('',#127932); #36399=VERTEX_POINT('',#127934); #36400=VERTEX_POINT('',#127938); #36401=VERTEX_POINT('',#127940); #36402=VERTEX_POINT('',#127944); #36403=VERTEX_POINT('',#127946); #36404=VERTEX_POINT('',#127957); #36405=VERTEX_POINT('',#127962); #36406=VERTEX_POINT('',#127986); #36407=VERTEX_POINT('',#127987); #36408=VERTEX_POINT('',#127989); #36409=VERTEX_POINT('',#127991); #36410=VERTEX_POINT('',#128004); #36411=VERTEX_POINT('',#128010); #36412=VERTEX_POINT('',#128018); #36413=VERTEX_POINT('',#128020); #36414=VERTEX_POINT('',#128029); #36415=VERTEX_POINT('',#128033); #36416=VERTEX_POINT('',#128046); #36417=VERTEX_POINT('',#128051); #36418=VERTEX_POINT('',#128058); #36419=VERTEX_POINT('',#128060); #36420=VERTEX_POINT('',#128064); #36421=VERTEX_POINT('',#128066); #36422=VERTEX_POINT('',#128081); #36423=VERTEX_POINT('',#128082); #36424=VERTEX_POINT('',#128087); #36425=VERTEX_POINT('',#128089); #36426=VERTEX_POINT('',#128101); #36427=VERTEX_POINT('',#128105); #36428=VERTEX_POINT('',#128116); #36429=VERTEX_POINT('',#128120); #36430=VERTEX_POINT('',#128126); #36431=VERTEX_POINT('',#128128); #36432=VERTEX_POINT('',#128137); #36433=VERTEX_POINT('',#128141); #36434=VERTEX_POINT('',#128152); #36435=VERTEX_POINT('',#128156); #36436=VERTEX_POINT('',#128167); #36437=VERTEX_POINT('',#128171); #36438=VERTEX_POINT('',#128182); #36439=VERTEX_POINT('',#128186); #36440=VERTEX_POINT('',#128196); #36441=VERTEX_POINT('',#128197); #36442=VERTEX_POINT('',#128199); #36443=VERTEX_POINT('',#128201); #36444=VERTEX_POINT('',#128212); #36445=VERTEX_POINT('',#128217); #36446=VERTEX_POINT('',#128233); #36447=VERTEX_POINT('',#128239); #36448=VERTEX_POINT('',#128254); #36449=VERTEX_POINT('',#128259); #36450=VERTEX_POINT('',#128273); #36451=VERTEX_POINT('',#128278); #36452=VERTEX_POINT('',#128285); #36453=VERTEX_POINT('',#128287); #36454=VERTEX_POINT('',#128298); #36455=VERTEX_POINT('',#128303); #36456=VERTEX_POINT('',#128317); #36457=VERTEX_POINT('',#128322); #36458=VERTEX_POINT('',#128336); #36459=VERTEX_POINT('',#128341); #36460=VERTEX_POINT('',#128355); #36461=VERTEX_POINT('',#128360); #36462=VERTEX_POINT('',#128372); #36463=VERTEX_POINT('',#128376); #36464=VERTEX_POINT('',#128382); #36465=VERTEX_POINT('',#128384); #36466=VERTEX_POINT('',#128399); #36467=VERTEX_POINT('',#128400); #36468=VERTEX_POINT('',#128405); #36469=VERTEX_POINT('',#128407); #36470=VERTEX_POINT('',#128421); #36471=VERTEX_POINT('',#128426); #36472=VERTEX_POINT('',#128440); #36473=VERTEX_POINT('',#128445); #36474=VERTEX_POINT('',#128457); #36475=VERTEX_POINT('',#128461); #36476=VERTEX_POINT('',#128467); #36477=VERTEX_POINT('',#128469); #36478=VERTEX_POINT('',#128473); #36479=VERTEX_POINT('',#128475); #36480=VERTEX_POINT('',#128482); #36481=VERTEX_POINT('',#128483); #36482=VERTEX_POINT('',#128485); #36483=VERTEX_POINT('',#128487); #36484=VERTEX_POINT('',#128504); #36485=VERTEX_POINT('',#128512); #36486=VERTEX_POINT('',#128529); #36487=VERTEX_POINT('',#128534); #36488=VERTEX_POINT('',#128546); #36489=VERTEX_POINT('',#128550); #36490=VERTEX_POINT('',#128561); #36491=VERTEX_POINT('',#128565); #36492=VERTEX_POINT('',#128571); #36493=VERTEX_POINT('',#128573); #36494=VERTEX_POINT('',#128581); #36495=VERTEX_POINT('',#128582); #36496=VERTEX_POINT('',#128584); #36497=VERTEX_POINT('',#128586); #36498=VERTEX_POINT('',#128590); #36499=VERTEX_POINT('',#128592); #36500=VERTEX_POINT('',#128596); #36501=VERTEX_POINT('',#128598); #36502=VERTEX_POINT('',#128602); #36503=VERTEX_POINT('',#128604); #36504=VERTEX_POINT('',#128608); #36505=VERTEX_POINT('',#128610); #36506=VERTEX_POINT('',#128614); #36507=VERTEX_POINT('',#128616); #36508=VERTEX_POINT('',#128620); #36509=VERTEX_POINT('',#128622); #36510=VERTEX_POINT('',#128626); #36511=VERTEX_POINT('',#128628); #36512=VERTEX_POINT('',#128632); #36513=VERTEX_POINT('',#128634); #36514=VERTEX_POINT('',#128638); #36515=VERTEX_POINT('',#128640); #36516=VERTEX_POINT('',#128644); #36517=VERTEX_POINT('',#128646); #36518=VERTEX_POINT('',#128654); #36519=VERTEX_POINT('',#128655); #36520=VERTEX_POINT('',#128657); #36521=VERTEX_POINT('',#128659); #36522=VERTEX_POINT('',#128663); #36523=VERTEX_POINT('',#128665); #36524=VERTEX_POINT('',#128676); #36525=VERTEX_POINT('',#128681); #36526=VERTEX_POINT('',#128688); #36527=VERTEX_POINT('',#128690); #36528=VERTEX_POINT('',#128701); #36529=VERTEX_POINT('',#128706); #36530=VERTEX_POINT('',#128713); #36531=VERTEX_POINT('',#128715); #36532=VERTEX_POINT('',#128723); #36533=VERTEX_POINT('',#128724); #36534=VERTEX_POINT('',#128726); #36535=VERTEX_POINT('',#128728); #36536=VERTEX_POINT('',#128732); #36537=VERTEX_POINT('',#128734); #36538=VERTEX_POINT('',#128745); #36539=VERTEX_POINT('',#128750); #36540=VERTEX_POINT('',#128766); #36541=VERTEX_POINT('',#128772); #36542=VERTEX_POINT('',#128785); #36543=VERTEX_POINT('',#128789); #36544=VERTEX_POINT('',#128804); #36545=VERTEX_POINT('',#128810); #36546=VERTEX_POINT('',#128827); #36547=VERTEX_POINT('',#128833); #36548=VERTEX_POINT('',#128841); #36549=VERTEX_POINT('',#128843); #36550=VERTEX_POINT('',#128856); #36551=VERTEX_POINT('',#128862); #36552=VERTEX_POINT('',#128879); #36553=VERTEX_POINT('',#128885); #36554=VERTEX_POINT('',#128902); #36555=VERTEX_POINT('',#128908); #36556=VERTEX_POINT('',#128923); #36557=VERTEX_POINT('',#128928); #36558=VERTEX_POINT('',#128935); #36559=VERTEX_POINT('',#128937); #36560=VERTEX_POINT('',#128941); #36561=VERTEX_POINT('',#128943); #36562=VERTEX_POINT('',#128951); #36563=VERTEX_POINT('',#128952); #36564=VERTEX_POINT('',#128954); #36565=VERTEX_POINT('',#128956); #36566=VERTEX_POINT('',#128960); #36567=VERTEX_POINT('',#128962); #36568=VERTEX_POINT('',#128966); #36569=VERTEX_POINT('',#128968); #36570=VERTEX_POINT('',#128983); #36571=VERTEX_POINT('',#128984); #36572=VERTEX_POINT('',#128989); #36573=VERTEX_POINT('',#128991); #36574=VERTEX_POINT('',#129005); #36575=VERTEX_POINT('',#129010); #36576=VERTEX_POINT('',#129024); #36577=VERTEX_POINT('',#129029); #36578=VERTEX_POINT('',#129063); #36579=VERTEX_POINT('',#129064); #36580=VERTEX_POINT('',#129069); #36581=VERTEX_POINT('',#129071); #36582=VERTEX_POINT('',#129083); #36583=VERTEX_POINT('',#129087); #36584=VERTEX_POINT('',#129098); #36585=VERTEX_POINT('',#129102); #36586=VERTEX_POINT('',#129115); #36587=VERTEX_POINT('',#129120); #36588=VERTEX_POINT('',#129136); #36589=VERTEX_POINT('',#129142); #36590=VERTEX_POINT('',#129157); #36591=VERTEX_POINT('',#129162); #36592=VERTEX_POINT('',#129176); #36593=VERTEX_POINT('',#129181); #36594=VERTEX_POINT('',#129195); #36595=VERTEX_POINT('',#129200); #36596=VERTEX_POINT('',#129212); #36597=VERTEX_POINT('',#129216); #36598=VERTEX_POINT('',#129227); #36599=VERTEX_POINT('',#129231); #36600=VERTEX_POINT('',#129242); #36601=VERTEX_POINT('',#129246); #36602=VERTEX_POINT('',#129256); #36603=VERTEX_POINT('',#129257); #36604=VERTEX_POINT('',#129259); #36605=VERTEX_POINT('',#129261); #36606=VERTEX_POINT('',#129270); #36607=VERTEX_POINT('',#129274); #36608=VERTEX_POINT('',#129285); #36609=VERTEX_POINT('',#129289); #36610=VERTEX_POINT('',#129295); #36611=VERTEX_POINT('',#129297); #36612=VERTEX_POINT('',#129304); #36613=VERTEX_POINT('',#129305); #36614=VERTEX_POINT('',#129307); #36615=VERTEX_POINT('',#129309); #36616=VERTEX_POINT('',#129313); #36617=VERTEX_POINT('',#129315); #36618=VERTEX_POINT('',#129319); #36619=VERTEX_POINT('',#129321); #36620=VERTEX_POINT('',#129325); #36621=VERTEX_POINT('',#129327); #36622=VERTEX_POINT('',#129331); #36623=VERTEX_POINT('',#129333); #36624=VERTEX_POINT('',#129337); #36625=VERTEX_POINT('',#129339); #36626=VERTEX_POINT('',#129343); #36627=VERTEX_POINT('',#129345); #36628=VERTEX_POINT('',#129360); #36629=VERTEX_POINT('',#129361); #36630=VERTEX_POINT('',#129366); #36631=VERTEX_POINT('',#129368); #36632=VERTEX_POINT('',#129382); #36633=VERTEX_POINT('',#129387); #36634=VERTEX_POINT('',#129399); #36635=VERTEX_POINT('',#129403); #36636=VERTEX_POINT('',#129409); #36637=VERTEX_POINT('',#129411); #36638=VERTEX_POINT('',#129415); #36639=VERTEX_POINT('',#129417); #36640=VERTEX_POINT('',#129424); #36641=VERTEX_POINT('',#129425); #36642=VERTEX_POINT('',#129427); #36643=VERTEX_POINT('',#129429); #36644=VERTEX_POINT('',#129433); #36645=VERTEX_POINT('',#129435); #36646=VERTEX_POINT('',#129439); #36647=VERTEX_POINT('',#129441); #36648=VERTEX_POINT('',#129452); #36649=VERTEX_POINT('',#129457); #36650=VERTEX_POINT('',#129473); #36651=VERTEX_POINT('',#129479); #36652=VERTEX_POINT('',#129492); #36653=VERTEX_POINT('',#129496); #36654=VERTEX_POINT('',#129502); #36655=VERTEX_POINT('',#129504); #36656=VERTEX_POINT('',#129512); #36657=VERTEX_POINT('',#129513); #36658=VERTEX_POINT('',#129515); #36659=VERTEX_POINT('',#129517); #36660=VERTEX_POINT('',#129521); #36661=VERTEX_POINT('',#129523); #36662=VERTEX_POINT('',#129527); #36663=VERTEX_POINT('',#129529); #36664=VERTEX_POINT('',#129533); #36665=VERTEX_POINT('',#129535); #36666=VERTEX_POINT('',#129539); #36667=VERTEX_POINT('',#129541); #36668=VERTEX_POINT('',#129545); #36669=VERTEX_POINT('',#129547); #36670=VERTEX_POINT('',#129555); #36671=VERTEX_POINT('',#129556); #36672=VERTEX_POINT('',#129558); #36673=VERTEX_POINT('',#129560); #36674=VERTEX_POINT('',#129564); #36675=VERTEX_POINT('',#129566); #36676=VERTEX_POINT('',#129570); #36677=VERTEX_POINT('',#129572); #36678=VERTEX_POINT('',#129576); #36679=VERTEX_POINT('',#129578); #36680=VERTEX_POINT('',#129582); #36681=VERTEX_POINT('',#129584); #36682=VERTEX_POINT('',#129588); #36683=VERTEX_POINT('',#129590); #36684=VERTEX_POINT('',#129598); #36685=VERTEX_POINT('',#129599); #36686=VERTEX_POINT('',#129601); #36687=VERTEX_POINT('',#129603); #36688=VERTEX_POINT('',#129607); #36689=VERTEX_POINT('',#129609); #36690=VERTEX_POINT('',#129613); #36691=VERTEX_POINT('',#129615); #36692=VERTEX_POINT('',#129619); #36693=VERTEX_POINT('',#129621); #36694=VERTEX_POINT('',#129625); #36695=VERTEX_POINT('',#129627); #36696=VERTEX_POINT('',#129631); #36697=VERTEX_POINT('',#129633); #36698=VERTEX_POINT('',#129641); #36699=VERTEX_POINT('',#129642); #36700=VERTEX_POINT('',#129644); #36701=VERTEX_POINT('',#129646); #36702=VERTEX_POINT('',#129650); #36703=VERTEX_POINT('',#129652); #36704=VERTEX_POINT('',#129656); #36705=VERTEX_POINT('',#129658); #36706=VERTEX_POINT('',#129662); #36707=VERTEX_POINT('',#129664); #36708=VERTEX_POINT('',#129668); #36709=VERTEX_POINT('',#129670); #36710=VERTEX_POINT('',#129674); #36711=VERTEX_POINT('',#129676); #36712=VERTEX_POINT('',#129680); #36713=VERTEX_POINT('',#129682); #36714=VERTEX_POINT('',#129686); #36715=VERTEX_POINT('',#129688); #36716=VERTEX_POINT('',#129692); #36717=VERTEX_POINT('',#129694); #36718=VERTEX_POINT('',#129698); #36719=VERTEX_POINT('',#129700); #36720=VERTEX_POINT('',#129704); #36721=VERTEX_POINT('',#129706); #36722=VERTEX_POINT('',#129710); #36723=VERTEX_POINT('',#129712); #36724=VERTEX_POINT('',#129716); #36725=VERTEX_POINT('',#129718); #36726=VERTEX_POINT('',#129722); #36727=VERTEX_POINT('',#129724); #36728=VERTEX_POINT('',#129728); #36729=VERTEX_POINT('',#129730); #36730=VERTEX_POINT('',#129734); #36731=VERTEX_POINT('',#129736); #36732=VERTEX_POINT('',#129740); #36733=VERTEX_POINT('',#129742); #36734=VERTEX_POINT('',#129749); #36735=VERTEX_POINT('',#129750); #36736=VERTEX_POINT('',#129752); #36737=VERTEX_POINT('',#129754); #36738=VERTEX_POINT('',#129758); #36739=VERTEX_POINT('',#129760); #36740=VERTEX_POINT('',#129764); #36741=VERTEX_POINT('',#129766); #36742=VERTEX_POINT('',#129770); #36743=VERTEX_POINT('',#129772); #36744=VERTEX_POINT('',#129776); #36745=VERTEX_POINT('',#129778); #36746=VERTEX_POINT('',#129782); #36747=VERTEX_POINT('',#129784); #36748=VERTEX_POINT('',#129788); #36749=VERTEX_POINT('',#129790); #36750=VERTEX_POINT('',#129794); #36751=VERTEX_POINT('',#129796); #36752=VERTEX_POINT('',#129800); #36753=VERTEX_POINT('',#129802); #36754=VERTEX_POINT('',#129806); #36755=VERTEX_POINT('',#129808); #36756=VERTEX_POINT('',#129812); #36757=VERTEX_POINT('',#129814); #36758=VERTEX_POINT('',#129818); #36759=VERTEX_POINT('',#129820); #36760=VERTEX_POINT('',#129824); #36761=VERTEX_POINT('',#129826); #36762=VERTEX_POINT('',#129830); #36763=VERTEX_POINT('',#129832); #36764=VERTEX_POINT('',#129836); #36765=VERTEX_POINT('',#129838); #36766=VERTEX_POINT('',#129842); #36767=VERTEX_POINT('',#129844); #36768=VERTEX_POINT('',#129848); #36769=VERTEX_POINT('',#129850); #36770=VERTEX_POINT('',#129854); #36771=VERTEX_POINT('',#129856); #36772=VERTEX_POINT('',#129860); #36773=VERTEX_POINT('',#129862); #36774=VERTEX_POINT('',#129866); #36775=VERTEX_POINT('',#129868); #36776=VERTEX_POINT('',#129872); #36777=VERTEX_POINT('',#129874); #36778=VERTEX_POINT('',#129878); #36779=VERTEX_POINT('',#129880); #36780=VERTEX_POINT('',#129884); #36781=VERTEX_POINT('',#129886); #36782=VERTEX_POINT('',#129890); #36783=VERTEX_POINT('',#129892); #36784=VERTEX_POINT('',#129896); #36785=VERTEX_POINT('',#129898); #36786=VERTEX_POINT('',#129906); #36787=VERTEX_POINT('',#129907); #36788=VERTEX_POINT('',#129909); #36789=VERTEX_POINT('',#129911); #36790=VERTEX_POINT('',#129915); #36791=VERTEX_POINT('',#129917); #36792=VERTEX_POINT('',#129921); #36793=VERTEX_POINT('',#129923); #36794=VERTEX_POINT('',#129927); #36795=VERTEX_POINT('',#129929); #36796=VERTEX_POINT('',#129933); #36797=VERTEX_POINT('',#129935); #36798=VERTEX_POINT('',#129939); #36799=VERTEX_POINT('',#129941); #36800=VERTEX_POINT('',#129949); #36801=VERTEX_POINT('',#129950); #36802=VERTEX_POINT('',#129952); #36803=VERTEX_POINT('',#129954); #36804=VERTEX_POINT('',#129958); #36805=VERTEX_POINT('',#129960); #36806=VERTEX_POINT('',#129964); #36807=VERTEX_POINT('',#129966); #36808=VERTEX_POINT('',#129970); #36809=VERTEX_POINT('',#129972); #36810=VERTEX_POINT('',#129976); #36811=VERTEX_POINT('',#129978); #36812=VERTEX_POINT('',#129982); #36813=VERTEX_POINT('',#129984); #36814=VERTEX_POINT('',#129988); #36815=VERTEX_POINT('',#129990); #36816=VERTEX_POINT('',#129994); #36817=VERTEX_POINT('',#129996); #36818=VERTEX_POINT('',#130000); #36819=VERTEX_POINT('',#130002); #36820=VERTEX_POINT('',#130006); #36821=VERTEX_POINT('',#130008); #36822=VERTEX_POINT('',#130012); #36823=VERTEX_POINT('',#130014); #36824=VERTEX_POINT('',#130018); #36825=VERTEX_POINT('',#130020); #36826=VERTEX_POINT('',#130024); #36827=VERTEX_POINT('',#130026); #36828=VERTEX_POINT('',#130030); #36829=VERTEX_POINT('',#130032); #36830=VERTEX_POINT('',#130036); #36831=VERTEX_POINT('',#130038); #36832=VERTEX_POINT('',#130042); #36833=VERTEX_POINT('',#130044); #36834=VERTEX_POINT('',#130048); #36835=VERTEX_POINT('',#130050); #36836=VERTEX_POINT('',#130054); #36837=VERTEX_POINT('',#130056); #36838=VERTEX_POINT('',#130060); #36839=VERTEX_POINT('',#130062); #36840=VERTEX_POINT('',#130066); #36841=VERTEX_POINT('',#130068); #36842=VERTEX_POINT('',#130072); #36843=VERTEX_POINT('',#130074); #36844=VERTEX_POINT('',#130078); #36845=VERTEX_POINT('',#130080); #36846=VERTEX_POINT('',#130084); #36847=VERTEX_POINT('',#130086); #36848=VERTEX_POINT('',#130090); #36849=VERTEX_POINT('',#130092); #36850=VERTEX_POINT('',#130096); #36851=VERTEX_POINT('',#130098); #36852=VERTEX_POINT('',#130102); #36853=VERTEX_POINT('',#130104); #36854=VERTEX_POINT('',#130108); #36855=VERTEX_POINT('',#130110); #36856=VERTEX_POINT('',#130118); #36857=VERTEX_POINT('',#130119); #36858=VERTEX_POINT('',#130121); #36859=VERTEX_POINT('',#130123); #36860=VERTEX_POINT('',#130127); #36861=VERTEX_POINT('',#130129); #36862=VERTEX_POINT('',#130133); #36863=VERTEX_POINT('',#130135); #36864=VERTEX_POINT('',#130139); #36865=VERTEX_POINT('',#130141); #36866=VERTEX_POINT('',#130145); #36867=VERTEX_POINT('',#130147); #36868=VERTEX_POINT('',#130151); #36869=VERTEX_POINT('',#130153); #36870=VERTEX_POINT('',#130161); #36871=VERTEX_POINT('',#130162); #36872=VERTEX_POINT('',#130164); #36873=VERTEX_POINT('',#130166); #36874=VERTEX_POINT('',#130170); #36875=VERTEX_POINT('',#130172); #36876=VERTEX_POINT('',#130176); #36877=VERTEX_POINT('',#130178); #36878=VERTEX_POINT('',#130182); #36879=VERTEX_POINT('',#130184); #36880=VERTEX_POINT('',#130188); #36881=VERTEX_POINT('',#130190); #36882=VERTEX_POINT('',#130194); #36883=VERTEX_POINT('',#130196); #36884=VERTEX_POINT('',#130200); #36885=VERTEX_POINT('',#130202); #36886=VERTEX_POINT('',#130206); #36887=VERTEX_POINT('',#130208); #36888=VERTEX_POINT('',#130212); #36889=VERTEX_POINT('',#130214); #36890=VERTEX_POINT('',#130218); #36891=VERTEX_POINT('',#130220); #36892=VERTEX_POINT('',#130224); #36893=VERTEX_POINT('',#130226); #36894=VERTEX_POINT('',#130230); #36895=VERTEX_POINT('',#130232); #36896=VERTEX_POINT('',#130236); #36897=VERTEX_POINT('',#130238); #36898=VERTEX_POINT('',#130242); #36899=VERTEX_POINT('',#130244); #36900=VERTEX_POINT('',#130248); #36901=VERTEX_POINT('',#130250); #36902=VERTEX_POINT('',#130254); #36903=VERTEX_POINT('',#130256); #36904=VERTEX_POINT('',#130260); #36905=VERTEX_POINT('',#130262); #36906=VERTEX_POINT('',#130266); #36907=VERTEX_POINT('',#130268); #36908=VERTEX_POINT('',#130272); #36909=VERTEX_POINT('',#130274); #36910=VERTEX_POINT('',#130278); #36911=VERTEX_POINT('',#130280); #36912=VERTEX_POINT('',#130284); #36913=VERTEX_POINT('',#130286); #36914=VERTEX_POINT('',#130290); #36915=VERTEX_POINT('',#130292); #36916=VERTEX_POINT('',#130296); #36917=VERTEX_POINT('',#130298); #36918=VERTEX_POINT('',#130302); #36919=VERTEX_POINT('',#130304); #36920=VERTEX_POINT('',#130308); #36921=VERTEX_POINT('',#130310); #36922=VERTEX_POINT('',#130314); #36923=VERTEX_POINT('',#130316); #36924=VERTEX_POINT('',#130320); #36925=VERTEX_POINT('',#130322); #36926=VERTEX_POINT('',#130326); #36927=VERTEX_POINT('',#130328); #36928=VERTEX_POINT('',#130332); #36929=VERTEX_POINT('',#130334); #36930=VERTEX_POINT('',#130338); #36931=VERTEX_POINT('',#130340); #36932=VERTEX_POINT('',#130344); #36933=VERTEX_POINT('',#130346); #36934=VERTEX_POINT('',#130350); #36935=VERTEX_POINT('',#130352); #36936=VERTEX_POINT('',#130356); #36937=VERTEX_POINT('',#130358); #36938=VERTEX_POINT('',#130362); #36939=VERTEX_POINT('',#130364); #36940=VERTEX_POINT('',#130368); #36941=VERTEX_POINT('',#130370); #36942=VERTEX_POINT('',#130374); #36943=VERTEX_POINT('',#130376); #36944=VERTEX_POINT('',#130380); #36945=VERTEX_POINT('',#130382); #36946=VERTEX_POINT('',#130386); #36947=VERTEX_POINT('',#130388); #36948=VERTEX_POINT('',#130392); #36949=VERTEX_POINT('',#130394); #36950=VERTEX_POINT('',#130402); #36951=VERTEX_POINT('',#130403); #36952=VERTEX_POINT('',#130405); #36953=VERTEX_POINT('',#130407); #36954=VERTEX_POINT('',#130411); #36955=VERTEX_POINT('',#130413); #36956=VERTEX_POINT('',#130420); #36957=VERTEX_POINT('',#130421); #36958=VERTEX_POINT('',#130423); #36959=VERTEX_POINT('',#130425); #36960=VERTEX_POINT('',#130429); #36961=VERTEX_POINT('',#130431); #36962=VERTEX_POINT('',#130435); #36963=VERTEX_POINT('',#130437); #36964=VERTEX_POINT('',#130441); #36965=VERTEX_POINT('',#130443); #36966=VERTEX_POINT('',#130447); #36967=VERTEX_POINT('',#130449); #36968=VERTEX_POINT('',#130453); #36969=VERTEX_POINT('',#130455); #36970=VERTEX_POINT('',#130459); #36971=VERTEX_POINT('',#130461); #36972=VERTEX_POINT('',#130465); #36973=VERTEX_POINT('',#130467); #36974=VERTEX_POINT('',#130471); #36975=VERTEX_POINT('',#130473); #36976=VERTEX_POINT('',#130477); #36977=VERTEX_POINT('',#130479); #36978=VERTEX_POINT('',#130487); #36979=VERTEX_POINT('',#130488); #36980=VERTEX_POINT('',#130490); #36981=VERTEX_POINT('',#130492); #36982=VERTEX_POINT('',#130496); #36983=VERTEX_POINT('',#130498); #36984=VERTEX_POINT('',#130502); #36985=VERTEX_POINT('',#130504); #36986=VERTEX_POINT('',#130508); #36987=VERTEX_POINT('',#130510); #36988=VERTEX_POINT('',#130514); #36989=VERTEX_POINT('',#130516); #36990=VERTEX_POINT('',#130520); #36991=VERTEX_POINT('',#130522); #36992=VERTEX_POINT('',#130526); #36993=VERTEX_POINT('',#130528); #36994=VERTEX_POINT('',#130532); #36995=VERTEX_POINT('',#130534); #36996=VERTEX_POINT('',#130538); #36997=VERTEX_POINT('',#130540); #36998=VERTEX_POINT('',#130544); #36999=VERTEX_POINT('',#130546); #37000=VERTEX_POINT('',#130554); #37001=VERTEX_POINT('',#130555); #37002=VERTEX_POINT('',#130557); #37003=VERTEX_POINT('',#130559); #37004=VERTEX_POINT('',#130563); #37005=VERTEX_POINT('',#130565); #37006=VERTEX_POINT('',#130569); #37007=VERTEX_POINT('',#130571); #37008=VERTEX_POINT('',#130575); #37009=VERTEX_POINT('',#130577); #37010=VERTEX_POINT('',#130581); #37011=VERTEX_POINT('',#130583); #37012=VERTEX_POINT('',#130587); #37013=VERTEX_POINT('',#130589); #37014=VERTEX_POINT('',#130593); #37015=VERTEX_POINT('',#130595); #37016=VERTEX_POINT('',#130599); #37017=VERTEX_POINT('',#130601); #37018=VERTEX_POINT('',#130605); #37019=VERTEX_POINT('',#130607); #37020=VERTEX_POINT('',#130611); #37021=VERTEX_POINT('',#130613); #37022=VERTEX_POINT('',#130621); #37023=VERTEX_POINT('',#130622); #37024=VERTEX_POINT('',#130624); #37025=VERTEX_POINT('',#130626); #37026=VERTEX_POINT('',#130630); #37027=VERTEX_POINT('',#130632); #37028=VERTEX_POINT('',#130636); #37029=VERTEX_POINT('',#130638); #37030=VERTEX_POINT('',#130642); #37031=VERTEX_POINT('',#130644); #37032=VERTEX_POINT('',#130648); #37033=VERTEX_POINT('',#130650); #37034=VERTEX_POINT('',#130654); #37035=VERTEX_POINT('',#130656); #37036=VERTEX_POINT('',#130660); #37037=VERTEX_POINT('',#130662); #37038=VERTEX_POINT('',#130666); #37039=VERTEX_POINT('',#130668); #37040=VERTEX_POINT('',#130672); #37041=VERTEX_POINT('',#130674); #37042=VERTEX_POINT('',#130678); #37043=VERTEX_POINT('',#130680); #37044=VERTEX_POINT('',#130688); #37045=VERTEX_POINT('',#130690); #37046=VERTEX_POINT('',#130694); #37047=VERTEX_POINT('',#130695); #37048=VERTEX_POINT('',#130697); #37049=VERTEX_POINT('',#130699); #37050=VERTEX_POINT('',#130703); #37051=VERTEX_POINT('',#130705); #37052=VERTEX_POINT('',#130709); #37053=VERTEX_POINT('',#130711); #37054=VERTEX_POINT('',#130718); #37055=VERTEX_POINT('',#130719); #37056=VERTEX_POINT('',#130721); #37057=VERTEX_POINT('',#130723); #37058=VERTEX_POINT('',#130727); #37059=VERTEX_POINT('',#130729); #37060=VERTEX_POINT('',#130733); #37061=VERTEX_POINT('',#130735); #37062=VERTEX_POINT('',#130742); #37063=VERTEX_POINT('',#130743); #37064=VERTEX_POINT('',#130745); #37065=VERTEX_POINT('',#130747); #37066=VERTEX_POINT('',#130751); #37067=VERTEX_POINT('',#130753); #37068=VERTEX_POINT('',#130757); #37069=VERTEX_POINT('',#130759); #37070=VERTEX_POINT('',#130766); #37071=VERTEX_POINT('',#130767); #37072=VERTEX_POINT('',#130769); #37073=VERTEX_POINT('',#130771); #37074=VERTEX_POINT('',#130775); #37075=VERTEX_POINT('',#130777); #37076=VERTEX_POINT('',#130781); #37077=VERTEX_POINT('',#130783); #37078=VERTEX_POINT('',#130790); #37079=VERTEX_POINT('',#130791); #37080=VERTEX_POINT('',#130793); #37081=VERTEX_POINT('',#130795); #37082=VERTEX_POINT('',#130799); #37083=VERTEX_POINT('',#130801); #37084=VERTEX_POINT('',#130805); #37085=VERTEX_POINT('',#130807); #37086=VERTEX_POINT('',#130814); #37087=VERTEX_POINT('',#130815); #37088=VERTEX_POINT('',#130817); #37089=VERTEX_POINT('',#130819); #37090=VERTEX_POINT('',#130823); #37091=VERTEX_POINT('',#130825); #37092=VERTEX_POINT('',#130829); #37093=VERTEX_POINT('',#130831); #37094=VERTEX_POINT('',#130838); #37095=VERTEX_POINT('',#130839); #37096=VERTEX_POINT('',#130841); #37097=VERTEX_POINT('',#130843); #37098=VERTEX_POINT('',#130847); #37099=VERTEX_POINT('',#130849); #37100=VERTEX_POINT('',#130853); #37101=VERTEX_POINT('',#130855); #37102=VERTEX_POINT('',#130862); #37103=VERTEX_POINT('',#130863); #37104=VERTEX_POINT('',#130865); #37105=VERTEX_POINT('',#130867); #37106=VERTEX_POINT('',#130871); #37107=VERTEX_POINT('',#130873); #37108=VERTEX_POINT('',#130877); #37109=VERTEX_POINT('',#130879); #37110=VERTEX_POINT('',#130886); #37111=VERTEX_POINT('',#130887); #37112=VERTEX_POINT('',#130889); #37113=VERTEX_POINT('',#130891); #37114=VERTEX_POINT('',#130895); #37115=VERTEX_POINT('',#130897); #37116=VERTEX_POINT('',#130901); #37117=VERTEX_POINT('',#130903); #37118=VERTEX_POINT('',#130910); #37119=VERTEX_POINT('',#130911); #37120=VERTEX_POINT('',#130913); #37121=VERTEX_POINT('',#130915); #37122=VERTEX_POINT('',#130919); #37123=VERTEX_POINT('',#130921); #37124=VERTEX_POINT('',#130925); #37125=VERTEX_POINT('',#130927); #37126=VERTEX_POINT('',#130934); #37127=VERTEX_POINT('',#130935); #37128=VERTEX_POINT('',#130937); #37129=VERTEX_POINT('',#130939); #37130=VERTEX_POINT('',#130943); #37131=VERTEX_POINT('',#130945); #37132=VERTEX_POINT('',#130949); #37133=VERTEX_POINT('',#130951); #37134=VERTEX_POINT('',#130958); #37135=VERTEX_POINT('',#130959); #37136=VERTEX_POINT('',#130961); #37137=VERTEX_POINT('',#130963); #37138=VERTEX_POINT('',#130967); #37139=VERTEX_POINT('',#130969); #37140=VERTEX_POINT('',#130973); #37141=VERTEX_POINT('',#130975); #37142=VERTEX_POINT('',#130982); #37143=VERTEX_POINT('',#130984); #37144=VERTEX_POINT('',#130989); #37145=VERTEX_POINT('',#130990); #37146=VERTEX_POINT('',#130992); #37147=VERTEX_POINT('',#130994); #37148=VERTEX_POINT('',#130998); #37149=VERTEX_POINT('',#131000); #37150=VERTEX_POINT('',#131004); #37151=VERTEX_POINT('',#131006); #37152=VERTEX_POINT('',#131010); #37153=VERTEX_POINT('',#131012); #37154=VERTEX_POINT('',#131016); #37155=VERTEX_POINT('',#131018); #37156=VERTEX_POINT('',#131022); #37157=VERTEX_POINT('',#131024); #37158=VERTEX_POINT('',#131028); #37159=VERTEX_POINT('',#131030); #37160=VERTEX_POINT('',#131034); #37161=VERTEX_POINT('',#131036); #37162=VERTEX_POINT('',#131040); #37163=VERTEX_POINT('',#131042); #37164=VERTEX_POINT('',#131046); #37165=VERTEX_POINT('',#131048); #37166=VERTEX_POINT('',#131052); #37167=VERTEX_POINT('',#131054); #37168=VERTEX_POINT('',#131058); #37169=VERTEX_POINT('',#131060); #37170=VERTEX_POINT('',#131064); #37171=VERTEX_POINT('',#131066); #37172=VERTEX_POINT('',#131070); #37173=VERTEX_POINT('',#131072); #37174=VERTEX_POINT('',#131076); #37175=VERTEX_POINT('',#131078); #37176=VERTEX_POINT('',#131082); #37177=VERTEX_POINT('',#131084); #37178=VERTEX_POINT('',#131088); #37179=VERTEX_POINT('',#131090); #37180=VERTEX_POINT('',#131094); #37181=VERTEX_POINT('',#131096); #37182=VERTEX_POINT('',#131100); #37183=VERTEX_POINT('',#131102); #37184=VERTEX_POINT('',#131109); #37185=VERTEX_POINT('',#131110); #37186=VERTEX_POINT('',#131112); #37187=VERTEX_POINT('',#131114); #37188=VERTEX_POINT('',#131118); #37189=VERTEX_POINT('',#131120); #37190=VERTEX_POINT('',#131124); #37191=VERTEX_POINT('',#131126); #37192=VERTEX_POINT('',#131130); #37193=VERTEX_POINT('',#131132); #37194=VERTEX_POINT('',#131136); #37195=VERTEX_POINT('',#131138); #37196=VERTEX_POINT('',#131142); #37197=VERTEX_POINT('',#131144); #37198=VERTEX_POINT('',#131148); #37199=VERTEX_POINT('',#131150); #37200=VERTEX_POINT('',#131154); #37201=VERTEX_POINT('',#131156); #37202=VERTEX_POINT('',#131160); #37203=VERTEX_POINT('',#131162); #37204=VERTEX_POINT('',#131166); #37205=VERTEX_POINT('',#131168); #37206=VERTEX_POINT('',#131172); #37207=VERTEX_POINT('',#131174); #37208=VERTEX_POINT('',#131178); #37209=VERTEX_POINT('',#131180); #37210=VERTEX_POINT('',#131184); #37211=VERTEX_POINT('',#131186); #37212=VERTEX_POINT('',#131190); #37213=VERTEX_POINT('',#131192); #37214=VERTEX_POINT('',#131196); #37215=VERTEX_POINT('',#131198); #37216=VERTEX_POINT('',#131202); #37217=VERTEX_POINT('',#131204); #37218=VERTEX_POINT('',#131208); #37219=VERTEX_POINT('',#131210); #37220=VERTEX_POINT('',#131214); #37221=VERTEX_POINT('',#131216); #37222=VERTEX_POINT('',#131220); #37223=VERTEX_POINT('',#131222); #37224=VERTEX_POINT('',#131226); #37225=VERTEX_POINT('',#131228); #37226=VERTEX_POINT('',#131232); #37227=VERTEX_POINT('',#131234); #37228=VERTEX_POINT('',#131238); #37229=VERTEX_POINT('',#131240); #37230=VERTEX_POINT('',#131244); #37231=VERTEX_POINT('',#131246); #37232=VERTEX_POINT('',#131250); #37233=VERTEX_POINT('',#131252); #37234=VERTEX_POINT('',#131256); #37235=VERTEX_POINT('',#131258); #37236=VERTEX_POINT('',#131262); #37237=VERTEX_POINT('',#131264); #37238=VERTEX_POINT('',#131268); #37239=VERTEX_POINT('',#131270); #37240=VERTEX_POINT('',#131274); #37241=VERTEX_POINT('',#131276); #37242=VERTEX_POINT('',#131280); #37243=VERTEX_POINT('',#131282); #37244=VERTEX_POINT('',#131286); #37245=VERTEX_POINT('',#131288); #37246=VERTEX_POINT('',#131292); #37247=VERTEX_POINT('',#131294); #37248=VERTEX_POINT('',#131298); #37249=VERTEX_POINT('',#131300); #37250=VERTEX_POINT('',#131304); #37251=VERTEX_POINT('',#131306); #37252=VERTEX_POINT('',#131310); #37253=VERTEX_POINT('',#131312); #37254=VERTEX_POINT('',#131316); #37255=VERTEX_POINT('',#131318); #37256=VERTEX_POINT('',#131322); #37257=VERTEX_POINT('',#131324); #37258=VERTEX_POINT('',#131328); #37259=VERTEX_POINT('',#131330); #37260=VERTEX_POINT('',#131334); #37261=VERTEX_POINT('',#131336); #37262=VERTEX_POINT('',#131340); #37263=VERTEX_POINT('',#131342); #37264=VERTEX_POINT('',#131350); #37265=VERTEX_POINT('',#131351); #37266=VERTEX_POINT('',#131353); #37267=VERTEX_POINT('',#131355); #37268=VERTEX_POINT('',#131359); #37269=VERTEX_POINT('',#131361); #37270=VERTEX_POINT('',#131365); #37271=VERTEX_POINT('',#131367); #37272=VERTEX_POINT('',#131371); #37273=VERTEX_POINT('',#131373); #37274=VERTEX_POINT('',#131377); #37275=VERTEX_POINT('',#131379); #37276=VERTEX_POINT('',#131383); #37277=VERTEX_POINT('',#131385); #37278=VERTEX_POINT('',#131389); #37279=VERTEX_POINT('',#131391); #37280=VERTEX_POINT('',#131395); #37281=VERTEX_POINT('',#131397); #37282=VERTEX_POINT('',#131401); #37283=VERTEX_POINT('',#131403); #37284=VERTEX_POINT('',#131407); #37285=VERTEX_POINT('',#131409); #37286=VERTEX_POINT('',#131413); #37287=VERTEX_POINT('',#131415); #37288=VERTEX_POINT('',#131419); #37289=VERTEX_POINT('',#131421); #37290=VERTEX_POINT('',#131425); #37291=VERTEX_POINT('',#131427); #37292=VERTEX_POINT('',#131431); #37293=VERTEX_POINT('',#131433); #37294=VERTEX_POINT('',#131437); #37295=VERTEX_POINT('',#131439); #37296=VERTEX_POINT('',#131443); #37297=VERTEX_POINT('',#131445); #37298=VERTEX_POINT('',#131449); #37299=VERTEX_POINT('',#131451); #37300=VERTEX_POINT('',#131455); #37301=VERTEX_POINT('',#131457); #37302=VERTEX_POINT('',#131461); #37303=VERTEX_POINT('',#131463); #37304=VERTEX_POINT('',#131467); #37305=VERTEX_POINT('',#131469); #37306=VERTEX_POINT('',#131473); #37307=VERTEX_POINT('',#131475); #37308=VERTEX_POINT('',#131479); #37309=VERTEX_POINT('',#131481); #37310=VERTEX_POINT('',#131485); #37311=VERTEX_POINT('',#131487); #37312=VERTEX_POINT('',#131491); #37313=VERTEX_POINT('',#131493); #37314=VERTEX_POINT('',#131497); #37315=VERTEX_POINT('',#131499); #37316=VERTEX_POINT('',#131503); #37317=VERTEX_POINT('',#131505); #37318=VERTEX_POINT('',#131509); #37319=VERTEX_POINT('',#131511); #37320=VERTEX_POINT('',#131515); #37321=VERTEX_POINT('',#131517); #37322=VERTEX_POINT('',#131521); #37323=VERTEX_POINT('',#131523); #37324=VERTEX_POINT('',#131527); #37325=VERTEX_POINT('',#131529); #37326=VERTEX_POINT('',#131533); #37327=VERTEX_POINT('',#131535); #37328=VERTEX_POINT('',#131539); #37329=VERTEX_POINT('',#131541); #37330=VERTEX_POINT('',#131545); #37331=VERTEX_POINT('',#131547); #37332=VERTEX_POINT('',#131551); #37333=VERTEX_POINT('',#131553); #37334=VERTEX_POINT('',#131557); #37335=VERTEX_POINT('',#131559); #37336=VERTEX_POINT('',#131563); #37337=VERTEX_POINT('',#131565); #37338=VERTEX_POINT('',#131569); #37339=VERTEX_POINT('',#131571); #37340=VERTEX_POINT('',#131575); #37341=VERTEX_POINT('',#131577); #37342=VERTEX_POINT('',#131581); #37343=VERTEX_POINT('',#131583); #37344=VERTEX_POINT('',#131587); #37345=VERTEX_POINT('',#131589); #37346=VERTEX_POINT('',#131593); #37347=VERTEX_POINT('',#131595); #37348=VERTEX_POINT('',#131599); #37349=VERTEX_POINT('',#131601); #37350=VERTEX_POINT('',#131605); #37351=VERTEX_POINT('',#131607); #37352=VERTEX_POINT('',#131611); #37353=VERTEX_POINT('',#131613); #37354=VERTEX_POINT('',#131617); #37355=VERTEX_POINT('',#131619); #37356=VERTEX_POINT('',#131623); #37357=VERTEX_POINT('',#131625); #37358=VERTEX_POINT('',#131629); #37359=VERTEX_POINT('',#131631); #37360=VERTEX_POINT('',#131635); #37361=VERTEX_POINT('',#131637); #37362=VERTEX_POINT('',#131641); #37363=VERTEX_POINT('',#131643); #37364=VERTEX_POINT('',#131647); #37365=VERTEX_POINT('',#131649); #37366=VERTEX_POINT('',#131653); #37367=VERTEX_POINT('',#131655); #37368=VERTEX_POINT('',#131659); #37369=VERTEX_POINT('',#131661); #37370=VERTEX_POINT('',#131665); #37371=VERTEX_POINT('',#131667); #37372=VERTEX_POINT('',#131671); #37373=VERTEX_POINT('',#131673); #37374=VERTEX_POINT('',#131677); #37375=VERTEX_POINT('',#131679); #37376=VERTEX_POINT('',#131683); #37377=VERTEX_POINT('',#131685); #37378=VERTEX_POINT('',#131693); #37379=VERTEX_POINT('',#131694); #37380=VERTEX_POINT('',#131696); #37381=VERTEX_POINT('',#131698); #37382=VERTEX_POINT('',#131702); #37383=VERTEX_POINT('',#131704); #37384=VERTEX_POINT('',#131708); #37385=VERTEX_POINT('',#131710); #37386=VERTEX_POINT('',#131714); #37387=VERTEX_POINT('',#131716); #37388=VERTEX_POINT('',#131720); #37389=VERTEX_POINT('',#131722); #37390=VERTEX_POINT('',#131726); #37391=VERTEX_POINT('',#131728); #37392=VERTEX_POINT('',#131732); #37393=VERTEX_POINT('',#131734); #37394=VERTEX_POINT('',#131738); #37395=VERTEX_POINT('',#131740); #37396=VERTEX_POINT('',#131744); #37397=VERTEX_POINT('',#131746); #37398=VERTEX_POINT('',#131750); #37399=VERTEX_POINT('',#131752); #37400=VERTEX_POINT('',#131756); #37401=VERTEX_POINT('',#131758); #37402=VERTEX_POINT('',#131762); #37403=VERTEX_POINT('',#131764); #37404=VERTEX_POINT('',#131768); #37405=VERTEX_POINT('',#131770); #37406=VERTEX_POINT('',#131774); #37407=VERTEX_POINT('',#131776); #37408=VERTEX_POINT('',#131780); #37409=VERTEX_POINT('',#131782); #37410=VERTEX_POINT('',#131789); #37411=VERTEX_POINT('',#131790); #37412=VERTEX_POINT('',#131792); #37413=VERTEX_POINT('',#131794); #37414=VERTEX_POINT('',#131798); #37415=VERTEX_POINT('',#131800); #37416=VERTEX_POINT('',#131804); #37417=VERTEX_POINT('',#131806); #37418=VERTEX_POINT('',#131810); #37419=VERTEX_POINT('',#131812); #37420=VERTEX_POINT('',#131816); #37421=VERTEX_POINT('',#131818); #37422=VERTEX_POINT('',#131822); #37423=VERTEX_POINT('',#131824); #37424=VERTEX_POINT('',#131828); #37425=VERTEX_POINT('',#131830); #37426=VERTEX_POINT('',#131834); #37427=VERTEX_POINT('',#131836); #37428=VERTEX_POINT('',#131840); #37429=VERTEX_POINT('',#131842); #37430=VERTEX_POINT('',#131846); #37431=VERTEX_POINT('',#131848); #37432=VERTEX_POINT('',#131852); #37433=VERTEX_POINT('',#131854); #37434=VERTEX_POINT('',#131858); #37435=VERTEX_POINT('',#131860); #37436=VERTEX_POINT('',#131864); #37437=VERTEX_POINT('',#131866); #37438=VERTEX_POINT('',#131870); #37439=VERTEX_POINT('',#131872); #37440=VERTEX_POINT('',#131876); #37441=VERTEX_POINT('',#131878); #37442=VERTEX_POINT('',#131885); #37443=VERTEX_POINT('',#131886); #37444=VERTEX_POINT('',#131888); #37445=VERTEX_POINT('',#131890); #37446=VERTEX_POINT('',#131894); #37447=VERTEX_POINT('',#131896); #37448=VERTEX_POINT('',#131900); #37449=VERTEX_POINT('',#131902); #37450=VERTEX_POINT('',#131906); #37451=VERTEX_POINT('',#131908); #37452=VERTEX_POINT('',#131912); #37453=VERTEX_POINT('',#131914); #37454=VERTEX_POINT('',#131918); #37455=VERTEX_POINT('',#131920); #37456=VERTEX_POINT('',#131924); #37457=VERTEX_POINT('',#131926); #37458=VERTEX_POINT('',#131930); #37459=VERTEX_POINT('',#131932); #37460=VERTEX_POINT('',#131936); #37461=VERTEX_POINT('',#131938); #37462=VERTEX_POINT('',#131942); #37463=VERTEX_POINT('',#131944); #37464=VERTEX_POINT('',#131948); #37465=VERTEX_POINT('',#131950); #37466=VERTEX_POINT('',#131954); #37467=VERTEX_POINT('',#131956); #37468=VERTEX_POINT('',#131960); #37469=VERTEX_POINT('',#131962); #37470=VERTEX_POINT('',#131966); #37471=VERTEX_POINT('',#131968); #37472=VERTEX_POINT('',#131972); #37473=VERTEX_POINT('',#131974); #37474=VERTEX_POINT('',#131978); #37475=VERTEX_POINT('',#131980); #37476=VERTEX_POINT('',#131984); #37477=VERTEX_POINT('',#131986); #37478=VERTEX_POINT('',#131990); #37479=VERTEX_POINT('',#131992); #37480=VERTEX_POINT('',#131996); #37481=VERTEX_POINT('',#131998); #37482=VERTEX_POINT('',#132002); #37483=VERTEX_POINT('',#132004); #37484=VERTEX_POINT('',#132008); #37485=VERTEX_POINT('',#132010); #37486=VERTEX_POINT('',#132014); #37487=VERTEX_POINT('',#132016); #37488=VERTEX_POINT('',#132020); #37489=VERTEX_POINT('',#132022); #37490=VERTEX_POINT('',#132026); #37491=VERTEX_POINT('',#132028); #37492=VERTEX_POINT('',#132032); #37493=VERTEX_POINT('',#132034); #37494=VERTEX_POINT('',#132038); #37495=VERTEX_POINT('',#132040); #37496=VERTEX_POINT('',#132044); #37497=VERTEX_POINT('',#132046); #37498=VERTEX_POINT('',#132050); #37499=VERTEX_POINT('',#132052); #37500=VERTEX_POINT('',#132056); #37501=VERTEX_POINT('',#132058); #37502=VERTEX_POINT('',#132062); #37503=VERTEX_POINT('',#132064); #37504=VERTEX_POINT('',#132068); #37505=VERTEX_POINT('',#132070); #37506=VERTEX_POINT('',#132074); #37507=VERTEX_POINT('',#132076); #37508=VERTEX_POINT('',#132080); #37509=VERTEX_POINT('',#132082); #37510=VERTEX_POINT('',#132090); #37511=VERTEX_POINT('',#132091); #37512=VERTEX_POINT('',#132093); #37513=VERTEX_POINT('',#132095); #37514=VERTEX_POINT('',#132099); #37515=VERTEX_POINT('',#132101); #37516=VERTEX_POINT('',#132105); #37517=VERTEX_POINT('',#132107); #37518=VERTEX_POINT('',#132111); #37519=VERTEX_POINT('',#132113); #37520=VERTEX_POINT('',#132117); #37521=VERTEX_POINT('',#132119); #37522=VERTEX_POINT('',#132123); #37523=VERTEX_POINT('',#132125); #37524=VERTEX_POINT('',#132133); #37525=VERTEX_POINT('',#132134); #37526=VERTEX_POINT('',#132136); #37527=VERTEX_POINT('',#132138); #37528=VERTEX_POINT('',#132142); #37529=VERTEX_POINT('',#132144); #37530=VERTEX_POINT('',#132148); #37531=VERTEX_POINT('',#132150); #37532=VERTEX_POINT('',#132154); #37533=VERTEX_POINT('',#132156); #37534=VERTEX_POINT('',#132160); #37535=VERTEX_POINT('',#132162); #37536=VERTEX_POINT('',#132166); #37537=VERTEX_POINT('',#132168); #37538=VERTEX_POINT('',#132172); #37539=VERTEX_POINT('',#132174); #37540=VERTEX_POINT('',#132178); #37541=VERTEX_POINT('',#132180); #37542=VERTEX_POINT('',#132184); #37543=VERTEX_POINT('',#132186); #37544=VERTEX_POINT('',#132190); #37545=VERTEX_POINT('',#132192); #37546=VERTEX_POINT('',#132196); #37547=VERTEX_POINT('',#132198); #37548=VERTEX_POINT('',#132202); #37549=VERTEX_POINT('',#132204); #37550=VERTEX_POINT('',#132208); #37551=VERTEX_POINT('',#132210); #37552=VERTEX_POINT('',#132214); #37553=VERTEX_POINT('',#132216); #37554=VERTEX_POINT('',#132220); #37555=VERTEX_POINT('',#132222); #37556=VERTEX_POINT('',#132226); #37557=VERTEX_POINT('',#132228); #37558=VERTEX_POINT('',#132232); #37559=VERTEX_POINT('',#132234); #37560=VERTEX_POINT('',#132238); #37561=VERTEX_POINT('',#132240); #37562=VERTEX_POINT('',#132244); #37563=VERTEX_POINT('',#132246); #37564=VERTEX_POINT('',#132253); #37565=VERTEX_POINT('',#132254); #37566=VERTEX_POINT('',#132256); #37567=VERTEX_POINT('',#132258); #37568=VERTEX_POINT('',#132262); #37569=VERTEX_POINT('',#132264); #37570=VERTEX_POINT('',#132268); #37571=VERTEX_POINT('',#132270); #37572=VERTEX_POINT('',#132274); #37573=VERTEX_POINT('',#132276); #37574=VERTEX_POINT('',#132280); #37575=VERTEX_POINT('',#132282); #37576=VERTEX_POINT('',#132286); #37577=VERTEX_POINT('',#132288); #37578=VERTEX_POINT('',#132292); #37579=VERTEX_POINT('',#132294); #37580=VERTEX_POINT('',#132298); #37581=VERTEX_POINT('',#132300); #37582=VERTEX_POINT('',#132304); #37583=VERTEX_POINT('',#132306); #37584=VERTEX_POINT('',#132310); #37585=VERTEX_POINT('',#132312); #37586=VERTEX_POINT('',#132316); #37587=VERTEX_POINT('',#132318); #37588=VERTEX_POINT('',#132322); #37589=VERTEX_POINT('',#132324); #37590=VERTEX_POINT('',#132328); #37591=VERTEX_POINT('',#132330); #37592=VERTEX_POINT('',#132334); #37593=VERTEX_POINT('',#132336); #37594=VERTEX_POINT('',#132340); #37595=VERTEX_POINT('',#132342); #37596=VERTEX_POINT('',#132346); #37597=VERTEX_POINT('',#132348); #37598=VERTEX_POINT('',#132352); #37599=VERTEX_POINT('',#132354); #37600=VERTEX_POINT('',#132358); #37601=VERTEX_POINT('',#132360); #37602=VERTEX_POINT('',#132364); #37603=VERTEX_POINT('',#132366); #37604=VERTEX_POINT('',#132370); #37605=VERTEX_POINT('',#132372); #37606=VERTEX_POINT('',#132376); #37607=VERTEX_POINT('',#132378); #37608=VERTEX_POINT('',#132382); #37609=VERTEX_POINT('',#132384); #37610=VERTEX_POINT('',#132388); #37611=VERTEX_POINT('',#132390); #37612=VERTEX_POINT('',#132394); #37613=VERTEX_POINT('',#132396); #37614=VERTEX_POINT('',#132400); #37615=VERTEX_POINT('',#132402); #37616=VERTEX_POINT('',#132406); #37617=VERTEX_POINT('',#132408); #37618=VERTEX_POINT('',#132412); #37619=VERTEX_POINT('',#132414); #37620=VERTEX_POINT('',#132418); #37621=VERTEX_POINT('',#132420); #37622=VERTEX_POINT('',#132424); #37623=VERTEX_POINT('',#132426); #37624=VERTEX_POINT('',#132430); #37625=VERTEX_POINT('',#132432); #37626=VERTEX_POINT('',#132436); #37627=VERTEX_POINT('',#132438); #37628=VERTEX_POINT('',#132442); #37629=VERTEX_POINT('',#132444); #37630=VERTEX_POINT('',#132448); #37631=VERTEX_POINT('',#132450); #37632=VERTEX_POINT('',#132454); #37633=VERTEX_POINT('',#132456); #37634=VERTEX_POINT('',#132460); #37635=VERTEX_POINT('',#132462); #37636=VERTEX_POINT('',#132466); #37637=VERTEX_POINT('',#132468); #37638=VERTEX_POINT('',#132472); #37639=VERTEX_POINT('',#132474); #37640=VERTEX_POINT('',#132478); #37641=VERTEX_POINT('',#132480); #37642=VERTEX_POINT('',#132484); #37643=VERTEX_POINT('',#132486); #37644=VERTEX_POINT('',#132494); #37645=VERTEX_POINT('',#132495); #37646=VERTEX_POINT('',#132497); #37647=VERTEX_POINT('',#132499); #37648=VERTEX_POINT('',#132503); #37649=VERTEX_POINT('',#132505); #37650=VERTEX_POINT('',#132509); #37651=VERTEX_POINT('',#132511); #37652=VERTEX_POINT('',#132515); #37653=VERTEX_POINT('',#132517); #37654=VERTEX_POINT('',#132521); #37655=VERTEX_POINT('',#132523); #37656=VERTEX_POINT('',#132527); #37657=VERTEX_POINT('',#132529); #37658=VERTEX_POINT('',#132537); #37659=VERTEX_POINT('',#132538); #37660=VERTEX_POINT('',#132540); #37661=VERTEX_POINT('',#132542); #37662=VERTEX_POINT('',#132546); #37663=VERTEX_POINT('',#132548); #37664=VERTEX_POINT('',#132552); #37665=VERTEX_POINT('',#132554); #37666=VERTEX_POINT('',#132558); #37667=VERTEX_POINT('',#132560); #37668=VERTEX_POINT('',#132564); #37669=VERTEX_POINT('',#132566); #37670=VERTEX_POINT('',#132570); #37671=VERTEX_POINT('',#132572); #37672=VERTEX_POINT('',#132580); #37673=VERTEX_POINT('',#132581); #37674=VERTEX_POINT('',#132583); #37675=VERTEX_POINT('',#132585); #37676=VERTEX_POINT('',#132589); #37677=VERTEX_POINT('',#132591); #37678=VERTEX_POINT('',#132595); #37679=VERTEX_POINT('',#132597); #37680=VERTEX_POINT('',#132601); #37681=VERTEX_POINT('',#132603); #37682=VERTEX_POINT('',#132607); #37683=VERTEX_POINT('',#132609); #37684=VERTEX_POINT('',#132613); #37685=VERTEX_POINT('',#132615); #37686=VERTEX_POINT('',#132619); #37687=VERTEX_POINT('',#132621); #37688=VERTEX_POINT('',#132625); #37689=VERTEX_POINT('',#132627); #37690=VERTEX_POINT('',#132631); #37691=VERTEX_POINT('',#132633); #37692=VERTEX_POINT('',#132637); #37693=VERTEX_POINT('',#132639); #37694=VERTEX_POINT('',#132643); #37695=VERTEX_POINT('',#132645); #37696=VERTEX_POINT('',#132649); #37697=VERTEX_POINT('',#132651); #37698=VERTEX_POINT('',#132655); #37699=VERTEX_POINT('',#132657); #37700=VERTEX_POINT('',#132661); #37701=VERTEX_POINT('',#132663); #37702=VERTEX_POINT('',#132667); #37703=VERTEX_POINT('',#132669); #37704=VERTEX_POINT('',#132673); #37705=VERTEX_POINT('',#132675); #37706=VERTEX_POINT('',#132679); #37707=VERTEX_POINT('',#132681); #37708=VERTEX_POINT('',#132685); #37709=VERTEX_POINT('',#132687); #37710=VERTEX_POINT('',#132691); #37711=VERTEX_POINT('',#132693); #37712=VERTEX_POINT('',#132697); #37713=VERTEX_POINT('',#132699); #37714=VERTEX_POINT('',#132703); #37715=VERTEX_POINT('',#132705); #37716=VERTEX_POINT('',#132709); #37717=VERTEX_POINT('',#132711); #37718=VERTEX_POINT('',#132715); #37719=VERTEX_POINT('',#132717); #37720=VERTEX_POINT('',#132721); #37721=VERTEX_POINT('',#132723); #37722=VERTEX_POINT('',#132727); #37723=VERTEX_POINT('',#132729); #37724=VERTEX_POINT('',#132733); #37725=VERTEX_POINT('',#132735); #37726=VERTEX_POINT('',#132739); #37727=VERTEX_POINT('',#132741); #37728=VERTEX_POINT('',#132749); #37729=VERTEX_POINT('',#132750); #37730=VERTEX_POINT('',#132752); #37731=VERTEX_POINT('',#132754); #37732=VERTEX_POINT('',#132758); #37733=VERTEX_POINT('',#132760); #37734=VERTEX_POINT('',#132764); #37735=VERTEX_POINT('',#132766); #37736=VERTEX_POINT('',#132770); #37737=VERTEX_POINT('',#132772); #37738=VERTEX_POINT('',#132776); #37739=VERTEX_POINT('',#132778); #37740=VERTEX_POINT('',#132782); #37741=VERTEX_POINT('',#132784); #37742=VERTEX_POINT('',#132788); #37743=VERTEX_POINT('',#132790); #37744=VERTEX_POINT('',#132794); #37745=VERTEX_POINT('',#132796); #37746=VERTEX_POINT('',#132800); #37747=VERTEX_POINT('',#132802); #37748=VERTEX_POINT('',#132806); #37749=VERTEX_POINT('',#132808); #37750=VERTEX_POINT('',#132812); #37751=VERTEX_POINT('',#132814); #37752=VERTEX_POINT('',#132818); #37753=VERTEX_POINT('',#132820); #37754=VERTEX_POINT('',#132824); #37755=VERTEX_POINT('',#132826); #37756=VERTEX_POINT('',#132830); #37757=VERTEX_POINT('',#132832); #37758=VERTEX_POINT('',#132836); #37759=VERTEX_POINT('',#132838); #37760=VERTEX_POINT('',#132845); #37761=VERTEX_POINT('',#132846); #37762=VERTEX_POINT('',#132848); #37763=VERTEX_POINT('',#132850); #37764=VERTEX_POINT('',#132854); #37765=VERTEX_POINT('',#132856); #37766=VERTEX_POINT('',#132860); #37767=VERTEX_POINT('',#132862); #37768=VERTEX_POINT('',#132866); #37769=VERTEX_POINT('',#132868); #37770=VERTEX_POINT('',#132872); #37771=VERTEX_POINT('',#132874); #37772=VERTEX_POINT('',#132878); #37773=VERTEX_POINT('',#132880); #37774=VERTEX_POINT('',#132884); #37775=VERTEX_POINT('',#132886); #37776=VERTEX_POINT('',#132890); #37777=VERTEX_POINT('',#132892); #37778=VERTEX_POINT('',#132896); #37779=VERTEX_POINT('',#132898); #37780=VERTEX_POINT('',#132902); #37781=VERTEX_POINT('',#132904); #37782=VERTEX_POINT('',#132908); #37783=VERTEX_POINT('',#132910); #37784=VERTEX_POINT('',#132914); #37785=VERTEX_POINT('',#132916); #37786=VERTEX_POINT('',#132920); #37787=VERTEX_POINT('',#132922); #37788=VERTEX_POINT('',#132926); #37789=VERTEX_POINT('',#132928); #37790=VERTEX_POINT('',#132932); #37791=VERTEX_POINT('',#132934); #37792=VERTEX_POINT('',#132941); #37793=VERTEX_POINT('',#132942); #37794=VERTEX_POINT('',#132944); #37795=VERTEX_POINT('',#132946); #37796=VERTEX_POINT('',#132950); #37797=VERTEX_POINT('',#132952); #37798=VERTEX_POINT('',#132956); #37799=VERTEX_POINT('',#132958); #37800=VERTEX_POINT('',#132962); #37801=VERTEX_POINT('',#132964); #37802=VERTEX_POINT('',#132968); #37803=VERTEX_POINT('',#132970); #37804=VERTEX_POINT('',#132974); #37805=VERTEX_POINT('',#132976); #37806=VERTEX_POINT('',#132980); #37807=VERTEX_POINT('',#132982); #37808=VERTEX_POINT('',#132986); #37809=VERTEX_POINT('',#132988); #37810=VERTEX_POINT('',#132992); #37811=VERTEX_POINT('',#132994); #37812=VERTEX_POINT('',#132998); #37813=VERTEX_POINT('',#133000); #37814=VERTEX_POINT('',#133004); #37815=VERTEX_POINT('',#133006); #37816=VERTEX_POINT('',#133010); #37817=VERTEX_POINT('',#133012); #37818=VERTEX_POINT('',#133016); #37819=VERTEX_POINT('',#133018); #37820=VERTEX_POINT('',#133022); #37821=VERTEX_POINT('',#133024); #37822=VERTEX_POINT('',#133028); #37823=VERTEX_POINT('',#133030); #37824=VERTEX_POINT('',#133034); #37825=VERTEX_POINT('',#133036); #37826=VERTEX_POINT('',#133040); #37827=VERTEX_POINT('',#133042); #37828=VERTEX_POINT('',#133046); #37829=VERTEX_POINT('',#133048); #37830=VERTEX_POINT('',#133052); #37831=VERTEX_POINT('',#133054); #37832=VERTEX_POINT('',#133058); #37833=VERTEX_POINT('',#133060); #37834=VERTEX_POINT('',#133064); #37835=VERTEX_POINT('',#133066); #37836=VERTEX_POINT('',#133070); #37837=VERTEX_POINT('',#133072); #37838=VERTEX_POINT('',#133076); #37839=VERTEX_POINT('',#133078); #37840=VERTEX_POINT('',#133082); #37841=VERTEX_POINT('',#133084); #37842=VERTEX_POINT('',#133088); #37843=VERTEX_POINT('',#133090); #37844=VERTEX_POINT('',#133094); #37845=VERTEX_POINT('',#133096); #37846=VERTEX_POINT('',#133100); #37847=VERTEX_POINT('',#133102); #37848=VERTEX_POINT('',#133106); #37849=VERTEX_POINT('',#133108); #37850=VERTEX_POINT('',#133112); #37851=VERTEX_POINT('',#133114); #37852=VERTEX_POINT('',#133118); #37853=VERTEX_POINT('',#133120); #37854=VERTEX_POINT('',#133124); #37855=VERTEX_POINT('',#133126); #37856=VERTEX_POINT('',#133130); #37857=VERTEX_POINT('',#133132); #37858=VERTEX_POINT('',#133136); #37859=VERTEX_POINT('',#133138); #37860=VERTEX_POINT('',#133146); #37861=VERTEX_POINT('',#133147); #37862=VERTEX_POINT('',#133149); #37863=VERTEX_POINT('',#133151); #37864=VERTEX_POINT('',#133155); #37865=VERTEX_POINT('',#133157); #37866=VERTEX_POINT('',#133161); #37867=VERTEX_POINT('',#133163); #37868=VERTEX_POINT('',#133167); #37869=VERTEX_POINT('',#133169); #37870=VERTEX_POINT('',#133173); #37871=VERTEX_POINT('',#133175); #37872=VERTEX_POINT('',#133179); #37873=VERTEX_POINT('',#133181); #37874=VERTEX_POINT('',#133189); #37875=VERTEX_POINT('',#133190); #37876=VERTEX_POINT('',#133192); #37877=VERTEX_POINT('',#133194); #37878=VERTEX_POINT('',#133203); #37879=VERTEX_POINT('',#133207); #37880=VERTEX_POINT('',#133218); #37881=VERTEX_POINT('',#133222); #37882=VERTEX_POINT('',#133233); #37883=VERTEX_POINT('',#133237); #37884=VERTEX_POINT('',#133248); #37885=VERTEX_POINT('',#133252); #37886=VERTEX_POINT('',#133258); #37887=VERTEX_POINT('',#133260); #37888=VERTEX_POINT('',#133269); #37889=VERTEX_POINT('',#133273); #37890=VERTEX_POINT('',#133284); #37891=VERTEX_POINT('',#133288); #37892=VERTEX_POINT('',#133311); #37893=VERTEX_POINT('',#133312); #37894=VERTEX_POINT('',#133314); #37895=VERTEX_POINT('',#133316); #37896=VERTEX_POINT('',#133320); #37897=VERTEX_POINT('',#133322); #37898=VERTEX_POINT('',#133326); #37899=VERTEX_POINT('',#133328); #37900=VERTEX_POINT('',#133332); #37901=VERTEX_POINT('',#133334); #37902=VERTEX_POINT('',#133338); #37903=VERTEX_POINT('',#133340); #37904=VERTEX_POINT('',#133344); #37905=VERTEX_POINT('',#133346); #37906=VERTEX_POINT('',#133350); #37907=VERTEX_POINT('',#133352); #37908=VERTEX_POINT('',#133356); #37909=VERTEX_POINT('',#133358); #37910=VERTEX_POINT('',#133362); #37911=VERTEX_POINT('',#133364); #37912=VERTEX_POINT('',#133379); #37913=VERTEX_POINT('',#133380); #37914=VERTEX_POINT('',#133385); #37915=VERTEX_POINT('',#133387); #37916=VERTEX_POINT('',#133399); #37917=VERTEX_POINT('',#133403); #37918=VERTEX_POINT('',#133414); #37919=VERTEX_POINT('',#133418); #37920=VERTEX_POINT('',#133431); #37921=VERTEX_POINT('',#133436); #37922=VERTEX_POINT('',#133448); #37923=VERTEX_POINT('',#133452); #37924=VERTEX_POINT('',#133458); #37925=VERTEX_POINT('',#133460); #37926=VERTEX_POINT('',#133464); #37927=VERTEX_POINT('',#133466); #37928=VERTEX_POINT('',#133470); #37929=VERTEX_POINT('',#133472); #37930=VERTEX_POINT('',#133476); #37931=VERTEX_POINT('',#133478); #37932=VERTEX_POINT('',#133482); #37933=VERTEX_POINT('',#133484); #37934=VERTEX_POINT('',#133488); #37935=VERTEX_POINT('',#133490); #37936=VERTEX_POINT('',#133501); #37937=VERTEX_POINT('',#133506); #37938=VERTEX_POINT('',#133520); #37939=VERTEX_POINT('',#133525); #37940=VERTEX_POINT('',#133539); #37941=VERTEX_POINT('',#133544); #37942=VERTEX_POINT('',#133558); #37943=VERTEX_POINT('',#133563); #37944=VERTEX_POINT('',#133574); #37945=VERTEX_POINT('',#133575); #37946=VERTEX_POINT('',#133577); #37947=VERTEX_POINT('',#133579); #37948=VERTEX_POINT('',#133583); #37949=VERTEX_POINT('',#133585); #37950=VERTEX_POINT('',#133589); #37951=VERTEX_POINT('',#133591); #37952=VERTEX_POINT('',#133595); #37953=VERTEX_POINT('',#133597); #37954=VERTEX_POINT('',#133601); #37955=VERTEX_POINT('',#133603); #37956=VERTEX_POINT('',#133607); #37957=VERTEX_POINT('',#133609); #37958=VERTEX_POINT('',#133613); #37959=VERTEX_POINT('',#133615); #37960=VERTEX_POINT('',#133619); #37961=VERTEX_POINT('',#133621); #37962=VERTEX_POINT('',#133625); #37963=VERTEX_POINT('',#133627); #37964=VERTEX_POINT('',#133631); #37965=VERTEX_POINT('',#133633); #37966=VERTEX_POINT('',#133642); #37967=VERTEX_POINT('',#133646); #37968=VERTEX_POINT('',#133657); #37969=VERTEX_POINT('',#133661); #37970=VERTEX_POINT('',#133667); #37971=VERTEX_POINT('',#133669); #37972=VERTEX_POINT('',#133673); #37973=VERTEX_POINT('',#133675); #37974=VERTEX_POINT('',#133690); #37975=VERTEX_POINT('',#133691); #37976=VERTEX_POINT('',#133696); #37977=VERTEX_POINT('',#133698); #37978=VERTEX_POINT('',#133710); #37979=VERTEX_POINT('',#133714); #37980=VERTEX_POINT('',#133725); #37981=VERTEX_POINT('',#133729); #37982=VERTEX_POINT('',#133742); #37983=VERTEX_POINT('',#133747); #37984=VERTEX_POINT('',#133759); #37985=VERTEX_POINT('',#133763); #37986=VERTEX_POINT('',#133769); #37987=VERTEX_POINT('',#133771); #37988=VERTEX_POINT('',#133775); #37989=VERTEX_POINT('',#133777); #37990=VERTEX_POINT('',#133781); #37991=VERTEX_POINT('',#133783); #37992=VERTEX_POINT('',#133787); #37993=VERTEX_POINT('',#133789); #37994=VERTEX_POINT('',#133793); #37995=VERTEX_POINT('',#133795); #37996=VERTEX_POINT('',#133799); #37997=VERTEX_POINT('',#133801); #37998=VERTEX_POINT('',#133812); #37999=VERTEX_POINT('',#133817); #38000=VERTEX_POINT('',#133831); #38001=VERTEX_POINT('',#133836); #38002=VERTEX_POINT('',#133850); #38003=VERTEX_POINT('',#133855); #38004=VERTEX_POINT('',#133869); #38005=VERTEX_POINT('',#133874); #38006=VERTEX_POINT('',#133885); #38007=VERTEX_POINT('',#133886); #38008=VERTEX_POINT('',#133888); #38009=VERTEX_POINT('',#133890); #38010=VERTEX_POINT('',#133894); #38011=VERTEX_POINT('',#133896); #38012=VERTEX_POINT('',#133900); #38013=VERTEX_POINT('',#133902); #38014=VERTEX_POINT('',#133906); #38015=VERTEX_POINT('',#133908); #38016=VERTEX_POINT('',#133912); #38017=VERTEX_POINT('',#133914); #38018=VERTEX_POINT('',#133918); #38019=VERTEX_POINT('',#133920); #38020=VERTEX_POINT('',#133928); #38021=VERTEX_POINT('',#133929); #38022=VERTEX_POINT('',#133931); #38023=VERTEX_POINT('',#133933); #38024=VERTEX_POINT('',#133937); #38025=VERTEX_POINT('',#133939); #38026=VERTEX_POINT('',#133943); #38027=VERTEX_POINT('',#133945); #38028=VERTEX_POINT('',#133949); #38029=VERTEX_POINT('',#133951); #38030=VERTEX_POINT('',#133955); #38031=VERTEX_POINT('',#133957); #38032=VERTEX_POINT('',#133961); #38033=VERTEX_POINT('',#133963); #38034=VERTEX_POINT('',#133967); #38035=VERTEX_POINT('',#133969); #38036=VERTEX_POINT('',#133973); #38037=VERTEX_POINT('',#133975); #38038=VERTEX_POINT('',#133979); #38039=VERTEX_POINT('',#133981); #38040=VERTEX_POINT('',#133985); #38041=VERTEX_POINT('',#133987); #38042=VERTEX_POINT('',#133991); #38043=VERTEX_POINT('',#133993); #38044=VERTEX_POINT('',#134001); #38045=VERTEX_POINT('',#134002); #38046=VERTEX_POINT('',#134004); #38047=VERTEX_POINT('',#134006); #38048=VERTEX_POINT('',#134010); #38049=VERTEX_POINT('',#134012); #38050=VERTEX_POINT('',#134016); #38051=VERTEX_POINT('',#134018); #38052=VERTEX_POINT('',#134022); #38053=VERTEX_POINT('',#134024); #38054=VERTEX_POINT('',#134028); #38055=VERTEX_POINT('',#134030); #38056=VERTEX_POINT('',#134034); #38057=VERTEX_POINT('',#134036); #38058=VERTEX_POINT('',#134040); #38059=VERTEX_POINT('',#134042); #38060=VERTEX_POINT('',#134046); #38061=VERTEX_POINT('',#134048); #38062=VERTEX_POINT('',#134052); #38063=VERTEX_POINT('',#134054); #38064=VERTEX_POINT('',#134058); #38065=VERTEX_POINT('',#134060); #38066=VERTEX_POINT('',#134064); #38067=VERTEX_POINT('',#134066); #38068=VERTEX_POINT('',#134074); #38069=VERTEX_POINT('',#134075); #38070=VERTEX_POINT('',#134077); #38071=VERTEX_POINT('',#134079); #38072=VERTEX_POINT('',#134083); #38073=VERTEX_POINT('',#134085); #38074=VERTEX_POINT('',#134092); #38075=VERTEX_POINT('',#134093); #38076=VERTEX_POINT('',#134095); #38077=VERTEX_POINT('',#134097); #38078=VERTEX_POINT('',#134101); #38079=VERTEX_POINT('',#134103); #38080=VERTEX_POINT('',#134107); #38081=VERTEX_POINT('',#134109); #38082=VERTEX_POINT('',#134113); #38083=VERTEX_POINT('',#134115); #38084=VERTEX_POINT('',#134119); #38085=VERTEX_POINT('',#134121); #38086=VERTEX_POINT('',#134125); #38087=VERTEX_POINT('',#134127); #38088=VERTEX_POINT('',#134131); #38089=VERTEX_POINT('',#134133); #38090=VERTEX_POINT('',#134148); #38091=VERTEX_POINT('',#134149); #38092=VERTEX_POINT('',#134154); #38093=VERTEX_POINT('',#134156); #38094=VERTEX_POINT('',#134163); #38095=VERTEX_POINT('',#134165); #38096=VERTEX_POINT('',#134169); #38097=VERTEX_POINT('',#134171); #38098=VERTEX_POINT('',#134175); #38099=VERTEX_POINT('',#134177); #38100=VERTEX_POINT('',#134188); #38101=VERTEX_POINT('',#134193); #38102=VERTEX_POINT('',#134207); #38103=VERTEX_POINT('',#134212); #38104=VERTEX_POINT('',#134235); #38105=VERTEX_POINT('',#134236); #38106=VERTEX_POINT('',#134238); #38107=VERTEX_POINT('',#134240); #38108=VERTEX_POINT('',#134251); #38109=VERTEX_POINT('',#134256); #38110=VERTEX_POINT('',#134270); #38111=VERTEX_POINT('',#134275); #38112=VERTEX_POINT('',#134289); #38113=VERTEX_POINT('',#134294); #38114=VERTEX_POINT('',#134301); #38115=VERTEX_POINT('',#134303); #38116=VERTEX_POINT('',#134307); #38117=VERTEX_POINT('',#134309); #38118=VERTEX_POINT('',#134313); #38119=VERTEX_POINT('',#134315); #38120=VERTEX_POINT('',#134319); #38121=VERTEX_POINT('',#134321); #38122=VERTEX_POINT('',#134325); #38123=VERTEX_POINT('',#134327); #38124=VERTEX_POINT('',#134331); #38125=VERTEX_POINT('',#134333); #38126=VERTEX_POINT('',#134348); #38127=VERTEX_POINT('',#134349); #38128=VERTEX_POINT('',#134354); #38129=VERTEX_POINT('',#134356); #38130=VERTEX_POINT('',#134363); #38131=VERTEX_POINT('',#134365); #38132=VERTEX_POINT('',#134369); #38133=VERTEX_POINT('',#134371); #38134=VERTEX_POINT('',#134375); #38135=VERTEX_POINT('',#134377); #38136=VERTEX_POINT('',#134388); #38137=VERTEX_POINT('',#134393); #38138=VERTEX_POINT('',#134407); #38139=VERTEX_POINT('',#134412); #38140=VERTEX_POINT('',#134442); #38141=VERTEX_POINT('',#134443); #38142=VERTEX_POINT('',#134448); #38143=VERTEX_POINT('',#134450); #38144=VERTEX_POINT('',#134464); #38145=VERTEX_POINT('',#134469); #38146=VERTEX_POINT('',#134476); #38147=VERTEX_POINT('',#134478); #38148=VERTEX_POINT('',#134482); #38149=VERTEX_POINT('',#134484); #38150=VERTEX_POINT('',#134488); #38151=VERTEX_POINT('',#134490); #38152=VERTEX_POINT('',#134501); #38153=VERTEX_POINT('',#134506); #38154=VERTEX_POINT('',#134530); #38155=VERTEX_POINT('',#134531); #38156=VERTEX_POINT('',#134533); #38157=VERTEX_POINT('',#134535); #38158=VERTEX_POINT('',#134539); #38159=VERTEX_POINT('',#134541); #38160=VERTEX_POINT('',#134545); #38161=VERTEX_POINT('',#134547); #38162=VERTEX_POINT('',#134551); #38163=VERTEX_POINT('',#134553); #38164=VERTEX_POINT('',#134557); #38165=VERTEX_POINT('',#134559); #38166=VERTEX_POINT('',#134563); #38167=VERTEX_POINT('',#134565); #38168=VERTEX_POINT('',#134569); #38169=VERTEX_POINT('',#134571); #38170=VERTEX_POINT('',#134575); #38171=VERTEX_POINT('',#134577); #38172=VERTEX_POINT('',#134581); #38173=VERTEX_POINT('',#134583); #38174=VERTEX_POINT('',#134587); #38175=VERTEX_POINT('',#134589); #38176=VERTEX_POINT('',#134593); #38177=VERTEX_POINT('',#134595); #38178=VERTEX_POINT('',#134599); #38179=VERTEX_POINT('',#134601); #38180=VERTEX_POINT('',#134616); #38181=VERTEX_POINT('',#134617); #38182=VERTEX_POINT('',#134622); #38183=VERTEX_POINT('',#134624); #38184=VERTEX_POINT('',#134638); #38185=VERTEX_POINT('',#134643); #38186=VERTEX_POINT('',#134650); #38187=VERTEX_POINT('',#134652); #38188=VERTEX_POINT('',#134656); #38189=VERTEX_POINT('',#134658); #38190=VERTEX_POINT('',#134662); #38191=VERTEX_POINT('',#134664); #38192=VERTEX_POINT('',#134668); #38193=VERTEX_POINT('',#134670); #38194=VERTEX_POINT('',#134674); #38195=VERTEX_POINT('',#134676); #38196=VERTEX_POINT('',#134687); #38197=VERTEX_POINT('',#134692); #38198=VERTEX_POINT('',#134706); #38199=VERTEX_POINT('',#134711); #38200=VERTEX_POINT('',#134725); #38201=VERTEX_POINT('',#134730); #38202=VERTEX_POINT('',#134744); #38203=VERTEX_POINT('',#134749); #38204=VERTEX_POINT('',#134763); #38205=VERTEX_POINT('',#134768); #38206=VERTEX_POINT('',#134782); #38207=VERTEX_POINT('',#134787); #38208=VERTEX_POINT('',#134794); #38209=VERTEX_POINT('',#134796); #38210=VERTEX_POINT('',#134800); #38211=VERTEX_POINT('',#134802); #38212=VERTEX_POINT('',#134813); #38213=VERTEX_POINT('',#134818); #38214=VERTEX_POINT('',#134832); #38215=VERTEX_POINT('',#134837); #38216=VERTEX_POINT('',#134851); #38217=VERTEX_POINT('',#134856); #38218=VERTEX_POINT('',#134870); #38219=VERTEX_POINT('',#134875); #38220=VERTEX_POINT('',#134889); #38221=VERTEX_POINT('',#134894); #38222=VERTEX_POINT('',#134925); #38223=VERTEX_POINT('',#134926); #38224=VERTEX_POINT('',#134931); #38225=VERTEX_POINT('',#134933); #38226=VERTEX_POINT('',#134947); #38227=VERTEX_POINT('',#134952); #38228=VERTEX_POINT('',#134959); #38229=VERTEX_POINT('',#134961); #38230=VERTEX_POINT('',#134965); #38231=VERTEX_POINT('',#134967); #38232=VERTEX_POINT('',#134978); #38233=VERTEX_POINT('',#134983); #38234=VERTEX_POINT('',#134997); #38235=VERTEX_POINT('',#135002); #38236=VERTEX_POINT('',#135016); #38237=VERTEX_POINT('',#135021); #38238=VERTEX_POINT('',#135035); #38239=VERTEX_POINT('',#135040); #38240=VERTEX_POINT('',#135054); #38241=VERTEX_POINT('',#135059); #38242=VERTEX_POINT('',#135073); #38243=VERTEX_POINT('',#135078); #38244=VERTEX_POINT('',#135092); #38245=VERTEX_POINT('',#135097); #38246=VERTEX_POINT('',#135111); #38247=VERTEX_POINT('',#135116); #38248=VERTEX_POINT('',#135123); #38249=VERTEX_POINT('',#135125); #38250=VERTEX_POINT('',#135129); #38251=VERTEX_POINT('',#135131); #38252=VERTEX_POINT('',#135142); #38253=VERTEX_POINT('',#135147); #38254=VERTEX_POINT('',#135161); #38255=VERTEX_POINT('',#135166); #38256=VERTEX_POINT('',#135180); #38257=VERTEX_POINT('',#135185); #38258=VERTEX_POINT('',#135199); #38259=VERTEX_POINT('',#135204); #38260=VERTEX_POINT('',#135218); #38261=VERTEX_POINT('',#135223); #38262=VERTEX_POINT('',#135254); #38263=VERTEX_POINT('',#135255); #38264=VERTEX_POINT('',#135260); #38265=VERTEX_POINT('',#135262); #38266=VERTEX_POINT('',#135269); #38267=VERTEX_POINT('',#135271); #38268=VERTEX_POINT('',#135275); #38269=VERTEX_POINT('',#135277); #38270=VERTEX_POINT('',#135281); #38271=VERTEX_POINT('',#135283); #38272=VERTEX_POINT('',#135294); #38273=VERTEX_POINT('',#135299); #38274=VERTEX_POINT('',#135313); #38275=VERTEX_POINT('',#135318); #38276=VERTEX_POINT('',#135348); #38277=VERTEX_POINT('',#135349); #38278=VERTEX_POINT('',#135354); #38279=VERTEX_POINT('',#135356); #38280=VERTEX_POINT('',#135370); #38281=VERTEX_POINT('',#135375); #38282=VERTEX_POINT('',#135382); #38283=VERTEX_POINT('',#135384); #38284=VERTEX_POINT('',#135388); #38285=VERTEX_POINT('',#135390); #38286=VERTEX_POINT('',#135394); #38287=VERTEX_POINT('',#135396); #38288=VERTEX_POINT('',#135400); #38289=VERTEX_POINT('',#135402); #38290=VERTEX_POINT('',#135406); #38291=VERTEX_POINT('',#135408); #38292=VERTEX_POINT('',#135419); #38293=VERTEX_POINT('',#135424); #38294=VERTEX_POINT('',#135448); #38295=VERTEX_POINT('',#135449); #38296=VERTEX_POINT('',#135451); #38297=VERTEX_POINT('',#135453); #38298=VERTEX_POINT('',#135457); #38299=VERTEX_POINT('',#135459); #38300=VERTEX_POINT('',#135466); #38301=VERTEX_POINT('',#135467); #38302=VERTEX_POINT('',#135469); #38303=VERTEX_POINT('',#135471); #38304=VERTEX_POINT('',#135475); #38305=VERTEX_POINT('',#135477); #38306=VERTEX_POINT('',#135481); #38307=VERTEX_POINT('',#135483); #38308=VERTEX_POINT('',#135487); #38309=VERTEX_POINT('',#135489); #38310=VERTEX_POINT('',#135493); #38311=VERTEX_POINT('',#135495); #38312=VERTEX_POINT('',#135499); #38313=VERTEX_POINT('',#135501); #38314=VERTEX_POINT('',#135505); #38315=VERTEX_POINT('',#135507); #38316=VERTEX_POINT('',#135515); #38317=VERTEX_POINT('',#135516); #38318=VERTEX_POINT('',#135518); #38319=VERTEX_POINT('',#135520); #38320=VERTEX_POINT('',#135524); #38321=VERTEX_POINT('',#135526); #38322=VERTEX_POINT('',#135530); #38323=VERTEX_POINT('',#135532); #38324=VERTEX_POINT('',#135536); #38325=VERTEX_POINT('',#135538); #38326=VERTEX_POINT('',#135542); #38327=VERTEX_POINT('',#135544); #38328=VERTEX_POINT('',#135548); #38329=VERTEX_POINT('',#135550); #38330=VERTEX_POINT('',#135554); #38331=VERTEX_POINT('',#135556); #38332=VERTEX_POINT('',#135571); #38333=VERTEX_POINT('',#135572); #38334=VERTEX_POINT('',#135577); #38335=VERTEX_POINT('',#135579); #38336=VERTEX_POINT('',#135586); #38337=VERTEX_POINT('',#135588); #38338=VERTEX_POINT('',#135592); #38339=VERTEX_POINT('',#135594); #38340=VERTEX_POINT('',#135598); #38341=VERTEX_POINT('',#135600); #38342=VERTEX_POINT('',#135611); #38343=VERTEX_POINT('',#135616); #38344=VERTEX_POINT('',#135630); #38345=VERTEX_POINT('',#135635); #38346=VERTEX_POINT('',#135665); #38347=VERTEX_POINT('',#135666); #38348=VERTEX_POINT('',#135671); #38349=VERTEX_POINT('',#135673); #38350=VERTEX_POINT('',#135687); #38351=VERTEX_POINT('',#135692); #38352=VERTEX_POINT('',#135699); #38353=VERTEX_POINT('',#135701); #38354=VERTEX_POINT('',#135705); #38355=VERTEX_POINT('',#135707); #38356=VERTEX_POINT('',#135711); #38357=VERTEX_POINT('',#135713); #38358=VERTEX_POINT('',#135717); #38359=VERTEX_POINT('',#135719); #38360=VERTEX_POINT('',#135723); #38361=VERTEX_POINT('',#135725); #38362=VERTEX_POINT('',#135736); #38363=VERTEX_POINT('',#135741); #38364=VERTEX_POINT('',#135765); #38365=VERTEX_POINT('',#135766); #38366=VERTEX_POINT('',#135768); #38367=VERTEX_POINT('',#135770); #38368=VERTEX_POINT('',#135774); #38369=VERTEX_POINT('',#135776); #38370=VERTEX_POINT('',#135780); #38371=VERTEX_POINT('',#135782); #38372=VERTEX_POINT('',#135786); #38373=VERTEX_POINT('',#135788); #38374=VERTEX_POINT('',#135792); #38375=VERTEX_POINT('',#135794); #38376=VERTEX_POINT('',#135798); #38377=VERTEX_POINT('',#135800); #38378=VERTEX_POINT('',#135804); #38379=VERTEX_POINT('',#135806); #38380=VERTEX_POINT('',#135810); #38381=VERTEX_POINT('',#135812); #38382=VERTEX_POINT('',#135816); #38383=VERTEX_POINT('',#135818); #38384=VERTEX_POINT('',#135822); #38385=VERTEX_POINT('',#135824); #38386=VERTEX_POINT('',#135828); #38387=VERTEX_POINT('',#135830); #38388=VERTEX_POINT('',#135845); #38389=VERTEX_POINT('',#135846); #38390=VERTEX_POINT('',#135851); #38391=VERTEX_POINT('',#135853); #38392=VERTEX_POINT('',#135860); #38393=VERTEX_POINT('',#135862); #38394=VERTEX_POINT('',#135866); #38395=VERTEX_POINT('',#135868); #38396=VERTEX_POINT('',#135872); #38397=VERTEX_POINT('',#135874); #38398=VERTEX_POINT('',#135885); #38399=VERTEX_POINT('',#135890); #38400=VERTEX_POINT('',#135904); #38401=VERTEX_POINT('',#135909); #38402=VERTEX_POINT('',#135939); #38403=VERTEX_POINT('',#135940); #38404=VERTEX_POINT('',#135945); #38405=VERTEX_POINT('',#135947); #38406=VERTEX_POINT('',#135961); #38407=VERTEX_POINT('',#135966); #38408=VERTEX_POINT('',#135973); #38409=VERTEX_POINT('',#135975); #38410=VERTEX_POINT('',#135979); #38411=VERTEX_POINT('',#135981); #38412=VERTEX_POINT('',#135985); #38413=VERTEX_POINT('',#135987); #38414=VERTEX_POINT('',#135998); #38415=VERTEX_POINT('',#136003); #38416=VERTEX_POINT('',#136027); #38417=VERTEX_POINT('',#136028); #38418=VERTEX_POINT('',#136030); #38419=VERTEX_POINT('',#136032); #38420=VERTEX_POINT('',#136036); #38421=VERTEX_POINT('',#136038); #38422=VERTEX_POINT('',#136042); #38423=VERTEX_POINT('',#136044); #38424=VERTEX_POINT('',#136052); #38425=VERTEX_POINT('',#136053); #38426=VERTEX_POINT('',#136055); #38427=VERTEX_POINT('',#136057); #38428=VERTEX_POINT('',#136059); #38429=VERTEX_POINT('',#136061); #38430=VERTEX_POINT('',#136063); #38431=VERTEX_POINT('',#136065); #38432=VERTEX_POINT('',#136067); #38433=VERTEX_POINT('',#136069); #38434=VERTEX_POINT('',#136071); #38435=VERTEX_POINT('',#136073); #38436=VERTEX_POINT('',#136075); #38437=VERTEX_POINT('',#136077); #38438=VERTEX_POINT('',#136082); #38439=VERTEX_POINT('',#136087); #38440=VERTEX_POINT('',#136092); #38441=VERTEX_POINT('',#136094); #38442=VERTEX_POINT('',#136096); #38443=VERTEX_POINT('',#136098); #38444=VERTEX_POINT('',#136103); #38445=VERTEX_POINT('',#136108); #38446=VERTEX_POINT('',#136113); #38447=VERTEX_POINT('',#136115); #38448=VERTEX_POINT('',#136117); #38449=VERTEX_POINT('',#136119); #38450=VERTEX_POINT('',#136124); #38451=VERTEX_POINT('',#136129); #38452=VERTEX_POINT('',#136134); #38453=VERTEX_POINT('',#136136); #38454=VERTEX_POINT('',#136138); #38455=VERTEX_POINT('',#136140); #38456=VERTEX_POINT('',#136145); #38457=VERTEX_POINT('',#136150); #38458=VERTEX_POINT('',#136155); #38459=VERTEX_POINT('',#136157); #38460=VERTEX_POINT('',#136159); #38461=VERTEX_POINT('',#136161); #38462=VERTEX_POINT('',#136166); #38463=VERTEX_POINT('',#136171); #38464=VERTEX_POINT('',#136176); #38465=VERTEX_POINT('',#136178); #38466=VERTEX_POINT('',#136180); #38467=VERTEX_POINT('',#136182); #38468=VERTEX_POINT('',#136184); #38469=VERTEX_POINT('',#136186); #38470=VERTEX_POINT('',#136188); #38471=VERTEX_POINT('',#136190); #38472=VERTEX_POINT('',#136192); #38473=VERTEX_POINT('',#136194); #38474=VERTEX_POINT('',#136196); #38475=VERTEX_POINT('',#136198); #38476=VERTEX_POINT('',#136200); #38477=VERTEX_POINT('',#136202); #38478=VERTEX_POINT('',#136204); #38479=VERTEX_POINT('',#136209); #38480=VERTEX_POINT('',#136211); #38481=VERTEX_POINT('',#136213); #38482=VERTEX_POINT('',#136215); #38483=VERTEX_POINT('',#136220); #38484=VERTEX_POINT('',#136259); #38485=VERTEX_POINT('',#136263); #38486=VERTEX_POINT('',#136264); #38487=VERTEX_POINT('',#136269); #38488=VERTEX_POINT('',#136275); #38489=VERTEX_POINT('',#136280); #38490=VERTEX_POINT('',#136285); #38491=VERTEX_POINT('',#136287); #38492=VERTEX_POINT('',#136289); #38493=VERTEX_POINT('',#136291); #38494=VERTEX_POINT('',#136293); #38495=VERTEX_POINT('',#136295); #38496=VERTEX_POINT('',#136300); #38497=VERTEX_POINT('',#136305); #38498=VERTEX_POINT('',#136311); #38499=VERTEX_POINT('',#136316); #38500=VERTEX_POINT('',#136321); #38501=VERTEX_POINT('',#136357); #38502=VERTEX_POINT('',#136359); #38503=VERTEX_POINT('',#136388); #38504=VERTEX_POINT('',#136414); #38505=VERTEX_POINT('',#136441); #38506=VERTEX_POINT('',#136448); #38507=VERTEX_POINT('',#136450); #38508=VERTEX_POINT('',#136454); #38509=VERTEX_POINT('',#136458); #38510=VERTEX_POINT('',#136462); #38511=VERTEX_POINT('',#136466); #38512=VERTEX_POINT('',#136513); #38513=VERTEX_POINT('',#136515); #38514=VERTEX_POINT('',#136538); #38515=VERTEX_POINT('',#136568); #38516=VERTEX_POINT('',#136601); #38517=VERTEX_POINT('',#136647); #38518=VERTEX_POINT('',#136681); #38519=VERTEX_POINT('',#136687); #38520=VERTEX_POINT('',#136718); #38521=VERTEX_POINT('',#136720); #38522=VERTEX_POINT('',#136746); #38523=VERTEX_POINT('',#136751); #38524=VERTEX_POINT('',#136782); #38525=VERTEX_POINT('',#136783); #38526=VERTEX_POINT('',#136815); #38527=VERTEX_POINT('',#136816); #38528=VERTEX_POINT('',#136845); #38529=VERTEX_POINT('',#136846); #38530=VERTEX_POINT('',#136878); #38531=VERTEX_POINT('',#136908); #38532=VERTEX_POINT('',#136939); #38533=VERTEX_POINT('',#137006); #38534=VERTEX_POINT('',#137008); #38535=VERTEX_POINT('',#137019); #38536=VERTEX_POINT('',#137023); #38537=VERTEX_POINT('',#137027); #38538=VERTEX_POINT('',#137029); #38539=VERTEX_POINT('',#137054); #38540=VERTEX_POINT('',#137055); #38541=VERTEX_POINT('',#137057); #38542=VERTEX_POINT('',#137059); #38543=VERTEX_POINT('',#137063); #38544=VERTEX_POINT('',#137064); #38545=VERTEX_POINT('',#137066); #38546=VERTEX_POINT('',#137068); #38547=VERTEX_POINT('',#137072); #38548=VERTEX_POINT('',#137073); #38549=VERTEX_POINT('',#137078); #38550=VERTEX_POINT('',#137083); #38551=VERTEX_POINT('',#137084); #38552=VERTEX_POINT('',#137086); #38553=VERTEX_POINT('',#137091); #38554=VERTEX_POINT('',#137093); #38555=VERTEX_POINT('',#137095); #38556=VERTEX_POINT('',#137099); #38557=VERTEX_POINT('',#137100); #38558=VERTEX_POINT('',#137102); #38559=VERTEX_POINT('',#137118); #38560=VERTEX_POINT('',#137120); #38561=VERTEX_POINT('',#137124); #38562=VERTEX_POINT('',#137126); #38563=VERTEX_POINT('',#137133); #38564=VERTEX_POINT('',#137142); #38565=VERTEX_POINT('',#137144); #38566=VERTEX_POINT('',#137151); #38567=VERTEX_POINT('',#137155); #38568=VERTEX_POINT('',#137160); #38569=VERTEX_POINT('',#137161); #38570=VERTEX_POINT('',#137163); #38571=VERTEX_POINT('',#137165); #38572=VERTEX_POINT('',#137169); #38573=VERTEX_POINT('',#137170); #38574=VERTEX_POINT('',#137172); #38575=VERTEX_POINT('',#137177); #38576=VERTEX_POINT('',#137178); #38577=VERTEX_POINT('',#137183); #38578=VERTEX_POINT('',#137184); #38579=VERTEX_POINT('',#137191); #38580=VERTEX_POINT('',#137192); #38581=VERTEX_POINT('',#137194); #38582=VERTEX_POINT('',#137201); #38583=VERTEX_POINT('',#137202); #38584=VERTEX_POINT('',#137204); #38585=VERTEX_POINT('',#137209); #38586=VERTEX_POINT('',#137210); #38587=VERTEX_POINT('',#137215); #38588=VERTEX_POINT('',#137224); #38589=VERTEX_POINT('',#137226); #38590=VERTEX_POINT('',#137230); #38591=VERTEX_POINT('',#137234); #38592=VERTEX_POINT('',#137238); #38593=VERTEX_POINT('',#137240); #38594=VERTEX_POINT('',#137247); #38595=VERTEX_POINT('',#137257); #38596=VERTEX_POINT('',#137259); #38597=VERTEX_POINT('',#137267); #38598=VERTEX_POINT('',#137268); #38599=VERTEX_POINT('',#137270); #38600=VERTEX_POINT('',#137272); #38601=VERTEX_POINT('',#137276); #38602=VERTEX_POINT('',#137277); #38603=VERTEX_POINT('',#137279); #38604=VERTEX_POINT('',#137281); #38605=VERTEX_POINT('',#137285); #38606=VERTEX_POINT('',#137286); #38607=VERTEX_POINT('',#137291); #38608=VERTEX_POINT('',#137296); #38609=VERTEX_POINT('',#137297); #38610=VERTEX_POINT('',#137299); #38611=VERTEX_POINT('',#137304); #38612=VERTEX_POINT('',#137305); #38613=VERTEX_POINT('',#137308); #38614=VERTEX_POINT('',#137312); #38615=VERTEX_POINT('',#137313); #38616=VERTEX_POINT('',#137315); #38617=VERTEX_POINT('',#137331); #38618=VERTEX_POINT('',#137333); #38619=VERTEX_POINT('',#137342); #38620=VERTEX_POINT('',#137344); #38621=VERTEX_POINT('',#137346); #38622=VERTEX_POINT('',#137357); #38623=VERTEX_POINT('',#137359); #38624=VERTEX_POINT('',#137361); #38625=VERTEX_POINT('',#137371); #38626=VERTEX_POINT('',#137375); #38627=VERTEX_POINT('',#137377); #38628=VERTEX_POINT('',#137379); #38629=VERTEX_POINT('',#137381); #38630=VERTEX_POINT('',#137386); #38631=VERTEX_POINT('',#137391); #38632=VERTEX_POINT('',#137396); #38633=VERTEX_POINT('',#137401); #38634=VERTEX_POINT('',#137405); #38635=VERTEX_POINT('',#137409); #38636=VERTEX_POINT('',#137411); #38637=VERTEX_POINT('',#137416); #38638=VERTEX_POINT('',#137418); #38639=VERTEX_POINT('',#137428); #38640=VERTEX_POINT('',#137429); #38641=VERTEX_POINT('',#137431); #38642=VERTEX_POINT('',#137433); #38643=VERTEX_POINT('',#137437); #38644=VERTEX_POINT('',#137438); #38645=VERTEX_POINT('',#137440); #38646=VERTEX_POINT('',#137445); #38647=VERTEX_POINT('',#137446); #38648=VERTEX_POINT('',#137451); #38649=VERTEX_POINT('',#137452); #38650=VERTEX_POINT('',#137459); #38651=VERTEX_POINT('',#137460); #38652=VERTEX_POINT('',#137462); #38653=VERTEX_POINT('',#137469); #38654=VERTEX_POINT('',#137470); #38655=VERTEX_POINT('',#137472); #38656=VERTEX_POINT('',#137477); #38657=VERTEX_POINT('',#137478); #38658=VERTEX_POINT('',#137483); #38659=VERTEX_POINT('',#137492); #38660=VERTEX_POINT('',#137494); #38661=VERTEX_POINT('',#137503); #38662=VERTEX_POINT('',#137505); #38663=VERTEX_POINT('',#137507); #38664=VERTEX_POINT('',#137518); #38665=VERTEX_POINT('',#137520); #38666=VERTEX_POINT('',#137522); #38667=VERTEX_POINT('',#137532); #38668=VERTEX_POINT('',#137536); #38669=VERTEX_POINT('',#137538); #38670=VERTEX_POINT('',#137540); #38671=VERTEX_POINT('',#137542); #38672=VERTEX_POINT('',#137547); #38673=VERTEX_POINT('',#137552); #38674=VERTEX_POINT('',#137557); #38675=VERTEX_POINT('',#137562); #38676=VERTEX_POINT('',#137568); #38677=VERTEX_POINT('',#137573); #38678=VERTEX_POINT('',#137578); #38679=VERTEX_POINT('',#137582); #38680=VERTEX_POINT('',#137586); #38681=VERTEX_POINT('',#137588); #38682=VERTEX_POINT('',#137593); #38683=VERTEX_POINT('',#137598); #38684=VERTEX_POINT('',#137600); #38685=VERTEX_POINT('',#137678); #38686=VERTEX_POINT('',#137719); #38687=VERTEX_POINT('',#137748); #38688=VERTEX_POINT('',#137755); #38689=VERTEX_POINT('',#137759); #38690=VERTEX_POINT('',#137760); #38691=VERTEX_POINT('',#137765); #38692=VERTEX_POINT('',#137766); #38693=VERTEX_POINT('',#137790); #38694=VERTEX_POINT('',#137791); #38695=VERTEX_POINT('',#137849); #38696=VERTEX_POINT('',#137858); #38697=VERTEX_POINT('',#137888); #38698=VERTEX_POINT('',#137899); #38699=VERTEX_POINT('',#137927); #38700=VERTEX_POINT('',#137933); #38701=VERTEX_POINT('',#137935); #38702=VERTEX_POINT('',#137937); #38703=VERTEX_POINT('',#137944); #38704=VERTEX_POINT('',#137949); #38705=VERTEX_POINT('',#137961); #38706=VERTEX_POINT('',#137963); #38707=VERTEX_POINT('',#137991); #38708=VERTEX_POINT('',#137995); #38709=VERTEX_POINT('',#138018); #38710=VERTEX_POINT('',#138019); #38711=VERTEX_POINT('',#138071); #38712=VERTEX_POINT('',#138079); #38713=VERTEX_POINT('',#138108); #38714=VERTEX_POINT('',#138109); #38715=VERTEX_POINT('',#138120); #38716=VERTEX_POINT('',#138125); #38717=VERTEX_POINT('',#138129); #38718=VERTEX_POINT('',#138152); #38719=VERTEX_POINT('',#138188); #38720=VERTEX_POINT('',#138254); #38721=VERTEX_POINT('',#138259); #38722=VERTEX_POINT('',#138264); #38723=VERTEX_POINT('',#138269); #38724=VERTEX_POINT('',#138276); #38725=VERTEX_POINT('',#138314); #38726=VERTEX_POINT('',#138315); #38727=VERTEX_POINT('',#138322); #38728=VERTEX_POINT('',#138324); #38729=VERTEX_POINT('',#138333); #38730=VERTEX_POINT('',#138334); #38731=VERTEX_POINT('',#138375); #38732=VERTEX_POINT('',#138377); #38733=VERTEX_POINT('',#138384); #38734=VERTEX_POINT('',#138412); #38735=VERTEX_POINT('',#138413); #38736=VERTEX_POINT('',#138415); #38737=VERTEX_POINT('',#138447); #38738=VERTEX_POINT('',#138481); #38739=VERTEX_POINT('',#138495); #38740=VERTEX_POINT('',#138500); #38741=VERTEX_POINT('',#138534); #38742=VERTEX_POINT('',#138579); #38743=VERTEX_POINT('',#138602); #38744=VERTEX_POINT('',#138607); #38745=VERTEX_POINT('',#138672); #38746=VERTEX_POINT('',#138710); #38747=VERTEX_POINT('',#138724); #38748=VERTEX_POINT('',#138758); #38749=VERTEX_POINT('',#138759); #38750=VERTEX_POINT('',#138794); #38751=VERTEX_POINT('',#138795); #38752=VERTEX_POINT('',#138797); #38753=VERTEX_POINT('',#138829); #38754=VERTEX_POINT('',#138830); #38755=VERTEX_POINT('',#138870); #38756=VERTEX_POINT('',#138871); #38757=VERTEX_POINT('',#138873); #38758=VERTEX_POINT('',#138884); #38759=VERTEX_POINT('',#138913); #38760=VERTEX_POINT('',#138922); #38761=VERTEX_POINT('',#138984); #38762=VERTEX_POINT('',#138989); #38763=VERTEX_POINT('',#138996); #38764=VERTEX_POINT('',#138998); #38765=VERTEX_POINT('',#139002); #38766=VERTEX_POINT('',#139004); #38767=VERTEX_POINT('',#139039); #38768=VERTEX_POINT('',#139041); #38769=VERTEX_POINT('',#139069); #38770=VERTEX_POINT('',#139070); #38771=VERTEX_POINT('',#139072); #38772=VERTEX_POINT('',#139078); #38773=VERTEX_POINT('',#139105); #38774=VERTEX_POINT('',#139110); #38775=VERTEX_POINT('',#139164); #38776=VERTEX_POINT('',#139165); #38777=VERTEX_POINT('',#139231); #38778=VERTEX_POINT('',#139232); #38779=VERTEX_POINT('',#139234); #38780=VERTEX_POINT('',#139283); #38781=VERTEX_POINT('',#139284); #38782=VERTEX_POINT('',#139302); #38783=VERTEX_POINT('',#139307); #38784=VERTEX_POINT('',#139357); #38785=VERTEX_POINT('',#139362); #38786=VERTEX_POINT('',#139364); #38787=VERTEX_POINT('',#139417); #38788=VERTEX_POINT('',#139418); #38789=VERTEX_POINT('',#139420); #38790=VERTEX_POINT('',#139463); #38791=VERTEX_POINT('',#139464); #38792=VERTEX_POINT('',#139499); #38793=VERTEX_POINT('',#139500); #38794=VERTEX_POINT('',#139502); #38795=VERTEX_POINT('',#139508); #38796=VERTEX_POINT('',#139535); #38797=VERTEX_POINT('',#139589); #38798=VERTEX_POINT('',#139590); #38799=VERTEX_POINT('',#139604); #38800=VERTEX_POINT('',#139610); #38801=VERTEX_POINT('',#139615); #38802=VERTEX_POINT('',#139620); #38803=VERTEX_POINT('',#139622); #38804=VERTEX_POINT('',#139627); #38805=VERTEX_POINT('',#139634); #38806=VERTEX_POINT('',#139636); #38807=VERTEX_POINT('',#139641); #38808=VERTEX_POINT('',#139646); #38809=VERTEX_POINT('',#139651); #38810=VERTEX_POINT('',#139653); #38811=VERTEX_POINT('',#139681); #38812=VERTEX_POINT('',#139686); #38813=VERTEX_POINT('',#139717); #38814=VERTEX_POINT('',#139722); #38815=VERTEX_POINT('',#139730); #38816=VERTEX_POINT('',#139732); #38817=VERTEX_POINT('',#139736); #38818=VERTEX_POINT('',#139759); #38819=VERTEX_POINT('',#139760); #38820=VERTEX_POINT('',#139786); #38821=VERTEX_POINT('',#139787); #38822=VERTEX_POINT('',#139789); #38823=VERTEX_POINT('',#139895); #38824=VERTEX_POINT('',#139897); #38825=VERTEX_POINT('',#139902); #38826=VERTEX_POINT('',#139907); #38827=VERTEX_POINT('',#139912); #38828=VERTEX_POINT('',#139914); #38829=VERTEX_POINT('',#139921); #38830=VERTEX_POINT('',#139926); #38831=VERTEX_POINT('',#139956); #38832=VERTEX_POINT('',#139989); #38833=VERTEX_POINT('',#139991); #38834=VERTEX_POINT('',#140024); #38835=VERTEX_POINT('',#140062); #38836=VERTEX_POINT('',#140099); #38837=VERTEX_POINT('',#140101); #38838=VERTEX_POINT('',#140262); #38839=VERTEX_POINT('',#140264); #38840=VERTEX_POINT('',#140311); #38841=VERTEX_POINT('',#140313); #38842=VERTEX_POINT('',#140327); #38843=VERTEX_POINT('',#140328); #38844=VERTEX_POINT('',#140330); #38845=VERTEX_POINT('',#140332); #38846=VERTEX_POINT('',#140387); #38847=VERTEX_POINT('',#140388); #38848=VERTEX_POINT('',#140390); #38849=VERTEX_POINT('',#140420); #38850=VERTEX_POINT('',#140460); #38851=VERTEX_POINT('',#140488); #38852=VERTEX_POINT('',#140512); #38853=VERTEX_POINT('',#140514); #38854=VERTEX_POINT('',#140613); #38855=VERTEX_POINT('',#140618); #38856=VERTEX_POINT('',#140644); #38857=VERTEX_POINT('',#140651); #38858=VERTEX_POINT('',#140663); #38859=VERTEX_POINT('',#140668); #38860=VERTEX_POINT('',#140690); #38861=VERTEX_POINT('',#140736); #38862=VERTEX_POINT('',#140743); #38863=VERTEX_POINT('',#140745); #38864=VERTEX_POINT('',#140772); #38865=VERTEX_POINT('',#140773); #38866=VERTEX_POINT('',#140775); #38867=VERTEX_POINT('',#140780); #38868=VERTEX_POINT('',#140806); #38869=VERTEX_POINT('',#140808); #38870=VERTEX_POINT('',#140816); #38871=VERTEX_POINT('',#140817); #38872=VERTEX_POINT('',#140820); #38873=VERTEX_POINT('',#140877); #38874=VERTEX_POINT('',#140879); #38875=VERTEX_POINT('',#140919); #38876=VERTEX_POINT('',#140947); #38877=VERTEX_POINT('',#140973); #38878=VERTEX_POINT('',#140982); #38879=VERTEX_POINT('',#140984); #38880=VERTEX_POINT('',#141078); #38881=VERTEX_POINT('',#141082); #38882=VERTEX_POINT('',#141087); #38883=VERTEX_POINT('',#141116); #38884=VERTEX_POINT('',#141158); #38885=VERTEX_POINT('',#141173); #38886=VERTEX_POINT('',#141175); #38887=VERTEX_POINT('',#141182); #38888=VERTEX_POINT('',#141208); #38889=VERTEX_POINT('',#141215); #38890=VERTEX_POINT('',#141270); #38891=VERTEX_POINT('',#141271); #38892=VERTEX_POINT('',#141273); #38893=VERTEX_POINT('',#141343); #38894=VERTEX_POINT('',#141344); #38895=VERTEX_POINT('',#141416); #38896=VERTEX_POINT('',#141426); #38897=VERTEX_POINT('',#141454); #38898=VERTEX_POINT('',#141462); #38899=VERTEX_POINT('',#141490); #38900=VERTEX_POINT('',#141498); #38901=VERTEX_POINT('',#141526); #38902=VERTEX_POINT('',#141562); #38903=VERTEX_POINT('',#141574); #38904=VERTEX_POINT('',#141576); #38905=VERTEX_POINT('',#141619); #38906=VERTEX_POINT('',#141624); #38907=VERTEX_POINT('',#141657); #38908=VERTEX_POINT('',#141668); #38909=VERTEX_POINT('',#141676); #38910=VERTEX_POINT('',#141704); #38911=VERTEX_POINT('',#141712); #38912=VERTEX_POINT('',#141740); #38913=VERTEX_POINT('',#141748); #38914=VERTEX_POINT('',#141807); #38915=VERTEX_POINT('',#141816); #38916=VERTEX_POINT('',#141875); #38917=VERTEX_POINT('',#141883); #38918=VERTEX_POINT('',#141947); #38919=VERTEX_POINT('',#141971); #38920=VERTEX_POINT('',#141976); #38921=VERTEX_POINT('',#141981); #38922=VERTEX_POINT('',#142041); #38923=VERTEX_POINT('',#142042); #38924=VERTEX_POINT('',#142096); #38925=VERTEX_POINT('',#142097); #38926=VERTEX_POINT('',#142139); #38927=VERTEX_POINT('',#142140); #38928=VERTEX_POINT('',#142142); #38929=VERTEX_POINT('',#142147); #38930=VERTEX_POINT('',#142183); #38931=VERTEX_POINT('',#142191); #38932=VERTEX_POINT('',#142219); #38933=VERTEX_POINT('',#142227); #38934=VERTEX_POINT('',#142255); #38935=VERTEX_POINT('',#142263); #38936=VERTEX_POINT('',#142291); #38937=VERTEX_POINT('',#142297); #38938=VERTEX_POINT('',#142324); #38939=VERTEX_POINT('',#142329); #38940=VERTEX_POINT('',#142417); #38941=VERTEX_POINT('',#142418); #38942=VERTEX_POINT('',#142420); #38943=VERTEX_POINT('',#142431); #38944=VERTEX_POINT('',#142553); #38945=VERTEX_POINT('',#142559); #38946=VERTEX_POINT('',#142561); #38947=VERTEX_POINT('',#142569); #38948=VERTEX_POINT('',#142571); #38949=VERTEX_POINT('',#142576); #38950=VERTEX_POINT('',#142602); #38951=VERTEX_POINT('',#142606); #38952=VERTEX_POINT('',#142629); #38953=VERTEX_POINT('',#142633); #38954=VERTEX_POINT('',#142639); #38955=VERTEX_POINT('',#142644); #38956=VERTEX_POINT('',#142675); #38957=VERTEX_POINT('',#142677); #38958=VERTEX_POINT('',#142730); #38959=VERTEX_POINT('',#142731); #38960=VERTEX_POINT('',#142785); #38961=VERTEX_POINT('',#142786); #38962=VERTEX_POINT('',#142828); #38963=VERTEX_POINT('',#142829); #38964=VERTEX_POINT('',#142831); #38965=VERTEX_POINT('',#142836); #38966=VERTEX_POINT('',#142872); #38967=VERTEX_POINT('',#142880); #38968=VERTEX_POINT('',#142908); #38969=VERTEX_POINT('',#142916); #38970=VERTEX_POINT('',#142944); #38971=VERTEX_POINT('',#142952); #38972=VERTEX_POINT('',#142980); #38973=VERTEX_POINT('',#142986); #38974=VERTEX_POINT('',#143013); #38975=VERTEX_POINT('',#143018); #38976=VERTEX_POINT('',#143113); #38977=VERTEX_POINT('',#143114); #38978=VERTEX_POINT('',#143116); #38979=VERTEX_POINT('',#143127); #38980=VERTEX_POINT('',#143249); #38981=VERTEX_POINT('',#143255); #38982=VERTEX_POINT('',#143257); #38983=VERTEX_POINT('',#143265); #38984=VERTEX_POINT('',#143267); #38985=VERTEX_POINT('',#143272); #38986=VERTEX_POINT('',#143298); #38987=VERTEX_POINT('',#143302); #38988=VERTEX_POINT('',#143325); #38989=VERTEX_POINT('',#143329); #38990=VERTEX_POINT('',#143335); #38991=VERTEX_POINT('',#143340); #38992=VERTEX_POINT('',#143371); #38993=VERTEX_POINT('',#143373); #38994=VERTEX_POINT('',#143403); #38995=VERTEX_POINT('',#143405); #38996=VERTEX_POINT('',#143443); #38997=VERTEX_POINT('',#143469); #38998=VERTEX_POINT('',#143476); #38999=VERTEX_POINT('',#143478); #39000=VERTEX_POINT('',#143480); #39001=VERTEX_POINT('',#143482); #39002=VERTEX_POINT('',#143487); #39003=VERTEX_POINT('',#143563); #39004=VERTEX_POINT('',#143620); #39005=VERTEX_POINT('',#143628); #39006=VERTEX_POINT('',#143656); #39007=VERTEX_POINT('',#143664); #39008=VERTEX_POINT('',#143692); #39009=VERTEX_POINT('',#143752); #39010=VERTEX_POINT('',#143760); #39011=VERTEX_POINT('',#143764); #39012=VERTEX_POINT('',#143817); #39013=VERTEX_POINT('',#143824); #39014=VERTEX_POINT('',#143828); #39015=VERTEX_POINT('',#143885); #39016=VERTEX_POINT('',#143889); #39017=VERTEX_POINT('',#143912); #39018=VERTEX_POINT('',#143995); #39019=VERTEX_POINT('',#144009); #39020=VERTEX_POINT('',#144018); #39021=VERTEX_POINT('',#144046); #39022=VERTEX_POINT('',#144054); #39023=VERTEX_POINT('',#144141); #39024=VERTEX_POINT('',#144142); #39025=VERTEX_POINT('',#144196); #39026=VERTEX_POINT('',#144197); #39027=VERTEX_POINT('',#144239); #39028=VERTEX_POINT('',#144240); #39029=VERTEX_POINT('',#144242); #39030=VERTEX_POINT('',#144247); #39031=VERTEX_POINT('',#144283); #39032=VERTEX_POINT('',#144291); #39033=VERTEX_POINT('',#144319); #39034=VERTEX_POINT('',#144327); #39035=VERTEX_POINT('',#144331); #39036=VERTEX_POINT('',#144359); #39037=VERTEX_POINT('',#144367); #39038=VERTEX_POINT('',#144400); #39039=VERTEX_POINT('',#144424); #39040=VERTEX_POINT('',#144513); #39041=VERTEX_POINT('',#144543); #39042=VERTEX_POINT('',#144550); #39043=VERTEX_POINT('',#144561); #39044=VERTEX_POINT('',#144591); #39045=VERTEX_POINT('',#144622); #39046=VERTEX_POINT('',#144628); #39047=VERTEX_POINT('',#144659); #39048=VERTEX_POINT('',#144660); #39049=VERTEX_POINT('',#144714); #39050=VERTEX_POINT('',#144715); #39051=VERTEX_POINT('',#144757); #39052=VERTEX_POINT('',#144758); #39053=VERTEX_POINT('',#144760); #39054=VERTEX_POINT('',#144765); #39055=VERTEX_POINT('',#144801); #39056=VERTEX_POINT('',#144809); #39057=VERTEX_POINT('',#144837); #39058=VERTEX_POINT('',#144845); #39059=VERTEX_POINT('',#144873); #39060=VERTEX_POINT('',#144881); #39061=VERTEX_POINT('',#144909); #39062=VERTEX_POINT('',#144936); #39063=VERTEX_POINT('',#145024); #39064=VERTEX_POINT('',#145026); #39065=VERTEX_POINT('',#145135); #39066=VERTEX_POINT('',#145141); #39067=VERTEX_POINT('',#145143); #39068=VERTEX_POINT('',#145151); #39069=VERTEX_POINT('',#145156); #39070=VERTEX_POINT('',#145161); #39071=VERTEX_POINT('',#145187); #39072=VERTEX_POINT('',#145194); #39073=VERTEX_POINT('',#145220); #39074=VERTEX_POINT('',#145227); #39075=VERTEX_POINT('',#145233); #39076=VERTEX_POINT('',#145267); #39077=VERTEX_POINT('',#145269); #39078=VERTEX_POINT('',#145322); #39079=VERTEX_POINT('',#145323); #39080=VERTEX_POINT('',#145377); #39081=VERTEX_POINT('',#145378); #39082=VERTEX_POINT('',#145420); #39083=VERTEX_POINT('',#145421); #39084=VERTEX_POINT('',#145423); #39085=VERTEX_POINT('',#145428); #39086=VERTEX_POINT('',#145464); #39087=VERTEX_POINT('',#145472); #39088=VERTEX_POINT('',#145500); #39089=VERTEX_POINT('',#145508); #39090=VERTEX_POINT('',#145536); #39091=VERTEX_POINT('',#145544); #39092=VERTEX_POINT('',#145572); #39093=VERTEX_POINT('',#145578); #39094=VERTEX_POINT('',#145605); #39095=VERTEX_POINT('',#145610); #39096=VERTEX_POINT('',#145705); #39097=VERTEX_POINT('',#145706); #39098=VERTEX_POINT('',#145708); #39099=VERTEX_POINT('',#145719); #39100=VERTEX_POINT('',#145841); #39101=VERTEX_POINT('',#145847); #39102=VERTEX_POINT('',#145849); #39103=VERTEX_POINT('',#145857); #39104=VERTEX_POINT('',#145862); #39105=VERTEX_POINT('',#145891); #39106=VERTEX_POINT('',#145898); #39107=VERTEX_POINT('',#145924); #39108=VERTEX_POINT('',#145931); #39109=VERTEX_POINT('',#145937); #39110=VERTEX_POINT('',#145942); #39111=VERTEX_POINT('',#145973); #39112=VERTEX_POINT('',#145975); #39113=VERTEX_POINT('',#146028); #39114=VERTEX_POINT('',#146029); #39115=VERTEX_POINT('',#146083); #39116=VERTEX_POINT('',#146084); #39117=VERTEX_POINT('',#146126); #39118=VERTEX_POINT('',#146127); #39119=VERTEX_POINT('',#146129); #39120=VERTEX_POINT('',#146134); #39121=VERTEX_POINT('',#146165); #39122=VERTEX_POINT('',#146178); #39123=VERTEX_POINT('',#146206); #39124=VERTEX_POINT('',#146214); #39125=VERTEX_POINT('',#146218); #39126=VERTEX_POINT('',#146246); #39127=VERTEX_POINT('',#146254); #39128=VERTEX_POINT('',#146287); #39129=VERTEX_POINT('',#146311); #39130=VERTEX_POINT('',#146426); #39131=VERTEX_POINT('',#146431); #39132=VERTEX_POINT('',#146438); #39133=VERTEX_POINT('',#146442); #39134=VERTEX_POINT('',#146468); #39135=VERTEX_POINT('',#146475); #39136=VERTEX_POINT('',#146481); #39137=VERTEX_POINT('',#146515); #39138=VERTEX_POINT('',#146517); #39139=VERTEX_POINT('',#146571); #39140=VERTEX_POINT('',#146609); #39141=VERTEX_POINT('',#146610); #39142=VERTEX_POINT('',#146615); #39143=VERTEX_POINT('',#146616); #39144=VERTEX_POINT('',#146640); #39145=VERTEX_POINT('',#146641); #39146=VERTEX_POINT('',#146719); #39147=VERTEX_POINT('',#146726); #39148=VERTEX_POINT('',#146728); #39149=VERTEX_POINT('',#146730); #39150=VERTEX_POINT('',#146732); #39151=VERTEX_POINT('',#146737); #39152=VERTEX_POINT('',#146742); #39153=VERTEX_POINT('',#146748); #39154=VERTEX_POINT('',#146758); #39155=VERTEX_POINT('',#146760); #39156=VERTEX_POINT('',#146788); #39157=VERTEX_POINT('',#146796); #39158=VERTEX_POINT('',#146857); #39159=VERTEX_POINT('',#146892); #39160=VERTEX_POINT('',#146935); #39161=VERTEX_POINT('',#146942); #39162=VERTEX_POINT('',#146968); #39163=VERTEX_POINT('',#146975); #39164=VERTEX_POINT('',#146980); #39165=VERTEX_POINT('',#147014); #39166=VERTEX_POINT('',#147040); #39167=VERTEX_POINT('',#147048); #39168=VERTEX_POINT('',#147076); #39169=VERTEX_POINT('',#147084); #39170=VERTEX_POINT('',#147112); #39171=VERTEX_POINT('',#147120); #39172=VERTEX_POINT('',#147148); #39173=VERTEX_POINT('',#147204); #39174=VERTEX_POINT('',#147230); #39175=VERTEX_POINT('',#147238); #39176=VERTEX_POINT('',#147266); #39177=VERTEX_POINT('',#147274); #39178=VERTEX_POINT('',#147364); #39179=VERTEX_POINT('',#147372); #39180=VERTEX_POINT('',#147376); #39181=VERTEX_POINT('',#147429); #39182=VERTEX_POINT('',#147436); #39183=VERTEX_POINT('',#147440); #39184=VERTEX_POINT('',#147517); #39185=VERTEX_POINT('',#147519); #39186=VERTEX_POINT('',#147569); #39187=VERTEX_POINT('',#147571); #39188=VERTEX_POINT('',#147597); #39189=VERTEX_POINT('',#147623); #39190=VERTEX_POINT('',#147654); #39191=VERTEX_POINT('',#147665); #39192=VERTEX_POINT('',#147689); #39193=VERTEX_POINT('',#147733); #39194=VERTEX_POINT('',#147738); #39195=VERTEX_POINT('',#147744); #39196=VERTEX_POINT('',#147753); #39197=VERTEX_POINT('',#147780); #39198=VERTEX_POINT('',#147781); #39199=VERTEX_POINT('',#147783); #39200=VERTEX_POINT('',#147788); #39201=VERTEX_POINT('',#147795); #39202=VERTEX_POINT('',#147797); #39203=VERTEX_POINT('',#147799); #39204=VERTEX_POINT('',#147832); #39205=VERTEX_POINT('',#147839); #39206=VERTEX_POINT('',#147861); #39207=VERTEX_POINT('',#147866); #39208=VERTEX_POINT('',#147892); #39209=VERTEX_POINT('',#147894); #39210=VERTEX_POINT('',#147899); #39211=VERTEX_POINT('',#147927); #39212=VERTEX_POINT('',#147933); #39213=VERTEX_POINT('',#147965); #39214=VERTEX_POINT('',#147971); #39215=VERTEX_POINT('',#148082); #39216=VERTEX_POINT('',#148108); #39217=VERTEX_POINT('',#148134); #39218=VERTEX_POINT('',#148136); #39219=VERTEX_POINT('',#148141); #39220=VERTEX_POINT('',#148167); #39221=VERTEX_POINT('',#148169); #39222=VERTEX_POINT('',#148174); #39223=VERTEX_POINT('',#148216); #39224=VERTEX_POINT('',#148223); #39225=VERTEX_POINT('',#148255); #39226=VERTEX_POINT('',#148271); #39227=VERTEX_POINT('',#148275); #39228=VERTEX_POINT('',#148299); #39229=VERTEX_POINT('',#148310); #39230=VERTEX_POINT('',#148315); #39231=VERTEX_POINT('',#148341); #39232=VERTEX_POINT('',#148348); #39233=VERTEX_POINT('',#148373); #39234=VERTEX_POINT('',#148399); #39235=VERTEX_POINT('',#148401); #39236=VERTEX_POINT('',#148427); #39237=VERTEX_POINT('',#148459); #39238=VERTEX_POINT('',#148483); #39239=VERTEX_POINT('',#148491); #39240=VERTEX_POINT('',#148498); #39241=VERTEX_POINT('',#148507); #39242=VERTEX_POINT('',#148530); #39243=VERTEX_POINT('',#148535); #39244=VERTEX_POINT('',#148552); #39245=VERTEX_POINT('',#148575); #39246=VERTEX_POINT('',#148583); #39247=VERTEX_POINT('',#148607); #39248=VERTEX_POINT('',#148615); #39249=VERTEX_POINT('',#148651); #39250=VERTEX_POINT('',#148688); #39251=VERTEX_POINT('',#148690); #39252=VERTEX_POINT('',#148726); #39253=VERTEX_POINT('',#148752); #39254=VERTEX_POINT('',#148760); #39255=VERTEX_POINT('',#148784); #39256=VERTEX_POINT('',#148792); #39257=VERTEX_POINT('',#148828); #39258=VERTEX_POINT('',#148884); #39259=VERTEX_POINT('',#148891); #39260=VERTEX_POINT('',#148893); #39261=VERTEX_POINT('',#148916); #39262=VERTEX_POINT('',#148942); #39263=VERTEX_POINT('',#148974); #39264=VERTEX_POINT('',#148998); #39265=VERTEX_POINT('',#149009); #39266=VERTEX_POINT('',#149035); #39267=VERTEX_POINT('',#149065); #39268=VERTEX_POINT('',#149066); #39269=VERTEX_POINT('',#149068); #39270=VERTEX_POINT('',#149073); #39271=VERTEX_POINT('',#149147); #39272=VERTEX_POINT('',#149148); #39273=VERTEX_POINT('',#149154); #39274=VERTEX_POINT('',#149180); #39275=VERTEX_POINT('',#149181); #39276=VERTEX_POINT('',#149183); #39277=VERTEX_POINT('',#149188); #39278=VERTEX_POINT('',#149218); #39279=VERTEX_POINT('',#149227); #39280=VERTEX_POINT('',#149229); #39281=VERTEX_POINT('',#149231); #39282=VERTEX_POINT('',#149235); #39283=VERTEX_POINT('',#149236); #39284=VERTEX_POINT('',#149262); #39285=VERTEX_POINT('',#149268); #39286=VERTEX_POINT('',#149296); #39287=VERTEX_POINT('',#149301); #39288=VERTEX_POINT('',#149347); #39289=VERTEX_POINT('',#149354); #39290=VERTEX_POINT('',#149356); #39291=VERTEX_POINT('',#149358); #39292=VERTEX_POINT('',#149369); #39293=VERTEX_POINT('',#149429); #39294=VERTEX_POINT('',#149430); #39295=VERTEX_POINT('',#149432); #39296=VERTEX_POINT('',#149437); #39297=VERTEX_POINT('',#149444); #39298=VERTEX_POINT('',#149446); #39299=VERTEX_POINT('',#149448); #39300=VERTEX_POINT('',#149471); #39301=VERTEX_POINT('',#149476); #39302=VERTEX_POINT('',#149502); #39303=VERTEX_POINT('',#149503); #39304=VERTEX_POINT('',#149533); #39305=VERTEX_POINT('',#149539); #39306=VERTEX_POINT('',#149571); #39307=VERTEX_POINT('',#149572); #39308=VERTEX_POINT('',#149578); #39309=VERTEX_POINT('',#149602); #39310=VERTEX_POINT('',#149674); #39311=VERTEX_POINT('',#149679); #39312=VERTEX_POINT('',#149710); #39313=VERTEX_POINT('',#149712); #39314=VERTEX_POINT('',#149739); #39315=VERTEX_POINT('',#149810); #39316=VERTEX_POINT('',#149811); #39317=VERTEX_POINT('',#149813); #39318=VERTEX_POINT('',#149818); #39319=VERTEX_POINT('',#149844); #39320=VERTEX_POINT('',#149849); #39321=VERTEX_POINT('',#149879); #39322=VERTEX_POINT('',#149888); #39323=VERTEX_POINT('',#149890); #39324=VERTEX_POINT('',#149892); #39325=VERTEX_POINT('',#149917); #39326=VERTEX_POINT('',#149944); #39327=VERTEX_POINT('',#149987); #39328=VERTEX_POINT('',#150062); #39329=VERTEX_POINT('',#150063); #39330=VERTEX_POINT('',#150065); #39331=VERTEX_POINT('',#150067); #39332=VERTEX_POINT('',#150090); #39333=VERTEX_POINT('',#150095); #39334=VERTEX_POINT('',#150121); #39335=VERTEX_POINT('',#150122); #39336=VERTEX_POINT('',#150152); #39337=VERTEX_POINT('',#150158); #39338=VERTEX_POINT('',#150191); #39339=VERTEX_POINT('',#150217); #39340=VERTEX_POINT('',#150294); #39341=VERTEX_POINT('',#150320); #39342=VERTEX_POINT('',#150321); #39343=VERTEX_POINT('',#150323); #39344=VERTEX_POINT('',#150328); #39345=VERTEX_POINT('',#150335); #39346=VERTEX_POINT('',#150337); #39347=VERTEX_POINT('',#150339); #39348=VERTEX_POINT('',#150362); #39349=VERTEX_POINT('',#150367); #39350=VERTEX_POINT('',#150393); #39351=VERTEX_POINT('',#150398); #39352=VERTEX_POINT('',#150424); #39353=VERTEX_POINT('',#150430); #39354=VERTEX_POINT('',#150462); #39355=VERTEX_POINT('',#150463); #39356=VERTEX_POINT('',#150469); #39357=VERTEX_POINT('',#150583); #39358=VERTEX_POINT('',#150588); #39359=VERTEX_POINT('',#150595); #39360=VERTEX_POINT('',#150618); #39361=VERTEX_POINT('',#150649); #39362=VERTEX_POINT('',#150650); #39363=VERTEX_POINT('',#150652); #39364=VERTEX_POINT('',#150657); #39365=VERTEX_POINT('',#150664); #39366=VERTEX_POINT('',#150666); #39367=VERTEX_POINT('',#150668); #39368=VERTEX_POINT('',#150712); #39369=VERTEX_POINT('',#150719); #39370=VERTEX_POINT('',#150771); #39371=VERTEX_POINT('',#150773); #39372=VERTEX_POINT('',#150778); #39373=VERTEX_POINT('',#150888); #39374=VERTEX_POINT('',#150924); #39375=VERTEX_POINT('',#150940); #39376=VERTEX_POINT('',#150958); #39377=VERTEX_POINT('',#150993); #39378=VERTEX_POINT('',#150995); #39379=VERTEX_POINT('',#151035); #39380=VERTEX_POINT('',#151047); #39381=VERTEX_POINT('',#151058); #39382=VERTEX_POINT('',#151158); #39383=VERTEX_POINT('',#151159); #39384=VERTEX_POINT('',#151166); #39385=VERTEX_POINT('',#151171); #39386=VERTEX_POINT('',#151177); #39387=VERTEX_POINT('',#151179); #39388=VERTEX_POINT('',#151185); #39389=VERTEX_POINT('',#151190); #39390=VERTEX_POINT('',#151197); #39391=VERTEX_POINT('',#151199); #39392=VERTEX_POINT('',#151201); #39393=VERTEX_POINT('',#151203); #39394=VERTEX_POINT('',#151222); #39395=VERTEX_POINT('',#151224); #39396=VERTEX_POINT('',#151267); #39397=VERTEX_POINT('',#151268); #39398=VERTEX_POINT('',#151275); #39399=VERTEX_POINT('',#151280); #39400=VERTEX_POINT('',#151282); #39401=VERTEX_POINT('',#151288); #39402=VERTEX_POINT('',#151295); #39403=VERTEX_POINT('',#151325); #39404=VERTEX_POINT('',#151327); #39405=VERTEX_POINT('',#151334); #39406=VERTEX_POINT('',#151341); #39407=VERTEX_POINT('',#151346); #39408=VERTEX_POINT('',#151348); #39409=VERTEX_POINT('',#151375); #39410=VERTEX_POINT('',#151376); #39411=VERTEX_POINT('',#151378); #39412=VERTEX_POINT('',#151383); #39413=VERTEX_POINT('',#151389); #39414=VERTEX_POINT('',#151394); #39415=VERTEX_POINT('',#151401); #39416=VERTEX_POINT('',#151466); #39417=VERTEX_POINT('',#151468); #39418=VERTEX_POINT('',#151527); #39419=VERTEX_POINT('',#151528); #39420=VERTEX_POINT('',#151530); #39421=VERTEX_POINT('',#151539); #39422=VERTEX_POINT('',#151573); #39423=VERTEX_POINT('',#151574); #39424=VERTEX_POINT('',#151583); #39425=VERTEX_POINT('',#151601); #39426=VERTEX_POINT('',#151602); #39427=VERTEX_POINT('',#151604); #39428=VERTEX_POINT('',#151616); #39429=VERTEX_POINT('',#151624); #39430=VERTEX_POINT('',#151629); #39431=VERTEX_POINT('',#151668); #39432=VERTEX_POINT('',#151669); #39433=VERTEX_POINT('',#151676); #39434=VERTEX_POINT('',#151681); #39435=VERTEX_POINT('',#151683); #39436=VERTEX_POINT('',#151690); #39437=VERTEX_POINT('',#151702); #39438=VERTEX_POINT('',#151709); #39439=VERTEX_POINT('',#151711); #39440=VERTEX_POINT('',#151751); #39441=VERTEX_POINT('',#151753); #39442=VERTEX_POINT('',#151755); #39443=VERTEX_POINT('',#151780); #39444=VERTEX_POINT('',#151817); #39445=VERTEX_POINT('',#151827); #39446=VERTEX_POINT('',#151828); #39447=VERTEX_POINT('',#151830); #39448=VERTEX_POINT('',#151835); #39449=VERTEX_POINT('',#151846); #39450=VERTEX_POINT('',#151855); #39451=VERTEX_POINT('',#151857); #39452=VERTEX_POINT('',#151871); #39453=VERTEX_POINT('',#151885); #39454=VERTEX_POINT('',#151890); #39455=VERTEX_POINT('',#151902); #39456=VERTEX_POINT('',#151934); #39457=VERTEX_POINT('',#151964); #39458=VERTEX_POINT('',#151966); #39459=VERTEX_POINT('',#151968); #39460=VERTEX_POINT('',#151982); #39461=VERTEX_POINT('',#152044); #39462=VERTEX_POINT('',#152045); #39463=VERTEX_POINT('',#152047); #39464=VERTEX_POINT('',#152049); #39465=VERTEX_POINT('',#152053); #39466=VERTEX_POINT('',#152055); #39467=VERTEX_POINT('',#152059); #39468=VERTEX_POINT('',#152061); #39469=VERTEX_POINT('',#152063); #39470=VERTEX_POINT('',#152065); #39471=VERTEX_POINT('',#152067); #39472=VERTEX_POINT('',#152069); #39473=VERTEX_POINT('',#152071); #39474=VERTEX_POINT('',#152073); #39475=VERTEX_POINT('',#152075); #39476=VERTEX_POINT('',#152077); #39477=VERTEX_POINT('',#152079); #39478=VERTEX_POINT('',#152081); #39479=VERTEX_POINT('',#152083); #39480=VERTEX_POINT('',#152085); #39481=VERTEX_POINT('',#152087); #39482=VERTEX_POINT('',#152089); #39483=VERTEX_POINT('',#152091); #39484=VERTEX_POINT('',#152094); #39485=VERTEX_POINT('',#152095); #39486=VERTEX_POINT('',#152097); #39487=VERTEX_POINT('',#152099); #39488=VERTEX_POINT('',#152101); #39489=VERTEX_POINT('',#152103); #39490=VERTEX_POINT('',#152105); #39491=VERTEX_POINT('',#152107); #39492=VERTEX_POINT('',#152111); #39493=VERTEX_POINT('',#152112); #39494=VERTEX_POINT('',#152117); #39495=VERTEX_POINT('',#152121); #39496=VERTEX_POINT('',#152122); #39497=VERTEX_POINT('',#152124); #39498=VERTEX_POINT('',#152126); #39499=VERTEX_POINT('',#152130); #39500=VERTEX_POINT('',#152132); #39501=VERTEX_POINT('',#152136); #39502=VERTEX_POINT('',#152138); #39503=VERTEX_POINT('',#152140); #39504=VERTEX_POINT('',#152142); #39505=VERTEX_POINT('',#152144); #39506=VERTEX_POINT('',#152146); #39507=VERTEX_POINT('',#152151); #39508=VERTEX_POINT('',#152153); #39509=VERTEX_POINT('',#152158); #39510=VERTEX_POINT('',#152160); #39511=VERTEX_POINT('',#152162); #39512=VERTEX_POINT('',#152164); #39513=VERTEX_POINT('',#152166); #39514=VERTEX_POINT('',#152168); #39515=VERTEX_POINT('',#152170); #39516=VERTEX_POINT('',#152172); #39517=VERTEX_POINT('',#152174); #39518=VERTEX_POINT('',#152178); #39519=VERTEX_POINT('',#152179); #39520=VERTEX_POINT('',#152184); #39521=VERTEX_POINT('',#152188); #39522=VERTEX_POINT('',#152189); #39523=VERTEX_POINT('',#152191); #39524=VERTEX_POINT('',#152193); #39525=VERTEX_POINT('',#152216); #39526=VERTEX_POINT('',#152217); #39527=VERTEX_POINT('',#152241); #39528=VERTEX_POINT('',#152273); #39529=VERTEX_POINT('',#152277); #39530=VERTEX_POINT('',#152288); #39531=VERTEX_POINT('',#152290); #39532=VERTEX_POINT('',#152297); #39533=VERTEX_POINT('',#152304); #39534=VERTEX_POINT('',#152338); #39535=VERTEX_POINT('',#152339); #39536=VERTEX_POINT('',#152341); #39537=VERTEX_POINT('',#152345); #39538=VERTEX_POINT('',#152347); #39539=VERTEX_POINT('',#152349); #39540=VERTEX_POINT('',#152351); #39541=VERTEX_POINT('',#152353); #39542=VERTEX_POINT('',#152358); #39543=VERTEX_POINT('',#152360); #39544=VERTEX_POINT('',#152362); #39545=VERTEX_POINT('',#152364); #39546=VERTEX_POINT('',#152371); #39547=VERTEX_POINT('',#152376); #39548=VERTEX_POINT('',#152378); #39549=VERTEX_POINT('',#152380); #39550=VERTEX_POINT('',#152382); #39551=VERTEX_POINT('',#152384); #39552=VERTEX_POINT('',#152386); #39553=VERTEX_POINT('',#152388); #39554=VERTEX_POINT('',#152390); #39555=VERTEX_POINT('',#152392); #39556=VERTEX_POINT('',#152394); #39557=VERTEX_POINT('',#152399); #39558=VERTEX_POINT('',#152406); #39559=VERTEX_POINT('',#152410); #39560=VERTEX_POINT('',#152411); #39561=VERTEX_POINT('',#152413); #39562=VERTEX_POINT('',#152415); #39563=VERTEX_POINT('',#152438); #39564=VERTEX_POINT('',#152439); #39565=VERTEX_POINT('',#152463); #39566=VERTEX_POINT('',#152464); #39567=VERTEX_POINT('',#152474); #39568=VERTEX_POINT('',#152479); #39569=VERTEX_POINT('',#152483); #39570=VERTEX_POINT('',#152487); #39571=VERTEX_POINT('',#152491); #39572=VERTEX_POINT('',#152497); #39573=VERTEX_POINT('',#152499); #39574=VERTEX_POINT('',#152503); #39575=VERTEX_POINT('',#152509); #39576=VERTEX_POINT('',#152511); #39577=VERTEX_POINT('',#152517); #39578=VERTEX_POINT('',#152521); #39579=VERTEX_POINT('',#152527); #39580=VERTEX_POINT('',#152529); #39581=VERTEX_POINT('',#152533); #39582=VERTEX_POINT('',#152537); #39583=VERTEX_POINT('',#152539); #39584=VERTEX_POINT('',#152543); #39585=VERTEX_POINT('',#152547); #39586=VERTEX_POINT('',#152553); #39587=VERTEX_POINT('',#152562); #39588=VERTEX_POINT('',#152575); #39589=VERTEX_POINT('',#152588); #39590=VERTEX_POINT('',#152593); #39591=VERTEX_POINT('',#152595); #39592=VERTEX_POINT('',#152597); #39593=VERTEX_POINT('',#152627); #39594=VERTEX_POINT('',#152629); #39595=VERTEX_POINT('',#152633); #39596=VERTEX_POINT('',#152634); #39597=VERTEX_POINT('',#152644); #39598=VERTEX_POINT('',#152715); #39599=VERTEX_POINT('',#152773); #39600=VERTEX_POINT('',#152775); #39601=VERTEX_POINT('',#152779); #39602=VERTEX_POINT('',#152780); #39603=VERTEX_POINT('',#152793); #39604=VERTEX_POINT('',#152862); #39605=VERTEX_POINT('',#152979); #39606=VERTEX_POINT('',#152981); #39607=VERTEX_POINT('',#153056); #39608=VERTEX_POINT('',#153058); #39609=VERTEX_POINT('',#153066); #39610=VERTEX_POINT('',#153068); #39611=VERTEX_POINT('',#153073); #39612=VERTEX_POINT('',#153141); #39613=VERTEX_POINT('',#153143); #39614=VERTEX_POINT('',#153212); #39615=VERTEX_POINT('',#153214); #39616=VERTEX_POINT('',#153221); #39617=VERTEX_POINT('',#153226); #39618=VERTEX_POINT('',#153228); #39619=VERTEX_POINT('',#153238); #39620=VERTEX_POINT('',#153239); #39621=VERTEX_POINT('',#153241); #39622=VERTEX_POINT('',#153243); #39623=VERTEX_POINT('',#153247); #39624=VERTEX_POINT('',#153249); #39625=VERTEX_POINT('',#153253); #39626=VERTEX_POINT('',#153256); #39627=VERTEX_POINT('',#153312); #39628=VERTEX_POINT('',#153367); #39629=VERTEX_POINT('',#153554); #39630=VERTEX_POINT('',#153555); #39631=VERTEX_POINT('',#153557); #39632=VERTEX_POINT('',#153559); #39633=VERTEX_POINT('',#153563); #39634=VERTEX_POINT('',#153565); #39635=VERTEX_POINT('',#153569); #39636=VERTEX_POINT('',#153680); #39637=VERTEX_POINT('',#153868); #39638=VERTEX_POINT('',#153869); #39639=VERTEX_POINT('',#153871); #39640=VERTEX_POINT('',#153873); #39641=VERTEX_POINT('',#153877); #39642=VERTEX_POINT('',#153879); #39643=VERTEX_POINT('',#153883); #39644=VERTEX_POINT('',#153885); #39645=VERTEX_POINT('',#153893); #39646=VERTEX_POINT('',#153894); #39647=VERTEX_POINT('',#153896); #39648=VERTEX_POINT('',#153898); #39649=VERTEX_POINT('',#153902); #39650=VERTEX_POINT('',#153904); #39651=VERTEX_POINT('',#153908); #39652=VERTEX_POINT('',#153910); #39653=VERTEX_POINT('',#153918); #39654=VERTEX_POINT('',#153919); #39655=VERTEX_POINT('',#153921); #39656=VERTEX_POINT('',#153923); #39657=VERTEX_POINT('',#153927); #39658=VERTEX_POINT('',#153929); #39659=VERTEX_POINT('',#153933); #39660=VERTEX_POINT('',#153935); #39661=VERTEX_POINT('',#154026); #39662=VERTEX_POINT('',#154027); #39663=VERTEX_POINT('',#154029); #39664=VERTEX_POINT('',#154088); #39665=VERTEX_POINT('',#154441); #39666=VERTEX_POINT('',#154442); #39667=VERTEX_POINT('',#154504); #39668=VERTEX_POINT('',#154505); #39669=VERTEX_POINT('',#154564); #39670=VERTEX_POINT('',#154566); #39671=VERTEX_POINT('',#154571); #39672=VERTEX_POINT('',#154582); #39673=VERTEX_POINT('',#154587); #39674=VERTEX_POINT('',#154883); #39675=VERTEX_POINT('',#154884); #39676=VERTEX_POINT('',#155029); #39677=VERTEX_POINT('',#155031); #39678=VERTEX_POINT('',#155095); #39679=VERTEX_POINT('',#155105); #39680=VERTEX_POINT('',#155110); #39681=VERTEX_POINT('',#155120); #39682=VERTEX_POINT('',#155154); #39683=VERTEX_POINT('',#155178); #39684=VERTEX_POINT('',#155204); #39685=VERTEX_POINT('',#155206); #39686=VERTEX_POINT('',#155218); #39687=VERTEX_POINT('',#155241); #39688=VERTEX_POINT('',#155287); #39689=VERTEX_POINT('',#155289); #39690=VERTEX_POINT('',#155369); #39691=VERTEX_POINT('',#155374); #39692=VERTEX_POINT('',#155376); #39693=VERTEX_POINT('',#155393); #39694=VERTEX_POINT('',#155398); #39695=VERTEX_POINT('',#155409); #39696=VERTEX_POINT('',#155438); #39697=VERTEX_POINT('',#155440); #39698=VERTEX_POINT('',#155462); #39699=VERTEX_POINT('',#155489); #39700=VERTEX_POINT('',#155491); #39701=VERTEX_POINT('',#155495); #39702=VERTEX_POINT('',#155499); #39703=VERTEX_POINT('',#155503); #39704=VERTEX_POINT('',#155507); #39705=VERTEX_POINT('',#155511); #39706=VERTEX_POINT('',#155515); #39707=VERTEX_POINT('',#155537); #39708=VERTEX_POINT('',#155560); #39709=VERTEX_POINT('',#155561); #39710=VERTEX_POINT('',#155595); #39711=VERTEX_POINT('',#155624); #39712=VERTEX_POINT('',#155629); #39713=VERTEX_POINT('',#155637); #39714=VERTEX_POINT('',#155639); #39715=VERTEX_POINT('',#155644); #39716=VERTEX_POINT('',#155649); #39717=VERTEX_POINT('',#155654); #39718=VERTEX_POINT('',#155682); #39719=VERTEX_POINT('',#155718); #39720=VERTEX_POINT('',#155761); #39721=VERTEX_POINT('',#155763); #39722=VERTEX_POINT('',#155775); #39723=VERTEX_POINT('',#155803); #39724=VERTEX_POINT('',#155843); #39725=VERTEX_POINT('',#155850); #39726=VERTEX_POINT('',#155878); #39727=VERTEX_POINT('',#155903); #39728=VERTEX_POINT('',#155904); #39729=VERTEX_POINT('',#155909); #39730=VERTEX_POINT('',#155911); #39731=VERTEX_POINT('',#155926); #39732=VERTEX_POINT('',#155928); #39733=VERTEX_POINT('',#155932); #39734=VERTEX_POINT('',#155934); #39735=VERTEX_POINT('',#155961); #39736=VERTEX_POINT('',#155965); #39737=VERTEX_POINT('',#155967); #39738=VERTEX_POINT('',#155984); #39739=VERTEX_POINT('',#155985); #39740=VERTEX_POINT('',#156038); #39741=VERTEX_POINT('',#156065); #39742=VERTEX_POINT('',#156069); #39743=VERTEX_POINT('',#156074); #39744=VERTEX_POINT('',#156077); #39745=VERTEX_POINT('',#156082); #39746=VERTEX_POINT('',#156114); #39747=VERTEX_POINT('',#156119); #39748=VERTEX_POINT('',#156154); #39749=VERTEX_POINT('',#156155); #39750=VERTEX_POINT('',#156157); #39751=VERTEX_POINT('',#156159); #39752=VERTEX_POINT('',#156192); #39753=VERTEX_POINT('',#156193); #39754=VERTEX_POINT('',#156227); #39755=VERTEX_POINT('',#156228); #39756=VERTEX_POINT('',#156258); #39757=VERTEX_POINT('',#156260); #39758=VERTEX_POINT('',#156265); #39759=VERTEX_POINT('',#156291); #39760=VERTEX_POINT('',#156292); #39761=VERTEX_POINT('',#156294); #39762=VERTEX_POINT('',#156296); #39763=VERTEX_POINT('',#156329); #39764=VERTEX_POINT('',#156330); #39765=VERTEX_POINT('',#156364); #39766=VERTEX_POINT('',#156365); #39767=VERTEX_POINT('',#156400); #39768=VERTEX_POINT('',#156401); #39769=VERTEX_POINT('',#156433); #39770=VERTEX_POINT('',#156434); #39771=VERTEX_POINT('',#156463); #39772=VERTEX_POINT('',#156464); #39773=VERTEX_POINT('',#156496); #39774=VERTEX_POINT('',#156497); #39775=VERTEX_POINT('',#156537); #39776=VERTEX_POINT('',#156538); #39777=VERTEX_POINT('',#156572); #39778=VERTEX_POINT('',#156596); #39779=VERTEX_POINT('',#156598); #39780=VERTEX_POINT('',#156603); #39781=VERTEX_POINT('',#156641); #39782=VERTEX_POINT('',#156642); #39783=VERTEX_POINT('',#156644); #39784=VERTEX_POINT('',#156681); #39785=VERTEX_POINT('',#156682); #39786=VERTEX_POINT('',#156717); #39787=VERTEX_POINT('',#156718); #39788=VERTEX_POINT('',#156750); #39789=VERTEX_POINT('',#156751); #39790=VERTEX_POINT('',#156753); #39791=VERTEX_POINT('',#156758); #39792=VERTEX_POINT('',#156794); #39793=VERTEX_POINT('',#156795); #39794=VERTEX_POINT('',#156829); #39795=VERTEX_POINT('',#156889); #39796=VERTEX_POINT('',#156890); #39797=VERTEX_POINT('',#156920); #39798=VERTEX_POINT('',#156921); #39799=VERTEX_POINT('',#156923); #39800=VERTEX_POINT('',#156928); #39801=VERTEX_POINT('',#156974); #39802=VERTEX_POINT('',#157027); #39803=VERTEX_POINT('',#157029); #39804=VERTEX_POINT('',#157033); #39805=VERTEX_POINT('',#157040); #39806=VERTEX_POINT('',#157042); #39807=VERTEX_POINT('',#157046); #39808=VERTEX_POINT('',#157050); #39809=VERTEX_POINT('',#157054); #39810=VERTEX_POINT('',#157058); #39811=VERTEX_POINT('',#157062); #39812=VERTEX_POINT('',#157066); #39813=VERTEX_POINT('',#157070); #39814=VERTEX_POINT('',#157074); #39815=VERTEX_POINT('',#157078); #39816=VERTEX_POINT('',#157083); #39817=VERTEX_POINT('',#157087); #39818=VERTEX_POINT('',#157091); #39819=VERTEX_POINT('',#157095); #39820=VERTEX_POINT('',#157099); #39821=VERTEX_POINT('',#157103); #39822=VERTEX_POINT('',#157107); #39823=VERTEX_POINT('',#157111); #39824=VERTEX_POINT('',#157115); #39825=VERTEX_POINT('',#157119); #39826=VERTEX_POINT('',#157122); #39827=VERTEX_POINT('',#157138); #39828=VERTEX_POINT('',#157161); #39829=VERTEX_POINT('',#157187); #39830=VERTEX_POINT('',#157192); #39831=VERTEX_POINT('',#157194); #39832=VERTEX_POINT('',#157235); #39833=VERTEX_POINT('',#157302); #39834=VERTEX_POINT('',#157380); #39835=VERTEX_POINT('',#157382); #39836=VERTEX_POINT('',#157386); #39837=VERTEX_POINT('',#157414); #39838=VERTEX_POINT('',#157418); #39839=VERTEX_POINT('',#157422); #39840=VERTEX_POINT('',#157426); #39841=VERTEX_POINT('',#157455); #39842=VERTEX_POINT('',#157459); #39843=VERTEX_POINT('',#157487); #39844=VERTEX_POINT('',#157491); #39845=VERTEX_POINT('',#157496); #39846=VERTEX_POINT('',#157525); #39847=VERTEX_POINT('',#157529); #39848=VERTEX_POINT('',#157557); #39849=VERTEX_POINT('',#157561); #39850=VERTEX_POINT('',#157566); #39851=VERTEX_POINT('',#157595); #39852=VERTEX_POINT('',#157599); #39853=VERTEX_POINT('',#157627); #39854=VERTEX_POINT('',#157656); #39855=VERTEX_POINT('',#157665); #39856=VERTEX_POINT('',#157670); #39857=VERTEX_POINT('',#157675); #39858=VERTEX_POINT('',#157681); #39859=VERTEX_POINT('',#157738); #39860=VERTEX_POINT('',#157747); #39861=VERTEX_POINT('',#157760); #39862=VERTEX_POINT('',#157769); #39863=VERTEX_POINT('',#157774); #39864=VERTEX_POINT('',#157876); #39865=VERTEX_POINT('',#157902); #39866=VERTEX_POINT('',#157971); #39867=VERTEX_POINT('',#158013); #39868=VERTEX_POINT('',#158127); #39869=VERTEX_POINT('',#158128); #39870=VERTEX_POINT('',#158130); #39871=VERTEX_POINT('',#158132); #39872=VERTEX_POINT('',#158136); #39873=VERTEX_POINT('',#158137); #39874=VERTEX_POINT('',#158146); #39875=VERTEX_POINT('',#158172); #39876=VERTEX_POINT('',#158174); #39877=VERTEX_POINT('',#158178); #39878=VERTEX_POINT('',#158179); #39879=VERTEX_POINT('',#158181); #39880=VERTEX_POINT('',#158183); #39881=VERTEX_POINT('',#158185); #39882=VERTEX_POINT('',#158187); #39883=VERTEX_POINT('',#158189); #39884=VERTEX_POINT('',#158191); #39885=VERTEX_POINT('',#158205); #39886=VERTEX_POINT('',#158207); #39887=VERTEX_POINT('',#158209); #39888=VERTEX_POINT('',#158211); #39889=VERTEX_POINT('',#158213); #39890=VERTEX_POINT('',#158215); #39891=VERTEX_POINT('',#158219); #39892=VERTEX_POINT('',#158439); #39893=VERTEX_POINT('',#158554); #39894=VERTEX_POINT('',#158580); #39895=VERTEX_POINT('',#158626); #39896=VERTEX_POINT('',#158653); #39897=VERTEX_POINT('',#158665); #39898=VERTEX_POINT('',#158670); #39899=VERTEX_POINT('',#158672); #39900=VERTEX_POINT('',#158674); #39901=VERTEX_POINT('',#158676); #39902=VERTEX_POINT('',#158732); #39903=VERTEX_POINT('',#158778); #39904=VERTEX_POINT('',#158783); #39905=VERTEX_POINT('',#158785); #39906=VERTEX_POINT('',#158814); #39907=VERTEX_POINT('',#158840); #39908=VERTEX_POINT('',#158886); #39909=VERTEX_POINT('',#158888); #39910=VERTEX_POINT('',#159234); #39911=VERTEX_POINT('',#159379); #39912=VERTEX_POINT('',#159449); #39913=VERTEX_POINT('',#159494); #39914=VERTEX_POINT('',#159499); #39915=VERTEX_POINT('',#159512); #39916=VERTEX_POINT('',#159514); #39917=VERTEX_POINT('',#159516); #39918=VERTEX_POINT('',#159526); #39919=VERTEX_POINT('',#159531); #39920=VERTEX_POINT('',#159539); #39921=VERTEX_POINT('',#159813); #39922=VERTEX_POINT('',#160033); #39923=VERTEX_POINT('',#160119); #39924=VERTEX_POINT('',#160121); #39925=VERTEX_POINT('',#160125); #39926=VERTEX_POINT('',#160126); #39927=VERTEX_POINT('',#160138); #39928=VERTEX_POINT('',#160141); #39929=VERTEX_POINT('',#160143); #39930=VERTEX_POINT('',#160156); #39931=VERTEX_POINT('',#160172); #39932=VERTEX_POINT('',#160323); #39933=VERTEX_POINT('',#160365); #39934=VERTEX_POINT('',#160387); #39935=VERTEX_POINT('',#160409); #39936=VERTEX_POINT('',#160431); #39937=VERTEX_POINT('',#160436); #39938=VERTEX_POINT('',#160466); #39939=VERTEX_POINT('',#160473); #39940=VERTEX_POINT('',#160501); #39941=VERTEX_POINT('',#160502); #39942=VERTEX_POINT('',#160530); #39943=VERTEX_POINT('',#160556); #39944=VERTEX_POINT('',#160578); #39945=VERTEX_POINT('',#160638); #39946=VERTEX_POINT('',#160643); #39947=VERTEX_POINT('',#160648); #39948=VERTEX_POINT('',#160650); #39949=VERTEX_POINT('',#160655); #39950=VERTEX_POINT('',#160660); #39951=VERTEX_POINT('',#160668); #39952=VERTEX_POINT('',#160716); #39953=VERTEX_POINT('',#160746); #39954=VERTEX_POINT('',#160784); #39955=VERTEX_POINT('',#160818); #39956=VERTEX_POINT('',#160820); #39957=VERTEX_POINT('',#160861); #39958=VERTEX_POINT('',#160890); #39959=VERTEX_POINT('',#160921); #39960=VERTEX_POINT('',#160922); #39961=VERTEX_POINT('',#160959); #39962=VERTEX_POINT('',#160960); #39963=VERTEX_POINT('',#161002); #39964=VERTEX_POINT('',#161003); #39965=VERTEX_POINT('',#161042); #39966=VERTEX_POINT('',#161043); #39967=VERTEX_POINT('',#161075); #39968=VERTEX_POINT('',#161076); #39969=VERTEX_POINT('',#161121); #39970=VERTEX_POINT('',#161122); #39971=VERTEX_POINT('',#161158); #39972=VERTEX_POINT('',#161159); #39973=VERTEX_POINT('',#161223); #39974=VERTEX_POINT('',#161224); #39975=VERTEX_POINT('',#161232); #39976=VERTEX_POINT('',#161394); #39977=VERTEX_POINT('',#161396); #39978=VERTEX_POINT('',#161586); #39979=VERTEX_POINT('',#161588); #39980=VERTEX_POINT('',#161658); #39981=VERTEX_POINT('',#161684); #39982=VERTEX_POINT('',#161784); #39983=VERTEX_POINT('',#161814); #39984=VERTEX_POINT('',#161842); #39985=VERTEX_POINT('',#161873); #39986=VERTEX_POINT('',#161897); #39987=VERTEX_POINT('',#161919); #39988=VERTEX_POINT('',#161941); #39989=VERTEX_POINT('',#161963); #39990=VERTEX_POINT('',#161993); #39991=VERTEX_POINT('',#162021); #39992=VERTEX_POINT('',#162052); #39993=VERTEX_POINT('',#162076); #39994=VERTEX_POINT('',#162098); #39995=VERTEX_POINT('',#162154); #39996=VERTEX_POINT('',#162186); #39997=VERTEX_POINT('',#162247); #39998=VERTEX_POINT('',#162249); #39999=VERTEX_POINT('',#162282); #40000=VERTEX_POINT('',#162321); #40001=VERTEX_POINT('',#162356); #40002=VERTEX_POINT('',#162358); #40003=VERTEX_POINT('',#162362); #40004=VERTEX_POINT('',#162366); #40005=VERTEX_POINT('',#162368); #40006=VERTEX_POINT('',#162372); #40007=VERTEX_POINT('',#162374); #40008=VERTEX_POINT('',#162378); #40009=VERTEX_POINT('',#162382); #40010=VERTEX_POINT('',#162386); #40011=VERTEX_POINT('',#162388); #40012=VERTEX_POINT('',#162392); #40013=VERTEX_POINT('',#162398); #40014=VERTEX_POINT('',#162408); #40015=VERTEX_POINT('',#162412); #40016=VERTEX_POINT('',#162416); #40017=VERTEX_POINT('',#162420); #40018=VERTEX_POINT('',#162480); #40019=VERTEX_POINT('',#162539); #40020=VERTEX_POINT('',#162706); #40021=VERTEX_POINT('',#163385); #40022=VERTEX_POINT('',#163386); #40023=VERTEX_POINT('',#163388); #40024=VERTEX_POINT('',#163392); #40025=VERTEX_POINT('',#163398); #40026=VERTEX_POINT('',#163400); #40027=VERTEX_POINT('',#163404); #40028=VERTEX_POINT('',#163410); #40029=VERTEX_POINT('',#163412); #40030=VERTEX_POINT('',#163416); #40031=VERTEX_POINT('',#163422); #40032=VERTEX_POINT('',#163424); #40033=VERTEX_POINT('',#163428); #40034=VERTEX_POINT('',#163434); #40035=VERTEX_POINT('',#163436); #40036=VERTEX_POINT('',#163440); #40037=VERTEX_POINT('',#163446); #40038=VERTEX_POINT('',#163448); #40039=VERTEX_POINT('',#163452); #40040=VERTEX_POINT('',#163458); #40041=VERTEX_POINT('',#163460); #40042=VERTEX_POINT('',#163464); #40043=VERTEX_POINT('',#163470); #40044=VERTEX_POINT('',#163474); #40045=VERTEX_POINT('',#163481); #40046=VERTEX_POINT('',#163482); #40047=VERTEX_POINT('',#163484); #40048=VERTEX_POINT('',#163488); #40049=VERTEX_POINT('',#163494); #40050=VERTEX_POINT('',#163496); #40051=VERTEX_POINT('',#163500); #40052=VERTEX_POINT('',#163506); #40053=VERTEX_POINT('',#163508); #40054=VERTEX_POINT('',#163512); #40055=VERTEX_POINT('',#163518); #40056=VERTEX_POINT('',#163520); #40057=VERTEX_POINT('',#163524); #40058=VERTEX_POINT('',#163530); #40059=VERTEX_POINT('',#163532); #40060=VERTEX_POINT('',#163536); #40061=VERTEX_POINT('',#163542); #40062=VERTEX_POINT('',#163544); #40063=VERTEX_POINT('',#163548); #40064=VERTEX_POINT('',#163554); #40065=VERTEX_POINT('',#163556); #40066=VERTEX_POINT('',#163560); #40067=VERTEX_POINT('',#163566); #40068=VERTEX_POINT('',#163567); #40069=VERTEX_POINT('',#163611); #40070=VERTEX_POINT('',#163612); #40071=VERTEX_POINT('',#163614); #40072=VERTEX_POINT('',#163616); #40073=VERTEX_POINT('',#163620); #40074=VERTEX_POINT('',#163622); #40075=VERTEX_POINT('',#163626); #40076=VERTEX_POINT('',#163628); #40077=VERTEX_POINT('',#163636); #40078=VERTEX_POINT('',#163637); #40079=VERTEX_POINT('',#163639); #40080=VERTEX_POINT('',#163641); #40081=VERTEX_POINT('',#163645); #40082=VERTEX_POINT('',#163647); #40083=VERTEX_POINT('',#163651); #40084=VERTEX_POINT('',#163653); #40085=VERTEX_POINT('',#163661); #40086=VERTEX_POINT('',#163662); #40087=VERTEX_POINT('',#163664); #40088=VERTEX_POINT('',#163666); #40089=VERTEX_POINT('',#163670); #40090=VERTEX_POINT('',#163672); #40091=VERTEX_POINT('',#163676); #40092=VERTEX_POINT('',#163678); #40093=VERTEX_POINT('',#163686); #40094=VERTEX_POINT('',#163687); #40095=VERTEX_POINT('',#163689); #40096=VERTEX_POINT('',#163693); #40097=VERTEX_POINT('',#163699); #40098=VERTEX_POINT('',#163701); #40099=VERTEX_POINT('',#163705); #40100=VERTEX_POINT('',#163711); #40101=VERTEX_POINT('',#163713); #40102=VERTEX_POINT('',#163717); #40103=VERTEX_POINT('',#163723); #40104=VERTEX_POINT('',#163725); #40105=VERTEX_POINT('',#163729); #40106=VERTEX_POINT('',#163735); #40107=VERTEX_POINT('',#163737); #40108=VERTEX_POINT('',#163741); #40109=VERTEX_POINT('',#163747); #40110=VERTEX_POINT('',#163749); #40111=VERTEX_POINT('',#163753); #40112=VERTEX_POINT('',#163759); #40113=VERTEX_POINT('',#163761); #40114=VERTEX_POINT('',#163765); #40115=VERTEX_POINT('',#163771); #40116=VERTEX_POINT('',#163772); #40117=VERTEX_POINT('',#163782); #40118=VERTEX_POINT('',#163783); #40119=VERTEX_POINT('',#163785); #40120=VERTEX_POINT('',#163789); #40121=VERTEX_POINT('',#163795); #40122=VERTEX_POINT('',#163797); #40123=VERTEX_POINT('',#163801); #40124=VERTEX_POINT('',#163807); #40125=VERTEX_POINT('',#163809); #40126=VERTEX_POINT('',#163813); #40127=VERTEX_POINT('',#163819); #40128=VERTEX_POINT('',#163821); #40129=VERTEX_POINT('',#163825); #40130=VERTEX_POINT('',#163831); #40131=VERTEX_POINT('',#163833); #40132=VERTEX_POINT('',#163837); #40133=VERTEX_POINT('',#163843); #40134=VERTEX_POINT('',#163845); #40135=VERTEX_POINT('',#163849); #40136=VERTEX_POINT('',#163855); #40137=VERTEX_POINT('',#163857); #40138=VERTEX_POINT('',#163861); #40139=VERTEX_POINT('',#163867); #40140=VERTEX_POINT('',#163871); #40141=VERTEX_POINT('',#163918); #40142=VERTEX_POINT('',#163919); #40143=VERTEX_POINT('',#163921); #40144=VERTEX_POINT('',#163935); #40145=VERTEX_POINT('',#163949); #40146=VERTEX_POINT('',#163950); #40147=VERTEX_POINT('',#163987); #40148=VERTEX_POINT('',#163988); #40149=VERTEX_POINT('',#164025); #40150=VERTEX_POINT('',#164026); #40151=VERTEX_POINT('',#164063); #40152=VERTEX_POINT('',#164064); #40153=VERTEX_POINT('',#164101); #40154=VERTEX_POINT('',#164102); #40155=VERTEX_POINT('',#164139); #40156=VERTEX_POINT('',#164140); #40157=VERTEX_POINT('',#164177); #40158=VERTEX_POINT('',#164178); #40159=VERTEX_POINT('',#164215); #40160=VERTEX_POINT('',#164216); #40161=VERTEX_POINT('',#164253); #40162=VERTEX_POINT('',#164254); #40163=VERTEX_POINT('',#164291); #40164=VERTEX_POINT('',#164292); #40165=VERTEX_POINT('',#164329); #40166=VERTEX_POINT('',#164330); #40167=VERTEX_POINT('',#164367); #40168=VERTEX_POINT('',#164368); #40169=VERTEX_POINT('',#164405); #40170=VERTEX_POINT('',#164406); #40171=VERTEX_POINT('',#164443); #40172=VERTEX_POINT('',#164444); #40173=VERTEX_POINT('',#164481); #40174=VERTEX_POINT('',#164482); #40175=VERTEX_POINT('',#164519); #40176=VERTEX_POINT('',#164520); #40177=VERTEX_POINT('',#164557); #40178=VERTEX_POINT('',#164558); #40179=VERTEX_POINT('',#164595); #40180=VERTEX_POINT('',#164596); #40181=VERTEX_POINT('',#164633); #40182=VERTEX_POINT('',#164634); #40183=VERTEX_POINT('',#164671); #40184=VERTEX_POINT('',#164672); #40185=VERTEX_POINT('',#164674); #40186=VERTEX_POINT('',#164705); #40187=VERTEX_POINT('',#164706); #40188=VERTEX_POINT('',#164708); #40189=VERTEX_POINT('',#164745); #40190=VERTEX_POINT('',#164747); #40191=VERTEX_POINT('',#164750); #40192=VERTEX_POINT('',#164785); #40193=VERTEX_POINT('',#164786); #40194=VERTEX_POINT('',#164861); #40195=VERTEX_POINT('',#164862); #40196=VERTEX_POINT('',#164937); #40197=VERTEX_POINT('',#164938); #40198=VERTEX_POINT('',#165013); #40199=VERTEX_POINT('',#165014); #40200=VERTEX_POINT('',#165089); #40201=VERTEX_POINT('',#165090); #40202=VERTEX_POINT('',#165165); #40203=VERTEX_POINT('',#165166); #40204=VERTEX_POINT('',#165241); #40205=VERTEX_POINT('',#165242); #40206=VERTEX_POINT('',#165317); #40207=VERTEX_POINT('',#165318); #40208=VERTEX_POINT('',#165393); #40209=VERTEX_POINT('',#165394); #40210=VERTEX_POINT('',#165469); #40211=VERTEX_POINT('',#165470); #40212=VERTEX_POINT('',#165545); #40213=VERTEX_POINT('',#165546); #40214=VERTEX_POINT('',#165621); #40215=VERTEX_POINT('',#165622); #40216=VERTEX_POINT('',#165697); #40217=VERTEX_POINT('',#165698); #40218=VERTEX_POINT('',#165773); #40219=VERTEX_POINT('',#165774); #40220=VERTEX_POINT('',#165849); #40221=VERTEX_POINT('',#165850); #40222=VERTEX_POINT('',#165925); #40223=VERTEX_POINT('',#165926); #40224=VERTEX_POINT('',#166001); #40225=VERTEX_POINT('',#166002); #40226=VERTEX_POINT('',#166077); #40227=VERTEX_POINT('',#166078); #40228=VERTEX_POINT('',#166153); #40229=VERTEX_POINT('',#166154); #40230=VERTEX_POINT('',#166156); #40231=VERTEX_POINT('',#166158); #40232=VERTEX_POINT('',#166162); #40233=VERTEX_POINT('',#166164); #40234=VERTEX_POINT('',#166168); #40235=VERTEX_POINT('',#166170); #40236=VERTEX_POINT('',#166178); #40237=VERTEX_POINT('',#166179); #40238=VERTEX_POINT('',#166181); #40239=VERTEX_POINT('',#166183); #40240=VERTEX_POINT('',#166187); #40241=VERTEX_POINT('',#166189); #40242=VERTEX_POINT('',#166193); #40243=VERTEX_POINT('',#166195); #40244=VERTEX_POINT('',#166203); #40245=VERTEX_POINT('',#166204); #40246=VERTEX_POINT('',#166206); #40247=VERTEX_POINT('',#166208); #40248=VERTEX_POINT('',#166212); #40249=VERTEX_POINT('',#166214); #40250=VERTEX_POINT('',#166218); #40251=VERTEX_POINT('',#166220); #40252=VERTEX_POINT('',#166261); #40253=VERTEX_POINT('',#166262); #40254=VERTEX_POINT('',#166264); #40255=VERTEX_POINT('',#166266); #40256=VERTEX_POINT('',#166268); #40257=VERTEX_POINT('',#166270); #40258=VERTEX_POINT('',#166272); #40259=VERTEX_POINT('',#166274); #40260=VERTEX_POINT('',#166278); #40261=VERTEX_POINT('',#166293); #40262=VERTEX_POINT('',#166993); #40263=VERTEX_POINT('',#166997); #40264=VERTEX_POINT('',#167003); #40265=VERTEX_POINT('',#167007); #40266=VERTEX_POINT('',#167013); #40267=VERTEX_POINT('',#167017); #40268=VERTEX_POINT('',#167023); #40269=VERTEX_POINT('',#167027); #40270=VERTEX_POINT('',#167033); #40271=VERTEX_POINT('',#167037); #40272=VERTEX_POINT('',#167043); #40273=VERTEX_POINT('',#167047); #40274=VERTEX_POINT('',#167053); #40275=VERTEX_POINT('',#167057); #40276=VERTEX_POINT('',#167063); #40277=VERTEX_POINT('',#167064); #40278=VERTEX_POINT('',#167073); #40279=VERTEX_POINT('',#167075); #40280=VERTEX_POINT('',#167079); #40281=VERTEX_POINT('',#167081); #40282=VERTEX_POINT('',#167089); #40283=VERTEX_POINT('',#167093); #40284=VERTEX_POINT('',#167095); #40285=VERTEX_POINT('',#167103); #40286=VERTEX_POINT('',#167107); #40287=VERTEX_POINT('',#167109); #40288=VERTEX_POINT('',#167117); #40289=VERTEX_POINT('',#167121); #40290=VERTEX_POINT('',#167123); #40291=VERTEX_POINT('',#167131); #40292=VERTEX_POINT('',#167132); #40293=VERTEX_POINT('',#167136); #40294=VERTEX_POINT('',#167138); #40295=VERTEX_POINT('',#167144); #40296=VERTEX_POINT('',#167156); #40297=VERTEX_POINT('',#167158); #40298=VERTEX_POINT('',#167165); #40299=VERTEX_POINT('',#167169); #40300=VERTEX_POINT('',#167175); #40301=VERTEX_POINT('',#167176); #40302=VERTEX_POINT('',#167202); #40303=VERTEX_POINT('',#167219); #40304=VERTEX_POINT('',#167907); #40305=VERTEX_POINT('',#167908); #40306=VERTEX_POINT('',#167910); #40307=VERTEX_POINT('',#167915); #40308=VERTEX_POINT('',#167922); #40309=VERTEX_POINT('',#167924); #40310=VERTEX_POINT('',#167931); #40311=VERTEX_POINT('',#167933); #40312=VERTEX_POINT('',#167943); #40313=VERTEX_POINT('',#167945); #40314=VERTEX_POINT('',#167949); #40315=VERTEX_POINT('',#167953); #40316=VERTEX_POINT('',#167956); #40317=VERTEX_POINT('',#167958); #40318=VERTEX_POINT('',#167962); #40319=VERTEX_POINT('',#167963); #40320=VERTEX_POINT('',#167992); #40321=VERTEX_POINT('',#167993); #40322=VERTEX_POINT('',#167995); #40323=VERTEX_POINT('',#168028); #40324=VERTEX_POINT('',#168029); #40325=VERTEX_POINT('',#168031); #40326=VERTEX_POINT('',#168036); #40327=VERTEX_POINT('',#168043); #40328=VERTEX_POINT('',#168044); #40329=VERTEX_POINT('',#168068); #40330=VERTEX_POINT('',#168073); #40331=VERTEX_POINT('',#168137); #40332=VERTEX_POINT('',#168138); #40333=VERTEX_POINT('',#168145); #40334=VERTEX_POINT('',#168206); #40335=VERTEX_POINT('',#168212); #40336=VERTEX_POINT('',#168214); #40337=VERTEX_POINT('',#168222); #40338=VERTEX_POINT('',#168254); #40339=VERTEX_POINT('',#168256); #40340=VERTEX_POINT('',#168289); #40341=VERTEX_POINT('',#168290); #40342=VERTEX_POINT('',#168292); #40343=VERTEX_POINT('',#168321); #40344=VERTEX_POINT('',#168322); #40345=VERTEX_POINT('',#168352); #40346=VERTEX_POINT('',#168353); #40347=VERTEX_POINT('',#168355); #40348=VERTEX_POINT('',#168360); #40349=VERTEX_POINT('',#168367); #40350=VERTEX_POINT('',#168368); #40351=VERTEX_POINT('',#168392); #40352=VERTEX_POINT('',#168397); #40353=VERTEX_POINT('',#168447); #40354=VERTEX_POINT('',#168448); #40355=VERTEX_POINT('',#168450); #40356=VERTEX_POINT('',#168455); #40357=VERTEX_POINT('',#168485); #40358=VERTEX_POINT('',#168527); #40359=VERTEX_POINT('',#168538); #40360=VERTEX_POINT('',#168539); #40361=VERTEX_POINT('',#168542); #40362=VERTEX_POINT('',#168546); #40363=VERTEX_POINT('',#168547); #40364=VERTEX_POINT('',#168549); #40365=VERTEX_POINT('',#168551); #40366=VERTEX_POINT('',#168555); #40367=VERTEX_POINT('',#168603); #40368=VERTEX_POINT('',#168628); #40369=VERTEX_POINT('',#168630); #40370=VERTEX_POINT('',#168632); #40371=VERTEX_POINT('',#168634); #40372=VERTEX_POINT('',#168639); #40373=VERTEX_POINT('',#168646); #40374=VERTEX_POINT('',#168653); #40375=VERTEX_POINT('',#168658); #40376=VERTEX_POINT('',#168661); #40377=VERTEX_POINT('',#168664); #40378=VERTEX_POINT('',#168668); #40379=VERTEX_POINT('',#168672); #40380=VERTEX_POINT('',#168676); #40381=VERTEX_POINT('',#168678); #40382=VERTEX_POINT('',#168680); #40383=VERTEX_POINT('',#168682); #40384=VERTEX_POINT('',#168687); #40385=VERTEX_POINT('',#168694); #40386=VERTEX_POINT('',#168701); #40387=VERTEX_POINT('',#168706); #40388=VERTEX_POINT('',#168709); #40389=VERTEX_POINT('',#168712); #40390=VERTEX_POINT('',#168714); #40391=VERTEX_POINT('',#168716); #40392=VERTEX_POINT('',#168720); #40393=VERTEX_POINT('',#168721); #40394=VERTEX_POINT('',#168727); #40395=VERTEX_POINT('',#168737); #40396=VERTEX_POINT('',#168741); #40397=VERTEX_POINT('',#168743); #40398=VERTEX_POINT('',#168745); #40399=VERTEX_POINT('',#168778); #40400=VERTEX_POINT('',#168816); #40401=VERTEX_POINT('',#168825); #40402=VERTEX_POINT('',#168827); #40403=VERTEX_POINT('',#168831); #40404=VERTEX_POINT('',#168835); #40405=VERTEX_POINT('',#168873); #40406=VERTEX_POINT('',#168883); #40407=VERTEX_POINT('',#168887); #40408=VERTEX_POINT('',#168892); #40409=VERTEX_POINT('',#168949); #40410=VERTEX_POINT('',#168981); #40411=VERTEX_POINT('',#169014); #40412=VERTEX_POINT('',#169016); #40413=VERTEX_POINT('',#169020); #40414=VERTEX_POINT('',#169021); #40415=VERTEX_POINT('',#169026); #40416=VERTEX_POINT('',#169027); #40417=VERTEX_POINT('',#169066); #40418=VERTEX_POINT('',#169074); #40419=VERTEX_POINT('',#169084); #40420=VERTEX_POINT('',#169085); #40421=VERTEX_POINT('',#169090); #40422=VERTEX_POINT('',#169095); #40423=VERTEX_POINT('',#169172); #40424=VERTEX_POINT('',#169187); #40425=VERTEX_POINT('',#169216); #40426=VERTEX_POINT('',#169226); #40427=VERTEX_POINT('',#169304); #40428=VERTEX_POINT('',#169308); #40429=VERTEX_POINT('',#169314); #40430=VERTEX_POINT('',#169316); #40431=VERTEX_POINT('',#169318); #40432=VERTEX_POINT('',#169322); #40433=VERTEX_POINT('',#169323); #40434=VERTEX_POINT('',#169328); #40435=VERTEX_POINT('',#169333); #40436=VERTEX_POINT('',#169363); #40437=VERTEX_POINT('',#169364); #40438=VERTEX_POINT('',#169366); #40439=VERTEX_POINT('',#169371); #40440=VERTEX_POINT('',#169402); #40441=VERTEX_POINT('',#169408); #40442=VERTEX_POINT('',#169435); #40443=VERTEX_POINT('',#169440); #40444=VERTEX_POINT('',#169466); #40445=VERTEX_POINT('',#169471); #40446=VERTEX_POINT('',#169497); #40447=VERTEX_POINT('',#169498); #40448=VERTEX_POINT('',#169500); #40449=VERTEX_POINT('',#169505); #40450=VERTEX_POINT('',#169533); #40451=VERTEX_POINT('',#169539); #40452=VERTEX_POINT('',#169547); #40453=VERTEX_POINT('',#169548); #40454=VERTEX_POINT('',#169576); #40455=VERTEX_POINT('',#169577); #40456=VERTEX_POINT('',#169611); #40457=VERTEX_POINT('',#169612); #40458=VERTEX_POINT('',#169647); #40459=VERTEX_POINT('',#169648); #40460=VERTEX_POINT('',#169650); #40461=VERTEX_POINT('',#169656); #40462=VERTEX_POINT('',#169683); #40463=VERTEX_POINT('',#169684); #40464=VERTEX_POINT('',#169708); #40465=VERTEX_POINT('',#169709); #40466=VERTEX_POINT('',#169733); #40467=VERTEX_POINT('',#169734); #40468=VERTEX_POINT('',#169736); #40469=VERTEX_POINT('',#169738); #40470=VERTEX_POINT('',#169766); #40471=VERTEX_POINT('',#169767); #40472=VERTEX_POINT('',#169803); #40473=VERTEX_POINT('',#169804); #40474=VERTEX_POINT('',#169838); #40475=VERTEX_POINT('',#169839); #40476=VERTEX_POINT('',#169850); #40477=VERTEX_POINT('',#169851); #40478=VERTEX_POINT('',#169853); #40479=VERTEX_POINT('',#169855); #40480=VERTEX_POINT('',#169883); #40481=VERTEX_POINT('',#169889); #40482=VERTEX_POINT('',#169916); #40483=VERTEX_POINT('',#169917); #40484=VERTEX_POINT('',#169944); #40485=VERTEX_POINT('',#169945); #40486=VERTEX_POINT('',#169947); #40487=VERTEX_POINT('',#169952); #40488=VERTEX_POINT('',#169983); #40489=VERTEX_POINT('',#169989); #40490=VERTEX_POINT('',#170016); #40491=VERTEX_POINT('',#170021); #40492=VERTEX_POINT('',#170047); #40493=VERTEX_POINT('',#170052); #40494=VERTEX_POINT('',#170078); #40495=VERTEX_POINT('',#170079); #40496=VERTEX_POINT('',#170081); #40497=VERTEX_POINT('',#170086); #40498=VERTEX_POINT('',#170122); #40499=VERTEX_POINT('',#170123); #40500=VERTEX_POINT('',#170157); #40501=VERTEX_POINT('',#170158); #40502=VERTEX_POINT('',#170193); #40503=VERTEX_POINT('',#170194); #40504=VERTEX_POINT('',#170229); #40505=VERTEX_POINT('',#170230); #40506=VERTEX_POINT('',#170265); #40507=VERTEX_POINT('',#170271); #40508=VERTEX_POINT('',#170330); #40509=VERTEX_POINT('',#170331); #40510=VERTEX_POINT('',#170393); #40511=VERTEX_POINT('',#170394); #40512=VERTEX_POINT('',#170425); #40513=VERTEX_POINT('',#170426); #40514=VERTEX_POINT('',#170488); #40515=VERTEX_POINT('',#170493); #40516=VERTEX_POINT('',#170554); #40517=VERTEX_POINT('',#170555); #40518=VERTEX_POINT('',#170566); #40519=VERTEX_POINT('',#170567); #40520=VERTEX_POINT('',#170607); #40521=VERTEX_POINT('',#170609); #40522=VERTEX_POINT('',#170616); #40523=VERTEX_POINT('',#170620); #40524=VERTEX_POINT('',#170631); #40525=VERTEX_POINT('',#170636); #40526=VERTEX_POINT('',#170672); #40527=VERTEX_POINT('',#170674); #40528=VERTEX_POINT('',#170706); #40529=VERTEX_POINT('',#170733); #40530=VERTEX_POINT('',#170759); #40531=VERTEX_POINT('',#170795); #40532=VERTEX_POINT('',#170833); #40533=VERTEX_POINT('',#170861); #40534=VERTEX_POINT('',#170899); #40535=VERTEX_POINT('',#170904); #40536=VERTEX_POINT('',#170928); #40537=VERTEX_POINT('',#170935); #40538=VERTEX_POINT('',#170937); #40539=VERTEX_POINT('',#170939); #40540=VERTEX_POINT('',#170943); #40541=VERTEX_POINT('',#170945); #40542=VERTEX_POINT('',#170950); #40543=VERTEX_POINT('',#170973); #40544=VERTEX_POINT('',#170978); #40545=VERTEX_POINT('',#170988); #40546=VERTEX_POINT('',#170996); #40547=VERTEX_POINT('',#170998); #40548=VERTEX_POINT('',#171000); #40549=VERTEX_POINT('',#171002); #40550=VERTEX_POINT('',#171004); #40551=VERTEX_POINT('',#171006); #40552=VERTEX_POINT('',#171008); #40553=VERTEX_POINT('',#171010); #40554=VERTEX_POINT('',#171012); #40555=VERTEX_POINT('',#171015); #40556=VERTEX_POINT('',#171018); #40557=VERTEX_POINT('',#171054); #40558=VERTEX_POINT('',#171055); #40559=VERTEX_POINT('',#171057); #40560=VERTEX_POINT('',#171064); #40561=VERTEX_POINT('',#171144); #40562=VERTEX_POINT('',#171145); #40563=VERTEX_POINT('',#171187); #40564=VERTEX_POINT('',#171208); #40565=VERTEX_POINT('',#171215); #40566=VERTEX_POINT('',#171221); #40567=VERTEX_POINT('',#171226); #40568=VERTEX_POINT('',#171232); #40569=VERTEX_POINT('',#171265); #40570=VERTEX_POINT('',#171267); #40571=VERTEX_POINT('',#171280); #40572=VERTEX_POINT('',#171281); #40573=VERTEX_POINT('',#171286); #40574=VERTEX_POINT('',#171290); #40575=VERTEX_POINT('',#171293); #40576=VERTEX_POINT('',#171295); #40577=VERTEX_POINT('',#171297); #40578=VERTEX_POINT('',#171301); #40579=VERTEX_POINT('',#171303); #40580=VERTEX_POINT('',#171312); #40581=VERTEX_POINT('',#171327); #40582=VERTEX_POINT('',#171359); #40583=VERTEX_POINT('',#171361); #40584=VERTEX_POINT('',#171441); #40585=VERTEX_POINT('',#171442); #40586=VERTEX_POINT('',#171489); #40587=VERTEX_POINT('',#171491); #40588=VERTEX_POINT('',#171493); #40589=VERTEX_POINT('',#171500); #40590=VERTEX_POINT('',#171511); #40591=VERTEX_POINT('',#171547); #40592=VERTEX_POINT('',#171553); #40593=VERTEX_POINT('',#171581); #40594=VERTEX_POINT('',#171582); #40595=VERTEX_POINT('',#171587); #40596=VERTEX_POINT('',#171589); #40597=VERTEX_POINT('',#171594); #40598=VERTEX_POINT('',#171650); #40599=VERTEX_POINT('',#171652); #40600=VERTEX_POINT('',#171683); #40601=VERTEX_POINT('',#171715); #40602=VERTEX_POINT('',#171720); #40603=VERTEX_POINT('',#171757); #40604=VERTEX_POINT('',#171814); #40605=VERTEX_POINT('',#171815); #40606=VERTEX_POINT('',#171817); #40607=VERTEX_POINT('',#171822); #40608=VERTEX_POINT('',#171859); #40609=VERTEX_POINT('',#171908); #40610=VERTEX_POINT('',#171910); #40611=VERTEX_POINT('',#171915); #40612=VERTEX_POINT('',#171945); #40613=VERTEX_POINT('',#171950); #40614=VERTEX_POINT('',#172016); #40615=VERTEX_POINT('',#172058); #40616=VERTEX_POINT('',#172059); #40617=VERTEX_POINT('',#172061); #40618=VERTEX_POINT('',#172129); #40619=VERTEX_POINT('',#172131); #40620=VERTEX_POINT('',#172138); #40621=VERTEX_POINT('',#172192); #40622=VERTEX_POINT('',#172225); #40623=VERTEX_POINT('',#172257); #40624=VERTEX_POINT('',#172310); #40625=VERTEX_POINT('',#172314); #40626=VERTEX_POINT('',#172318); #40627=VERTEX_POINT('',#172349); #40628=VERTEX_POINT('',#172357); #40629=VERTEX_POINT('',#172361); #40630=VERTEX_POINT('',#172365); #40631=VERTEX_POINT('',#172369); #40632=VERTEX_POINT('',#172373); #40633=VERTEX_POINT('',#172377); #40634=VERTEX_POINT('',#172381); #40635=VERTEX_POINT('',#172385); #40636=VERTEX_POINT('',#172389); #40637=VERTEX_POINT('',#172417); #40638=VERTEX_POINT('',#172449); #40639=VERTEX_POINT('',#172457); #40640=VERTEX_POINT('',#172466); #40641=VERTEX_POINT('',#172493); #40642=VERTEX_POINT('',#172494); #40643=VERTEX_POINT('',#172496); #40644=VERTEX_POINT('',#172529); #40645=VERTEX_POINT('',#172534); #40646=VERTEX_POINT('',#172541); #40647=VERTEX_POINT('',#172542); #40648=VERTEX_POINT('',#172566); #40649=VERTEX_POINT('',#172571); #40650=VERTEX_POINT('',#172607); #40651=VERTEX_POINT('',#172640); #40652=VERTEX_POINT('',#172642); #40653=VERTEX_POINT('',#172647); #40654=VERTEX_POINT('',#172656); #40655=VERTEX_POINT('',#172679); #40656=VERTEX_POINT('',#172681); #40657=VERTEX_POINT('',#172716); #40658=VERTEX_POINT('',#172744); #40659=VERTEX_POINT('',#172751); #40660=VERTEX_POINT('',#172774); #40661=VERTEX_POINT('',#172817); #40662=VERTEX_POINT('',#172819); #40663=VERTEX_POINT('',#172823); #40664=VERTEX_POINT('',#172825); #40665=VERTEX_POINT('',#172853); #40666=VERTEX_POINT('',#172854); #40667=VERTEX_POINT('',#172859); #40668=VERTEX_POINT('',#172860); #40669=VERTEX_POINT('',#172889); #40670=VERTEX_POINT('',#172890); #40671=VERTEX_POINT('',#172898); #40672=VERTEX_POINT('',#172900); #40673=VERTEX_POINT('',#172902); #40674=VERTEX_POINT('',#172904); #40675=VERTEX_POINT('',#172906); #40676=VERTEX_POINT('',#172908); #40677=VERTEX_POINT('',#172911); #40678=VERTEX_POINT('',#172914); #40679=VERTEX_POINT('',#172918); #40680=VERTEX_POINT('',#172922); #40681=VERTEX_POINT('',#172926); #40682=VERTEX_POINT('',#172928); #40683=VERTEX_POINT('',#172930); #40684=VERTEX_POINT('',#172932); #40685=VERTEX_POINT('',#172935); #40686=VERTEX_POINT('',#172938); #40687=VERTEX_POINT('',#172966); #40688=VERTEX_POINT('',#172967); #40689=VERTEX_POINT('',#172980); #40690=VERTEX_POINT('',#172981); #40691=VERTEX_POINT('',#173045); #40692=VERTEX_POINT('',#173049); #40693=VERTEX_POINT('',#173079); #40694=VERTEX_POINT('',#173107); #40695=VERTEX_POINT('',#173130); #40696=VERTEX_POINT('',#173161); #40697=VERTEX_POINT('',#173193); #40698=VERTEX_POINT('',#173194); #40699=VERTEX_POINT('',#173214); #40700=VERTEX_POINT('',#173216); #40701=VERTEX_POINT('',#173221); #40702=VERTEX_POINT('',#173232); #40703=VERTEX_POINT('',#173240); #40704=VERTEX_POINT('',#173278); #40705=VERTEX_POINT('',#173301); #40706=VERTEX_POINT('',#173337); #40707=VERTEX_POINT('',#173346); #40708=VERTEX_POINT('',#173436); #40709=VERTEX_POINT('',#173446); #40710=VERTEX_POINT('',#173456); #40711=VERTEX_POINT('',#173463); #40712=VERTEX_POINT('',#173472); #40713=VERTEX_POINT('',#173477); #40714=VERTEX_POINT('',#173482); #40715=VERTEX_POINT('',#173484); #40716=VERTEX_POINT('',#173486); #40717=VERTEX_POINT('',#173488); #40718=VERTEX_POINT('',#173490); #40719=VERTEX_POINT('',#173492); #40720=VERTEX_POINT('',#173494); #40721=VERTEX_POINT('',#173496); #40722=VERTEX_POINT('',#173501); #40723=VERTEX_POINT('',#173506); #40724=VERTEX_POINT('',#173515); #40725=VERTEX_POINT('',#173522); #40726=VERTEX_POINT('',#173542); #40727=VERTEX_POINT('',#173571); #40728=VERTEX_POINT('',#173573); #40729=VERTEX_POINT('',#173601); #40730=VERTEX_POINT('',#173604); #40731=VERTEX_POINT('',#173639); #40732=VERTEX_POINT('',#173667); #40733=VERTEX_POINT('',#173671); #40734=VERTEX_POINT('',#173699); #40735=VERTEX_POINT('',#173703); #40736=VERTEX_POINT('',#173731); #40737=VERTEX_POINT('',#173735); #40738=VERTEX_POINT('',#173764); #40739=VERTEX_POINT('',#173808); #40740=VERTEX_POINT('',#173815); #40741=VERTEX_POINT('',#173817); #40742=VERTEX_POINT('',#173872); #40743=VERTEX_POINT('',#173873); #40744=VERTEX_POINT('',#173908); #40745=VERTEX_POINT('',#173909); #40746=VERTEX_POINT('',#173941); #40747=VERTEX_POINT('',#173942); #40748=VERTEX_POINT('',#173982); #40749=VERTEX_POINT('',#173983); #40750=VERTEX_POINT('',#174047); #40751=VERTEX_POINT('',#174048); #40752=VERTEX_POINT('',#174100); #40753=VERTEX_POINT('',#174101); #40754=VERTEX_POINT('',#174135); #40755=VERTEX_POINT('',#174136); #40756=VERTEX_POINT('',#174171); #40757=VERTEX_POINT('',#174172); #40758=VERTEX_POINT('',#174204); #40759=VERTEX_POINT('',#174205); #40760=VERTEX_POINT('',#174245); #40761=VERTEX_POINT('',#174306); #40762=VERTEX_POINT('',#174833); #40763=VERTEX_POINT('',#174836); #40764=VERTEX_POINT('',#174839); #40765=VERTEX_POINT('',#174840); #40766=VERTEX_POINT('',#174845); #40767=VERTEX_POINT('',#174849); #40768=VERTEX_POINT('',#174852); #40769=VERTEX_POINT('',#174853); #40770=VERTEX_POINT('',#174858); #40771=VERTEX_POINT('',#174862); #40772=VERTEX_POINT('',#174946); #40773=VERTEX_POINT('',#174951); #40774=VERTEX_POINT('',#174953); #40775=VERTEX_POINT('',#174958); #40776=VERTEX_POINT('',#174960); #40777=VERTEX_POINT('',#174964); #40778=VERTEX_POINT('',#174968); #40779=VERTEX_POINT('',#174971); #40780=VERTEX_POINT('',#174976); #40781=VERTEX_POINT('',#174997); #40782=VERTEX_POINT('',#174998); #40783=VERTEX_POINT('',#175003); #40784=VERTEX_POINT('',#175005); #40785=VERTEX_POINT('',#175019); #40786=VERTEX_POINT('',#175024); #40787=VERTEX_POINT('',#175038); #40788=VERTEX_POINT('',#175043); #40789=VERTEX_POINT('',#175055); #40790=VERTEX_POINT('',#175059); #40791=VERTEX_POINT('',#175065); #40792=VERTEX_POINT('',#175067); #40793=VERTEX_POINT('',#175071); #40794=VERTEX_POINT('',#175073); #40795=VERTEX_POINT('',#175080); #40796=VERTEX_POINT('',#175081); #40797=VERTEX_POINT('',#175083); #40798=VERTEX_POINT('',#175085); #40799=VERTEX_POINT('',#175102); #40800=VERTEX_POINT('',#175110); #40801=VERTEX_POINT('',#175127); #40802=VERTEX_POINT('',#175132); #40803=VERTEX_POINT('',#175144); #40804=VERTEX_POINT('',#175148); #40805=VERTEX_POINT('',#175159); #40806=VERTEX_POINT('',#175163); #40807=VERTEX_POINT('',#175169); #40808=VERTEX_POINT('',#175171); #40809=VERTEX_POINT('',#175188); #40810=VERTEX_POINT('',#175189); #40811=VERTEX_POINT('',#175195); #40812=VERTEX_POINT('',#175197); #40813=VERTEX_POINT('',#175212); #40814=VERTEX_POINT('',#175217); #40815=VERTEX_POINT('',#175224); #40816=VERTEX_POINT('',#175226); #40817=VERTEX_POINT('',#175230); #40818=VERTEX_POINT('',#175232); #40819=VERTEX_POINT('',#175239); #40820=VERTEX_POINT('',#175240); #40821=VERTEX_POINT('',#175242); #40822=VERTEX_POINT('',#175244); #40823=VERTEX_POINT('',#175248); #40824=VERTEX_POINT('',#175250); #40825=VERTEX_POINT('',#175254); #40826=VERTEX_POINT('',#175256); #40827=VERTEX_POINT('',#175265); #40828=VERTEX_POINT('',#175269); #40829=VERTEX_POINT('',#175284); #40830=VERTEX_POINT('',#175290); #40831=VERTEX_POINT('',#175298); #40832=VERTEX_POINT('',#175300); #40833=VERTEX_POINT('',#175304); #40834=VERTEX_POINT('',#175306); #40835=VERTEX_POINT('',#175310); #40836=VERTEX_POINT('',#175312); #40837=VERTEX_POINT('',#175321); #40838=VERTEX_POINT('',#175325); #40839=VERTEX_POINT('',#175336); #40840=VERTEX_POINT('',#175340); #40841=VERTEX_POINT('',#175353); #40842=VERTEX_POINT('',#175358); #40843=VERTEX_POINT('',#175374); #40844=VERTEX_POINT('',#175380); #40845=VERTEX_POINT('',#175388); #40846=VERTEX_POINT('',#175390); #40847=VERTEX_POINT('',#175398); #40848=VERTEX_POINT('',#175399); #40849=VERTEX_POINT('',#175401); #40850=VERTEX_POINT('',#175403); #40851=VERTEX_POINT('',#175412); #40852=VERTEX_POINT('',#175416); #40853=VERTEX_POINT('',#175427); #40854=VERTEX_POINT('',#175431); #40855=VERTEX_POINT('',#175437); #40856=VERTEX_POINT('',#175439); #40857=VERTEX_POINT('',#175446); #40858=VERTEX_POINT('',#175447); #40859=VERTEX_POINT('',#175449); #40860=VERTEX_POINT('',#175451); #40861=VERTEX_POINT('',#175455); #40862=VERTEX_POINT('',#175457); #40863=VERTEX_POINT('',#175461); #40864=VERTEX_POINT('',#175463); #40865=VERTEX_POINT('',#175467); #40866=VERTEX_POINT('',#175469); #40867=VERTEX_POINT('',#175473); #40868=VERTEX_POINT('',#175475); #40869=VERTEX_POINT('',#175479); #40870=VERTEX_POINT('',#175481); #40871=VERTEX_POINT('',#175485); #40872=VERTEX_POINT('',#175487); #40873=VERTEX_POINT('',#175495); #40874=VERTEX_POINT('',#175496); #40875=VERTEX_POINT('',#175498); #40876=VERTEX_POINT('',#175500); #40877=VERTEX_POINT('',#175504); #40878=VERTEX_POINT('',#175506); #40879=VERTEX_POINT('',#175510); #40880=VERTEX_POINT('',#175512); #40881=VERTEX_POINT('',#175516); #40882=VERTEX_POINT('',#175518); #40883=VERTEX_POINT('',#175522); #40884=VERTEX_POINT('',#175524); #40885=VERTEX_POINT('',#175528); #40886=VERTEX_POINT('',#175530); #40887=VERTEX_POINT('',#175534); #40888=VERTEX_POINT('',#175536); #40889=VERTEX_POINT('',#175540); #40890=VERTEX_POINT('',#175542); #40891=VERTEX_POINT('',#175546); #40892=VERTEX_POINT('',#175548); #40893=VERTEX_POINT('',#175552); #40894=VERTEX_POINT('',#175554); #40895=VERTEX_POINT('',#175558); #40896=VERTEX_POINT('',#175560); #40897=VERTEX_POINT('',#175568); #40898=VERTEX_POINT('',#175569); #40899=VERTEX_POINT('',#175571); #40900=VERTEX_POINT('',#175573); #40901=VERTEX_POINT('',#175577); #40902=VERTEX_POINT('',#175579); #40903=VERTEX_POINT('',#175583); #40904=VERTEX_POINT('',#175585); #40905=VERTEX_POINT('',#175589); #40906=VERTEX_POINT('',#175591); #40907=VERTEX_POINT('',#175595); #40908=VERTEX_POINT('',#175597); #40909=VERTEX_POINT('',#175601); #40910=VERTEX_POINT('',#175603); #40911=VERTEX_POINT('',#175607); #40912=VERTEX_POINT('',#175609); #40913=VERTEX_POINT('',#175613); #40914=VERTEX_POINT('',#175615); #40915=VERTEX_POINT('',#175619); #40916=VERTEX_POINT('',#175621); #40917=VERTEX_POINT('',#175625); #40918=VERTEX_POINT('',#175627); #40919=VERTEX_POINT('',#175631); #40920=VERTEX_POINT('',#175633); #40921=VERTEX_POINT('',#175646); #40922=VERTEX_POINT('',#175647); #40923=VERTEX_POINT('',#175651); #40924=VERTEX_POINT('',#175653); #40925=VERTEX_POINT('',#175666); #40926=VERTEX_POINT('',#175671); #40927=VERTEX_POINT('',#175687); #40928=VERTEX_POINT('',#175693); #40929=VERTEX_POINT('',#175701); #40930=VERTEX_POINT('',#175703); #40931=VERTEX_POINT('',#175707); #40932=VERTEX_POINT('',#175709); #40933=VERTEX_POINT('',#175721); #40934=VERTEX_POINT('',#175722); #40935=VERTEX_POINT('',#175726); #40936=VERTEX_POINT('',#175728); #40937=VERTEX_POINT('',#175743); #40938=VERTEX_POINT('',#175749); #40939=VERTEX_POINT('',#175766); #40940=VERTEX_POINT('',#175772); #40941=VERTEX_POINT('',#175780); #40942=VERTEX_POINT('',#175782); #40943=VERTEX_POINT('',#175786); #40944=VERTEX_POINT('',#175788); #40945=VERTEX_POINT('',#175795); #40946=VERTEX_POINT('',#175796); #40947=VERTEX_POINT('',#175798); #40948=VERTEX_POINT('',#175800); #40949=VERTEX_POINT('',#175815); #40950=VERTEX_POINT('',#175822); #40951=VERTEX_POINT('',#175838); #40952=VERTEX_POINT('',#175843); #40953=VERTEX_POINT('',#175857); #40954=VERTEX_POINT('',#175862); #40955=VERTEX_POINT('',#175878); #40956=VERTEX_POINT('',#175884); #40957=VERTEX_POINT('',#175892); #40958=VERTEX_POINT('',#175894); #40959=VERTEX_POINT('',#175911); #40960=VERTEX_POINT('',#175912); #40961=VERTEX_POINT('',#175918); #40962=VERTEX_POINT('',#175920); #40963=VERTEX_POINT('',#175935); #40964=VERTEX_POINT('',#175940); #40965=VERTEX_POINT('',#175947); #40966=VERTEX_POINT('',#175949); #40967=VERTEX_POINT('',#175953); #40968=VERTEX_POINT('',#175955); #40969=VERTEX_POINT('',#175962); #40970=VERTEX_POINT('',#175963); #40971=VERTEX_POINT('',#175965); #40972=VERTEX_POINT('',#175967); #40973=VERTEX_POINT('',#175971); #40974=VERTEX_POINT('',#175973); #40975=VERTEX_POINT('',#175977); #40976=VERTEX_POINT('',#175979); #40977=VERTEX_POINT('',#175988); #40978=VERTEX_POINT('',#175992); #40979=VERTEX_POINT('',#176007); #40980=VERTEX_POINT('',#176013); #40981=VERTEX_POINT('',#176021); #40982=VERTEX_POINT('',#176023); #40983=VERTEX_POINT('',#176027); #40984=VERTEX_POINT('',#176029); #40985=VERTEX_POINT('',#176033); #40986=VERTEX_POINT('',#176035); #40987=VERTEX_POINT('',#176044); #40988=VERTEX_POINT('',#176048); #40989=VERTEX_POINT('',#176059); #40990=VERTEX_POINT('',#176063); #40991=VERTEX_POINT('',#176076); #40992=VERTEX_POINT('',#176081); #40993=VERTEX_POINT('',#176097); #40994=VERTEX_POINT('',#176103); #40995=VERTEX_POINT('',#176111); #40996=VERTEX_POINT('',#176113); #40997=VERTEX_POINT('',#176121); #40998=VERTEX_POINT('',#176122); #40999=VERTEX_POINT('',#176124); #41000=VERTEX_POINT('',#176126); #41001=VERTEX_POINT('',#176130); #41002=VERTEX_POINT('',#176132); #41003=VERTEX_POINT('',#176136); #41004=VERTEX_POINT('',#176138); #41005=VERTEX_POINT('',#176142); #41006=VERTEX_POINT('',#176144); #41007=VERTEX_POINT('',#176148); #41008=VERTEX_POINT('',#176150); #41009=VERTEX_POINT('',#176154); #41010=VERTEX_POINT('',#176156); #41011=VERTEX_POINT('',#176160); #41012=VERTEX_POINT('',#176162); #41013=VERTEX_POINT('',#176166); #41014=VERTEX_POINT('',#176168); #41015=VERTEX_POINT('',#176172); #41016=VERTEX_POINT('',#176174); #41017=VERTEX_POINT('',#176178); #41018=VERTEX_POINT('',#176180); #41019=VERTEX_POINT('',#176184); #41020=VERTEX_POINT('',#176186); #41021=VERTEX_POINT('',#176194); #41022=VERTEX_POINT('',#176195); #41023=VERTEX_POINT('',#176197); #41024=VERTEX_POINT('',#176199); #41025=VERTEX_POINT('',#176201); #41026=VERTEX_POINT('',#176203); #41027=VERTEX_POINT('',#176205); #41028=VERTEX_POINT('',#176207); #41029=VERTEX_POINT('',#176209); #41030=VERTEX_POINT('',#176211); #41031=VERTEX_POINT('',#176213); #41032=VERTEX_POINT('',#176215); #41033=VERTEX_POINT('',#176217); #41034=VERTEX_POINT('',#176219); #41035=VERTEX_POINT('',#176221); #41036=VERTEX_POINT('',#176223); #41037=VERTEX_POINT('',#176225); #41038=VERTEX_POINT('',#176227); #41039=VERTEX_POINT('',#176229); #41040=VERTEX_POINT('',#176231); #41041=VERTEX_POINT('',#176233); #41042=VERTEX_POINT('',#176235); #41043=VERTEX_POINT('',#176238); #41044=VERTEX_POINT('',#176239); #41045=VERTEX_POINT('',#176241); #41046=VERTEX_POINT('',#176243); #41047=VERTEX_POINT('',#176246); #41048=VERTEX_POINT('',#176248); #41049=VERTEX_POINT('',#176256); #41050=VERTEX_POINT('',#176257); #41051=VERTEX_POINT('',#176262); #41052=VERTEX_POINT('',#176266); #41053=VERTEX_POINT('',#176270); #41054=VERTEX_POINT('',#176272); #41055=VERTEX_POINT('',#176274); #41056=VERTEX_POINT('',#176276); #41057=VERTEX_POINT('',#176278); #41058=VERTEX_POINT('',#176282); #41059=VERTEX_POINT('',#176283); #41060=VERTEX_POINT('',#176294); #41061=VERTEX_POINT('',#176296); #41062=VERTEX_POINT('',#176309); #41063=VERTEX_POINT('',#176311); #41064=VERTEX_POINT('',#176315); #41065=VERTEX_POINT('',#176317); #41066=VERTEX_POINT('',#176319); #41067=VERTEX_POINT('',#176332); #41068=VERTEX_POINT('',#176343); #41069=VERTEX_POINT('',#176356); #41070=VERTEX_POINT('',#176358); #41071=VERTEX_POINT('',#176369); #41072=VERTEX_POINT('',#176373); #41073=VERTEX_POINT('',#176377); #41074=VERTEX_POINT('',#176390); #41075=VERTEX_POINT('',#176392); #41076=VERTEX_POINT('',#176394); #41077=VERTEX_POINT('',#176398); #41078=VERTEX_POINT('',#176412); #41079=VERTEX_POINT('',#176414); #41080=VERTEX_POINT('',#176419); #41081=VERTEX_POINT('',#176432); #41082=VERTEX_POINT('',#176438); #41083=VERTEX_POINT('',#176440); #41084=VERTEX_POINT('',#176455); #41085=VERTEX_POINT('',#176481); #41086=VERTEX_POINT('',#176485); #41087=VERTEX_POINT('',#176487); #41088=VERTEX_POINT('',#176489); #41089=VERTEX_POINT('',#176491); #41090=VERTEX_POINT('',#176493); #41091=VERTEX_POINT('',#176495); #41092=VERTEX_POINT('',#176497); #41093=VERTEX_POINT('',#176499); #41094=VERTEX_POINT('',#176501); #41095=VERTEX_POINT('',#176503); #41096=VERTEX_POINT('',#176505); #41097=VERTEX_POINT('',#176507); #41098=VERTEX_POINT('',#176509); #41099=VERTEX_POINT('',#176512); #41100=VERTEX_POINT('',#176513); #41101=VERTEX_POINT('',#176515); #41102=VERTEX_POINT('',#176517); #41103=VERTEX_POINT('',#176520); #41104=VERTEX_POINT('',#176522); #41105=VERTEX_POINT('',#176531); #41106=VERTEX_POINT('',#176532); #41107=VERTEX_POINT('',#176534); #41108=VERTEX_POINT('',#176536); #41109=VERTEX_POINT('',#176540); #41110=VERTEX_POINT('',#176542); #41111=VERTEX_POINT('',#176546); #41112=VERTEX_POINT('',#176548); #41113=VERTEX_POINT('',#176550); #41114=VERTEX_POINT('',#176553); #41115=VERTEX_POINT('',#176554); #41116=VERTEX_POINT('',#176556); #41117=VERTEX_POINT('',#176558); #41118=VERTEX_POINT('',#176562); #41119=VERTEX_POINT('',#176583); #41120=VERTEX_POINT('',#176589); #41121=VERTEX_POINT('',#176603); #41122=VERTEX_POINT('',#176605); #41123=VERTEX_POINT('',#176609); #41124=VERTEX_POINT('',#176613); #41125=VERTEX_POINT('',#176619); #41126=VERTEX_POINT('',#176620); #41127=VERTEX_POINT('',#176622); #41128=VERTEX_POINT('',#176624); #41129=VERTEX_POINT('',#176632); #41130=VERTEX_POINT('',#176636); #41131=VERTEX_POINT('',#176645); #41132=VERTEX_POINT('',#176646); #41133=VERTEX_POINT('',#176648); #41134=VERTEX_POINT('',#176650); #41135=VERTEX_POINT('',#176654); #41136=VERTEX_POINT('',#176659); #41137=VERTEX_POINT('',#176664); #41138=VERTEX_POINT('',#176668); #41139=VERTEX_POINT('',#176677); #41140=VERTEX_POINT('',#176679); #41141=VERTEX_POINT('',#176683); #41142=VERTEX_POINT('',#176687); #41143=VERTEX_POINT('',#176707); #41144=VERTEX_POINT('',#176708); #41145=VERTEX_POINT('',#176710); #41146=VERTEX_POINT('',#176712); #41147=VERTEX_POINT('',#176716); #41148=VERTEX_POINT('',#176718); #41149=VERTEX_POINT('',#176722); #41150=VERTEX_POINT('',#176724); #41151=VERTEX_POINT('',#176731); #41152=VERTEX_POINT('',#176733); #41153=VERTEX_POINT('',#176763); #41154=VERTEX_POINT('',#176768); #41155=VERTEX_POINT('',#176770); #41156=VERTEX_POINT('',#176800); #41157=VERTEX_POINT('',#176805); #41158=VERTEX_POINT('',#176807); #41159=VERTEX_POINT('',#176837); #41160=VERTEX_POINT('',#176842); #41161=VERTEX_POINT('',#176844); #41162=VERTEX_POINT('',#176847); #41163=VERTEX_POINT('',#176849); #41164=VERTEX_POINT('',#176879); #41165=VERTEX_POINT('',#176884); #41166=VERTEX_POINT('',#176886); #41167=VERTEX_POINT('',#176916); #41168=VERTEX_POINT('',#176921); #41169=VERTEX_POINT('',#176923); #41170=VERTEX_POINT('',#176953); #41171=VERTEX_POINT('',#176958); #41172=VERTEX_POINT('',#176960); #41173=VERTEX_POINT('',#176963); #41174=VERTEX_POINT('',#176993); #41175=VERTEX_POINT('',#176998); #41176=VERTEX_POINT('',#177028); #41177=VERTEX_POINT('',#177038); #41178=VERTEX_POINT('',#177040); #41179=VERTEX_POINT('',#177042); #41180=VERTEX_POINT('',#177046); #41181=VERTEX_POINT('',#177048); #41182=VERTEX_POINT('',#177052); #41183=VERTEX_POINT('',#177055); #41184=VERTEX_POINT('',#177056); #41185=VERTEX_POINT('',#177067); #41186=VERTEX_POINT('',#177078); #41187=VERTEX_POINT('',#177089); #41188=VERTEX_POINT('',#177091); #41189=VERTEX_POINT('',#177139); #41190=VERTEX_POINT('',#177141); #41191=VERTEX_POINT('',#177146); #41192=VERTEX_POINT('',#177151); #41193=VERTEX_POINT('',#177153); #41194=VERTEX_POINT('',#177157); #41195=VERTEX_POINT('',#177162); #41196=VERTEX_POINT('',#177166); #41197=VERTEX_POINT('',#177167); #41198=VERTEX_POINT('',#177172); #41199=VERTEX_POINT('',#177176); #41200=VERTEX_POINT('',#177185); #41201=VERTEX_POINT('',#177187); #41202=VERTEX_POINT('',#177191); #41203=VERTEX_POINT('',#177193); #41204=VERTEX_POINT('',#177200); #41205=VERTEX_POINT('',#177201); #41206=VERTEX_POINT('',#177203); #41207=VERTEX_POINT('',#177208); #41208=VERTEX_POINT('',#177211); #41209=VERTEX_POINT('',#177212); #41210=VERTEX_POINT('',#177217); #41211=VERTEX_POINT('',#177219); #41212=VERTEX_POINT('',#177223); #41213=VERTEX_POINT('',#177226); #41214=VERTEX_POINT('',#177230); #41215=VERTEX_POINT('',#177232); #41216=VERTEX_POINT('',#177238); #41217=VERTEX_POINT('',#177244); #41218=VERTEX_POINT('',#177246); #41219=VERTEX_POINT('',#177250); #41220=VERTEX_POINT('',#177255); #41221=VERTEX_POINT('',#177258); #41222=VERTEX_POINT('',#177262); #41223=VERTEX_POINT('',#177264); #41224=VERTEX_POINT('',#177266); #41225=VERTEX_POINT('',#177270); #41226=VERTEX_POINT('',#177274); #41227=VERTEX_POINT('',#177278); #41228=VERTEX_POINT('',#177282); #41229=VERTEX_POINT('',#177286); #41230=VERTEX_POINT('',#177290); #41231=VERTEX_POINT('',#177294); #41232=VERTEX_POINT('',#177298); #41233=VERTEX_POINT('',#177302); #41234=VERTEX_POINT('',#177306); #41235=VERTEX_POINT('',#177314); #41236=VERTEX_POINT('',#177316); #41237=VERTEX_POINT('',#177320); #41238=VERTEX_POINT('',#177324); #41239=VERTEX_POINT('',#177328); #41240=VERTEX_POINT('',#177332); #41241=VERTEX_POINT('',#177339); #41242=VERTEX_POINT('',#177341); #41243=VERTEX_POINT('',#177345); #41244=VERTEX_POINT('',#177350); #41245=VERTEX_POINT('',#177352); #41246=VERTEX_POINT('',#177356); #41247=VERTEX_POINT('',#177359); #41248=VERTEX_POINT('',#177366); #41249=VERTEX_POINT('',#177367); #41250=VERTEX_POINT('',#177369); #41251=VERTEX_POINT('',#177371); #41252=VERTEX_POINT('',#177375); #41253=VERTEX_POINT('',#177377); #41254=VERTEX_POINT('',#177381); #41255=VERTEX_POINT('',#177383); #41256=VERTEX_POINT('',#177385); #41257=VERTEX_POINT('',#177387); #41258=VERTEX_POINT('',#177389); #41259=VERTEX_POINT('',#177391); #41260=VERTEX_POINT('',#177393); #41261=VERTEX_POINT('',#177397); #41262=VERTEX_POINT('',#177399); #41263=VERTEX_POINT('',#177401); #41264=VERTEX_POINT('',#177403); #41265=VERTEX_POINT('',#177405); #41266=VERTEX_POINT('',#177407); #41267=VERTEX_POINT('',#177409); #41268=VERTEX_POINT('',#177416); #41269=VERTEX_POINT('',#177419); #41270=VERTEX_POINT('',#177421); #41271=VERTEX_POINT('',#177426); #41272=VERTEX_POINT('',#177429); #41273=VERTEX_POINT('',#177431); #41274=VERTEX_POINT('',#177437); #41275=VERTEX_POINT('',#177439); #41276=VERTEX_POINT('',#177459); #41277=VERTEX_POINT('',#177460); #41278=VERTEX_POINT('',#177462); #41279=VERTEX_POINT('',#177464); #41280=VERTEX_POINT('',#177476); #41281=VERTEX_POINT('',#177477); #41282=VERTEX_POINT('',#177482); #41283=VERTEX_POINT('',#177483); #41284=VERTEX_POINT('',#177496); #41285=VERTEX_POINT('',#177497); #41286=VERTEX_POINT('',#177502); #41287=VERTEX_POINT('',#177503); #41288=VERTEX_POINT('',#177516); #41289=VERTEX_POINT('',#177517); #41290=VERTEX_POINT('',#177522); #41291=VERTEX_POINT('',#177523); #41292=VERTEX_POINT('',#177536); #41293=VERTEX_POINT('',#177537); #41294=VERTEX_POINT('',#177542); #41295=VERTEX_POINT('',#177543); #41296=VERTEX_POINT('',#177556); #41297=VERTEX_POINT('',#177557); #41298=VERTEX_POINT('',#177562); #41299=VERTEX_POINT('',#177563); #41300=VERTEX_POINT('',#177579); #41301=VERTEX_POINT('',#177581); #41302=VERTEX_POINT('',#177585); #41303=VERTEX_POINT('',#177587); #41304=VERTEX_POINT('',#177589); #41305=VERTEX_POINT('',#177591); #41306=VERTEX_POINT('',#177593); #41307=VERTEX_POINT('',#177597); #41308=VERTEX_POINT('',#177601); #41309=VERTEX_POINT('',#177605); #41310=VERTEX_POINT('',#177609); #41311=VERTEX_POINT('',#177611); #41312=VERTEX_POINT('',#177613); #41313=VERTEX_POINT('',#177615); #41314=VERTEX_POINT('',#177617); #41315=VERTEX_POINT('',#177619); #41316=VERTEX_POINT('',#177624); #41317=VERTEX_POINT('',#177629); #41318=VERTEX_POINT('',#177631); #41319=VERTEX_POINT('',#177648); #41320=VERTEX_POINT('',#177650); #41321=VERTEX_POINT('',#177662); #41322=VERTEX_POINT('',#177663); #41323=VERTEX_POINT('',#177668); #41324=VERTEX_POINT('',#177669); #41325=VERTEX_POINT('',#177682); #41326=VERTEX_POINT('',#177683); #41327=VERTEX_POINT('',#177688); #41328=VERTEX_POINT('',#177689); #41329=VERTEX_POINT('',#177702); #41330=VERTEX_POINT('',#177703); #41331=VERTEX_POINT('',#177708); #41332=VERTEX_POINT('',#177709); #41333=VERTEX_POINT('',#177722); #41334=VERTEX_POINT('',#177723); #41335=VERTEX_POINT('',#177728); #41336=VERTEX_POINT('',#177729); #41337=VERTEX_POINT('',#177742); #41338=VERTEX_POINT('',#177743); #41339=VERTEX_POINT('',#177748); #41340=VERTEX_POINT('',#177749); #41341=VERTEX_POINT('',#177765); #41342=VERTEX_POINT('',#177767); #41343=VERTEX_POINT('',#177771); #41344=VERTEX_POINT('',#177773); #41345=VERTEX_POINT('',#177778); #41346=VERTEX_POINT('',#177783); #41347=VERTEX_POINT('',#177785); #41348=VERTEX_POINT('',#177801); #41349=VERTEX_POINT('',#177805); #41350=VERTEX_POINT('',#177812); #41351=VERTEX_POINT('',#177844); #41352=VERTEX_POINT('',#177878); #41353=VERTEX_POINT('',#177889); #41354=VERTEX_POINT('',#177894); #41355=VERTEX_POINT('',#177899); #41356=VERTEX_POINT('',#177904); #41357=VERTEX_POINT('',#177906); #41358=VERTEX_POINT('',#177920); #41359=VERTEX_POINT('',#177925); #41360=VERTEX_POINT('',#178039); #41361=VERTEX_POINT('',#178045); #41362=VERTEX_POINT('',#178047); #41363=VERTEX_POINT('',#178152); #41364=VERTEX_POINT('',#178154); #41365=VERTEX_POINT('',#178158); #41366=VERTEX_POINT('',#178159); #41367=VERTEX_POINT('',#178164); #41368=VERTEX_POINT('',#178165); #41369=VERTEX_POINT('',#178170); #41370=VERTEX_POINT('',#178172); #41371=VERTEX_POINT('',#178174); #41372=VERTEX_POINT('',#178178); #41373=VERTEX_POINT('',#178182); #41374=VERTEX_POINT('',#178184); #41375=VERTEX_POINT('',#178188); #41376=VERTEX_POINT('',#178192); #41377=VERTEX_POINT('',#178195); #41378=VERTEX_POINT('',#178197); #41379=VERTEX_POINT('',#178211); #41380=VERTEX_POINT('',#178212); #41381=VERTEX_POINT('',#178274); #41382=VERTEX_POINT('',#178284); #41383=VERTEX_POINT('',#178285); #41384=VERTEX_POINT('',#178287); #41385=VERTEX_POINT('',#178289); #41386=VERTEX_POINT('',#178293); #41387=VERTEX_POINT('',#178294); #41388=VERTEX_POINT('',#178296); #41389=VERTEX_POINT('',#178298); #41390=VERTEX_POINT('',#178302); #41391=VERTEX_POINT('',#178304); #41392=VERTEX_POINT('',#178308); #41393=VERTEX_POINT('',#178309); #41394=VERTEX_POINT('',#178314); #41395=VERTEX_POINT('',#178315); #41396=VERTEX_POINT('',#178317); #41397=VERTEX_POINT('',#178319); #41398=VERTEX_POINT('',#178323); #41399=VERTEX_POINT('',#178325); #41400=VERTEX_POINT('',#178329); #41401=VERTEX_POINT('',#178330); #41402=VERTEX_POINT('',#178338); #41403=VERTEX_POINT('',#178340); #41404=VERTEX_POINT('',#178343); #41405=VERTEX_POINT('',#178346); #41406=VERTEX_POINT('',#178347); #41407=VERTEX_POINT('',#178349); #41408=VERTEX_POINT('',#178351); #41409=VERTEX_POINT('',#178355); #41410=VERTEX_POINT('',#178361); #41411=VERTEX_POINT('',#178365); #41412=VERTEX_POINT('',#178370); #41413=VERTEX_POINT('',#178371); #41414=VERTEX_POINT('',#178373); #41415=VERTEX_POINT('',#178375); #41416=VERTEX_POINT('',#178388); #41417=VERTEX_POINT('',#178389); #41418=VERTEX_POINT('',#178391); #41419=VERTEX_POINT('',#178393); #41420=VERTEX_POINT('',#178397); #41421=VERTEX_POINT('',#178399); #41422=VERTEX_POINT('',#178403); #41423=VERTEX_POINT('',#178405); #41424=VERTEX_POINT('',#178412); #41425=VERTEX_POINT('',#178413); #41426=VERTEX_POINT('',#178415); #41427=VERTEX_POINT('',#178417); #41428=VERTEX_POINT('',#178421); #41429=VERTEX_POINT('',#178423); #41430=VERTEX_POINT('',#178427); #41431=VERTEX_POINT('',#178429); #41432=VERTEX_POINT('',#178431); #41433=VERTEX_POINT('',#178433); #41434=VERTEX_POINT('',#178435); #41435=VERTEX_POINT('',#178437); #41436=VERTEX_POINT('',#178439); #41437=VERTEX_POINT('',#178441); #41438=VERTEX_POINT('',#178443); #41439=VERTEX_POINT('',#178445); #41440=VERTEX_POINT('',#178449); #41441=VERTEX_POINT('',#178450); #41442=VERTEX_POINT('',#178455); #41443=VERTEX_POINT('',#178459); #41444=VERTEX_POINT('',#178461); #41445=VERTEX_POINT('',#178463); #41446=VERTEX_POINT('',#178465); #41447=VERTEX_POINT('',#178467); #41448=VERTEX_POINT('',#178469); #41449=VERTEX_POINT('',#178471); #41450=VERTEX_POINT('',#178492); #41451=VERTEX_POINT('',#178493); #41452=VERTEX_POINT('',#178495); #41453=VERTEX_POINT('',#178497); #41454=VERTEX_POINT('',#178501); #41455=VERTEX_POINT('',#178502); #41456=VERTEX_POINT('',#178507); #41457=VERTEX_POINT('',#178508); #41458=VERTEX_POINT('',#178510); #41459=VERTEX_POINT('',#178512); #41460=VERTEX_POINT('',#178514); #41461=VERTEX_POINT('',#178516); #41462=VERTEX_POINT('',#178518); #41463=VERTEX_POINT('',#178520); #41464=VERTEX_POINT('',#178522); #41465=VERTEX_POINT('',#178524); #41466=VERTEX_POINT('',#178528); #41467=VERTEX_POINT('',#178529); #41468=VERTEX_POINT('',#178531); #41469=VERTEX_POINT('',#178533); #41470=VERTEX_POINT('',#178537); #41471=VERTEX_POINT('',#178541); #41472=VERTEX_POINT('',#178545); #41473=VERTEX_POINT('',#178546); #41474=VERTEX_POINT('',#178551); #41475=VERTEX_POINT('',#178557); #41476=VERTEX_POINT('',#178561); #41477=VERTEX_POINT('',#178565); #41478=VERTEX_POINT('',#178569); #41479=VERTEX_POINT('',#178573); #41480=VERTEX_POINT('',#178576); #41481=VERTEX_POINT('',#178577); #41482=VERTEX_POINT('',#178579); #41483=VERTEX_POINT('',#178581); #41484=VERTEX_POINT('',#178596); #41485=VERTEX_POINT('',#178597); #41486=VERTEX_POINT('',#178599); #41487=VERTEX_POINT('',#178601); #41488=VERTEX_POINT('',#178605); #41489=VERTEX_POINT('',#178607); #41490=VERTEX_POINT('',#178611); #41491=VERTEX_POINT('',#178613); #41492=VERTEX_POINT('',#178620); #41493=VERTEX_POINT('',#178621); #41494=VERTEX_POINT('',#178623); #41495=VERTEX_POINT('',#178625); #41496=VERTEX_POINT('',#178629); #41497=VERTEX_POINT('',#178631); #41498=VERTEX_POINT('',#178635); #41499=VERTEX_POINT('',#178637); #41500=VERTEX_POINT('',#178639); #41501=VERTEX_POINT('',#178641); #41502=VERTEX_POINT('',#178643); #41503=VERTEX_POINT('',#178645); #41504=VERTEX_POINT('',#178647); #41505=VERTEX_POINT('',#178649); #41506=VERTEX_POINT('',#178651); #41507=VERTEX_POINT('',#178653); #41508=VERTEX_POINT('',#178657); #41509=VERTEX_POINT('',#178658); #41510=VERTEX_POINT('',#178663); #41511=VERTEX_POINT('',#178667); #41512=VERTEX_POINT('',#178669); #41513=VERTEX_POINT('',#178671); #41514=VERTEX_POINT('',#178673); #41515=VERTEX_POINT('',#178675); #41516=VERTEX_POINT('',#178677); #41517=VERTEX_POINT('',#178679); #41518=VERTEX_POINT('',#178700); #41519=VERTEX_POINT('',#178701); #41520=VERTEX_POINT('',#178703); #41521=VERTEX_POINT('',#178705); #41522=VERTEX_POINT('',#178709); #41523=VERTEX_POINT('',#178711); #41524=VERTEX_POINT('',#178715); #41525=VERTEX_POINT('',#178717); #41526=VERTEX_POINT('',#178724); #41527=VERTEX_POINT('',#178725); #41528=VERTEX_POINT('',#178727); #41529=VERTEX_POINT('',#178729); #41530=VERTEX_POINT('',#178733); #41531=VERTEX_POINT('',#178735); #41532=VERTEX_POINT('',#178739); #41533=VERTEX_POINT('',#178741); #41534=VERTEX_POINT('',#178743); #41535=VERTEX_POINT('',#178745); #41536=VERTEX_POINT('',#178747); #41537=VERTEX_POINT('',#178749); #41538=VERTEX_POINT('',#178751); #41539=VERTEX_POINT('',#178753); #41540=VERTEX_POINT('',#178755); #41541=VERTEX_POINT('',#178757); #41542=VERTEX_POINT('',#178761); #41543=VERTEX_POINT('',#178765); #41544=VERTEX_POINT('',#178769); #41545=VERTEX_POINT('',#178770); #41546=VERTEX_POINT('',#178775); #41547=VERTEX_POINT('',#178779); #41548=VERTEX_POINT('',#178783); #41549=VERTEX_POINT('',#178787); #41550=VERTEX_POINT('',#178791); #41551=VERTEX_POINT('',#178796); #41552=VERTEX_POINT('',#178833); #41553=VERTEX_POINT('',#178834); #41554=VERTEX_POINT('',#178836); #41555=VERTEX_POINT('',#178843); #41556=VERTEX_POINT('',#178852); #41557=VERTEX_POINT('',#178853); #41558=VERTEX_POINT('',#178856); #41559=VERTEX_POINT('',#178889); #41560=VERTEX_POINT('',#178890); #41561=VERTEX_POINT('',#178905); #41562=VERTEX_POINT('',#178906); #41563=VERTEX_POINT('',#178940); #41564=VERTEX_POINT('',#178941); #41565=VERTEX_POINT('',#178956); #41566=VERTEX_POINT('',#178957); #41567=VERTEX_POINT('',#178960); #41568=VERTEX_POINT('',#178993); #41569=VERTEX_POINT('',#178994); #41570=VERTEX_POINT('',#179012); #41571=VERTEX_POINT('',#179014); #41572=VERTEX_POINT('',#179018); #41573=VERTEX_POINT('',#179022); #41574=VERTEX_POINT('',#179026); #41575=VERTEX_POINT('',#179030); #41576=VERTEX_POINT('',#179033); #41577=VERTEX_POINT('',#179035); #41578=VERTEX_POINT('',#179037); #41579=VERTEX_POINT('',#179039); #41580=VERTEX_POINT('',#179050); #41581=VERTEX_POINT('',#179052); #41582=VERTEX_POINT('',#179054); #41583=VERTEX_POINT('',#179056); #41584=VERTEX_POINT('',#179058); #41585=VERTEX_POINT('',#179060); #41586=VERTEX_POINT('',#179062); #41587=VERTEX_POINT('',#179064); #41588=VERTEX_POINT('',#179066); #41589=VERTEX_POINT('',#179068); #41590=VERTEX_POINT('',#179070); #41591=VERTEX_POINT('',#179072); #41592=VERTEX_POINT('',#179074); #41593=VERTEX_POINT('',#179076); #41594=VERTEX_POINT('',#179087); #41595=VERTEX_POINT('',#179091); #41596=VERTEX_POINT('',#179092); #41597=VERTEX_POINT('',#179094); #41598=VERTEX_POINT('',#179100); #41599=VERTEX_POINT('',#179106); #41600=VERTEX_POINT('',#179111); #41601=VERTEX_POINT('',#179113); #41602=VERTEX_POINT('',#179127); #41603=VERTEX_POINT('',#179128); #41604=VERTEX_POINT('',#179139); #41605=VERTEX_POINT('',#179141); #41606=VERTEX_POINT('',#179148); #41607=VERTEX_POINT('',#179152); #41608=VERTEX_POINT('',#179154); #41609=VERTEX_POINT('',#179162); #41610=VERTEX_POINT('',#179163); #41611=VERTEX_POINT('',#179174); #41612=VERTEX_POINT('',#179176); #41613=VERTEX_POINT('',#179183); #41614=VERTEX_POINT('',#179189); #41615=VERTEX_POINT('',#179191); #41616=VERTEX_POINT('',#179224); #41617=VERTEX_POINT('',#179254); #41618=VERTEX_POINT('',#179258); #41619=VERTEX_POINT('',#179286); #41620=VERTEX_POINT('',#179288); #41621=VERTEX_POINT('',#179294); #41622=VERTEX_POINT('',#179322); #41623=VERTEX_POINT('',#179330); #41624=VERTEX_POINT('',#179337); #41625=VERTEX_POINT('',#179338); #41626=VERTEX_POINT('',#179340); #41627=VERTEX_POINT('',#179342); #41628=VERTEX_POINT('',#179346); #41629=VERTEX_POINT('',#179347); #41630=VERTEX_POINT('',#179349); #41631=VERTEX_POINT('',#179351); #41632=VERTEX_POINT('',#179358); #41633=VERTEX_POINT('',#179360); #41634=VERTEX_POINT('',#179362); #41635=VERTEX_POINT('',#179364); #41636=VERTEX_POINT('',#179366); #41637=VERTEX_POINT('',#179368); #41638=VERTEX_POINT('',#179371); #41639=VERTEX_POINT('',#179373); #41640=VERTEX_POINT('',#179375); #41641=VERTEX_POINT('',#179377); #41642=VERTEX_POINT('',#179379); #41643=VERTEX_POINT('',#179381); #41644=VERTEX_POINT('',#179383); #41645=VERTEX_POINT('',#179385); #41646=VERTEX_POINT('',#179387); #41647=VERTEX_POINT('',#179391); #41648=VERTEX_POINT('',#179418); #41649=VERTEX_POINT('',#179419); #41650=VERTEX_POINT('',#179421); #41651=VERTEX_POINT('',#179458); #41652=VERTEX_POINT('',#179465); #41653=VERTEX_POINT('',#179474); #41654=VERTEX_POINT('',#179475); #41655=VERTEX_POINT('',#179477); #41656=VERTEX_POINT('',#179479); #41657=VERTEX_POINT('',#179481); #41658=VERTEX_POINT('',#179578); #41659=VERTEX_POINT('',#179588); #41660=VERTEX_POINT('',#179590); #41661=VERTEX_POINT('',#179595); #41662=VERTEX_POINT('',#179596); #41663=VERTEX_POINT('',#179598); #41664=VERTEX_POINT('',#179600); #41665=VERTEX_POINT('',#179605); #41666=VERTEX_POINT('',#179607); #41667=VERTEX_POINT('',#179611); #41668=VERTEX_POINT('',#179615); #41669=VERTEX_POINT('',#179617); #41670=VERTEX_POINT('',#179623); #41671=VERTEX_POINT('',#179624); #41672=VERTEX_POINT('',#179626); #41673=VERTEX_POINT('',#179631); #41674=VERTEX_POINT('',#179634); #41675=VERTEX_POINT('',#179636); #41676=VERTEX_POINT('',#179638); #41677=VERTEX_POINT('',#179640); #41678=VERTEX_POINT('',#179642); #41679=VERTEX_POINT('',#179644); #41680=VERTEX_POINT('',#179646); #41681=VERTEX_POINT('',#179649); #41682=VERTEX_POINT('',#179651); #41683=VERTEX_POINT('',#179653); #41684=VERTEX_POINT('',#179655); #41685=VERTEX_POINT('',#179658); #41686=VERTEX_POINT('',#179660); #41687=VERTEX_POINT('',#179663); #41688=VERTEX_POINT('',#179664); #41689=VERTEX_POINT('',#179666); #41690=VERTEX_POINT('',#179668); #41691=VERTEX_POINT('',#179670); #41692=VERTEX_POINT('',#179672); #41693=VERTEX_POINT('',#179674); #41694=VERTEX_POINT('',#179676); #41695=VERTEX_POINT('',#179678); #41696=VERTEX_POINT('',#179680); #41697=VERTEX_POINT('',#179682); #41698=VERTEX_POINT('',#179684); #41699=VERTEX_POINT('',#179686); #41700=VERTEX_POINT('',#179688); #41701=VERTEX_POINT('',#179690); #41702=VERTEX_POINT('',#179692); #41703=VERTEX_POINT('',#179694); #41704=VERTEX_POINT('',#179696); #41705=VERTEX_POINT('',#179698); #41706=VERTEX_POINT('',#179700); #41707=VERTEX_POINT('',#179702); #41708=VERTEX_POINT('',#179704); #41709=VERTEX_POINT('',#179706); #41710=VERTEX_POINT('',#179708); #41711=VERTEX_POINT('',#179710); #41712=VERTEX_POINT('',#179712); #41713=VERTEX_POINT('',#179714); #41714=VERTEX_POINT('',#179716); #41715=VERTEX_POINT('',#179718); #41716=VERTEX_POINT('',#179720); #41717=VERTEX_POINT('',#179722); #41718=VERTEX_POINT('',#179724); #41719=VERTEX_POINT('',#179726); #41720=VERTEX_POINT('',#179728); #41721=VERTEX_POINT('',#179730); #41722=VERTEX_POINT('',#179732); #41723=VERTEX_POINT('',#179734); #41724=VERTEX_POINT('',#179736); #41725=VERTEX_POINT('',#179738); #41726=VERTEX_POINT('',#179740); #41727=VERTEX_POINT('',#179742); #41728=VERTEX_POINT('',#179744); #41729=VERTEX_POINT('',#179746); #41730=VERTEX_POINT('',#179748); #41731=VERTEX_POINT('',#179751); #41732=VERTEX_POINT('',#179752); #41733=VERTEX_POINT('',#179754); #41734=VERTEX_POINT('',#179756); #41735=VERTEX_POINT('',#179758); #41736=VERTEX_POINT('',#179760); #41737=VERTEX_POINT('',#179762); #41738=VERTEX_POINT('',#179764); #41739=VERTEX_POINT('',#179800); #41740=VERTEX_POINT('',#179802); #41741=VERTEX_POINT('',#179804); #41742=VERTEX_POINT('',#179806); #41743=VERTEX_POINT('',#179808); #41744=VERTEX_POINT('',#179810); #41745=VERTEX_POINT('',#179812); #41746=VERTEX_POINT('',#179814); #41747=VERTEX_POINT('',#179850); #41748=VERTEX_POINT('',#179852); #41749=VERTEX_POINT('',#179854); #41750=VERTEX_POINT('',#179856); #41751=VERTEX_POINT('',#179858); #41752=VERTEX_POINT('',#179860); #41753=VERTEX_POINT('',#179862); #41754=VERTEX_POINT('',#179864); #41755=VERTEX_POINT('',#179866); #41756=VERTEX_POINT('',#179868); #41757=VERTEX_POINT('',#179903); #41758=VERTEX_POINT('',#179905); #41759=VERTEX_POINT('',#179907); #41760=VERTEX_POINT('',#179909); #41761=VERTEX_POINT('',#179911); #41762=VERTEX_POINT('',#179913); #41763=VERTEX_POINT('',#179915); #41764=VERTEX_POINT('',#179917); #41765=VERTEX_POINT('',#179952); #41766=VERTEX_POINT('',#179954); #41767=VERTEX_POINT('',#179956); #41768=VERTEX_POINT('',#179958); #41769=VERTEX_POINT('',#179960); #41770=VERTEX_POINT('',#179962); #41771=VERTEX_POINT('',#180000); #41772=VERTEX_POINT('',#180002); #41773=VERTEX_POINT('',#180006); #41774=VERTEX_POINT('',#180041); #41775=VERTEX_POINT('',#180046); #41776=VERTEX_POINT('',#180048); #41777=VERTEX_POINT('',#180052); #41778=VERTEX_POINT('',#180054); #41779=VERTEX_POINT('',#180058); #41780=VERTEX_POINT('',#180060); #41781=VERTEX_POINT('',#180064); #41782=VERTEX_POINT('',#180066); #41783=VERTEX_POINT('',#180070); #41784=VERTEX_POINT('',#180072); #41785=VERTEX_POINT('',#180076); #41786=VERTEX_POINT('',#180078); #41787=VERTEX_POINT('',#180087); #41788=VERTEX_POINT('',#180088); #41789=VERTEX_POINT('',#180090); #41790=VERTEX_POINT('',#180092); #41791=VERTEX_POINT('',#180096); #41792=VERTEX_POINT('',#180098); #41793=VERTEX_POINT('',#180107); #41794=VERTEX_POINT('',#180111); #41795=VERTEX_POINT('',#180122); #41796=VERTEX_POINT('',#180126); #41797=VERTEX_POINT('',#180132); #41798=VERTEX_POINT('',#180134); #41799=VERTEX_POINT('',#180138); #41800=VERTEX_POINT('',#180140); #41801=VERTEX_POINT('',#180144); #41802=VERTEX_POINT('',#180146); #41803=VERTEX_POINT('',#180150); #41804=VERTEX_POINT('',#180152); #41805=VERTEX_POINT('',#180156); #41806=VERTEX_POINT('',#180158); #41807=VERTEX_POINT('',#180162); #41808=VERTEX_POINT('',#180164); #41809=VERTEX_POINT('',#180173); #41810=VERTEX_POINT('',#180177); #41811=VERTEX_POINT('',#180188); #41812=VERTEX_POINT('',#180192); #41813=VERTEX_POINT('',#180198); #41814=VERTEX_POINT('',#180200); #41815=VERTEX_POINT('',#180204); #41816=VERTEX_POINT('',#180206); #41817=VERTEX_POINT('',#180210); #41818=VERTEX_POINT('',#180212); #41819=VERTEX_POINT('',#180220); #41820=VERTEX_POINT('',#180221); #41821=VERTEX_POINT('',#180223); #41822=VERTEX_POINT('',#180225); #41823=VERTEX_POINT('',#180229); #41824=VERTEX_POINT('',#180231); #41825=VERTEX_POINT('',#180240); #41826=VERTEX_POINT('',#180244); #41827=VERTEX_POINT('',#180255); #41828=VERTEX_POINT('',#180259); #41829=VERTEX_POINT('',#180265); #41830=VERTEX_POINT('',#180267); #41831=VERTEX_POINT('',#180271); #41832=VERTEX_POINT('',#180273); #41833=VERTEX_POINT('',#180277); #41834=VERTEX_POINT('',#180279); #41835=VERTEX_POINT('',#180283); #41836=VERTEX_POINT('',#180285); #41837=VERTEX_POINT('',#180289); #41838=VERTEX_POINT('',#180291); #41839=VERTEX_POINT('',#180295); #41840=VERTEX_POINT('',#180297); #41841=VERTEX_POINT('',#180306); #41842=VERTEX_POINT('',#180310); #41843=VERTEX_POINT('',#180321); #41844=VERTEX_POINT('',#180325); #41845=VERTEX_POINT('',#180331); #41846=VERTEX_POINT('',#180333); #41847=VERTEX_POINT('',#180337); #41848=VERTEX_POINT('',#180339); #41849=VERTEX_POINT('',#180343); #41850=VERTEX_POINT('',#180345); #41851=VERTEX_POINT('',#180353); #41852=VERTEX_POINT('',#180354); #41853=VERTEX_POINT('',#180356); #41854=VERTEX_POINT('',#180358); #41855=VERTEX_POINT('',#180362); #41856=VERTEX_POINT('',#180364); #41857=VERTEX_POINT('',#180373); #41858=VERTEX_POINT('',#180377); #41859=VERTEX_POINT('',#180388); #41860=VERTEX_POINT('',#180392); #41861=VERTEX_POINT('',#180398); #41862=VERTEX_POINT('',#180400); #41863=VERTEX_POINT('',#180404); #41864=VERTEX_POINT('',#180406); #41865=VERTEX_POINT('',#180410); #41866=VERTEX_POINT('',#180412); #41867=VERTEX_POINT('',#180416); #41868=VERTEX_POINT('',#180418); #41869=VERTEX_POINT('',#180422); #41870=VERTEX_POINT('',#180424); #41871=VERTEX_POINT('',#180428); #41872=VERTEX_POINT('',#180430); #41873=VERTEX_POINT('',#180439); #41874=VERTEX_POINT('',#180443); #41875=VERTEX_POINT('',#180454); #41876=VERTEX_POINT('',#180458); #41877=VERTEX_POINT('',#180464); #41878=VERTEX_POINT('',#180466); #41879=VERTEX_POINT('',#180470); #41880=VERTEX_POINT('',#180472); #41881=VERTEX_POINT('',#180476); #41882=VERTEX_POINT('',#180478); #41883=VERTEX_POINT('',#180486); #41884=VERTEX_POINT('',#180487); #41885=VERTEX_POINT('',#180489); #41886=VERTEX_POINT('',#180491); #41887=VERTEX_POINT('',#180495); #41888=VERTEX_POINT('',#180497); #41889=VERTEX_POINT('',#180506); #41890=VERTEX_POINT('',#180510); #41891=VERTEX_POINT('',#180521); #41892=VERTEX_POINT('',#180525); #41893=VERTEX_POINT('',#180531); #41894=VERTEX_POINT('',#180533); #41895=VERTEX_POINT('',#180537); #41896=VERTEX_POINT('',#180539); #41897=VERTEX_POINT('',#180543); #41898=VERTEX_POINT('',#180545); #41899=VERTEX_POINT('',#180549); #41900=VERTEX_POINT('',#180551); #41901=VERTEX_POINT('',#180555); #41902=VERTEX_POINT('',#180557); #41903=VERTEX_POINT('',#180561); #41904=VERTEX_POINT('',#180563); #41905=VERTEX_POINT('',#180572); #41906=VERTEX_POINT('',#180576); #41907=VERTEX_POINT('',#180587); #41908=VERTEX_POINT('',#180591); #41909=VERTEX_POINT('',#180597); #41910=VERTEX_POINT('',#180599); #41911=VERTEX_POINT('',#180603); #41912=VERTEX_POINT('',#180605); #41913=VERTEX_POINT('',#180609); #41914=VERTEX_POINT('',#180611); #41915=VERTEX_POINT('',#180625); #41916=VERTEX_POINT('',#180627); #41917=VERTEX_POINT('',#180638); #41918=VERTEX_POINT('',#180640); #41919=VERTEX_POINT('',#180648); #41920=VERTEX_POINT('',#180650); #41921=VERTEX_POINT('',#180658); #41922=VERTEX_POINT('',#180660); #41923=VERTEX_POINT('',#180665); #41924=VERTEX_POINT('',#180667); #41925=VERTEX_POINT('',#180675); #41926=VERTEX_POINT('',#180677); #41927=VERTEX_POINT('',#180685); #41928=VERTEX_POINT('',#180687); #41929=VERTEX_POINT('',#180708); #41930=VERTEX_POINT('',#180710); #41931=VERTEX_POINT('',#180714); #41932=VERTEX_POINT('',#180718); #41933=VERTEX_POINT('',#180724); #41934=VERTEX_POINT('',#180726); #41935=VERTEX_POINT('',#180731); #41936=VERTEX_POINT('',#180735); #41937=VERTEX_POINT('',#180739); #41938=VERTEX_POINT('',#180741); #41939=VERTEX_POINT('',#180777); #41940=VERTEX_POINT('',#180778); #41941=VERTEX_POINT('',#180780); #41942=VERTEX_POINT('',#180782); #41943=VERTEX_POINT('',#180786); #41944=VERTEX_POINT('',#180788); #41945=VERTEX_POINT('',#180792); #41946=VERTEX_POINT('',#180794); #41947=VERTEX_POINT('',#180802); #41948=VERTEX_POINT('',#180803); #41949=VERTEX_POINT('',#180814); #41950=VERTEX_POINT('',#180816); #41951=VERTEX_POINT('',#180818); #41952=VERTEX_POINT('',#180831); #41953=VERTEX_POINT('',#180833); #41954=VERTEX_POINT('',#180839); #41955=VERTEX_POINT('',#180843); #41956=VERTEX_POINT('',#180850); #41957=VERTEX_POINT('',#180856); #41958=VERTEX_POINT('',#180860); #41959=VERTEX_POINT('',#180866); #41960=VERTEX_POINT('',#180870); #41961=VERTEX_POINT('',#180874); #41962=VERTEX_POINT('',#180876); #41963=VERTEX_POINT('',#180878); #41964=VERTEX_POINT('',#180880); #41965=VERTEX_POINT('',#180883); #41966=VERTEX_POINT('',#180885); #41967=VERTEX_POINT('',#180889); #41968=VERTEX_POINT('',#180900); #41969=VERTEX_POINT('',#180913); #41970=VERTEX_POINT('',#180915); #41971=VERTEX_POINT('',#180921); #41972=VERTEX_POINT('',#180925); #41973=VERTEX_POINT('',#180940); #41974=VERTEX_POINT('',#180942); #41975=VERTEX_POINT('',#180947); #41976=VERTEX_POINT('',#180969); #41977=VERTEX_POINT('',#180970); #41978=VERTEX_POINT('',#180972); #41979=VERTEX_POINT('',#180974); #41980=VERTEX_POINT('',#180976); #41981=VERTEX_POINT('',#180978); #41982=VERTEX_POINT('',#180980); #41983=VERTEX_POINT('',#180982); #41984=VERTEX_POINT('',#180984); #41985=VERTEX_POINT('',#180986); #41986=VERTEX_POINT('',#180988); #41987=VERTEX_POINT('',#180990); #41988=VERTEX_POINT('',#180992); #41989=VERTEX_POINT('',#180994); #41990=VERTEX_POINT('',#180998); #41991=VERTEX_POINT('',#181000); #41992=VERTEX_POINT('',#181004); #41993=VERTEX_POINT('',#181008); #41994=VERTEX_POINT('',#181012); #41995=VERTEX_POINT('',#181016); #41996=VERTEX_POINT('',#181020); #41997=VERTEX_POINT('',#181024); #41998=VERTEX_POINT('',#181028); #41999=VERTEX_POINT('',#181032); #42000=VERTEX_POINT('',#181036); #42001=VERTEX_POINT('',#181040); #42002=VERTEX_POINT('',#181044); #42003=VERTEX_POINT('',#181048); #42004=VERTEX_POINT('',#181056); #42005=VERTEX_POINT('',#181063); #42006=VERTEX_POINT('',#181065); #42007=VERTEX_POINT('',#181067); #42008=VERTEX_POINT('',#181071); #42009=VERTEX_POINT('',#181075); #42010=VERTEX_POINT('',#181078); #42011=VERTEX_POINT('',#181082); #42012=VERTEX_POINT('',#181085); #42013=VERTEX_POINT('',#181089); #42014=VERTEX_POINT('',#181091); #42015=VERTEX_POINT('',#181095); #42016=VERTEX_POINT('',#181097); #42017=VERTEX_POINT('',#181101); #42018=VERTEX_POINT('',#181105); #42019=VERTEX_POINT('',#181109); #42020=VERTEX_POINT('',#181113); #42021=VERTEX_POINT('',#181121); #42022=VERTEX_POINT('',#181123); #42023=VERTEX_POINT('',#181129); #42024=VERTEX_POINT('',#181135); #42025=VERTEX_POINT('',#181142); #42026=VERTEX_POINT('',#181146); #42027=VERTEX_POINT('',#181160); #42028=VERTEX_POINT('',#181161); #42029=VERTEX_POINT('',#181163); #42030=VERTEX_POINT('',#181165); #42031=VERTEX_POINT('',#181169); #42032=VERTEX_POINT('',#181171); #42033=VERTEX_POINT('',#181175); #42034=VERTEX_POINT('',#181177); #42035=VERTEX_POINT('',#181181); #42036=VERTEX_POINT('',#181183); #42037=VERTEX_POINT('',#181187); #42038=VERTEX_POINT('',#181189); #42039=VERTEX_POINT('',#181193); #42040=VERTEX_POINT('',#181195); #42041=VERTEX_POINT('',#181199); #42042=VERTEX_POINT('',#181201); #42043=VERTEX_POINT('',#181205); #42044=VERTEX_POINT('',#181207); #42045=VERTEX_POINT('',#181211); #42046=VERTEX_POINT('',#181213); #42047=VERTEX_POINT('',#181221); #42048=VERTEX_POINT('',#181222); #42049=VERTEX_POINT('',#181224); #42050=VERTEX_POINT('',#181226); #42051=VERTEX_POINT('',#181230); #42052=VERTEX_POINT('',#181232); #42053=VERTEX_POINT('',#181236); #42054=VERTEX_POINT('',#181238); #42055=VERTEX_POINT('',#181242); #42056=VERTEX_POINT('',#181244); #42057=VERTEX_POINT('',#181248); #42058=VERTEX_POINT('',#181250); #42059=VERTEX_POINT('',#181254); #42060=VERTEX_POINT('',#181256); #42061=VERTEX_POINT('',#181260); #42062=VERTEX_POINT('',#181262); #42063=VERTEX_POINT('',#181266); #42064=VERTEX_POINT('',#181268); #42065=VERTEX_POINT('',#181272); #42066=VERTEX_POINT('',#181274); #42067=VERTEX_POINT('',#181282); #42068=VERTEX_POINT('',#181283); #42069=VERTEX_POINT('',#181285); #42070=VERTEX_POINT('',#181287); #42071=VERTEX_POINT('',#181291); #42072=VERTEX_POINT('',#181293); #42073=VERTEX_POINT('',#181297); #42074=VERTEX_POINT('',#181299); #42075=VERTEX_POINT('',#181303); #42076=VERTEX_POINT('',#181305); #42077=VERTEX_POINT('',#181309); #42078=VERTEX_POINT('',#181311); #42079=VERTEX_POINT('',#181315); #42080=VERTEX_POINT('',#181317); #42081=VERTEX_POINT('',#181321); #42082=VERTEX_POINT('',#181323); #42083=VERTEX_POINT('',#181331); #42084=VERTEX_POINT('',#181332); #42085=VERTEX_POINT('',#181334); #42086=VERTEX_POINT('',#181336); #42087=VERTEX_POINT('',#181340); #42088=VERTEX_POINT('',#181342); #42089=VERTEX_POINT('',#181346); #42090=VERTEX_POINT('',#181348); #42091=VERTEX_POINT('',#181352); #42092=VERTEX_POINT('',#181354); #42093=VERTEX_POINT('',#181358); #42094=VERTEX_POINT('',#181360); #42095=VERTEX_POINT('',#181364); #42096=VERTEX_POINT('',#181366); #42097=VERTEX_POINT('',#181370); #42098=VERTEX_POINT('',#181372); #42099=VERTEX_POINT('',#181376); #42100=VERTEX_POINT('',#181378); #42101=VERTEX_POINT('',#181382); #42102=VERTEX_POINT('',#181384); #42103=VERTEX_POINT('',#181388); #42104=VERTEX_POINT('',#181390); #42105=VERTEX_POINT('',#181394); #42106=VERTEX_POINT('',#181396); #42107=VERTEX_POINT('',#181404); #42108=VERTEX_POINT('',#181405); #42109=VERTEX_POINT('',#181407); #42110=VERTEX_POINT('',#181409); #42111=VERTEX_POINT('',#181413); #42112=VERTEX_POINT('',#181415); #42113=VERTEX_POINT('',#181419); #42114=VERTEX_POINT('',#181421); #42115=VERTEX_POINT('',#181425); #42116=VERTEX_POINT('',#181427); #42117=VERTEX_POINT('',#181431); #42118=VERTEX_POINT('',#181433); #42119=VERTEX_POINT('',#181437); #42120=VERTEX_POINT('',#181439); #42121=VERTEX_POINT('',#181443); #42122=VERTEX_POINT('',#181445); #42123=VERTEX_POINT('',#181449); #42124=VERTEX_POINT('',#181451); #42125=VERTEX_POINT('',#181455); #42126=VERTEX_POINT('',#181457); #42127=VERTEX_POINT('',#181461); #42128=VERTEX_POINT('',#181463); #42129=VERTEX_POINT('',#181467); #42130=VERTEX_POINT('',#181469); #42131=VERTEX_POINT('',#181486); #42132=VERTEX_POINT('',#181487); #42133=VERTEX_POINT('',#181493); #42134=VERTEX_POINT('',#181495); #42135=VERTEX_POINT('',#181510); #42136=VERTEX_POINT('',#181515); #42137=VERTEX_POINT('',#181522); #42138=VERTEX_POINT('',#181524); #42139=VERTEX_POINT('',#181528); #42140=VERTEX_POINT('',#181530); #42141=VERTEX_POINT('',#181537); #42142=VERTEX_POINT('',#181538); #42143=VERTEX_POINT('',#181540); #42144=VERTEX_POINT('',#181542); #42145=VERTEX_POINT('',#181546); #42146=VERTEX_POINT('',#181548); #42147=VERTEX_POINT('',#181552); #42148=VERTEX_POINT('',#181554); #42149=VERTEX_POINT('',#181563); #42150=VERTEX_POINT('',#181567); #42151=VERTEX_POINT('',#181582); #42152=VERTEX_POINT('',#181588); #42153=VERTEX_POINT('',#181596); #42154=VERTEX_POINT('',#181598); #42155=VERTEX_POINT('',#181602); #42156=VERTEX_POINT('',#181604); #42157=VERTEX_POINT('',#181608); #42158=VERTEX_POINT('',#181610); #42159=VERTEX_POINT('',#181619); #42160=VERTEX_POINT('',#181623); #42161=VERTEX_POINT('',#181634); #42162=VERTEX_POINT('',#181638); #42163=VERTEX_POINT('',#181651); #42164=VERTEX_POINT('',#181656); #42165=VERTEX_POINT('',#181672); #42166=VERTEX_POINT('',#181678); #42167=VERTEX_POINT('',#181686); #42168=VERTEX_POINT('',#181688); #42169=VERTEX_POINT('',#181701); #42170=VERTEX_POINT('',#181702); #42171=VERTEX_POINT('',#181706); #42172=VERTEX_POINT('',#181708); #42173=VERTEX_POINT('',#181721); #42174=VERTEX_POINT('',#181726); #42175=VERTEX_POINT('',#181742); #42176=VERTEX_POINT('',#181748); #42177=VERTEX_POINT('',#181756); #42178=VERTEX_POINT('',#181758); #42179=VERTEX_POINT('',#181762); #42180=VERTEX_POINT('',#181764); #42181=VERTEX_POINT('',#181776); #42182=VERTEX_POINT('',#181777); #42183=VERTEX_POINT('',#181781); #42184=VERTEX_POINT('',#181783); #42185=VERTEX_POINT('',#181798); #42186=VERTEX_POINT('',#181804); #42187=VERTEX_POINT('',#181821); #42188=VERTEX_POINT('',#181827); #42189=VERTEX_POINT('',#181835); #42190=VERTEX_POINT('',#181837); #42191=VERTEX_POINT('',#181841); #42192=VERTEX_POINT('',#181843); #42193=VERTEX_POINT('',#181850); #42194=VERTEX_POINT('',#181851); #42195=VERTEX_POINT('',#181853); #42196=VERTEX_POINT('',#181855); #42197=VERTEX_POINT('',#181870); #42198=VERTEX_POINT('',#181877); #42199=VERTEX_POINT('',#181893); #42200=VERTEX_POINT('',#181898); #42201=VERTEX_POINT('',#181912); #42202=VERTEX_POINT('',#181917); #42203=VERTEX_POINT('',#181933); #42204=VERTEX_POINT('',#181939); #42205=VERTEX_POINT('',#181947); #42206=VERTEX_POINT('',#181949); #42207=VERTEX_POINT('',#181957); #42208=VERTEX_POINT('',#181958); #42209=VERTEX_POINT('',#181960); #42210=VERTEX_POINT('',#181962); #42211=VERTEX_POINT('',#181966); #42212=VERTEX_POINT('',#181968); #42213=VERTEX_POINT('',#181972); #42214=VERTEX_POINT('',#181974); #42215=VERTEX_POINT('',#181978); #42216=VERTEX_POINT('',#181980); #42217=VERTEX_POINT('',#181984); #42218=VERTEX_POINT('',#181986); #42219=VERTEX_POINT('',#181990); #42220=VERTEX_POINT('',#181992); #42221=VERTEX_POINT('',#181996); #42222=VERTEX_POINT('',#181998); #42223=VERTEX_POINT('',#182002); #42224=VERTEX_POINT('',#182004); #42225=VERTEX_POINT('',#182008); #42226=VERTEX_POINT('',#182010); #42227=VERTEX_POINT('',#182014); #42228=VERTEX_POINT('',#182016); #42229=VERTEX_POINT('',#182020); #42230=VERTEX_POINT('',#182022); #42231=VERTEX_POINT('',#182030); #42232=VERTEX_POINT('',#182031); #42233=VERTEX_POINT('',#182033); #42234=VERTEX_POINT('',#182035); #42235=VERTEX_POINT('',#182039); #42236=VERTEX_POINT('',#182041); #42237=VERTEX_POINT('',#182045); #42238=VERTEX_POINT('',#182047); #42239=VERTEX_POINT('',#182051); #42240=VERTEX_POINT('',#182053); #42241=VERTEX_POINT('',#182057); #42242=VERTEX_POINT('',#182059); #42243=VERTEX_POINT('',#182063); #42244=VERTEX_POINT('',#182065); #42245=VERTEX_POINT('',#182069); #42246=VERTEX_POINT('',#182071); #42247=VERTEX_POINT('',#182075); #42248=VERTEX_POINT('',#182077); #42249=VERTEX_POINT('',#182081); #42250=VERTEX_POINT('',#182083); #42251=VERTEX_POINT('',#182087); #42252=VERTEX_POINT('',#182089); #42253=VERTEX_POINT('',#182093); #42254=VERTEX_POINT('',#182095); #42255=VERTEX_POINT('',#182103); #42256=VERTEX_POINT('',#182104); #42257=VERTEX_POINT('',#182106); #42258=VERTEX_POINT('',#182108); #42259=VERTEX_POINT('',#182117); #42260=VERTEX_POINT('',#182121); #42261=VERTEX_POINT('',#182132); #42262=VERTEX_POINT('',#182136); #42263=VERTEX_POINT('',#182142); #42264=VERTEX_POINT('',#182144); #42265=VERTEX_POINT('',#182151); #42266=VERTEX_POINT('',#182152); #42267=VERTEX_POINT('',#182154); #42268=VERTEX_POINT('',#182156); #42269=VERTEX_POINT('',#182160); #42270=VERTEX_POINT('',#182162); #42271=VERTEX_POINT('',#182166); #42272=VERTEX_POINT('',#182168); #42273=VERTEX_POINT('',#182172); #42274=VERTEX_POINT('',#182174); #42275=VERTEX_POINT('',#182178); #42276=VERTEX_POINT('',#182180); #42277=VERTEX_POINT('',#182184); #42278=VERTEX_POINT('',#182186); #42279=VERTEX_POINT('',#182190); #42280=VERTEX_POINT('',#182192); #42281=VERTEX_POINT('',#182209); #42282=VERTEX_POINT('',#182210); #42283=VERTEX_POINT('',#182216); #42284=VERTEX_POINT('',#182218); #42285=VERTEX_POINT('',#182233); #42286=VERTEX_POINT('',#182238); #42287=VERTEX_POINT('',#182245); #42288=VERTEX_POINT('',#182247); #42289=VERTEX_POINT('',#182251); #42290=VERTEX_POINT('',#182253); #42291=VERTEX_POINT('',#182260); #42292=VERTEX_POINT('',#182261); #42293=VERTEX_POINT('',#182263); #42294=VERTEX_POINT('',#182265); #42295=VERTEX_POINT('',#182269); #42296=VERTEX_POINT('',#182271); #42297=VERTEX_POINT('',#182275); #42298=VERTEX_POINT('',#182277); #42299=VERTEX_POINT('',#182286); #42300=VERTEX_POINT('',#182290); #42301=VERTEX_POINT('',#182305); #42302=VERTEX_POINT('',#182311); #42303=VERTEX_POINT('',#182319); #42304=VERTEX_POINT('',#182321); #42305=VERTEX_POINT('',#182325); #42306=VERTEX_POINT('',#182327); #42307=VERTEX_POINT('',#182331); #42308=VERTEX_POINT('',#182333); #42309=VERTEX_POINT('',#182342); #42310=VERTEX_POINT('',#182346); #42311=VERTEX_POINT('',#182357); #42312=VERTEX_POINT('',#182361); #42313=VERTEX_POINT('',#182374); #42314=VERTEX_POINT('',#182379); #42315=VERTEX_POINT('',#182395); #42316=VERTEX_POINT('',#182401); #42317=VERTEX_POINT('',#182409); #42318=VERTEX_POINT('',#182411); #42319=VERTEX_POINT('',#182426); #42320=VERTEX_POINT('',#182427); #42321=VERTEX_POINT('',#182432); #42322=VERTEX_POINT('',#182434); #42323=VERTEX_POINT('',#182448); #42324=VERTEX_POINT('',#182453); #42325=VERTEX_POINT('',#182467); #42326=VERTEX_POINT('',#182472); #42327=VERTEX_POINT('',#182484); #42328=VERTEX_POINT('',#182488); #42329=VERTEX_POINT('',#182494); #42330=VERTEX_POINT('',#182496); #42331=VERTEX_POINT('',#182500); #42332=VERTEX_POINT('',#182502); #42333=VERTEX_POINT('',#182509); #42334=VERTEX_POINT('',#182510); #42335=VERTEX_POINT('',#182512); #42336=VERTEX_POINT('',#182514); #42337=VERTEX_POINT('',#182531); #42338=VERTEX_POINT('',#182539); #42339=VERTEX_POINT('',#182556); #42340=VERTEX_POINT('',#182561); #42341=VERTEX_POINT('',#182573); #42342=VERTEX_POINT('',#182577); #42343=VERTEX_POINT('',#182588); #42344=VERTEX_POINT('',#182592); #42345=VERTEX_POINT('',#182598); #42346=VERTEX_POINT('',#182600); #42347=VERTEX_POINT('',#182615); #42348=VERTEX_POINT('',#182616); #42349=VERTEX_POINT('',#182621); #42350=VERTEX_POINT('',#182623); #42351=VERTEX_POINT('',#182637); #42352=VERTEX_POINT('',#182642); #42353=VERTEX_POINT('',#182654); #42354=VERTEX_POINT('',#182658); #42355=VERTEX_POINT('',#182664); #42356=VERTEX_POINT('',#182666); #42357=VERTEX_POINT('',#182670); #42358=VERTEX_POINT('',#182672); #42359=VERTEX_POINT('',#182679); #42360=VERTEX_POINT('',#182680); #42361=VERTEX_POINT('',#182682); #42362=VERTEX_POINT('',#182684); #42363=VERTEX_POINT('',#182688); #42364=VERTEX_POINT('',#182690); #42365=VERTEX_POINT('',#182694); #42366=VERTEX_POINT('',#182696); #42367=VERTEX_POINT('',#182707); #42368=VERTEX_POINT('',#182712); #42369=VERTEX_POINT('',#182728); #42370=VERTEX_POINT('',#182734); #42371=VERTEX_POINT('',#182747); #42372=VERTEX_POINT('',#182751); #42373=VERTEX_POINT('',#182757); #42374=VERTEX_POINT('',#182759); #42375=VERTEX_POINT('',#182767); #42376=VERTEX_POINT('',#182768); #42377=VERTEX_POINT('',#182770); #42378=VERTEX_POINT('',#182772); #42379=VERTEX_POINT('',#182781); #42380=VERTEX_POINT('',#182785); #42381=VERTEX_POINT('',#182796); #42382=VERTEX_POINT('',#182800); #42383=VERTEX_POINT('',#182806); #42384=VERTEX_POINT('',#182808); #42385=VERTEX_POINT('',#182815); #42386=VERTEX_POINT('',#182816); #42387=VERTEX_POINT('',#182818); #42388=VERTEX_POINT('',#182820); #42389=VERTEX_POINT('',#182824); #42390=VERTEX_POINT('',#182826); #42391=VERTEX_POINT('',#182830); #42392=VERTEX_POINT('',#182832); #42393=VERTEX_POINT('',#182836); #42394=VERTEX_POINT('',#182838); #42395=VERTEX_POINT('',#182842); #42396=VERTEX_POINT('',#182844); #42397=VERTEX_POINT('',#182848); #42398=VERTEX_POINT('',#182850); #42399=VERTEX_POINT('',#182854); #42400=VERTEX_POINT('',#182856); #42401=VERTEX_POINT('',#182864); #42402=VERTEX_POINT('',#182865); #42403=VERTEX_POINT('',#182867); #42404=VERTEX_POINT('',#182869); #42405=VERTEX_POINT('',#182873); #42406=VERTEX_POINT('',#182875); #42407=VERTEX_POINT('',#182879); #42408=VERTEX_POINT('',#182881); #42409=VERTEX_POINT('',#182885); #42410=VERTEX_POINT('',#182887); #42411=VERTEX_POINT('',#182891); #42412=VERTEX_POINT('',#182893); #42413=VERTEX_POINT('',#182897); #42414=VERTEX_POINT('',#182899); #42415=VERTEX_POINT('',#182903); #42416=VERTEX_POINT('',#182905); #42417=VERTEX_POINT('',#182913); #42418=VERTEX_POINT('',#182914); #42419=VERTEX_POINT('',#182916); #42420=VERTEX_POINT('',#182918); #42421=VERTEX_POINT('',#182922); #42422=VERTEX_POINT('',#182924); #42423=VERTEX_POINT('',#182928); #42424=VERTEX_POINT('',#182930); #42425=VERTEX_POINT('',#182934); #42426=VERTEX_POINT('',#182936); #42427=VERTEX_POINT('',#182940); #42428=VERTEX_POINT('',#182942); #42429=VERTEX_POINT('',#182946); #42430=VERTEX_POINT('',#182948); #42431=VERTEX_POINT('',#182952); #42432=VERTEX_POINT('',#182954); #42433=VERTEX_POINT('',#182958); #42434=VERTEX_POINT('',#182960); #42435=VERTEX_POINT('',#182964); #42436=VERTEX_POINT('',#182966); #42437=VERTEX_POINT('',#182981); #42438=VERTEX_POINT('',#182982); #42439=VERTEX_POINT('',#182987); #42440=VERTEX_POINT('',#182989); #42441=VERTEX_POINT('',#183003); #42442=VERTEX_POINT('',#183008); #42443=VERTEX_POINT('',#183020); #42444=VERTEX_POINT('',#183024); #42445=VERTEX_POINT('',#183030); #42446=VERTEX_POINT('',#183032); #42447=VERTEX_POINT('',#183036); #42448=VERTEX_POINT('',#183038); #42449=VERTEX_POINT('',#183045); #42450=VERTEX_POINT('',#183046); #42451=VERTEX_POINT('',#183048); #42452=VERTEX_POINT('',#183050); #42453=VERTEX_POINT('',#183054); #42454=VERTEX_POINT('',#183056); #42455=VERTEX_POINT('',#183060); #42456=VERTEX_POINT('',#183062); #42457=VERTEX_POINT('',#183073); #42458=VERTEX_POINT('',#183078); #42459=VERTEX_POINT('',#183094); #42460=VERTEX_POINT('',#183100); #42461=VERTEX_POINT('',#183113); #42462=VERTEX_POINT('',#183117); #42463=VERTEX_POINT('',#183123); #42464=VERTEX_POINT('',#183125); #42465=VERTEX_POINT('',#183133); #42466=VERTEX_POINT('',#183134); #42467=VERTEX_POINT('',#183136); #42468=VERTEX_POINT('',#183138); #42469=VERTEX_POINT('',#183142); #42470=VERTEX_POINT('',#183144); #42471=VERTEX_POINT('',#183148); #42472=VERTEX_POINT('',#183150); #42473=VERTEX_POINT('',#183154); #42474=VERTEX_POINT('',#183156); #42475=VERTEX_POINT('',#183160); #42476=VERTEX_POINT('',#183162); #42477=VERTEX_POINT('',#183166); #42478=VERTEX_POINT('',#183168); #42479=VERTEX_POINT('',#183172); #42480=VERTEX_POINT('',#183174); #42481=VERTEX_POINT('',#183178); #42482=VERTEX_POINT('',#183180); #42483=VERTEX_POINT('',#183184); #42484=VERTEX_POINT('',#183186); #42485=VERTEX_POINT('',#183190); #42486=VERTEX_POINT('',#183192); #42487=VERTEX_POINT('',#183196); #42488=VERTEX_POINT('',#183198); #42489=VERTEX_POINT('',#183213); #42490=VERTEX_POINT('',#183214); #42491=VERTEX_POINT('',#183219); #42492=VERTEX_POINT('',#183221); #42493=VERTEX_POINT('',#183235); #42494=VERTEX_POINT('',#183240); #42495=VERTEX_POINT('',#183254); #42496=VERTEX_POINT('',#183259); #42497=VERTEX_POINT('',#183271); #42498=VERTEX_POINT('',#183275); #42499=VERTEX_POINT('',#183281); #42500=VERTEX_POINT('',#183283); #42501=VERTEX_POINT('',#183287); #42502=VERTEX_POINT('',#183289); #42503=VERTEX_POINT('',#183296); #42504=VERTEX_POINT('',#183297); #42505=VERTEX_POINT('',#183299); #42506=VERTEX_POINT('',#183301); #42507=VERTEX_POINT('',#183318); #42508=VERTEX_POINT('',#183326); #42509=VERTEX_POINT('',#183343); #42510=VERTEX_POINT('',#183348); #42511=VERTEX_POINT('',#183360); #42512=VERTEX_POINT('',#183364); #42513=VERTEX_POINT('',#183375); #42514=VERTEX_POINT('',#183379); #42515=VERTEX_POINT('',#183385); #42516=VERTEX_POINT('',#183387); #42517=VERTEX_POINT('',#183395); #42518=VERTEX_POINT('',#183396); #42519=VERTEX_POINT('',#183398); #42520=VERTEX_POINT('',#183400); #42521=VERTEX_POINT('',#183404); #42522=VERTEX_POINT('',#183406); #42523=VERTEX_POINT('',#183410); #42524=VERTEX_POINT('',#183412); #42525=VERTEX_POINT('',#183420); #42526=VERTEX_POINT('',#183421); #42527=VERTEX_POINT('',#183423); #42528=VERTEX_POINT('',#183425); #42529=VERTEX_POINT('',#183429); #42530=VERTEX_POINT('',#183431); #42531=VERTEX_POINT('',#183442); #42532=VERTEX_POINT('',#183447); #42533=VERTEX_POINT('',#183463); #42534=VERTEX_POINT('',#183469); #42535=VERTEX_POINT('',#183482); #42536=VERTEX_POINT('',#183486); #42537=VERTEX_POINT('',#183501); #42538=VERTEX_POINT('',#183507); #42539=VERTEX_POINT('',#183524); #42540=VERTEX_POINT('',#183530); #42541=VERTEX_POINT('',#183538); #42542=VERTEX_POINT('',#183540); #42543=VERTEX_POINT('',#183553); #42544=VERTEX_POINT('',#183559); #42545=VERTEX_POINT('',#183576); #42546=VERTEX_POINT('',#183582); #42547=VERTEX_POINT('',#183599); #42548=VERTEX_POINT('',#183605); #42549=VERTEX_POINT('',#183620); #42550=VERTEX_POINT('',#183625); #42551=VERTEX_POINT('',#183632); #42552=VERTEX_POINT('',#183634); #42553=VERTEX_POINT('',#183638); #42554=VERTEX_POINT('',#183640); #42555=VERTEX_POINT('',#183648); #42556=VERTEX_POINT('',#183649); #42557=VERTEX_POINT('',#183651); #42558=VERTEX_POINT('',#183653); #42559=VERTEX_POINT('',#183666); #42560=VERTEX_POINT('',#183667); #42561=VERTEX_POINT('',#183669); #42562=VERTEX_POINT('',#183671); #42563=VERTEX_POINT('',#183673); #42564=VERTEX_POINT('',#183678); #42565=VERTEX_POINT('',#183685); #42566=VERTEX_POINT('',#183687); #42567=VERTEX_POINT('',#183691); #42568=VERTEX_POINT('',#183692); #42569=VERTEX_POINT('',#183694); #42570=VERTEX_POINT('',#183696); #42571=VERTEX_POINT('',#183698); #42572=VERTEX_POINT('',#183703); #42573=VERTEX_POINT('',#183710); #42574=VERTEX_POINT('',#183712); #42575=VERTEX_POINT('',#183716); #42576=VERTEX_POINT('',#183717); #42577=VERTEX_POINT('',#183719); #42578=VERTEX_POINT('',#183721); #42579=VERTEX_POINT('',#183728); #42580=VERTEX_POINT('',#183730); #42581=VERTEX_POINT('',#183732); #42582=VERTEX_POINT('',#183734); #42583=VERTEX_POINT('',#183736); #42584=VERTEX_POINT('',#183740); #42585=VERTEX_POINT('',#183741); #42586=VERTEX_POINT('',#183743); #42587=VERTEX_POINT('',#183745); #42588=VERTEX_POINT('',#183749); #42589=VERTEX_POINT('',#183751); #42590=VERTEX_POINT('',#183756); #42591=VERTEX_POINT('',#183758); #42592=VERTEX_POINT('',#183760); #42593=VERTEX_POINT('',#183762); #42594=VERTEX_POINT('',#183766); #42595=VERTEX_POINT('',#183767); #42596=VERTEX_POINT('',#183772); #42597=VERTEX_POINT('',#183776); #42598=VERTEX_POINT('',#183777); #42599=VERTEX_POINT('',#183782); #42600=VERTEX_POINT('',#183786); #42601=VERTEX_POINT('',#183792); #42602=VERTEX_POINT('',#183823); #42603=VERTEX_POINT('',#183824); #42604=VERTEX_POINT('',#183826); #42605=VERTEX_POINT('',#183837); #42606=VERTEX_POINT('',#183839); #42607=VERTEX_POINT('',#183841); #42608=VERTEX_POINT('',#183850); #42609=VERTEX_POINT('',#183852); #42610=VERTEX_POINT('',#183854); #42611=VERTEX_POINT('',#183877); #42612=VERTEX_POINT('',#183885); #42613=VERTEX_POINT('',#183893); #42614=VERTEX_POINT('',#183895); #42615=VERTEX_POINT('',#183922); #42616=VERTEX_POINT('',#183923); #42617=VERTEX_POINT('',#183925); #42618=VERTEX_POINT('',#183936); #42619=VERTEX_POINT('',#183938); #42620=VERTEX_POINT('',#183940); #42621=VERTEX_POINT('',#183949); #42622=VERTEX_POINT('',#183951); #42623=VERTEX_POINT('',#183955); #42624=VERTEX_POINT('',#183957); #42625=VERTEX_POINT('',#183961); #42626=VERTEX_POINT('',#183963); #42627=VERTEX_POINT('',#183965); #42628=VERTEX_POINT('',#183972); #42629=VERTEX_POINT('',#183974); #42630=VERTEX_POINT('',#183978); #42631=VERTEX_POINT('',#183980); #42632=VERTEX_POINT('',#183984); #42633=VERTEX_POINT('',#183986); #42634=VERTEX_POINT('',#184022); #42635=VERTEX_POINT('',#184024); #42636=VERTEX_POINT('',#184028); #42637=VERTEX_POINT('',#184032); #42638=VERTEX_POINT('',#184034); #42639=VERTEX_POINT('',#184039); #42640=VERTEX_POINT('',#184042); #42641=VERTEX_POINT('',#184045); #42642=VERTEX_POINT('',#184049); #42643=VERTEX_POINT('',#184053); #42644=VERTEX_POINT('',#184057); #42645=VERTEX_POINT('',#184062); #42646=VERTEX_POINT('',#184065); #42647=VERTEX_POINT('',#184070); #42648=VERTEX_POINT('',#184072); #42649=VERTEX_POINT('',#184076); #42650=VERTEX_POINT('',#184078); #42651=VERTEX_POINT('',#184087); #42652=VERTEX_POINT('',#184094); #42653=VERTEX_POINT('',#184102); #42654=VERTEX_POINT('',#184106); #42655=VERTEX_POINT('',#184123); #42656=VERTEX_POINT('',#184127); #42657=VERTEX_POINT('',#184149); #42658=VERTEX_POINT('',#184150); #42659=VERTEX_POINT('',#184221); #42660=VERTEX_POINT('',#184222); #42661=VERTEX_POINT('',#184281); #42662=VERTEX_POINT('',#184782); #42663=VERTEX_POINT('',#184783); #42664=VERTEX_POINT('',#184785); #42665=VERTEX_POINT('',#184790); #42666=VERTEX_POINT('',#184797); #42667=VERTEX_POINT('',#184798); #42668=VERTEX_POINT('',#184822); #42669=VERTEX_POINT('',#184823); #42670=VERTEX_POINT('',#184834); #42671=VERTEX_POINT('',#184835); #42672=VERTEX_POINT('',#184859); #42673=VERTEX_POINT('',#184860); #42674=VERTEX_POINT('',#184871); #42675=VERTEX_POINT('',#184872); #42676=VERTEX_POINT('',#184896); #42677=VERTEX_POINT('',#184897); #42678=VERTEX_POINT('',#184911); #42679=VERTEX_POINT('',#184916); #42680=VERTEX_POINT('',#184938); #42681=VERTEX_POINT('',#184948); #42682=VERTEX_POINT('',#184970); #42683=VERTEX_POINT('',#184980); #42684=VERTEX_POINT('',#184981); #42685=VERTEX_POINT('',#184983); #42686=VERTEX_POINT('',#184985); #42687=VERTEX_POINT('',#185008); #42688=VERTEX_POINT('',#185009); #42689=VERTEX_POINT('',#185011); #42690=VERTEX_POINT('',#185016); #42691=VERTEX_POINT('',#185023); #42692=VERTEX_POINT('',#185047); #42693=VERTEX_POINT('',#185054); #42694=VERTEX_POINT('',#185077); #42695=VERTEX_POINT('',#185084); #42696=VERTEX_POINT('',#185107); #42697=VERTEX_POINT('',#185108); #42698=VERTEX_POINT('',#185167); #42699=VERTEX_POINT('',#185168); #42700=VERTEX_POINT('',#185173); #42701=VERTEX_POINT('',#185179); #42702=VERTEX_POINT('',#185181); #42703=VERTEX_POINT('',#185187); #42704=VERTEX_POINT('',#185192); #42705=VERTEX_POINT('',#185194); #42706=VERTEX_POINT('',#185199); #42707=VERTEX_POINT('',#185205); #42708=VERTEX_POINT('',#185207); #42709=VERTEX_POINT('',#185213); #42710=VERTEX_POINT('',#185244); #42711=VERTEX_POINT('',#185245); #42712=VERTEX_POINT('',#185247); #42713=VERTEX_POINT('',#185292); #42714=VERTEX_POINT('',#185293); #42715=VERTEX_POINT('',#185401); #42716=VERTEX_POINT('',#185402); #42717=VERTEX_POINT('',#185460); #42718=VERTEX_POINT('',#185461); #42719=VERTEX_POINT('',#185472); #42720=VERTEX_POINT('',#185473); #42721=VERTEX_POINT('',#185497); #42722=VERTEX_POINT('',#185502); #42723=VERTEX_POINT('',#185598); #42724=VERTEX_POINT('',#185617); #42725=VERTEX_POINT('',#185669); #42726=VERTEX_POINT('',#185678); #42727=VERTEX_POINT('',#185712); #42728=VERTEX_POINT('',#185726); #42729=VERTEX_POINT('',#185745); #42730=VERTEX_POINT('',#185776); #42731=VERTEX_POINT('',#185782); #42732=VERTEX_POINT('',#185790); #42733=VERTEX_POINT('',#185797); #42734=VERTEX_POINT('',#185798); #42735=VERTEX_POINT('',#185804); #42736=VERTEX_POINT('',#185806); #42737=VERTEX_POINT('',#185812); #42738=VERTEX_POINT('',#185814); #42739=VERTEX_POINT('',#185820); #42740=VERTEX_POINT('',#185822); #42741=VERTEX_POINT('',#185854); #42742=VERTEX_POINT('',#185856); #42743=VERTEX_POINT('',#185879); #42744=VERTEX_POINT('',#185917); #42745=VERTEX_POINT('',#185918); #42746=VERTEX_POINT('',#185925); #42747=VERTEX_POINT('',#185953); #42748=VERTEX_POINT('',#185954); #42749=VERTEX_POINT('',#185984); #42750=VERTEX_POINT('',#185995); #42751=VERTEX_POINT('',#185997); #42752=VERTEX_POINT('',#186020); #42753=VERTEX_POINT('',#186046); #42754=VERTEX_POINT('',#186047); #42755=VERTEX_POINT('',#186081); #42756=VERTEX_POINT('',#186082); #42757=VERTEX_POINT('',#186084); #42758=VERTEX_POINT('',#186169); #42759=VERTEX_POINT('',#186171); #42760=VERTEX_POINT('',#186205); #42761=VERTEX_POINT('',#186211); #42762=VERTEX_POINT('',#186219); #42763=VERTEX_POINT('',#186251); #42764=VERTEX_POINT('',#186253); #42765=VERTEX_POINT('',#186280); #42766=VERTEX_POINT('',#186290); #42767=VERTEX_POINT('',#186323); #42768=VERTEX_POINT('',#186324); #42769=VERTEX_POINT('',#186331); #42770=VERTEX_POINT('',#186359); #42771=VERTEX_POINT('',#186360); #42772=VERTEX_POINT('',#186390); #42773=VERTEX_POINT('',#186401); #42774=VERTEX_POINT('',#186403); #42775=VERTEX_POINT('',#186426); #42776=VERTEX_POINT('',#186452); #42777=VERTEX_POINT('',#186453); #42778=VERTEX_POINT('',#186487); #42779=VERTEX_POINT('',#186488); #42780=VERTEX_POINT('',#186490); #42781=VERTEX_POINT('',#186575); #42782=VERTEX_POINT('',#186577); #42783=VERTEX_POINT('',#186611); #42784=VERTEX_POINT('',#186617); #42785=VERTEX_POINT('',#186625); #42786=VERTEX_POINT('',#186663); #42787=VERTEX_POINT('',#186665); #42788=VERTEX_POINT('',#186693); #42789=VERTEX_POINT('',#186703); #42790=VERTEX_POINT('',#186707); #42791=VERTEX_POINT('',#186708); #42792=VERTEX_POINT('',#186710); #42793=VERTEX_POINT('',#186712); #42794=VERTEX_POINT('',#186716); #42795=VERTEX_POINT('',#186718); #42796=VERTEX_POINT('',#186720); #42797=VERTEX_POINT('',#186726); #42798=VERTEX_POINT('',#186728); #42799=VERTEX_POINT('',#186730); #42800=VERTEX_POINT('',#186736); #42801=VERTEX_POINT('',#186739); #42802=VERTEX_POINT('',#186766); #42803=VERTEX_POINT('',#186771); #42804=VERTEX_POINT('',#186778); #42805=VERTEX_POINT('',#186804); #42806=VERTEX_POINT('',#186811); #42807=VERTEX_POINT('',#186820); #42808=VERTEX_POINT('',#186847); #42809=VERTEX_POINT('',#186848); #42810=VERTEX_POINT('',#186850); #42811=VERTEX_POINT('',#186855); #42812=VERTEX_POINT('',#186901); #42813=VERTEX_POINT('',#186938); #42814=VERTEX_POINT('',#186939); #42815=VERTEX_POINT('',#186941); #42816=VERTEX_POINT('',#186946); #42817=VERTEX_POINT('',#186992); #42818=VERTEX_POINT('',#187029); #42819=VERTEX_POINT('',#187030); #42820=VERTEX_POINT('',#187032); #42821=VERTEX_POINT('',#187037); #42822=VERTEX_POINT('',#187083); #42823=VERTEX_POINT('',#187120); #42824=VERTEX_POINT('',#187121); #42825=VERTEX_POINT('',#187123); #42826=VERTEX_POINT('',#187128); #42827=VERTEX_POINT('',#187179); #42828=VERTEX_POINT('',#187234); #42829=VERTEX_POINT('',#187245); #42830=VERTEX_POINT('',#187271); #42831=VERTEX_POINT('',#187278); #42832=VERTEX_POINT('',#187283); #42833=VERTEX_POINT('',#187288); #42834=VERTEX_POINT('',#187315); #42835=VERTEX_POINT('',#187316); #42836=VERTEX_POINT('',#187318); #42837=VERTEX_POINT('',#187323); #42838=VERTEX_POINT('',#187369); #42839=VERTEX_POINT('',#187406); #42840=VERTEX_POINT('',#187407); #42841=VERTEX_POINT('',#187409); #42842=VERTEX_POINT('',#187414); #42843=VERTEX_POINT('',#187460); #42844=VERTEX_POINT('',#187497); #42845=VERTEX_POINT('',#187498); #42846=VERTEX_POINT('',#187500); #42847=VERTEX_POINT('',#187505); #42848=VERTEX_POINT('',#187556); #42849=VERTEX_POINT('',#187595); #42850=VERTEX_POINT('',#187596); #42851=VERTEX_POINT('',#187598); #42852=VERTEX_POINT('',#187603); #42853=VERTEX_POINT('',#187654); #42854=VERTEX_POINT('',#187709); #42855=VERTEX_POINT('',#187720); #42856=VERTEX_POINT('',#187746); #42857=VERTEX_POINT('',#187753); #42858=VERTEX_POINT('',#187758); #42859=VERTEX_POINT('',#187763); #42860=VERTEX_POINT('',#187792); #42861=VERTEX_POINT('',#187803); #42862=VERTEX_POINT('',#187810); #42863=VERTEX_POINT('',#187838); #42864=VERTEX_POINT('',#187903); #42865=VERTEX_POINT('',#187910); #42866=VERTEX_POINT('',#187938); #42867=VERTEX_POINT('',#188003); #42868=VERTEX_POINT('',#188029); #42869=VERTEX_POINT('',#188030); #42870=VERTEX_POINT('',#188032); #42871=VERTEX_POINT('',#188037); #42872=VERTEX_POINT('',#188078); #42873=VERTEX_POINT('',#188086); #42874=VERTEX_POINT('',#188119); #42875=VERTEX_POINT('',#188183); #42876=VERTEX_POINT('',#188210); #42877=VERTEX_POINT('',#188211); #42878=VERTEX_POINT('',#188256); #42879=VERTEX_POINT('',#188257); #42880=VERTEX_POINT('',#188293); #42881=VERTEX_POINT('',#188294); #42882=VERTEX_POINT('',#188305); #42883=VERTEX_POINT('',#188306); #42884=VERTEX_POINT('',#188330); #42885=VERTEX_POINT('',#188331); #42886=VERTEX_POINT('',#188376); #42887=VERTEX_POINT('',#188384); #42888=VERTEX_POINT('',#188417); #42889=VERTEX_POINT('',#188481); #42890=VERTEX_POINT('',#188508); #42891=VERTEX_POINT('',#188509); #42892=VERTEX_POINT('',#188554); #42893=VERTEX_POINT('',#188555); #42894=VERTEX_POINT('',#188591); #42895=VERTEX_POINT('',#188592); #42896=VERTEX_POINT('',#188761); #42897=VERTEX_POINT('',#188763); #42898=VERTEX_POINT('',#188765); #42899=VERTEX_POINT('',#188769); #42900=VERTEX_POINT('',#188770); #42901=VERTEX_POINT('',#188775); #42902=VERTEX_POINT('',#188777); #42903=VERTEX_POINT('',#188782); #42904=VERTEX_POINT('',#188784); #42905=VERTEX_POINT('',#188789); #42906=VERTEX_POINT('',#188791); #42907=VERTEX_POINT('',#188798); #42908=VERTEX_POINT('',#188799); #42909=VERTEX_POINT('',#188823); #42910=VERTEX_POINT('',#188825); #42911=VERTEX_POINT('',#188832); #42912=VERTEX_POINT('',#188855); #42913=VERTEX_POINT('',#188862); #42914=VERTEX_POINT('',#188885); #42915=VERTEX_POINT('',#188892); #42916=VERTEX_POINT('',#188915); #42917=VERTEX_POINT('',#188924); #42918=VERTEX_POINT('',#188929); #42919=VERTEX_POINT('',#188951); #42920=VERTEX_POINT('',#188958); #42921=VERTEX_POINT('',#188980); #42922=VERTEX_POINT('',#188987); #42923=VERTEX_POINT('',#188989); #42924=VERTEX_POINT('',#188993); #42925=VERTEX_POINT('',#188995); #42926=VERTEX_POINT('',#189023); #42927=VERTEX_POINT('',#189029); #42928=VERTEX_POINT('',#189042); #42929=VERTEX_POINT('',#189102); #42930=VERTEX_POINT('',#189110); #42931=VERTEX_POINT('',#189112); #42932=VERTEX_POINT('',#189117); #42933=VERTEX_POINT('',#189155); #42934=VERTEX_POINT('',#189239); #42935=VERTEX_POINT('',#189240); #42936=VERTEX_POINT('',#189242); #42937=VERTEX_POINT('',#189244); #42938=VERTEX_POINT('',#189246); #42939=VERTEX_POINT('',#189248); #42940=VERTEX_POINT('',#189250); #42941=VERTEX_POINT('',#189252); #42942=VERTEX_POINT('',#189256); #42943=VERTEX_POINT('',#189258); #42944=VERTEX_POINT('',#189260); #42945=VERTEX_POINT('',#189264); #42946=VERTEX_POINT('',#189266); #42947=VERTEX_POINT('',#189268); #42948=VERTEX_POINT('',#189270); #42949=VERTEX_POINT('',#189274); #42950=VERTEX_POINT('',#189276); #42951=VERTEX_POINT('',#189278); #42952=VERTEX_POINT('',#189282); #42953=VERTEX_POINT('',#189284); #42954=VERTEX_POINT('',#189286); #42955=VERTEX_POINT('',#189288); #42956=VERTEX_POINT('',#189292); #42957=VERTEX_POINT('',#189294); #42958=VERTEX_POINT('',#189296); #42959=VERTEX_POINT('',#189300); #42960=VERTEX_POINT('',#189302); #42961=VERTEX_POINT('',#189304); #42962=VERTEX_POINT('',#189306); #42963=VERTEX_POINT('',#189310); #42964=VERTEX_POINT('',#189312); #42965=VERTEX_POINT('',#189314); #42966=VERTEX_POINT('',#189318); #42967=VERTEX_POINT('',#189320); #42968=VERTEX_POINT('',#189322); #42969=VERTEX_POINT('',#189324); #42970=VERTEX_POINT('',#189328); #42971=VERTEX_POINT('',#189330); #42972=VERTEX_POINT('',#189332); #42973=VERTEX_POINT('',#189336); #42974=VERTEX_POINT('',#189338); #42975=VERTEX_POINT('',#189340); #42976=VERTEX_POINT('',#189342); #42977=VERTEX_POINT('',#189346); #42978=VERTEX_POINT('',#189348); #42979=VERTEX_POINT('',#189350); #42980=VERTEX_POINT('',#189354); #42981=VERTEX_POINT('',#189356); #42982=VERTEX_POINT('',#189358); #42983=VERTEX_POINT('',#189360); #42984=VERTEX_POINT('',#189364); #42985=VERTEX_POINT('',#189366); #42986=VERTEX_POINT('',#189368); #42987=VERTEX_POINT('',#189372); #42988=VERTEX_POINT('',#189374); #42989=VERTEX_POINT('',#189376); #42990=VERTEX_POINT('',#189378); #42991=VERTEX_POINT('',#189382); #42992=VERTEX_POINT('',#189384); #42993=VERTEX_POINT('',#189386); #42994=VERTEX_POINT('',#189390); #42995=VERTEX_POINT('',#189392); #42996=VERTEX_POINT('',#189394); #42997=VERTEX_POINT('',#189396); #42998=VERTEX_POINT('',#189400); #42999=VERTEX_POINT('',#189402); #43000=VERTEX_POINT('',#189404); #43001=VERTEX_POINT('',#189408); #43002=VERTEX_POINT('',#189409); #43003=VERTEX_POINT('',#189411); #43004=VERTEX_POINT('',#189413); #43005=VERTEX_POINT('',#189415); #43006=VERTEX_POINT('',#189417); #43007=VERTEX_POINT('',#189421); #43008=VERTEX_POINT('',#189422); #43009=VERTEX_POINT('',#189424); #43010=VERTEX_POINT('',#189426); #43011=VERTEX_POINT('',#189428); #43012=VERTEX_POINT('',#189430); #43013=VERTEX_POINT('',#189434); #43014=VERTEX_POINT('',#189435); #43015=VERTEX_POINT('',#189437); #43016=VERTEX_POINT('',#189439); #43017=VERTEX_POINT('',#189441); #43018=VERTEX_POINT('',#189443); #43019=VERTEX_POINT('',#189447); #43020=VERTEX_POINT('',#189448); #43021=VERTEX_POINT('',#189450); #43022=VERTEX_POINT('',#189452); #43023=VERTEX_POINT('',#189454); #43024=VERTEX_POINT('',#189456); #43025=VERTEX_POINT('',#189460); #43026=VERTEX_POINT('',#189461); #43027=VERTEX_POINT('',#189463); #43028=VERTEX_POINT('',#189465); #43029=VERTEX_POINT('',#189467); #43030=VERTEX_POINT('',#189469); #43031=VERTEX_POINT('',#189473); #43032=VERTEX_POINT('',#189474); #43033=VERTEX_POINT('',#189476); #43034=VERTEX_POINT('',#189478); #43035=VERTEX_POINT('',#189480); #43036=VERTEX_POINT('',#189482); #43037=VERTEX_POINT('',#189486); #43038=VERTEX_POINT('',#189487); #43039=VERTEX_POINT('',#189489); #43040=VERTEX_POINT('',#189491); #43041=VERTEX_POINT('',#189493); #43042=VERTEX_POINT('',#189495); #43043=VERTEX_POINT('',#189499); #43044=VERTEX_POINT('',#189500); #43045=VERTEX_POINT('',#189502); #43046=VERTEX_POINT('',#189504); #43047=VERTEX_POINT('',#189506); #43048=VERTEX_POINT('',#189508); #43049=VERTEX_POINT('',#189513); #43050=VERTEX_POINT('',#189518); #43051=VERTEX_POINT('',#189523); #43052=VERTEX_POINT('',#189528); #43053=VERTEX_POINT('',#189533); #43054=VERTEX_POINT('',#189538); #43055=VERTEX_POINT('',#189543); #43056=VERTEX_POINT('',#189548); #43057=VERTEX_POINT('',#189552); #43058=VERTEX_POINT('',#189553); #43059=VERTEX_POINT('',#189556); #43060=VERTEX_POINT('',#189560); #43061=VERTEX_POINT('',#189562); #43062=VERTEX_POINT('',#189601); #43063=VERTEX_POINT('',#189602); #43064=VERTEX_POINT('',#189604); #43065=VERTEX_POINT('',#189606); #43066=VERTEX_POINT('',#189610); #43067=VERTEX_POINT('',#189612); #43068=VERTEX_POINT('',#189614); #43069=VERTEX_POINT('',#189618); #43070=VERTEX_POINT('',#189620); #43071=VERTEX_POINT('',#189622); #43072=VERTEX_POINT('',#189628); #43073=VERTEX_POINT('',#189631); #43074=VERTEX_POINT('',#189642); #43075=VERTEX_POINT('',#189644); #43076=VERTEX_POINT('',#189648); #43077=VERTEX_POINT('',#189652); #43078=VERTEX_POINT('',#189656); #43079=VERTEX_POINT('',#189660); #43080=VERTEX_POINT('',#189664); #43081=VERTEX_POINT('',#189668); #43082=VERTEX_POINT('',#189674); #43083=VERTEX_POINT('',#189675); #43084=VERTEX_POINT('',#189677); #43085=VERTEX_POINT('',#189679); #43086=VERTEX_POINT('',#189681); #43087=VERTEX_POINT('',#189683); #43088=VERTEX_POINT('',#189686); #43089=VERTEX_POINT('',#189687); #43090=VERTEX_POINT('',#189689); #43091=VERTEX_POINT('',#189691); #43092=VERTEX_POINT('',#189693); #43093=VERTEX_POINT('',#189695); #43094=VERTEX_POINT('',#189697); #43095=VERTEX_POINT('',#189699); #43096=VERTEX_POINT('',#189702); #43097=VERTEX_POINT('',#189703); #43098=VERTEX_POINT('',#189705); #43099=VERTEX_POINT('',#189707); #43100=VERTEX_POINT('',#189709); #43101=VERTEX_POINT('',#189711); #43102=VERTEX_POINT('',#189713); #43103=VERTEX_POINT('',#189715); #43104=VERTEX_POINT('',#189719); #43105=VERTEX_POINT('',#189720); #43106=VERTEX_POINT('',#189722); #43107=VERTEX_POINT('',#189724); #43108=VERTEX_POINT('',#189728); #43109=VERTEX_POINT('',#189730); #43110=VERTEX_POINT('',#189732); #43111=VERTEX_POINT('',#189736); #43112=VERTEX_POINT('',#189738); #43113=VERTEX_POINT('',#189740); #43114=VERTEX_POINT('',#189746); #43115=VERTEX_POINT('',#189749); #43116=VERTEX_POINT('',#189776); #43117=VERTEX_POINT('',#189777); #43118=VERTEX_POINT('',#189779); #43119=VERTEX_POINT('',#189781); #43120=VERTEX_POINT('',#189785); #43121=VERTEX_POINT('',#189787); #43122=VERTEX_POINT('',#189789); #43123=VERTEX_POINT('',#189793); #43124=VERTEX_POINT('',#189795); #43125=VERTEX_POINT('',#189797); #43126=VERTEX_POINT('',#189803); #43127=VERTEX_POINT('',#189806); #43128=VERTEX_POINT('',#189833); #43129=VERTEX_POINT('',#189835); #43130=VERTEX_POINT('',#189837); #43131=VERTEX_POINT('',#189845); #43132=VERTEX_POINT('',#189853); #43133=VERTEX_POINT('',#189861); #43134=VERTEX_POINT('',#189869); #43135=VERTEX_POINT('',#189877); #43136=VERTEX_POINT('',#189885); #43137=VERTEX_POINT('',#189893); #43138=VERTEX_POINT('',#189895); #43139=VERTEX_POINT('',#189905); #43140=VERTEX_POINT('',#189915); #43141=VERTEX_POINT('',#189925); #43142=VERTEX_POINT('',#189930); #43143=VERTEX_POINT('',#189937); #43144=VERTEX_POINT('',#189955); #43145=VERTEX_POINT('',#189956); #43146=VERTEX_POINT('',#189980); #43147=VERTEX_POINT('',#189981); #43148=VERTEX_POINT('',#189986); #43149=VERTEX_POINT('',#189993); #43150=VERTEX_POINT('',#189998); #43151=VERTEX_POINT('',#190003); #43152=VERTEX_POINT('',#190010); #43153=VERTEX_POINT('',#190011); #43154=VERTEX_POINT('',#190013); #43155=VERTEX_POINT('',#190015); #43156=VERTEX_POINT('',#190019); #43157=VERTEX_POINT('',#190021); #43158=VERTEX_POINT('',#190040); #43159=VERTEX_POINT('',#190064); #43160=VERTEX_POINT('',#190086); #43161=VERTEX_POINT('',#190091); #43162=VERTEX_POINT('',#190118); #43163=VERTEX_POINT('',#190119); #43164=VERTEX_POINT('',#190124); #43165=VERTEX_POINT('',#190131); #43166=VERTEX_POINT('',#190136); #43167=VERTEX_POINT('',#190141); #43168=VERTEX_POINT('',#190148); #43169=VERTEX_POINT('',#190149); #43170=VERTEX_POINT('',#190151); #43171=VERTEX_POINT('',#190153); #43172=VERTEX_POINT('',#190157); #43173=VERTEX_POINT('',#190159); #43174=VERTEX_POINT('',#190178); #43175=VERTEX_POINT('',#190202); #43176=VERTEX_POINT('',#190224); #43177=VERTEX_POINT('',#190229); #43178=VERTEX_POINT('',#190256); #43179=VERTEX_POINT('',#190257); #43180=VERTEX_POINT('',#190262); #43181=VERTEX_POINT('',#190269); #43182=VERTEX_POINT('',#190274); #43183=VERTEX_POINT('',#190279); #43184=VERTEX_POINT('',#190286); #43185=VERTEX_POINT('',#190287); #43186=VERTEX_POINT('',#190289); #43187=VERTEX_POINT('',#190291); #43188=VERTEX_POINT('',#190295); #43189=VERTEX_POINT('',#190297); #43190=VERTEX_POINT('',#190316); #43191=VERTEX_POINT('',#190340); #43192=VERTEX_POINT('',#190362); #43193=VERTEX_POINT('',#190367); #43194=VERTEX_POINT('',#190394); #43195=VERTEX_POINT('',#190395); #43196=VERTEX_POINT('',#190400); #43197=VERTEX_POINT('',#190407); #43198=VERTEX_POINT('',#190412); #43199=VERTEX_POINT('',#190417); #43200=VERTEX_POINT('',#190424); #43201=VERTEX_POINT('',#190425); #43202=VERTEX_POINT('',#190427); #43203=VERTEX_POINT('',#190429); #43204=VERTEX_POINT('',#190433); #43205=VERTEX_POINT('',#190435); #43206=VERTEX_POINT('',#190454); #43207=VERTEX_POINT('',#190478); #43208=VERTEX_POINT('',#190500); #43209=VERTEX_POINT('',#190505); #43210=VERTEX_POINT('',#190532); #43211=VERTEX_POINT('',#190533); #43212=VERTEX_POINT('',#190538); #43213=VERTEX_POINT('',#190545); #43214=VERTEX_POINT('',#190550); #43215=VERTEX_POINT('',#190555); #43216=VERTEX_POINT('',#190562); #43217=VERTEX_POINT('',#190563); #43218=VERTEX_POINT('',#190565); #43219=VERTEX_POINT('',#190567); #43220=VERTEX_POINT('',#190571); #43221=VERTEX_POINT('',#190573); #43222=VERTEX_POINT('',#190592); #43223=VERTEX_POINT('',#190616); #43224=VERTEX_POINT('',#190638); #43225=VERTEX_POINT('',#190643); #43226=VERTEX_POINT('',#190655); #43227=VERTEX_POINT('',#190656); #43228=VERTEX_POINT('',#190658); #43229=VERTEX_POINT('',#190660); #43230=VERTEX_POINT('',#190662); #43231=VERTEX_POINT('',#190664); #43232=VERTEX_POINT('',#190666); #43233=VERTEX_POINT('',#190668); #43234=VERTEX_POINT('',#190670); #43235=VERTEX_POINT('',#190672); #43236=VERTEX_POINT('',#190674); #43237=VERTEX_POINT('',#190676); #43238=VERTEX_POINT('',#190680); #43239=VERTEX_POINT('',#190682); #43240=VERTEX_POINT('',#190687); #43241=VERTEX_POINT('',#190689); #43242=VERTEX_POINT('',#190691); #43243=VERTEX_POINT('',#190693); #43244=VERTEX_POINT('',#190710); #43245=VERTEX_POINT('',#190731); #43246=VERTEX_POINT('',#190759); #43247=VERTEX_POINT('',#190764); #43248=VERTEX_POINT('',#190769); #43249=VERTEX_POINT('',#190776); #43250=VERTEX_POINT('',#190779); #43251=VERTEX_POINT('',#190783); #43252=VERTEX_POINT('',#190785); #43253=VERTEX_POINT('',#190825); #43254=VERTEX_POINT('',#190854); #43255=VERTEX_POINT('',#190856); #43256=VERTEX_POINT('',#190858); #43257=VERTEX_POINT('',#190865); #43258=VERTEX_POINT('',#190867); #43259=VERTEX_POINT('',#190886); #43260=VERTEX_POINT('',#190887); #43261=VERTEX_POINT('',#190910); #43262=VERTEX_POINT('',#190926); #43263=VERTEX_POINT('',#190930); #43264=VERTEX_POINT('',#190932); #43265=VERTEX_POINT('',#190934); #43266=VERTEX_POINT('',#190941); #43267=VERTEX_POINT('',#190943); #43268=VERTEX_POINT('',#190962); #43269=VERTEX_POINT('',#190963); #43270=VERTEX_POINT('',#190986); #43271=VERTEX_POINT('',#191002); #43272=VERTEX_POINT('',#191006); #43273=VERTEX_POINT('',#191008); #43274=VERTEX_POINT('',#191010); #43275=VERTEX_POINT('',#191017); #43276=VERTEX_POINT('',#191019); #43277=VERTEX_POINT('',#191038); #43278=VERTEX_POINT('',#191039); #43279=VERTEX_POINT('',#191062); #43280=VERTEX_POINT('',#191078); #43281=VERTEX_POINT('',#191082); #43282=VERTEX_POINT('',#191084); #43283=VERTEX_POINT('',#191086); #43284=VERTEX_POINT('',#191093); #43285=VERTEX_POINT('',#191095); #43286=VERTEX_POINT('',#191114); #43287=VERTEX_POINT('',#191115); #43288=VERTEX_POINT('',#191138); #43289=VERTEX_POINT('',#191154); #43290=VERTEX_POINT('',#191158); #43291=VERTEX_POINT('',#191160); #43292=VERTEX_POINT('',#191162); #43293=VERTEX_POINT('',#191169); #43294=VERTEX_POINT('',#191171); #43295=VERTEX_POINT('',#191190); #43296=VERTEX_POINT('',#191191); #43297=VERTEX_POINT('',#191214); #43298=VERTEX_POINT('',#191230); #43299=VERTEX_POINT('',#191234); #43300=VERTEX_POINT('',#191236); #43301=VERTEX_POINT('',#191238); #43302=VERTEX_POINT('',#191245); #43303=VERTEX_POINT('',#191247); #43304=VERTEX_POINT('',#191266); #43305=VERTEX_POINT('',#191267); #43306=VERTEX_POINT('',#191290); #43307=VERTEX_POINT('',#191306); #43308=VERTEX_POINT('',#191310); #43309=VERTEX_POINT('',#191312); #43310=VERTEX_POINT('',#191314); #43311=VERTEX_POINT('',#191321); #43312=VERTEX_POINT('',#191323); #43313=VERTEX_POINT('',#191342); #43314=VERTEX_POINT('',#191343); #43315=VERTEX_POINT('',#191366); #43316=VERTEX_POINT('',#191382); #43317=VERTEX_POINT('',#191386); #43318=VERTEX_POINT('',#191388); #43319=VERTEX_POINT('',#191390); #43320=VERTEX_POINT('',#191397); #43321=VERTEX_POINT('',#191399); #43322=VERTEX_POINT('',#191418); #43323=VERTEX_POINT('',#191419); #43324=VERTEX_POINT('',#191442); #43325=VERTEX_POINT('',#191458); #43326=VERTEX_POINT('',#191462); #43327=VERTEX_POINT('',#191464); #43328=VERTEX_POINT('',#191466); #43329=VERTEX_POINT('',#191473); #43330=VERTEX_POINT('',#191475); #43331=VERTEX_POINT('',#191494); #43332=VERTEX_POINT('',#191495); #43333=VERTEX_POINT('',#191518); #43334=VERTEX_POINT('',#191534); #43335=VERTEX_POINT('',#191538); #43336=VERTEX_POINT('',#191540); #43337=VERTEX_POINT('',#191542); #43338=VERTEX_POINT('',#191549); #43339=VERTEX_POINT('',#191551); #43340=VERTEX_POINT('',#191570); #43341=VERTEX_POINT('',#191571); #43342=VERTEX_POINT('',#191594); #43343=VERTEX_POINT('',#191610); #43344=VERTEX_POINT('',#191614); #43345=VERTEX_POINT('',#191616); #43346=VERTEX_POINT('',#191618); #43347=VERTEX_POINT('',#191625); #43348=VERTEX_POINT('',#191627); #43349=VERTEX_POINT('',#191646); #43350=VERTEX_POINT('',#191647); #43351=VERTEX_POINT('',#191670); #43352=VERTEX_POINT('',#191686); #43353=VERTEX_POINT('',#191690); #43354=VERTEX_POINT('',#191714); #43355=VERTEX_POINT('',#191716); #43356=VERTEX_POINT('',#191723); #43357=VERTEX_POINT('',#191725); #43358=VERTEX_POINT('',#191744); #43359=VERTEX_POINT('',#191745); #43360=VERTEX_POINT('',#191768); #43361=VERTEX_POINT('',#191784); #43362=VERTEX_POINT('',#191792); #43363=VERTEX_POINT('',#191793); #43364=VERTEX_POINT('',#191795); #43365=VERTEX_POINT('',#191797); #43366=VERTEX_POINT('',#191799); #43367=VERTEX_POINT('',#191807); #43368=VERTEX_POINT('',#191815); #43369=VERTEX_POINT('',#191817); #43370=VERTEX_POINT('',#191819); #43371=VERTEX_POINT('',#191821); #43372=VERTEX_POINT('',#191823); #43373=VERTEX_POINT('',#191825); #43374=VERTEX_POINT('',#191827); #43375=VERTEX_POINT('',#191834); #43376=VERTEX_POINT('',#191842); #43377=VERTEX_POINT('',#191844); #43378=VERTEX_POINT('',#191848); #43379=VERTEX_POINT('',#191850); #43380=VERTEX_POINT('',#191854); #43381=VERTEX_POINT('',#191858); #43382=VERTEX_POINT('',#191867); #43383=VERTEX_POINT('',#191882); #43384=VERTEX_POINT('',#191892); #43385=VERTEX_POINT('',#191896); #43386=VERTEX_POINT('',#191900); #43387=VERTEX_POINT('',#191904); #43388=VERTEX_POINT('',#191908); #43389=VERTEX_POINT('',#191912); #43390=VERTEX_POINT('',#191921); #43391=VERTEX_POINT('',#191935); #43392=VERTEX_POINT('',#191945); #43393=VERTEX_POINT('',#191949); #43394=VERTEX_POINT('',#191955); #43395=VERTEX_POINT('',#191956); #43396=VERTEX_POINT('',#191958); #43397=VERTEX_POINT('',#191960); #43398=VERTEX_POINT('',#191964); #43399=VERTEX_POINT('',#191966); #43400=VERTEX_POINT('',#191970); #43401=VERTEX_POINT('',#191972); #43402=VERTEX_POINT('',#191976); #43403=VERTEX_POINT('',#191978); #43404=VERTEX_POINT('',#191982); #43405=VERTEX_POINT('',#191984); #43406=VERTEX_POINT('',#191992); #43407=VERTEX_POINT('',#191993); #43408=VERTEX_POINT('',#191995); #43409=VERTEX_POINT('',#191997); #43410=VERTEX_POINT('',#191999); #43411=VERTEX_POINT('',#192001); #43412=VERTEX_POINT('',#192005); #43413=VERTEX_POINT('',#192007); #43414=VERTEX_POINT('',#192011); #43415=VERTEX_POINT('',#192015); #43416=VERTEX_POINT('',#192019); #43417=VERTEX_POINT('',#192023); #43418=VERTEX_POINT('',#192029); #43419=VERTEX_POINT('',#192030); #43420=VERTEX_POINT('',#192032); #43421=VERTEX_POINT('',#192034); #43422=VERTEX_POINT('',#192038); #43423=VERTEX_POINT('',#192040); #43424=VERTEX_POINT('',#192044); #43425=VERTEX_POINT('',#192046); #43426=VERTEX_POINT('',#192050); #43427=VERTEX_POINT('',#192052); #43428=VERTEX_POINT('',#192056); #43429=VERTEX_POINT('',#192058); #43430=VERTEX_POINT('',#192066); #43431=VERTEX_POINT('',#192067); #43432=VERTEX_POINT('',#192069); #43433=VERTEX_POINT('',#192071); #43434=VERTEX_POINT('',#192073); #43435=VERTEX_POINT('',#192075); #43436=VERTEX_POINT('',#192079); #43437=VERTEX_POINT('',#192081); #43438=VERTEX_POINT('',#192085); #43439=VERTEX_POINT('',#192089); #43440=VERTEX_POINT('',#192093); #43441=VERTEX_POINT('',#192097); #43442=VERTEX_POINT('',#192103); #43443=VERTEX_POINT('',#192104); #43444=VERTEX_POINT('',#192106); #43445=VERTEX_POINT('',#192108); #43446=VERTEX_POINT('',#192112); #43447=VERTEX_POINT('',#192114); #43448=VERTEX_POINT('',#192118); #43449=VERTEX_POINT('',#192120); #43450=VERTEX_POINT('',#192129); #43451=VERTEX_POINT('',#192137); #43452=VERTEX_POINT('',#192152); #43453=VERTEX_POINT('',#192159); #43454=VERTEX_POINT('',#192168); #43455=VERTEX_POINT('',#192170); #43456=VERTEX_POINT('',#192174); #43457=VERTEX_POINT('',#192176); #43458=VERTEX_POINT('',#192180); #43459=VERTEX_POINT('',#192182); #43460=VERTEX_POINT('',#192186); #43461=VERTEX_POINT('',#192188); #43462=VERTEX_POINT('',#192192); #43463=VERTEX_POINT('',#192194); #43464=VERTEX_POINT('',#192198); #43465=VERTEX_POINT('',#192200); #43466=VERTEX_POINT('',#192209); #43467=VERTEX_POINT('',#192217); #43468=VERTEX_POINT('',#192232); #43469=VERTEX_POINT('',#192240); #43470=VERTEX_POINT('',#192250); #43471=VERTEX_POINT('',#192252); #43472=VERTEX_POINT('',#192256); #43473=VERTEX_POINT('',#192258); #43474=VERTEX_POINT('',#192266); #43475=VERTEX_POINT('',#192267); #43476=VERTEX_POINT('',#192269); #43477=VERTEX_POINT('',#192271); #43478=VERTEX_POINT('',#192273); #43479=VERTEX_POINT('',#192281); #43480=VERTEX_POINT('',#192289); #43481=VERTEX_POINT('',#192291); #43482=VERTEX_POINT('',#192293); #43483=VERTEX_POINT('',#192295); #43484=VERTEX_POINT('',#192297); #43485=VERTEX_POINT('',#192299); #43486=VERTEX_POINT('',#192301); #43487=VERTEX_POINT('',#192308); #43488=VERTEX_POINT('',#192316); #43489=VERTEX_POINT('',#192318); #43490=VERTEX_POINT('',#192322); #43491=VERTEX_POINT('',#192324); #43492=VERTEX_POINT('',#192328); #43493=VERTEX_POINT('',#192332); #43494=VERTEX_POINT('',#192341); #43495=VERTEX_POINT('',#192356); #43496=VERTEX_POINT('',#192366); #43497=VERTEX_POINT('',#192370); #43498=VERTEX_POINT('',#192374); #43499=VERTEX_POINT('',#192378); #43500=VERTEX_POINT('',#192382); #43501=VERTEX_POINT('',#192386); #43502=VERTEX_POINT('',#192395); #43503=VERTEX_POINT('',#192409); #43504=VERTEX_POINT('',#192419); #43505=VERTEX_POINT('',#192423); #43506=VERTEX_POINT('',#192429); #43507=VERTEX_POINT('',#192430); #43508=VERTEX_POINT('',#192432); #43509=VERTEX_POINT('',#192434); #43510=VERTEX_POINT('',#192438); #43511=VERTEX_POINT('',#192440); #43512=VERTEX_POINT('',#192444); #43513=VERTEX_POINT('',#192446); #43514=VERTEX_POINT('',#192455); #43515=VERTEX_POINT('',#192463); #43516=VERTEX_POINT('',#192478); #43517=VERTEX_POINT('',#192485); #43518=VERTEX_POINT('',#192494); #43519=VERTEX_POINT('',#192496); #43520=VERTEX_POINT('',#192500); #43521=VERTEX_POINT('',#192502); #43522=VERTEX_POINT('',#192506); #43523=VERTEX_POINT('',#192508); #43524=VERTEX_POINT('',#192512); #43525=VERTEX_POINT('',#192514); #43526=VERTEX_POINT('',#192518); #43527=VERTEX_POINT('',#192520); #43528=VERTEX_POINT('',#192524); #43529=VERTEX_POINT('',#192526); #43530=VERTEX_POINT('',#192535); #43531=VERTEX_POINT('',#192543); #43532=VERTEX_POINT('',#192558); #43533=VERTEX_POINT('',#192566); #43534=VERTEX_POINT('',#192576); #43535=VERTEX_POINT('',#192578); #43536=VERTEX_POINT('',#192582); #43537=VERTEX_POINT('',#192584); #43538=VERTEX_POINT('',#192592); #43539=VERTEX_POINT('',#192593); #43540=VERTEX_POINT('',#192595); #43541=VERTEX_POINT('',#192597); #43542=VERTEX_POINT('',#192599); #43543=VERTEX_POINT('',#192607); #43544=VERTEX_POINT('',#192615); #43545=VERTEX_POINT('',#192617); #43546=VERTEX_POINT('',#192619); #43547=VERTEX_POINT('',#192621); #43548=VERTEX_POINT('',#192623); #43549=VERTEX_POINT('',#192625); #43550=VERTEX_POINT('',#192627); #43551=VERTEX_POINT('',#192634); #43552=VERTEX_POINT('',#192642); #43553=VERTEX_POINT('',#192644); #43554=VERTEX_POINT('',#192648); #43555=VERTEX_POINT('',#192650); #43556=VERTEX_POINT('',#192654); #43557=VERTEX_POINT('',#192658); #43558=VERTEX_POINT('',#192667); #43559=VERTEX_POINT('',#192682); #43560=VERTEX_POINT('',#192692); #43561=VERTEX_POINT('',#192696); #43562=VERTEX_POINT('',#192700); #43563=VERTEX_POINT('',#192704); #43564=VERTEX_POINT('',#192708); #43565=VERTEX_POINT('',#192712); #43566=VERTEX_POINT('',#192721); #43567=VERTEX_POINT('',#192735); #43568=VERTEX_POINT('',#192745); #43569=VERTEX_POINT('',#192749); #43570=VERTEX_POINT('',#192755); #43571=VERTEX_POINT('',#192756); #43572=VERTEX_POINT('',#192758); #43573=VERTEX_POINT('',#192760); #43574=VERTEX_POINT('',#192764); #43575=VERTEX_POINT('',#192766); #43576=VERTEX_POINT('',#192770); #43577=VERTEX_POINT('',#192772); #43578=VERTEX_POINT('',#192781); #43579=VERTEX_POINT('',#192789); #43580=VERTEX_POINT('',#192804); #43581=VERTEX_POINT('',#192811); #43582=VERTEX_POINT('',#192820); #43583=VERTEX_POINT('',#192822); #43584=VERTEX_POINT('',#192826); #43585=VERTEX_POINT('',#192828); #43586=VERTEX_POINT('',#192832); #43587=VERTEX_POINT('',#192834); #43588=VERTEX_POINT('',#192838); #43589=VERTEX_POINT('',#192840); #43590=VERTEX_POINT('',#192844); #43591=VERTEX_POINT('',#192846); #43592=VERTEX_POINT('',#192850); #43593=VERTEX_POINT('',#192852); #43594=VERTEX_POINT('',#192861); #43595=VERTEX_POINT('',#192869); #43596=VERTEX_POINT('',#192884); #43597=VERTEX_POINT('',#192892); #43598=VERTEX_POINT('',#192902); #43599=VERTEX_POINT('',#192904); #43600=VERTEX_POINT('',#192908); #43601=VERTEX_POINT('',#192910); #43602=VERTEX_POINT('',#192918); #43603=VERTEX_POINT('',#192919); #43604=VERTEX_POINT('',#192921); #43605=VERTEX_POINT('',#192923); #43606=VERTEX_POINT('',#192925); #43607=VERTEX_POINT('',#192933); #43608=VERTEX_POINT('',#192941); #43609=VERTEX_POINT('',#192943); #43610=VERTEX_POINT('',#192945); #43611=VERTEX_POINT('',#192947); #43612=VERTEX_POINT('',#192949); #43613=VERTEX_POINT('',#192951); #43614=VERTEX_POINT('',#192953); #43615=VERTEX_POINT('',#192960); #43616=VERTEX_POINT('',#192968); #43617=VERTEX_POINT('',#192970); #43618=VERTEX_POINT('',#192974); #43619=VERTEX_POINT('',#192976); #43620=VERTEX_POINT('',#192980); #43621=VERTEX_POINT('',#192984); #43622=VERTEX_POINT('',#192993); #43623=VERTEX_POINT('',#193008); #43624=VERTEX_POINT('',#193018); #43625=VERTEX_POINT('',#193022); #43626=VERTEX_POINT('',#193026); #43627=VERTEX_POINT('',#193030); #43628=VERTEX_POINT('',#193034); #43629=VERTEX_POINT('',#193038); #43630=VERTEX_POINT('',#193047); #43631=VERTEX_POINT('',#193061); #43632=VERTEX_POINT('',#193071); #43633=VERTEX_POINT('',#193075); #43634=VERTEX_POINT('',#193081); #43635=VERTEX_POINT('',#193082); #43636=VERTEX_POINT('',#193084); #43637=VERTEX_POINT('',#193086); #43638=VERTEX_POINT('',#193090); #43639=VERTEX_POINT('',#193092); #43640=VERTEX_POINT('',#193096); #43641=VERTEX_POINT('',#193098); #43642=VERTEX_POINT('',#193107); #43643=VERTEX_POINT('',#193115); #43644=VERTEX_POINT('',#193130); #43645=VERTEX_POINT('',#193137); #43646=VERTEX_POINT('',#193146); #43647=VERTEX_POINT('',#193148); #43648=VERTEX_POINT('',#193152); #43649=VERTEX_POINT('',#193154); #43650=VERTEX_POINT('',#193158); #43651=VERTEX_POINT('',#193160); #43652=VERTEX_POINT('',#193164); #43653=VERTEX_POINT('',#193166); #43654=VERTEX_POINT('',#193170); #43655=VERTEX_POINT('',#193172); #43656=VERTEX_POINT('',#193176); #43657=VERTEX_POINT('',#193178); #43658=VERTEX_POINT('',#193187); #43659=VERTEX_POINT('',#193195); #43660=VERTEX_POINT('',#193210); #43661=VERTEX_POINT('',#193218); #43662=VERTEX_POINT('',#193228); #43663=VERTEX_POINT('',#193230); #43664=VERTEX_POINT('',#193234); #43665=VERTEX_POINT('',#193236); #43666=VERTEX_POINT('',#193244); #43667=VERTEX_POINT('',#193245); #43668=VERTEX_POINT('',#193247); #43669=VERTEX_POINT('',#193249); #43670=VERTEX_POINT('',#193251); #43671=VERTEX_POINT('',#193259); #43672=VERTEX_POINT('',#193267); #43673=VERTEX_POINT('',#193269); #43674=VERTEX_POINT('',#193271); #43675=VERTEX_POINT('',#193273); #43676=VERTEX_POINT('',#193275); #43677=VERTEX_POINT('',#193277); #43678=VERTEX_POINT('',#193279); #43679=VERTEX_POINT('',#193286); #43680=VERTEX_POINT('',#193294); #43681=VERTEX_POINT('',#193296); #43682=VERTEX_POINT('',#193300); #43683=VERTEX_POINT('',#193302); #43684=VERTEX_POINT('',#193306); #43685=VERTEX_POINT('',#193310); #43686=VERTEX_POINT('',#193319); #43687=VERTEX_POINT('',#193334); #43688=VERTEX_POINT('',#193344); #43689=VERTEX_POINT('',#193348); #43690=VERTEX_POINT('',#193352); #43691=VERTEX_POINT('',#193356); #43692=VERTEX_POINT('',#193360); #43693=VERTEX_POINT('',#193364); #43694=VERTEX_POINT('',#193373); #43695=VERTEX_POINT('',#193387); #43696=VERTEX_POINT('',#193397); #43697=VERTEX_POINT('',#193401); #43698=VERTEX_POINT('',#193407); #43699=VERTEX_POINT('',#193408); #43700=VERTEX_POINT('',#193410); #43701=VERTEX_POINT('',#193412); #43702=VERTEX_POINT('',#193416); #43703=VERTEX_POINT('',#193418); #43704=VERTEX_POINT('',#193422); #43705=VERTEX_POINT('',#193424); #43706=VERTEX_POINT('',#193433); #43707=VERTEX_POINT('',#193441); #43708=VERTEX_POINT('',#193456); #43709=VERTEX_POINT('',#193463); #43710=VERTEX_POINT('',#193472); #43711=VERTEX_POINT('',#193474); #43712=VERTEX_POINT('',#193478); #43713=VERTEX_POINT('',#193480); #43714=VERTEX_POINT('',#193484); #43715=VERTEX_POINT('',#193486); #43716=VERTEX_POINT('',#193490); #43717=VERTEX_POINT('',#193492); #43718=VERTEX_POINT('',#193496); #43719=VERTEX_POINT('',#193498); #43720=VERTEX_POINT('',#193502); #43721=VERTEX_POINT('',#193504); #43722=VERTEX_POINT('',#193513); #43723=VERTEX_POINT('',#193521); #43724=VERTEX_POINT('',#193536); #43725=VERTEX_POINT('',#193544); #43726=VERTEX_POINT('',#193554); #43727=VERTEX_POINT('',#193556); #43728=VERTEX_POINT('',#193560); #43729=VERTEX_POINT('',#193562); #43730=VERTEX_POINT('',#193570); #43731=VERTEX_POINT('',#193571); #43732=VERTEX_POINT('',#193573); #43733=VERTEX_POINT('',#193575); #43734=VERTEX_POINT('',#193579); #43735=VERTEX_POINT('',#193590); #43736=VERTEX_POINT('',#193603); #43737=VERTEX_POINT('',#193605); #43738=VERTEX_POINT('',#193609); #43739=VERTEX_POINT('',#193620); #43740=VERTEX_POINT('',#193633); #43741=VERTEX_POINT('',#193644); #43742=VERTEX_POINT('',#193646); #43743=VERTEX_POINT('',#193659); #43744=VERTEX_POINT('',#193663); #43745=VERTEX_POINT('',#193676); #43746=VERTEX_POINT('',#193693); #43747=VERTEX_POINT('',#193694); #43748=VERTEX_POINT('',#193696); #43749=VERTEX_POINT('',#193698); #43750=VERTEX_POINT('',#193702); #43751=VERTEX_POINT('',#193704); #43752=VERTEX_POINT('',#193708); #43753=VERTEX_POINT('',#193710); #43754=VERTEX_POINT('',#193714); #43755=VERTEX_POINT('',#193716); #43756=VERTEX_POINT('',#193720); #43757=VERTEX_POINT('',#193722); #43758=VERTEX_POINT('',#193726); #43759=VERTEX_POINT('',#193728); #43760=VERTEX_POINT('',#193732); #43761=VERTEX_POINT('',#193734); #43762=VERTEX_POINT('',#193738); #43763=VERTEX_POINT('',#193740); #43764=VERTEX_POINT('',#193744); #43765=VERTEX_POINT('',#193746); #43766=VERTEX_POINT('',#193753); #43767=VERTEX_POINT('',#193754); #43768=VERTEX_POINT('',#193759); #43769=VERTEX_POINT('',#193763); #43770=VERTEX_POINT('',#193767); #43771=VERTEX_POINT('',#193771); #43772=VERTEX_POINT('',#193775); #43773=VERTEX_POINT('',#193779); #43774=VERTEX_POINT('',#193783); #43775=VERTEX_POINT('',#193787); #43776=VERTEX_POINT('',#193793); #43777=VERTEX_POINT('',#193794); #43778=VERTEX_POINT('',#193799); #43779=VERTEX_POINT('',#193803); #43780=VERTEX_POINT('',#193807); #43781=VERTEX_POINT('',#193811); #43782=VERTEX_POINT('',#193815); #43783=VERTEX_POINT('',#193819); #43784=VERTEX_POINT('',#193823); #43785=VERTEX_POINT('',#193827); #43786=VERTEX_POINT('',#193833); #43787=VERTEX_POINT('',#193834); #43788=VERTEX_POINT('',#193839); #43789=VERTEX_POINT('',#193843); #43790=VERTEX_POINT('',#193847); #43791=VERTEX_POINT('',#193851); #43792=VERTEX_POINT('',#193855); #43793=VERTEX_POINT('',#193859); #43794=VERTEX_POINT('',#193863); #43795=VERTEX_POINT('',#193867); #43796=VERTEX_POINT('',#193873); #43797=VERTEX_POINT('',#193874); #43798=VERTEX_POINT('',#193879); #43799=VERTEX_POINT('',#193883); #43800=VERTEX_POINT('',#193887); #43801=VERTEX_POINT('',#193891); #43802=VERTEX_POINT('',#193895); #43803=VERTEX_POINT('',#193899); #43804=VERTEX_POINT('',#193903); #43805=VERTEX_POINT('',#193907); #43806=VERTEX_POINT('',#193913); #43807=VERTEX_POINT('',#193914); #43808=VERTEX_POINT('',#193919); #43809=VERTEX_POINT('',#193923); #43810=VERTEX_POINT('',#193927); #43811=VERTEX_POINT('',#193931); #43812=VERTEX_POINT('',#193935); #43813=VERTEX_POINT('',#193939); #43814=VERTEX_POINT('',#193943); #43815=VERTEX_POINT('',#193947); #43816=VERTEX_POINT('',#193953); #43817=VERTEX_POINT('',#193954); #43818=VERTEX_POINT('',#193959); #43819=VERTEX_POINT('',#193963); #43820=VERTEX_POINT('',#193967); #43821=VERTEX_POINT('',#193971); #43822=VERTEX_POINT('',#193975); #43823=VERTEX_POINT('',#193979); #43824=VERTEX_POINT('',#193983); #43825=VERTEX_POINT('',#193987); #43826=EDGE_CURVE('',#35569,#35570,#32662,.T.); #43827=EDGE_CURVE('',#35569,#35571,#32663,.T.); #43828=EDGE_CURVE('',#35572,#35571,#29409,.T.); #43829=EDGE_CURVE('',#35570,#35572,#29410,.T.); #43830=EDGE_CURVE('',#35569,#35573,#32664,.T.); #43831=EDGE_CURVE('',#35573,#35574,#32665,.T.); #43832=EDGE_CURVE('',#35571,#35574,#29411,.T.); #43833=EDGE_CURVE('',#35569,#35575,#32666,.T.); #43834=EDGE_CURVE('',#35576,#35575,#29412,.T.); #43835=EDGE_CURVE('',#35576,#35573,#32667,.T.); #43836=EDGE_CURVE('',#35569,#35577,#32668,.T.); #43837=EDGE_CURVE('',#35578,#35577,#29413,.T.); #43838=EDGE_CURVE('',#35575,#35578,#29414,.T.); #43839=EDGE_CURVE('',#35569,#35579,#32669,.T.); #43840=EDGE_CURVE('',#35579,#35580,#32670,.T.); #43841=EDGE_CURVE('',#35577,#35580,#29415,.T.); #43842=EDGE_CURVE('',#35581,#35582,#4719,.T.); #43843=EDGE_CURVE('',#35582,#35583,#29416,.T.); #43844=EDGE_CURVE('',#35583,#35581,#32671,.T.); #43845=EDGE_CURVE('',#35584,#35585,#4720,.T.); #43846=EDGE_CURVE('',#35584,#35586,#32672,.T.); #43847=EDGE_CURVE('',#35586,#35587,#29417,.T.); #43848=EDGE_CURVE('',#35585,#35587,#32673,.T.); #43849=EDGE_CURVE('',#35588,#35589,#4721,.T.); #43850=EDGE_CURVE('',#35589,#35590,#32674,.T.); #43851=EDGE_CURVE('',#35590,#35591,#29418,.T.); #43852=EDGE_CURVE('',#35591,#35588,#32675,.T.); #43853=EDGE_CURVE('',#35592,#35593,#4722,.T.); #43854=EDGE_CURVE('',#35592,#35594,#32676,.T.); #43855=EDGE_CURVE('',#35595,#35594,#29419,.T.); #43856=EDGE_CURVE('',#35595,#35593,#32677,.T.); #43857=EDGE_CURVE('',#35596,#35597,#4723,.T.); #43858=EDGE_CURVE('',#35597,#35598,#29420,.T.); #43859=EDGE_CURVE('',#35598,#35596,#32678,.T.); #43860=EDGE_CURVE('',#35599,#35600,#4724,.T.); #43861=EDGE_CURVE('',#35599,#35601,#32679,.T.); #43862=EDGE_CURVE('',#35601,#35602,#29421,.T.); #43863=EDGE_CURVE('',#35600,#35602,#32680,.T.); #43864=EDGE_CURVE('',#35603,#35604,#4725,.T.); #43865=EDGE_CURVE('',#35603,#35605,#32681,.T.); #43866=EDGE_CURVE('',#35606,#35605,#29422,.T.); #43867=EDGE_CURVE('',#35606,#35604,#32682,.T.); #43868=EDGE_CURVE('',#35607,#35607,#29423,.T.); #43869=EDGE_CURVE('',#35607,#35608,#29424,.T.); #43870=EDGE_CURVE('',#35608,#35608,#29425,.T.); #43871=EDGE_CURVE('',#35609,#35610,#29426,.T.); #43872=EDGE_CURVE('',#35610,#35611,#29427,.T.); #43873=EDGE_CURVE('',#35611,#35612,#29428,.T.); #43874=EDGE_CURVE('',#35612,#35609,#29429,.T.); #43875=EDGE_CURVE('',#35613,#35614,#29430,.T.); #43876=EDGE_CURVE('',#35614,#35612,#29431,.T.); #43877=EDGE_CURVE('',#35611,#35613,#29432,.T.); #43878=EDGE_CURVE('',#35615,#35616,#29433,.T.); #43879=EDGE_CURVE('',#35616,#35614,#29434,.T.); #43880=EDGE_CURVE('',#35613,#35615,#29435,.T.); #43881=EDGE_CURVE('',#35617,#35618,#29436,.T.); #43882=EDGE_CURVE('',#35618,#35616,#29437,.T.); #43883=EDGE_CURVE('',#35615,#35617,#29438,.T.); #43884=EDGE_CURVE('',#35619,#35620,#29439,.T.); #43885=EDGE_CURVE('',#35620,#35618,#29440,.T.); #43886=EDGE_CURVE('',#35617,#35619,#29441,.T.); #43887=EDGE_CURVE('',#35621,#35622,#29442,.T.); #43888=EDGE_CURVE('',#35622,#35620,#29443,.T.); #43889=EDGE_CURVE('',#35619,#35621,#29444,.T.); #43890=EDGE_CURVE('',#35623,#35624,#29445,.T.); #43891=EDGE_CURVE('',#35624,#35622,#29446,.T.); #43892=EDGE_CURVE('',#35621,#35623,#29447,.T.); #43893=EDGE_CURVE('',#35609,#35624,#29448,.T.); #43894=EDGE_CURVE('',#35623,#35610,#29449,.T.); #43895=EDGE_CURVE('',#35625,#35615,#29450,.T.); #43896=EDGE_CURVE('',#35626,#35625,#29451,.T.); #43897=EDGE_CURVE('',#35626,#35617,#29452,.T.); #43898=EDGE_CURVE('',#35627,#35613,#29453,.T.); #43899=EDGE_CURVE('',#35625,#35627,#29454,.T.); #43900=EDGE_CURVE('',#35628,#35611,#29455,.T.); #43901=EDGE_CURVE('',#35627,#35628,#29456,.T.); #43902=EDGE_CURVE('',#35629,#35610,#29457,.T.); #43903=EDGE_CURVE('',#35628,#35629,#29458,.T.); #43904=EDGE_CURVE('',#35630,#35631,#29459,.T.); #43905=EDGE_CURVE('',#35631,#35632,#29460,.T.); #43906=EDGE_CURVE('',#35632,#35633,#29461,.T.); #43907=EDGE_CURVE('',#35633,#35634,#29462,.T.); #43908=EDGE_CURVE('',#35634,#35635,#29463,.T.); #43909=EDGE_CURVE('',#35635,#35636,#29464,.T.); #43910=EDGE_CURVE('',#35636,#35637,#29465,.T.); #43911=EDGE_CURVE('',#35637,#35630,#29466,.T.); #43912=EDGE_CURVE('',#35638,#35639,#29467,.T.); #43913=EDGE_CURVE('',#35639,#35640,#29468,.T.); #43914=EDGE_CURVE('',#35640,#35641,#29469,.T.); #43915=EDGE_CURVE('',#35641,#35638,#29470,.T.); #43916=EDGE_CURVE('',#35642,#35643,#29471,.T.); #43917=EDGE_CURVE('',#35643,#35641,#29472,.T.); #43918=EDGE_CURVE('',#35640,#35642,#29473,.T.); #43919=EDGE_CURVE('',#35644,#35645,#29474,.T.); #43920=EDGE_CURVE('',#35645,#35643,#29475,.T.); #43921=EDGE_CURVE('',#35642,#35644,#29476,.T.); #43922=EDGE_CURVE('',#35646,#35647,#29477,.T.); #43923=EDGE_CURVE('',#35647,#35645,#29478,.T.); #43924=EDGE_CURVE('',#35644,#35646,#29479,.T.); #43925=EDGE_CURVE('',#35648,#35649,#29480,.T.); #43926=EDGE_CURVE('',#35649,#35647,#29481,.T.); #43927=EDGE_CURVE('',#35646,#35648,#29482,.T.); #43928=EDGE_CURVE('',#35650,#35651,#29483,.T.); #43929=EDGE_CURVE('',#35651,#35649,#29484,.T.); #43930=EDGE_CURVE('',#35648,#35650,#29485,.T.); #43931=EDGE_CURVE('',#35652,#35653,#29486,.T.); #43932=EDGE_CURVE('',#35653,#35651,#29487,.T.); #43933=EDGE_CURVE('',#35650,#35652,#29488,.T.); #43934=EDGE_CURVE('',#35638,#35653,#29489,.T.); #43935=EDGE_CURVE('',#35652,#35639,#29490,.T.); #43936=EDGE_CURVE('',#35644,#35654,#4726,.T.); #43937=EDGE_CURVE('',#35655,#35654,#29491,.T.); #43938=EDGE_CURVE('',#35646,#35655,#4727,.T.); #43939=EDGE_CURVE('',#35642,#35656,#4728,.T.); #43940=EDGE_CURVE('',#35654,#35656,#29492,.T.); #43941=EDGE_CURVE('',#35640,#35657,#4729,.T.); #43942=EDGE_CURVE('',#35656,#35657,#29493,.T.); #43943=EDGE_CURVE('',#35639,#35658,#4730,.T.); #43944=EDGE_CURVE('',#35657,#35658,#29494,.T.); #43945=EDGE_CURVE('',#35659,#35660,#29495,.T.); #43946=EDGE_CURVE('',#35661,#35659,#29496,.T.); #43947=EDGE_CURVE('',#35662,#35661,#29497,.T.); #43948=EDGE_CURVE('',#35663,#35662,#29498,.T.); #43949=EDGE_CURVE('',#35664,#35663,#29499,.T.); #43950=EDGE_CURVE('',#35665,#35664,#29500,.T.); #43951=EDGE_CURVE('',#35666,#35665,#29501,.T.); #43952=EDGE_CURVE('',#35660,#35666,#29502,.T.); #43953=EDGE_CURVE('',#35667,#35668,#29503,.T.); #43954=EDGE_CURVE('',#35668,#35669,#29504,.T.); #43955=EDGE_CURVE('',#35669,#35670,#29505,.T.); #43956=EDGE_CURVE('',#35670,#35667,#29506,.T.); #43957=EDGE_CURVE('',#35671,#35672,#29507,.T.); #43958=EDGE_CURVE('',#35672,#35670,#29508,.T.); #43959=EDGE_CURVE('',#35669,#35671,#29509,.T.); #43960=EDGE_CURVE('',#35673,#35674,#29510,.T.); #43961=EDGE_CURVE('',#35674,#35672,#29511,.T.); #43962=EDGE_CURVE('',#35671,#35673,#29512,.T.); #43963=EDGE_CURVE('',#35675,#35676,#29513,.T.); #43964=EDGE_CURVE('',#35676,#35674,#29514,.T.); #43965=EDGE_CURVE('',#35673,#35675,#29515,.T.); #43966=EDGE_CURVE('',#35677,#35678,#29516,.T.); #43967=EDGE_CURVE('',#35678,#35676,#29517,.T.); #43968=EDGE_CURVE('',#35675,#35677,#29518,.T.); #43969=EDGE_CURVE('',#35679,#35680,#29519,.T.); #43970=EDGE_CURVE('',#35680,#35678,#29520,.T.); #43971=EDGE_CURVE('',#35677,#35679,#29521,.T.); #43972=EDGE_CURVE('',#35681,#35682,#29522,.T.); #43973=EDGE_CURVE('',#35682,#35680,#29523,.T.); #43974=EDGE_CURVE('',#35679,#35681,#29524,.T.); #43975=EDGE_CURVE('',#35667,#35682,#29525,.T.); #43976=EDGE_CURVE('',#35681,#35668,#29526,.T.); #43977=EDGE_CURVE('',#35680,#35683,#4731,.T.); #43978=EDGE_CURVE('',#35684,#35683,#29527,.T.); #43979=EDGE_CURVE('',#35678,#35684,#4732,.T.); #43980=EDGE_CURVE('',#35682,#35685,#4733,.T.); #43981=EDGE_CURVE('',#35683,#35685,#29528,.T.); #43982=EDGE_CURVE('',#35667,#35686,#4734,.T.); #43983=EDGE_CURVE('',#35685,#35686,#29529,.T.); #43984=EDGE_CURVE('',#35670,#35687,#4735,.T.); #43985=EDGE_CURVE('',#35686,#35687,#29530,.T.); #43986=EDGE_CURVE('',#35688,#35689,#32683,.T.); #43987=EDGE_CURVE('',#35690,#35688,#4736,.T.); #43988=EDGE_CURVE('',#35691,#35690,#32684,.T.); #43989=EDGE_CURVE('',#35692,#35691,#29531,.T.); #43990=EDGE_CURVE('',#35693,#35692,#32685,.T.); #43991=EDGE_CURVE('',#35694,#35693,#4737,.T.); #43992=EDGE_CURVE('',#35695,#35694,#32686,.T.); #43993=EDGE_CURVE('',#35696,#35695,#4738,.T.); #43994=EDGE_CURVE('',#35697,#35696,#32687,.T.); #43995=EDGE_CURVE('',#35698,#35697,#29532,.T.); #43996=EDGE_CURVE('',#35699,#35698,#29533,.T.); #43997=EDGE_CURVE('',#35700,#35699,#32688,.T.); #43998=EDGE_CURVE('',#35701,#35700,#4739,.T.); #43999=EDGE_CURVE('',#35702,#35701,#29534,.T.); #44000=EDGE_CURVE('',#35703,#35702,#4740,.T.); #44001=EDGE_CURVE('',#35704,#35703,#32689,.T.); #44002=EDGE_CURVE('',#35705,#35704,#29535,.T.); #44003=EDGE_CURVE('',#35706,#35705,#32690,.T.); #44004=EDGE_CURVE('',#35707,#35706,#4741,.T.); #44005=EDGE_CURVE('',#35708,#35707,#29536,.T.); #44006=EDGE_CURVE('',#35709,#35708,#4742,.T.); #44007=EDGE_CURVE('',#35710,#35709,#32691,.T.); #44008=EDGE_CURVE('',#35711,#35710,#29537,.T.); #44009=EDGE_CURVE('',#35712,#35711,#32692,.T.); #44010=EDGE_CURVE('',#35713,#35712,#4743,.T.); #44011=EDGE_CURVE('',#35714,#35713,#29538,.T.); #44012=EDGE_CURVE('',#35715,#35714,#4744,.T.); #44013=EDGE_CURVE('',#35716,#35715,#32693,.T.); #44014=EDGE_CURVE('',#35717,#35716,#29539,.T.); #44015=EDGE_CURVE('',#35718,#35717,#32694,.T.); #44016=EDGE_CURVE('',#35719,#35718,#4745,.T.); #44017=EDGE_CURVE('',#35720,#35719,#29540,.T.); #44018=EDGE_CURVE('',#35721,#35720,#4746,.T.); #44019=EDGE_CURVE('',#35722,#35721,#32695,.T.); #44020=EDGE_CURVE('',#35723,#35722,#29541,.T.); #44021=EDGE_CURVE('',#35724,#35723,#32696,.T.); #44022=EDGE_CURVE('',#35725,#35724,#4747,.T.); #44023=EDGE_CURVE('',#35726,#35725,#29542,.T.); #44024=EDGE_CURVE('',#35727,#35726,#4748,.T.); #44025=EDGE_CURVE('',#35728,#35727,#32697,.T.); #44026=EDGE_CURVE('',#35729,#35728,#29543,.T.); #44027=EDGE_CURVE('',#35730,#35729,#29544,.T.); #44028=EDGE_CURVE('',#35731,#35730,#32698,.T.); #44029=EDGE_CURVE('',#35732,#35731,#4749,.T.); #44030=EDGE_CURVE('',#35733,#35732,#32699,.T.); #44031=EDGE_CURVE('',#35734,#35733,#4750,.T.); #44032=EDGE_CURVE('',#35735,#35734,#32700,.T.); #44033=EDGE_CURVE('',#35736,#35735,#29545,.T.); #44034=EDGE_CURVE('',#35737,#35736,#32701,.T.); #44035=EDGE_CURVE('',#35738,#35737,#4751,.T.); #44036=EDGE_CURVE('',#35739,#35738,#32702,.T.); #44037=EDGE_CURVE('',#35739,#35740,#32703,.F.); #44038=EDGE_CURVE('',#35741,#35740,#32704,.T.); #44039=EDGE_CURVE('',#35742,#35741,#4752,.T.); #44040=EDGE_CURVE('',#35743,#35742,#32705,.T.); #44041=EDGE_CURVE('',#35744,#35743,#29546,.T.); #44042=EDGE_CURVE('',#35745,#35744,#32706,.T.); #44043=EDGE_CURVE('',#35746,#35745,#4753,.T.); #44044=EDGE_CURVE('',#35747,#35746,#4754,.T.); #44045=EDGE_CURVE('',#35748,#35747,#4755,.T.); #44046=EDGE_CURVE('',#35749,#35748,#32707,.T.); #44047=EDGE_CURVE('',#35750,#35749,#29547,.T.); #44048=EDGE_CURVE('',#35751,#35750,#29548,.T.); #44049=EDGE_CURVE('',#35752,#35751,#32708,.T.); #44050=EDGE_CURVE('',#35753,#35752,#4756,.T.); #44051=EDGE_CURVE('',#35754,#35753,#4757,.T.); #44052=EDGE_CURVE('',#35755,#35754,#4758,.T.); #44053=EDGE_CURVE('',#35756,#35755,#32709,.T.); #44054=EDGE_CURVE('',#35757,#35756,#29549,.T.); #44055=EDGE_CURVE('',#35758,#35757,#32710,.T.); #44056=EDGE_CURVE('',#35759,#35758,#4759,.T.); #44057=EDGE_CURVE('',#35760,#35759,#32711,.T.); #44058=EDGE_CURVE('',#35760,#35761,#32712,.F.); #44059=EDGE_CURVE('',#35762,#35761,#32713,.T.); #44060=EDGE_CURVE('',#35763,#35762,#4760,.T.); #44061=EDGE_CURVE('',#35764,#35763,#32714,.T.); #44062=EDGE_CURVE('',#35765,#35764,#29550,.T.); #44063=EDGE_CURVE('',#35766,#35765,#32715,.T.); #44064=EDGE_CURVE('',#35767,#35766,#4761,.T.); #44065=EDGE_CURVE('',#35768,#35767,#32716,.T.); #44066=EDGE_CURVE('',#35768,#35769,#32717,.F.); #44067=EDGE_CURVE('',#35770,#35769,#32718,.T.); #44068=EDGE_CURVE('',#35771,#35770,#4762,.T.); #44069=EDGE_CURVE('',#35772,#35771,#32719,.T.); #44070=EDGE_CURVE('',#35773,#35772,#29551,.T.); #44071=EDGE_CURVE('',#35774,#35773,#32720,.T.); #44072=EDGE_CURVE('',#35775,#35774,#4763,.T.); #44073=EDGE_CURVE('',#35776,#35775,#4764,.T.); #44074=EDGE_CURVE('',#35777,#35776,#4765,.T.); #44075=EDGE_CURVE('',#35778,#35777,#32721,.T.); #44076=EDGE_CURVE('',#35779,#35778,#29552,.T.); #44077=EDGE_CURVE('',#35780,#35779,#29553,.T.); #44078=EDGE_CURVE('',#35781,#35780,#32722,.T.); #44079=EDGE_CURVE('',#35782,#35781,#4766,.T.); #44080=EDGE_CURVE('',#35783,#35782,#4767,.T.); #44081=EDGE_CURVE('',#35784,#35783,#4768,.T.); #44082=EDGE_CURVE('',#35785,#35784,#32723,.T.); #44083=EDGE_CURVE('',#35786,#35785,#29554,.T.); #44084=EDGE_CURVE('',#35787,#35786,#32724,.T.); #44085=EDGE_CURVE('',#35788,#35787,#4769,.T.); #44086=EDGE_CURVE('',#35789,#35788,#32725,.T.); #44087=EDGE_CURVE('',#35789,#35689,#32726,.F.); #44088=EDGE_CURVE('',#35790,#35686,#29555,.T.); #44089=EDGE_CURVE('',#35687,#35791,#29556,.T.); #44090=EDGE_CURVE('',#35791,#35790,#29557,.T.); #44091=EDGE_CURVE('',#35687,#35792,#29558,.T.); #44092=EDGE_CURVE('',#35792,#35793,#29559,.T.); #44093=EDGE_CURVE('',#35793,#35791,#29560,.T.); #44094=EDGE_CURVE('',#35792,#35794,#29561,.T.); #44095=EDGE_CURVE('',#35794,#35795,#29562,.T.); #44096=EDGE_CURVE('',#35795,#35793,#29563,.T.); #44097=EDGE_CURVE('',#35794,#35796,#29564,.T.); #44098=EDGE_CURVE('',#35796,#35797,#29565,.T.); #44099=EDGE_CURVE('',#35797,#35795,#29566,.T.); #44100=EDGE_CURVE('',#35796,#35684,#29567,.T.); #44101=EDGE_CURVE('',#35684,#35798,#29568,.T.); #44102=EDGE_CURVE('',#35798,#35797,#29569,.T.); #44103=EDGE_CURVE('',#35683,#35799,#29570,.T.); #44104=EDGE_CURVE('',#35799,#35798,#29571,.T.); #44105=EDGE_CURVE('',#35685,#35800,#29572,.T.); #44106=EDGE_CURVE('',#35800,#35799,#29573,.T.); #44107=EDGE_CURVE('',#35790,#35800,#29574,.T.); #44108=EDGE_CURVE('',#35799,#35801,#29575,.T.); #44109=EDGE_CURVE('',#35802,#35801,#29576,.T.); #44110=EDGE_CURVE('',#35798,#35802,#29577,.T.); #44111=EDGE_CURVE('',#35800,#35803,#29578,.T.); #44112=EDGE_CURVE('',#35801,#35803,#29579,.T.); #44113=EDGE_CURVE('',#35790,#35804,#29580,.T.); #44114=EDGE_CURVE('',#35803,#35804,#29581,.T.); #44115=EDGE_CURVE('',#35791,#35805,#29582,.T.); #44116=EDGE_CURVE('',#35804,#35805,#29583,.T.); #44117=EDGE_CURVE('',#35676,#35796,#4770,.T.); #44118=EDGE_CURVE('',#35674,#35794,#4771,.T.); #44119=EDGE_CURVE('',#35672,#35792,#4772,.T.); #44120=EDGE_CURVE('',#35660,#35806,#29584,.T.); #44121=EDGE_CURVE('',#35806,#35807,#29585,.T.); #44122=EDGE_CURVE('',#35807,#35659,#29586,.T.); #44123=EDGE_CURVE('',#35808,#35661,#29587,.T.); #44124=EDGE_CURVE('',#35807,#35808,#29588,.T.); #44125=EDGE_CURVE('',#35809,#35662,#29589,.T.); #44126=EDGE_CURVE('',#35808,#35809,#29590,.T.); #44127=EDGE_CURVE('',#35810,#35663,#29591,.T.); #44128=EDGE_CURVE('',#35809,#35810,#29592,.T.); #44129=EDGE_CURVE('',#35811,#35664,#29593,.T.); #44130=EDGE_CURVE('',#35810,#35811,#29594,.T.); #44131=EDGE_CURVE('',#35812,#35665,#29595,.T.); #44132=EDGE_CURVE('',#35811,#35812,#29596,.T.); #44133=EDGE_CURVE('',#35813,#35666,#29597,.T.); #44134=EDGE_CURVE('',#35812,#35813,#29598,.T.); #44135=EDGE_CURVE('',#35813,#35806,#29599,.T.); #44136=EDGE_CURVE('',#35809,#35814,#4773,.T.); #44137=EDGE_CURVE('',#35815,#35814,#29600,.T.); #44138=EDGE_CURVE('',#35810,#35815,#4774,.T.); #44139=EDGE_CURVE('',#35808,#35816,#4775,.T.); #44140=EDGE_CURVE('',#35814,#35816,#29601,.T.); #44141=EDGE_CURVE('',#35807,#35817,#4776,.T.); #44142=EDGE_CURVE('',#35816,#35817,#29602,.T.); #44143=EDGE_CURVE('',#35806,#35818,#4777,.T.); #44144=EDGE_CURVE('',#35817,#35818,#29603,.T.); #44145=EDGE_CURVE('',#35803,#35819,#29604,.T.); #44146=EDGE_CURVE('',#35819,#35820,#29605,.T.); #44147=EDGE_CURVE('',#35820,#35801,#29606,.T.); #44148=EDGE_CURVE('',#35820,#35821,#29607,.T.); #44149=EDGE_CURVE('',#35821,#35802,#29608,.T.); #44150=EDGE_CURVE('',#35821,#35815,#29609,.T.); #44151=EDGE_CURVE('',#35815,#35822,#29610,.T.); #44152=EDGE_CURVE('',#35822,#35802,#29611,.T.); #44153=EDGE_CURVE('',#35814,#35823,#29612,.T.); #44154=EDGE_CURVE('',#35823,#35822,#29613,.T.); #44155=EDGE_CURVE('',#35816,#35824,#29614,.T.); #44156=EDGE_CURVE('',#35824,#35823,#29615,.T.); #44157=EDGE_CURVE('',#35817,#35805,#29616,.T.); #44158=EDGE_CURVE('',#35805,#35824,#29617,.T.); #44159=EDGE_CURVE('',#35818,#35804,#29618,.T.); #44160=EDGE_CURVE('',#35818,#35819,#29619,.T.); #44161=EDGE_CURVE('',#35793,#35824,#29620,.T.); #44162=EDGE_CURVE('',#35811,#35821,#4778,.T.); #44163=EDGE_CURVE('',#35812,#35820,#4779,.T.); #44164=EDGE_CURVE('',#35813,#35819,#4780,.T.); #44165=EDGE_CURVE('',#35825,#35826,#29621,.T.); #44166=EDGE_CURVE('',#35826,#35827,#32727,.T.); #44167=EDGE_CURVE('',#35827,#35825,#32728,.T.); #44168=EDGE_CURVE('',#35825,#35828,#32729,.T.); #44169=EDGE_CURVE('',#35828,#35829,#29622,.T.); #44170=EDGE_CURVE('',#35829,#35826,#32730,.T.); #44171=EDGE_CURVE('',#35830,#35831,#29623,.T.); #44172=EDGE_CURVE('',#35831,#35829,#32731,.T.); #44173=EDGE_CURVE('',#35828,#35830,#32732,.T.); #44174=EDGE_CURVE('',#35830,#35832,#32733,.T.); #44175=EDGE_CURVE('',#35832,#35833,#29624,.T.); #44176=EDGE_CURVE('',#35833,#35831,#32734,.T.); #44177=EDGE_CURVE('',#35832,#35834,#32735,.T.); #44178=EDGE_CURVE('',#35834,#35833,#32736,.T.); #44179=EDGE_CURVE('',#35830,#35835,#32737,.T.); #44180=EDGE_CURVE('',#35835,#35836,#29625,.T.); #44181=EDGE_CURVE('',#35836,#35837,#32738,.T.); #44182=EDGE_CURVE('',#35834,#35837,#32739,.T.); #44183=EDGE_CURVE('',#35835,#35828,#32740,.T.); #44184=EDGE_CURVE('',#35838,#35827,#32741,.T.); #44185=EDGE_CURVE('',#35838,#35839,#32742,.T.); #44186=EDGE_CURVE('',#35839,#35835,#29626,.T.); #44187=EDGE_CURVE('',#35826,#35840,#32743,.T.); #44188=EDGE_CURVE('',#35841,#35840,#32744,.T.); #44189=EDGE_CURVE('',#35841,#35827,#32745,.T.); #44190=EDGE_CURVE('',#35840,#35842,#29627,.T.); #44191=EDGE_CURVE('',#35842,#35841,#32746,.T.); #44192=EDGE_CURVE('',#35840,#35843,#32747,.T.); #44193=EDGE_CURVE('',#35843,#35844,#29628,.T.); #44194=EDGE_CURVE('',#35844,#35842,#32748,.T.); #44195=EDGE_CURVE('',#35845,#35846,#29629,.T.); #44196=EDGE_CURVE('',#35846,#35844,#32749,.T.); #44197=EDGE_CURVE('',#35843,#35845,#32750,.T.); #44198=EDGE_CURVE('',#35847,#35848,#29630,.T.); #44199=EDGE_CURVE('',#35848,#35846,#32751,.T.); #44200=EDGE_CURVE('',#35845,#35847,#32752,.T.); #44201=EDGE_CURVE('',#35847,#35849,#32753,.T.); #44202=EDGE_CURVE('',#35849,#35848,#32754,.T.); #44203=EDGE_CURVE('',#35834,#35849,#32755,.T.); #44204=EDGE_CURVE('',#35847,#35833,#32756,.T.); #44205=EDGE_CURVE('',#35850,#35851,#29631,.T.); #44206=EDGE_CURVE('',#35851,#35852,#32757,.T.); #44207=EDGE_CURVE('',#35852,#35850,#32758,.T.); #44208=EDGE_CURVE('',#35850,#35853,#32759,.T.); #44209=EDGE_CURVE('',#35853,#35854,#29632,.T.); #44210=EDGE_CURVE('',#35854,#35851,#32760,.T.); #44211=EDGE_CURVE('',#35855,#35856,#29633,.T.); #44212=EDGE_CURVE('',#35856,#35854,#4781,.T.); #44213=EDGE_CURVE('',#35853,#35855,#4782,.T.); #44214=EDGE_CURVE('',#35855,#35857,#32761,.T.); #44215=EDGE_CURVE('',#35857,#35858,#29634,.T.); #44216=EDGE_CURVE('',#35858,#35856,#32762,.T.); #44217=EDGE_CURVE('',#35857,#35859,#32763,.T.); #44218=EDGE_CURVE('',#35859,#35858,#32764,.T.); #44219=EDGE_CURVE('',#35855,#35860,#1025,.T.); #44220=EDGE_CURVE('',#35860,#35861,#29635,.T.); #44221=EDGE_CURVE('',#35861,#35859,#1026,.T.); #44222=EDGE_CURVE('',#35860,#35853,#32765,.T.); #44223=EDGE_CURVE('',#35862,#35852,#32766,.T.); #44224=EDGE_CURVE('',#35862,#35863,#32767,.T.); #44225=EDGE_CURVE('',#35863,#35860,#29636,.T.); #44226=EDGE_CURVE('',#35851,#35864,#32768,.T.); #44227=EDGE_CURVE('',#35865,#35864,#32769,.T.); #44228=EDGE_CURVE('',#35865,#35852,#32770,.T.); #44229=EDGE_CURVE('',#35864,#35866,#29637,.T.); #44230=EDGE_CURVE('',#35866,#35865,#32771,.T.); #44231=EDGE_CURVE('',#35864,#35867,#32772,.T.); #44232=EDGE_CURVE('',#35867,#35868,#29638,.T.); #44233=EDGE_CURVE('',#35868,#35866,#32773,.T.); #44234=EDGE_CURVE('',#35869,#35870,#29639,.T.); #44235=EDGE_CURVE('',#35870,#35868,#4783,.T.); #44236=EDGE_CURVE('',#35867,#35869,#4784,.T.); #44237=EDGE_CURVE('',#35871,#35872,#29640,.T.); #44238=EDGE_CURVE('',#35872,#35870,#32774,.T.); #44239=EDGE_CURVE('',#35869,#35871,#32775,.T.); #44240=EDGE_CURVE('',#35871,#35873,#32776,.T.); #44241=EDGE_CURVE('',#35873,#35872,#32777,.T.); #44242=EDGE_CURVE('',#35859,#35873,#1027,.T.); #44243=EDGE_CURVE('',#35871,#35858,#1028,.T.); #44244=EDGE_CURVE('',#35874,#35875,#29641,.T.); #44245=EDGE_CURVE('',#35875,#35876,#32778,.T.); #44246=EDGE_CURVE('',#35876,#35874,#32779,.T.); #44247=EDGE_CURVE('',#35874,#35877,#32780,.T.); #44248=EDGE_CURVE('',#35877,#35878,#29642,.T.); #44249=EDGE_CURVE('',#35878,#35875,#32781,.T.); #44250=EDGE_CURVE('',#35879,#35880,#29643,.T.); #44251=EDGE_CURVE('',#35880,#35878,#4785,.T.); #44252=EDGE_CURVE('',#35877,#35879,#4786,.T.); #44253=EDGE_CURVE('',#35879,#35881,#32782,.T.); #44254=EDGE_CURVE('',#35881,#35882,#29644,.T.); #44255=EDGE_CURVE('',#35882,#35880,#32783,.T.); #44256=EDGE_CURVE('',#35881,#35883,#32784,.T.); #44257=EDGE_CURVE('',#35883,#35882,#32785,.T.); #44258=EDGE_CURVE('',#35879,#35884,#1029,.T.); #44259=EDGE_CURVE('',#35884,#35885,#29645,.T.); #44260=EDGE_CURVE('',#35885,#35883,#1030,.T.); #44261=EDGE_CURVE('',#35884,#35877,#32786,.T.); #44262=EDGE_CURVE('',#35886,#35876,#32787,.T.); #44263=EDGE_CURVE('',#35886,#35887,#32788,.T.); #44264=EDGE_CURVE('',#35887,#35884,#29646,.T.); #44265=EDGE_CURVE('',#35875,#35888,#32789,.T.); #44266=EDGE_CURVE('',#35889,#35888,#32790,.T.); #44267=EDGE_CURVE('',#35889,#35876,#32791,.T.); #44268=EDGE_CURVE('',#35888,#35890,#29647,.T.); #44269=EDGE_CURVE('',#35890,#35889,#32792,.T.); #44270=EDGE_CURVE('',#35888,#35891,#32793,.T.); #44271=EDGE_CURVE('',#35891,#35892,#29648,.T.); #44272=EDGE_CURVE('',#35892,#35890,#32794,.T.); #44273=EDGE_CURVE('',#35893,#35894,#29649,.T.); #44274=EDGE_CURVE('',#35894,#35892,#4787,.T.); #44275=EDGE_CURVE('',#35891,#35893,#4788,.T.); #44276=EDGE_CURVE('',#35895,#35896,#29650,.T.); #44277=EDGE_CURVE('',#35896,#35894,#32795,.T.); #44278=EDGE_CURVE('',#35893,#35895,#32796,.T.); #44279=EDGE_CURVE('',#35895,#35897,#32797,.T.); #44280=EDGE_CURVE('',#35897,#35896,#32798,.T.); #44281=EDGE_CURVE('',#35883,#35897,#1031,.T.); #44282=EDGE_CURVE('',#35895,#35882,#1032,.T.); #44283=EDGE_CURVE('',#35863,#35861,#29651,.T.); #44284=EDGE_CURVE('',#35897,#35898,#1033,.T.); #44285=EDGE_CURVE('',#35898,#35899,#29652,.T.); #44286=EDGE_CURVE('',#35899,#35894,#1034,.T.); #44287=EDGE_CURVE('',#35892,#35899,#32799,.T.); #44288=EDGE_CURVE('',#35899,#35900,#29653,.T.); #44289=EDGE_CURVE('',#35900,#35901,#32800,.T.); #44290=EDGE_CURVE('',#35889,#35901,#32801,.T.); #44291=EDGE_CURVE('',#35898,#35900,#29654,.T.); #44292=EDGE_CURVE('',#35902,#35849,#32802,.T.); #44293=EDGE_CURVE('',#35902,#35903,#32803,.T.); #44294=EDGE_CURVE('',#35903,#35904,#29655,.T.); #44295=EDGE_CURVE('',#35904,#35846,#32804,.T.); #44296=EDGE_CURVE('',#35844,#35904,#32805,.T.); #44297=EDGE_CURVE('',#35904,#35905,#29656,.T.); #44298=EDGE_CURVE('',#35905,#35906,#32806,.T.); #44299=EDGE_CURVE('',#35841,#35906,#32807,.T.); #44300=EDGE_CURVE('',#35903,#35905,#29657,.T.); #44301=EDGE_CURVE('',#35887,#35885,#29658,.T.); #44302=EDGE_CURVE('',#35839,#35836,#29659,.T.); #44303=EDGE_CURVE('',#35873,#35907,#1035,.T.); #44304=EDGE_CURVE('',#35907,#35908,#29660,.T.); #44305=EDGE_CURVE('',#35908,#35870,#1036,.T.); #44306=EDGE_CURVE('',#35868,#35908,#32808,.T.); #44307=EDGE_CURVE('',#35908,#35909,#29661,.T.); #44308=EDGE_CURVE('',#35909,#35910,#32809,.T.); #44309=EDGE_CURVE('',#35865,#35910,#32810,.T.); #44310=EDGE_CURVE('',#35907,#35909,#29662,.T.); #44311=EDGE_CURVE('',#35911,#35912,#29663,.T.); #44312=EDGE_CURVE('',#35912,#35913,#32811,.T.); #44313=EDGE_CURVE('',#35913,#35914,#29664,.T.); #44314=EDGE_CURVE('',#35914,#35911,#32812,.T.); #44315=EDGE_CURVE('',#35915,#35916,#29665,.T.); #44316=EDGE_CURVE('',#35916,#35912,#1037,.T.); #44317=EDGE_CURVE('',#35911,#35915,#1038,.T.); #44318=EDGE_CURVE('',#35917,#35918,#29666,.T.); #44319=EDGE_CURVE('',#35918,#35916,#32813,.T.); #44320=EDGE_CURVE('',#35915,#35917,#32814,.T.); #44321=EDGE_CURVE('',#35919,#35920,#29667,.T.); #44322=EDGE_CURVE('',#35920,#35918,#4789,.T.); #44323=EDGE_CURVE('',#35917,#35919,#4790,.T.); #44324=EDGE_CURVE('',#35921,#35922,#29668,.T.); #44325=EDGE_CURVE('',#35922,#35920,#32815,.T.); #44326=EDGE_CURVE('',#35919,#35921,#32816,.T.); #44327=EDGE_CURVE('',#35923,#35924,#29669,.T.); #44328=EDGE_CURVE('',#35924,#35922,#29670,.T.); #44329=EDGE_CURVE('',#35921,#35923,#29671,.T.); #44330=EDGE_CURVE('',#35925,#35926,#29672,.T.); #44331=EDGE_CURVE('',#35926,#35924,#32817,.T.); #44332=EDGE_CURVE('',#35923,#35925,#32818,.T.); #44333=EDGE_CURVE('',#35927,#35928,#29673,.T.); #44334=EDGE_CURVE('',#35928,#35926,#4791,.T.); #44335=EDGE_CURVE('',#35925,#35927,#4792,.T.); #44336=EDGE_CURVE('',#35929,#35930,#29674,.T.); #44337=EDGE_CURVE('',#35930,#35928,#32819,.T.); #44338=EDGE_CURVE('',#35927,#35929,#32820,.T.); #44339=EDGE_CURVE('',#35931,#35932,#29675,.T.); #44340=EDGE_CURVE('',#35932,#35930,#1039,.T.); #44341=EDGE_CURVE('',#35929,#35931,#1040,.T.); #44342=EDGE_CURVE('',#35933,#35934,#29676,.T.); #44343=EDGE_CURVE('',#35934,#35932,#32821,.T.); #44344=EDGE_CURVE('',#35931,#35933,#32822,.T.); #44345=EDGE_CURVE('',#35935,#35936,#29677,.T.); #44346=EDGE_CURVE('',#35936,#35934,#29678,.T.); #44347=EDGE_CURVE('',#35933,#35935,#29679,.T.); #44348=EDGE_CURVE('',#35937,#35938,#29680,.T.); #44349=EDGE_CURVE('',#35938,#35936,#32823,.T.); #44350=EDGE_CURVE('',#35935,#35937,#32824,.T.); #44351=EDGE_CURVE('',#35939,#35940,#29681,.T.); #44352=EDGE_CURVE('',#35940,#35938,#1041,.T.); #44353=EDGE_CURVE('',#35937,#35939,#1042,.T.); #44354=EDGE_CURVE('',#35941,#35942,#29682,.T.); #44355=EDGE_CURVE('',#35942,#35940,#32825,.T.); #44356=EDGE_CURVE('',#35939,#35941,#32826,.T.); #44357=EDGE_CURVE('',#35943,#35944,#29683,.T.); #44358=EDGE_CURVE('',#35944,#35942,#4793,.T.); #44359=EDGE_CURVE('',#35941,#35943,#4794,.T.); #44360=EDGE_CURVE('',#35945,#35946,#29684,.T.); #44361=EDGE_CURVE('',#35946,#35944,#32827,.T.); #44362=EDGE_CURVE('',#35943,#35945,#32828,.T.); #44363=EDGE_CURVE('',#35947,#35948,#29685,.T.); #44364=EDGE_CURVE('',#35948,#35946,#29686,.T.); #44365=EDGE_CURVE('',#35945,#35949,#29687,.T.); #44366=EDGE_CURVE('',#35949,#35947,#29688,.T.); #44367=EDGE_CURVE('',#35950,#35951,#29689,.T.); #44368=EDGE_CURVE('',#35951,#35948,#32829,.T.); #44369=EDGE_CURVE('',#35947,#35950,#32830,.T.); #44370=EDGE_CURVE('',#35952,#35953,#29690,.T.); #44371=EDGE_CURVE('',#35953,#35951,#4795,.T.); #44372=EDGE_CURVE('',#35950,#35952,#4796,.T.); #44373=EDGE_CURVE('',#35954,#35955,#29691,.T.); #44374=EDGE_CURVE('',#35955,#35953,#32831,.T.); #44375=EDGE_CURVE('',#35952,#35954,#32832,.T.); #44376=EDGE_CURVE('',#35956,#35957,#29692,.T.); #44377=EDGE_CURVE('',#35957,#35955,#1043,.T.); #44378=EDGE_CURVE('',#35954,#35956,#1044,.T.); #44379=EDGE_CURVE('',#35958,#35959,#29693,.T.); #44380=EDGE_CURVE('',#35959,#35957,#32833,.T.); #44381=EDGE_CURVE('',#35956,#35958,#32834,.T.); #44382=EDGE_CURVE('',#35960,#35961,#29694,.T.); #44383=EDGE_CURVE('',#35961,#35959,#29695,.T.); #44384=EDGE_CURVE('',#35958,#35960,#29696,.T.); #44385=EDGE_CURVE('',#35962,#35963,#29697,.T.); #44386=EDGE_CURVE('',#35963,#35961,#32835,.T.); #44387=EDGE_CURVE('',#35960,#35962,#32836,.T.); #44388=EDGE_CURVE('',#35964,#35965,#29698,.T.); #44389=EDGE_CURVE('',#35965,#35963,#1045,.T.); #44390=EDGE_CURVE('',#35962,#35964,#1046,.T.); #44391=EDGE_CURVE('',#35966,#35967,#29699,.T.); #44392=EDGE_CURVE('',#35967,#35965,#32837,.T.); #44393=EDGE_CURVE('',#35964,#35966,#32838,.T.); #44394=EDGE_CURVE('',#35968,#35969,#29700,.T.); #44395=EDGE_CURVE('',#35969,#35967,#4797,.T.); #44396=EDGE_CURVE('',#35966,#35968,#4798,.T.); #44397=EDGE_CURVE('',#35970,#35971,#29701,.T.); #44398=EDGE_CURVE('',#35971,#35969,#32839,.T.); #44399=EDGE_CURVE('',#35968,#35970,#32840,.T.); #44400=EDGE_CURVE('',#35972,#35973,#29702,.T.); #44401=EDGE_CURVE('',#35973,#35971,#29703,.T.); #44402=EDGE_CURVE('',#35970,#35972,#29704,.T.); #44403=EDGE_CURVE('',#35974,#35975,#29705,.T.); #44404=EDGE_CURVE('',#35975,#35973,#32841,.T.); #44405=EDGE_CURVE('',#35972,#35974,#32842,.T.); #44406=EDGE_CURVE('',#35976,#35977,#29706,.T.); #44407=EDGE_CURVE('',#35977,#35975,#4799,.T.); #44408=EDGE_CURVE('',#35974,#35976,#4800,.T.); #44409=EDGE_CURVE('',#35978,#35979,#29707,.T.); #44410=EDGE_CURVE('',#35979,#35977,#32843,.T.); #44411=EDGE_CURVE('',#35976,#35978,#32844,.T.); #44412=EDGE_CURVE('',#35980,#35981,#29708,.T.); #44413=EDGE_CURVE('',#35981,#35979,#1047,.T.); #44414=EDGE_CURVE('',#35978,#35980,#1048,.T.); #44415=EDGE_CURVE('',#35982,#35983,#29709,.T.); #44416=EDGE_CURVE('',#35983,#35981,#32845,.T.); #44417=EDGE_CURVE('',#35980,#35982,#32846,.T.); #44418=EDGE_CURVE('',#35984,#35985,#29710,.T.); #44419=EDGE_CURVE('',#35985,#35983,#29711,.T.); #44420=EDGE_CURVE('',#35982,#35984,#29712,.T.); #44421=EDGE_CURVE('',#35986,#35987,#29713,.T.); #44422=EDGE_CURVE('',#35987,#35985,#32847,.T.); #44423=EDGE_CURVE('',#35984,#35986,#32848,.T.); #44424=EDGE_CURVE('',#35988,#35989,#29714,.T.); #44425=EDGE_CURVE('',#35989,#35987,#1049,.T.); #44426=EDGE_CURVE('',#35986,#35988,#1050,.T.); #44427=EDGE_CURVE('',#35990,#35991,#29715,.T.); #44428=EDGE_CURVE('',#35991,#35989,#32849,.T.); #44429=EDGE_CURVE('',#35988,#35990,#32850,.T.); #44430=EDGE_CURVE('',#35992,#35993,#29716,.T.); #44431=EDGE_CURVE('',#35993,#35991,#4801,.T.); #44432=EDGE_CURVE('',#35990,#35992,#4802,.T.); #44433=EDGE_CURVE('',#35994,#35995,#29717,.T.); #44434=EDGE_CURVE('',#35995,#35993,#32851,.T.); #44435=EDGE_CURVE('',#35992,#35994,#32852,.T.); #44436=EDGE_CURVE('',#35996,#35997,#29718,.T.); #44437=EDGE_CURVE('',#35997,#35995,#29719,.T.); #44438=EDGE_CURVE('',#35994,#35996,#29720,.T.); #44439=EDGE_CURVE('',#35998,#35999,#29721,.T.); #44440=EDGE_CURVE('',#35999,#35997,#32853,.T.); #44441=EDGE_CURVE('',#35996,#35998,#32854,.T.); #44442=EDGE_CURVE('',#36000,#36001,#29722,.T.); #44443=EDGE_CURVE('',#36001,#35999,#4803,.T.); #44444=EDGE_CURVE('',#35998,#36000,#4804,.T.); #44445=EDGE_CURVE('',#36002,#36003,#29723,.T.); #44446=EDGE_CURVE('',#36003,#36001,#32855,.T.); #44447=EDGE_CURVE('',#36000,#36002,#32856,.T.); #44448=EDGE_CURVE('',#36004,#36005,#29724,.T.); #44449=EDGE_CURVE('',#36005,#36003,#1051,.T.); #44450=EDGE_CURVE('',#36002,#36004,#1052,.T.); #44451=EDGE_CURVE('',#36006,#36007,#29725,.T.); #44452=EDGE_CURVE('',#36007,#36005,#32857,.T.); #44453=EDGE_CURVE('',#36004,#36006,#32858,.T.); #44454=EDGE_CURVE('',#35913,#36007,#29726,.T.); #44455=EDGE_CURVE('',#36006,#35914,#29727,.T.); #44456=EDGE_CURVE('',#36008,#35965,#4805,.T.); #44457=EDGE_CURVE('',#36009,#36008,#1053,.T.); #44458=EDGE_CURVE('',#35963,#36009,#4806,.T.); #44459=EDGE_CURVE('',#35967,#36010,#4807,.T.); #44460=EDGE_CURVE('',#36008,#36010,#32859,.T.); #44461=EDGE_CURVE('',#36011,#35969,#4808,.T.); #44462=EDGE_CURVE('',#36010,#36011,#32860,.T.); #44463=EDGE_CURVE('',#35971,#36012,#4809,.T.); #44464=EDGE_CURVE('',#36011,#36012,#32861,.T.); #44465=EDGE_CURVE('',#36013,#35973,#4810,.T.); #44466=EDGE_CURVE('',#36012,#36013,#29728,.T.); #44467=EDGE_CURVE('',#35975,#36014,#4811,.T.); #44468=EDGE_CURVE('',#36013,#36014,#32862,.T.); #44469=EDGE_CURVE('',#36015,#35977,#4812,.T.); #44470=EDGE_CURVE('',#36014,#36015,#32863,.T.); #44471=EDGE_CURVE('',#35979,#36016,#4813,.T.); #44472=EDGE_CURVE('',#36015,#36016,#32864,.T.); #44473=EDGE_CURVE('',#36017,#35981,#4814,.T.); #44474=EDGE_CURVE('',#36016,#36017,#1054,.T.); #44475=EDGE_CURVE('',#35983,#36018,#4815,.T.); #44476=EDGE_CURVE('',#36017,#36018,#32865,.T.); #44477=EDGE_CURVE('',#36019,#35985,#4816,.T.); #44478=EDGE_CURVE('',#36018,#36019,#29729,.T.); #44479=EDGE_CURVE('',#35987,#36020,#4817,.T.); #44480=EDGE_CURVE('',#36019,#36020,#32866,.T.); #44481=EDGE_CURVE('',#36021,#35989,#4818,.T.); #44482=EDGE_CURVE('',#36020,#36021,#1055,.T.); #44483=EDGE_CURVE('',#35991,#36022,#4819,.T.); #44484=EDGE_CURVE('',#36021,#36022,#32867,.T.); #44485=EDGE_CURVE('',#36023,#35993,#4820,.T.); #44486=EDGE_CURVE('',#36022,#36023,#32868,.T.); #44487=EDGE_CURVE('',#35995,#36024,#4821,.T.); #44488=EDGE_CURVE('',#36023,#36024,#32869,.T.); #44489=EDGE_CURVE('',#36025,#35997,#4822,.T.); #44490=EDGE_CURVE('',#36024,#36025,#29730,.T.); #44491=EDGE_CURVE('',#35999,#36026,#4823,.T.); #44492=EDGE_CURVE('',#36025,#36026,#32870,.T.); #44493=EDGE_CURVE('',#36027,#36001,#4824,.T.); #44494=EDGE_CURVE('',#36026,#36027,#32871,.T.); #44495=EDGE_CURVE('',#36003,#36028,#4825,.T.); #44496=EDGE_CURVE('',#36027,#36028,#32872,.T.); #44497=EDGE_CURVE('',#36029,#36005,#4826,.T.); #44498=EDGE_CURVE('',#36028,#36029,#1056,.T.); #44499=EDGE_CURVE('',#36007,#36030,#4827,.T.); #44500=EDGE_CURVE('',#36029,#36030,#32873,.T.); #44501=EDGE_CURVE('',#36031,#35913,#4828,.T.); #44502=EDGE_CURVE('',#36030,#36031,#29731,.T.); #44503=EDGE_CURVE('',#35912,#36032,#4829,.T.); #44504=EDGE_CURVE('',#36031,#36032,#32874,.T.); #44505=EDGE_CURVE('',#36033,#36034,#29732,.T.); #44506=EDGE_CURVE('',#36033,#35949,#4830,.T.); #44507=EDGE_CURVE('',#36034,#36033,#29733,.T.); #44508=EDGE_CURVE('',#36035,#36029,#29734,.T.); #44509=EDGE_CURVE('',#36030,#36036,#29735,.T.); #44510=EDGE_CURVE('',#36036,#36035,#32875,.T.); #44511=EDGE_CURVE('',#36037,#36028,#29736,.T.); #44512=EDGE_CURVE('',#36035,#36037,#32876,.T.); #44513=EDGE_CURVE('',#36038,#36027,#29737,.T.); #44514=EDGE_CURVE('',#36037,#36038,#32877,.T.); #44515=EDGE_CURVE('',#36038,#36039,#32878,.T.); #44516=EDGE_CURVE('',#36039,#36026,#29738,.T.); #44517=EDGE_CURVE('',#36040,#36025,#29739,.T.); #44518=EDGE_CURVE('',#36039,#36040,#32879,.T.); #44519=EDGE_CURVE('',#36041,#36024,#29740,.T.); #44520=EDGE_CURVE('',#36040,#36041,#29741,.T.); #44521=EDGE_CURVE('',#36042,#36023,#29742,.T.); #44522=EDGE_CURVE('',#36041,#36042,#32880,.T.); #44523=EDGE_CURVE('',#36042,#36043,#32881,.T.); #44524=EDGE_CURVE('',#36043,#36022,#29743,.T.); #44525=EDGE_CURVE('',#36044,#36021,#29744,.T.); #44526=EDGE_CURVE('',#36043,#36044,#32882,.T.); #44527=EDGE_CURVE('',#36045,#36020,#29745,.T.); #44528=EDGE_CURVE('',#36044,#36045,#32883,.T.); #44529=EDGE_CURVE('',#36046,#36019,#29746,.T.); #44530=EDGE_CURVE('',#36045,#36046,#32884,.T.); #44531=EDGE_CURVE('',#36047,#36018,#29747,.T.); #44532=EDGE_CURVE('',#36046,#36047,#29748,.T.); #44533=EDGE_CURVE('',#36048,#36017,#29749,.T.); #44534=EDGE_CURVE('',#36047,#36048,#32885,.T.); #44535=EDGE_CURVE('',#36049,#36016,#29750,.T.); #44536=EDGE_CURVE('',#36048,#36049,#32886,.T.); #44537=EDGE_CURVE('',#36050,#36015,#29751,.T.); #44538=EDGE_CURVE('',#36049,#36050,#32887,.T.); #44539=EDGE_CURVE('',#36050,#36051,#32888,.T.); #44540=EDGE_CURVE('',#36051,#36014,#29752,.T.); #44541=EDGE_CURVE('',#36052,#36013,#29753,.T.); #44542=EDGE_CURVE('',#36051,#36052,#32889,.T.); #44543=EDGE_CURVE('',#36053,#36012,#29754,.T.); #44544=EDGE_CURVE('',#36052,#36053,#29755,.T.); #44545=EDGE_CURVE('',#36054,#36011,#29756,.T.); #44546=EDGE_CURVE('',#36053,#36054,#32890,.T.); #44547=EDGE_CURVE('',#36054,#36055,#32891,.T.); #44548=EDGE_CURVE('',#36055,#36010,#29757,.T.); #44549=EDGE_CURVE('',#36056,#36008,#29758,.T.); #44550=EDGE_CURVE('',#36055,#36056,#32892,.T.); #44551=EDGE_CURVE('',#36057,#36009,#29759,.T.); #44552=EDGE_CURVE('',#36056,#36057,#32893,.T.); #44553=EDGE_CURVE('',#36058,#36059,#29760,.T.); #44554=EDGE_CURVE('',#36059,#36009,#32894,.T.); #44555=EDGE_CURVE('',#36057,#36058,#32895,.T.); #44556=EDGE_CURVE('',#36060,#36061,#29761,.T.); #44557=EDGE_CURVE('',#36061,#36059,#29762,.T.); #44558=EDGE_CURVE('',#36058,#36060,#29763,.T.); #44559=EDGE_CURVE('',#36062,#36063,#29764,.T.); #44560=EDGE_CURVE('',#36063,#36061,#32896,.T.); #44561=EDGE_CURVE('',#36060,#36062,#32897,.T.); #44562=EDGE_CURVE('',#36064,#36065,#29765,.T.); #44563=EDGE_CURVE('',#36065,#36063,#1057,.T.); #44564=EDGE_CURVE('',#36062,#36064,#32898,.T.); #44565=EDGE_CURVE('',#36066,#36067,#29766,.T.); #44566=EDGE_CURVE('',#36067,#36065,#32899,.T.); #44567=EDGE_CURVE('',#36064,#36066,#32900,.T.); #44568=EDGE_CURVE('',#36066,#36068,#32901,.T.); #44569=EDGE_CURVE('',#36068,#36069,#29767,.T.); #44570=EDGE_CURVE('',#36069,#36067,#32902,.T.); #44571=EDGE_CURVE('',#36070,#36071,#29768,.T.); #44572=EDGE_CURVE('',#36071,#36069,#32903,.T.); #44573=EDGE_CURVE('',#36068,#36070,#32904,.T.); #44574=EDGE_CURVE('',#36072,#36073,#29769,.T.); #44575=EDGE_CURVE('',#36073,#36071,#29770,.T.); #44576=EDGE_CURVE('',#36070,#36074,#29771,.T.); #44577=EDGE_CURVE('',#36074,#36072,#29772,.T.); #44578=EDGE_CURVE('',#36075,#36076,#29773,.T.); #44579=EDGE_CURVE('',#36076,#36073,#32905,.T.); #44580=EDGE_CURVE('',#36072,#36075,#32906,.T.); #44581=EDGE_CURVE('',#36075,#36077,#32907,.T.); #44582=EDGE_CURVE('',#36077,#36078,#29774,.T.); #44583=EDGE_CURVE('',#36078,#36076,#32908,.T.); #44584=EDGE_CURVE('',#36079,#36080,#29775,.T.); #44585=EDGE_CURVE('',#36080,#36078,#32909,.T.); #44586=EDGE_CURVE('',#36077,#36079,#32910,.T.); #44587=EDGE_CURVE('',#36081,#36082,#29776,.T.); #44588=EDGE_CURVE('',#36082,#36080,#1058,.T.); #44589=EDGE_CURVE('',#36079,#36081,#32911,.T.); #44590=EDGE_CURVE('',#36083,#36084,#29777,.T.); #44591=EDGE_CURVE('',#36084,#36082,#32912,.T.); #44592=EDGE_CURVE('',#36081,#36083,#32913,.T.); #44593=EDGE_CURVE('',#36085,#36086,#29778,.T.); #44594=EDGE_CURVE('',#36086,#36084,#29779,.T.); #44595=EDGE_CURVE('',#36083,#36085,#29780,.T.); #44596=EDGE_CURVE('',#36087,#36088,#29781,.T.); #44597=EDGE_CURVE('',#36088,#36086,#32914,.T.); #44598=EDGE_CURVE('',#36085,#36087,#32915,.T.); #44599=EDGE_CURVE('',#36089,#36090,#29782,.T.); #44600=EDGE_CURVE('',#36090,#36088,#1059,.T.); #44601=EDGE_CURVE('',#36087,#36089,#32916,.T.); #44602=EDGE_CURVE('',#36091,#36092,#29783,.T.); #44603=EDGE_CURVE('',#36092,#36090,#32917,.T.); #44604=EDGE_CURVE('',#36089,#36091,#32918,.T.); #44605=EDGE_CURVE('',#36091,#36093,#32919,.T.); #44606=EDGE_CURVE('',#36093,#36094,#29784,.T.); #44607=EDGE_CURVE('',#36094,#36092,#32920,.T.); #44608=EDGE_CURVE('',#36095,#36096,#29785,.T.); #44609=EDGE_CURVE('',#36096,#36094,#32921,.T.); #44610=EDGE_CURVE('',#36093,#36095,#32922,.T.); #44611=EDGE_CURVE('',#36097,#36098,#29786,.T.); #44612=EDGE_CURVE('',#36098,#36096,#29787,.T.); #44613=EDGE_CURVE('',#36095,#36097,#29788,.T.); #44614=EDGE_CURVE('',#36099,#36100,#29789,.T.); #44615=EDGE_CURVE('',#36100,#36098,#32923,.T.); #44616=EDGE_CURVE('',#36097,#36099,#32924,.T.); #44617=EDGE_CURVE('',#36099,#36101,#32925,.T.); #44618=EDGE_CURVE('',#36101,#36102,#29790,.T.); #44619=EDGE_CURVE('',#36102,#36100,#32926,.T.); #44620=EDGE_CURVE('',#36103,#36104,#29791,.T.); #44621=EDGE_CURVE('',#36104,#36102,#32927,.T.); #44622=EDGE_CURVE('',#36101,#36103,#32928,.T.); #44623=EDGE_CURVE('',#36105,#36032,#29792,.T.); #44624=EDGE_CURVE('',#36032,#36104,#1060,.T.); #44625=EDGE_CURVE('',#36103,#36105,#32929,.T.); #44626=EDGE_CURVE('',#36106,#36031,#29793,.T.); #44627=EDGE_CURVE('',#36105,#36106,#32930,.T.); #44628=EDGE_CURVE('',#36106,#36036,#29794,.T.); #44629=EDGE_CURVE('',#36063,#35957,#4831,.T.); #44630=EDGE_CURVE('',#35955,#36065,#4832,.T.); #44631=EDGE_CURVE('',#36067,#35953,#4833,.T.); #44632=EDGE_CURVE('',#35951,#36069,#4834,.T.); #44633=EDGE_CURVE('',#36071,#35948,#4835,.T.); #44634=EDGE_CURVE('',#35946,#36073,#4836,.T.); #44635=EDGE_CURVE('',#36076,#35944,#4837,.T.); #44636=EDGE_CURVE('',#35942,#36078,#4838,.T.); #44637=EDGE_CURVE('',#36080,#35940,#4839,.T.); #44638=EDGE_CURVE('',#35938,#36082,#4840,.T.); #44639=EDGE_CURVE('',#36084,#35936,#4841,.T.); #44640=EDGE_CURVE('',#35934,#36086,#4842,.T.); #44641=EDGE_CURVE('',#36088,#35932,#4843,.T.); #44642=EDGE_CURVE('',#35930,#36090,#4844,.T.); #44643=EDGE_CURVE('',#36092,#35928,#4845,.T.); #44644=EDGE_CURVE('',#35926,#36094,#4846,.T.); #44645=EDGE_CURVE('',#36096,#35924,#4847,.T.); #44646=EDGE_CURVE('',#35922,#36098,#4848,.T.); #44647=EDGE_CURVE('',#36100,#35920,#4849,.T.); #44648=EDGE_CURVE('',#35918,#36102,#4850,.T.); #44649=EDGE_CURVE('',#36104,#35916,#4851,.T.); #44650=EDGE_CURVE('',#36074,#36107,#4852,.T.); #44651=EDGE_CURVE('',#36108,#36107,#29795,.T.); #44652=EDGE_CURVE('',#36107,#36108,#29796,.T.); #44653=EDGE_CURVE('',#36109,#36109,#29797,.T.); #44654=EDGE_CURVE('',#36109,#36110,#29798,.T.); #44655=EDGE_CURVE('',#36110,#36110,#29799,.T.); #44656=EDGE_CURVE('',#36111,#36112,#32931,.T.); #44657=EDGE_CURVE('',#36113,#36111,#4853,.T.); #44658=EDGE_CURVE('',#36114,#36113,#29800,.T.); #44659=EDGE_CURVE('',#36115,#36114,#4854,.T.); #44660=EDGE_CURVE('',#36116,#36115,#32932,.T.); #44661=EDGE_CURVE('',#36112,#36116,#29801,.T.); #44662=EDGE_CURVE('',#36117,#35900,#32933,.T.); #44663=EDGE_CURVE('',#36117,#36109,#4855,.T.); #44664=EDGE_CURVE('',#36118,#36117,#32934,.T.); #44665=EDGE_CURVE('',#36119,#36118,#32935,.T.); #44666=EDGE_CURVE('',#35863,#36119,#32936,.T.); #44667=EDGE_CURVE('',#35839,#35909,#32937,.T.); #44668=EDGE_CURVE('',#35887,#35905,#32938,.T.); #44669=EDGE_CURVE('',#36120,#36121,#29802,.T.); #44670=EDGE_CURVE('',#36121,#36122,#29803,.T.); #44671=EDGE_CURVE('',#36122,#36123,#29804,.T.); #44672=EDGE_CURVE('',#36123,#36120,#29805,.T.); #44673=EDGE_CURVE('',#36124,#36125,#29806,.T.); #44674=EDGE_CURVE('',#36125,#36126,#32939,.T.); #44675=EDGE_CURVE('',#36126,#36127,#29807,.T.); #44676=EDGE_CURVE('',#36127,#36124,#32940,.T.); #44677=EDGE_CURVE('',#36128,#36129,#29808,.T.); #44678=EDGE_CURVE('',#36129,#36127,#32941,.T.); #44679=EDGE_CURVE('',#36126,#36128,#32942,.T.); #44680=EDGE_CURVE('',#36130,#36131,#29809,.T.); #44681=EDGE_CURVE('',#36131,#36129,#29810,.T.); #44682=EDGE_CURVE('',#36128,#36130,#29811,.T.); #44683=EDGE_CURVE('',#36132,#36133,#29812,.T.); #44684=EDGE_CURVE('',#36133,#36131,#32943,.T.); #44685=EDGE_CURVE('',#36130,#36132,#32944,.T.); #44686=EDGE_CURVE('',#36123,#36134,#29813,.T.); #44687=EDGE_CURVE('',#36134,#36133,#32945,.T.); #44688=EDGE_CURVE('',#36132,#36123,#32946,.T.); #44689=EDGE_CURVE('',#36125,#36135,#29814,.T.); #44690=EDGE_CURVE('',#36135,#36136,#29815,.T.); #44691=EDGE_CURVE('',#36136,#36137,#29816,.T.); #44692=EDGE_CURVE('',#36137,#36125,#29817,.T.); #44693=EDGE_CURVE('',#36138,#36139,#29818,.T.); #44694=EDGE_CURVE('',#36139,#36140,#32947,.T.); #44695=EDGE_CURVE('',#36140,#36141,#29819,.T.); #44696=EDGE_CURVE('',#36141,#36138,#32948,.T.); #44697=EDGE_CURVE('',#36142,#36143,#29820,.T.); #44698=EDGE_CURVE('',#36143,#36141,#32949,.T.); #44699=EDGE_CURVE('',#36140,#36142,#32950,.T.); #44700=EDGE_CURVE('',#36144,#36145,#29821,.T.); #44701=EDGE_CURVE('',#36145,#36143,#29822,.T.); #44702=EDGE_CURVE('',#36142,#36144,#29823,.T.); #44703=EDGE_CURVE('',#36146,#36147,#29824,.T.); #44704=EDGE_CURVE('',#36147,#36145,#32951,.T.); #44705=EDGE_CURVE('',#36144,#36146,#32952,.T.); #44706=EDGE_CURVE('',#36137,#36148,#29825,.T.); #44707=EDGE_CURVE('',#36148,#36147,#32953,.T.); #44708=EDGE_CURVE('',#36146,#36137,#32954,.T.); #44709=EDGE_CURVE('',#36139,#36149,#29826,.T.); #44710=EDGE_CURVE('',#36149,#36150,#29827,.T.); #44711=EDGE_CURVE('',#36150,#36151,#29828,.T.); #44712=EDGE_CURVE('',#36151,#36139,#29829,.T.); #44713=EDGE_CURVE('',#36113,#36152,#29830,.T.); #44714=EDGE_CURVE('',#36152,#36153,#29831,.T.); #44715=EDGE_CURVE('',#36153,#36114,#29832,.T.); #44716=EDGE_CURVE('',#36154,#36155,#32955,.F.); #44717=EDGE_CURVE('',#36116,#36154,#29833,.T.); #44718=EDGE_CURVE('',#36155,#36115,#29834,.T.); #44719=EDGE_CURVE('',#36156,#36157,#32956,.F.); #44720=EDGE_CURVE('',#36111,#36156,#29835,.T.); #44721=EDGE_CURVE('',#36157,#36112,#29836,.T.); #44722=EDGE_CURVE('',#36158,#36113,#29837,.T.); #44723=EDGE_CURVE('',#36156,#36158,#4856,.T.); #44724=EDGE_CURVE('',#36154,#36157,#29838,.T.); #44725=EDGE_CURVE('',#36157,#36151,#32957,.T.); #44726=EDGE_CURVE('',#36151,#36159,#29839,.T.); #44727=EDGE_CURVE('',#36159,#36156,#32958,.T.); #44728=EDGE_CURVE('',#36114,#36160,#29840,.T.); #44729=EDGE_CURVE('',#36160,#36155,#4857,.T.); #44730=EDGE_CURVE('',#36155,#36161,#32959,.T.); #44731=EDGE_CURVE('',#36161,#36120,#29841,.T.); #44732=EDGE_CURVE('',#36120,#36154,#32960,.T.); #44733=EDGE_CURVE('',#36158,#36152,#29842,.T.); #44734=EDGE_CURVE('',#36153,#36160,#29843,.T.); #44735=EDGE_CURVE('',#36150,#36159,#29844,.T.); #44736=EDGE_CURVE('',#36138,#36149,#29845,.T.); #44737=EDGE_CURVE('',#36136,#36148,#29846,.T.); #44738=EDGE_CURVE('',#36124,#36135,#29847,.T.); #44739=EDGE_CURVE('',#36122,#36134,#29848,.T.); #44740=EDGE_CURVE('',#36161,#36121,#29849,.T.); #44741=EDGE_CURVE('',#36136,#36162,#4858,.T.); #44742=EDGE_CURVE('',#36163,#36162,#32961,.T.); #44743=EDGE_CURVE('',#36163,#36148,#4859,.T.); #44744=EDGE_CURVE('',#36164,#36135,#4860,.T.); #44745=EDGE_CURVE('',#36162,#36164,#29850,.T.); #44746=EDGE_CURVE('',#36124,#36165,#4861,.T.); #44747=EDGE_CURVE('',#36164,#36165,#32962,.T.); #44748=EDGE_CURVE('',#36166,#36127,#4862,.T.); #44749=EDGE_CURVE('',#36165,#36166,#32963,.T.); #44750=EDGE_CURVE('',#36129,#36167,#4863,.T.); #44751=EDGE_CURVE('',#36166,#36167,#32964,.T.); #44752=EDGE_CURVE('',#36168,#36131,#4864,.T.); #44753=EDGE_CURVE('',#36167,#36168,#29851,.T.); #44754=EDGE_CURVE('',#36133,#36169,#4865,.T.); #44755=EDGE_CURVE('',#36168,#36169,#32965,.T.); #44756=EDGE_CURVE('',#36170,#36134,#4866,.T.); #44757=EDGE_CURVE('',#36169,#36170,#32966,.T.); #44758=EDGE_CURVE('',#36122,#36171,#4867,.T.); #44759=EDGE_CURVE('',#36170,#36171,#32967,.T.); #44760=EDGE_CURVE('',#36172,#36121,#4868,.T.); #44761=EDGE_CURVE('',#36171,#36172,#29852,.T.); #44762=EDGE_CURVE('',#36161,#36173,#4869,.T.); #44763=EDGE_CURVE('',#36172,#36173,#32968,.T.); #44764=EDGE_CURVE('',#36173,#36160,#32969,.T.); #44765=EDGE_CURVE('',#36174,#36174,#29853,.T.); #44766=EDGE_CURVE('',#36174,#36175,#29854,.T.); #44767=EDGE_CURVE('',#36175,#36175,#29855,.T.); #44768=EDGE_CURVE('',#36176,#36176,#29856,.T.); #44769=EDGE_CURVE('',#36177,#36152,#32970,.T.); #44770=EDGE_CURVE('',#36178,#36177,#32971,.T.); #44771=EDGE_CURVE('',#36179,#36178,#29857,.T.); #44772=EDGE_CURVE('',#36179,#36175,#4870,.T.); #44773=EDGE_CURVE('',#36180,#36179,#29858,.T.); #44774=EDGE_CURVE('',#36181,#36180,#32972,.T.); #44775=EDGE_CURVE('',#36182,#36181,#32973,.T.); #44776=EDGE_CURVE('',#36183,#36182,#32974,.T.); #44777=EDGE_CURVE('',#36184,#36183,#29859,.T.); #44778=EDGE_CURVE('',#36185,#36184,#32975,.T.); #44779=EDGE_CURVE('',#36186,#36185,#32976,.T.); #44780=EDGE_CURVE('',#36187,#36186,#32977,.T.); #44781=EDGE_CURVE('',#36188,#36187,#29860,.T.); #44782=EDGE_CURVE('',#36189,#36188,#32978,.T.); #44783=EDGE_CURVE('',#36190,#36189,#32979,.T.); #44784=EDGE_CURVE('',#36191,#36190,#32980,.T.); #44785=EDGE_CURVE('',#36192,#36191,#29861,.T.); #44786=EDGE_CURVE('',#36193,#36192,#32981,.T.); #44787=EDGE_CURVE('',#36194,#36193,#32982,.T.); #44788=EDGE_CURVE('',#36195,#36194,#32983,.T.); #44789=EDGE_CURVE('',#36196,#36195,#29862,.T.); #44790=EDGE_CURVE('',#36197,#36196,#32984,.T.); #44791=EDGE_CURVE('',#36153,#36197,#32985,.T.); #44792=EDGE_CURVE('',#36176,#36034,#29863,.T.); #44793=EDGE_CURVE('',#36158,#36198,#32986,.T.); #44794=EDGE_CURVE('',#36198,#36177,#29864,.T.); #44795=EDGE_CURVE('',#36198,#36199,#32987,.T.); #44796=EDGE_CURVE('',#36199,#36178,#29865,.T.); #44797=EDGE_CURVE('',#36200,#36180,#29866,.T.); #44798=EDGE_CURVE('',#36199,#36200,#29867,.T.); #44799=EDGE_CURVE('',#36200,#36201,#32988,.T.); #44800=EDGE_CURVE('',#36201,#36181,#29868,.T.); #44801=EDGE_CURVE('',#36202,#36182,#29869,.T.); #44802=EDGE_CURVE('',#36201,#36202,#32989,.T.); #44803=EDGE_CURVE('',#36202,#36203,#32990,.T.); #44804=EDGE_CURVE('',#36203,#36183,#29870,.T.); #44805=EDGE_CURVE('',#36204,#36184,#29871,.T.); #44806=EDGE_CURVE('',#36203,#36204,#29872,.T.); #44807=EDGE_CURVE('',#36204,#36205,#32991,.T.); #44808=EDGE_CURVE('',#36205,#36185,#29873,.T.); #44809=EDGE_CURVE('',#36163,#36186,#29874,.T.); #44810=EDGE_CURVE('',#36205,#36163,#32992,.T.); #44811=EDGE_CURVE('',#36162,#36187,#29875,.T.); #44812=EDGE_CURVE('',#36164,#36188,#29876,.T.); #44813=EDGE_CURVE('',#36165,#36189,#29877,.T.); #44814=EDGE_CURVE('',#36166,#36190,#29878,.T.); #44815=EDGE_CURVE('',#36167,#36191,#29879,.T.); #44816=EDGE_CURVE('',#36168,#36192,#29880,.T.); #44817=EDGE_CURVE('',#36169,#36193,#29881,.T.); #44818=EDGE_CURVE('',#36170,#36194,#29882,.T.); #44819=EDGE_CURVE('',#36171,#36195,#29883,.T.); #44820=EDGE_CURVE('',#36172,#36196,#29884,.T.); #44821=EDGE_CURVE('',#36173,#36197,#29885,.T.); #44822=EDGE_CURVE('',#36147,#36205,#4871,.T.); #44823=EDGE_CURVE('',#36204,#36145,#4872,.T.); #44824=EDGE_CURVE('',#36143,#36203,#4873,.T.); #44825=EDGE_CURVE('',#36202,#36141,#4874,.T.); #44826=EDGE_CURVE('',#36138,#36201,#4875,.T.); #44827=EDGE_CURVE('',#36200,#36149,#4876,.T.); #44828=EDGE_CURVE('',#36150,#36199,#4877,.T.); #44829=EDGE_CURVE('',#36198,#36159,#4878,.T.); #44830=EDGE_CURVE('',#36206,#36207,#4879,.T.); #44831=EDGE_CURVE('',#36206,#36208,#4880,.T.); #44832=EDGE_CURVE('',#36208,#36209,#4881,.T.); #44833=EDGE_CURVE('',#36207,#36209,#4882,.T.); #44834=EDGE_CURVE('',#36210,#36206,#4883,.T.); #44835=EDGE_CURVE('',#36210,#36211,#4884,.T.); #44836=EDGE_CURVE('',#36211,#36208,#4885,.T.); #44837=EDGE_CURVE('',#36212,#36210,#4886,.T.); #44838=EDGE_CURVE('',#36212,#36213,#4887,.T.); #44839=EDGE_CURVE('',#36213,#36211,#4888,.T.); #44840=EDGE_CURVE('',#36214,#36212,#4889,.T.); #44841=EDGE_CURVE('',#36214,#36215,#4890,.T.); #44842=EDGE_CURVE('',#36215,#36213,#4891,.T.); #44843=EDGE_CURVE('',#36216,#36214,#4892,.T.); #44844=EDGE_CURVE('',#36216,#36217,#4893,.T.); #44845=EDGE_CURVE('',#36217,#36215,#4894,.T.); #44846=EDGE_CURVE('',#36218,#36216,#4895,.T.); #44847=EDGE_CURVE('',#36218,#36219,#4896,.T.); #44848=EDGE_CURVE('',#36219,#36217,#4897,.T.); #44849=EDGE_CURVE('',#36220,#36218,#4898,.T.); #44850=EDGE_CURVE('',#36220,#36221,#4899,.T.); #44851=EDGE_CURVE('',#36221,#36219,#4900,.T.); #44852=EDGE_CURVE('',#36222,#36220,#4901,.T.); #44853=EDGE_CURVE('',#36222,#36223,#4902,.T.); #44854=EDGE_CURVE('',#36223,#36221,#4903,.T.); #44855=EDGE_CURVE('',#36224,#36222,#4904,.T.); #44856=EDGE_CURVE('',#36224,#36225,#4905,.T.); #44857=EDGE_CURVE('',#36225,#36223,#4906,.T.); #44858=EDGE_CURVE('',#36207,#36224,#4907,.T.); #44859=EDGE_CURVE('',#36209,#36225,#4908,.T.); #44860=EDGE_CURVE('',#36226,#36227,#4909,.T.); #44861=EDGE_CURVE('',#36226,#36228,#4910,.T.); #44862=EDGE_CURVE('',#36228,#36229,#4911,.T.); #44863=EDGE_CURVE('',#36227,#36229,#4912,.T.); #44864=EDGE_CURVE('',#36230,#36226,#4913,.T.); #44865=EDGE_CURVE('',#36230,#36231,#4914,.T.); #44866=EDGE_CURVE('',#36231,#36228,#4915,.T.); #44867=EDGE_CURVE('',#36232,#36230,#4916,.T.); #44868=EDGE_CURVE('',#36232,#36233,#4917,.T.); #44869=EDGE_CURVE('',#36233,#36231,#4918,.T.); #44870=EDGE_CURVE('',#36227,#36232,#4919,.T.); #44871=EDGE_CURVE('',#36229,#36233,#4920,.T.); #44872=EDGE_CURVE('',#36234,#36235,#4921,.T.); #44873=EDGE_CURVE('',#36234,#36236,#4922,.T.); #44874=EDGE_CURVE('',#36236,#36237,#4923,.T.); #44875=EDGE_CURVE('',#36235,#36237,#4924,.T.); #44876=EDGE_CURVE('',#36238,#36234,#4925,.T.); #44877=EDGE_CURVE('',#36238,#36239,#4926,.T.); #44878=EDGE_CURVE('',#36239,#36236,#4927,.T.); #44879=EDGE_CURVE('',#36240,#36238,#4928,.T.); #44880=EDGE_CURVE('',#36240,#36241,#4929,.T.); #44881=EDGE_CURVE('',#36241,#36239,#4930,.T.); #44882=EDGE_CURVE('',#36235,#36240,#4931,.T.); #44883=EDGE_CURVE('',#36237,#36241,#4932,.T.); #44884=EDGE_CURVE('',#36242,#36243,#32993,.T.); #44885=EDGE_CURVE('',#36242,#36244,#4933,.T.); #44886=EDGE_CURVE('',#36244,#36245,#32994,.T.); #44887=EDGE_CURVE('',#36243,#36245,#4934,.T.); #44888=EDGE_CURVE('',#36243,#36246,#32995,.T.); #44889=EDGE_CURVE('',#36245,#36247,#32996,.T.); #44890=EDGE_CURVE('',#36246,#36247,#4935,.T.); #44891=EDGE_CURVE('',#36246,#36248,#32997,.T.); #44892=EDGE_CURVE('',#36247,#36249,#32998,.T.); #44893=EDGE_CURVE('',#36248,#36249,#4936,.T.); #44894=EDGE_CURVE('',#36248,#36250,#32999,.T.); #44895=EDGE_CURVE('',#36249,#36251,#33000,.T.); #44896=EDGE_CURVE('',#36250,#36251,#4937,.T.); #44897=EDGE_CURVE('',#36250,#36252,#33001,.T.); #44898=EDGE_CURVE('',#36251,#36253,#33002,.T.); #44899=EDGE_CURVE('',#36252,#36253,#4938,.T.); #44900=EDGE_CURVE('',#36252,#36242,#33003,.T.); #44901=EDGE_CURVE('',#36253,#36244,#33004,.T.); #44902=EDGE_CURVE('',#36254,#36255,#33005,.T.); #44903=EDGE_CURVE('',#36254,#36256,#4939,.T.); #44904=EDGE_CURVE('',#36256,#36257,#33006,.T.); #44905=EDGE_CURVE('',#36255,#36257,#4940,.T.); #44906=EDGE_CURVE('',#36258,#36254,#33007,.T.); #44907=EDGE_CURVE('',#36258,#36259,#4941,.T.); #44908=EDGE_CURVE('',#36259,#36256,#33008,.T.); #44909=EDGE_CURVE('',#36260,#36258,#33009,.T.); #44910=EDGE_CURVE('',#36260,#36261,#4942,.T.); #44911=EDGE_CURVE('',#36261,#36259,#33010,.T.); #44912=EDGE_CURVE('',#36262,#36260,#33011,.T.); #44913=EDGE_CURVE('',#36262,#36263,#4943,.T.); #44914=EDGE_CURVE('',#36263,#36261,#33012,.T.); #44915=EDGE_CURVE('',#36264,#36262,#33013,.T.); #44916=EDGE_CURVE('',#36264,#36265,#4944,.T.); #44917=EDGE_CURVE('',#36265,#36263,#33014,.T.); #44918=EDGE_CURVE('',#36266,#36264,#33015,.T.); #44919=EDGE_CURVE('',#36266,#36267,#4945,.T.); #44920=EDGE_CURVE('',#36267,#36265,#33016,.T.); #44921=EDGE_CURVE('',#36268,#36266,#33017,.T.); #44922=EDGE_CURVE('',#36268,#36269,#4946,.T.); #44923=EDGE_CURVE('',#36269,#36267,#33018,.T.); #44924=EDGE_CURVE('',#36255,#36268,#33019,.T.); #44925=EDGE_CURVE('',#36257,#36269,#33020,.T.); #44926=EDGE_CURVE('',#36270,#36271,#4947,.T.); #44927=EDGE_CURVE('',#36270,#36272,#4948,.T.); #44928=EDGE_CURVE('',#36272,#36273,#4949,.T.); #44929=EDGE_CURVE('',#36271,#36273,#4950,.T.); #44930=EDGE_CURVE('',#36274,#36270,#4951,.T.); #44931=EDGE_CURVE('',#36274,#36275,#4952,.T.); #44932=EDGE_CURVE('',#36275,#36272,#4953,.T.); #44933=EDGE_CURVE('',#36276,#36274,#4954,.T.); #44934=EDGE_CURVE('',#36276,#36277,#4955,.T.); #44935=EDGE_CURVE('',#36277,#36275,#4956,.T.); #44936=EDGE_CURVE('',#36271,#36276,#4957,.T.); #44937=EDGE_CURVE('',#36273,#36277,#4958,.T.); #44938=EDGE_CURVE('',#36278,#36279,#4959,.T.); #44939=EDGE_CURVE('',#36278,#36280,#4960,.T.); #44940=EDGE_CURVE('',#36280,#36281,#4961,.T.); #44941=EDGE_CURVE('',#36279,#36281,#4962,.T.); #44942=EDGE_CURVE('',#36282,#36278,#4963,.T.); #44943=EDGE_CURVE('',#36282,#36283,#4964,.T.); #44944=EDGE_CURVE('',#36283,#36280,#4965,.T.); #44945=EDGE_CURVE('',#36284,#36282,#4966,.T.); #44946=EDGE_CURVE('',#36284,#36285,#4967,.T.); #44947=EDGE_CURVE('',#36285,#36283,#4968,.T.); #44948=EDGE_CURVE('',#36286,#36284,#4969,.T.); #44949=EDGE_CURVE('',#36286,#36287,#4970,.T.); #44950=EDGE_CURVE('',#36287,#36285,#4971,.T.); #44951=EDGE_CURVE('',#36288,#36286,#4972,.T.); #44952=EDGE_CURVE('',#36288,#36289,#4973,.T.); #44953=EDGE_CURVE('',#36289,#36287,#4974,.T.); #44954=EDGE_CURVE('',#36290,#36288,#4975,.T.); #44955=EDGE_CURVE('',#36290,#36291,#4976,.T.); #44956=EDGE_CURVE('',#36291,#36289,#4977,.T.); #44957=EDGE_CURVE('',#36292,#36290,#4978,.T.); #44958=EDGE_CURVE('',#36292,#36293,#4979,.T.); #44959=EDGE_CURVE('',#36293,#36291,#4980,.T.); #44960=EDGE_CURVE('',#36294,#36292,#4981,.T.); #44961=EDGE_CURVE('',#36294,#36295,#4982,.T.); #44962=EDGE_CURVE('',#36295,#36293,#4983,.T.); #44963=EDGE_CURVE('',#36296,#36294,#4984,.T.); #44964=EDGE_CURVE('',#36296,#36297,#4985,.T.); #44965=EDGE_CURVE('',#36297,#36295,#4986,.T.); #44966=EDGE_CURVE('',#36279,#36296,#4987,.T.); #44967=EDGE_CURVE('',#36281,#36297,#4988,.T.); #44968=EDGE_CURVE('',#36298,#36299,#4989,.T.); #44969=EDGE_CURVE('',#36298,#36300,#4990,.T.); #44970=EDGE_CURVE('',#36300,#36301,#4991,.T.); #44971=EDGE_CURVE('',#36299,#36301,#4992,.T.); #44972=EDGE_CURVE('',#36302,#36298,#4993,.T.); #44973=EDGE_CURVE('',#36302,#36303,#4994,.T.); #44974=EDGE_CURVE('',#36303,#36300,#4995,.T.); #44975=EDGE_CURVE('',#36304,#36302,#4996,.T.); #44976=EDGE_CURVE('',#36304,#36305,#4997,.T.); #44977=EDGE_CURVE('',#36305,#36303,#4998,.T.); #44978=EDGE_CURVE('',#36306,#36304,#4999,.T.); #44979=EDGE_CURVE('',#36306,#36307,#5000,.T.); #44980=EDGE_CURVE('',#36307,#36305,#5001,.T.); #44981=EDGE_CURVE('',#36308,#36306,#5002,.T.); #44982=EDGE_CURVE('',#36308,#36309,#5003,.T.); #44983=EDGE_CURVE('',#36309,#36307,#5004,.T.); #44984=EDGE_CURVE('',#36310,#36308,#5005,.T.); #44985=EDGE_CURVE('',#36310,#36311,#5006,.T.); #44986=EDGE_CURVE('',#36311,#36309,#5007,.T.); #44987=EDGE_CURVE('',#36312,#36310,#5008,.T.); #44988=EDGE_CURVE('',#36312,#36313,#5009,.T.); #44989=EDGE_CURVE('',#36313,#36311,#5010,.T.); #44990=EDGE_CURVE('',#36314,#36312,#5011,.T.); #44991=EDGE_CURVE('',#36314,#36315,#5012,.T.); #44992=EDGE_CURVE('',#36315,#36313,#5013,.T.); #44993=EDGE_CURVE('',#36316,#36314,#5014,.T.); #44994=EDGE_CURVE('',#36316,#36317,#5015,.T.); #44995=EDGE_CURVE('',#36317,#36315,#5016,.T.); #44996=EDGE_CURVE('',#36318,#36316,#5017,.T.); #44997=EDGE_CURVE('',#36318,#36319,#5018,.T.); #44998=EDGE_CURVE('',#36319,#36317,#5019,.T.); #44999=EDGE_CURVE('',#36320,#36318,#5020,.T.); #45000=EDGE_CURVE('',#36320,#36321,#5021,.T.); #45001=EDGE_CURVE('',#36321,#36319,#5022,.T.); #45002=EDGE_CURVE('',#36299,#36320,#5023,.T.); #45003=EDGE_CURVE('',#36301,#36321,#5024,.T.); #45004=EDGE_CURVE('',#36322,#36323,#33021,.T.); #45005=EDGE_CURVE('',#36322,#36324,#5025,.T.); #45006=EDGE_CURVE('',#36324,#36325,#33022,.T.); #45007=EDGE_CURVE('',#36323,#36325,#5026,.T.); #45008=EDGE_CURVE('',#36323,#36326,#5027,.T.); #45009=EDGE_CURVE('',#36325,#36327,#5028,.T.); #45010=EDGE_CURVE('',#36326,#36327,#5029,.T.); #45011=EDGE_CURVE('',#36326,#36328,#5030,.T.); #45012=EDGE_CURVE('',#36327,#36329,#5031,.T.); #45013=EDGE_CURVE('',#36328,#36329,#5032,.T.); #45014=EDGE_CURVE('',#36328,#36330,#5033,.T.); #45015=EDGE_CURVE('',#36329,#36331,#5034,.T.); #45016=EDGE_CURVE('',#36330,#36331,#5035,.T.); #45017=EDGE_CURVE('',#36330,#36332,#33023,.T.); #45018=EDGE_CURVE('',#36331,#36333,#33024,.T.); #45019=EDGE_CURVE('',#36332,#36333,#5036,.T.); #45020=EDGE_CURVE('',#36332,#36334,#33025,.T.); #45021=EDGE_CURVE('',#36333,#36335,#33026,.T.); #45022=EDGE_CURVE('',#36334,#36335,#5037,.T.); #45023=EDGE_CURVE('',#36334,#36322,#33027,.T.); #45024=EDGE_CURVE('',#36335,#36324,#33028,.T.); #45025=EDGE_CURVE('',#36336,#36337,#5038,.T.); #45026=EDGE_CURVE('',#36336,#36338,#5039,.T.); #45027=EDGE_CURVE('',#36338,#36339,#5040,.T.); #45028=EDGE_CURVE('',#36337,#36339,#5041,.T.); #45029=EDGE_CURVE('',#36340,#36336,#33029,.T.); #45030=EDGE_CURVE('',#36340,#36341,#5042,.T.); #45031=EDGE_CURVE('',#36341,#36338,#33030,.T.); #45032=EDGE_CURVE('',#36342,#36340,#33031,.T.); #45033=EDGE_CURVE('',#36342,#36343,#5043,.T.); #45034=EDGE_CURVE('',#36343,#36341,#33032,.T.); #45035=EDGE_CURVE('',#36344,#36342,#33033,.T.); #45036=EDGE_CURVE('',#36344,#36345,#5044,.T.); #45037=EDGE_CURVE('',#36345,#36343,#33034,.T.); #45038=EDGE_CURVE('',#36346,#36344,#5045,.T.); #45039=EDGE_CURVE('',#36346,#36347,#5046,.T.); #45040=EDGE_CURVE('',#36347,#36345,#5047,.T.); #45041=EDGE_CURVE('',#36348,#36346,#5048,.T.); #45042=EDGE_CURVE('',#36348,#36349,#5049,.T.); #45043=EDGE_CURVE('',#36349,#36347,#5050,.T.); #45044=EDGE_CURVE('',#36350,#36348,#5051,.T.); #45045=EDGE_CURVE('',#36350,#36351,#5052,.T.); #45046=EDGE_CURVE('',#36351,#36349,#5053,.T.); #45047=EDGE_CURVE('',#36352,#36350,#5054,.T.); #45048=EDGE_CURVE('',#36352,#36353,#5055,.T.); #45049=EDGE_CURVE('',#36353,#36351,#5056,.T.); #45050=EDGE_CURVE('',#36354,#36352,#5057,.T.); #45051=EDGE_CURVE('',#36354,#36355,#5058,.T.); #45052=EDGE_CURVE('',#36355,#36353,#5059,.T.); #45053=EDGE_CURVE('',#36356,#36354,#5060,.T.); #45054=EDGE_CURVE('',#36356,#36357,#5061,.T.); #45055=EDGE_CURVE('',#36357,#36355,#5062,.T.); #45056=EDGE_CURVE('',#36337,#36356,#5063,.T.); #45057=EDGE_CURVE('',#36339,#36357,#5064,.T.); #45058=EDGE_CURVE('',#36358,#36359,#33035,.T.); #45059=EDGE_CURVE('',#36358,#36360,#5065,.T.); #45060=EDGE_CURVE('',#36360,#36361,#33036,.T.); #45061=EDGE_CURVE('',#36359,#36361,#5066,.T.); #45062=EDGE_CURVE('',#36359,#36362,#5067,.T.); #45063=EDGE_CURVE('',#36361,#36363,#5068,.T.); #45064=EDGE_CURVE('',#36362,#36363,#5069,.T.); #45065=EDGE_CURVE('',#36362,#36364,#5070,.T.); #45066=EDGE_CURVE('',#36363,#36365,#5071,.T.); #45067=EDGE_CURVE('',#36364,#36365,#5072,.T.); #45068=EDGE_CURVE('',#36364,#36366,#5073,.T.); #45069=EDGE_CURVE('',#36365,#36367,#5074,.T.); #45070=EDGE_CURVE('',#36366,#36367,#5075,.T.); #45071=EDGE_CURVE('',#36366,#36368,#33037,.T.); #45072=EDGE_CURVE('',#36367,#36369,#33038,.T.); #45073=EDGE_CURVE('',#36368,#36369,#5076,.T.); #45074=EDGE_CURVE('',#36368,#36370,#33039,.T.); #45075=EDGE_CURVE('',#36369,#36371,#33040,.T.); #45076=EDGE_CURVE('',#36370,#36371,#5077,.T.); #45077=EDGE_CURVE('',#36370,#36358,#33041,.T.); #45078=EDGE_CURVE('',#36371,#36360,#33042,.T.); #45079=EDGE_CURVE('',#36372,#36373,#33043,.T.); #45080=EDGE_CURVE('',#36372,#36374,#5078,.T.); #45081=EDGE_CURVE('',#36374,#36375,#33044,.T.); #45082=EDGE_CURVE('',#36373,#36375,#5079,.T.); #45083=EDGE_CURVE('',#36373,#36376,#5080,.T.); #45084=EDGE_CURVE('',#36375,#36377,#5081,.T.); #45085=EDGE_CURVE('',#36376,#36377,#5082,.T.); #45086=EDGE_CURVE('',#36376,#36378,#5083,.T.); #45087=EDGE_CURVE('',#36377,#36379,#5084,.T.); #45088=EDGE_CURVE('',#36378,#36379,#5085,.T.); #45089=EDGE_CURVE('',#36378,#36380,#5086,.T.); #45090=EDGE_CURVE('',#36379,#36381,#5087,.T.); #45091=EDGE_CURVE('',#36380,#36381,#5088,.T.); #45092=EDGE_CURVE('',#36380,#36382,#33045,.T.); #45093=EDGE_CURVE('',#36381,#36383,#33046,.T.); #45094=EDGE_CURVE('',#36382,#36383,#5089,.T.); #45095=EDGE_CURVE('',#36382,#36384,#33047,.T.); #45096=EDGE_CURVE('',#36383,#36385,#33048,.T.); #45097=EDGE_CURVE('',#36384,#36385,#5090,.T.); #45098=EDGE_CURVE('',#36384,#36372,#33049,.T.); #45099=EDGE_CURVE('',#36385,#36374,#33050,.T.); #45100=EDGE_CURVE('',#36386,#36387,#33051,.T.); #45101=EDGE_CURVE('',#36386,#36388,#5091,.T.); #45102=EDGE_CURVE('',#36388,#36389,#33052,.T.); #45103=EDGE_CURVE('',#36387,#36389,#5092,.T.); #45104=EDGE_CURVE('',#36390,#36386,#5093,.T.); #45105=EDGE_CURVE('',#36390,#36391,#5094,.T.); #45106=EDGE_CURVE('',#36391,#36388,#5095,.T.); #45107=EDGE_CURVE('',#36392,#36390,#33053,.T.); #45108=EDGE_CURVE('',#36392,#36393,#5096,.T.); #45109=EDGE_CURVE('',#36393,#36391,#33054,.T.); #45110=EDGE_CURVE('',#36394,#36392,#33055,.T.); #45111=EDGE_CURVE('',#36394,#36395,#5097,.T.); #45112=EDGE_CURVE('',#36395,#36393,#33056,.T.); #45113=EDGE_CURVE('',#36396,#36394,#33057,.T.); #45114=EDGE_CURVE('',#36396,#36397,#5098,.T.); #45115=EDGE_CURVE('',#36397,#36395,#33058,.T.); #45116=EDGE_CURVE('',#36398,#36396,#5099,.T.); #45117=EDGE_CURVE('',#36398,#36399,#5100,.T.); #45118=EDGE_CURVE('',#36399,#36397,#5101,.T.); #45119=EDGE_CURVE('',#36400,#36398,#5102,.T.); #45120=EDGE_CURVE('',#36400,#36401,#5103,.T.); #45121=EDGE_CURVE('',#36401,#36399,#5104,.T.); #45122=EDGE_CURVE('',#36402,#36400,#5105,.T.); #45123=EDGE_CURVE('',#36402,#36403,#5106,.T.); #45124=EDGE_CURVE('',#36403,#36401,#5107,.T.); #45125=EDGE_CURVE('',#36404,#36402,#33059,.T.); #45126=EDGE_CURVE('',#36404,#36405,#5108,.T.); #45127=EDGE_CURVE('',#36405,#36403,#33060,.T.); #45128=EDGE_CURVE('',#36387,#36404,#33061,.T.); #45129=EDGE_CURVE('',#36389,#36405,#33062,.T.); #45130=EDGE_CURVE('',#36406,#36407,#5109,.T.); #45131=EDGE_CURVE('',#36406,#36408,#5110,.T.); #45132=EDGE_CURVE('',#36408,#36409,#5111,.T.); #45133=EDGE_CURVE('',#36407,#36409,#5112,.T.); #45134=EDGE_CURVE('',#36410,#36406,#33063,.T.); #45135=EDGE_CURVE('',#36410,#36411,#5113,.T.); #45136=EDGE_CURVE('',#36411,#36408,#33064,.T.); #45137=EDGE_CURVE('',#36412,#36410,#5114,.T.); #45138=EDGE_CURVE('',#36412,#36413,#5115,.T.); #45139=EDGE_CURVE('',#36413,#36411,#5116,.T.); #45140=EDGE_CURVE('',#36414,#36412,#33065,.T.); #45141=EDGE_CURVE('',#36414,#36415,#5117,.T.); #45142=EDGE_CURVE('',#36415,#36413,#33066,.T.); #45143=EDGE_CURVE('',#36416,#36414,#33067,.T.); #45144=EDGE_CURVE('',#36416,#36417,#5118,.T.); #45145=EDGE_CURVE('',#36417,#36415,#33068,.T.); #45146=EDGE_CURVE('',#36418,#36416,#5119,.T.); #45147=EDGE_CURVE('',#36418,#36419,#5120,.T.); #45148=EDGE_CURVE('',#36419,#36417,#5121,.T.); #45149=EDGE_CURVE('',#36420,#36418,#5122,.T.); #45150=EDGE_CURVE('',#36420,#36421,#5123,.T.); #45151=EDGE_CURVE('',#36421,#36419,#5124,.T.); #45152=EDGE_CURVE('',#36407,#36420,#5125,.T.); #45153=EDGE_CURVE('',#36409,#36421,#5126,.T.); #45154=EDGE_CURVE('',#36422,#36423,#33069,.T.); #45155=EDGE_CURVE('',#36422,#36424,#5127,.T.); #45156=EDGE_CURVE('',#36424,#36425,#33070,.T.); #45157=EDGE_CURVE('',#36423,#36425,#5128,.T.); #45158=EDGE_CURVE('',#36426,#36422,#33071,.T.); #45159=EDGE_CURVE('',#36426,#36427,#5129,.T.); #45160=EDGE_CURVE('',#36427,#36424,#33072,.T.); #45161=EDGE_CURVE('',#36428,#36426,#33073,.T.); #45162=EDGE_CURVE('',#36428,#36429,#5130,.T.); #45163=EDGE_CURVE('',#36429,#36427,#33074,.T.); #45164=EDGE_CURVE('',#36430,#36428,#5131,.T.); #45165=EDGE_CURVE('',#36430,#36431,#5132,.T.); #45166=EDGE_CURVE('',#36431,#36429,#5133,.T.); #45167=EDGE_CURVE('',#36432,#36430,#33075,.T.); #45168=EDGE_CURVE('',#36432,#36433,#5134,.T.); #45169=EDGE_CURVE('',#36433,#36431,#33076,.T.); #45170=EDGE_CURVE('',#36434,#36432,#33077,.T.); #45171=EDGE_CURVE('',#36434,#36435,#5135,.T.); #45172=EDGE_CURVE('',#36435,#36433,#33078,.T.); #45173=EDGE_CURVE('',#36436,#36434,#33079,.T.); #45174=EDGE_CURVE('',#36436,#36437,#5136,.T.); #45175=EDGE_CURVE('',#36437,#36435,#33080,.T.); #45176=EDGE_CURVE('',#36438,#36436,#33081,.T.); #45177=EDGE_CURVE('',#36438,#36439,#5137,.T.); #45178=EDGE_CURVE('',#36439,#36437,#33082,.T.); #45179=EDGE_CURVE('',#36423,#36438,#5138,.T.); #45180=EDGE_CURVE('',#36425,#36439,#5139,.T.); #45181=EDGE_CURVE('',#36440,#36441,#5140,.T.); #45182=EDGE_CURVE('',#36440,#36442,#5141,.T.); #45183=EDGE_CURVE('',#36442,#36443,#5142,.T.); #45184=EDGE_CURVE('',#36441,#36443,#5143,.T.); #45185=EDGE_CURVE('',#36444,#36440,#33083,.T.); #45186=EDGE_CURVE('',#36444,#36445,#5144,.T.); #45187=EDGE_CURVE('',#36445,#36442,#33084,.T.); #45188=EDGE_CURVE('',#36446,#36444,#33085,.T.); #45189=EDGE_CURVE('',#36446,#36447,#5145,.T.); #45190=EDGE_CURVE('',#36447,#36445,#33086,.T.); #45191=EDGE_CURVE('',#36448,#36446,#33087,.T.); #45192=EDGE_CURVE('',#36448,#36449,#5146,.T.); #45193=EDGE_CURVE('',#36449,#36447,#33088,.T.); #45194=EDGE_CURVE('',#36450,#36448,#33089,.T.); #45195=EDGE_CURVE('',#36450,#36451,#5147,.T.); #45196=EDGE_CURVE('',#36451,#36449,#33090,.T.); #45197=EDGE_CURVE('',#36452,#36450,#5148,.T.); #45198=EDGE_CURVE('',#36452,#36453,#5149,.T.); #45199=EDGE_CURVE('',#36453,#36451,#5150,.T.); #45200=EDGE_CURVE('',#36454,#36452,#33091,.T.); #45201=EDGE_CURVE('',#36454,#36455,#5151,.T.); #45202=EDGE_CURVE('',#36455,#36453,#33092,.T.); #45203=EDGE_CURVE('',#36456,#36454,#33093,.T.); #45204=EDGE_CURVE('',#36456,#36457,#5152,.T.); #45205=EDGE_CURVE('',#36457,#36455,#33094,.T.); #45206=EDGE_CURVE('',#36458,#36456,#33095,.T.); #45207=EDGE_CURVE('',#36458,#36459,#5153,.T.); #45208=EDGE_CURVE('',#36459,#36457,#33096,.T.); #45209=EDGE_CURVE('',#36460,#36458,#33097,.T.); #45210=EDGE_CURVE('',#36460,#36461,#5154,.T.); #45211=EDGE_CURVE('',#36461,#36459,#33098,.T.); #45212=EDGE_CURVE('',#36462,#36460,#33099,.T.); #45213=EDGE_CURVE('',#36462,#36463,#5155,.T.); #45214=EDGE_CURVE('',#36463,#36461,#33100,.T.); #45215=EDGE_CURVE('',#36464,#36462,#5156,.T.); #45216=EDGE_CURVE('',#36464,#36465,#5157,.T.); #45217=EDGE_CURVE('',#36465,#36463,#5158,.T.); #45218=EDGE_CURVE('',#36441,#36464,#5159,.T.); #45219=EDGE_CURVE('',#36443,#36465,#5160,.T.); #45220=EDGE_CURVE('',#36466,#36467,#33101,.T.); #45221=EDGE_CURVE('',#36466,#36468,#5161,.T.); #45222=EDGE_CURVE('',#36468,#36469,#33102,.T.); #45223=EDGE_CURVE('',#36467,#36469,#5162,.T.); #45224=EDGE_CURVE('',#36467,#36470,#33103,.T.); #45225=EDGE_CURVE('',#36469,#36471,#33104,.T.); #45226=EDGE_CURVE('',#36470,#36471,#5163,.T.); #45227=EDGE_CURVE('',#36470,#36472,#33105,.T.); #45228=EDGE_CURVE('',#36471,#36473,#33106,.T.); #45229=EDGE_CURVE('',#36472,#36473,#5164,.T.); #45230=EDGE_CURVE('',#36472,#36474,#33107,.T.); #45231=EDGE_CURVE('',#36473,#36475,#33108,.T.); #45232=EDGE_CURVE('',#36474,#36475,#5165,.T.); #45233=EDGE_CURVE('',#36474,#36476,#5166,.T.); #45234=EDGE_CURVE('',#36475,#36477,#5167,.T.); #45235=EDGE_CURVE('',#36476,#36477,#5168,.T.); #45236=EDGE_CURVE('',#36476,#36478,#5169,.T.); #45237=EDGE_CURVE('',#36477,#36479,#5170,.T.); #45238=EDGE_CURVE('',#36478,#36479,#5171,.T.); #45239=EDGE_CURVE('',#36478,#36466,#5172,.T.); #45240=EDGE_CURVE('',#36479,#36468,#5173,.T.); #45241=EDGE_CURVE('',#36480,#36481,#5174,.T.); #45242=EDGE_CURVE('',#36480,#36482,#5175,.T.); #45243=EDGE_CURVE('',#36482,#36483,#5176,.T.); #45244=EDGE_CURVE('',#36481,#36483,#5177,.T.); #45245=EDGE_CURVE('',#36484,#36480,#33109,.T.); #45246=EDGE_CURVE('',#36484,#36485,#5178,.T.); #45247=EDGE_CURVE('',#36485,#36482,#33110,.T.); #45248=EDGE_CURVE('',#36486,#36484,#33111,.T.); #45249=EDGE_CURVE('',#36486,#36487,#5179,.T.); #45250=EDGE_CURVE('',#36487,#36485,#33112,.T.); #45251=EDGE_CURVE('',#36488,#36486,#33113,.T.); #45252=EDGE_CURVE('',#36488,#36489,#5180,.T.); #45253=EDGE_CURVE('',#36489,#36487,#33114,.T.); #45254=EDGE_CURVE('',#36490,#36488,#33115,.T.); #45255=EDGE_CURVE('',#36490,#36491,#5181,.T.); #45256=EDGE_CURVE('',#36491,#36489,#33116,.T.); #45257=EDGE_CURVE('',#36492,#36490,#5182,.T.); #45258=EDGE_CURVE('',#36492,#36493,#5183,.T.); #45259=EDGE_CURVE('',#36493,#36491,#5184,.T.); #45260=EDGE_CURVE('',#36481,#36492,#5185,.T.); #45261=EDGE_CURVE('',#36483,#36493,#5186,.T.); #45262=EDGE_CURVE('',#36494,#36495,#5187,.T.); #45263=EDGE_CURVE('',#36494,#36496,#5188,.T.); #45264=EDGE_CURVE('',#36496,#36497,#5189,.T.); #45265=EDGE_CURVE('',#36495,#36497,#5190,.T.); #45266=EDGE_CURVE('',#36498,#36494,#5191,.T.); #45267=EDGE_CURVE('',#36498,#36499,#5192,.T.); #45268=EDGE_CURVE('',#36499,#36496,#5193,.T.); #45269=EDGE_CURVE('',#36500,#36498,#5194,.T.); #45270=EDGE_CURVE('',#36500,#36501,#5195,.T.); #45271=EDGE_CURVE('',#36501,#36499,#5196,.T.); #45272=EDGE_CURVE('',#36502,#36500,#5197,.T.); #45273=EDGE_CURVE('',#36502,#36503,#5198,.T.); #45274=EDGE_CURVE('',#36503,#36501,#5199,.T.); #45275=EDGE_CURVE('',#36504,#36502,#5200,.T.); #45276=EDGE_CURVE('',#36504,#36505,#5201,.T.); #45277=EDGE_CURVE('',#36505,#36503,#5202,.T.); #45278=EDGE_CURVE('',#36506,#36504,#5203,.T.); #45279=EDGE_CURVE('',#36506,#36507,#5204,.T.); #45280=EDGE_CURVE('',#36507,#36505,#5205,.T.); #45281=EDGE_CURVE('',#36508,#36506,#5206,.T.); #45282=EDGE_CURVE('',#36508,#36509,#5207,.T.); #45283=EDGE_CURVE('',#36509,#36507,#5208,.T.); #45284=EDGE_CURVE('',#36510,#36508,#5209,.T.); #45285=EDGE_CURVE('',#36510,#36511,#5210,.T.); #45286=EDGE_CURVE('',#36511,#36509,#5211,.T.); #45287=EDGE_CURVE('',#36512,#36510,#5212,.T.); #45288=EDGE_CURVE('',#36512,#36513,#5213,.T.); #45289=EDGE_CURVE('',#36513,#36511,#5214,.T.); #45290=EDGE_CURVE('',#36514,#36512,#5215,.T.); #45291=EDGE_CURVE('',#36514,#36515,#5216,.T.); #45292=EDGE_CURVE('',#36515,#36513,#5217,.T.); #45293=EDGE_CURVE('',#36516,#36514,#5218,.T.); #45294=EDGE_CURVE('',#36516,#36517,#5219,.T.); #45295=EDGE_CURVE('',#36517,#36515,#5220,.T.); #45296=EDGE_CURVE('',#36495,#36516,#5221,.T.); #45297=EDGE_CURVE('',#36497,#36517,#5222,.T.); #45298=EDGE_CURVE('',#36518,#36519,#5223,.T.); #45299=EDGE_CURVE('',#36518,#36520,#5224,.T.); #45300=EDGE_CURVE('',#36520,#36521,#5225,.T.); #45301=EDGE_CURVE('',#36519,#36521,#5226,.T.); #45302=EDGE_CURVE('',#36522,#36518,#5227,.T.); #45303=EDGE_CURVE('',#36522,#36523,#5228,.T.); #45304=EDGE_CURVE('',#36523,#36520,#5229,.T.); #45305=EDGE_CURVE('',#36524,#36522,#33117,.T.); #45306=EDGE_CURVE('',#36524,#36525,#5230,.T.); #45307=EDGE_CURVE('',#36525,#36523,#33118,.T.); #45308=EDGE_CURVE('',#36526,#36524,#5231,.T.); #45309=EDGE_CURVE('',#36526,#36527,#5232,.T.); #45310=EDGE_CURVE('',#36527,#36525,#5233,.T.); #45311=EDGE_CURVE('',#36528,#36526,#33119,.T.); #45312=EDGE_CURVE('',#36528,#36529,#5234,.T.); #45313=EDGE_CURVE('',#36529,#36527,#33120,.T.); #45314=EDGE_CURVE('',#36530,#36528,#5235,.T.); #45315=EDGE_CURVE('',#36530,#36531,#5236,.T.); #45316=EDGE_CURVE('',#36531,#36529,#5237,.T.); #45317=EDGE_CURVE('',#36519,#36530,#5238,.T.); #45318=EDGE_CURVE('',#36521,#36531,#5239,.T.); #45319=EDGE_CURVE('',#36532,#36533,#5240,.T.); #45320=EDGE_CURVE('',#36532,#36534,#5241,.T.); #45321=EDGE_CURVE('',#36534,#36535,#5242,.T.); #45322=EDGE_CURVE('',#36533,#36535,#5243,.T.); #45323=EDGE_CURVE('',#36536,#36532,#5244,.T.); #45324=EDGE_CURVE('',#36536,#36537,#5245,.T.); #45325=EDGE_CURVE('',#36537,#36534,#5246,.T.); #45326=EDGE_CURVE('',#36538,#36536,#33121,.T.); #45327=EDGE_CURVE('',#36538,#36539,#5247,.T.); #45328=EDGE_CURVE('',#36539,#36537,#33122,.T.); #45329=EDGE_CURVE('',#36540,#36538,#33123,.T.); #45330=EDGE_CURVE('',#36540,#36541,#5248,.T.); #45331=EDGE_CURVE('',#36541,#36539,#33124,.T.); #45332=EDGE_CURVE('',#36542,#36540,#33125,.T.); #45333=EDGE_CURVE('',#36542,#36543,#5249,.T.); #45334=EDGE_CURVE('',#36543,#36541,#33126,.T.); #45335=EDGE_CURVE('',#36544,#36542,#33127,.T.); #45336=EDGE_CURVE('',#36544,#36545,#5250,.T.); #45337=EDGE_CURVE('',#36545,#36543,#33128,.T.); #45338=EDGE_CURVE('',#36546,#36544,#33129,.T.); #45339=EDGE_CURVE('',#36546,#36547,#5251,.T.); #45340=EDGE_CURVE('',#36547,#36545,#33130,.T.); #45341=EDGE_CURVE('',#36548,#36546,#5252,.T.); #45342=EDGE_CURVE('',#36548,#36549,#5253,.T.); #45343=EDGE_CURVE('',#36549,#36547,#5254,.T.); #45344=EDGE_CURVE('',#36550,#36548,#33131,.T.); #45345=EDGE_CURVE('',#36550,#36551,#5255,.T.); #45346=EDGE_CURVE('',#36551,#36549,#33132,.T.); #45347=EDGE_CURVE('',#36552,#36550,#33133,.T.); #45348=EDGE_CURVE('',#36552,#36553,#5256,.T.); #45349=EDGE_CURVE('',#36553,#36551,#33134,.T.); #45350=EDGE_CURVE('',#36554,#36552,#33135,.T.); #45351=EDGE_CURVE('',#36554,#36555,#5257,.T.); #45352=EDGE_CURVE('',#36555,#36553,#33136,.T.); #45353=EDGE_CURVE('',#36556,#36554,#33137,.T.); #45354=EDGE_CURVE('',#36556,#36557,#5258,.T.); #45355=EDGE_CURVE('',#36557,#36555,#33138,.T.); #45356=EDGE_CURVE('',#36558,#36556,#5259,.T.); #45357=EDGE_CURVE('',#36558,#36559,#5260,.T.); #45358=EDGE_CURVE('',#36559,#36557,#5261,.T.); #45359=EDGE_CURVE('',#36560,#36558,#5262,.T.); #45360=EDGE_CURVE('',#36560,#36561,#5263,.T.); #45361=EDGE_CURVE('',#36561,#36559,#5264,.T.); #45362=EDGE_CURVE('',#36533,#36560,#5265,.T.); #45363=EDGE_CURVE('',#36535,#36561,#5266,.T.); #45364=EDGE_CURVE('',#36562,#36563,#5267,.T.); #45365=EDGE_CURVE('',#36562,#36564,#5268,.T.); #45366=EDGE_CURVE('',#36564,#36565,#5269,.T.); #45367=EDGE_CURVE('',#36563,#36565,#5270,.T.); #45368=EDGE_CURVE('',#36566,#36562,#5271,.T.); #45369=EDGE_CURVE('',#36566,#36567,#5272,.T.); #45370=EDGE_CURVE('',#36567,#36564,#5273,.T.); #45371=EDGE_CURVE('',#36568,#36566,#5274,.T.); #45372=EDGE_CURVE('',#36568,#36569,#5275,.T.); #45373=EDGE_CURVE('',#36569,#36567,#5276,.T.); #45374=EDGE_CURVE('',#36563,#36568,#5277,.T.); #45375=EDGE_CURVE('',#36565,#36569,#5278,.T.); #45376=EDGE_CURVE('',#36570,#36571,#33139,.T.); #45377=EDGE_CURVE('',#36570,#36572,#5279,.T.); #45378=EDGE_CURVE('',#36572,#36573,#33140,.T.); #45379=EDGE_CURVE('',#36571,#36573,#5280,.T.); #45380=EDGE_CURVE('',#36571,#36574,#33141,.T.); #45381=EDGE_CURVE('',#36573,#36575,#33142,.T.); #45382=EDGE_CURVE('',#36574,#36575,#5281,.T.); #45383=EDGE_CURVE('',#36574,#36576,#33143,.T.); #45384=EDGE_CURVE('',#36575,#36577,#33144,.T.); #45385=EDGE_CURVE('',#36576,#36577,#5282,.T.); #45386=EDGE_CURVE('',#36576,#36570,#33145,.T.); #45387=EDGE_CURVE('',#36577,#36572,#33146,.T.); #45388=EDGE_CURVE('',#36578,#36579,#33147,.T.); #45389=EDGE_CURVE('',#36578,#36580,#5283,.T.); #45390=EDGE_CURVE('',#36580,#36581,#33148,.T.); #45391=EDGE_CURVE('',#36579,#36581,#5284,.T.); #45392=EDGE_CURVE('',#36582,#36578,#33149,.T.); #45393=EDGE_CURVE('',#36582,#36583,#5285,.T.); #45394=EDGE_CURVE('',#36583,#36580,#33150,.T.); #45395=EDGE_CURVE('',#36584,#36582,#33151,.T.); #45396=EDGE_CURVE('',#36584,#36585,#5286,.T.); #45397=EDGE_CURVE('',#36585,#36583,#33152,.T.); #45398=EDGE_CURVE('',#36586,#36584,#33153,.T.); #45399=EDGE_CURVE('',#36586,#36587,#5287,.T.); #45400=EDGE_CURVE('',#36587,#36585,#33154,.T.); #45401=EDGE_CURVE('',#36588,#36586,#33155,.T.); #45402=EDGE_CURVE('',#36588,#36589,#5288,.T.); #45403=EDGE_CURVE('',#36589,#36587,#33156,.T.); #45404=EDGE_CURVE('',#36590,#36588,#33157,.T.); #45405=EDGE_CURVE('',#36590,#36591,#5289,.T.); #45406=EDGE_CURVE('',#36591,#36589,#33158,.T.); #45407=EDGE_CURVE('',#36592,#36590,#33159,.T.); #45408=EDGE_CURVE('',#36592,#36593,#5290,.T.); #45409=EDGE_CURVE('',#36593,#36591,#33160,.T.); #45410=EDGE_CURVE('',#36594,#36592,#33161,.T.); #45411=EDGE_CURVE('',#36594,#36595,#5291,.T.); #45412=EDGE_CURVE('',#36595,#36593,#33162,.T.); #45413=EDGE_CURVE('',#36596,#36594,#33163,.T.); #45414=EDGE_CURVE('',#36596,#36597,#5292,.T.); #45415=EDGE_CURVE('',#36597,#36595,#33164,.T.); #45416=EDGE_CURVE('',#36598,#36596,#33165,.T.); #45417=EDGE_CURVE('',#36598,#36599,#5293,.T.); #45418=EDGE_CURVE('',#36599,#36597,#33166,.T.); #45419=EDGE_CURVE('',#36600,#36598,#33167,.T.); #45420=EDGE_CURVE('',#36600,#36601,#5294,.T.); #45421=EDGE_CURVE('',#36601,#36599,#33168,.T.); #45422=EDGE_CURVE('',#36579,#36600,#5295,.T.); #45423=EDGE_CURVE('',#36581,#36601,#5296,.T.); #45424=EDGE_CURVE('',#36602,#36603,#5297,.T.); #45425=EDGE_CURVE('',#36602,#36604,#5298,.T.); #45426=EDGE_CURVE('',#36604,#36605,#5299,.T.); #45427=EDGE_CURVE('',#36603,#36605,#5300,.T.); #45428=EDGE_CURVE('',#36603,#36606,#33169,.T.); #45429=EDGE_CURVE('',#36605,#36607,#33170,.T.); #45430=EDGE_CURVE('',#36606,#36607,#5301,.T.); #45431=EDGE_CURVE('',#36606,#36608,#33171,.T.); #45432=EDGE_CURVE('',#36607,#36609,#33172,.T.); #45433=EDGE_CURVE('',#36608,#36609,#5302,.T.); #45434=EDGE_CURVE('',#36608,#36610,#5303,.T.); #45435=EDGE_CURVE('',#36609,#36611,#5304,.T.); #45436=EDGE_CURVE('',#36610,#36611,#5305,.T.); #45437=EDGE_CURVE('',#36610,#36602,#5306,.T.); #45438=EDGE_CURVE('',#36611,#36604,#5307,.T.); #45439=EDGE_CURVE('',#36612,#36613,#5308,.T.); #45440=EDGE_CURVE('',#36612,#36614,#5309,.T.); #45441=EDGE_CURVE('',#36614,#36615,#5310,.T.); #45442=EDGE_CURVE('',#36613,#36615,#5311,.T.); #45443=EDGE_CURVE('',#36616,#36612,#5312,.T.); #45444=EDGE_CURVE('',#36616,#36617,#5313,.T.); #45445=EDGE_CURVE('',#36617,#36614,#5314,.T.); #45446=EDGE_CURVE('',#36618,#36616,#5315,.T.); #45447=EDGE_CURVE('',#36618,#36619,#5316,.T.); #45448=EDGE_CURVE('',#36619,#36617,#5317,.T.); #45449=EDGE_CURVE('',#36620,#36618,#5318,.T.); #45450=EDGE_CURVE('',#36620,#36621,#5319,.T.); #45451=EDGE_CURVE('',#36621,#36619,#5320,.T.); #45452=EDGE_CURVE('',#36622,#36620,#5321,.T.); #45453=EDGE_CURVE('',#36622,#36623,#5322,.T.); #45454=EDGE_CURVE('',#36623,#36621,#5323,.T.); #45455=EDGE_CURVE('',#36624,#36622,#5324,.T.); #45456=EDGE_CURVE('',#36624,#36625,#5325,.T.); #45457=EDGE_CURVE('',#36625,#36623,#5326,.T.); #45458=EDGE_CURVE('',#36626,#36624,#5327,.T.); #45459=EDGE_CURVE('',#36626,#36627,#5328,.T.); #45460=EDGE_CURVE('',#36627,#36625,#5329,.T.); #45461=EDGE_CURVE('',#36613,#36626,#5330,.T.); #45462=EDGE_CURVE('',#36615,#36627,#5331,.T.); #45463=EDGE_CURVE('',#36628,#36629,#33173,.T.); #45464=EDGE_CURVE('',#36628,#36630,#5332,.T.); #45465=EDGE_CURVE('',#36630,#36631,#33174,.T.); #45466=EDGE_CURVE('',#36629,#36631,#5333,.T.); #45467=EDGE_CURVE('',#36629,#36632,#33175,.T.); #45468=EDGE_CURVE('',#36631,#36633,#33176,.T.); #45469=EDGE_CURVE('',#36632,#36633,#5334,.T.); #45470=EDGE_CURVE('',#36632,#36634,#33177,.T.); #45471=EDGE_CURVE('',#36633,#36635,#33178,.T.); #45472=EDGE_CURVE('',#36634,#36635,#5335,.T.); #45473=EDGE_CURVE('',#36634,#36636,#5336,.T.); #45474=EDGE_CURVE('',#36635,#36637,#5337,.T.); #45475=EDGE_CURVE('',#36636,#36637,#5338,.T.); #45476=EDGE_CURVE('',#36636,#36638,#5339,.T.); #45477=EDGE_CURVE('',#36637,#36639,#5340,.T.); #45478=EDGE_CURVE('',#36638,#36639,#5341,.T.); #45479=EDGE_CURVE('',#36638,#36628,#5342,.T.); #45480=EDGE_CURVE('',#36639,#36630,#5343,.T.); #45481=EDGE_CURVE('',#36640,#36641,#5344,.T.); #45482=EDGE_CURVE('',#36640,#36642,#5345,.T.); #45483=EDGE_CURVE('',#36642,#36643,#5346,.T.); #45484=EDGE_CURVE('',#36641,#36643,#5347,.T.); #45485=EDGE_CURVE('',#36644,#36640,#5348,.T.); #45486=EDGE_CURVE('',#36644,#36645,#5349,.T.); #45487=EDGE_CURVE('',#36645,#36642,#5350,.T.); #45488=EDGE_CURVE('',#36646,#36644,#5351,.T.); #45489=EDGE_CURVE('',#36646,#36647,#5352,.T.); #45490=EDGE_CURVE('',#36647,#36645,#5353,.T.); #45491=EDGE_CURVE('',#36648,#36646,#33179,.T.); #45492=EDGE_CURVE('',#36648,#36649,#5354,.T.); #45493=EDGE_CURVE('',#36649,#36647,#33180,.T.); #45494=EDGE_CURVE('',#36650,#36648,#33181,.T.); #45495=EDGE_CURVE('',#36650,#36651,#5355,.T.); #45496=EDGE_CURVE('',#36651,#36649,#33182,.T.); #45497=EDGE_CURVE('',#36652,#36650,#33183,.T.); #45498=EDGE_CURVE('',#36652,#36653,#5356,.T.); #45499=EDGE_CURVE('',#36653,#36651,#33184,.T.); #45500=EDGE_CURVE('',#36654,#36652,#5357,.T.); #45501=EDGE_CURVE('',#36654,#36655,#5358,.T.); #45502=EDGE_CURVE('',#36655,#36653,#5359,.T.); #45503=EDGE_CURVE('',#36641,#36654,#5360,.T.); #45504=EDGE_CURVE('',#36643,#36655,#5361,.T.); #45505=EDGE_CURVE('',#36656,#36657,#5362,.T.); #45506=EDGE_CURVE('',#36656,#36658,#5363,.T.); #45507=EDGE_CURVE('',#36658,#36659,#5364,.T.); #45508=EDGE_CURVE('',#36657,#36659,#5365,.T.); #45509=EDGE_CURVE('',#36660,#36656,#5366,.T.); #45510=EDGE_CURVE('',#36660,#36661,#5367,.T.); #45511=EDGE_CURVE('',#36661,#36658,#5368,.T.); #45512=EDGE_CURVE('',#36662,#36660,#5369,.T.); #45513=EDGE_CURVE('',#36662,#36663,#5370,.T.); #45514=EDGE_CURVE('',#36663,#36661,#5371,.T.); #45515=EDGE_CURVE('',#36664,#36662,#5372,.T.); #45516=EDGE_CURVE('',#36664,#36665,#5373,.T.); #45517=EDGE_CURVE('',#36665,#36663,#5374,.T.); #45518=EDGE_CURVE('',#36666,#36664,#5375,.T.); #45519=EDGE_CURVE('',#36666,#36667,#5376,.T.); #45520=EDGE_CURVE('',#36667,#36665,#5377,.T.); #45521=EDGE_CURVE('',#36668,#36666,#5378,.T.); #45522=EDGE_CURVE('',#36668,#36669,#5379,.T.); #45523=EDGE_CURVE('',#36669,#36667,#5380,.T.); #45524=EDGE_CURVE('',#36657,#36668,#5381,.T.); #45525=EDGE_CURVE('',#36659,#36669,#5382,.T.); #45526=EDGE_CURVE('',#36670,#36671,#5383,.T.); #45527=EDGE_CURVE('',#36670,#36672,#5384,.T.); #45528=EDGE_CURVE('',#36672,#36673,#5385,.T.); #45529=EDGE_CURVE('',#36671,#36673,#5386,.T.); #45530=EDGE_CURVE('',#36674,#36670,#5387,.T.); #45531=EDGE_CURVE('',#36674,#36675,#5388,.T.); #45532=EDGE_CURVE('',#36675,#36672,#5389,.T.); #45533=EDGE_CURVE('',#36676,#36674,#5390,.T.); #45534=EDGE_CURVE('',#36676,#36677,#5391,.T.); #45535=EDGE_CURVE('',#36677,#36675,#5392,.T.); #45536=EDGE_CURVE('',#36678,#36676,#5393,.T.); #45537=EDGE_CURVE('',#36678,#36679,#5394,.T.); #45538=EDGE_CURVE('',#36679,#36677,#5395,.T.); #45539=EDGE_CURVE('',#36680,#36678,#5396,.T.); #45540=EDGE_CURVE('',#36680,#36681,#5397,.T.); #45541=EDGE_CURVE('',#36681,#36679,#5398,.T.); #45542=EDGE_CURVE('',#36682,#36680,#5399,.T.); #45543=EDGE_CURVE('',#36682,#36683,#5400,.T.); #45544=EDGE_CURVE('',#36683,#36681,#5401,.T.); #45545=EDGE_CURVE('',#36671,#36682,#5402,.T.); #45546=EDGE_CURVE('',#36673,#36683,#5403,.T.); #45547=EDGE_CURVE('',#36684,#36685,#5404,.T.); #45548=EDGE_CURVE('',#36684,#36686,#5405,.T.); #45549=EDGE_CURVE('',#36686,#36687,#5406,.T.); #45550=EDGE_CURVE('',#36685,#36687,#5407,.T.); #45551=EDGE_CURVE('',#36688,#36684,#5408,.T.); #45552=EDGE_CURVE('',#36688,#36689,#5409,.T.); #45553=EDGE_CURVE('',#36689,#36686,#5410,.T.); #45554=EDGE_CURVE('',#36690,#36688,#5411,.T.); #45555=EDGE_CURVE('',#36690,#36691,#5412,.T.); #45556=EDGE_CURVE('',#36691,#36689,#5413,.T.); #45557=EDGE_CURVE('',#36692,#36690,#5414,.T.); #45558=EDGE_CURVE('',#36692,#36693,#5415,.T.); #45559=EDGE_CURVE('',#36693,#36691,#5416,.T.); #45560=EDGE_CURVE('',#36694,#36692,#5417,.T.); #45561=EDGE_CURVE('',#36694,#36695,#5418,.T.); #45562=EDGE_CURVE('',#36695,#36693,#5419,.T.); #45563=EDGE_CURVE('',#36696,#36694,#5420,.T.); #45564=EDGE_CURVE('',#36696,#36697,#5421,.T.); #45565=EDGE_CURVE('',#36697,#36695,#5422,.T.); #45566=EDGE_CURVE('',#36685,#36696,#5423,.T.); #45567=EDGE_CURVE('',#36687,#36697,#5424,.T.); #45568=EDGE_CURVE('',#36698,#36699,#5425,.T.); #45569=EDGE_CURVE('',#36698,#36700,#5426,.T.); #45570=EDGE_CURVE('',#36700,#36701,#5427,.T.); #45571=EDGE_CURVE('',#36699,#36701,#5428,.T.); #45572=EDGE_CURVE('',#36699,#36702,#5429,.T.); #45573=EDGE_CURVE('',#36701,#36703,#5430,.T.); #45574=EDGE_CURVE('',#36702,#36703,#5431,.T.); #45575=EDGE_CURVE('',#36702,#36704,#5432,.T.); #45576=EDGE_CURVE('',#36703,#36705,#5433,.T.); #45577=EDGE_CURVE('',#36704,#36705,#5434,.T.); #45578=EDGE_CURVE('',#36704,#36706,#5435,.T.); #45579=EDGE_CURVE('',#36705,#36707,#5436,.T.); #45580=EDGE_CURVE('',#36706,#36707,#5437,.T.); #45581=EDGE_CURVE('',#36706,#36708,#5438,.T.); #45582=EDGE_CURVE('',#36707,#36709,#5439,.T.); #45583=EDGE_CURVE('',#36708,#36709,#5440,.T.); #45584=EDGE_CURVE('',#36708,#36710,#5441,.T.); #45585=EDGE_CURVE('',#36709,#36711,#5442,.T.); #45586=EDGE_CURVE('',#36710,#36711,#5443,.T.); #45587=EDGE_CURVE('',#36710,#36712,#5444,.T.); #45588=EDGE_CURVE('',#36711,#36713,#5445,.T.); #45589=EDGE_CURVE('',#36712,#36713,#5446,.T.); #45590=EDGE_CURVE('',#36712,#36714,#5447,.T.); #45591=EDGE_CURVE('',#36713,#36715,#5448,.T.); #45592=EDGE_CURVE('',#36714,#36715,#5449,.T.); #45593=EDGE_CURVE('',#36714,#36716,#5450,.T.); #45594=EDGE_CURVE('',#36715,#36717,#5451,.T.); #45595=EDGE_CURVE('',#36716,#36717,#5452,.T.); #45596=EDGE_CURVE('',#36716,#36718,#5453,.T.); #45597=EDGE_CURVE('',#36717,#36719,#5454,.T.); #45598=EDGE_CURVE('',#36718,#36719,#5455,.T.); #45599=EDGE_CURVE('',#36718,#36720,#5456,.T.); #45600=EDGE_CURVE('',#36719,#36721,#5457,.T.); #45601=EDGE_CURVE('',#36720,#36721,#5458,.T.); #45602=EDGE_CURVE('',#36720,#36722,#5459,.T.); #45603=EDGE_CURVE('',#36721,#36723,#5460,.T.); #45604=EDGE_CURVE('',#36722,#36723,#5461,.T.); #45605=EDGE_CURVE('',#36722,#36724,#5462,.T.); #45606=EDGE_CURVE('',#36723,#36725,#5463,.T.); #45607=EDGE_CURVE('',#36724,#36725,#5464,.T.); #45608=EDGE_CURVE('',#36724,#36726,#5465,.T.); #45609=EDGE_CURVE('',#36725,#36727,#5466,.T.); #45610=EDGE_CURVE('',#36726,#36727,#5467,.T.); #45611=EDGE_CURVE('',#36726,#36728,#5468,.T.); #45612=EDGE_CURVE('',#36727,#36729,#5469,.T.); #45613=EDGE_CURVE('',#36728,#36729,#5470,.T.); #45614=EDGE_CURVE('',#36728,#36730,#5471,.T.); #45615=EDGE_CURVE('',#36729,#36731,#5472,.T.); #45616=EDGE_CURVE('',#36730,#36731,#5473,.T.); #45617=EDGE_CURVE('',#36730,#36732,#5474,.T.); #45618=EDGE_CURVE('',#36731,#36733,#5475,.T.); #45619=EDGE_CURVE('',#36732,#36733,#5476,.T.); #45620=EDGE_CURVE('',#36732,#36698,#5477,.T.); #45621=EDGE_CURVE('',#36733,#36700,#5478,.T.); #45622=EDGE_CURVE('',#36734,#36735,#5479,.T.); #45623=EDGE_CURVE('',#36734,#36736,#5480,.T.); #45624=EDGE_CURVE('',#36736,#36737,#5481,.T.); #45625=EDGE_CURVE('',#36735,#36737,#5482,.T.); #45626=EDGE_CURVE('',#36738,#36734,#5483,.T.); #45627=EDGE_CURVE('',#36738,#36739,#5484,.T.); #45628=EDGE_CURVE('',#36739,#36736,#5485,.T.); #45629=EDGE_CURVE('',#36740,#36738,#5486,.T.); #45630=EDGE_CURVE('',#36740,#36741,#5487,.T.); #45631=EDGE_CURVE('',#36741,#36739,#5488,.T.); #45632=EDGE_CURVE('',#36742,#36740,#5489,.T.); #45633=EDGE_CURVE('',#36742,#36743,#5490,.T.); #45634=EDGE_CURVE('',#36743,#36741,#5491,.T.); #45635=EDGE_CURVE('',#36744,#36742,#5492,.T.); #45636=EDGE_CURVE('',#36744,#36745,#5493,.T.); #45637=EDGE_CURVE('',#36745,#36743,#5494,.T.); #45638=EDGE_CURVE('',#36746,#36744,#5495,.T.); #45639=EDGE_CURVE('',#36746,#36747,#5496,.T.); #45640=EDGE_CURVE('',#36747,#36745,#5497,.T.); #45641=EDGE_CURVE('',#36748,#36746,#5498,.T.); #45642=EDGE_CURVE('',#36748,#36749,#5499,.T.); #45643=EDGE_CURVE('',#36749,#36747,#5500,.T.); #45644=EDGE_CURVE('',#36750,#36748,#5501,.T.); #45645=EDGE_CURVE('',#36750,#36751,#5502,.T.); #45646=EDGE_CURVE('',#36751,#36749,#5503,.T.); #45647=EDGE_CURVE('',#36752,#36750,#5504,.T.); #45648=EDGE_CURVE('',#36752,#36753,#5505,.T.); #45649=EDGE_CURVE('',#36753,#36751,#5506,.T.); #45650=EDGE_CURVE('',#36754,#36752,#5507,.T.); #45651=EDGE_CURVE('',#36754,#36755,#5508,.T.); #45652=EDGE_CURVE('',#36755,#36753,#5509,.T.); #45653=EDGE_CURVE('',#36756,#36754,#5510,.T.); #45654=EDGE_CURVE('',#36756,#36757,#5511,.T.); #45655=EDGE_CURVE('',#36757,#36755,#5512,.T.); #45656=EDGE_CURVE('',#36758,#36756,#5513,.T.); #45657=EDGE_CURVE('',#36758,#36759,#5514,.T.); #45658=EDGE_CURVE('',#36759,#36757,#5515,.T.); #45659=EDGE_CURVE('',#36760,#36758,#5516,.T.); #45660=EDGE_CURVE('',#36760,#36761,#5517,.T.); #45661=EDGE_CURVE('',#36761,#36759,#5518,.T.); #45662=EDGE_CURVE('',#36762,#36760,#5519,.T.); #45663=EDGE_CURVE('',#36762,#36763,#5520,.T.); #45664=EDGE_CURVE('',#36763,#36761,#5521,.T.); #45665=EDGE_CURVE('',#36764,#36762,#5522,.T.); #45666=EDGE_CURVE('',#36764,#36765,#5523,.T.); #45667=EDGE_CURVE('',#36765,#36763,#5524,.T.); #45668=EDGE_CURVE('',#36766,#36764,#5525,.T.); #45669=EDGE_CURVE('',#36766,#36767,#5526,.T.); #45670=EDGE_CURVE('',#36767,#36765,#5527,.T.); #45671=EDGE_CURVE('',#36768,#36766,#5528,.T.); #45672=EDGE_CURVE('',#36768,#36769,#5529,.T.); #45673=EDGE_CURVE('',#36769,#36767,#5530,.T.); #45674=EDGE_CURVE('',#36770,#36768,#5531,.T.); #45675=EDGE_CURVE('',#36770,#36771,#5532,.T.); #45676=EDGE_CURVE('',#36771,#36769,#5533,.T.); #45677=EDGE_CURVE('',#36772,#36770,#5534,.T.); #45678=EDGE_CURVE('',#36772,#36773,#5535,.T.); #45679=EDGE_CURVE('',#36773,#36771,#5536,.T.); #45680=EDGE_CURVE('',#36774,#36772,#5537,.T.); #45681=EDGE_CURVE('',#36774,#36775,#5538,.T.); #45682=EDGE_CURVE('',#36775,#36773,#5539,.T.); #45683=EDGE_CURVE('',#36776,#36774,#5540,.T.); #45684=EDGE_CURVE('',#36776,#36777,#5541,.T.); #45685=EDGE_CURVE('',#36777,#36775,#5542,.T.); #45686=EDGE_CURVE('',#36778,#36776,#5543,.T.); #45687=EDGE_CURVE('',#36778,#36779,#5544,.T.); #45688=EDGE_CURVE('',#36779,#36777,#5545,.T.); #45689=EDGE_CURVE('',#36780,#36778,#5546,.T.); #45690=EDGE_CURVE('',#36780,#36781,#5547,.T.); #45691=EDGE_CURVE('',#36781,#36779,#5548,.T.); #45692=EDGE_CURVE('',#36782,#36780,#5549,.T.); #45693=EDGE_CURVE('',#36782,#36783,#5550,.T.); #45694=EDGE_CURVE('',#36783,#36781,#5551,.T.); #45695=EDGE_CURVE('',#36784,#36782,#5552,.T.); #45696=EDGE_CURVE('',#36784,#36785,#5553,.T.); #45697=EDGE_CURVE('',#36785,#36783,#5554,.T.); #45698=EDGE_CURVE('',#36735,#36784,#5555,.T.); #45699=EDGE_CURVE('',#36737,#36785,#5556,.T.); #45700=EDGE_CURVE('',#36786,#36787,#5557,.T.); #45701=EDGE_CURVE('',#36786,#36788,#5558,.T.); #45702=EDGE_CURVE('',#36788,#36789,#5559,.T.); #45703=EDGE_CURVE('',#36787,#36789,#5560,.T.); #45704=EDGE_CURVE('',#36790,#36786,#5561,.T.); #45705=EDGE_CURVE('',#36790,#36791,#5562,.T.); #45706=EDGE_CURVE('',#36791,#36788,#5563,.T.); #45707=EDGE_CURVE('',#36792,#36790,#5564,.T.); #45708=EDGE_CURVE('',#36792,#36793,#5565,.T.); #45709=EDGE_CURVE('',#36793,#36791,#5566,.T.); #45710=EDGE_CURVE('',#36794,#36792,#5567,.T.); #45711=EDGE_CURVE('',#36794,#36795,#5568,.T.); #45712=EDGE_CURVE('',#36795,#36793,#5569,.T.); #45713=EDGE_CURVE('',#36796,#36794,#5570,.T.); #45714=EDGE_CURVE('',#36796,#36797,#5571,.T.); #45715=EDGE_CURVE('',#36797,#36795,#5572,.T.); #45716=EDGE_CURVE('',#36798,#36796,#5573,.T.); #45717=EDGE_CURVE('',#36798,#36799,#5574,.T.); #45718=EDGE_CURVE('',#36799,#36797,#5575,.T.); #45719=EDGE_CURVE('',#36787,#36798,#5576,.T.); #45720=EDGE_CURVE('',#36789,#36799,#5577,.T.); #45721=EDGE_CURVE('',#36800,#36801,#5578,.T.); #45722=EDGE_CURVE('',#36800,#36802,#5579,.T.); #45723=EDGE_CURVE('',#36802,#36803,#5580,.T.); #45724=EDGE_CURVE('',#36801,#36803,#5581,.T.); #45725=EDGE_CURVE('',#36804,#36800,#5582,.T.); #45726=EDGE_CURVE('',#36804,#36805,#5583,.T.); #45727=EDGE_CURVE('',#36805,#36802,#5584,.T.); #45728=EDGE_CURVE('',#36806,#36804,#5585,.T.); #45729=EDGE_CURVE('',#36806,#36807,#5586,.T.); #45730=EDGE_CURVE('',#36807,#36805,#5587,.T.); #45731=EDGE_CURVE('',#36808,#36806,#5588,.T.); #45732=EDGE_CURVE('',#36808,#36809,#5589,.T.); #45733=EDGE_CURVE('',#36809,#36807,#5590,.T.); #45734=EDGE_CURVE('',#36810,#36808,#5591,.T.); #45735=EDGE_CURVE('',#36810,#36811,#5592,.T.); #45736=EDGE_CURVE('',#36811,#36809,#5593,.T.); #45737=EDGE_CURVE('',#36812,#36810,#5594,.T.); #45738=EDGE_CURVE('',#36812,#36813,#5595,.T.); #45739=EDGE_CURVE('',#36813,#36811,#5596,.T.); #45740=EDGE_CURVE('',#36814,#36812,#5597,.T.); #45741=EDGE_CURVE('',#36814,#36815,#5598,.T.); #45742=EDGE_CURVE('',#36815,#36813,#5599,.T.); #45743=EDGE_CURVE('',#36816,#36814,#5600,.T.); #45744=EDGE_CURVE('',#36816,#36817,#5601,.T.); #45745=EDGE_CURVE('',#36817,#36815,#5602,.T.); #45746=EDGE_CURVE('',#36818,#36816,#5603,.T.); #45747=EDGE_CURVE('',#36818,#36819,#5604,.T.); #45748=EDGE_CURVE('',#36819,#36817,#5605,.T.); #45749=EDGE_CURVE('',#36820,#36818,#5606,.T.); #45750=EDGE_CURVE('',#36820,#36821,#5607,.T.); #45751=EDGE_CURVE('',#36821,#36819,#5608,.T.); #45752=EDGE_CURVE('',#36822,#36820,#5609,.T.); #45753=EDGE_CURVE('',#36822,#36823,#5610,.T.); #45754=EDGE_CURVE('',#36823,#36821,#5611,.T.); #45755=EDGE_CURVE('',#36824,#36822,#5612,.T.); #45756=EDGE_CURVE('',#36824,#36825,#5613,.T.); #45757=EDGE_CURVE('',#36825,#36823,#5614,.T.); #45758=EDGE_CURVE('',#36826,#36824,#5615,.T.); #45759=EDGE_CURVE('',#36826,#36827,#5616,.T.); #45760=EDGE_CURVE('',#36827,#36825,#5617,.T.); #45761=EDGE_CURVE('',#36828,#36826,#5618,.T.); #45762=EDGE_CURVE('',#36828,#36829,#5619,.T.); #45763=EDGE_CURVE('',#36829,#36827,#5620,.T.); #45764=EDGE_CURVE('',#36830,#36828,#5621,.T.); #45765=EDGE_CURVE('',#36830,#36831,#5622,.T.); #45766=EDGE_CURVE('',#36831,#36829,#5623,.T.); #45767=EDGE_CURVE('',#36832,#36830,#5624,.T.); #45768=EDGE_CURVE('',#36832,#36833,#5625,.T.); #45769=EDGE_CURVE('',#36833,#36831,#5626,.T.); #45770=EDGE_CURVE('',#36834,#36832,#5627,.T.); #45771=EDGE_CURVE('',#36834,#36835,#5628,.T.); #45772=EDGE_CURVE('',#36835,#36833,#5629,.T.); #45773=EDGE_CURVE('',#36836,#36834,#5630,.T.); #45774=EDGE_CURVE('',#36836,#36837,#5631,.T.); #45775=EDGE_CURVE('',#36837,#36835,#5632,.T.); #45776=EDGE_CURVE('',#36838,#36836,#5633,.T.); #45777=EDGE_CURVE('',#36838,#36839,#5634,.T.); #45778=EDGE_CURVE('',#36839,#36837,#5635,.T.); #45779=EDGE_CURVE('',#36840,#36838,#5636,.T.); #45780=EDGE_CURVE('',#36840,#36841,#5637,.T.); #45781=EDGE_CURVE('',#36841,#36839,#5638,.T.); #45782=EDGE_CURVE('',#36842,#36840,#5639,.T.); #45783=EDGE_CURVE('',#36842,#36843,#5640,.T.); #45784=EDGE_CURVE('',#36843,#36841,#5641,.T.); #45785=EDGE_CURVE('',#36844,#36842,#5642,.T.); #45786=EDGE_CURVE('',#36844,#36845,#5643,.T.); #45787=EDGE_CURVE('',#36845,#36843,#5644,.T.); #45788=EDGE_CURVE('',#36846,#36844,#5645,.T.); #45789=EDGE_CURVE('',#36846,#36847,#5646,.T.); #45790=EDGE_CURVE('',#36847,#36845,#5647,.T.); #45791=EDGE_CURVE('',#36848,#36846,#5648,.T.); #45792=EDGE_CURVE('',#36848,#36849,#5649,.T.); #45793=EDGE_CURVE('',#36849,#36847,#5650,.T.); #45794=EDGE_CURVE('',#36850,#36848,#5651,.T.); #45795=EDGE_CURVE('',#36850,#36851,#5652,.T.); #45796=EDGE_CURVE('',#36851,#36849,#5653,.T.); #45797=EDGE_CURVE('',#36852,#36850,#5654,.T.); #45798=EDGE_CURVE('',#36852,#36853,#5655,.T.); #45799=EDGE_CURVE('',#36853,#36851,#5656,.T.); #45800=EDGE_CURVE('',#36854,#36852,#5657,.T.); #45801=EDGE_CURVE('',#36854,#36855,#5658,.T.); #45802=EDGE_CURVE('',#36855,#36853,#5659,.T.); #45803=EDGE_CURVE('',#36801,#36854,#5660,.T.); #45804=EDGE_CURVE('',#36803,#36855,#5661,.T.); #45805=EDGE_CURVE('',#36856,#36857,#5662,.T.); #45806=EDGE_CURVE('',#36856,#36858,#5663,.T.); #45807=EDGE_CURVE('',#36858,#36859,#5664,.T.); #45808=EDGE_CURVE('',#36857,#36859,#5665,.T.); #45809=EDGE_CURVE('',#36860,#36856,#5666,.T.); #45810=EDGE_CURVE('',#36860,#36861,#5667,.T.); #45811=EDGE_CURVE('',#36861,#36858,#5668,.T.); #45812=EDGE_CURVE('',#36862,#36860,#5669,.T.); #45813=EDGE_CURVE('',#36862,#36863,#5670,.T.); #45814=EDGE_CURVE('',#36863,#36861,#5671,.T.); #45815=EDGE_CURVE('',#36864,#36862,#5672,.T.); #45816=EDGE_CURVE('',#36864,#36865,#5673,.T.); #45817=EDGE_CURVE('',#36865,#36863,#5674,.T.); #45818=EDGE_CURVE('',#36866,#36864,#5675,.T.); #45819=EDGE_CURVE('',#36866,#36867,#5676,.T.); #45820=EDGE_CURVE('',#36867,#36865,#5677,.T.); #45821=EDGE_CURVE('',#36868,#36866,#5678,.T.); #45822=EDGE_CURVE('',#36868,#36869,#5679,.T.); #45823=EDGE_CURVE('',#36869,#36867,#5680,.T.); #45824=EDGE_CURVE('',#36857,#36868,#5681,.T.); #45825=EDGE_CURVE('',#36859,#36869,#5682,.T.); #45826=EDGE_CURVE('',#36870,#36871,#5683,.T.); #45827=EDGE_CURVE('',#36870,#36872,#5684,.T.); #45828=EDGE_CURVE('',#36872,#36873,#5685,.T.); #45829=EDGE_CURVE('',#36871,#36873,#5686,.T.); #45830=EDGE_CURVE('',#36874,#36870,#5687,.T.); #45831=EDGE_CURVE('',#36874,#36875,#5688,.T.); #45832=EDGE_CURVE('',#36875,#36872,#5689,.T.); #45833=EDGE_CURVE('',#36876,#36874,#5690,.T.); #45834=EDGE_CURVE('',#36876,#36877,#5691,.T.); #45835=EDGE_CURVE('',#36877,#36875,#5692,.T.); #45836=EDGE_CURVE('',#36878,#36876,#5693,.T.); #45837=EDGE_CURVE('',#36878,#36879,#5694,.T.); #45838=EDGE_CURVE('',#36879,#36877,#5695,.T.); #45839=EDGE_CURVE('',#36880,#36878,#5696,.T.); #45840=EDGE_CURVE('',#36880,#36881,#5697,.T.); #45841=EDGE_CURVE('',#36881,#36879,#5698,.T.); #45842=EDGE_CURVE('',#36882,#36880,#5699,.T.); #45843=EDGE_CURVE('',#36882,#36883,#5700,.T.); #45844=EDGE_CURVE('',#36883,#36881,#5701,.T.); #45845=EDGE_CURVE('',#36884,#36882,#5702,.T.); #45846=EDGE_CURVE('',#36884,#36885,#5703,.T.); #45847=EDGE_CURVE('',#36885,#36883,#5704,.T.); #45848=EDGE_CURVE('',#36886,#36884,#5705,.T.); #45849=EDGE_CURVE('',#36886,#36887,#5706,.T.); #45850=EDGE_CURVE('',#36887,#36885,#5707,.T.); #45851=EDGE_CURVE('',#36888,#36886,#5708,.T.); #45852=EDGE_CURVE('',#36888,#36889,#5709,.T.); #45853=EDGE_CURVE('',#36889,#36887,#5710,.T.); #45854=EDGE_CURVE('',#36890,#36888,#5711,.T.); #45855=EDGE_CURVE('',#36890,#36891,#5712,.T.); #45856=EDGE_CURVE('',#36891,#36889,#5713,.T.); #45857=EDGE_CURVE('',#36892,#36890,#5714,.T.); #45858=EDGE_CURVE('',#36892,#36893,#5715,.T.); #45859=EDGE_CURVE('',#36893,#36891,#5716,.T.); #45860=EDGE_CURVE('',#36894,#36892,#5717,.T.); #45861=EDGE_CURVE('',#36894,#36895,#5718,.T.); #45862=EDGE_CURVE('',#36895,#36893,#5719,.T.); #45863=EDGE_CURVE('',#36896,#36894,#5720,.T.); #45864=EDGE_CURVE('',#36896,#36897,#5721,.T.); #45865=EDGE_CURVE('',#36897,#36895,#5722,.T.); #45866=EDGE_CURVE('',#36898,#36896,#5723,.T.); #45867=EDGE_CURVE('',#36898,#36899,#5724,.T.); #45868=EDGE_CURVE('',#36899,#36897,#5725,.T.); #45869=EDGE_CURVE('',#36900,#36898,#5726,.T.); #45870=EDGE_CURVE('',#36900,#36901,#5727,.T.); #45871=EDGE_CURVE('',#36901,#36899,#5728,.T.); #45872=EDGE_CURVE('',#36902,#36900,#5729,.T.); #45873=EDGE_CURVE('',#36902,#36903,#5730,.T.); #45874=EDGE_CURVE('',#36903,#36901,#5731,.T.); #45875=EDGE_CURVE('',#36904,#36902,#5732,.T.); #45876=EDGE_CURVE('',#36904,#36905,#5733,.T.); #45877=EDGE_CURVE('',#36905,#36903,#5734,.T.); #45878=EDGE_CURVE('',#36906,#36904,#5735,.T.); #45879=EDGE_CURVE('',#36906,#36907,#5736,.T.); #45880=EDGE_CURVE('',#36907,#36905,#5737,.T.); #45881=EDGE_CURVE('',#36908,#36906,#5738,.T.); #45882=EDGE_CURVE('',#36908,#36909,#5739,.T.); #45883=EDGE_CURVE('',#36909,#36907,#5740,.T.); #45884=EDGE_CURVE('',#36910,#36908,#5741,.T.); #45885=EDGE_CURVE('',#36910,#36911,#5742,.T.); #45886=EDGE_CURVE('',#36911,#36909,#5743,.T.); #45887=EDGE_CURVE('',#36912,#36910,#5744,.T.); #45888=EDGE_CURVE('',#36912,#36913,#5745,.T.); #45889=EDGE_CURVE('',#36913,#36911,#5746,.T.); #45890=EDGE_CURVE('',#36914,#36912,#5747,.T.); #45891=EDGE_CURVE('',#36914,#36915,#5748,.T.); #45892=EDGE_CURVE('',#36915,#36913,#5749,.T.); #45893=EDGE_CURVE('',#36916,#36914,#5750,.T.); #45894=EDGE_CURVE('',#36916,#36917,#5751,.T.); #45895=EDGE_CURVE('',#36917,#36915,#5752,.T.); #45896=EDGE_CURVE('',#36918,#36916,#5753,.T.); #45897=EDGE_CURVE('',#36918,#36919,#5754,.T.); #45898=EDGE_CURVE('',#36919,#36917,#5755,.T.); #45899=EDGE_CURVE('',#36920,#36918,#5756,.T.); #45900=EDGE_CURVE('',#36920,#36921,#5757,.T.); #45901=EDGE_CURVE('',#36921,#36919,#5758,.T.); #45902=EDGE_CURVE('',#36922,#36920,#5759,.T.); #45903=EDGE_CURVE('',#36922,#36923,#5760,.T.); #45904=EDGE_CURVE('',#36923,#36921,#5761,.T.); #45905=EDGE_CURVE('',#36924,#36922,#5762,.T.); #45906=EDGE_CURVE('',#36924,#36925,#5763,.T.); #45907=EDGE_CURVE('',#36925,#36923,#5764,.T.); #45908=EDGE_CURVE('',#36926,#36924,#5765,.T.); #45909=EDGE_CURVE('',#36926,#36927,#5766,.T.); #45910=EDGE_CURVE('',#36927,#36925,#5767,.T.); #45911=EDGE_CURVE('',#36928,#36926,#5768,.T.); #45912=EDGE_CURVE('',#36928,#36929,#5769,.T.); #45913=EDGE_CURVE('',#36929,#36927,#5770,.T.); #45914=EDGE_CURVE('',#36930,#36928,#5771,.T.); #45915=EDGE_CURVE('',#36930,#36931,#5772,.T.); #45916=EDGE_CURVE('',#36931,#36929,#5773,.T.); #45917=EDGE_CURVE('',#36932,#36930,#5774,.T.); #45918=EDGE_CURVE('',#36932,#36933,#5775,.T.); #45919=EDGE_CURVE('',#36933,#36931,#5776,.T.); #45920=EDGE_CURVE('',#36934,#36932,#5777,.T.); #45921=EDGE_CURVE('',#36934,#36935,#5778,.T.); #45922=EDGE_CURVE('',#36935,#36933,#5779,.T.); #45923=EDGE_CURVE('',#36936,#36934,#5780,.T.); #45924=EDGE_CURVE('',#36936,#36937,#5781,.T.); #45925=EDGE_CURVE('',#36937,#36935,#5782,.T.); #45926=EDGE_CURVE('',#36938,#36936,#5783,.T.); #45927=EDGE_CURVE('',#36938,#36939,#5784,.T.); #45928=EDGE_CURVE('',#36939,#36937,#5785,.T.); #45929=EDGE_CURVE('',#36940,#36938,#5786,.T.); #45930=EDGE_CURVE('',#36940,#36941,#5787,.T.); #45931=EDGE_CURVE('',#36941,#36939,#5788,.T.); #45932=EDGE_CURVE('',#36942,#36940,#5789,.T.); #45933=EDGE_CURVE('',#36942,#36943,#5790,.T.); #45934=EDGE_CURVE('',#36943,#36941,#5791,.T.); #45935=EDGE_CURVE('',#36944,#36942,#5792,.T.); #45936=EDGE_CURVE('',#36944,#36945,#5793,.T.); #45937=EDGE_CURVE('',#36945,#36943,#5794,.T.); #45938=EDGE_CURVE('',#36946,#36944,#5795,.T.); #45939=EDGE_CURVE('',#36946,#36947,#5796,.T.); #45940=EDGE_CURVE('',#36947,#36945,#5797,.T.); #45941=EDGE_CURVE('',#36948,#36946,#5798,.T.); #45942=EDGE_CURVE('',#36948,#36949,#5799,.T.); #45943=EDGE_CURVE('',#36949,#36947,#5800,.T.); #45944=EDGE_CURVE('',#36871,#36948,#5801,.T.); #45945=EDGE_CURVE('',#36873,#36949,#5802,.T.); #45946=EDGE_CURVE('',#36950,#36951,#5803,.T.); #45947=EDGE_CURVE('',#36950,#36952,#5804,.T.); #45948=EDGE_CURVE('',#36952,#36953,#5805,.T.); #45949=EDGE_CURVE('',#36951,#36953,#5806,.T.); #45950=EDGE_CURVE('',#36951,#36954,#5807,.T.); #45951=EDGE_CURVE('',#36953,#36955,#5808,.T.); #45952=EDGE_CURVE('',#36954,#36955,#5809,.T.); #45953=EDGE_CURVE('',#36954,#36950,#5810,.T.); #45954=EDGE_CURVE('',#36955,#36952,#5811,.T.); #45955=EDGE_CURVE('',#36956,#36957,#5812,.T.); #45956=EDGE_CURVE('',#36956,#36958,#5813,.T.); #45957=EDGE_CURVE('',#36958,#36959,#5814,.T.); #45958=EDGE_CURVE('',#36957,#36959,#5815,.T.); #45959=EDGE_CURVE('',#36960,#36956,#5816,.T.); #45960=EDGE_CURVE('',#36960,#36961,#5817,.T.); #45961=EDGE_CURVE('',#36961,#36958,#5818,.T.); #45962=EDGE_CURVE('',#36962,#36960,#5819,.T.); #45963=EDGE_CURVE('',#36962,#36963,#5820,.T.); #45964=EDGE_CURVE('',#36963,#36961,#5821,.T.); #45965=EDGE_CURVE('',#36964,#36962,#5822,.T.); #45966=EDGE_CURVE('',#36964,#36965,#5823,.T.); #45967=EDGE_CURVE('',#36965,#36963,#5824,.T.); #45968=EDGE_CURVE('',#36966,#36964,#5825,.T.); #45969=EDGE_CURVE('',#36966,#36967,#5826,.T.); #45970=EDGE_CURVE('',#36967,#36965,#5827,.T.); #45971=EDGE_CURVE('',#36968,#36966,#5828,.T.); #45972=EDGE_CURVE('',#36968,#36969,#5829,.T.); #45973=EDGE_CURVE('',#36969,#36967,#5830,.T.); #45974=EDGE_CURVE('',#36970,#36968,#5831,.T.); #45975=EDGE_CURVE('',#36970,#36971,#5832,.T.); #45976=EDGE_CURVE('',#36971,#36969,#5833,.T.); #45977=EDGE_CURVE('',#36972,#36970,#5834,.T.); #45978=EDGE_CURVE('',#36972,#36973,#5835,.T.); #45979=EDGE_CURVE('',#36973,#36971,#5836,.T.); #45980=EDGE_CURVE('',#36974,#36972,#5837,.T.); #45981=EDGE_CURVE('',#36974,#36975,#5838,.T.); #45982=EDGE_CURVE('',#36975,#36973,#5839,.T.); #45983=EDGE_CURVE('',#36976,#36974,#5840,.T.); #45984=EDGE_CURVE('',#36976,#36977,#5841,.T.); #45985=EDGE_CURVE('',#36977,#36975,#5842,.T.); #45986=EDGE_CURVE('',#36957,#36976,#5843,.T.); #45987=EDGE_CURVE('',#36959,#36977,#5844,.T.); #45988=EDGE_CURVE('',#36978,#36979,#5845,.T.); #45989=EDGE_CURVE('',#36978,#36980,#5846,.T.); #45990=EDGE_CURVE('',#36980,#36981,#5847,.T.); #45991=EDGE_CURVE('',#36979,#36981,#5848,.T.); #45992=EDGE_CURVE('',#36982,#36978,#5849,.T.); #45993=EDGE_CURVE('',#36982,#36983,#5850,.T.); #45994=EDGE_CURVE('',#36983,#36980,#5851,.T.); #45995=EDGE_CURVE('',#36984,#36982,#29886,.T.); #45996=EDGE_CURVE('',#36984,#36985,#5852,.T.); #45997=EDGE_CURVE('',#36985,#36983,#29887,.T.); #45998=EDGE_CURVE('',#36986,#36984,#5853,.T.); #45999=EDGE_CURVE('',#36986,#36987,#5854,.T.); #46000=EDGE_CURVE('',#36987,#36985,#5855,.T.); #46001=EDGE_CURVE('',#36988,#36986,#5856,.T.); #46002=EDGE_CURVE('',#36988,#36989,#5857,.T.); #46003=EDGE_CURVE('',#36989,#36987,#5858,.T.); #46004=EDGE_CURVE('',#36990,#36988,#5859,.T.); #46005=EDGE_CURVE('',#36990,#36991,#5860,.T.); #46006=EDGE_CURVE('',#36991,#36989,#5861,.T.); #46007=EDGE_CURVE('',#36992,#36990,#29888,.T.); #46008=EDGE_CURVE('',#36992,#36993,#5862,.T.); #46009=EDGE_CURVE('',#36993,#36991,#29889,.T.); #46010=EDGE_CURVE('',#36994,#36992,#5863,.T.); #46011=EDGE_CURVE('',#36994,#36995,#5864,.T.); #46012=EDGE_CURVE('',#36995,#36993,#5865,.T.); #46013=EDGE_CURVE('',#36996,#36994,#5866,.T.); #46014=EDGE_CURVE('',#36996,#36997,#5867,.T.); #46015=EDGE_CURVE('',#36997,#36995,#5868,.T.); #46016=EDGE_CURVE('',#36998,#36996,#5869,.T.); #46017=EDGE_CURVE('',#36998,#36999,#5870,.T.); #46018=EDGE_CURVE('',#36999,#36997,#5871,.T.); #46019=EDGE_CURVE('',#36979,#36998,#5872,.T.); #46020=EDGE_CURVE('',#36981,#36999,#5873,.T.); #46021=EDGE_CURVE('',#37000,#37001,#5874,.T.); #46022=EDGE_CURVE('',#37000,#37002,#5875,.T.); #46023=EDGE_CURVE('',#37002,#37003,#5876,.T.); #46024=EDGE_CURVE('',#37001,#37003,#5877,.T.); #46025=EDGE_CURVE('',#37004,#37000,#29890,.T.); #46026=EDGE_CURVE('',#37004,#37005,#5878,.T.); #46027=EDGE_CURVE('',#37005,#37002,#29891,.T.); #46028=EDGE_CURVE('',#37006,#37004,#5879,.T.); #46029=EDGE_CURVE('',#37006,#37007,#5880,.T.); #46030=EDGE_CURVE('',#37007,#37005,#5881,.T.); #46031=EDGE_CURVE('',#37008,#37006,#5882,.T.); #46032=EDGE_CURVE('',#37008,#37009,#5883,.T.); #46033=EDGE_CURVE('',#37009,#37007,#5884,.T.); #46034=EDGE_CURVE('',#37010,#37008,#5885,.T.); #46035=EDGE_CURVE('',#37010,#37011,#5886,.T.); #46036=EDGE_CURVE('',#37011,#37009,#5887,.T.); #46037=EDGE_CURVE('',#37012,#37010,#29892,.T.); #46038=EDGE_CURVE('',#37012,#37013,#5888,.T.); #46039=EDGE_CURVE('',#37013,#37011,#29893,.T.); #46040=EDGE_CURVE('',#37014,#37012,#5889,.T.); #46041=EDGE_CURVE('',#37014,#37015,#5890,.T.); #46042=EDGE_CURVE('',#37015,#37013,#5891,.T.); #46043=EDGE_CURVE('',#37016,#37014,#5892,.T.); #46044=EDGE_CURVE('',#37016,#37017,#5893,.T.); #46045=EDGE_CURVE('',#37017,#37015,#5894,.T.); #46046=EDGE_CURVE('',#37018,#37016,#5895,.T.); #46047=EDGE_CURVE('',#37018,#37019,#5896,.T.); #46048=EDGE_CURVE('',#37019,#37017,#5897,.T.); #46049=EDGE_CURVE('',#37020,#37018,#5898,.T.); #46050=EDGE_CURVE('',#37020,#37021,#5899,.T.); #46051=EDGE_CURVE('',#37021,#37019,#5900,.T.); #46052=EDGE_CURVE('',#37001,#37020,#5901,.T.); #46053=EDGE_CURVE('',#37003,#37021,#5902,.T.); #46054=EDGE_CURVE('',#37022,#37023,#5903,.T.); #46055=EDGE_CURVE('',#37022,#37024,#5904,.T.); #46056=EDGE_CURVE('',#37024,#37025,#5905,.T.); #46057=EDGE_CURVE('',#37023,#37025,#5906,.T.); #46058=EDGE_CURVE('',#37026,#37022,#5907,.T.); #46059=EDGE_CURVE('',#37026,#37027,#5908,.T.); #46060=EDGE_CURVE('',#37027,#37024,#5909,.T.); #46061=EDGE_CURVE('',#37028,#37026,#29894,.T.); #46062=EDGE_CURVE('',#37028,#37029,#5910,.T.); #46063=EDGE_CURVE('',#37029,#37027,#29895,.T.); #46064=EDGE_CURVE('',#37030,#37028,#5911,.T.); #46065=EDGE_CURVE('',#37030,#37031,#5912,.T.); #46066=EDGE_CURVE('',#37031,#37029,#5913,.T.); #46067=EDGE_CURVE('',#37032,#37030,#5914,.T.); #46068=EDGE_CURVE('',#37032,#37033,#5915,.T.); #46069=EDGE_CURVE('',#37033,#37031,#5916,.T.); #46070=EDGE_CURVE('',#37034,#37032,#5917,.T.); #46071=EDGE_CURVE('',#37034,#37035,#5918,.T.); #46072=EDGE_CURVE('',#37035,#37033,#5919,.T.); #46073=EDGE_CURVE('',#37036,#37034,#5920,.T.); #46074=EDGE_CURVE('',#37036,#37037,#5921,.T.); #46075=EDGE_CURVE('',#37037,#37035,#5922,.T.); #46076=EDGE_CURVE('',#37038,#37036,#5923,.T.); #46077=EDGE_CURVE('',#37038,#37039,#5924,.T.); #46078=EDGE_CURVE('',#37039,#37037,#5925,.T.); #46079=EDGE_CURVE('',#37040,#37038,#5926,.T.); #46080=EDGE_CURVE('',#37040,#37041,#5927,.T.); #46081=EDGE_CURVE('',#37041,#37039,#5928,.T.); #46082=EDGE_CURVE('',#37042,#37040,#29896,.T.); #46083=EDGE_CURVE('',#37042,#37043,#5929,.T.); #46084=EDGE_CURVE('',#37043,#37041,#29897,.T.); #46085=EDGE_CURVE('',#37023,#37042,#5930,.T.); #46086=EDGE_CURVE('',#37025,#37043,#5931,.T.); #46087=EDGE_CURVE('',#37044,#37044,#29898,.T.); #46088=EDGE_CURVE('',#37044,#37045,#5932,.T.); #46089=EDGE_CURVE('',#37045,#37045,#29899,.T.); #46090=EDGE_CURVE('',#37046,#37047,#5933,.T.); #46091=EDGE_CURVE('',#37046,#37048,#5934,.T.); #46092=EDGE_CURVE('',#37048,#37049,#5935,.T.); #46093=EDGE_CURVE('',#37047,#37049,#5936,.T.); #46094=EDGE_CURVE('',#37047,#37050,#29900,.T.); #46095=EDGE_CURVE('',#37049,#37051,#29901,.T.); #46096=EDGE_CURVE('',#37050,#37051,#5937,.T.); #46097=EDGE_CURVE('',#37050,#37052,#5938,.T.); #46098=EDGE_CURVE('',#37051,#37053,#5939,.T.); #46099=EDGE_CURVE('',#37052,#37053,#5940,.T.); #46100=EDGE_CURVE('',#37052,#37046,#29902,.T.); #46101=EDGE_CURVE('',#37053,#37048,#29903,.T.); #46102=EDGE_CURVE('',#37054,#37055,#29904,.T.); #46103=EDGE_CURVE('',#37054,#37056,#5941,.T.); #46104=EDGE_CURVE('',#37056,#37057,#29905,.T.); #46105=EDGE_CURVE('',#37055,#37057,#5942,.T.); #46106=EDGE_CURVE('',#37055,#37058,#5943,.T.); #46107=EDGE_CURVE('',#37057,#37059,#5944,.T.); #46108=EDGE_CURVE('',#37058,#37059,#5945,.T.); #46109=EDGE_CURVE('',#37058,#37060,#29906,.T.); #46110=EDGE_CURVE('',#37059,#37061,#29907,.T.); #46111=EDGE_CURVE('',#37060,#37061,#5946,.T.); #46112=EDGE_CURVE('',#37060,#37054,#5947,.T.); #46113=EDGE_CURVE('',#37061,#37056,#5948,.T.); #46114=EDGE_CURVE('',#37062,#37063,#5949,.T.); #46115=EDGE_CURVE('',#37062,#37064,#5950,.T.); #46116=EDGE_CURVE('',#37064,#37065,#5951,.T.); #46117=EDGE_CURVE('',#37063,#37065,#5952,.T.); #46118=EDGE_CURVE('',#37063,#37066,#29908,.T.); #46119=EDGE_CURVE('',#37065,#37067,#29909,.T.); #46120=EDGE_CURVE('',#37066,#37067,#5953,.T.); #46121=EDGE_CURVE('',#37066,#37068,#5954,.T.); #46122=EDGE_CURVE('',#37067,#37069,#5955,.T.); #46123=EDGE_CURVE('',#37068,#37069,#5956,.T.); #46124=EDGE_CURVE('',#37068,#37062,#29910,.T.); #46125=EDGE_CURVE('',#37069,#37064,#29911,.T.); #46126=EDGE_CURVE('',#37070,#37071,#5957,.T.); #46127=EDGE_CURVE('',#37070,#37072,#5958,.T.); #46128=EDGE_CURVE('',#37072,#37073,#5959,.T.); #46129=EDGE_CURVE('',#37071,#37073,#5960,.T.); #46130=EDGE_CURVE('',#37071,#37074,#29912,.T.); #46131=EDGE_CURVE('',#37073,#37075,#29913,.T.); #46132=EDGE_CURVE('',#37074,#37075,#5961,.T.); #46133=EDGE_CURVE('',#37074,#37076,#5962,.T.); #46134=EDGE_CURVE('',#37075,#37077,#5963,.T.); #46135=EDGE_CURVE('',#37076,#37077,#5964,.T.); #46136=EDGE_CURVE('',#37076,#37070,#29914,.T.); #46137=EDGE_CURVE('',#37077,#37072,#29915,.T.); #46138=EDGE_CURVE('',#37078,#37079,#5965,.T.); #46139=EDGE_CURVE('',#37078,#37080,#5966,.T.); #46140=EDGE_CURVE('',#37080,#37081,#5967,.T.); #46141=EDGE_CURVE('',#37079,#37081,#5968,.T.); #46142=EDGE_CURVE('',#37079,#37082,#29916,.T.); #46143=EDGE_CURVE('',#37081,#37083,#29917,.T.); #46144=EDGE_CURVE('',#37082,#37083,#5969,.T.); #46145=EDGE_CURVE('',#37082,#37084,#5970,.T.); #46146=EDGE_CURVE('',#37083,#37085,#5971,.T.); #46147=EDGE_CURVE('',#37084,#37085,#5972,.T.); #46148=EDGE_CURVE('',#37084,#37078,#29918,.T.); #46149=EDGE_CURVE('',#37085,#37080,#29919,.T.); #46150=EDGE_CURVE('',#37086,#37087,#29920,.T.); #46151=EDGE_CURVE('',#37086,#37088,#5973,.T.); #46152=EDGE_CURVE('',#37088,#37089,#29921,.T.); #46153=EDGE_CURVE('',#37087,#37089,#5974,.T.); #46154=EDGE_CURVE('',#37087,#37090,#5975,.T.); #46155=EDGE_CURVE('',#37089,#37091,#5976,.T.); #46156=EDGE_CURVE('',#37090,#37091,#5977,.T.); #46157=EDGE_CURVE('',#37090,#37092,#29922,.T.); #46158=EDGE_CURVE('',#37091,#37093,#29923,.T.); #46159=EDGE_CURVE('',#37092,#37093,#5978,.T.); #46160=EDGE_CURVE('',#37092,#37086,#5979,.T.); #46161=EDGE_CURVE('',#37093,#37088,#5980,.T.); #46162=EDGE_CURVE('',#37094,#37095,#29924,.T.); #46163=EDGE_CURVE('',#37094,#37096,#5981,.T.); #46164=EDGE_CURVE('',#37096,#37097,#29925,.T.); #46165=EDGE_CURVE('',#37095,#37097,#5982,.T.); #46166=EDGE_CURVE('',#37095,#37098,#5983,.T.); #46167=EDGE_CURVE('',#37097,#37099,#5984,.T.); #46168=EDGE_CURVE('',#37098,#37099,#5985,.T.); #46169=EDGE_CURVE('',#37098,#37100,#29926,.T.); #46170=EDGE_CURVE('',#37099,#37101,#29927,.T.); #46171=EDGE_CURVE('',#37100,#37101,#5986,.T.); #46172=EDGE_CURVE('',#37100,#37094,#5987,.T.); #46173=EDGE_CURVE('',#37101,#37096,#5988,.T.); #46174=EDGE_CURVE('',#37102,#37103,#5989,.T.); #46175=EDGE_CURVE('',#37102,#37104,#5990,.T.); #46176=EDGE_CURVE('',#37104,#37105,#5991,.T.); #46177=EDGE_CURVE('',#37103,#37105,#5992,.T.); #46178=EDGE_CURVE('',#37103,#37106,#29928,.T.); #46179=EDGE_CURVE('',#37105,#37107,#29929,.T.); #46180=EDGE_CURVE('',#37106,#37107,#5993,.T.); #46181=EDGE_CURVE('',#37106,#37108,#5994,.T.); #46182=EDGE_CURVE('',#37107,#37109,#5995,.T.); #46183=EDGE_CURVE('',#37108,#37109,#5996,.T.); #46184=EDGE_CURVE('',#37108,#37102,#29930,.T.); #46185=EDGE_CURVE('',#37109,#37104,#29931,.T.); #46186=EDGE_CURVE('',#37110,#37111,#5997,.T.); #46187=EDGE_CURVE('',#37110,#37112,#5998,.T.); #46188=EDGE_CURVE('',#37112,#37113,#5999,.T.); #46189=EDGE_CURVE('',#37111,#37113,#6000,.T.); #46190=EDGE_CURVE('',#37111,#37114,#29932,.T.); #46191=EDGE_CURVE('',#37113,#37115,#29933,.T.); #46192=EDGE_CURVE('',#37114,#37115,#6001,.T.); #46193=EDGE_CURVE('',#37114,#37116,#6002,.T.); #46194=EDGE_CURVE('',#37115,#37117,#6003,.T.); #46195=EDGE_CURVE('',#37116,#37117,#6004,.T.); #46196=EDGE_CURVE('',#37116,#37110,#29934,.T.); #46197=EDGE_CURVE('',#37117,#37112,#29935,.T.); #46198=EDGE_CURVE('',#37118,#37119,#29936,.T.); #46199=EDGE_CURVE('',#37118,#37120,#6005,.T.); #46200=EDGE_CURVE('',#37120,#37121,#29937,.T.); #46201=EDGE_CURVE('',#37119,#37121,#6006,.T.); #46202=EDGE_CURVE('',#37119,#37122,#6007,.T.); #46203=EDGE_CURVE('',#37121,#37123,#6008,.T.); #46204=EDGE_CURVE('',#37122,#37123,#6009,.T.); #46205=EDGE_CURVE('',#37122,#37124,#29938,.T.); #46206=EDGE_CURVE('',#37123,#37125,#29939,.T.); #46207=EDGE_CURVE('',#37124,#37125,#6010,.T.); #46208=EDGE_CURVE('',#37124,#37118,#6011,.T.); #46209=EDGE_CURVE('',#37125,#37120,#6012,.T.); #46210=EDGE_CURVE('',#37126,#37127,#6013,.T.); #46211=EDGE_CURVE('',#37126,#37128,#6014,.T.); #46212=EDGE_CURVE('',#37128,#37129,#6015,.T.); #46213=EDGE_CURVE('',#37127,#37129,#6016,.T.); #46214=EDGE_CURVE('',#37127,#37130,#29940,.T.); #46215=EDGE_CURVE('',#37129,#37131,#29941,.T.); #46216=EDGE_CURVE('',#37130,#37131,#6017,.T.); #46217=EDGE_CURVE('',#37130,#37132,#6018,.T.); #46218=EDGE_CURVE('',#37131,#37133,#6019,.T.); #46219=EDGE_CURVE('',#37132,#37133,#6020,.T.); #46220=EDGE_CURVE('',#37132,#37126,#29942,.T.); #46221=EDGE_CURVE('',#37133,#37128,#29943,.T.); #46222=EDGE_CURVE('',#37134,#37135,#6021,.T.); #46223=EDGE_CURVE('',#37134,#37136,#6022,.T.); #46224=EDGE_CURVE('',#37136,#37137,#6023,.T.); #46225=EDGE_CURVE('',#37135,#37137,#6024,.T.); #46226=EDGE_CURVE('',#37135,#37138,#29944,.T.); #46227=EDGE_CURVE('',#37137,#37139,#29945,.T.); #46228=EDGE_CURVE('',#37138,#37139,#6025,.T.); #46229=EDGE_CURVE('',#37138,#37140,#6026,.T.); #46230=EDGE_CURVE('',#37139,#37141,#6027,.T.); #46231=EDGE_CURVE('',#37140,#37141,#6028,.T.); #46232=EDGE_CURVE('',#37140,#37134,#29946,.T.); #46233=EDGE_CURVE('',#37141,#37136,#29947,.T.); #46234=EDGE_CURVE('',#37142,#37142,#29948,.T.); #46235=EDGE_CURVE('',#37142,#37143,#6029,.T.); #46236=EDGE_CURVE('',#37143,#37143,#29949,.T.); #46237=EDGE_CURVE('',#37144,#37145,#6030,.T.); #46238=EDGE_CURVE('',#37144,#37146,#6031,.T.); #46239=EDGE_CURVE('',#37146,#37147,#6032,.T.); #46240=EDGE_CURVE('',#37145,#37147,#6033,.T.); #46241=EDGE_CURVE('',#37145,#37148,#6034,.T.); #46242=EDGE_CURVE('',#37147,#37149,#6035,.T.); #46243=EDGE_CURVE('',#37148,#37149,#6036,.T.); #46244=EDGE_CURVE('',#37148,#37150,#6037,.T.); #46245=EDGE_CURVE('',#37149,#37151,#6038,.T.); #46246=EDGE_CURVE('',#37150,#37151,#6039,.T.); #46247=EDGE_CURVE('',#37150,#37152,#6040,.T.); #46248=EDGE_CURVE('',#37151,#37153,#6041,.T.); #46249=EDGE_CURVE('',#37152,#37153,#6042,.T.); #46250=EDGE_CURVE('',#37152,#37154,#6043,.T.); #46251=EDGE_CURVE('',#37153,#37155,#6044,.T.); #46252=EDGE_CURVE('',#37154,#37155,#6045,.T.); #46253=EDGE_CURVE('',#37154,#37156,#6046,.T.); #46254=EDGE_CURVE('',#37155,#37157,#6047,.T.); #46255=EDGE_CURVE('',#37156,#37157,#6048,.T.); #46256=EDGE_CURVE('',#37156,#37158,#6049,.T.); #46257=EDGE_CURVE('',#37157,#37159,#6050,.T.); #46258=EDGE_CURVE('',#37158,#37159,#6051,.T.); #46259=EDGE_CURVE('',#37158,#37160,#6052,.T.); #46260=EDGE_CURVE('',#37159,#37161,#6053,.T.); #46261=EDGE_CURVE('',#37160,#37161,#6054,.T.); #46262=EDGE_CURVE('',#37160,#37162,#6055,.T.); #46263=EDGE_CURVE('',#37161,#37163,#6056,.T.); #46264=EDGE_CURVE('',#37162,#37163,#6057,.T.); #46265=EDGE_CURVE('',#37162,#37164,#6058,.T.); #46266=EDGE_CURVE('',#37163,#37165,#6059,.T.); #46267=EDGE_CURVE('',#37164,#37165,#6060,.T.); #46268=EDGE_CURVE('',#37164,#37166,#6061,.T.); #46269=EDGE_CURVE('',#37165,#37167,#6062,.T.); #46270=EDGE_CURVE('',#37166,#37167,#6063,.T.); #46271=EDGE_CURVE('',#37166,#37168,#6064,.T.); #46272=EDGE_CURVE('',#37167,#37169,#6065,.T.); #46273=EDGE_CURVE('',#37168,#37169,#6066,.T.); #46274=EDGE_CURVE('',#37168,#37170,#6067,.T.); #46275=EDGE_CURVE('',#37169,#37171,#6068,.T.); #46276=EDGE_CURVE('',#37170,#37171,#6069,.T.); #46277=EDGE_CURVE('',#37170,#37172,#6070,.T.); #46278=EDGE_CURVE('',#37171,#37173,#6071,.T.); #46279=EDGE_CURVE('',#37172,#37173,#6072,.T.); #46280=EDGE_CURVE('',#37172,#37174,#6073,.T.); #46281=EDGE_CURVE('',#37173,#37175,#6074,.T.); #46282=EDGE_CURVE('',#37174,#37175,#6075,.T.); #46283=EDGE_CURVE('',#37174,#37176,#6076,.T.); #46284=EDGE_CURVE('',#37175,#37177,#6077,.T.); #46285=EDGE_CURVE('',#37176,#37177,#6078,.T.); #46286=EDGE_CURVE('',#37176,#37178,#6079,.T.); #46287=EDGE_CURVE('',#37177,#37179,#6080,.T.); #46288=EDGE_CURVE('',#37178,#37179,#6081,.T.); #46289=EDGE_CURVE('',#37178,#37180,#6082,.T.); #46290=EDGE_CURVE('',#37179,#37181,#6083,.T.); #46291=EDGE_CURVE('',#37180,#37181,#6084,.T.); #46292=EDGE_CURVE('',#37180,#37182,#6085,.T.); #46293=EDGE_CURVE('',#37181,#37183,#6086,.T.); #46294=EDGE_CURVE('',#37182,#37183,#6087,.T.); #46295=EDGE_CURVE('',#37182,#37144,#6088,.T.); #46296=EDGE_CURVE('',#37183,#37146,#6089,.T.); #46297=EDGE_CURVE('',#37184,#37185,#6090,.T.); #46298=EDGE_CURVE('',#37184,#37186,#6091,.T.); #46299=EDGE_CURVE('',#37186,#37187,#6092,.T.); #46300=EDGE_CURVE('',#37185,#37187,#6093,.T.); #46301=EDGE_CURVE('',#37188,#37184,#6094,.T.); #46302=EDGE_CURVE('',#37188,#37189,#6095,.T.); #46303=EDGE_CURVE('',#37189,#37186,#6096,.T.); #46304=EDGE_CURVE('',#37190,#37188,#6097,.T.); #46305=EDGE_CURVE('',#37190,#37191,#6098,.T.); #46306=EDGE_CURVE('',#37191,#37189,#6099,.T.); #46307=EDGE_CURVE('',#37192,#37190,#6100,.T.); #46308=EDGE_CURVE('',#37192,#37193,#6101,.T.); #46309=EDGE_CURVE('',#37193,#37191,#6102,.T.); #46310=EDGE_CURVE('',#37194,#37192,#6103,.T.); #46311=EDGE_CURVE('',#37194,#37195,#6104,.T.); #46312=EDGE_CURVE('',#37195,#37193,#6105,.T.); #46313=EDGE_CURVE('',#37196,#37194,#6106,.T.); #46314=EDGE_CURVE('',#37196,#37197,#6107,.T.); #46315=EDGE_CURVE('',#37197,#37195,#6108,.T.); #46316=EDGE_CURVE('',#37198,#37196,#6109,.T.); #46317=EDGE_CURVE('',#37198,#37199,#6110,.T.); #46318=EDGE_CURVE('',#37199,#37197,#6111,.T.); #46319=EDGE_CURVE('',#37200,#37198,#6112,.T.); #46320=EDGE_CURVE('',#37200,#37201,#6113,.T.); #46321=EDGE_CURVE('',#37201,#37199,#6114,.T.); #46322=EDGE_CURVE('',#37202,#37200,#6115,.T.); #46323=EDGE_CURVE('',#37202,#37203,#6116,.T.); #46324=EDGE_CURVE('',#37203,#37201,#6117,.T.); #46325=EDGE_CURVE('',#37204,#37202,#6118,.T.); #46326=EDGE_CURVE('',#37204,#37205,#6119,.T.); #46327=EDGE_CURVE('',#37205,#37203,#6120,.T.); #46328=EDGE_CURVE('',#37206,#37204,#6121,.T.); #46329=EDGE_CURVE('',#37206,#37207,#6122,.T.); #46330=EDGE_CURVE('',#37207,#37205,#6123,.T.); #46331=EDGE_CURVE('',#37208,#37206,#6124,.T.); #46332=EDGE_CURVE('',#37208,#37209,#6125,.T.); #46333=EDGE_CURVE('',#37209,#37207,#6126,.T.); #46334=EDGE_CURVE('',#37210,#37208,#6127,.T.); #46335=EDGE_CURVE('',#37210,#37211,#6128,.T.); #46336=EDGE_CURVE('',#37211,#37209,#6129,.T.); #46337=EDGE_CURVE('',#37212,#37210,#6130,.T.); #46338=EDGE_CURVE('',#37212,#37213,#6131,.T.); #46339=EDGE_CURVE('',#37213,#37211,#6132,.T.); #46340=EDGE_CURVE('',#37214,#37212,#6133,.T.); #46341=EDGE_CURVE('',#37214,#37215,#6134,.T.); #46342=EDGE_CURVE('',#37215,#37213,#6135,.T.); #46343=EDGE_CURVE('',#37216,#37214,#6136,.T.); #46344=EDGE_CURVE('',#37216,#37217,#6137,.T.); #46345=EDGE_CURVE('',#37217,#37215,#6138,.T.); #46346=EDGE_CURVE('',#37218,#37216,#6139,.T.); #46347=EDGE_CURVE('',#37218,#37219,#6140,.T.); #46348=EDGE_CURVE('',#37219,#37217,#6141,.T.); #46349=EDGE_CURVE('',#37220,#37218,#6142,.T.); #46350=EDGE_CURVE('',#37220,#37221,#6143,.T.); #46351=EDGE_CURVE('',#37221,#37219,#6144,.T.); #46352=EDGE_CURVE('',#37222,#37220,#6145,.T.); #46353=EDGE_CURVE('',#37222,#37223,#6146,.T.); #46354=EDGE_CURVE('',#37223,#37221,#6147,.T.); #46355=EDGE_CURVE('',#37224,#37222,#6148,.T.); #46356=EDGE_CURVE('',#37224,#37225,#6149,.T.); #46357=EDGE_CURVE('',#37225,#37223,#6150,.T.); #46358=EDGE_CURVE('',#37226,#37224,#6151,.T.); #46359=EDGE_CURVE('',#37226,#37227,#6152,.T.); #46360=EDGE_CURVE('',#37227,#37225,#6153,.T.); #46361=EDGE_CURVE('',#37228,#37226,#6154,.T.); #46362=EDGE_CURVE('',#37228,#37229,#6155,.T.); #46363=EDGE_CURVE('',#37229,#37227,#6156,.T.); #46364=EDGE_CURVE('',#37230,#37228,#6157,.T.); #46365=EDGE_CURVE('',#37230,#37231,#6158,.T.); #46366=EDGE_CURVE('',#37231,#37229,#6159,.T.); #46367=EDGE_CURVE('',#37232,#37230,#6160,.T.); #46368=EDGE_CURVE('',#37232,#37233,#6161,.T.); #46369=EDGE_CURVE('',#37233,#37231,#6162,.T.); #46370=EDGE_CURVE('',#37234,#37232,#6163,.T.); #46371=EDGE_CURVE('',#37234,#37235,#6164,.T.); #46372=EDGE_CURVE('',#37235,#37233,#6165,.T.); #46373=EDGE_CURVE('',#37236,#37234,#6166,.T.); #46374=EDGE_CURVE('',#37236,#37237,#6167,.T.); #46375=EDGE_CURVE('',#37237,#37235,#6168,.T.); #46376=EDGE_CURVE('',#37238,#37236,#6169,.T.); #46377=EDGE_CURVE('',#37238,#37239,#6170,.T.); #46378=EDGE_CURVE('',#37239,#37237,#6171,.T.); #46379=EDGE_CURVE('',#37240,#37238,#6172,.T.); #46380=EDGE_CURVE('',#37240,#37241,#6173,.T.); #46381=EDGE_CURVE('',#37241,#37239,#6174,.T.); #46382=EDGE_CURVE('',#37242,#37240,#6175,.T.); #46383=EDGE_CURVE('',#37242,#37243,#6176,.T.); #46384=EDGE_CURVE('',#37243,#37241,#6177,.T.); #46385=EDGE_CURVE('',#37244,#37242,#6178,.T.); #46386=EDGE_CURVE('',#37244,#37245,#6179,.T.); #46387=EDGE_CURVE('',#37245,#37243,#6180,.T.); #46388=EDGE_CURVE('',#37246,#37244,#6181,.T.); #46389=EDGE_CURVE('',#37246,#37247,#6182,.T.); #46390=EDGE_CURVE('',#37247,#37245,#6183,.T.); #46391=EDGE_CURVE('',#37248,#37246,#6184,.T.); #46392=EDGE_CURVE('',#37248,#37249,#6185,.T.); #46393=EDGE_CURVE('',#37249,#37247,#6186,.T.); #46394=EDGE_CURVE('',#37250,#37248,#6187,.T.); #46395=EDGE_CURVE('',#37250,#37251,#6188,.T.); #46396=EDGE_CURVE('',#37251,#37249,#6189,.T.); #46397=EDGE_CURVE('',#37252,#37250,#6190,.T.); #46398=EDGE_CURVE('',#37252,#37253,#6191,.T.); #46399=EDGE_CURVE('',#37253,#37251,#6192,.T.); #46400=EDGE_CURVE('',#37254,#37252,#6193,.T.); #46401=EDGE_CURVE('',#37254,#37255,#6194,.T.); #46402=EDGE_CURVE('',#37255,#37253,#6195,.T.); #46403=EDGE_CURVE('',#37256,#37254,#6196,.T.); #46404=EDGE_CURVE('',#37256,#37257,#6197,.T.); #46405=EDGE_CURVE('',#37257,#37255,#6198,.T.); #46406=EDGE_CURVE('',#37258,#37256,#6199,.T.); #46407=EDGE_CURVE('',#37258,#37259,#6200,.T.); #46408=EDGE_CURVE('',#37259,#37257,#6201,.T.); #46409=EDGE_CURVE('',#37260,#37258,#6202,.T.); #46410=EDGE_CURVE('',#37260,#37261,#6203,.T.); #46411=EDGE_CURVE('',#37261,#37259,#6204,.T.); #46412=EDGE_CURVE('',#37262,#37260,#6205,.T.); #46413=EDGE_CURVE('',#37262,#37263,#6206,.T.); #46414=EDGE_CURVE('',#37263,#37261,#6207,.T.); #46415=EDGE_CURVE('',#37185,#37262,#6208,.T.); #46416=EDGE_CURVE('',#37187,#37263,#6209,.T.); #46417=EDGE_CURVE('',#37264,#37265,#6210,.T.); #46418=EDGE_CURVE('',#37264,#37266,#6211,.T.); #46419=EDGE_CURVE('',#37266,#37267,#6212,.T.); #46420=EDGE_CURVE('',#37265,#37267,#6213,.T.); #46421=EDGE_CURVE('',#37268,#37264,#6214,.T.); #46422=EDGE_CURVE('',#37268,#37269,#6215,.T.); #46423=EDGE_CURVE('',#37269,#37266,#6216,.T.); #46424=EDGE_CURVE('',#37270,#37268,#6217,.T.); #46425=EDGE_CURVE('',#37270,#37271,#6218,.T.); #46426=EDGE_CURVE('',#37271,#37269,#6219,.T.); #46427=EDGE_CURVE('',#37272,#37270,#6220,.T.); #46428=EDGE_CURVE('',#37272,#37273,#6221,.T.); #46429=EDGE_CURVE('',#37273,#37271,#6222,.T.); #46430=EDGE_CURVE('',#37274,#37272,#6223,.T.); #46431=EDGE_CURVE('',#37274,#37275,#6224,.T.); #46432=EDGE_CURVE('',#37275,#37273,#6225,.T.); #46433=EDGE_CURVE('',#37276,#37274,#6226,.T.); #46434=EDGE_CURVE('',#37276,#37277,#6227,.T.); #46435=EDGE_CURVE('',#37277,#37275,#6228,.T.); #46436=EDGE_CURVE('',#37278,#37276,#6229,.T.); #46437=EDGE_CURVE('',#37278,#37279,#6230,.T.); #46438=EDGE_CURVE('',#37279,#37277,#6231,.T.); #46439=EDGE_CURVE('',#37280,#37278,#6232,.T.); #46440=EDGE_CURVE('',#37280,#37281,#6233,.T.); #46441=EDGE_CURVE('',#37281,#37279,#6234,.T.); #46442=EDGE_CURVE('',#37282,#37280,#6235,.T.); #46443=EDGE_CURVE('',#37282,#37283,#6236,.T.); #46444=EDGE_CURVE('',#37283,#37281,#6237,.T.); #46445=EDGE_CURVE('',#37284,#37282,#6238,.T.); #46446=EDGE_CURVE('',#37284,#37285,#6239,.T.); #46447=EDGE_CURVE('',#37285,#37283,#6240,.T.); #46448=EDGE_CURVE('',#37286,#37284,#6241,.T.); #46449=EDGE_CURVE('',#37286,#37287,#6242,.T.); #46450=EDGE_CURVE('',#37287,#37285,#6243,.T.); #46451=EDGE_CURVE('',#37288,#37286,#6244,.T.); #46452=EDGE_CURVE('',#37288,#37289,#6245,.T.); #46453=EDGE_CURVE('',#37289,#37287,#6246,.T.); #46454=EDGE_CURVE('',#37290,#37288,#6247,.T.); #46455=EDGE_CURVE('',#37290,#37291,#6248,.T.); #46456=EDGE_CURVE('',#37291,#37289,#6249,.T.); #46457=EDGE_CURVE('',#37292,#37290,#6250,.T.); #46458=EDGE_CURVE('',#37292,#37293,#6251,.T.); #46459=EDGE_CURVE('',#37293,#37291,#6252,.T.); #46460=EDGE_CURVE('',#37294,#37292,#6253,.T.); #46461=EDGE_CURVE('',#37294,#37295,#6254,.T.); #46462=EDGE_CURVE('',#37295,#37293,#6255,.T.); #46463=EDGE_CURVE('',#37296,#37294,#6256,.T.); #46464=EDGE_CURVE('',#37296,#37297,#6257,.T.); #46465=EDGE_CURVE('',#37297,#37295,#6258,.T.); #46466=EDGE_CURVE('',#37298,#37296,#6259,.T.); #46467=EDGE_CURVE('',#37298,#37299,#6260,.T.); #46468=EDGE_CURVE('',#37299,#37297,#6261,.T.); #46469=EDGE_CURVE('',#37300,#37298,#6262,.T.); #46470=EDGE_CURVE('',#37300,#37301,#6263,.T.); #46471=EDGE_CURVE('',#37301,#37299,#6264,.T.); #46472=EDGE_CURVE('',#37302,#37300,#6265,.T.); #46473=EDGE_CURVE('',#37302,#37303,#6266,.T.); #46474=EDGE_CURVE('',#37303,#37301,#6267,.T.); #46475=EDGE_CURVE('',#37304,#37302,#6268,.T.); #46476=EDGE_CURVE('',#37304,#37305,#6269,.T.); #46477=EDGE_CURVE('',#37305,#37303,#6270,.T.); #46478=EDGE_CURVE('',#37306,#37304,#6271,.T.); #46479=EDGE_CURVE('',#37306,#37307,#6272,.T.); #46480=EDGE_CURVE('',#37307,#37305,#6273,.T.); #46481=EDGE_CURVE('',#37308,#37306,#6274,.T.); #46482=EDGE_CURVE('',#37308,#37309,#6275,.T.); #46483=EDGE_CURVE('',#37309,#37307,#6276,.T.); #46484=EDGE_CURVE('',#37310,#37308,#6277,.T.); #46485=EDGE_CURVE('',#37310,#37311,#6278,.T.); #46486=EDGE_CURVE('',#37311,#37309,#6279,.T.); #46487=EDGE_CURVE('',#37312,#37310,#6280,.T.); #46488=EDGE_CURVE('',#37312,#37313,#6281,.T.); #46489=EDGE_CURVE('',#37313,#37311,#6282,.T.); #46490=EDGE_CURVE('',#37314,#37312,#6283,.T.); #46491=EDGE_CURVE('',#37314,#37315,#6284,.T.); #46492=EDGE_CURVE('',#37315,#37313,#6285,.T.); #46493=EDGE_CURVE('',#37316,#37314,#6286,.T.); #46494=EDGE_CURVE('',#37316,#37317,#6287,.T.); #46495=EDGE_CURVE('',#37317,#37315,#6288,.T.); #46496=EDGE_CURVE('',#37318,#37316,#6289,.T.); #46497=EDGE_CURVE('',#37318,#37319,#6290,.T.); #46498=EDGE_CURVE('',#37319,#37317,#6291,.T.); #46499=EDGE_CURVE('',#37320,#37318,#6292,.T.); #46500=EDGE_CURVE('',#37320,#37321,#6293,.T.); #46501=EDGE_CURVE('',#37321,#37319,#6294,.T.); #46502=EDGE_CURVE('',#37322,#37320,#6295,.T.); #46503=EDGE_CURVE('',#37322,#37323,#6296,.T.); #46504=EDGE_CURVE('',#37323,#37321,#6297,.T.); #46505=EDGE_CURVE('',#37324,#37322,#6298,.T.); #46506=EDGE_CURVE('',#37324,#37325,#6299,.T.); #46507=EDGE_CURVE('',#37325,#37323,#6300,.T.); #46508=EDGE_CURVE('',#37326,#37324,#6301,.T.); #46509=EDGE_CURVE('',#37326,#37327,#6302,.T.); #46510=EDGE_CURVE('',#37327,#37325,#6303,.T.); #46511=EDGE_CURVE('',#37328,#37326,#6304,.T.); #46512=EDGE_CURVE('',#37328,#37329,#6305,.T.); #46513=EDGE_CURVE('',#37329,#37327,#6306,.T.); #46514=EDGE_CURVE('',#37330,#37328,#6307,.T.); #46515=EDGE_CURVE('',#37330,#37331,#6308,.T.); #46516=EDGE_CURVE('',#37331,#37329,#6309,.T.); #46517=EDGE_CURVE('',#37332,#37330,#6310,.T.); #46518=EDGE_CURVE('',#37332,#37333,#6311,.T.); #46519=EDGE_CURVE('',#37333,#37331,#6312,.T.); #46520=EDGE_CURVE('',#37334,#37332,#6313,.T.); #46521=EDGE_CURVE('',#37334,#37335,#6314,.T.); #46522=EDGE_CURVE('',#37335,#37333,#6315,.T.); #46523=EDGE_CURVE('',#37336,#37334,#6316,.T.); #46524=EDGE_CURVE('',#37336,#37337,#6317,.T.); #46525=EDGE_CURVE('',#37337,#37335,#6318,.T.); #46526=EDGE_CURVE('',#37338,#37336,#6319,.T.); #46527=EDGE_CURVE('',#37338,#37339,#6320,.T.); #46528=EDGE_CURVE('',#37339,#37337,#6321,.T.); #46529=EDGE_CURVE('',#37340,#37338,#6322,.T.); #46530=EDGE_CURVE('',#37340,#37341,#6323,.T.); #46531=EDGE_CURVE('',#37341,#37339,#6324,.T.); #46532=EDGE_CURVE('',#37342,#37340,#6325,.T.); #46533=EDGE_CURVE('',#37342,#37343,#6326,.T.); #46534=EDGE_CURVE('',#37343,#37341,#6327,.T.); #46535=EDGE_CURVE('',#37344,#37342,#6328,.T.); #46536=EDGE_CURVE('',#37344,#37345,#6329,.T.); #46537=EDGE_CURVE('',#37345,#37343,#6330,.T.); #46538=EDGE_CURVE('',#37346,#37344,#6331,.T.); #46539=EDGE_CURVE('',#37346,#37347,#6332,.T.); #46540=EDGE_CURVE('',#37347,#37345,#6333,.T.); #46541=EDGE_CURVE('',#37348,#37346,#6334,.T.); #46542=EDGE_CURVE('',#37348,#37349,#6335,.T.); #46543=EDGE_CURVE('',#37349,#37347,#6336,.T.); #46544=EDGE_CURVE('',#37350,#37348,#6337,.T.); #46545=EDGE_CURVE('',#37350,#37351,#6338,.T.); #46546=EDGE_CURVE('',#37351,#37349,#6339,.T.); #46547=EDGE_CURVE('',#37352,#37350,#6340,.T.); #46548=EDGE_CURVE('',#37352,#37353,#6341,.T.); #46549=EDGE_CURVE('',#37353,#37351,#6342,.T.); #46550=EDGE_CURVE('',#37354,#37352,#6343,.T.); #46551=EDGE_CURVE('',#37354,#37355,#6344,.T.); #46552=EDGE_CURVE('',#37355,#37353,#6345,.T.); #46553=EDGE_CURVE('',#37356,#37354,#6346,.T.); #46554=EDGE_CURVE('',#37356,#37357,#6347,.T.); #46555=EDGE_CURVE('',#37357,#37355,#6348,.T.); #46556=EDGE_CURVE('',#37358,#37356,#6349,.T.); #46557=EDGE_CURVE('',#37358,#37359,#6350,.T.); #46558=EDGE_CURVE('',#37359,#37357,#6351,.T.); #46559=EDGE_CURVE('',#37360,#37358,#6352,.T.); #46560=EDGE_CURVE('',#37360,#37361,#6353,.T.); #46561=EDGE_CURVE('',#37361,#37359,#6354,.T.); #46562=EDGE_CURVE('',#37362,#37360,#6355,.T.); #46563=EDGE_CURVE('',#37362,#37363,#6356,.T.); #46564=EDGE_CURVE('',#37363,#37361,#6357,.T.); #46565=EDGE_CURVE('',#37364,#37362,#6358,.T.); #46566=EDGE_CURVE('',#37364,#37365,#6359,.T.); #46567=EDGE_CURVE('',#37365,#37363,#6360,.T.); #46568=EDGE_CURVE('',#37366,#37364,#6361,.T.); #46569=EDGE_CURVE('',#37366,#37367,#6362,.T.); #46570=EDGE_CURVE('',#37367,#37365,#6363,.T.); #46571=EDGE_CURVE('',#37368,#37366,#6364,.T.); #46572=EDGE_CURVE('',#37368,#37369,#6365,.T.); #46573=EDGE_CURVE('',#37369,#37367,#6366,.T.); #46574=EDGE_CURVE('',#37370,#37368,#6367,.T.); #46575=EDGE_CURVE('',#37370,#37371,#6368,.T.); #46576=EDGE_CURVE('',#37371,#37369,#6369,.T.); #46577=EDGE_CURVE('',#37372,#37370,#6370,.T.); #46578=EDGE_CURVE('',#37372,#37373,#6371,.T.); #46579=EDGE_CURVE('',#37373,#37371,#6372,.T.); #46580=EDGE_CURVE('',#37374,#37372,#6373,.T.); #46581=EDGE_CURVE('',#37374,#37375,#6374,.T.); #46582=EDGE_CURVE('',#37375,#37373,#6375,.T.); #46583=EDGE_CURVE('',#37376,#37374,#6376,.T.); #46584=EDGE_CURVE('',#37376,#37377,#6377,.T.); #46585=EDGE_CURVE('',#37377,#37375,#6378,.T.); #46586=EDGE_CURVE('',#37265,#37376,#6379,.T.); #46587=EDGE_CURVE('',#37267,#37377,#6380,.T.); #46588=EDGE_CURVE('',#37378,#37379,#6381,.T.); #46589=EDGE_CURVE('',#37378,#37380,#6382,.T.); #46590=EDGE_CURVE('',#37380,#37381,#6383,.T.); #46591=EDGE_CURVE('',#37379,#37381,#6384,.T.); #46592=EDGE_CURVE('',#37379,#37382,#6385,.T.); #46593=EDGE_CURVE('',#37381,#37383,#6386,.T.); #46594=EDGE_CURVE('',#37382,#37383,#6387,.T.); #46595=EDGE_CURVE('',#37382,#37384,#6388,.T.); #46596=EDGE_CURVE('',#37383,#37385,#6389,.T.); #46597=EDGE_CURVE('',#37384,#37385,#6390,.T.); #46598=EDGE_CURVE('',#37384,#37386,#6391,.T.); #46599=EDGE_CURVE('',#37385,#37387,#6392,.T.); #46600=EDGE_CURVE('',#37386,#37387,#6393,.T.); #46601=EDGE_CURVE('',#37386,#37388,#6394,.T.); #46602=EDGE_CURVE('',#37387,#37389,#6395,.T.); #46603=EDGE_CURVE('',#37388,#37389,#6396,.T.); #46604=EDGE_CURVE('',#37388,#37390,#6397,.T.); #46605=EDGE_CURVE('',#37389,#37391,#6398,.T.); #46606=EDGE_CURVE('',#37390,#37391,#6399,.T.); #46607=EDGE_CURVE('',#37390,#37392,#6400,.T.); #46608=EDGE_CURVE('',#37391,#37393,#6401,.T.); #46609=EDGE_CURVE('',#37392,#37393,#6402,.T.); #46610=EDGE_CURVE('',#37392,#37394,#6403,.T.); #46611=EDGE_CURVE('',#37393,#37395,#6404,.T.); #46612=EDGE_CURVE('',#37394,#37395,#6405,.T.); #46613=EDGE_CURVE('',#37394,#37396,#6406,.T.); #46614=EDGE_CURVE('',#37395,#37397,#6407,.T.); #46615=EDGE_CURVE('',#37396,#37397,#6408,.T.); #46616=EDGE_CURVE('',#37396,#37398,#6409,.T.); #46617=EDGE_CURVE('',#37397,#37399,#6410,.T.); #46618=EDGE_CURVE('',#37398,#37399,#6411,.T.); #46619=EDGE_CURVE('',#37398,#37400,#6412,.T.); #46620=EDGE_CURVE('',#37399,#37401,#6413,.T.); #46621=EDGE_CURVE('',#37400,#37401,#6414,.T.); #46622=EDGE_CURVE('',#37400,#37402,#6415,.T.); #46623=EDGE_CURVE('',#37401,#37403,#6416,.T.); #46624=EDGE_CURVE('',#37402,#37403,#6417,.T.); #46625=EDGE_CURVE('',#37402,#37404,#6418,.T.); #46626=EDGE_CURVE('',#37403,#37405,#6419,.T.); #46627=EDGE_CURVE('',#37404,#37405,#6420,.T.); #46628=EDGE_CURVE('',#37404,#37406,#6421,.T.); #46629=EDGE_CURVE('',#37405,#37407,#6422,.T.); #46630=EDGE_CURVE('',#37406,#37407,#6423,.T.); #46631=EDGE_CURVE('',#37406,#37408,#6424,.T.); #46632=EDGE_CURVE('',#37407,#37409,#6425,.T.); #46633=EDGE_CURVE('',#37408,#37409,#6426,.T.); #46634=EDGE_CURVE('',#37408,#37378,#6427,.T.); #46635=EDGE_CURVE('',#37409,#37380,#6428,.T.); #46636=EDGE_CURVE('',#37410,#37411,#6429,.T.); #46637=EDGE_CURVE('',#37410,#37412,#6430,.T.); #46638=EDGE_CURVE('',#37412,#37413,#6431,.T.); #46639=EDGE_CURVE('',#37411,#37413,#6432,.T.); #46640=EDGE_CURVE('',#37411,#37414,#6433,.T.); #46641=EDGE_CURVE('',#37413,#37415,#6434,.T.); #46642=EDGE_CURVE('',#37414,#37415,#6435,.T.); #46643=EDGE_CURVE('',#37414,#37416,#6436,.T.); #46644=EDGE_CURVE('',#37415,#37417,#6437,.T.); #46645=EDGE_CURVE('',#37416,#37417,#6438,.T.); #46646=EDGE_CURVE('',#37416,#37418,#6439,.T.); #46647=EDGE_CURVE('',#37417,#37419,#6440,.T.); #46648=EDGE_CURVE('',#37418,#37419,#6441,.T.); #46649=EDGE_CURVE('',#37418,#37420,#6442,.T.); #46650=EDGE_CURVE('',#37419,#37421,#6443,.T.); #46651=EDGE_CURVE('',#37420,#37421,#6444,.T.); #46652=EDGE_CURVE('',#37420,#37422,#6445,.T.); #46653=EDGE_CURVE('',#37421,#37423,#6446,.T.); #46654=EDGE_CURVE('',#37422,#37423,#6447,.T.); #46655=EDGE_CURVE('',#37422,#37424,#6448,.T.); #46656=EDGE_CURVE('',#37423,#37425,#6449,.T.); #46657=EDGE_CURVE('',#37424,#37425,#6450,.T.); #46658=EDGE_CURVE('',#37424,#37426,#6451,.T.); #46659=EDGE_CURVE('',#37425,#37427,#6452,.T.); #46660=EDGE_CURVE('',#37426,#37427,#6453,.T.); #46661=EDGE_CURVE('',#37426,#37428,#6454,.T.); #46662=EDGE_CURVE('',#37427,#37429,#6455,.T.); #46663=EDGE_CURVE('',#37428,#37429,#6456,.T.); #46664=EDGE_CURVE('',#37428,#37430,#6457,.T.); #46665=EDGE_CURVE('',#37429,#37431,#6458,.T.); #46666=EDGE_CURVE('',#37430,#37431,#6459,.T.); #46667=EDGE_CURVE('',#37430,#37432,#6460,.T.); #46668=EDGE_CURVE('',#37431,#37433,#6461,.T.); #46669=EDGE_CURVE('',#37432,#37433,#6462,.T.); #46670=EDGE_CURVE('',#37432,#37434,#6463,.T.); #46671=EDGE_CURVE('',#37433,#37435,#6464,.T.); #46672=EDGE_CURVE('',#37434,#37435,#6465,.T.); #46673=EDGE_CURVE('',#37434,#37436,#6466,.T.); #46674=EDGE_CURVE('',#37435,#37437,#6467,.T.); #46675=EDGE_CURVE('',#37436,#37437,#6468,.T.); #46676=EDGE_CURVE('',#37436,#37438,#6469,.T.); #46677=EDGE_CURVE('',#37437,#37439,#6470,.T.); #46678=EDGE_CURVE('',#37438,#37439,#6471,.T.); #46679=EDGE_CURVE('',#37438,#37440,#6472,.T.); #46680=EDGE_CURVE('',#37439,#37441,#6473,.T.); #46681=EDGE_CURVE('',#37440,#37441,#6474,.T.); #46682=EDGE_CURVE('',#37440,#37410,#6475,.T.); #46683=EDGE_CURVE('',#37441,#37412,#6476,.T.); #46684=EDGE_CURVE('',#37442,#37443,#6477,.T.); #46685=EDGE_CURVE('',#37442,#37444,#6478,.T.); #46686=EDGE_CURVE('',#37444,#37445,#6479,.T.); #46687=EDGE_CURVE('',#37443,#37445,#6480,.T.); #46688=EDGE_CURVE('',#37446,#37442,#6481,.T.); #46689=EDGE_CURVE('',#37446,#37447,#6482,.T.); #46690=EDGE_CURVE('',#37447,#37444,#6483,.T.); #46691=EDGE_CURVE('',#37448,#37446,#6484,.T.); #46692=EDGE_CURVE('',#37448,#37449,#6485,.T.); #46693=EDGE_CURVE('',#37449,#37447,#6486,.T.); #46694=EDGE_CURVE('',#37450,#37448,#6487,.T.); #46695=EDGE_CURVE('',#37450,#37451,#6488,.T.); #46696=EDGE_CURVE('',#37451,#37449,#6489,.T.); #46697=EDGE_CURVE('',#37452,#37450,#6490,.T.); #46698=EDGE_CURVE('',#37452,#37453,#6491,.T.); #46699=EDGE_CURVE('',#37453,#37451,#6492,.T.); #46700=EDGE_CURVE('',#37454,#37452,#6493,.T.); #46701=EDGE_CURVE('',#37454,#37455,#6494,.T.); #46702=EDGE_CURVE('',#37455,#37453,#6495,.T.); #46703=EDGE_CURVE('',#37456,#37454,#6496,.T.); #46704=EDGE_CURVE('',#37456,#37457,#6497,.T.); #46705=EDGE_CURVE('',#37457,#37455,#6498,.T.); #46706=EDGE_CURVE('',#37458,#37456,#6499,.T.); #46707=EDGE_CURVE('',#37458,#37459,#6500,.T.); #46708=EDGE_CURVE('',#37459,#37457,#6501,.T.); #46709=EDGE_CURVE('',#37460,#37458,#6502,.T.); #46710=EDGE_CURVE('',#37460,#37461,#6503,.T.); #46711=EDGE_CURVE('',#37461,#37459,#6504,.T.); #46712=EDGE_CURVE('',#37462,#37460,#6505,.T.); #46713=EDGE_CURVE('',#37462,#37463,#6506,.T.); #46714=EDGE_CURVE('',#37463,#37461,#6507,.T.); #46715=EDGE_CURVE('',#37464,#37462,#6508,.T.); #46716=EDGE_CURVE('',#37464,#37465,#6509,.T.); #46717=EDGE_CURVE('',#37465,#37463,#6510,.T.); #46718=EDGE_CURVE('',#37466,#37464,#6511,.T.); #46719=EDGE_CURVE('',#37466,#37467,#6512,.T.); #46720=EDGE_CURVE('',#37467,#37465,#6513,.T.); #46721=EDGE_CURVE('',#37468,#37466,#6514,.T.); #46722=EDGE_CURVE('',#37468,#37469,#6515,.T.); #46723=EDGE_CURVE('',#37469,#37467,#6516,.T.); #46724=EDGE_CURVE('',#37470,#37468,#6517,.T.); #46725=EDGE_CURVE('',#37470,#37471,#6518,.T.); #46726=EDGE_CURVE('',#37471,#37469,#6519,.T.); #46727=EDGE_CURVE('',#37472,#37470,#6520,.T.); #46728=EDGE_CURVE('',#37472,#37473,#6521,.T.); #46729=EDGE_CURVE('',#37473,#37471,#6522,.T.); #46730=EDGE_CURVE('',#37474,#37472,#6523,.T.); #46731=EDGE_CURVE('',#37474,#37475,#6524,.T.); #46732=EDGE_CURVE('',#37475,#37473,#6525,.T.); #46733=EDGE_CURVE('',#37476,#37474,#6526,.T.); #46734=EDGE_CURVE('',#37476,#37477,#6527,.T.); #46735=EDGE_CURVE('',#37477,#37475,#6528,.T.); #46736=EDGE_CURVE('',#37478,#37476,#6529,.T.); #46737=EDGE_CURVE('',#37478,#37479,#6530,.T.); #46738=EDGE_CURVE('',#37479,#37477,#6531,.T.); #46739=EDGE_CURVE('',#37480,#37478,#6532,.T.); #46740=EDGE_CURVE('',#37480,#37481,#6533,.T.); #46741=EDGE_CURVE('',#37481,#37479,#6534,.T.); #46742=EDGE_CURVE('',#37482,#37480,#6535,.T.); #46743=EDGE_CURVE('',#37482,#37483,#6536,.T.); #46744=EDGE_CURVE('',#37483,#37481,#6537,.T.); #46745=EDGE_CURVE('',#37484,#37482,#6538,.T.); #46746=EDGE_CURVE('',#37484,#37485,#6539,.T.); #46747=EDGE_CURVE('',#37485,#37483,#6540,.T.); #46748=EDGE_CURVE('',#37486,#37484,#6541,.T.); #46749=EDGE_CURVE('',#37486,#37487,#6542,.T.); #46750=EDGE_CURVE('',#37487,#37485,#6543,.T.); #46751=EDGE_CURVE('',#37488,#37486,#6544,.T.); #46752=EDGE_CURVE('',#37488,#37489,#6545,.T.); #46753=EDGE_CURVE('',#37489,#37487,#6546,.T.); #46754=EDGE_CURVE('',#37490,#37488,#6547,.T.); #46755=EDGE_CURVE('',#37490,#37491,#6548,.T.); #46756=EDGE_CURVE('',#37491,#37489,#6549,.T.); #46757=EDGE_CURVE('',#37492,#37490,#6550,.T.); #46758=EDGE_CURVE('',#37492,#37493,#6551,.T.); #46759=EDGE_CURVE('',#37493,#37491,#6552,.T.); #46760=EDGE_CURVE('',#37494,#37492,#6553,.T.); #46761=EDGE_CURVE('',#37494,#37495,#6554,.T.); #46762=EDGE_CURVE('',#37495,#37493,#6555,.T.); #46763=EDGE_CURVE('',#37496,#37494,#6556,.T.); #46764=EDGE_CURVE('',#37496,#37497,#6557,.T.); #46765=EDGE_CURVE('',#37497,#37495,#6558,.T.); #46766=EDGE_CURVE('',#37498,#37496,#6559,.T.); #46767=EDGE_CURVE('',#37498,#37499,#6560,.T.); #46768=EDGE_CURVE('',#37499,#37497,#6561,.T.); #46769=EDGE_CURVE('',#37500,#37498,#6562,.T.); #46770=EDGE_CURVE('',#37500,#37501,#6563,.T.); #46771=EDGE_CURVE('',#37501,#37499,#6564,.T.); #46772=EDGE_CURVE('',#37502,#37500,#6565,.T.); #46773=EDGE_CURVE('',#37502,#37503,#6566,.T.); #46774=EDGE_CURVE('',#37503,#37501,#6567,.T.); #46775=EDGE_CURVE('',#37504,#37502,#6568,.T.); #46776=EDGE_CURVE('',#37504,#37505,#6569,.T.); #46777=EDGE_CURVE('',#37505,#37503,#6570,.T.); #46778=EDGE_CURVE('',#37506,#37504,#6571,.T.); #46779=EDGE_CURVE('',#37506,#37507,#6572,.T.); #46780=EDGE_CURVE('',#37507,#37505,#6573,.T.); #46781=EDGE_CURVE('',#37508,#37506,#6574,.T.); #46782=EDGE_CURVE('',#37508,#37509,#6575,.T.); #46783=EDGE_CURVE('',#37509,#37507,#6576,.T.); #46784=EDGE_CURVE('',#37443,#37508,#6577,.T.); #46785=EDGE_CURVE('',#37445,#37509,#6578,.T.); #46786=EDGE_CURVE('',#37510,#37511,#6579,.T.); #46787=EDGE_CURVE('',#37510,#37512,#6580,.T.); #46788=EDGE_CURVE('',#37512,#37513,#6581,.T.); #46789=EDGE_CURVE('',#37511,#37513,#6582,.T.); #46790=EDGE_CURVE('',#37514,#37510,#6583,.T.); #46791=EDGE_CURVE('',#37514,#37515,#6584,.T.); #46792=EDGE_CURVE('',#37515,#37512,#6585,.T.); #46793=EDGE_CURVE('',#37516,#37514,#6586,.T.); #46794=EDGE_CURVE('',#37516,#37517,#6587,.T.); #46795=EDGE_CURVE('',#37517,#37515,#6588,.T.); #46796=EDGE_CURVE('',#37518,#37516,#6589,.T.); #46797=EDGE_CURVE('',#37518,#37519,#6590,.T.); #46798=EDGE_CURVE('',#37519,#37517,#6591,.T.); #46799=EDGE_CURVE('',#37520,#37518,#6592,.T.); #46800=EDGE_CURVE('',#37520,#37521,#6593,.T.); #46801=EDGE_CURVE('',#37521,#37519,#6594,.T.); #46802=EDGE_CURVE('',#37522,#37520,#6595,.T.); #46803=EDGE_CURVE('',#37522,#37523,#6596,.T.); #46804=EDGE_CURVE('',#37523,#37521,#6597,.T.); #46805=EDGE_CURVE('',#37511,#37522,#6598,.T.); #46806=EDGE_CURVE('',#37513,#37523,#6599,.T.); #46807=EDGE_CURVE('',#37524,#37525,#6600,.T.); #46808=EDGE_CURVE('',#37524,#37526,#6601,.T.); #46809=EDGE_CURVE('',#37526,#37527,#6602,.T.); #46810=EDGE_CURVE('',#37525,#37527,#6603,.T.); #46811=EDGE_CURVE('',#37525,#37528,#6604,.T.); #46812=EDGE_CURVE('',#37527,#37529,#6605,.T.); #46813=EDGE_CURVE('',#37528,#37529,#6606,.T.); #46814=EDGE_CURVE('',#37528,#37530,#6607,.T.); #46815=EDGE_CURVE('',#37529,#37531,#6608,.T.); #46816=EDGE_CURVE('',#37530,#37531,#6609,.T.); #46817=EDGE_CURVE('',#37530,#37532,#6610,.T.); #46818=EDGE_CURVE('',#37531,#37533,#6611,.T.); #46819=EDGE_CURVE('',#37532,#37533,#6612,.T.); #46820=EDGE_CURVE('',#37532,#37534,#6613,.T.); #46821=EDGE_CURVE('',#37533,#37535,#6614,.T.); #46822=EDGE_CURVE('',#37534,#37535,#6615,.T.); #46823=EDGE_CURVE('',#37534,#37536,#6616,.T.); #46824=EDGE_CURVE('',#37535,#37537,#6617,.T.); #46825=EDGE_CURVE('',#37536,#37537,#6618,.T.); #46826=EDGE_CURVE('',#37536,#37538,#6619,.T.); #46827=EDGE_CURVE('',#37537,#37539,#6620,.T.); #46828=EDGE_CURVE('',#37538,#37539,#6621,.T.); #46829=EDGE_CURVE('',#37538,#37540,#6622,.T.); #46830=EDGE_CURVE('',#37539,#37541,#6623,.T.); #46831=EDGE_CURVE('',#37540,#37541,#6624,.T.); #46832=EDGE_CURVE('',#37540,#37542,#6625,.T.); #46833=EDGE_CURVE('',#37541,#37543,#6626,.T.); #46834=EDGE_CURVE('',#37542,#37543,#6627,.T.); #46835=EDGE_CURVE('',#37542,#37544,#6628,.T.); #46836=EDGE_CURVE('',#37543,#37545,#6629,.T.); #46837=EDGE_CURVE('',#37544,#37545,#6630,.T.); #46838=EDGE_CURVE('',#37544,#37546,#6631,.T.); #46839=EDGE_CURVE('',#37545,#37547,#6632,.T.); #46840=EDGE_CURVE('',#37546,#37547,#6633,.T.); #46841=EDGE_CURVE('',#37546,#37548,#6634,.T.); #46842=EDGE_CURVE('',#37547,#37549,#6635,.T.); #46843=EDGE_CURVE('',#37548,#37549,#6636,.T.); #46844=EDGE_CURVE('',#37548,#37550,#6637,.T.); #46845=EDGE_CURVE('',#37549,#37551,#6638,.T.); #46846=EDGE_CURVE('',#37550,#37551,#6639,.T.); #46847=EDGE_CURVE('',#37550,#37552,#6640,.T.); #46848=EDGE_CURVE('',#37551,#37553,#6641,.T.); #46849=EDGE_CURVE('',#37552,#37553,#6642,.T.); #46850=EDGE_CURVE('',#37552,#37554,#6643,.T.); #46851=EDGE_CURVE('',#37553,#37555,#6644,.T.); #46852=EDGE_CURVE('',#37554,#37555,#6645,.T.); #46853=EDGE_CURVE('',#37554,#37556,#6646,.T.); #46854=EDGE_CURVE('',#37555,#37557,#6647,.T.); #46855=EDGE_CURVE('',#37556,#37557,#6648,.T.); #46856=EDGE_CURVE('',#37556,#37558,#6649,.T.); #46857=EDGE_CURVE('',#37557,#37559,#6650,.T.); #46858=EDGE_CURVE('',#37558,#37559,#6651,.T.); #46859=EDGE_CURVE('',#37558,#37560,#6652,.T.); #46860=EDGE_CURVE('',#37559,#37561,#6653,.T.); #46861=EDGE_CURVE('',#37560,#37561,#6654,.T.); #46862=EDGE_CURVE('',#37560,#37562,#6655,.T.); #46863=EDGE_CURVE('',#37561,#37563,#6656,.T.); #46864=EDGE_CURVE('',#37562,#37563,#6657,.T.); #46865=EDGE_CURVE('',#37562,#37524,#6658,.T.); #46866=EDGE_CURVE('',#37563,#37526,#6659,.T.); #46867=EDGE_CURVE('',#37564,#37565,#6660,.T.); #46868=EDGE_CURVE('',#37564,#37566,#6661,.T.); #46869=EDGE_CURVE('',#37566,#37567,#6662,.T.); #46870=EDGE_CURVE('',#37565,#37567,#6663,.T.); #46871=EDGE_CURVE('',#37568,#37564,#6664,.T.); #46872=EDGE_CURVE('',#37568,#37569,#6665,.T.); #46873=EDGE_CURVE('',#37569,#37566,#6666,.T.); #46874=EDGE_CURVE('',#37570,#37568,#6667,.T.); #46875=EDGE_CURVE('',#37570,#37571,#6668,.T.); #46876=EDGE_CURVE('',#37571,#37569,#6669,.T.); #46877=EDGE_CURVE('',#37572,#37570,#6670,.T.); #46878=EDGE_CURVE('',#37572,#37573,#6671,.T.); #46879=EDGE_CURVE('',#37573,#37571,#6672,.T.); #46880=EDGE_CURVE('',#37574,#37572,#6673,.T.); #46881=EDGE_CURVE('',#37574,#37575,#6674,.T.); #46882=EDGE_CURVE('',#37575,#37573,#6675,.T.); #46883=EDGE_CURVE('',#37576,#37574,#6676,.T.); #46884=EDGE_CURVE('',#37576,#37577,#6677,.T.); #46885=EDGE_CURVE('',#37577,#37575,#6678,.T.); #46886=EDGE_CURVE('',#37578,#37576,#6679,.T.); #46887=EDGE_CURVE('',#37578,#37579,#6680,.T.); #46888=EDGE_CURVE('',#37579,#37577,#6681,.T.); #46889=EDGE_CURVE('',#37580,#37578,#6682,.T.); #46890=EDGE_CURVE('',#37580,#37581,#6683,.T.); #46891=EDGE_CURVE('',#37581,#37579,#6684,.T.); #46892=EDGE_CURVE('',#37582,#37580,#6685,.T.); #46893=EDGE_CURVE('',#37582,#37583,#6686,.T.); #46894=EDGE_CURVE('',#37583,#37581,#6687,.T.); #46895=EDGE_CURVE('',#37584,#37582,#6688,.T.); #46896=EDGE_CURVE('',#37584,#37585,#6689,.T.); #46897=EDGE_CURVE('',#37585,#37583,#6690,.T.); #46898=EDGE_CURVE('',#37586,#37584,#6691,.T.); #46899=EDGE_CURVE('',#37586,#37587,#6692,.T.); #46900=EDGE_CURVE('',#37587,#37585,#6693,.T.); #46901=EDGE_CURVE('',#37588,#37586,#6694,.T.); #46902=EDGE_CURVE('',#37588,#37589,#6695,.T.); #46903=EDGE_CURVE('',#37589,#37587,#6696,.T.); #46904=EDGE_CURVE('',#37590,#37588,#6697,.T.); #46905=EDGE_CURVE('',#37590,#37591,#6698,.T.); #46906=EDGE_CURVE('',#37591,#37589,#6699,.T.); #46907=EDGE_CURVE('',#37592,#37590,#6700,.T.); #46908=EDGE_CURVE('',#37592,#37593,#6701,.T.); #46909=EDGE_CURVE('',#37593,#37591,#6702,.T.); #46910=EDGE_CURVE('',#37594,#37592,#6703,.T.); #46911=EDGE_CURVE('',#37594,#37595,#6704,.T.); #46912=EDGE_CURVE('',#37595,#37593,#6705,.T.); #46913=EDGE_CURVE('',#37596,#37594,#6706,.T.); #46914=EDGE_CURVE('',#37596,#37597,#6707,.T.); #46915=EDGE_CURVE('',#37597,#37595,#6708,.T.); #46916=EDGE_CURVE('',#37598,#37596,#6709,.T.); #46917=EDGE_CURVE('',#37598,#37599,#6710,.T.); #46918=EDGE_CURVE('',#37599,#37597,#6711,.T.); #46919=EDGE_CURVE('',#37600,#37598,#6712,.T.); #46920=EDGE_CURVE('',#37600,#37601,#6713,.T.); #46921=EDGE_CURVE('',#37601,#37599,#6714,.T.); #46922=EDGE_CURVE('',#37602,#37600,#6715,.T.); #46923=EDGE_CURVE('',#37602,#37603,#6716,.T.); #46924=EDGE_CURVE('',#37603,#37601,#6717,.T.); #46925=EDGE_CURVE('',#37604,#37602,#6718,.T.); #46926=EDGE_CURVE('',#37604,#37605,#6719,.T.); #46927=EDGE_CURVE('',#37605,#37603,#6720,.T.); #46928=EDGE_CURVE('',#37606,#37604,#6721,.T.); #46929=EDGE_CURVE('',#37606,#37607,#6722,.T.); #46930=EDGE_CURVE('',#37607,#37605,#6723,.T.); #46931=EDGE_CURVE('',#37608,#37606,#6724,.T.); #46932=EDGE_CURVE('',#37608,#37609,#6725,.T.); #46933=EDGE_CURVE('',#37609,#37607,#6726,.T.); #46934=EDGE_CURVE('',#37610,#37608,#6727,.T.); #46935=EDGE_CURVE('',#37610,#37611,#6728,.T.); #46936=EDGE_CURVE('',#37611,#37609,#6729,.T.); #46937=EDGE_CURVE('',#37612,#37610,#6730,.T.); #46938=EDGE_CURVE('',#37612,#37613,#6731,.T.); #46939=EDGE_CURVE('',#37613,#37611,#6732,.T.); #46940=EDGE_CURVE('',#37614,#37612,#6733,.T.); #46941=EDGE_CURVE('',#37614,#37615,#6734,.T.); #46942=EDGE_CURVE('',#37615,#37613,#6735,.T.); #46943=EDGE_CURVE('',#37616,#37614,#6736,.T.); #46944=EDGE_CURVE('',#37616,#37617,#6737,.T.); #46945=EDGE_CURVE('',#37617,#37615,#6738,.T.); #46946=EDGE_CURVE('',#37618,#37616,#6739,.T.); #46947=EDGE_CURVE('',#37618,#37619,#6740,.T.); #46948=EDGE_CURVE('',#37619,#37617,#6741,.T.); #46949=EDGE_CURVE('',#37620,#37618,#6742,.T.); #46950=EDGE_CURVE('',#37620,#37621,#6743,.T.); #46951=EDGE_CURVE('',#37621,#37619,#6744,.T.); #46952=EDGE_CURVE('',#37622,#37620,#6745,.T.); #46953=EDGE_CURVE('',#37622,#37623,#6746,.T.); #46954=EDGE_CURVE('',#37623,#37621,#6747,.T.); #46955=EDGE_CURVE('',#37624,#37622,#6748,.T.); #46956=EDGE_CURVE('',#37624,#37625,#6749,.T.); #46957=EDGE_CURVE('',#37625,#37623,#6750,.T.); #46958=EDGE_CURVE('',#37626,#37624,#6751,.T.); #46959=EDGE_CURVE('',#37626,#37627,#6752,.T.); #46960=EDGE_CURVE('',#37627,#37625,#6753,.T.); #46961=EDGE_CURVE('',#37628,#37626,#6754,.T.); #46962=EDGE_CURVE('',#37628,#37629,#6755,.T.); #46963=EDGE_CURVE('',#37629,#37627,#6756,.T.); #46964=EDGE_CURVE('',#37630,#37628,#6757,.T.); #46965=EDGE_CURVE('',#37630,#37631,#6758,.T.); #46966=EDGE_CURVE('',#37631,#37629,#6759,.T.); #46967=EDGE_CURVE('',#37632,#37630,#6760,.T.); #46968=EDGE_CURVE('',#37632,#37633,#6761,.T.); #46969=EDGE_CURVE('',#37633,#37631,#6762,.T.); #46970=EDGE_CURVE('',#37634,#37632,#6763,.T.); #46971=EDGE_CURVE('',#37634,#37635,#6764,.T.); #46972=EDGE_CURVE('',#37635,#37633,#6765,.T.); #46973=EDGE_CURVE('',#37636,#37634,#6766,.T.); #46974=EDGE_CURVE('',#37636,#37637,#6767,.T.); #46975=EDGE_CURVE('',#37637,#37635,#6768,.T.); #46976=EDGE_CURVE('',#37638,#37636,#6769,.T.); #46977=EDGE_CURVE('',#37638,#37639,#6770,.T.); #46978=EDGE_CURVE('',#37639,#37637,#6771,.T.); #46979=EDGE_CURVE('',#37640,#37638,#6772,.T.); #46980=EDGE_CURVE('',#37640,#37641,#6773,.T.); #46981=EDGE_CURVE('',#37641,#37639,#6774,.T.); #46982=EDGE_CURVE('',#37642,#37640,#6775,.T.); #46983=EDGE_CURVE('',#37642,#37643,#6776,.T.); #46984=EDGE_CURVE('',#37643,#37641,#6777,.T.); #46985=EDGE_CURVE('',#37565,#37642,#6778,.T.); #46986=EDGE_CURVE('',#37567,#37643,#6779,.T.); #46987=EDGE_CURVE('',#37644,#37645,#6780,.T.); #46988=EDGE_CURVE('',#37644,#37646,#6781,.T.); #46989=EDGE_CURVE('',#37646,#37647,#6782,.T.); #46990=EDGE_CURVE('',#37645,#37647,#6783,.T.); #46991=EDGE_CURVE('',#37648,#37644,#6784,.T.); #46992=EDGE_CURVE('',#37648,#37649,#6785,.T.); #46993=EDGE_CURVE('',#37649,#37646,#6786,.T.); #46994=EDGE_CURVE('',#37650,#37648,#6787,.T.); #46995=EDGE_CURVE('',#37650,#37651,#6788,.T.); #46996=EDGE_CURVE('',#37651,#37649,#6789,.T.); #46997=EDGE_CURVE('',#37652,#37650,#6790,.T.); #46998=EDGE_CURVE('',#37652,#37653,#6791,.T.); #46999=EDGE_CURVE('',#37653,#37651,#6792,.T.); #47000=EDGE_CURVE('',#37654,#37652,#6793,.T.); #47001=EDGE_CURVE('',#37654,#37655,#6794,.T.); #47002=EDGE_CURVE('',#37655,#37653,#6795,.T.); #47003=EDGE_CURVE('',#37656,#37654,#6796,.T.); #47004=EDGE_CURVE('',#37656,#37657,#6797,.T.); #47005=EDGE_CURVE('',#37657,#37655,#6798,.T.); #47006=EDGE_CURVE('',#37645,#37656,#6799,.T.); #47007=EDGE_CURVE('',#37647,#37657,#6800,.T.); #47008=EDGE_CURVE('',#37658,#37659,#6801,.T.); #47009=EDGE_CURVE('',#37658,#37660,#6802,.T.); #47010=EDGE_CURVE('',#37660,#37661,#6803,.T.); #47011=EDGE_CURVE('',#37659,#37661,#6804,.T.); #47012=EDGE_CURVE('',#37662,#37658,#6805,.T.); #47013=EDGE_CURVE('',#37662,#37663,#6806,.T.); #47014=EDGE_CURVE('',#37663,#37660,#6807,.T.); #47015=EDGE_CURVE('',#37664,#37662,#6808,.T.); #47016=EDGE_CURVE('',#37664,#37665,#6809,.T.); #47017=EDGE_CURVE('',#37665,#37663,#6810,.T.); #47018=EDGE_CURVE('',#37666,#37664,#6811,.T.); #47019=EDGE_CURVE('',#37666,#37667,#6812,.T.); #47020=EDGE_CURVE('',#37667,#37665,#6813,.T.); #47021=EDGE_CURVE('',#37668,#37666,#6814,.T.); #47022=EDGE_CURVE('',#37668,#37669,#6815,.T.); #47023=EDGE_CURVE('',#37669,#37667,#6816,.T.); #47024=EDGE_CURVE('',#37670,#37668,#6817,.T.); #47025=EDGE_CURVE('',#37670,#37671,#6818,.T.); #47026=EDGE_CURVE('',#37671,#37669,#6819,.T.); #47027=EDGE_CURVE('',#37659,#37670,#6820,.T.); #47028=EDGE_CURVE('',#37661,#37671,#6821,.T.); #47029=EDGE_CURVE('',#37672,#37673,#6822,.T.); #47030=EDGE_CURVE('',#37672,#37674,#6823,.T.); #47031=EDGE_CURVE('',#37674,#37675,#6824,.T.); #47032=EDGE_CURVE('',#37673,#37675,#6825,.T.); #47033=EDGE_CURVE('',#37676,#37672,#6826,.T.); #47034=EDGE_CURVE('',#37676,#37677,#6827,.T.); #47035=EDGE_CURVE('',#37677,#37674,#6828,.T.); #47036=EDGE_CURVE('',#37678,#37676,#6829,.T.); #47037=EDGE_CURVE('',#37678,#37679,#6830,.T.); #47038=EDGE_CURVE('',#37679,#37677,#6831,.T.); #47039=EDGE_CURVE('',#37680,#37678,#6832,.T.); #47040=EDGE_CURVE('',#37680,#37681,#6833,.T.); #47041=EDGE_CURVE('',#37681,#37679,#6834,.T.); #47042=EDGE_CURVE('',#37682,#37680,#6835,.T.); #47043=EDGE_CURVE('',#37682,#37683,#6836,.T.); #47044=EDGE_CURVE('',#37683,#37681,#6837,.T.); #47045=EDGE_CURVE('',#37684,#37682,#6838,.T.); #47046=EDGE_CURVE('',#37684,#37685,#6839,.T.); #47047=EDGE_CURVE('',#37685,#37683,#6840,.T.); #47048=EDGE_CURVE('',#37686,#37684,#6841,.T.); #47049=EDGE_CURVE('',#37686,#37687,#6842,.T.); #47050=EDGE_CURVE('',#37687,#37685,#6843,.T.); #47051=EDGE_CURVE('',#37688,#37686,#6844,.T.); #47052=EDGE_CURVE('',#37688,#37689,#6845,.T.); #47053=EDGE_CURVE('',#37689,#37687,#6846,.T.); #47054=EDGE_CURVE('',#37690,#37688,#6847,.T.); #47055=EDGE_CURVE('',#37690,#37691,#6848,.T.); #47056=EDGE_CURVE('',#37691,#37689,#6849,.T.); #47057=EDGE_CURVE('',#37692,#37690,#6850,.T.); #47058=EDGE_CURVE('',#37692,#37693,#6851,.T.); #47059=EDGE_CURVE('',#37693,#37691,#6852,.T.); #47060=EDGE_CURVE('',#37694,#37692,#6853,.T.); #47061=EDGE_CURVE('',#37694,#37695,#6854,.T.); #47062=EDGE_CURVE('',#37695,#37693,#6855,.T.); #47063=EDGE_CURVE('',#37696,#37694,#6856,.T.); #47064=EDGE_CURVE('',#37696,#37697,#6857,.T.); #47065=EDGE_CURVE('',#37697,#37695,#6858,.T.); #47066=EDGE_CURVE('',#37698,#37696,#6859,.T.); #47067=EDGE_CURVE('',#37698,#37699,#6860,.T.); #47068=EDGE_CURVE('',#37699,#37697,#6861,.T.); #47069=EDGE_CURVE('',#37700,#37698,#6862,.T.); #47070=EDGE_CURVE('',#37700,#37701,#6863,.T.); #47071=EDGE_CURVE('',#37701,#37699,#6864,.T.); #47072=EDGE_CURVE('',#37702,#37700,#6865,.T.); #47073=EDGE_CURVE('',#37702,#37703,#6866,.T.); #47074=EDGE_CURVE('',#37703,#37701,#6867,.T.); #47075=EDGE_CURVE('',#37704,#37702,#6868,.T.); #47076=EDGE_CURVE('',#37704,#37705,#6869,.T.); #47077=EDGE_CURVE('',#37705,#37703,#6870,.T.); #47078=EDGE_CURVE('',#37706,#37704,#6871,.T.); #47079=EDGE_CURVE('',#37706,#37707,#6872,.T.); #47080=EDGE_CURVE('',#37707,#37705,#6873,.T.); #47081=EDGE_CURVE('',#37708,#37706,#6874,.T.); #47082=EDGE_CURVE('',#37708,#37709,#6875,.T.); #47083=EDGE_CURVE('',#37709,#37707,#6876,.T.); #47084=EDGE_CURVE('',#37710,#37708,#6877,.T.); #47085=EDGE_CURVE('',#37710,#37711,#6878,.T.); #47086=EDGE_CURVE('',#37711,#37709,#6879,.T.); #47087=EDGE_CURVE('',#37712,#37710,#6880,.T.); #47088=EDGE_CURVE('',#37712,#37713,#6881,.T.); #47089=EDGE_CURVE('',#37713,#37711,#6882,.T.); #47090=EDGE_CURVE('',#37714,#37712,#6883,.T.); #47091=EDGE_CURVE('',#37714,#37715,#6884,.T.); #47092=EDGE_CURVE('',#37715,#37713,#6885,.T.); #47093=EDGE_CURVE('',#37716,#37714,#6886,.T.); #47094=EDGE_CURVE('',#37716,#37717,#6887,.T.); #47095=EDGE_CURVE('',#37717,#37715,#6888,.T.); #47096=EDGE_CURVE('',#37718,#37716,#6889,.T.); #47097=EDGE_CURVE('',#37718,#37719,#6890,.T.); #47098=EDGE_CURVE('',#37719,#37717,#6891,.T.); #47099=EDGE_CURVE('',#37720,#37718,#6892,.T.); #47100=EDGE_CURVE('',#37720,#37721,#6893,.T.); #47101=EDGE_CURVE('',#37721,#37719,#6894,.T.); #47102=EDGE_CURVE('',#37722,#37720,#6895,.T.); #47103=EDGE_CURVE('',#37722,#37723,#6896,.T.); #47104=EDGE_CURVE('',#37723,#37721,#6897,.T.); #47105=EDGE_CURVE('',#37724,#37722,#6898,.T.); #47106=EDGE_CURVE('',#37724,#37725,#6899,.T.); #47107=EDGE_CURVE('',#37725,#37723,#6900,.T.); #47108=EDGE_CURVE('',#37726,#37724,#6901,.T.); #47109=EDGE_CURVE('',#37726,#37727,#6902,.T.); #47110=EDGE_CURVE('',#37727,#37725,#6903,.T.); #47111=EDGE_CURVE('',#37673,#37726,#6904,.T.); #47112=EDGE_CURVE('',#37675,#37727,#6905,.T.); #47113=EDGE_CURVE('',#37728,#37729,#6906,.T.); #47114=EDGE_CURVE('',#37728,#37730,#6907,.T.); #47115=EDGE_CURVE('',#37730,#37731,#6908,.T.); #47116=EDGE_CURVE('',#37729,#37731,#6909,.T.); #47117=EDGE_CURVE('',#37729,#37732,#6910,.T.); #47118=EDGE_CURVE('',#37731,#37733,#6911,.T.); #47119=EDGE_CURVE('',#37732,#37733,#6912,.T.); #47120=EDGE_CURVE('',#37732,#37734,#6913,.T.); #47121=EDGE_CURVE('',#37733,#37735,#6914,.T.); #47122=EDGE_CURVE('',#37734,#37735,#6915,.T.); #47123=EDGE_CURVE('',#37734,#37736,#6916,.T.); #47124=EDGE_CURVE('',#37735,#37737,#6917,.T.); #47125=EDGE_CURVE('',#37736,#37737,#6918,.T.); #47126=EDGE_CURVE('',#37736,#37738,#6919,.T.); #47127=EDGE_CURVE('',#37737,#37739,#6920,.T.); #47128=EDGE_CURVE('',#37738,#37739,#6921,.T.); #47129=EDGE_CURVE('',#37738,#37740,#6922,.T.); #47130=EDGE_CURVE('',#37739,#37741,#6923,.T.); #47131=EDGE_CURVE('',#37740,#37741,#6924,.T.); #47132=EDGE_CURVE('',#37740,#37742,#6925,.T.); #47133=EDGE_CURVE('',#37741,#37743,#6926,.T.); #47134=EDGE_CURVE('',#37742,#37743,#6927,.T.); #47135=EDGE_CURVE('',#37742,#37744,#6928,.T.); #47136=EDGE_CURVE('',#37743,#37745,#6929,.T.); #47137=EDGE_CURVE('',#37744,#37745,#6930,.T.); #47138=EDGE_CURVE('',#37744,#37746,#6931,.T.); #47139=EDGE_CURVE('',#37745,#37747,#6932,.T.); #47140=EDGE_CURVE('',#37746,#37747,#6933,.T.); #47141=EDGE_CURVE('',#37746,#37748,#6934,.T.); #47142=EDGE_CURVE('',#37747,#37749,#6935,.T.); #47143=EDGE_CURVE('',#37748,#37749,#6936,.T.); #47144=EDGE_CURVE('',#37748,#37750,#6937,.T.); #47145=EDGE_CURVE('',#37749,#37751,#6938,.T.); #47146=EDGE_CURVE('',#37750,#37751,#6939,.T.); #47147=EDGE_CURVE('',#37750,#37752,#6940,.T.); #47148=EDGE_CURVE('',#37751,#37753,#6941,.T.); #47149=EDGE_CURVE('',#37752,#37753,#6942,.T.); #47150=EDGE_CURVE('',#37752,#37754,#6943,.T.); #47151=EDGE_CURVE('',#37753,#37755,#6944,.T.); #47152=EDGE_CURVE('',#37754,#37755,#6945,.T.); #47153=EDGE_CURVE('',#37754,#37756,#6946,.T.); #47154=EDGE_CURVE('',#37755,#37757,#6947,.T.); #47155=EDGE_CURVE('',#37756,#37757,#6948,.T.); #47156=EDGE_CURVE('',#37756,#37758,#6949,.T.); #47157=EDGE_CURVE('',#37757,#37759,#6950,.T.); #47158=EDGE_CURVE('',#37758,#37759,#6951,.T.); #47159=EDGE_CURVE('',#37758,#37728,#6952,.T.); #47160=EDGE_CURVE('',#37759,#37730,#6953,.T.); #47161=EDGE_CURVE('',#37760,#37761,#6954,.T.); #47162=EDGE_CURVE('',#37760,#37762,#6955,.T.); #47163=EDGE_CURVE('',#37762,#37763,#6956,.T.); #47164=EDGE_CURVE('',#37761,#37763,#6957,.T.); #47165=EDGE_CURVE('',#37761,#37764,#6958,.T.); #47166=EDGE_CURVE('',#37763,#37765,#6959,.T.); #47167=EDGE_CURVE('',#37764,#37765,#6960,.T.); #47168=EDGE_CURVE('',#37764,#37766,#6961,.T.); #47169=EDGE_CURVE('',#37765,#37767,#6962,.T.); #47170=EDGE_CURVE('',#37766,#37767,#6963,.T.); #47171=EDGE_CURVE('',#37766,#37768,#6964,.T.); #47172=EDGE_CURVE('',#37767,#37769,#6965,.T.); #47173=EDGE_CURVE('',#37768,#37769,#6966,.T.); #47174=EDGE_CURVE('',#37768,#37770,#6967,.T.); #47175=EDGE_CURVE('',#37769,#37771,#6968,.T.); #47176=EDGE_CURVE('',#37770,#37771,#6969,.T.); #47177=EDGE_CURVE('',#37770,#37772,#6970,.T.); #47178=EDGE_CURVE('',#37771,#37773,#6971,.T.); #47179=EDGE_CURVE('',#37772,#37773,#6972,.T.); #47180=EDGE_CURVE('',#37772,#37774,#6973,.T.); #47181=EDGE_CURVE('',#37773,#37775,#6974,.T.); #47182=EDGE_CURVE('',#37774,#37775,#6975,.T.); #47183=EDGE_CURVE('',#37774,#37776,#6976,.T.); #47184=EDGE_CURVE('',#37775,#37777,#6977,.T.); #47185=EDGE_CURVE('',#37776,#37777,#6978,.T.); #47186=EDGE_CURVE('',#37776,#37778,#6979,.T.); #47187=EDGE_CURVE('',#37777,#37779,#6980,.T.); #47188=EDGE_CURVE('',#37778,#37779,#6981,.T.); #47189=EDGE_CURVE('',#37778,#37780,#6982,.T.); #47190=EDGE_CURVE('',#37779,#37781,#6983,.T.); #47191=EDGE_CURVE('',#37780,#37781,#6984,.T.); #47192=EDGE_CURVE('',#37780,#37782,#6985,.T.); #47193=EDGE_CURVE('',#37781,#37783,#6986,.T.); #47194=EDGE_CURVE('',#37782,#37783,#6987,.T.); #47195=EDGE_CURVE('',#37782,#37784,#6988,.T.); #47196=EDGE_CURVE('',#37783,#37785,#6989,.T.); #47197=EDGE_CURVE('',#37784,#37785,#6990,.T.); #47198=EDGE_CURVE('',#37784,#37786,#6991,.T.); #47199=EDGE_CURVE('',#37785,#37787,#6992,.T.); #47200=EDGE_CURVE('',#37786,#37787,#6993,.T.); #47201=EDGE_CURVE('',#37786,#37788,#6994,.T.); #47202=EDGE_CURVE('',#37787,#37789,#6995,.T.); #47203=EDGE_CURVE('',#37788,#37789,#6996,.T.); #47204=EDGE_CURVE('',#37788,#37790,#6997,.T.); #47205=EDGE_CURVE('',#37789,#37791,#6998,.T.); #47206=EDGE_CURVE('',#37790,#37791,#6999,.T.); #47207=EDGE_CURVE('',#37790,#37760,#7000,.T.); #47208=EDGE_CURVE('',#37791,#37762,#7001,.T.); #47209=EDGE_CURVE('',#37792,#37793,#7002,.T.); #47210=EDGE_CURVE('',#37792,#37794,#7003,.T.); #47211=EDGE_CURVE('',#37794,#37795,#7004,.T.); #47212=EDGE_CURVE('',#37793,#37795,#7005,.T.); #47213=EDGE_CURVE('',#37796,#37792,#7006,.T.); #47214=EDGE_CURVE('',#37796,#37797,#7007,.T.); #47215=EDGE_CURVE('',#37797,#37794,#7008,.T.); #47216=EDGE_CURVE('',#37798,#37796,#7009,.T.); #47217=EDGE_CURVE('',#37798,#37799,#7010,.T.); #47218=EDGE_CURVE('',#37799,#37797,#7011,.T.); #47219=EDGE_CURVE('',#37800,#37798,#7012,.T.); #47220=EDGE_CURVE('',#37800,#37801,#7013,.T.); #47221=EDGE_CURVE('',#37801,#37799,#7014,.T.); #47222=EDGE_CURVE('',#37802,#37800,#7015,.T.); #47223=EDGE_CURVE('',#37802,#37803,#7016,.T.); #47224=EDGE_CURVE('',#37803,#37801,#7017,.T.); #47225=EDGE_CURVE('',#37804,#37802,#7018,.T.); #47226=EDGE_CURVE('',#37804,#37805,#7019,.T.); #47227=EDGE_CURVE('',#37805,#37803,#7020,.T.); #47228=EDGE_CURVE('',#37806,#37804,#7021,.T.); #47229=EDGE_CURVE('',#37806,#37807,#7022,.T.); #47230=EDGE_CURVE('',#37807,#37805,#7023,.T.); #47231=EDGE_CURVE('',#37808,#37806,#7024,.T.); #47232=EDGE_CURVE('',#37808,#37809,#7025,.T.); #47233=EDGE_CURVE('',#37809,#37807,#7026,.T.); #47234=EDGE_CURVE('',#37810,#37808,#7027,.T.); #47235=EDGE_CURVE('',#37810,#37811,#7028,.T.); #47236=EDGE_CURVE('',#37811,#37809,#7029,.T.); #47237=EDGE_CURVE('',#37812,#37810,#7030,.T.); #47238=EDGE_CURVE('',#37812,#37813,#7031,.T.); #47239=EDGE_CURVE('',#37813,#37811,#7032,.T.); #47240=EDGE_CURVE('',#37814,#37812,#7033,.T.); #47241=EDGE_CURVE('',#37814,#37815,#7034,.T.); #47242=EDGE_CURVE('',#37815,#37813,#7035,.T.); #47243=EDGE_CURVE('',#37816,#37814,#7036,.T.); #47244=EDGE_CURVE('',#37816,#37817,#7037,.T.); #47245=EDGE_CURVE('',#37817,#37815,#7038,.T.); #47246=EDGE_CURVE('',#37818,#37816,#7039,.T.); #47247=EDGE_CURVE('',#37818,#37819,#7040,.T.); #47248=EDGE_CURVE('',#37819,#37817,#7041,.T.); #47249=EDGE_CURVE('',#37820,#37818,#7042,.T.); #47250=EDGE_CURVE('',#37820,#37821,#7043,.T.); #47251=EDGE_CURVE('',#37821,#37819,#7044,.T.); #47252=EDGE_CURVE('',#37822,#37820,#7045,.T.); #47253=EDGE_CURVE('',#37822,#37823,#7046,.T.); #47254=EDGE_CURVE('',#37823,#37821,#7047,.T.); #47255=EDGE_CURVE('',#37824,#37822,#7048,.T.); #47256=EDGE_CURVE('',#37824,#37825,#7049,.T.); #47257=EDGE_CURVE('',#37825,#37823,#7050,.T.); #47258=EDGE_CURVE('',#37826,#37824,#7051,.T.); #47259=EDGE_CURVE('',#37826,#37827,#7052,.T.); #47260=EDGE_CURVE('',#37827,#37825,#7053,.T.); #47261=EDGE_CURVE('',#37828,#37826,#7054,.T.); #47262=EDGE_CURVE('',#37828,#37829,#7055,.T.); #47263=EDGE_CURVE('',#37829,#37827,#7056,.T.); #47264=EDGE_CURVE('',#37830,#37828,#7057,.T.); #47265=EDGE_CURVE('',#37830,#37831,#7058,.T.); #47266=EDGE_CURVE('',#37831,#37829,#7059,.T.); #47267=EDGE_CURVE('',#37832,#37830,#7060,.T.); #47268=EDGE_CURVE('',#37832,#37833,#7061,.T.); #47269=EDGE_CURVE('',#37833,#37831,#7062,.T.); #47270=EDGE_CURVE('',#37834,#37832,#7063,.T.); #47271=EDGE_CURVE('',#37834,#37835,#7064,.T.); #47272=EDGE_CURVE('',#37835,#37833,#7065,.T.); #47273=EDGE_CURVE('',#37836,#37834,#7066,.T.); #47274=EDGE_CURVE('',#37836,#37837,#7067,.T.); #47275=EDGE_CURVE('',#37837,#37835,#7068,.T.); #47276=EDGE_CURVE('',#37838,#37836,#7069,.T.); #47277=EDGE_CURVE('',#37838,#37839,#7070,.T.); #47278=EDGE_CURVE('',#37839,#37837,#7071,.T.); #47279=EDGE_CURVE('',#37840,#37838,#7072,.T.); #47280=EDGE_CURVE('',#37840,#37841,#7073,.T.); #47281=EDGE_CURVE('',#37841,#37839,#7074,.T.); #47282=EDGE_CURVE('',#37842,#37840,#7075,.T.); #47283=EDGE_CURVE('',#37842,#37843,#7076,.T.); #47284=EDGE_CURVE('',#37843,#37841,#7077,.T.); #47285=EDGE_CURVE('',#37844,#37842,#7078,.T.); #47286=EDGE_CURVE('',#37844,#37845,#7079,.T.); #47287=EDGE_CURVE('',#37845,#37843,#7080,.T.); #47288=EDGE_CURVE('',#37846,#37844,#7081,.T.); #47289=EDGE_CURVE('',#37846,#37847,#7082,.T.); #47290=EDGE_CURVE('',#37847,#37845,#7083,.T.); #47291=EDGE_CURVE('',#37848,#37846,#7084,.T.); #47292=EDGE_CURVE('',#37848,#37849,#7085,.T.); #47293=EDGE_CURVE('',#37849,#37847,#7086,.T.); #47294=EDGE_CURVE('',#37850,#37848,#7087,.T.); #47295=EDGE_CURVE('',#37850,#37851,#7088,.T.); #47296=EDGE_CURVE('',#37851,#37849,#7089,.T.); #47297=EDGE_CURVE('',#37852,#37850,#7090,.T.); #47298=EDGE_CURVE('',#37852,#37853,#7091,.T.); #47299=EDGE_CURVE('',#37853,#37851,#7092,.T.); #47300=EDGE_CURVE('',#37854,#37852,#7093,.T.); #47301=EDGE_CURVE('',#37854,#37855,#7094,.T.); #47302=EDGE_CURVE('',#37855,#37853,#7095,.T.); #47303=EDGE_CURVE('',#37856,#37854,#7096,.T.); #47304=EDGE_CURVE('',#37856,#37857,#7097,.T.); #47305=EDGE_CURVE('',#37857,#37855,#7098,.T.); #47306=EDGE_CURVE('',#37858,#37856,#7099,.T.); #47307=EDGE_CURVE('',#37858,#37859,#7100,.T.); #47308=EDGE_CURVE('',#37859,#37857,#7101,.T.); #47309=EDGE_CURVE('',#37793,#37858,#7102,.T.); #47310=EDGE_CURVE('',#37795,#37859,#7103,.T.); #47311=EDGE_CURVE('',#37860,#37861,#7104,.T.); #47312=EDGE_CURVE('',#37860,#37862,#7105,.T.); #47313=EDGE_CURVE('',#37862,#37863,#7106,.T.); #47314=EDGE_CURVE('',#37861,#37863,#7107,.T.); #47315=EDGE_CURVE('',#37864,#37860,#7108,.T.); #47316=EDGE_CURVE('',#37864,#37865,#7109,.T.); #47317=EDGE_CURVE('',#37865,#37862,#7110,.T.); #47318=EDGE_CURVE('',#37866,#37864,#7111,.T.); #47319=EDGE_CURVE('',#37866,#37867,#7112,.T.); #47320=EDGE_CURVE('',#37867,#37865,#7113,.T.); #47321=EDGE_CURVE('',#37868,#37866,#7114,.T.); #47322=EDGE_CURVE('',#37868,#37869,#7115,.T.); #47323=EDGE_CURVE('',#37869,#37867,#7116,.T.); #47324=EDGE_CURVE('',#37870,#37868,#7117,.T.); #47325=EDGE_CURVE('',#37870,#37871,#7118,.T.); #47326=EDGE_CURVE('',#37871,#37869,#7119,.T.); #47327=EDGE_CURVE('',#37872,#37870,#7120,.T.); #47328=EDGE_CURVE('',#37872,#37873,#7121,.T.); #47329=EDGE_CURVE('',#37873,#37871,#7122,.T.); #47330=EDGE_CURVE('',#37861,#37872,#7123,.T.); #47331=EDGE_CURVE('',#37863,#37873,#7124,.T.); #47332=EDGE_CURVE('',#37874,#37875,#7125,.T.); #47333=EDGE_CURVE('',#37874,#37876,#7126,.T.); #47334=EDGE_CURVE('',#37876,#37877,#7127,.T.); #47335=EDGE_CURVE('',#37875,#37877,#7128,.T.); #47336=EDGE_CURVE('',#37878,#37874,#33185,.T.); #47337=EDGE_CURVE('',#37878,#37879,#7129,.T.); #47338=EDGE_CURVE('',#37879,#37876,#33186,.T.); #47339=EDGE_CURVE('',#37880,#37878,#33187,.T.); #47340=EDGE_CURVE('',#37880,#37881,#7130,.T.); #47341=EDGE_CURVE('',#37881,#37879,#33188,.T.); #47342=EDGE_CURVE('',#37882,#37880,#33189,.T.); #47343=EDGE_CURVE('',#37882,#37883,#7131,.T.); #47344=EDGE_CURVE('',#37883,#37881,#33190,.T.); #47345=EDGE_CURVE('',#37884,#37882,#33191,.T.); #47346=EDGE_CURVE('',#37884,#37885,#7132,.T.); #47347=EDGE_CURVE('',#37885,#37883,#33192,.T.); #47348=EDGE_CURVE('',#37886,#37884,#7133,.T.); #47349=EDGE_CURVE('',#37886,#37887,#7134,.T.); #47350=EDGE_CURVE('',#37887,#37885,#7135,.T.); #47351=EDGE_CURVE('',#37888,#37886,#33193,.T.); #47352=EDGE_CURVE('',#37888,#37889,#7136,.T.); #47353=EDGE_CURVE('',#37889,#37887,#33194,.T.); #47354=EDGE_CURVE('',#37890,#37888,#33195,.T.); #47355=EDGE_CURVE('',#37890,#37891,#7137,.T.); #47356=EDGE_CURVE('',#37891,#37889,#33196,.T.); #47357=EDGE_CURVE('',#37875,#37890,#33197,.T.); #47358=EDGE_CURVE('',#37877,#37891,#33198,.T.); #47359=EDGE_CURVE('',#37892,#37893,#7138,.T.); #47360=EDGE_CURVE('',#37892,#37894,#7139,.T.); #47361=EDGE_CURVE('',#37894,#37895,#7140,.T.); #47362=EDGE_CURVE('',#37893,#37895,#7141,.T.); #47363=EDGE_CURVE('',#37896,#37892,#7142,.T.); #47364=EDGE_CURVE('',#37896,#37897,#7143,.T.); #47365=EDGE_CURVE('',#37897,#37894,#7144,.T.); #47366=EDGE_CURVE('',#37898,#37896,#7145,.T.); #47367=EDGE_CURVE('',#37898,#37899,#7146,.T.); #47368=EDGE_CURVE('',#37899,#37897,#7147,.T.); #47369=EDGE_CURVE('',#37900,#37898,#7148,.T.); #47370=EDGE_CURVE('',#37900,#37901,#7149,.T.); #47371=EDGE_CURVE('',#37901,#37899,#7150,.T.); #47372=EDGE_CURVE('',#37902,#37900,#7151,.T.); #47373=EDGE_CURVE('',#37902,#37903,#7152,.T.); #47374=EDGE_CURVE('',#37903,#37901,#7153,.T.); #47375=EDGE_CURVE('',#37904,#37902,#7154,.T.); #47376=EDGE_CURVE('',#37904,#37905,#7155,.T.); #47377=EDGE_CURVE('',#37905,#37903,#7156,.T.); #47378=EDGE_CURVE('',#37906,#37904,#7157,.T.); #47379=EDGE_CURVE('',#37906,#37907,#7158,.T.); #47380=EDGE_CURVE('',#37907,#37905,#7159,.T.); #47381=EDGE_CURVE('',#37908,#37906,#7160,.T.); #47382=EDGE_CURVE('',#37908,#37909,#7161,.T.); #47383=EDGE_CURVE('',#37909,#37907,#7162,.T.); #47384=EDGE_CURVE('',#37910,#37908,#7163,.T.); #47385=EDGE_CURVE('',#37910,#37911,#7164,.T.); #47386=EDGE_CURVE('',#37911,#37909,#7165,.T.); #47387=EDGE_CURVE('',#37893,#37910,#7166,.T.); #47388=EDGE_CURVE('',#37895,#37911,#7167,.T.); #47389=EDGE_CURVE('',#37912,#37913,#33199,.T.); #47390=EDGE_CURVE('',#37912,#37914,#7168,.T.); #47391=EDGE_CURVE('',#37914,#37915,#33200,.T.); #47392=EDGE_CURVE('',#37913,#37915,#7169,.T.); #47393=EDGE_CURVE('',#37916,#37912,#33201,.T.); #47394=EDGE_CURVE('',#37916,#37917,#7170,.T.); #47395=EDGE_CURVE('',#37917,#37914,#33202,.T.); #47396=EDGE_CURVE('',#37918,#37916,#33203,.T.); #47397=EDGE_CURVE('',#37918,#37919,#7171,.T.); #47398=EDGE_CURVE('',#37919,#37917,#33204,.T.); #47399=EDGE_CURVE('',#37920,#37918,#33205,.T.); #47400=EDGE_CURVE('',#37920,#37921,#7172,.T.); #47401=EDGE_CURVE('',#37921,#37919,#33206,.T.); #47402=EDGE_CURVE('',#37922,#37920,#33207,.T.); #47403=EDGE_CURVE('',#37922,#37923,#7173,.T.); #47404=EDGE_CURVE('',#37923,#37921,#33208,.T.); #47405=EDGE_CURVE('',#37924,#37922,#7174,.T.); #47406=EDGE_CURVE('',#37924,#37925,#7175,.T.); #47407=EDGE_CURVE('',#37925,#37923,#7176,.T.); #47408=EDGE_CURVE('',#37926,#37924,#7177,.T.); #47409=EDGE_CURVE('',#37926,#37927,#7178,.T.); #47410=EDGE_CURVE('',#37927,#37925,#7179,.T.); #47411=EDGE_CURVE('',#37928,#37926,#7180,.T.); #47412=EDGE_CURVE('',#37928,#37929,#7181,.T.); #47413=EDGE_CURVE('',#37929,#37927,#7182,.T.); #47414=EDGE_CURVE('',#37930,#37928,#7183,.T.); #47415=EDGE_CURVE('',#37930,#37931,#7184,.T.); #47416=EDGE_CURVE('',#37931,#37929,#7185,.T.); #47417=EDGE_CURVE('',#37932,#37930,#7186,.T.); #47418=EDGE_CURVE('',#37932,#37933,#7187,.T.); #47419=EDGE_CURVE('',#37933,#37931,#7188,.T.); #47420=EDGE_CURVE('',#37934,#37932,#7189,.T.); #47421=EDGE_CURVE('',#37934,#37935,#7190,.T.); #47422=EDGE_CURVE('',#37935,#37933,#7191,.T.); #47423=EDGE_CURVE('',#37936,#37934,#33209,.T.); #47424=EDGE_CURVE('',#37936,#37937,#7192,.T.); #47425=EDGE_CURVE('',#37937,#37935,#33210,.T.); #47426=EDGE_CURVE('',#37938,#37936,#33211,.T.); #47427=EDGE_CURVE('',#37938,#37939,#7193,.T.); #47428=EDGE_CURVE('',#37939,#37937,#33212,.T.); #47429=EDGE_CURVE('',#37940,#37938,#33213,.T.); #47430=EDGE_CURVE('',#37940,#37941,#7194,.T.); #47431=EDGE_CURVE('',#37941,#37939,#33214,.T.); #47432=EDGE_CURVE('',#37942,#37940,#33215,.T.); #47433=EDGE_CURVE('',#37942,#37943,#7195,.T.); #47434=EDGE_CURVE('',#37943,#37941,#33216,.T.); #47435=EDGE_CURVE('',#37913,#37942,#7196,.T.); #47436=EDGE_CURVE('',#37915,#37943,#7197,.T.); #47437=EDGE_CURVE('',#37944,#37945,#7198,.T.); #47438=EDGE_CURVE('',#37944,#37946,#7199,.T.); #47439=EDGE_CURVE('',#37946,#37947,#7200,.T.); #47440=EDGE_CURVE('',#37945,#37947,#7201,.T.); #47441=EDGE_CURVE('',#37948,#37944,#7202,.T.); #47442=EDGE_CURVE('',#37948,#37949,#7203,.T.); #47443=EDGE_CURVE('',#37949,#37946,#7204,.T.); #47444=EDGE_CURVE('',#37950,#37948,#7205,.T.); #47445=EDGE_CURVE('',#37950,#37951,#7206,.T.); #47446=EDGE_CURVE('',#37951,#37949,#7207,.T.); #47447=EDGE_CURVE('',#37952,#37950,#7208,.T.); #47448=EDGE_CURVE('',#37952,#37953,#7209,.T.); #47449=EDGE_CURVE('',#37953,#37951,#7210,.T.); #47450=EDGE_CURVE('',#37954,#37952,#7211,.T.); #47451=EDGE_CURVE('',#37954,#37955,#7212,.T.); #47452=EDGE_CURVE('',#37955,#37953,#7213,.T.); #47453=EDGE_CURVE('',#37956,#37954,#7214,.T.); #47454=EDGE_CURVE('',#37956,#37957,#7215,.T.); #47455=EDGE_CURVE('',#37957,#37955,#7216,.T.); #47456=EDGE_CURVE('',#37958,#37956,#7217,.T.); #47457=EDGE_CURVE('',#37958,#37959,#7218,.T.); #47458=EDGE_CURVE('',#37959,#37957,#7219,.T.); #47459=EDGE_CURVE('',#37960,#37958,#7220,.T.); #47460=EDGE_CURVE('',#37960,#37961,#7221,.T.); #47461=EDGE_CURVE('',#37961,#37959,#7222,.T.); #47462=EDGE_CURVE('',#37962,#37960,#7223,.T.); #47463=EDGE_CURVE('',#37962,#37963,#7224,.T.); #47464=EDGE_CURVE('',#37963,#37961,#7225,.T.); #47465=EDGE_CURVE('',#37964,#37962,#7226,.T.); #47466=EDGE_CURVE('',#37964,#37965,#7227,.T.); #47467=EDGE_CURVE('',#37965,#37963,#7228,.T.); #47468=EDGE_CURVE('',#37966,#37964,#33217,.T.); #47469=EDGE_CURVE('',#37966,#37967,#7229,.T.); #47470=EDGE_CURVE('',#37967,#37965,#33218,.T.); #47471=EDGE_CURVE('',#37968,#37966,#33219,.T.); #47472=EDGE_CURVE('',#37968,#37969,#7230,.T.); #47473=EDGE_CURVE('',#37969,#37967,#33220,.T.); #47474=EDGE_CURVE('',#37970,#37968,#7231,.T.); #47475=EDGE_CURVE('',#37970,#37971,#7232,.T.); #47476=EDGE_CURVE('',#37971,#37969,#7233,.T.); #47477=EDGE_CURVE('',#37972,#37970,#7234,.T.); #47478=EDGE_CURVE('',#37972,#37973,#7235,.T.); #47479=EDGE_CURVE('',#37973,#37971,#7236,.T.); #47480=EDGE_CURVE('',#37945,#37972,#7237,.T.); #47481=EDGE_CURVE('',#37947,#37973,#7238,.T.); #47482=EDGE_CURVE('',#37974,#37975,#33221,.T.); #47483=EDGE_CURVE('',#37974,#37976,#7239,.T.); #47484=EDGE_CURVE('',#37976,#37977,#33222,.T.); #47485=EDGE_CURVE('',#37975,#37977,#7240,.T.); #47486=EDGE_CURVE('',#37978,#37974,#33223,.T.); #47487=EDGE_CURVE('',#37978,#37979,#7241,.T.); #47488=EDGE_CURVE('',#37979,#37976,#33224,.T.); #47489=EDGE_CURVE('',#37980,#37978,#33225,.T.); #47490=EDGE_CURVE('',#37980,#37981,#7242,.T.); #47491=EDGE_CURVE('',#37981,#37979,#33226,.T.); #47492=EDGE_CURVE('',#37982,#37980,#33227,.T.); #47493=EDGE_CURVE('',#37982,#37983,#7243,.T.); #47494=EDGE_CURVE('',#37983,#37981,#33228,.T.); #47495=EDGE_CURVE('',#37984,#37982,#33229,.T.); #47496=EDGE_CURVE('',#37984,#37985,#7244,.T.); #47497=EDGE_CURVE('',#37985,#37983,#33230,.T.); #47498=EDGE_CURVE('',#37986,#37984,#7245,.T.); #47499=EDGE_CURVE('',#37986,#37987,#7246,.T.); #47500=EDGE_CURVE('',#37987,#37985,#7247,.T.); #47501=EDGE_CURVE('',#37988,#37986,#7248,.T.); #47502=EDGE_CURVE('',#37988,#37989,#7249,.T.); #47503=EDGE_CURVE('',#37989,#37987,#7250,.T.); #47504=EDGE_CURVE('',#37990,#37988,#7251,.T.); #47505=EDGE_CURVE('',#37990,#37991,#7252,.T.); #47506=EDGE_CURVE('',#37991,#37989,#7253,.T.); #47507=EDGE_CURVE('',#37992,#37990,#7254,.T.); #47508=EDGE_CURVE('',#37992,#37993,#7255,.T.); #47509=EDGE_CURVE('',#37993,#37991,#7256,.T.); #47510=EDGE_CURVE('',#37994,#37992,#7257,.T.); #47511=EDGE_CURVE('',#37994,#37995,#7258,.T.); #47512=EDGE_CURVE('',#37995,#37993,#7259,.T.); #47513=EDGE_CURVE('',#37996,#37994,#7260,.T.); #47514=EDGE_CURVE('',#37996,#37997,#7261,.T.); #47515=EDGE_CURVE('',#37997,#37995,#7262,.T.); #47516=EDGE_CURVE('',#37998,#37996,#33231,.T.); #47517=EDGE_CURVE('',#37998,#37999,#7263,.T.); #47518=EDGE_CURVE('',#37999,#37997,#33232,.T.); #47519=EDGE_CURVE('',#38000,#37998,#33233,.T.); #47520=EDGE_CURVE('',#38000,#38001,#7264,.T.); #47521=EDGE_CURVE('',#38001,#37999,#33234,.T.); #47522=EDGE_CURVE('',#38002,#38000,#33235,.T.); #47523=EDGE_CURVE('',#38002,#38003,#7265,.T.); #47524=EDGE_CURVE('',#38003,#38001,#33236,.T.); #47525=EDGE_CURVE('',#38004,#38002,#33237,.T.); #47526=EDGE_CURVE('',#38004,#38005,#7266,.T.); #47527=EDGE_CURVE('',#38005,#38003,#33238,.T.); #47528=EDGE_CURVE('',#37975,#38004,#7267,.T.); #47529=EDGE_CURVE('',#37977,#38005,#7268,.T.); #47530=EDGE_CURVE('',#38006,#38007,#7269,.T.); #47531=EDGE_CURVE('',#38006,#38008,#7270,.T.); #47532=EDGE_CURVE('',#38008,#38009,#7271,.T.); #47533=EDGE_CURVE('',#38007,#38009,#7272,.T.); #47534=EDGE_CURVE('',#38010,#38006,#7273,.T.); #47535=EDGE_CURVE('',#38010,#38011,#7274,.T.); #47536=EDGE_CURVE('',#38011,#38008,#7275,.T.); #47537=EDGE_CURVE('',#38012,#38010,#7276,.T.); #47538=EDGE_CURVE('',#38012,#38013,#7277,.T.); #47539=EDGE_CURVE('',#38013,#38011,#7278,.T.); #47540=EDGE_CURVE('',#38014,#38012,#7279,.T.); #47541=EDGE_CURVE('',#38014,#38015,#7280,.T.); #47542=EDGE_CURVE('',#38015,#38013,#7281,.T.); #47543=EDGE_CURVE('',#38016,#38014,#7282,.T.); #47544=EDGE_CURVE('',#38016,#38017,#7283,.T.); #47545=EDGE_CURVE('',#38017,#38015,#7284,.T.); #47546=EDGE_CURVE('',#38018,#38016,#7285,.T.); #47547=EDGE_CURVE('',#38018,#38019,#7286,.T.); #47548=EDGE_CURVE('',#38019,#38017,#7287,.T.); #47549=EDGE_CURVE('',#38007,#38018,#7288,.T.); #47550=EDGE_CURVE('',#38009,#38019,#7289,.T.); #47551=EDGE_CURVE('',#38020,#38021,#7290,.T.); #47552=EDGE_CURVE('',#38020,#38022,#7291,.T.); #47553=EDGE_CURVE('',#38022,#38023,#7292,.T.); #47554=EDGE_CURVE('',#38021,#38023,#7293,.T.); #47555=EDGE_CURVE('',#38024,#38020,#7294,.T.); #47556=EDGE_CURVE('',#38024,#38025,#7295,.T.); #47557=EDGE_CURVE('',#38025,#38022,#7296,.T.); #47558=EDGE_CURVE('',#38026,#38024,#7297,.T.); #47559=EDGE_CURVE('',#38026,#38027,#7298,.T.); #47560=EDGE_CURVE('',#38027,#38025,#7299,.T.); #47561=EDGE_CURVE('',#38028,#38026,#7300,.T.); #47562=EDGE_CURVE('',#38028,#38029,#7301,.T.); #47563=EDGE_CURVE('',#38029,#38027,#7302,.T.); #47564=EDGE_CURVE('',#38030,#38028,#7303,.T.); #47565=EDGE_CURVE('',#38030,#38031,#7304,.T.); #47566=EDGE_CURVE('',#38031,#38029,#7305,.T.); #47567=EDGE_CURVE('',#38032,#38030,#7306,.T.); #47568=EDGE_CURVE('',#38032,#38033,#7307,.T.); #47569=EDGE_CURVE('',#38033,#38031,#7308,.T.); #47570=EDGE_CURVE('',#38034,#38032,#7309,.T.); #47571=EDGE_CURVE('',#38034,#38035,#7310,.T.); #47572=EDGE_CURVE('',#38035,#38033,#7311,.T.); #47573=EDGE_CURVE('',#38036,#38034,#7312,.T.); #47574=EDGE_CURVE('',#38036,#38037,#7313,.T.); #47575=EDGE_CURVE('',#38037,#38035,#7314,.T.); #47576=EDGE_CURVE('',#38038,#38036,#7315,.T.); #47577=EDGE_CURVE('',#38038,#38039,#7316,.T.); #47578=EDGE_CURVE('',#38039,#38037,#7317,.T.); #47579=EDGE_CURVE('',#38040,#38038,#7318,.T.); #47580=EDGE_CURVE('',#38040,#38041,#7319,.T.); #47581=EDGE_CURVE('',#38041,#38039,#7320,.T.); #47582=EDGE_CURVE('',#38042,#38040,#7321,.T.); #47583=EDGE_CURVE('',#38042,#38043,#7322,.T.); #47584=EDGE_CURVE('',#38043,#38041,#7323,.T.); #47585=EDGE_CURVE('',#38021,#38042,#7324,.T.); #47586=EDGE_CURVE('',#38023,#38043,#7325,.T.); #47587=EDGE_CURVE('',#38044,#38045,#7326,.T.); #47588=EDGE_CURVE('',#38044,#38046,#7327,.T.); #47589=EDGE_CURVE('',#38046,#38047,#7328,.T.); #47590=EDGE_CURVE('',#38045,#38047,#7329,.T.); #47591=EDGE_CURVE('',#38048,#38044,#7330,.T.); #47592=EDGE_CURVE('',#38048,#38049,#7331,.T.); #47593=EDGE_CURVE('',#38049,#38046,#7332,.T.); #47594=EDGE_CURVE('',#38050,#38048,#7333,.T.); #47595=EDGE_CURVE('',#38050,#38051,#7334,.T.); #47596=EDGE_CURVE('',#38051,#38049,#7335,.T.); #47597=EDGE_CURVE('',#38052,#38050,#7336,.T.); #47598=EDGE_CURVE('',#38052,#38053,#7337,.T.); #47599=EDGE_CURVE('',#38053,#38051,#7338,.T.); #47600=EDGE_CURVE('',#38054,#38052,#7339,.T.); #47601=EDGE_CURVE('',#38054,#38055,#7340,.T.); #47602=EDGE_CURVE('',#38055,#38053,#7341,.T.); #47603=EDGE_CURVE('',#38056,#38054,#7342,.T.); #47604=EDGE_CURVE('',#38056,#38057,#7343,.T.); #47605=EDGE_CURVE('',#38057,#38055,#7344,.T.); #47606=EDGE_CURVE('',#38058,#38056,#7345,.T.); #47607=EDGE_CURVE('',#38058,#38059,#7346,.T.); #47608=EDGE_CURVE('',#38059,#38057,#7347,.T.); #47609=EDGE_CURVE('',#38060,#38058,#7348,.T.); #47610=EDGE_CURVE('',#38060,#38061,#7349,.T.); #47611=EDGE_CURVE('',#38061,#38059,#7350,.T.); #47612=EDGE_CURVE('',#38062,#38060,#7351,.T.); #47613=EDGE_CURVE('',#38062,#38063,#7352,.T.); #47614=EDGE_CURVE('',#38063,#38061,#7353,.T.); #47615=EDGE_CURVE('',#38064,#38062,#7354,.T.); #47616=EDGE_CURVE('',#38064,#38065,#7355,.T.); #47617=EDGE_CURVE('',#38065,#38063,#7356,.T.); #47618=EDGE_CURVE('',#38066,#38064,#7357,.T.); #47619=EDGE_CURVE('',#38066,#38067,#7358,.T.); #47620=EDGE_CURVE('',#38067,#38065,#7359,.T.); #47621=EDGE_CURVE('',#38045,#38066,#7360,.T.); #47622=EDGE_CURVE('',#38047,#38067,#7361,.T.); #47623=EDGE_CURVE('',#38068,#38069,#7362,.T.); #47624=EDGE_CURVE('',#38068,#38070,#7363,.T.); #47625=EDGE_CURVE('',#38070,#38071,#7364,.T.); #47626=EDGE_CURVE('',#38069,#38071,#7365,.T.); #47627=EDGE_CURVE('',#38069,#38072,#7366,.T.); #47628=EDGE_CURVE('',#38071,#38073,#7367,.T.); #47629=EDGE_CURVE('',#38072,#38073,#7368,.T.); #47630=EDGE_CURVE('',#38072,#38068,#7369,.T.); #47631=EDGE_CURVE('',#38073,#38070,#7370,.T.); #47632=EDGE_CURVE('',#38074,#38075,#7371,.T.); #47633=EDGE_CURVE('',#38074,#38076,#7372,.T.); #47634=EDGE_CURVE('',#38076,#38077,#7373,.T.); #47635=EDGE_CURVE('',#38075,#38077,#7374,.T.); #47636=EDGE_CURVE('',#38078,#38074,#7375,.T.); #47637=EDGE_CURVE('',#38078,#38079,#7376,.T.); #47638=EDGE_CURVE('',#38079,#38076,#7377,.T.); #47639=EDGE_CURVE('',#38080,#38078,#7378,.T.); #47640=EDGE_CURVE('',#38080,#38081,#7379,.T.); #47641=EDGE_CURVE('',#38081,#38079,#7380,.T.); #47642=EDGE_CURVE('',#38082,#38080,#7381,.T.); #47643=EDGE_CURVE('',#38082,#38083,#7382,.T.); #47644=EDGE_CURVE('',#38083,#38081,#7383,.T.); #47645=EDGE_CURVE('',#38084,#38082,#7384,.T.); #47646=EDGE_CURVE('',#38084,#38085,#7385,.T.); #47647=EDGE_CURVE('',#38085,#38083,#7386,.T.); #47648=EDGE_CURVE('',#38086,#38084,#7387,.T.); #47649=EDGE_CURVE('',#38086,#38087,#7388,.T.); #47650=EDGE_CURVE('',#38087,#38085,#7389,.T.); #47651=EDGE_CURVE('',#38088,#38086,#7390,.T.); #47652=EDGE_CURVE('',#38088,#38089,#7391,.T.); #47653=EDGE_CURVE('',#38089,#38087,#7392,.T.); #47654=EDGE_CURVE('',#38075,#38088,#7393,.T.); #47655=EDGE_CURVE('',#38077,#38089,#7394,.T.); #47656=EDGE_CURVE('',#38090,#38091,#33239,.T.); #47657=EDGE_CURVE('',#38090,#38092,#7395,.T.); #47658=EDGE_CURVE('',#38092,#38093,#33240,.T.); #47659=EDGE_CURVE('',#38091,#38093,#7396,.T.); #47660=EDGE_CURVE('',#38091,#38094,#7397,.T.); #47661=EDGE_CURVE('',#38093,#38095,#7398,.T.); #47662=EDGE_CURVE('',#38094,#38095,#7399,.T.); #47663=EDGE_CURVE('',#38094,#38096,#7400,.T.); #47664=EDGE_CURVE('',#38095,#38097,#7401,.T.); #47665=EDGE_CURVE('',#38096,#38097,#7402,.T.); #47666=EDGE_CURVE('',#38096,#38098,#7403,.T.); #47667=EDGE_CURVE('',#38097,#38099,#7404,.T.); #47668=EDGE_CURVE('',#38098,#38099,#7405,.T.); #47669=EDGE_CURVE('',#38098,#38100,#33241,.T.); #47670=EDGE_CURVE('',#38099,#38101,#33242,.T.); #47671=EDGE_CURVE('',#38100,#38101,#7406,.T.); #47672=EDGE_CURVE('',#38100,#38102,#33243,.T.); #47673=EDGE_CURVE('',#38101,#38103,#33244,.T.); #47674=EDGE_CURVE('',#38102,#38103,#7407,.T.); #47675=EDGE_CURVE('',#38102,#38090,#33245,.T.); #47676=EDGE_CURVE('',#38103,#38092,#33246,.T.); #47677=EDGE_CURVE('',#38104,#38105,#7408,.T.); #47678=EDGE_CURVE('',#38104,#38106,#7409,.T.); #47679=EDGE_CURVE('',#38106,#38107,#7410,.T.); #47680=EDGE_CURVE('',#38105,#38107,#7411,.T.); #47681=EDGE_CURVE('',#38108,#38104,#33247,.T.); #47682=EDGE_CURVE('',#38108,#38109,#7412,.T.); #47683=EDGE_CURVE('',#38109,#38106,#33248,.T.); #47684=EDGE_CURVE('',#38110,#38108,#33249,.T.); #47685=EDGE_CURVE('',#38110,#38111,#7413,.T.); #47686=EDGE_CURVE('',#38111,#38109,#33250,.T.); #47687=EDGE_CURVE('',#38112,#38110,#33251,.T.); #47688=EDGE_CURVE('',#38112,#38113,#7414,.T.); #47689=EDGE_CURVE('',#38113,#38111,#33252,.T.); #47690=EDGE_CURVE('',#38114,#38112,#7415,.T.); #47691=EDGE_CURVE('',#38114,#38115,#7416,.T.); #47692=EDGE_CURVE('',#38115,#38113,#7417,.T.); #47693=EDGE_CURVE('',#38116,#38114,#7418,.T.); #47694=EDGE_CURVE('',#38116,#38117,#7419,.T.); #47695=EDGE_CURVE('',#38117,#38115,#7420,.T.); #47696=EDGE_CURVE('',#38118,#38116,#7421,.T.); #47697=EDGE_CURVE('',#38118,#38119,#7422,.T.); #47698=EDGE_CURVE('',#38119,#38117,#7423,.T.); #47699=EDGE_CURVE('',#38120,#38118,#7424,.T.); #47700=EDGE_CURVE('',#38120,#38121,#7425,.T.); #47701=EDGE_CURVE('',#38121,#38119,#7426,.T.); #47702=EDGE_CURVE('',#38122,#38120,#7427,.T.); #47703=EDGE_CURVE('',#38122,#38123,#7428,.T.); #47704=EDGE_CURVE('',#38123,#38121,#7429,.T.); #47705=EDGE_CURVE('',#38124,#38122,#7430,.T.); #47706=EDGE_CURVE('',#38124,#38125,#7431,.T.); #47707=EDGE_CURVE('',#38125,#38123,#7432,.T.); #47708=EDGE_CURVE('',#38105,#38124,#7433,.T.); #47709=EDGE_CURVE('',#38107,#38125,#7434,.T.); #47710=EDGE_CURVE('',#38126,#38127,#33253,.T.); #47711=EDGE_CURVE('',#38126,#38128,#7435,.T.); #47712=EDGE_CURVE('',#38128,#38129,#33254,.T.); #47713=EDGE_CURVE('',#38127,#38129,#7436,.T.); #47714=EDGE_CURVE('',#38127,#38130,#7437,.T.); #47715=EDGE_CURVE('',#38129,#38131,#7438,.T.); #47716=EDGE_CURVE('',#38130,#38131,#7439,.T.); #47717=EDGE_CURVE('',#38130,#38132,#7440,.T.); #47718=EDGE_CURVE('',#38131,#38133,#7441,.T.); #47719=EDGE_CURVE('',#38132,#38133,#7442,.T.); #47720=EDGE_CURVE('',#38132,#38134,#7443,.T.); #47721=EDGE_CURVE('',#38133,#38135,#7444,.T.); #47722=EDGE_CURVE('',#38134,#38135,#7445,.T.); #47723=EDGE_CURVE('',#38134,#38136,#33255,.T.); #47724=EDGE_CURVE('',#38135,#38137,#33256,.T.); #47725=EDGE_CURVE('',#38136,#38137,#7446,.T.); #47726=EDGE_CURVE('',#38136,#38138,#33257,.T.); #47727=EDGE_CURVE('',#38137,#38139,#33258,.T.); #47728=EDGE_CURVE('',#38138,#38139,#7447,.T.); #47729=EDGE_CURVE('',#38138,#38126,#33259,.T.); #47730=EDGE_CURVE('',#38139,#38128,#33260,.T.); #47731=EDGE_CURVE('',#38140,#38141,#33261,.T.); #47732=EDGE_CURVE('',#38140,#38142,#7448,.T.); #47733=EDGE_CURVE('',#38142,#38143,#33262,.T.); #47734=EDGE_CURVE('',#38141,#38143,#7449,.T.); #47735=EDGE_CURVE('',#38144,#38140,#33263,.T.); #47736=EDGE_CURVE('',#38144,#38145,#7450,.T.); #47737=EDGE_CURVE('',#38145,#38142,#33264,.T.); #47738=EDGE_CURVE('',#38146,#38144,#7451,.T.); #47739=EDGE_CURVE('',#38146,#38147,#7452,.T.); #47740=EDGE_CURVE('',#38147,#38145,#7453,.T.); #47741=EDGE_CURVE('',#38148,#38146,#7454,.T.); #47742=EDGE_CURVE('',#38148,#38149,#7455,.T.); #47743=EDGE_CURVE('',#38149,#38147,#7456,.T.); #47744=EDGE_CURVE('',#38150,#38148,#7457,.T.); #47745=EDGE_CURVE('',#38150,#38151,#7458,.T.); #47746=EDGE_CURVE('',#38151,#38149,#7459,.T.); #47747=EDGE_CURVE('',#38152,#38150,#33265,.T.); #47748=EDGE_CURVE('',#38152,#38153,#7460,.T.); #47749=EDGE_CURVE('',#38153,#38151,#33266,.T.); #47750=EDGE_CURVE('',#38141,#38152,#33267,.T.); #47751=EDGE_CURVE('',#38143,#38153,#33268,.T.); #47752=EDGE_CURVE('',#38154,#38155,#7461,.T.); #47753=EDGE_CURVE('',#38154,#38156,#7462,.T.); #47754=EDGE_CURVE('',#38156,#38157,#7463,.T.); #47755=EDGE_CURVE('',#38155,#38157,#7464,.T.); #47756=EDGE_CURVE('',#38158,#38154,#7465,.T.); #47757=EDGE_CURVE('',#38158,#38159,#7466,.T.); #47758=EDGE_CURVE('',#38159,#38156,#7467,.T.); #47759=EDGE_CURVE('',#38160,#38158,#7468,.T.); #47760=EDGE_CURVE('',#38160,#38161,#7469,.T.); #47761=EDGE_CURVE('',#38161,#38159,#7470,.T.); #47762=EDGE_CURVE('',#38162,#38160,#7471,.T.); #47763=EDGE_CURVE('',#38162,#38163,#7472,.T.); #47764=EDGE_CURVE('',#38163,#38161,#7473,.T.); #47765=EDGE_CURVE('',#38164,#38162,#7474,.T.); #47766=EDGE_CURVE('',#38164,#38165,#7475,.T.); #47767=EDGE_CURVE('',#38165,#38163,#7476,.T.); #47768=EDGE_CURVE('',#38166,#38164,#7477,.T.); #47769=EDGE_CURVE('',#38166,#38167,#7478,.T.); #47770=EDGE_CURVE('',#38167,#38165,#7479,.T.); #47771=EDGE_CURVE('',#38168,#38166,#7480,.T.); #47772=EDGE_CURVE('',#38168,#38169,#7481,.T.); #47773=EDGE_CURVE('',#38169,#38167,#7482,.T.); #47774=EDGE_CURVE('',#38170,#38168,#7483,.T.); #47775=EDGE_CURVE('',#38170,#38171,#7484,.T.); #47776=EDGE_CURVE('',#38171,#38169,#7485,.T.); #47777=EDGE_CURVE('',#38172,#38170,#7486,.T.); #47778=EDGE_CURVE('',#38172,#38173,#7487,.T.); #47779=EDGE_CURVE('',#38173,#38171,#7488,.T.); #47780=EDGE_CURVE('',#38174,#38172,#7489,.T.); #47781=EDGE_CURVE('',#38174,#38175,#7490,.T.); #47782=EDGE_CURVE('',#38175,#38173,#7491,.T.); #47783=EDGE_CURVE('',#38176,#38174,#7492,.T.); #47784=EDGE_CURVE('',#38176,#38177,#7493,.T.); #47785=EDGE_CURVE('',#38177,#38175,#7494,.T.); #47786=EDGE_CURVE('',#38178,#38176,#7495,.T.); #47787=EDGE_CURVE('',#38178,#38179,#7496,.T.); #47788=EDGE_CURVE('',#38179,#38177,#7497,.T.); #47789=EDGE_CURVE('',#38155,#38178,#7498,.T.); #47790=EDGE_CURVE('',#38157,#38179,#7499,.T.); #47791=EDGE_CURVE('',#38180,#38181,#33269,.T.); #47792=EDGE_CURVE('',#38180,#38182,#7500,.T.); #47793=EDGE_CURVE('',#38182,#38183,#33270,.T.); #47794=EDGE_CURVE('',#38181,#38183,#7501,.T.); #47795=EDGE_CURVE('',#38184,#38180,#33271,.T.); #47796=EDGE_CURVE('',#38184,#38185,#7502,.T.); #47797=EDGE_CURVE('',#38185,#38182,#33272,.T.); #47798=EDGE_CURVE('',#38186,#38184,#7503,.T.); #47799=EDGE_CURVE('',#38186,#38187,#7504,.T.); #47800=EDGE_CURVE('',#38187,#38185,#7505,.T.); #47801=EDGE_CURVE('',#38188,#38186,#7506,.T.); #47802=EDGE_CURVE('',#38188,#38189,#7507,.T.); #47803=EDGE_CURVE('',#38189,#38187,#7508,.T.); #47804=EDGE_CURVE('',#38190,#38188,#7509,.T.); #47805=EDGE_CURVE('',#38190,#38191,#7510,.T.); #47806=EDGE_CURVE('',#38191,#38189,#7511,.T.); #47807=EDGE_CURVE('',#38192,#38190,#7512,.T.); #47808=EDGE_CURVE('',#38192,#38193,#7513,.T.); #47809=EDGE_CURVE('',#38193,#38191,#7514,.T.); #47810=EDGE_CURVE('',#38194,#38192,#7515,.T.); #47811=EDGE_CURVE('',#38194,#38195,#7516,.T.); #47812=EDGE_CURVE('',#38195,#38193,#7517,.T.); #47813=EDGE_CURVE('',#38196,#38194,#33273,.T.); #47814=EDGE_CURVE('',#38196,#38197,#7518,.T.); #47815=EDGE_CURVE('',#38197,#38195,#33274,.T.); #47816=EDGE_CURVE('',#38198,#38196,#33275,.T.); #47817=EDGE_CURVE('',#38198,#38199,#7519,.T.); #47818=EDGE_CURVE('',#38199,#38197,#33276,.T.); #47819=EDGE_CURVE('',#38200,#38198,#33277,.T.); #47820=EDGE_CURVE('',#38200,#38201,#7520,.T.); #47821=EDGE_CURVE('',#38201,#38199,#33278,.T.); #47822=EDGE_CURVE('',#38202,#38200,#33279,.T.); #47823=EDGE_CURVE('',#38202,#38203,#7521,.T.); #47824=EDGE_CURVE('',#38203,#38201,#33280,.T.); #47825=EDGE_CURVE('',#38204,#38202,#33281,.T.); #47826=EDGE_CURVE('',#38204,#38205,#7522,.T.); #47827=EDGE_CURVE('',#38205,#38203,#33282,.T.); #47828=EDGE_CURVE('',#38206,#38204,#33283,.T.); #47829=EDGE_CURVE('',#38206,#38207,#7523,.T.); #47830=EDGE_CURVE('',#38207,#38205,#33284,.T.); #47831=EDGE_CURVE('',#38208,#38206,#7524,.T.); #47832=EDGE_CURVE('',#38208,#38209,#7525,.T.); #47833=EDGE_CURVE('',#38209,#38207,#7526,.T.); #47834=EDGE_CURVE('',#38210,#38208,#7527,.T.); #47835=EDGE_CURVE('',#38210,#38211,#7528,.T.); #47836=EDGE_CURVE('',#38211,#38209,#7529,.T.); #47837=EDGE_CURVE('',#38212,#38210,#33285,.T.); #47838=EDGE_CURVE('',#38212,#38213,#7530,.T.); #47839=EDGE_CURVE('',#38213,#38211,#33286,.T.); #47840=EDGE_CURVE('',#38214,#38212,#33287,.T.); #47841=EDGE_CURVE('',#38214,#38215,#7531,.T.); #47842=EDGE_CURVE('',#38215,#38213,#33288,.T.); #47843=EDGE_CURVE('',#38216,#38214,#33289,.T.); #47844=EDGE_CURVE('',#38216,#38217,#7532,.T.); #47845=EDGE_CURVE('',#38217,#38215,#33290,.T.); #47846=EDGE_CURVE('',#38218,#38216,#33291,.T.); #47847=EDGE_CURVE('',#38218,#38219,#7533,.T.); #47848=EDGE_CURVE('',#38219,#38217,#33292,.T.); #47849=EDGE_CURVE('',#38220,#38218,#33293,.T.); #47850=EDGE_CURVE('',#38220,#38221,#7534,.T.); #47851=EDGE_CURVE('',#38221,#38219,#33294,.T.); #47852=EDGE_CURVE('',#38181,#38220,#33295,.T.); #47853=EDGE_CURVE('',#38183,#38221,#33296,.T.); #47854=EDGE_CURVE('',#38222,#38223,#33297,.T.); #47855=EDGE_CURVE('',#38222,#38224,#7535,.T.); #47856=EDGE_CURVE('',#38224,#38225,#33298,.T.); #47857=EDGE_CURVE('',#38223,#38225,#7536,.T.); #47858=EDGE_CURVE('',#38226,#38222,#33299,.T.); #47859=EDGE_CURVE('',#38226,#38227,#7537,.T.); #47860=EDGE_CURVE('',#38227,#38224,#33300,.T.); #47861=EDGE_CURVE('',#38228,#38226,#7538,.T.); #47862=EDGE_CURVE('',#38228,#38229,#7539,.T.); #47863=EDGE_CURVE('',#38229,#38227,#7540,.T.); #47864=EDGE_CURVE('',#38230,#38228,#7541,.T.); #47865=EDGE_CURVE('',#38230,#38231,#7542,.T.); #47866=EDGE_CURVE('',#38231,#38229,#7543,.T.); #47867=EDGE_CURVE('',#38232,#38230,#33301,.T.); #47868=EDGE_CURVE('',#38232,#38233,#7544,.T.); #47869=EDGE_CURVE('',#38233,#38231,#33302,.T.); #47870=EDGE_CURVE('',#38234,#38232,#33303,.T.); #47871=EDGE_CURVE('',#38234,#38235,#7545,.T.); #47872=EDGE_CURVE('',#38235,#38233,#33304,.T.); #47873=EDGE_CURVE('',#38236,#38234,#33305,.T.); #47874=EDGE_CURVE('',#38236,#38237,#7546,.T.); #47875=EDGE_CURVE('',#38237,#38235,#33306,.T.); #47876=EDGE_CURVE('',#38238,#38236,#33307,.T.); #47877=EDGE_CURVE('',#38238,#38239,#7547,.T.); #47878=EDGE_CURVE('',#38239,#38237,#33308,.T.); #47879=EDGE_CURVE('',#38240,#38238,#33309,.T.); #47880=EDGE_CURVE('',#38240,#38241,#7548,.T.); #47881=EDGE_CURVE('',#38241,#38239,#33310,.T.); #47882=EDGE_CURVE('',#38242,#38240,#33311,.T.); #47883=EDGE_CURVE('',#38242,#38243,#7549,.T.); #47884=EDGE_CURVE('',#38243,#38241,#33312,.T.); #47885=EDGE_CURVE('',#38244,#38242,#33313,.T.); #47886=EDGE_CURVE('',#38244,#38245,#7550,.T.); #47887=EDGE_CURVE('',#38245,#38243,#33314,.T.); #47888=EDGE_CURVE('',#38246,#38244,#33315,.T.); #47889=EDGE_CURVE('',#38246,#38247,#7551,.T.); #47890=EDGE_CURVE('',#38247,#38245,#33316,.T.); #47891=EDGE_CURVE('',#38248,#38246,#7552,.T.); #47892=EDGE_CURVE('',#38248,#38249,#7553,.T.); #47893=EDGE_CURVE('',#38249,#38247,#7554,.T.); #47894=EDGE_CURVE('',#38250,#38248,#7555,.T.); #47895=EDGE_CURVE('',#38250,#38251,#7556,.T.); #47896=EDGE_CURVE('',#38251,#38249,#7557,.T.); #47897=EDGE_CURVE('',#38252,#38250,#33317,.T.); #47898=EDGE_CURVE('',#38252,#38253,#7558,.T.); #47899=EDGE_CURVE('',#38253,#38251,#33318,.T.); #47900=EDGE_CURVE('',#38254,#38252,#33319,.T.); #47901=EDGE_CURVE('',#38254,#38255,#7559,.T.); #47902=EDGE_CURVE('',#38255,#38253,#33320,.T.); #47903=EDGE_CURVE('',#38256,#38254,#33321,.T.); #47904=EDGE_CURVE('',#38256,#38257,#7560,.T.); #47905=EDGE_CURVE('',#38257,#38255,#33322,.T.); #47906=EDGE_CURVE('',#38258,#38256,#33323,.T.); #47907=EDGE_CURVE('',#38258,#38259,#7561,.T.); #47908=EDGE_CURVE('',#38259,#38257,#33324,.T.); #47909=EDGE_CURVE('',#38260,#38258,#33325,.T.); #47910=EDGE_CURVE('',#38260,#38261,#7562,.T.); #47911=EDGE_CURVE('',#38261,#38259,#33326,.T.); #47912=EDGE_CURVE('',#38223,#38260,#33327,.T.); #47913=EDGE_CURVE('',#38225,#38261,#33328,.T.); #47914=EDGE_CURVE('',#38262,#38263,#33329,.T.); #47915=EDGE_CURVE('',#38262,#38264,#7563,.T.); #47916=EDGE_CURVE('',#38264,#38265,#33330,.T.); #47917=EDGE_CURVE('',#38263,#38265,#7564,.T.); #47918=EDGE_CURVE('',#38263,#38266,#7565,.T.); #47919=EDGE_CURVE('',#38265,#38267,#7566,.T.); #47920=EDGE_CURVE('',#38266,#38267,#7567,.T.); #47921=EDGE_CURVE('',#38266,#38268,#7568,.T.); #47922=EDGE_CURVE('',#38267,#38269,#7569,.T.); #47923=EDGE_CURVE('',#38268,#38269,#7570,.T.); #47924=EDGE_CURVE('',#38268,#38270,#7571,.T.); #47925=EDGE_CURVE('',#38269,#38271,#7572,.T.); #47926=EDGE_CURVE('',#38270,#38271,#7573,.T.); #47927=EDGE_CURVE('',#38270,#38272,#33331,.T.); #47928=EDGE_CURVE('',#38271,#38273,#33332,.T.); #47929=EDGE_CURVE('',#38272,#38273,#7574,.T.); #47930=EDGE_CURVE('',#38272,#38274,#33333,.T.); #47931=EDGE_CURVE('',#38273,#38275,#33334,.T.); #47932=EDGE_CURVE('',#38274,#38275,#7575,.T.); #47933=EDGE_CURVE('',#38274,#38262,#33335,.T.); #47934=EDGE_CURVE('',#38275,#38264,#33336,.T.); #47935=EDGE_CURVE('',#38276,#38277,#33337,.T.); #47936=EDGE_CURVE('',#38276,#38278,#7576,.T.); #47937=EDGE_CURVE('',#38278,#38279,#33338,.T.); #47938=EDGE_CURVE('',#38277,#38279,#7577,.T.); #47939=EDGE_CURVE('',#38280,#38276,#33339,.T.); #47940=EDGE_CURVE('',#38280,#38281,#7578,.T.); #47941=EDGE_CURVE('',#38281,#38278,#33340,.T.); #47942=EDGE_CURVE('',#38282,#38280,#7579,.T.); #47943=EDGE_CURVE('',#38282,#38283,#7580,.T.); #47944=EDGE_CURVE('',#38283,#38281,#7581,.T.); #47945=EDGE_CURVE('',#38284,#38282,#7582,.T.); #47946=EDGE_CURVE('',#38284,#38285,#7583,.T.); #47947=EDGE_CURVE('',#38285,#38283,#7584,.T.); #47948=EDGE_CURVE('',#38286,#38284,#7585,.T.); #47949=EDGE_CURVE('',#38286,#38287,#7586,.T.); #47950=EDGE_CURVE('',#38287,#38285,#7587,.T.); #47951=EDGE_CURVE('',#38288,#38286,#7588,.T.); #47952=EDGE_CURVE('',#38288,#38289,#7589,.T.); #47953=EDGE_CURVE('',#38289,#38287,#7590,.T.); #47954=EDGE_CURVE('',#38290,#38288,#7591,.T.); #47955=EDGE_CURVE('',#38290,#38291,#7592,.T.); #47956=EDGE_CURVE('',#38291,#38289,#7593,.T.); #47957=EDGE_CURVE('',#38292,#38290,#33341,.T.); #47958=EDGE_CURVE('',#38292,#38293,#7594,.T.); #47959=EDGE_CURVE('',#38293,#38291,#33342,.T.); #47960=EDGE_CURVE('',#38277,#38292,#33343,.T.); #47961=EDGE_CURVE('',#38279,#38293,#33344,.T.); #47962=EDGE_CURVE('',#38294,#38295,#7595,.T.); #47963=EDGE_CURVE('',#38294,#38296,#7596,.T.); #47964=EDGE_CURVE('',#38296,#38297,#7597,.T.); #47965=EDGE_CURVE('',#38295,#38297,#7598,.T.); #47966=EDGE_CURVE('',#38295,#38298,#7599,.T.); #47967=EDGE_CURVE('',#38297,#38299,#7600,.T.); #47968=EDGE_CURVE('',#38298,#38299,#7601,.T.); #47969=EDGE_CURVE('',#38298,#38294,#7602,.T.); #47970=EDGE_CURVE('',#38299,#38296,#7603,.T.); #47971=EDGE_CURVE('',#38300,#38301,#7604,.T.); #47972=EDGE_CURVE('',#38300,#38302,#7605,.T.); #47973=EDGE_CURVE('',#38302,#38303,#7606,.T.); #47974=EDGE_CURVE('',#38301,#38303,#7607,.T.); #47975=EDGE_CURVE('',#38304,#38300,#7608,.T.); #47976=EDGE_CURVE('',#38304,#38305,#7609,.T.); #47977=EDGE_CURVE('',#38305,#38302,#7610,.T.); #47978=EDGE_CURVE('',#38306,#38304,#7611,.T.); #47979=EDGE_CURVE('',#38306,#38307,#7612,.T.); #47980=EDGE_CURVE('',#38307,#38305,#7613,.T.); #47981=EDGE_CURVE('',#38308,#38306,#7614,.T.); #47982=EDGE_CURVE('',#38308,#38309,#7615,.T.); #47983=EDGE_CURVE('',#38309,#38307,#7616,.T.); #47984=EDGE_CURVE('',#38310,#38308,#7617,.T.); #47985=EDGE_CURVE('',#38310,#38311,#7618,.T.); #47986=EDGE_CURVE('',#38311,#38309,#7619,.T.); #47987=EDGE_CURVE('',#38312,#38310,#7620,.T.); #47988=EDGE_CURVE('',#38312,#38313,#7621,.T.); #47989=EDGE_CURVE('',#38313,#38311,#7622,.T.); #47990=EDGE_CURVE('',#38314,#38312,#7623,.T.); #47991=EDGE_CURVE('',#38314,#38315,#7624,.T.); #47992=EDGE_CURVE('',#38315,#38313,#7625,.T.); #47993=EDGE_CURVE('',#38301,#38314,#7626,.T.); #47994=EDGE_CURVE('',#38303,#38315,#7627,.T.); #47995=EDGE_CURVE('',#38316,#38317,#7628,.T.); #47996=EDGE_CURVE('',#38316,#38318,#7629,.T.); #47997=EDGE_CURVE('',#38318,#38319,#7630,.T.); #47998=EDGE_CURVE('',#38317,#38319,#7631,.T.); #47999=EDGE_CURVE('',#38320,#38316,#7632,.T.); #48000=EDGE_CURVE('',#38320,#38321,#7633,.T.); #48001=EDGE_CURVE('',#38321,#38318,#7634,.T.); #48002=EDGE_CURVE('',#38322,#38320,#7635,.T.); #48003=EDGE_CURVE('',#38322,#38323,#7636,.T.); #48004=EDGE_CURVE('',#38323,#38321,#7637,.T.); #48005=EDGE_CURVE('',#38324,#38322,#7638,.T.); #48006=EDGE_CURVE('',#38324,#38325,#7639,.T.); #48007=EDGE_CURVE('',#38325,#38323,#7640,.T.); #48008=EDGE_CURVE('',#38326,#38324,#7641,.T.); #48009=EDGE_CURVE('',#38326,#38327,#7642,.T.); #48010=EDGE_CURVE('',#38327,#38325,#7643,.T.); #48011=EDGE_CURVE('',#38328,#38326,#7644,.T.); #48012=EDGE_CURVE('',#38328,#38329,#7645,.T.); #48013=EDGE_CURVE('',#38329,#38327,#7646,.T.); #48014=EDGE_CURVE('',#38330,#38328,#7647,.T.); #48015=EDGE_CURVE('',#38330,#38331,#7648,.T.); #48016=EDGE_CURVE('',#38331,#38329,#7649,.T.); #48017=EDGE_CURVE('',#38317,#38330,#7650,.T.); #48018=EDGE_CURVE('',#38319,#38331,#7651,.T.); #48019=EDGE_CURVE('',#38332,#38333,#33345,.T.); #48020=EDGE_CURVE('',#38332,#38334,#7652,.T.); #48021=EDGE_CURVE('',#38334,#38335,#33346,.T.); #48022=EDGE_CURVE('',#38333,#38335,#7653,.T.); #48023=EDGE_CURVE('',#38333,#38336,#7654,.T.); #48024=EDGE_CURVE('',#38335,#38337,#7655,.T.); #48025=EDGE_CURVE('',#38336,#38337,#7656,.T.); #48026=EDGE_CURVE('',#38336,#38338,#7657,.T.); #48027=EDGE_CURVE('',#38337,#38339,#7658,.T.); #48028=EDGE_CURVE('',#38338,#38339,#7659,.T.); #48029=EDGE_CURVE('',#38338,#38340,#7660,.T.); #48030=EDGE_CURVE('',#38339,#38341,#7661,.T.); #48031=EDGE_CURVE('',#38340,#38341,#7662,.T.); #48032=EDGE_CURVE('',#38340,#38342,#33347,.T.); #48033=EDGE_CURVE('',#38341,#38343,#33348,.T.); #48034=EDGE_CURVE('',#38342,#38343,#7663,.T.); #48035=EDGE_CURVE('',#38342,#38344,#33349,.T.); #48036=EDGE_CURVE('',#38343,#38345,#33350,.T.); #48037=EDGE_CURVE('',#38344,#38345,#7664,.T.); #48038=EDGE_CURVE('',#38344,#38332,#33351,.T.); #48039=EDGE_CURVE('',#38345,#38334,#33352,.T.); #48040=EDGE_CURVE('',#38346,#38347,#33353,.T.); #48041=EDGE_CURVE('',#38346,#38348,#7665,.T.); #48042=EDGE_CURVE('',#38348,#38349,#33354,.T.); #48043=EDGE_CURVE('',#38347,#38349,#7666,.T.); #48044=EDGE_CURVE('',#38350,#38346,#33355,.T.); #48045=EDGE_CURVE('',#38350,#38351,#7667,.T.); #48046=EDGE_CURVE('',#38351,#38348,#33356,.T.); #48047=EDGE_CURVE('',#38352,#38350,#7668,.T.); #48048=EDGE_CURVE('',#38352,#38353,#7669,.T.); #48049=EDGE_CURVE('',#38353,#38351,#7670,.T.); #48050=EDGE_CURVE('',#38354,#38352,#7671,.T.); #48051=EDGE_CURVE('',#38354,#38355,#7672,.T.); #48052=EDGE_CURVE('',#38355,#38353,#7673,.T.); #48053=EDGE_CURVE('',#38356,#38354,#7674,.T.); #48054=EDGE_CURVE('',#38356,#38357,#7675,.T.); #48055=EDGE_CURVE('',#38357,#38355,#7676,.T.); #48056=EDGE_CURVE('',#38358,#38356,#7677,.T.); #48057=EDGE_CURVE('',#38358,#38359,#7678,.T.); #48058=EDGE_CURVE('',#38359,#38357,#7679,.T.); #48059=EDGE_CURVE('',#38360,#38358,#7680,.T.); #48060=EDGE_CURVE('',#38360,#38361,#7681,.T.); #48061=EDGE_CURVE('',#38361,#38359,#7682,.T.); #48062=EDGE_CURVE('',#38362,#38360,#33357,.T.); #48063=EDGE_CURVE('',#38362,#38363,#7683,.T.); #48064=EDGE_CURVE('',#38363,#38361,#33358,.T.); #48065=EDGE_CURVE('',#38347,#38362,#33359,.T.); #48066=EDGE_CURVE('',#38349,#38363,#33360,.T.); #48067=EDGE_CURVE('',#38364,#38365,#7684,.T.); #48068=EDGE_CURVE('',#38364,#38366,#7685,.T.); #48069=EDGE_CURVE('',#38366,#38367,#7686,.T.); #48070=EDGE_CURVE('',#38365,#38367,#7687,.T.); #48071=EDGE_CURVE('',#38368,#38364,#7688,.T.); #48072=EDGE_CURVE('',#38368,#38369,#7689,.T.); #48073=EDGE_CURVE('',#38369,#38366,#7690,.T.); #48074=EDGE_CURVE('',#38370,#38368,#7691,.T.); #48075=EDGE_CURVE('',#38370,#38371,#7692,.T.); #48076=EDGE_CURVE('',#38371,#38369,#7693,.T.); #48077=EDGE_CURVE('',#38372,#38370,#7694,.T.); #48078=EDGE_CURVE('',#38372,#38373,#7695,.T.); #48079=EDGE_CURVE('',#38373,#38371,#7696,.T.); #48080=EDGE_CURVE('',#38374,#38372,#7697,.T.); #48081=EDGE_CURVE('',#38374,#38375,#7698,.T.); #48082=EDGE_CURVE('',#38375,#38373,#7699,.T.); #48083=EDGE_CURVE('',#38376,#38374,#7700,.T.); #48084=EDGE_CURVE('',#38376,#38377,#7701,.T.); #48085=EDGE_CURVE('',#38377,#38375,#7702,.T.); #48086=EDGE_CURVE('',#38378,#38376,#7703,.T.); #48087=EDGE_CURVE('',#38378,#38379,#7704,.T.); #48088=EDGE_CURVE('',#38379,#38377,#7705,.T.); #48089=EDGE_CURVE('',#38380,#38378,#7706,.T.); #48090=EDGE_CURVE('',#38380,#38381,#7707,.T.); #48091=EDGE_CURVE('',#38381,#38379,#7708,.T.); #48092=EDGE_CURVE('',#38382,#38380,#7709,.T.); #48093=EDGE_CURVE('',#38382,#38383,#7710,.T.); #48094=EDGE_CURVE('',#38383,#38381,#7711,.T.); #48095=EDGE_CURVE('',#38384,#38382,#7712,.T.); #48096=EDGE_CURVE('',#38384,#38385,#7713,.T.); #48097=EDGE_CURVE('',#38385,#38383,#7714,.T.); #48098=EDGE_CURVE('',#38386,#38384,#7715,.T.); #48099=EDGE_CURVE('',#38386,#38387,#7716,.T.); #48100=EDGE_CURVE('',#38387,#38385,#7717,.T.); #48101=EDGE_CURVE('',#38365,#38386,#7718,.T.); #48102=EDGE_CURVE('',#38367,#38387,#7719,.T.); #48103=EDGE_CURVE('',#38388,#38389,#33361,.T.); #48104=EDGE_CURVE('',#38388,#38390,#7720,.T.); #48105=EDGE_CURVE('',#38390,#38391,#33362,.T.); #48106=EDGE_CURVE('',#38389,#38391,#7721,.T.); #48107=EDGE_CURVE('',#38389,#38392,#7722,.T.); #48108=EDGE_CURVE('',#38391,#38393,#7723,.T.); #48109=EDGE_CURVE('',#38392,#38393,#7724,.T.); #48110=EDGE_CURVE('',#38392,#38394,#7725,.T.); #48111=EDGE_CURVE('',#38393,#38395,#7726,.T.); #48112=EDGE_CURVE('',#38394,#38395,#7727,.T.); #48113=EDGE_CURVE('',#38394,#38396,#7728,.T.); #48114=EDGE_CURVE('',#38395,#38397,#7729,.T.); #48115=EDGE_CURVE('',#38396,#38397,#7730,.T.); #48116=EDGE_CURVE('',#38396,#38398,#33363,.T.); #48117=EDGE_CURVE('',#38397,#38399,#33364,.T.); #48118=EDGE_CURVE('',#38398,#38399,#7731,.T.); #48119=EDGE_CURVE('',#38398,#38400,#33365,.T.); #48120=EDGE_CURVE('',#38399,#38401,#33366,.T.); #48121=EDGE_CURVE('',#38400,#38401,#7732,.T.); #48122=EDGE_CURVE('',#38400,#38388,#33367,.T.); #48123=EDGE_CURVE('',#38401,#38390,#33368,.T.); #48124=EDGE_CURVE('',#38402,#38403,#33369,.T.); #48125=EDGE_CURVE('',#38402,#38404,#7733,.T.); #48126=EDGE_CURVE('',#38404,#38405,#33370,.T.); #48127=EDGE_CURVE('',#38403,#38405,#7734,.T.); #48128=EDGE_CURVE('',#38406,#38402,#33371,.T.); #48129=EDGE_CURVE('',#38406,#38407,#7735,.T.); #48130=EDGE_CURVE('',#38407,#38404,#33372,.T.); #48131=EDGE_CURVE('',#38408,#38406,#7736,.T.); #48132=EDGE_CURVE('',#38408,#38409,#7737,.T.); #48133=EDGE_CURVE('',#38409,#38407,#7738,.T.); #48134=EDGE_CURVE('',#38410,#38408,#7739,.T.); #48135=EDGE_CURVE('',#38410,#38411,#7740,.T.); #48136=EDGE_CURVE('',#38411,#38409,#7741,.T.); #48137=EDGE_CURVE('',#38412,#38410,#7742,.T.); #48138=EDGE_CURVE('',#38412,#38413,#7743,.T.); #48139=EDGE_CURVE('',#38413,#38411,#7744,.T.); #48140=EDGE_CURVE('',#38414,#38412,#33373,.T.); #48141=EDGE_CURVE('',#38414,#38415,#7745,.T.); #48142=EDGE_CURVE('',#38415,#38413,#33374,.T.); #48143=EDGE_CURVE('',#38403,#38414,#33375,.T.); #48144=EDGE_CURVE('',#38405,#38415,#33376,.T.); #48145=EDGE_CURVE('',#38416,#38417,#7746,.T.); #48146=EDGE_CURVE('',#38416,#38418,#7747,.T.); #48147=EDGE_CURVE('',#38418,#38419,#7748,.T.); #48148=EDGE_CURVE('',#38417,#38419,#7749,.T.); #48149=EDGE_CURVE('',#38420,#38416,#7750,.T.); #48150=EDGE_CURVE('',#38420,#38421,#7751,.T.); #48151=EDGE_CURVE('',#38421,#38418,#7752,.T.); #48152=EDGE_CURVE('',#38422,#38420,#7753,.T.); #48153=EDGE_CURVE('',#38422,#38423,#7754,.T.); #48154=EDGE_CURVE('',#38423,#38421,#7755,.T.); #48155=EDGE_CURVE('',#38417,#38422,#7756,.T.); #48156=EDGE_CURVE('',#38419,#38423,#7757,.T.); #48157=EDGE_CURVE('',#38424,#38425,#7758,.T.); #48158=EDGE_CURVE('',#38426,#38424,#1061,.T.); #48159=EDGE_CURVE('',#38427,#38426,#7759,.T.); #48160=EDGE_CURVE('',#38428,#38427,#29950,.T.); #48161=EDGE_CURVE('',#38429,#38428,#7760,.T.); #48162=EDGE_CURVE('',#38430,#38429,#1062,.T.); #48163=EDGE_CURVE('',#38431,#38430,#7761,.T.); #48164=EDGE_CURVE('',#38432,#38431,#29951,.T.); #48165=EDGE_CURVE('',#38433,#38432,#7762,.T.); #48166=EDGE_CURVE('',#38434,#38433,#29952,.T.); #48167=EDGE_CURVE('',#38435,#38434,#29953,.T.); #48168=EDGE_CURVE('',#38436,#38435,#7763,.T.); #48169=EDGE_CURVE('',#38437,#38436,#33377,.T.); #48170=EDGE_CURVE('',#38438,#38437,#33378,.T.); #48171=EDGE_CURVE('',#38439,#38438,#33379,.T.); #48172=EDGE_CURVE('',#38440,#38439,#7764,.T.); #48173=EDGE_CURVE('',#38441,#38440,#29954,.T.); #48174=EDGE_CURVE('',#38442,#38441,#7765,.T.); #48175=EDGE_CURVE('',#38443,#38442,#33380,.T.); #48176=EDGE_CURVE('',#38444,#38443,#33381,.T.); #48177=EDGE_CURVE('',#38445,#38444,#33382,.T.); #48178=EDGE_CURVE('',#38446,#38445,#7766,.T.); #48179=EDGE_CURVE('',#38447,#38446,#29955,.T.); #48180=EDGE_CURVE('',#38448,#38447,#7767,.T.); #48181=EDGE_CURVE('',#38449,#38448,#33383,.T.); #48182=EDGE_CURVE('',#38450,#38449,#33384,.T.); #48183=EDGE_CURVE('',#38451,#38450,#33385,.T.); #48184=EDGE_CURVE('',#38452,#38451,#7768,.T.); #48185=EDGE_CURVE('',#38453,#38452,#29956,.T.); #48186=EDGE_CURVE('',#38454,#38453,#7769,.T.); #48187=EDGE_CURVE('',#38455,#38454,#33386,.T.); #48188=EDGE_CURVE('',#38456,#38455,#33387,.T.); #48189=EDGE_CURVE('',#38457,#38456,#33388,.T.); #48190=EDGE_CURVE('',#38458,#38457,#7770,.T.); #48191=EDGE_CURVE('',#38459,#38458,#29957,.T.); #48192=EDGE_CURVE('',#38460,#38459,#7771,.T.); #48193=EDGE_CURVE('',#38461,#38460,#33389,.T.); #48194=EDGE_CURVE('',#38462,#38461,#33390,.T.); #48195=EDGE_CURVE('',#38463,#38462,#33391,.T.); #48196=EDGE_CURVE('',#38464,#38463,#7772,.T.); #48197=EDGE_CURVE('',#38465,#38464,#29958,.T.); #48198=EDGE_CURVE('',#38466,#38465,#29959,.T.); #48199=EDGE_CURVE('',#38467,#38466,#7773,.T.); #48200=EDGE_CURVE('',#38468,#38467,#29960,.T.); #48201=EDGE_CURVE('',#38469,#38468,#7774,.T.); #48202=EDGE_CURVE('',#38470,#38469,#1063,.T.); #48203=EDGE_CURVE('',#38471,#38470,#7775,.T.); #48204=EDGE_CURVE('',#38472,#38471,#29961,.T.); #48205=EDGE_CURVE('',#38473,#38472,#7776,.T.); #48206=EDGE_CURVE('',#38474,#38473,#1064,.T.); #48207=EDGE_CURVE('',#38475,#38474,#7777,.T.); #48208=EDGE_CURVE('',#38476,#38475,#29962,.T.); #48209=EDGE_CURVE('',#38477,#38476,#29963,.T.); #48210=EDGE_CURVE('',#38478,#38477,#33392,.T.); #48211=EDGE_CURVE('',#38479,#38478,#29964,.T.); #48212=EDGE_CURVE('',#38480,#38479,#7778,.T.); #48213=EDGE_CURVE('',#38481,#38480,#29965,.T.); #48214=EDGE_CURVE('',#38482,#38481,#33393,.T.); #48215=EDGE_CURVE('',#38483,#38482,#29966,.T.); #48216=EDGE_CURVE('',#38425,#38483,#29967,.T.); #48217=EDGE_CURVE('',#38484,#38484,#29968,.T.); #48218=EDGE_CURVE('',#38484,#36108,#29969,.T.); #48219=EDGE_CURVE('',#38485,#38486,#33394,.T.); #48220=EDGE_CURVE('',#38487,#38485,#33395,.T.); #48221=EDGE_CURVE('',#38488,#38487,#33396,.T.); #48222=EDGE_CURVE('',#38489,#38488,#33397,.T.); #48223=EDGE_CURVE('',#38490,#38489,#7779,.T.); #48224=EDGE_CURVE('',#38491,#38490,#29970,.T.); #48225=EDGE_CURVE('',#38492,#38491,#7780,.T.); #48226=EDGE_CURVE('',#38493,#38492,#29971,.T.); #48227=EDGE_CURVE('',#38494,#38493,#7781,.T.); #48228=EDGE_CURVE('',#38495,#38494,#33398,.T.); #48229=EDGE_CURVE('',#38496,#38495,#33399,.T.); #48230=EDGE_CURVE('',#38497,#38496,#33400,.T.); #48231=EDGE_CURVE('',#38498,#38497,#33401,.T.); #48232=EDGE_CURVE('',#38498,#38499,#33402,.F.); #48233=EDGE_CURVE('',#38500,#38499,#33403,.T.); #48234=EDGE_CURVE('',#38486,#38500,#33404,.T.); #48235=EDGE_CURVE('',#38501,#38486,#29972,.T.); #48236=EDGE_CURVE('',#38486,#38502,#33405,.T.); #48237=EDGE_CURVE('',#38502,#38501,#33406,.T.); #48238=EDGE_CURVE('',#38503,#38485,#29973,.T.); #48239=EDGE_CURVE('',#38501,#38503,#33407,.T.); #48240=EDGE_CURVE('',#38504,#38487,#29974,.T.); #48241=EDGE_CURVE('',#38503,#38504,#33408,.T.); #48242=EDGE_CURVE('',#38505,#38488,#29975,.T.); #48243=EDGE_CURVE('',#38504,#38505,#33409,.T.); #48244=EDGE_CURVE('',#38489,#38506,#29976,.T.); #48245=EDGE_CURVE('',#38506,#38507,#7782,.T.); #48246=EDGE_CURVE('',#38507,#38490,#29977,.T.); #48247=EDGE_CURVE('',#38508,#38491,#29978,.T.); #48248=EDGE_CURVE('',#38507,#38508,#29979,.T.); #48249=EDGE_CURVE('',#38509,#38492,#29980,.T.); #48250=EDGE_CURVE('',#38508,#38509,#7783,.T.); #48251=EDGE_CURVE('',#38510,#38493,#29981,.T.); #48252=EDGE_CURVE('',#38509,#38510,#29982,.T.); #48253=EDGE_CURVE('',#38511,#38494,#29983,.T.); #48254=EDGE_CURVE('',#38510,#38511,#7784,.T.); #48255=EDGE_CURVE('',#38505,#38506,#33410,.T.); #48256=EDGE_CURVE('',#38496,#38512,#29984,.T.); #48257=EDGE_CURVE('',#38512,#38513,#33411,.T.); #48258=EDGE_CURVE('',#38513,#38497,#29985,.T.); #48259=EDGE_CURVE('',#38513,#38514,#33412,.T.); #48260=EDGE_CURVE('',#38514,#38498,#29986,.T.); #48261=EDGE_CURVE('',#38514,#38515,#33413,.T.); #48262=EDGE_CURVE('',#38515,#38498,#33414,.T.); #48263=EDGE_CURVE('',#38495,#38516,#29987,.T.); #48264=EDGE_CURVE('',#38516,#38512,#33415,.T.); #48265=EDGE_CURVE('',#38511,#38516,#33416,.T.); #48266=EDGE_CURVE('',#38502,#38517,#33417,.T.); #48267=EDGE_CURVE('',#38503,#38517,#29988,.T.); #48268=EDGE_CURVE('',#38518,#38515,#33418,.T.); #48269=EDGE_CURVE('',#38518,#38519,#33419,.T.); #48270=EDGE_CURVE('',#38502,#38519,#33420,.T.); #48271=EDGE_CURVE('',#38516,#38520,#29989,.T.); #48272=EDGE_CURVE('',#38520,#38521,#33421,.T.); #48273=EDGE_CURVE('',#38521,#38512,#29990,.T.); #48274=EDGE_CURVE('',#38511,#38522,#33422,.T.); #48275=EDGE_CURVE('',#38522,#38523,#29991,.T.); #48276=EDGE_CURVE('',#38523,#38520,#33423,.T.); #48277=EDGE_CURVE('',#38524,#38525,#29992,.T.); #48278=EDGE_CURVE('',#38525,#38523,#33424,.T.); #48279=EDGE_CURVE('',#38522,#38524,#33425,.T.); #48280=EDGE_CURVE('',#38526,#38527,#29993,.T.); #48281=EDGE_CURVE('',#38527,#38525,#1065,.T.); #48282=EDGE_CURVE('',#38524,#38526,#1066,.T.); #48283=EDGE_CURVE('',#38528,#38529,#29994,.T.); #48284=EDGE_CURVE('',#38529,#38527,#33426,.T.); #48285=EDGE_CURVE('',#38526,#38528,#33427,.T.); #48286=EDGE_CURVE('',#38505,#38530,#29995,.T.); #48287=EDGE_CURVE('',#38530,#38529,#33428,.T.); #48288=EDGE_CURVE('',#38528,#38506,#33429,.T.); #48289=EDGE_CURVE('',#38504,#38531,#29996,.T.); #48290=EDGE_CURVE('',#38531,#38530,#33430,.T.); #48291=EDGE_CURVE('',#38521,#38532,#33431,.T.); #48292=EDGE_CURVE('',#38532,#38513,#29997,.T.); #48293=EDGE_CURVE('',#38532,#38515,#33432,.T.); #48294=EDGE_CURVE('',#38517,#38531,#33433,.T.); #48295=EDGE_CURVE('',#38507,#38528,#7785,.T.); #48296=EDGE_CURVE('',#38508,#38526,#7786,.T.); #48297=EDGE_CURVE('',#38509,#38524,#7787,.T.); #48298=EDGE_CURVE('',#38510,#38522,#7788,.T.); #48299=EDGE_CURVE('',#35629,#38533,#29998,.T.); #48300=EDGE_CURVE('',#38533,#38534,#29999,.T.); #48301=EDGE_CURVE('',#38534,#35628,#30000,.T.); #48302=EDGE_CURVE('',#38534,#35655,#30001,.T.); #48303=EDGE_CURVE('',#35655,#35627,#30002,.T.); #48304=EDGE_CURVE('',#35654,#35625,#30003,.T.); #48305=EDGE_CURVE('',#35656,#35626,#30004,.T.); #48306=EDGE_CURVE('',#35657,#38535,#30005,.T.); #48307=EDGE_CURVE('',#38535,#35626,#30006,.T.); #48308=EDGE_CURVE('',#35658,#38536,#30007,.T.); #48309=EDGE_CURVE('',#38536,#38535,#30008,.T.); #48310=EDGE_CURVE('',#35658,#38537,#30009,.T.); #48311=EDGE_CURVE('',#38537,#38538,#30010,.T.); #48312=EDGE_CURVE('',#38538,#38536,#30011,.T.); #48313=EDGE_CURVE('',#38537,#38533,#30012,.T.); #48314=EDGE_CURVE('',#35629,#38538,#30013,.T.); #48315=EDGE_CURVE('',#35648,#38534,#7789,.T.); #48316=EDGE_CURVE('',#35650,#38533,#7790,.T.); #48317=EDGE_CURVE('',#38535,#35619,#30014,.T.); #48318=EDGE_CURVE('',#38536,#35621,#30015,.T.); #48319=EDGE_CURVE('',#38538,#35623,#30016,.T.); #48320=EDGE_CURVE('',#38539,#38540,#30017,.T.); #48321=EDGE_CURVE('',#38540,#38541,#7791,.T.); #48322=EDGE_CURVE('',#38541,#38542,#30018,.T.); #48323=EDGE_CURVE('',#38542,#38539,#7792,.T.); #48324=EDGE_CURVE('',#38543,#38544,#30019,.T.); #48325=EDGE_CURVE('',#38544,#38545,#7793,.T.); #48326=EDGE_CURVE('',#38545,#38546,#30020,.T.); #48327=EDGE_CURVE('',#38546,#38543,#7794,.T.); #48328=EDGE_CURVE('',#38547,#38548,#30021,.T.); #48329=EDGE_CURVE('',#38548,#38546,#30022,.T.); #48330=EDGE_CURVE('',#38545,#38547,#30023,.T.); #48331=EDGE_CURVE('',#38549,#38539,#30024,.T.); #48332=EDGE_CURVE('',#38539,#38548,#7795,.T.); #48333=EDGE_CURVE('',#38547,#38549,#7796,.T.); #48334=EDGE_CURVE('',#38550,#38551,#30025,.T.); #48335=EDGE_CURVE('',#38551,#38552,#7797,.T.); #48336=EDGE_CURVE('',#38552,#38543,#30026,.T.); #48337=EDGE_CURVE('',#38543,#38550,#7798,.T.); #48338=EDGE_CURVE('',#38542,#38553,#30027,.T.); #48339=EDGE_CURVE('',#38553,#38554,#7799,.T.); #48340=EDGE_CURVE('',#38554,#38555,#30028,.T.); #48341=EDGE_CURVE('',#38555,#38542,#7800,.T.); #48342=EDGE_CURVE('',#38556,#38557,#30029,.T.); #48343=EDGE_CURVE('',#38557,#38558,#7801,.T.); #48344=EDGE_CURVE('',#38558,#38550,#30030,.T.); #48345=EDGE_CURVE('',#38550,#38556,#7802,.T.); #48346=EDGE_CURVE('',#38556,#38555,#30031,.T.); #48347=EDGE_CURVE('',#38554,#38557,#30032,.T.); #48348=EDGE_CURVE('',#38558,#38551,#30033,.T.); #48349=EDGE_CURVE('',#38552,#38544,#30034,.T.); #48350=EDGE_CURVE('',#38549,#38540,#30035,.T.); #48351=EDGE_CURVE('',#38541,#38553,#30036,.T.); #48352=EDGE_CURVE('',#38545,#38559,#7803,.T.); #48353=EDGE_CURVE('',#38560,#38559,#30037,.T.); #48354=EDGE_CURVE('',#38547,#38560,#7804,.T.); #48355=EDGE_CURVE('',#38561,#38544,#7805,.T.); #48356=EDGE_CURVE('',#38562,#38561,#33434,.T.); #48357=EDGE_CURVE('',#38559,#38562,#7806,.T.); #48358=EDGE_CURVE('',#38552,#38563,#7807,.T.); #48359=EDGE_CURVE('',#38561,#38563,#33435,.T.); #48360=EDGE_CURVE('',#38564,#38551,#7808,.T.); #48361=EDGE_CURVE('',#38565,#38564,#7809,.T.); #48362=EDGE_CURVE('',#38563,#38565,#33436,.T.); #48363=EDGE_CURVE('',#38558,#38566,#7810,.T.); #48364=EDGE_CURVE('',#38564,#38566,#1067,.T.); #48365=EDGE_CURVE('',#38557,#38567,#7811,.T.); #48366=EDGE_CURVE('',#38566,#38567,#7812,.T.); #48367=EDGE_CURVE('',#38568,#38569,#30038,.T.); #48368=EDGE_CURVE('',#38569,#38570,#7813,.T.); #48369=EDGE_CURVE('',#38570,#38571,#30039,.T.); #48370=EDGE_CURVE('',#38571,#38568,#7814,.T.); #48371=EDGE_CURVE('',#38572,#38573,#30040,.T.); #48372=EDGE_CURVE('',#38573,#38574,#7815,.T.); #48373=EDGE_CURVE('',#38574,#38568,#30041,.T.); #48374=EDGE_CURVE('',#38568,#38572,#7816,.T.); #48375=EDGE_CURVE('',#38575,#38576,#30042,.T.); #48376=EDGE_CURVE('',#38576,#38573,#30043,.T.); #48377=EDGE_CURVE('',#38572,#38575,#30044,.T.); #48378=EDGE_CURVE('',#38577,#38578,#30045,.T.); #48379=EDGE_CURVE('',#38578,#38576,#7817,.T.); #48380=EDGE_CURVE('',#38575,#38577,#7818,.T.); #48381=EDGE_CURVE('',#38574,#38569,#30046,.T.); #48382=EDGE_CURVE('',#38579,#38580,#30047,.T.); #48383=EDGE_CURVE('',#38580,#38581,#7819,.T.); #48384=EDGE_CURVE('',#38581,#38577,#30048,.T.); #48385=EDGE_CURVE('',#38577,#38579,#7820,.T.); #48386=EDGE_CURVE('',#38581,#38578,#30049,.T.); #48387=EDGE_CURVE('',#38582,#38583,#30050,.T.); #48388=EDGE_CURVE('',#38583,#38584,#7821,.T.); #48389=EDGE_CURVE('',#38584,#38579,#30051,.T.); #48390=EDGE_CURVE('',#38579,#38582,#7822,.T.); #48391=EDGE_CURVE('',#38585,#38586,#30052,.T.); #48392=EDGE_CURVE('',#38586,#38583,#30053,.T.); #48393=EDGE_CURVE('',#38582,#38585,#30054,.T.); #48394=EDGE_CURVE('',#38571,#38587,#30055,.T.); #48395=EDGE_CURVE('',#38587,#38586,#7823,.T.); #48396=EDGE_CURVE('',#38585,#38571,#7824,.T.); #48397=EDGE_CURVE('',#38584,#38580,#30056,.T.); #48398=EDGE_CURVE('',#38570,#38587,#30057,.T.); #48399=EDGE_CURVE('',#38576,#38588,#7825,.T.); #48400=EDGE_CURVE('',#38589,#38588,#30058,.T.); #48401=EDGE_CURVE('',#38573,#38589,#7826,.T.); #48402=EDGE_CURVE('',#38590,#38578,#7827,.T.); #48403=EDGE_CURVE('',#38588,#38590,#7828,.T.); #48404=EDGE_CURVE('',#38581,#38591,#7829,.T.); #48405=EDGE_CURVE('',#38590,#38591,#1068,.T.); #48406=EDGE_CURVE('',#38592,#38580,#7830,.T.); #48407=EDGE_CURVE('',#38593,#38592,#33437,.T.); #48408=EDGE_CURVE('',#38591,#38593,#7831,.T.); #48409=EDGE_CURVE('',#38584,#38594,#7832,.T.); #48410=EDGE_CURVE('',#38592,#38594,#33438,.T.); #48411=EDGE_CURVE('',#38583,#38595,#7833,.T.); #48412=EDGE_CURVE('',#38596,#38595,#7834,.T.); #48413=EDGE_CURVE('',#38594,#38596,#33439,.T.); #48414=EDGE_CURVE('',#38597,#38598,#30059,.T.); #48415=EDGE_CURVE('',#38598,#38599,#7835,.T.); #48416=EDGE_CURVE('',#38599,#38600,#30060,.T.); #48417=EDGE_CURVE('',#38600,#38597,#7836,.T.); #48418=EDGE_CURVE('',#38601,#38602,#30061,.T.); #48419=EDGE_CURVE('',#38602,#38603,#7837,.T.); #48420=EDGE_CURVE('',#38603,#38604,#30062,.T.); #48421=EDGE_CURVE('',#38604,#38601,#7838,.T.); #48422=EDGE_CURVE('',#38605,#38606,#30063,.T.); #48423=EDGE_CURVE('',#38606,#38602,#30064,.T.); #48424=EDGE_CURVE('',#38601,#38605,#30065,.T.); #48425=EDGE_CURVE('',#38607,#38597,#30066,.T.); #48426=EDGE_CURVE('',#38597,#38606,#7839,.T.); #48427=EDGE_CURVE('',#38605,#38607,#7840,.T.); #48428=EDGE_CURVE('',#38608,#38609,#30067,.T.); #48429=EDGE_CURVE('',#38609,#38610,#7841,.T.); #48430=EDGE_CURVE('',#38610,#38603,#30068,.T.); #48431=EDGE_CURVE('',#38603,#38608,#7842,.T.); #48432=EDGE_CURVE('',#38611,#38612,#30069,.T.); #48433=EDGE_CURVE('',#38612,#38600,#7843,.T.); #48434=EDGE_CURVE('',#38600,#38613,#30070,.T.); #48435=EDGE_CURVE('',#38613,#38611,#7844,.T.); #48436=EDGE_CURVE('',#38614,#38615,#30071,.T.); #48437=EDGE_CURVE('',#38615,#38616,#7845,.T.); #48438=EDGE_CURVE('',#38616,#38608,#30072,.T.); #48439=EDGE_CURVE('',#38608,#38614,#7846,.T.); #48440=EDGE_CURVE('',#38614,#38612,#30073,.T.); #48441=EDGE_CURVE('',#38611,#38615,#30074,.T.); #48442=EDGE_CURVE('',#38616,#38609,#30075,.T.); #48443=EDGE_CURVE('',#38610,#38604,#30076,.T.); #48444=EDGE_CURVE('',#38607,#38598,#30077,.T.); #48445=EDGE_CURVE('',#38599,#38613,#30078,.T.); #48446=EDGE_CURVE('',#38617,#38601,#7847,.T.); #48447=EDGE_CURVE('',#38618,#38617,#33440,.T.); #48448=EDGE_CURVE('',#38605,#38618,#7848,.T.); #48449=EDGE_CURVE('',#38619,#38604,#7849,.T.); #48450=EDGE_CURVE('',#38620,#38619,#7850,.T.); #48451=EDGE_CURVE('',#38621,#38620,#33441,.T.); #48452=EDGE_CURVE('',#38622,#38621,#1069,.T.); #48453=EDGE_CURVE('',#38623,#38622,#7851,.T.); #48454=EDGE_CURVE('',#38624,#38623,#33442,.T.); #48455=EDGE_CURVE('',#38617,#38624,#33443,.T.); #48456=EDGE_CURVE('',#38610,#38625,#7852,.T.); #48457=EDGE_CURVE('',#38619,#38625,#30079,.T.); #48458=EDGE_CURVE('',#38626,#38609,#7853,.T.); #48459=EDGE_CURVE('',#38627,#38626,#1070,.T.); #48460=EDGE_CURVE('',#38628,#38627,#7854,.T.); #48461=EDGE_CURVE('',#38629,#38628,#33444,.T.); #48462=EDGE_CURVE('',#38630,#38629,#33445,.T.); #48463=EDGE_CURVE('',#38631,#38630,#33446,.T.); #48464=EDGE_CURVE('',#38632,#38631,#33447,.T.); #48465=EDGE_CURVE('',#38633,#38632,#7855,.T.); #48466=EDGE_CURVE('',#38625,#38633,#1071,.T.); #48467=EDGE_CURVE('',#38616,#38634,#7856,.T.); #48468=EDGE_CURVE('',#38634,#38626,#30080,.T.); #48469=EDGE_CURVE('',#38635,#38615,#7857,.T.); #48470=EDGE_CURVE('',#38636,#38635,#33448,.T.); #48471=EDGE_CURVE('',#38637,#38636,#7858,.T.); #48472=EDGE_CURVE('',#38638,#38637,#33449,.T.); #48473=EDGE_CURVE('',#38634,#38638,#7859,.T.); #48474=EDGE_CURVE('',#38639,#38640,#30081,.T.); #48475=EDGE_CURVE('',#38640,#38641,#7860,.T.); #48476=EDGE_CURVE('',#38641,#38642,#30082,.T.); #48477=EDGE_CURVE('',#38642,#38639,#7861,.T.); #48478=EDGE_CURVE('',#38643,#38644,#30083,.T.); #48479=EDGE_CURVE('',#38644,#38645,#7862,.T.); #48480=EDGE_CURVE('',#38645,#38639,#30084,.T.); #48481=EDGE_CURVE('',#38639,#38643,#7863,.T.); #48482=EDGE_CURVE('',#38646,#38647,#30085,.T.); #48483=EDGE_CURVE('',#38647,#38644,#30086,.T.); #48484=EDGE_CURVE('',#38643,#38646,#30087,.T.); #48485=EDGE_CURVE('',#38648,#38649,#30088,.T.); #48486=EDGE_CURVE('',#38649,#38647,#7864,.T.); #48487=EDGE_CURVE('',#38646,#38648,#7865,.T.); #48488=EDGE_CURVE('',#38645,#38640,#30089,.T.); #48489=EDGE_CURVE('',#38650,#38651,#30090,.T.); #48490=EDGE_CURVE('',#38651,#38652,#7866,.T.); #48491=EDGE_CURVE('',#38652,#38648,#30091,.T.); #48492=EDGE_CURVE('',#38648,#38650,#7867,.T.); #48493=EDGE_CURVE('',#38652,#38649,#30092,.T.); #48494=EDGE_CURVE('',#38653,#38654,#30093,.T.); #48495=EDGE_CURVE('',#38654,#38655,#7868,.T.); #48496=EDGE_CURVE('',#38655,#38650,#30094,.T.); #48497=EDGE_CURVE('',#38650,#38653,#7869,.T.); #48498=EDGE_CURVE('',#38656,#38657,#30095,.T.); #48499=EDGE_CURVE('',#38657,#38654,#30096,.T.); #48500=EDGE_CURVE('',#38653,#38656,#30097,.T.); #48501=EDGE_CURVE('',#38642,#38658,#30098,.T.); #48502=EDGE_CURVE('',#38658,#38657,#7870,.T.); #48503=EDGE_CURVE('',#38656,#38642,#7871,.T.); #48504=EDGE_CURVE('',#38655,#38651,#30099,.T.); #48505=EDGE_CURVE('',#38641,#38658,#30100,.T.); #48506=EDGE_CURVE('',#38659,#38647,#7872,.T.); #48507=EDGE_CURVE('',#38660,#38659,#33450,.T.); #48508=EDGE_CURVE('',#38644,#38660,#7873,.T.); #48509=EDGE_CURVE('',#38661,#38649,#7874,.T.); #48510=EDGE_CURVE('',#38662,#38661,#7875,.T.); #48511=EDGE_CURVE('',#38663,#38662,#33451,.T.); #48512=EDGE_CURVE('',#38664,#38663,#1072,.T.); #48513=EDGE_CURVE('',#38665,#38664,#7876,.T.); #48514=EDGE_CURVE('',#38666,#38665,#33452,.T.); #48515=EDGE_CURVE('',#38659,#38666,#33453,.T.); #48516=EDGE_CURVE('',#38652,#38667,#7877,.T.); #48517=EDGE_CURVE('',#38661,#38667,#30101,.T.); #48518=EDGE_CURVE('',#38668,#38651,#7878,.T.); #48519=EDGE_CURVE('',#38669,#38668,#1073,.T.); #48520=EDGE_CURVE('',#38670,#38669,#7879,.T.); #48521=EDGE_CURVE('',#38671,#38670,#33454,.T.); #48522=EDGE_CURVE('',#38672,#38671,#33455,.T.); #48523=EDGE_CURVE('',#38673,#38672,#33456,.T.); #48524=EDGE_CURVE('',#38674,#38673,#33457,.T.); #48525=EDGE_CURVE('',#38675,#38674,#33458,.T.); #48526=EDGE_CURVE('',#38676,#38675,#33459,.T.); #48527=EDGE_CURVE('',#38677,#38676,#33460,.T.); #48528=EDGE_CURVE('',#38678,#38677,#7880,.T.); #48529=EDGE_CURVE('',#38667,#38678,#1074,.T.); #48530=EDGE_CURVE('',#38655,#38679,#7881,.T.); #48531=EDGE_CURVE('',#38679,#38668,#30102,.T.); #48532=EDGE_CURVE('',#38680,#38654,#7882,.T.); #48533=EDGE_CURVE('',#38681,#38680,#33461,.T.); #48534=EDGE_CURVE('',#38682,#38681,#33462,.T.); #48535=EDGE_CURVE('',#38683,#38682,#7883,.T.); #48536=EDGE_CURVE('',#38684,#38683,#33463,.T.); #48537=EDGE_CURVE('',#38679,#38684,#7884,.T.); #48538=EDGE_CURVE('',#38427,#38589,#30103,.T.); #48539=EDGE_CURVE('',#38588,#38428,#30104,.T.); #48540=EDGE_CURVE('',#38590,#38429,#30105,.T.); #48541=EDGE_CURVE('',#38591,#38430,#30106,.T.); #48542=EDGE_CURVE('',#38593,#38431,#30107,.T.); #48543=EDGE_CURVE('',#38592,#38685,#30108,.T.); #48544=EDGE_CURVE('',#38685,#38431,#33464,.T.); #48545=EDGE_CURVE('',#38594,#38686,#30109,.T.); #48546=EDGE_CURVE('',#38686,#38685,#33465,.T.); #48547=EDGE_CURVE('',#38596,#38687,#30110,.T.); #48548=EDGE_CURVE('',#38687,#38686,#33466,.T.); #48549=EDGE_CURVE('',#38595,#38688,#30111,.T.); #48550=EDGE_CURVE('',#38688,#38687,#7885,.T.); #48551=EDGE_CURVE('',#38689,#38690,#30112,.T.); #48552=EDGE_CURVE('',#38690,#38688,#30113,.T.); #48553=EDGE_CURVE('',#38595,#38689,#30114,.T.); #48554=EDGE_CURVE('',#38691,#38692,#30115,.T.); #48555=EDGE_CURVE('',#38692,#38690,#7886,.T.); #48556=EDGE_CURVE('',#38689,#38691,#7887,.T.); #48557=EDGE_CURVE('',#38693,#38694,#30116,.T.); #48558=EDGE_CURVE('',#38694,#38692,#33467,.T.); #48559=EDGE_CURVE('',#38691,#38693,#33468,.T.); #48560=EDGE_CURVE('',#38693,#38695,#33469,.T.); #48561=EDGE_CURVE('',#38695,#38696,#30117,.T.); #48562=EDGE_CURVE('',#38696,#38694,#33470,.T.); #48563=EDGE_CURVE('',#38697,#38425,#30118,.T.); #48564=EDGE_CURVE('',#38425,#38696,#33471,.T.); #48565=EDGE_CURVE('',#38695,#38697,#33472,.T.); #48566=EDGE_CURVE('',#38698,#38424,#30119,.T.); #48567=EDGE_CURVE('',#38697,#38698,#7888,.T.); #48568=EDGE_CURVE('',#38699,#38426,#30120,.T.); #48569=EDGE_CURVE('',#38698,#38699,#1075,.T.); #48570=EDGE_CURVE('',#38699,#38589,#7889,.T.); #48571=EDGE_CURVE('',#38483,#38700,#30121,.T.); #48572=EDGE_CURVE('',#38700,#38701,#30122,.T.); #48573=EDGE_CURVE('',#38702,#38701,#33473,.T.); #48574=EDGE_CURVE('',#38703,#38702,#33474,.T.); #48575=EDGE_CURVE('',#38704,#38703,#33475,.T.); #48576=EDGE_CURVE('',#38432,#38704,#33476,.T.); #48577=EDGE_CURVE('',#38687,#38692,#30123,.T.); #48578=EDGE_CURVE('',#38472,#38705,#30124,.T.); #48579=EDGE_CURVE('',#38705,#38706,#7890,.T.); #48580=EDGE_CURVE('',#38706,#38473,#30125,.T.); #48581=EDGE_CURVE('',#38707,#38474,#30126,.T.); #48582=EDGE_CURVE('',#38706,#38707,#1076,.T.); #48583=EDGE_CURVE('',#38708,#38475,#30127,.T.); #48584=EDGE_CURVE('',#38707,#38708,#7891,.T.); #48585=EDGE_CURVE('',#38709,#38710,#30128,.T.); #48586=EDGE_CURVE('',#38710,#38475,#33477,.T.); #48587=EDGE_CURVE('',#38708,#38709,#33478,.T.); #48588=EDGE_CURVE('',#38709,#38711,#33479,.T.); #48589=EDGE_CURVE('',#38711,#38712,#30129,.T.); #48590=EDGE_CURVE('',#38712,#38710,#33480,.T.); #48591=EDGE_CURVE('',#38713,#38714,#30130,.T.); #48592=EDGE_CURVE('',#38714,#38712,#33481,.T.); #48593=EDGE_CURVE('',#38711,#38713,#33482,.T.); #48594=EDGE_CURVE('',#38560,#38715,#30131,.T.); #48595=EDGE_CURVE('',#38715,#38714,#7892,.T.); #48596=EDGE_CURVE('',#38713,#38560,#7893,.T.); #48597=EDGE_CURVE('',#38559,#38716,#30132,.T.); #48598=EDGE_CURVE('',#38716,#38715,#30133,.T.); #48599=EDGE_CURVE('',#38562,#38717,#30134,.T.); #48600=EDGE_CURVE('',#38717,#38716,#7894,.T.); #48601=EDGE_CURVE('',#38561,#38718,#30135,.T.); #48602=EDGE_CURVE('',#38718,#38717,#33483,.T.); #48603=EDGE_CURVE('',#38563,#38719,#30136,.T.); #48604=EDGE_CURVE('',#38719,#38718,#33484,.T.); #48605=EDGE_CURVE('',#38565,#38468,#30137,.T.); #48606=EDGE_CURVE('',#38468,#38719,#33485,.T.); #48607=EDGE_CURVE('',#38564,#38469,#30138,.T.); #48608=EDGE_CURVE('',#38566,#38470,#30139,.T.); #48609=EDGE_CURVE('',#38567,#38471,#30140,.T.); #48610=EDGE_CURVE('',#38567,#38705,#30141,.T.); #48611=EDGE_CURVE('',#38720,#38467,#33486,.T.); #48612=EDGE_CURVE('',#38721,#38720,#33487,.T.); #48613=EDGE_CURVE('',#38722,#38721,#33488,.T.); #48614=EDGE_CURVE('',#38723,#38722,#33489,.T.); #48615=EDGE_CURVE('',#38724,#38723,#30142,.T.); #48616=EDGE_CURVE('',#38476,#38724,#30143,.T.); #48617=EDGE_CURVE('',#38714,#38717,#30144,.T.); #48618=EDGE_CURVE('',#38549,#38711,#7895,.T.); #48619=EDGE_CURVE('',#38709,#38540,#7896,.T.); #48620=EDGE_CURVE('',#38541,#38707,#7897,.T.); #48621=EDGE_CURVE('',#38706,#38553,#7898,.T.); #48622=EDGE_CURVE('',#38554,#38705,#7899,.T.); #48623=EDGE_CURVE('',#38725,#38726,#33490,.T.); #48624=EDGE_CURVE('',#38726,#38727,#1077,.T.); #48625=EDGE_CURVE('',#38727,#38728,#33491,.T.); #48626=EDGE_CURVE('',#38728,#38725,#30145,.T.); #48627=EDGE_CURVE('',#38729,#38730,#33492,.T.); #48628=EDGE_CURVE('',#38730,#38725,#7900,.T.); #48629=EDGE_CURVE('',#38728,#38729,#7901,.T.); #48630=EDGE_CURVE('',#38681,#38731,#30146,.T.); #48631=EDGE_CURVE('',#38731,#38732,#33493,.T.); #48632=EDGE_CURVE('',#38732,#38682,#30147,.T.); #48633=EDGE_CURVE('',#38732,#38733,#30148,.T.); #48634=EDGE_CURVE('',#38733,#38682,#30149,.T.); #48635=EDGE_CURVE('',#38734,#38735,#30150,.T.); #48636=EDGE_CURVE('',#38735,#38736,#33494,.T.); #48637=EDGE_CURVE('',#38736,#38680,#30151,.T.); #48638=EDGE_CURVE('',#38680,#38734,#33495,.T.); #48639=EDGE_CURVE('',#38736,#38737,#33496,.T.); #48640=EDGE_CURVE('',#38737,#38681,#30152,.T.); #48641=EDGE_CURVE('',#38730,#38738,#33497,.T.); #48642=EDGE_CURVE('',#38738,#38739,#33498,.T.); #48643=EDGE_CURVE('',#38739,#38740,#30153,.T.); #48644=EDGE_CURVE('',#38740,#38730,#33499,.T.); #48645=EDGE_CURVE('',#38739,#38741,#33500,.T.); #48646=EDGE_CURVE('',#38741,#38734,#30154,.T.); #48647=EDGE_CURVE('',#38734,#38740,#33501,.T.); #48648=EDGE_CURVE('',#38741,#38735,#30155,.T.); #48649=EDGE_CURVE('',#38737,#38731,#30156,.T.); #48650=EDGE_CURVE('',#38729,#38738,#33502,.T.); #48651=EDGE_CURVE('',#38733,#38742,#7902,.T.); #48652=EDGE_CURVE('',#38683,#38742,#30157,.T.); #48653=EDGE_CURVE('',#38732,#38743,#33503,.T.); #48654=EDGE_CURVE('',#38743,#38744,#30158,.T.); #48655=EDGE_CURVE('',#38744,#38733,#33504,.T.); #48656=EDGE_CURVE('',#38737,#38735,#33505,.T.); #48657=EDGE_CURVE('',#38741,#38731,#33506,.T.); #48658=EDGE_CURVE('',#38745,#38738,#33507,.T.); #48659=EDGE_CURVE('',#38743,#38745,#33508,.T.); #48660=EDGE_CURVE('',#38746,#38636,#33509,.T.); #48661=EDGE_CURVE('',#38635,#38747,#30159,.T.); #48662=EDGE_CURVE('',#38747,#38746,#33510,.T.); #48663=EDGE_CURVE('',#38748,#38749,#30160,.T.); #48664=EDGE_CURVE('',#38749,#38747,#33511,.T.); #48665=EDGE_CURVE('',#38635,#38748,#33512,.T.); #48666=EDGE_CURVE('',#38750,#38751,#30161,.T.); #48667=EDGE_CURVE('',#38751,#38752,#33513,.T.); #48668=EDGE_CURVE('',#38752,#38748,#30162,.T.); #48669=EDGE_CURVE('',#38748,#38750,#33514,.T.); #48670=EDGE_CURVE('',#38753,#38754,#30163,.T.); #48671=EDGE_CURVE('',#38754,#38751,#33515,.T.); #48672=EDGE_CURVE('',#38750,#38753,#33516,.T.); #48673=EDGE_CURVE('',#38752,#38749,#30164,.T.); #48674=EDGE_CURVE('',#38755,#38756,#30165,.T.); #48675=EDGE_CURVE('',#38756,#38757,#33517,.T.); #48676=EDGE_CURVE('',#38757,#38753,#30166,.T.); #48677=EDGE_CURVE('',#38753,#38755,#33518,.T.); #48678=EDGE_CURVE('',#38755,#38758,#30167,.T.); #48679=EDGE_CURVE('',#38758,#38756,#30168,.T.); #48680=EDGE_CURVE('',#38757,#38754,#30169,.T.); #48681=EDGE_CURVE('',#38637,#38759,#30170,.T.); #48682=EDGE_CURVE('',#38759,#38638,#33519,.T.); #48683=EDGE_CURVE('',#38636,#38760,#33520,.T.); #48684=EDGE_CURVE('',#38760,#38759,#7903,.T.); #48685=EDGE_CURVE('',#38746,#38760,#33521,.T.); #48686=EDGE_CURVE('',#38758,#38761,#33522,.T.); #48687=EDGE_CURVE('',#38761,#38762,#30171,.T.); #48688=EDGE_CURVE('',#38762,#38756,#33523,.T.); #48689=EDGE_CURVE('',#38755,#38763,#7904,.T.); #48690=EDGE_CURVE('',#38763,#38764,#30172,.T.); #48691=EDGE_CURVE('',#38764,#38758,#7905,.T.); #48692=EDGE_CURVE('',#38765,#38613,#7906,.T.); #48693=EDGE_CURVE('',#38766,#38765,#7907,.T.); #48694=EDGE_CURVE('',#38763,#38766,#33524,.T.); #48695=EDGE_CURVE('',#38750,#38611,#7908,.T.); #48696=EDGE_CURVE('',#38759,#38767,#1078,.T.); #48697=EDGE_CURVE('',#38767,#38768,#30173,.T.); #48698=EDGE_CURVE('',#38768,#38638,#1079,.T.); #48699=EDGE_CURVE('',#38769,#38770,#30174,.T.); #48700=EDGE_CURVE('',#38770,#38771,#33525,.T.); #48701=EDGE_CURVE('',#38771,#38772,#30175,.T.); #48702=EDGE_CURVE('',#38772,#38769,#33526,.T.); #48703=EDGE_CURVE('',#38771,#38773,#33527,.T.); #48704=EDGE_CURVE('',#38773,#38774,#30176,.T.); #48705=EDGE_CURVE('',#38774,#38772,#33528,.T.); #48706=EDGE_CURVE('',#38746,#38774,#33529,.T.); #48707=EDGE_CURVE('',#38773,#38760,#33530,.T.); #48708=EDGE_CURVE('',#38775,#38776,#30177,.T.); #48709=EDGE_CURVE('',#38776,#38770,#33531,.T.); #48710=EDGE_CURVE('',#38769,#38775,#33532,.T.); #48711=EDGE_CURVE('',#38777,#38778,#30178,.T.); #48712=EDGE_CURVE('',#38778,#38779,#33533,.T.); #48713=EDGE_CURVE('',#38779,#38777,#33534,.T.); #48714=EDGE_CURVE('',#38780,#38781,#30179,.T.); #48715=EDGE_CURVE('',#38781,#38778,#33535,.T.); #48716=EDGE_CURVE('',#38777,#38780,#33536,.T.); #48717=EDGE_CURVE('',#38780,#38776,#30180,.T.); #48718=EDGE_CURVE('',#38775,#38781,#30181,.T.); #48719=EDGE_CURVE('',#38767,#38771,#7909,.T.); #48720=EDGE_CURVE('',#38776,#38768,#7910,.T.); #48721=EDGE_CURVE('',#38782,#38780,#33537,.T.); #48722=EDGE_CURVE('',#38783,#38782,#7911,.T.); #48723=EDGE_CURVE('',#38768,#38783,#7912,.T.); #48724=EDGE_CURVE('',#38779,#38628,#33538,.T.); #48725=EDGE_CURVE('',#38782,#38628,#30182,.T.); #48726=EDGE_CURVE('',#38778,#38784,#33539,.T.); #48727=EDGE_CURVE('',#38784,#38785,#30183,.T.); #48728=EDGE_CURVE('',#38785,#38786,#33540,.T.); #48729=EDGE_CURVE('',#38779,#38786,#33541,.T.); #48730=EDGE_CURVE('',#38787,#38788,#30184,.T.); #48731=EDGE_CURVE('',#38788,#38789,#33542,.T.); #48732=EDGE_CURVE('',#38789,#38787,#33543,.T.); #48733=EDGE_CURVE('',#38790,#38791,#30185,.T.); #48734=EDGE_CURVE('',#38791,#38788,#33544,.T.); #48735=EDGE_CURVE('',#38787,#38790,#33545,.T.); #48736=EDGE_CURVE('',#38792,#38793,#30186,.T.); #48737=EDGE_CURVE('',#38793,#38794,#33546,.T.); #48738=EDGE_CURVE('',#38794,#38795,#30187,.T.); #48739=EDGE_CURVE('',#38795,#38792,#33547,.T.); #48740=EDGE_CURVE('',#38745,#38796,#30188,.T.); #48741=EDGE_CURVE('',#38796,#38793,#33548,.T.); #48742=EDGE_CURVE('',#38792,#38745,#33549,.T.); #48743=EDGE_CURVE('',#38729,#38796,#33550,.T.); #48744=EDGE_CURVE('',#38797,#38798,#30189,.T.); #48745=EDGE_CURVE('',#38798,#38795,#33551,.T.); #48746=EDGE_CURVE('',#38794,#38797,#33552,.T.); #48747=EDGE_CURVE('',#38797,#38791,#30190,.T.); #48748=EDGE_CURVE('',#38790,#38798,#30191,.T.); #48749=EDGE_CURVE('',#38795,#38799,#33553,.T.); #48750=EDGE_CURVE('',#38800,#38787,#33554,.T.); #48751=EDGE_CURVE('',#38801,#38800,#33555,.T.); #48752=EDGE_CURVE('',#38801,#38802,#7913,.T.); #48753=EDGE_CURVE('',#38803,#38802,#33556,.T.); #48754=EDGE_CURVE('',#38804,#38803,#33557,.T.); #48755=EDGE_CURVE('',#38805,#38804,#7914,.T.); #48756=EDGE_CURVE('',#38806,#38805,#33558,.T.); #48757=EDGE_CURVE('',#38807,#38806,#33559,.T.); #48758=EDGE_CURVE('',#38808,#38807,#33560,.T.); #48759=EDGE_CURVE('',#38809,#38808,#30192,.T.); #48760=EDGE_CURVE('',#38810,#38809,#33561,.T.); #48761=EDGE_CURVE('',#38799,#38810,#33562,.T.); #48762=EDGE_CURVE('',#38811,#38789,#33563,.T.); #48763=EDGE_CURVE('',#38811,#38812,#33564,.T.); #48764=EDGE_CURVE('',#38812,#38800,#30193,.T.); #48765=EDGE_CURVE('',#38791,#38813,#33565,.T.); #48766=EDGE_CURVE('',#38814,#38813,#30194,.T.); #48767=EDGE_CURVE('',#38814,#38789,#33566,.T.); #48768=EDGE_CURVE('',#38815,#38797,#7915,.T.); #48769=EDGE_CURVE('',#38816,#38815,#7916,.T.); #48770=EDGE_CURVE('',#38813,#38816,#7917,.T.); #48771=EDGE_CURVE('',#38793,#38817,#7918,.T.); #48772=EDGE_CURVE('',#38817,#38815,#30195,.T.); #48773=EDGE_CURVE('',#38818,#38819,#30196,.T.); #48774=EDGE_CURVE('',#38819,#38762,#33567,.T.); #48775=EDGE_CURVE('',#38761,#38818,#33568,.T.); #48776=EDGE_CURVE('',#38820,#38821,#30197,.T.); #48777=EDGE_CURVE('',#38821,#38822,#33569,.T.); #48778=EDGE_CURVE('',#38822,#38820,#33570,.T.); #48779=EDGE_CURVE('',#38820,#38819,#33571,.T.); #48780=EDGE_CURVE('',#38818,#38821,#33572,.T.); #48781=EDGE_CURVE('',#38774,#38762,#33573,.T.); #48782=EDGE_CURVE('',#38757,#38749,#33574,.T.); #48783=EDGE_CURVE('',#38819,#38769,#33575,.T.); #48784=EDGE_CURVE('',#38823,#38822,#30198,.T.); #48785=EDGE_CURVE('',#38824,#38823,#33576,.T.); #48786=EDGE_CURVE('',#38825,#38824,#33577,.T.); #48787=EDGE_CURVE('',#38826,#38825,#33578,.T.); #48788=EDGE_CURVE('',#38826,#38827,#7919,.T.); #48789=EDGE_CURVE('',#38828,#38827,#33579,.T.); #48790=EDGE_CURVE('',#38829,#38828,#33580,.T.); #48791=EDGE_CURVE('',#38830,#38829,#7920,.T.); #48792=EDGE_CURVE('',#38784,#38830,#33581,.T.); #48793=EDGE_CURVE('',#38766,#38831,#1080,.T.); #48794=EDGE_CURVE('',#38831,#38764,#33582,.T.); #48795=EDGE_CURVE('',#38831,#38832,#1081,.T.); #48796=EDGE_CURVE('',#38833,#38832,#30199,.T.); #48797=EDGE_CURVE('',#38833,#38764,#1082,.T.); #48798=EDGE_CURVE('',#38809,#38834,#33583,.T.); #48799=EDGE_CURVE('',#38834,#38810,#30200,.T.); #48800=EDGE_CURVE('',#38743,#38799,#33584,.T.); #48801=EDGE_CURVE('',#38799,#38835,#30201,.T.); #48802=EDGE_CURVE('',#38835,#38744,#33585,.T.); #48803=EDGE_CURVE('',#38834,#38835,#33586,.T.); #48804=EDGE_CURVE('',#38834,#38836,#7921,.T.); #48805=EDGE_CURVE('',#38837,#38836,#30202,.T.); #48806=EDGE_CURVE('',#38837,#38835,#7922,.T.); #48807=EDGE_CURVE('',#38742,#38684,#33587,.T.); #48808=EDGE_CURVE('',#38742,#38837,#1083,.T.); #48809=EDGE_CURVE('',#38836,#38684,#1084,.T.); #48810=EDGE_CURVE('',#38838,#38623,#30203,.T.); #48811=EDGE_CURVE('',#38622,#38839,#30204,.T.); #48812=EDGE_CURVE('',#38839,#38838,#7923,.T.); #48813=EDGE_CURVE('',#38840,#35747,#30205,.T.); #48814=EDGE_CURVE('',#35747,#38841,#1085,.T.); #48815=EDGE_CURVE('',#38841,#38840,#33588,.T.); #48816=EDGE_CURVE('',#38842,#38843,#30206,.T.); #48817=EDGE_CURVE('',#38843,#38844,#7924,.T.); #48818=EDGE_CURVE('',#38844,#38845,#30207,.T.); #48819=EDGE_CURVE('',#38845,#38842,#7925,.T.); #48820=EDGE_CURVE('',#38842,#35747,#1086,.T.); #48821=EDGE_CURVE('',#38840,#38843,#1087,.T.); #48822=EDGE_CURVE('',#38846,#38847,#30208,.T.); #48823=EDGE_CURVE('',#38847,#38848,#33589,.T.); #48824=EDGE_CURVE('',#38848,#38844,#30209,.T.); #48825=EDGE_CURVE('',#38844,#38846,#33590,.T.); #48826=EDGE_CURVE('',#38618,#38849,#30210,.T.); #48827=EDGE_CURVE('',#38849,#38847,#33591,.T.); #48828=EDGE_CURVE('',#38846,#38618,#33592,.T.); #48829=EDGE_CURVE('',#38617,#38850,#30211,.T.); #48830=EDGE_CURVE('',#38850,#38849,#33593,.T.); #48831=EDGE_CURVE('',#38624,#38851,#30212,.T.); #48832=EDGE_CURVE('',#38851,#38850,#33594,.T.); #48833=EDGE_CURVE('',#38848,#38845,#30213,.T.); #48834=EDGE_CURVE('',#38623,#38852,#30214,.T.); #48835=EDGE_CURVE('',#38852,#38853,#33595,.T.); #48836=EDGE_CURVE('',#38853,#38624,#30215,.T.); #48837=EDGE_CURVE('',#38853,#38851,#30216,.T.); #48838=EDGE_CURVE('',#38838,#38852,#30217,.T.); #48839=EDGE_CURVE('',#38620,#35753,#1088,.T.); #48840=EDGE_CURVE('',#35753,#38621,#30218,.T.); #48841=EDGE_CURVE('',#35753,#38839,#1089,.T.); #48842=EDGE_CURVE('',#38854,#38845,#33596,.T.); #48843=EDGE_CURVE('',#38855,#38854,#33597,.T.); #48844=EDGE_CURVE('',#38842,#38855,#7926,.T.); #48845=EDGE_CURVE('',#38848,#38856,#33598,.T.); #48846=EDGE_CURVE('',#38856,#38854,#30219,.T.); #48847=EDGE_CURVE('',#38847,#38857,#30220,.T.); #48848=EDGE_CURVE('',#38856,#38857,#30221,.T.); #48849=EDGE_CURVE('',#38858,#38851,#33599,.T.); #48850=EDGE_CURVE('',#38859,#38858,#33600,.T.); #48851=EDGE_CURVE('',#38857,#38859,#30222,.T.); #48852=EDGE_CURVE('',#38853,#38860,#33601,.T.); #48853=EDGE_CURVE('',#38858,#38860,#30223,.T.); #48854=EDGE_CURVE('',#38860,#38852,#33602,.T.); #48855=EDGE_CURVE('',#38838,#38861,#33603,.T.); #48856=EDGE_CURVE('',#38861,#38860,#30224,.T.); #48857=EDGE_CURVE('',#38862,#38839,#7927,.T.); #48858=EDGE_CURVE('',#38863,#38862,#33604,.T.); #48859=EDGE_CURVE('',#38861,#38863,#33605,.T.); #48860=EDGE_CURVE('',#38862,#35752,#30225,.T.); #48861=EDGE_CURVE('',#38864,#38865,#30226,.T.); #48862=EDGE_CURVE('',#38865,#38866,#33606,.T.); #48863=EDGE_CURVE('',#38866,#38867,#30227,.T.); #48864=EDGE_CURVE('',#38867,#38864,#33607,.T.); #48865=EDGE_CURVE('',#38868,#35776,#30228,.T.); #48866=EDGE_CURVE('',#35776,#38869,#1090,.T.); #48867=EDGE_CURVE('',#38869,#38868,#33608,.T.); #48868=EDGE_CURVE('',#38870,#38871,#30229,.T.); #48869=EDGE_CURVE('',#38871,#38867,#7928,.T.); #48870=EDGE_CURVE('',#38867,#38872,#30230,.T.); #48871=EDGE_CURVE('',#38872,#38870,#7929,.T.); #48872=EDGE_CURVE('',#38870,#35776,#1091,.T.); #48873=EDGE_CURVE('',#38868,#38871,#1092,.T.); #48874=EDGE_CURVE('',#38866,#38872,#30231,.T.); #48875=EDGE_CURVE('',#38660,#38873,#30232,.T.); #48876=EDGE_CURVE('',#38873,#38874,#33609,.T.); #48877=EDGE_CURVE('',#38874,#38864,#30233,.T.); #48878=EDGE_CURVE('',#38864,#38660,#33610,.T.); #48879=EDGE_CURVE('',#38659,#38875,#30234,.T.); #48880=EDGE_CURVE('',#38875,#38873,#33611,.T.); #48881=EDGE_CURVE('',#38666,#38876,#30235,.T.); #48882=EDGE_CURVE('',#38876,#38875,#33612,.T.); #48883=EDGE_CURVE('',#38665,#38877,#30236,.T.); #48884=EDGE_CURVE('',#38877,#38876,#33613,.T.); #48885=EDGE_CURVE('',#38874,#38865,#30237,.T.); #48886=EDGE_CURVE('',#38878,#38665,#30238,.T.); #48887=EDGE_CURVE('',#38664,#38879,#30239,.T.); #48888=EDGE_CURVE('',#38879,#38878,#7930,.T.); #48889=EDGE_CURVE('',#38878,#38877,#30240,.T.); #48890=EDGE_CURVE('',#38662,#35782,#1093,.T.); #48891=EDGE_CURVE('',#35782,#38663,#30241,.T.); #48892=EDGE_CURVE('',#35782,#38879,#1094,.T.); #48893=EDGE_CURVE('',#38870,#38880,#7931,.T.); #48894=EDGE_CURVE('',#38880,#35777,#30242,.T.); #48895=EDGE_CURVE('',#38881,#38872,#33614,.T.); #48896=EDGE_CURVE('',#38882,#38881,#33615,.T.); #48897=EDGE_CURVE('',#38880,#38882,#33616,.T.); #48898=EDGE_CURVE('',#38866,#38883,#33617,.T.); #48899=EDGE_CURVE('',#38883,#38881,#30243,.T.); #48900=EDGE_CURVE('',#38883,#38865,#33618,.T.); #48901=EDGE_CURVE('',#38874,#38884,#33619,.T.); #48902=EDGE_CURVE('',#38883,#38884,#30244,.T.); #48903=EDGE_CURVE('',#38876,#38885,#30245,.T.); #48904=EDGE_CURVE('',#38886,#38885,#30246,.T.); #48905=EDGE_CURVE('',#38884,#38886,#33620,.T.); #48906=EDGE_CURVE('',#38887,#38877,#33621,.T.); #48907=EDGE_CURVE('',#38885,#38887,#30247,.T.); #48908=EDGE_CURVE('',#38878,#38888,#33622,.T.); #48909=EDGE_CURVE('',#38888,#38887,#30248,.T.); #48910=EDGE_CURVE('',#38889,#38879,#7932,.T.); #48911=EDGE_CURVE('',#38888,#38889,#33623,.T.); #48912=EDGE_CURVE('',#38889,#35781,#30249,.T.); #48913=EDGE_CURVE('',#38574,#38699,#7933,.T.); #48914=EDGE_CURVE('',#38698,#38569,#7934,.T.); #48915=EDGE_CURVE('',#38570,#38695,#7935,.T.); #48916=EDGE_CURVE('',#38693,#38587,#7936,.T.); #48917=EDGE_CURVE('',#38890,#38891,#30250,.T.); #48918=EDGE_CURVE('',#38891,#38892,#33624,.T.); #48919=EDGE_CURVE('',#38892,#38890,#33625,.T.); #48920=EDGE_CURVE('',#38893,#38894,#30251,.T.); #48921=EDGE_CURVE('',#38894,#38891,#33626,.T.); #48922=EDGE_CURVE('',#38890,#38893,#33627,.T.); #48923=EDGE_CURVE('',#38893,#35694,#33628,.T.); #48924=EDGE_CURVE('',#35694,#38895,#30252,.T.); #48925=EDGE_CURVE('',#38895,#38894,#33629,.T.); #48926=EDGE_CURVE('',#35693,#38896,#30253,.T.); #48927=EDGE_CURVE('',#38896,#38895,#7937,.T.); #48928=EDGE_CURVE('',#35692,#38897,#30254,.T.); #48929=EDGE_CURVE('',#38897,#38896,#33630,.T.); #48930=EDGE_CURVE('',#35691,#38898,#30255,.T.); #48931=EDGE_CURVE('',#38898,#38897,#30256,.T.); #48932=EDGE_CURVE('',#35690,#38899,#30257,.T.); #48933=EDGE_CURVE('',#38899,#38898,#33631,.T.); #48934=EDGE_CURVE('',#35688,#38900,#30258,.T.); #48935=EDGE_CURVE('',#38900,#38899,#7938,.T.); #48936=EDGE_CURVE('',#35689,#38901,#33632,.F.); #48937=EDGE_CURVE('',#38901,#38900,#33633,.T.); #48938=EDGE_CURVE('',#38893,#38902,#33634,.T.); #48939=EDGE_CURVE('',#35695,#38902,#33635,.T.); #48940=EDGE_CURVE('',#38892,#38903,#30259,.T.); #48941=EDGE_CURVE('',#38904,#38903,#33636,.T.); #48942=EDGE_CURVE('',#38902,#38904,#33637,.T.); #48943=EDGE_CURVE('',#38891,#38905,#33638,.T.); #48944=EDGE_CURVE('',#38906,#38905,#30260,.T.); #48945=EDGE_CURVE('',#38906,#38892,#33639,.T.); #48946=EDGE_CURVE('',#38907,#35739,#33640,.F.); #48947=EDGE_CURVE('',#35738,#38908,#30261,.T.); #48948=EDGE_CURVE('',#38908,#38907,#33641,.T.); #48949=EDGE_CURVE('',#35737,#38909,#30262,.T.); #48950=EDGE_CURVE('',#38909,#38908,#7939,.T.); #48951=EDGE_CURVE('',#35736,#38910,#30263,.T.); #48952=EDGE_CURVE('',#38910,#38909,#33642,.T.); #48953=EDGE_CURVE('',#35735,#38911,#30264,.T.); #48954=EDGE_CURVE('',#38911,#38910,#30265,.T.); #48955=EDGE_CURVE('',#35734,#38912,#30266,.T.); #48956=EDGE_CURVE('',#38912,#38911,#33643,.T.); #48957=EDGE_CURVE('',#35733,#38913,#30267,.T.); #48958=EDGE_CURVE('',#38913,#38912,#7940,.T.); #48959=EDGE_CURVE('',#35733,#38914,#33644,.T.); #48960=EDGE_CURVE('',#38914,#38915,#30268,.T.); #48961=EDGE_CURVE('',#38915,#38913,#33645,.T.); #48962=EDGE_CURVE('',#38914,#38916,#33646,.T.); #48963=EDGE_CURVE('',#38916,#38917,#30269,.T.); #48964=EDGE_CURVE('',#38917,#38915,#33647,.T.); #48965=EDGE_CURVE('',#38916,#38918,#33648,.T.); #48966=EDGE_CURVE('',#38918,#38917,#33649,.T.); #48967=EDGE_CURVE('',#38919,#38914,#33650,.T.); #48968=EDGE_CURVE('',#38920,#38919,#33651,.T.); #48969=EDGE_CURVE('',#38921,#38920,#33652,.T.); #48970=EDGE_CURVE('',#38921,#38918,#30270,.T.); #48971=EDGE_CURVE('',#38919,#35732,#33653,.T.); #48972=EDGE_CURVE('',#38922,#38923,#30271,.T.); #48973=EDGE_CURVE('',#38923,#38445,#33654,.T.); #48974=EDGE_CURVE('',#38445,#38922,#33655,.T.); #48975=EDGE_CURVE('',#38924,#38925,#30272,.T.); #48976=EDGE_CURVE('',#38925,#38923,#33656,.T.); #48977=EDGE_CURVE('',#38922,#38924,#33657,.T.); #48978=EDGE_CURVE('',#38926,#38927,#30273,.T.); #48979=EDGE_CURVE('',#38927,#38928,#33658,.T.); #48980=EDGE_CURVE('',#38928,#38929,#30274,.T.); #48981=EDGE_CURVE('',#38929,#38926,#33659,.T.); #48982=EDGE_CURVE('',#38926,#35719,#33660,.T.); #48983=EDGE_CURVE('',#35719,#38930,#30275,.T.); #48984=EDGE_CURVE('',#38930,#38927,#33661,.T.); #48985=EDGE_CURVE('',#35718,#38931,#30276,.T.); #48986=EDGE_CURVE('',#38931,#38930,#7941,.T.); #48987=EDGE_CURVE('',#35717,#38932,#30277,.T.); #48988=EDGE_CURVE('',#38932,#38931,#33662,.T.); #48989=EDGE_CURVE('',#35716,#38933,#30278,.T.); #48990=EDGE_CURVE('',#38933,#38932,#30279,.T.); #48991=EDGE_CURVE('',#35715,#38934,#30280,.T.); #48992=EDGE_CURVE('',#38934,#38933,#33663,.T.); #48993=EDGE_CURVE('',#35714,#38935,#30281,.T.); #48994=EDGE_CURVE('',#38935,#38934,#7942,.T.); #48995=EDGE_CURVE('',#35714,#38936,#33664,.T.); #48996=EDGE_CURVE('',#38936,#38937,#30282,.T.); #48997=EDGE_CURVE('',#38937,#38935,#33665,.T.); #48998=EDGE_CURVE('',#38936,#38938,#33666,.T.); #48999=EDGE_CURVE('',#38938,#38939,#30283,.T.); #49000=EDGE_CURVE('',#38939,#38937,#33667,.T.); #49001=EDGE_CURVE('',#38928,#38925,#33668,.T.); #49002=EDGE_CURVE('',#38924,#38929,#33669,.T.); #49003=EDGE_CURVE('',#38940,#38941,#30284,.T.); #49004=EDGE_CURVE('',#38941,#38942,#33670,.T.); #49005=EDGE_CURVE('',#38942,#38943,#30285,.T.); #49006=EDGE_CURVE('',#38943,#38940,#33671,.T.); #49007=EDGE_CURVE('',#38942,#38448,#33672,.T.); #49008=EDGE_CURVE('',#38448,#38943,#33673,.T.); #49009=EDGE_CURVE('',#38940,#38939,#33674,.T.); #49010=EDGE_CURVE('',#38938,#38941,#33675,.T.); #49011=EDGE_CURVE('',#38928,#38944,#33676,.T.); #49012=EDGE_CURVE('',#38944,#38945,#30286,.T.); #49013=EDGE_CURVE('',#38945,#38946,#33677,.T.); #49014=EDGE_CURVE('',#38946,#38925,#30287,.T.); #49015=EDGE_CURVE('',#38947,#38931,#1095,.T.); #49016=EDGE_CURVE('',#38948,#38947,#33678,.T.); #49017=EDGE_CURVE('',#38949,#38948,#7943,.T.); #49018=EDGE_CURVE('',#38944,#38949,#33679,.T.); #49019=EDGE_CURVE('',#38932,#38950,#1096,.T.); #49020=EDGE_CURVE('',#38947,#38950,#30288,.T.); #49021=EDGE_CURVE('',#38951,#38933,#1097,.T.); #49022=EDGE_CURVE('',#38950,#38951,#30289,.T.); #49023=EDGE_CURVE('',#38934,#38952,#1098,.T.); #49024=EDGE_CURVE('',#38951,#38952,#30290,.T.); #49025=EDGE_CURVE('',#38953,#38939,#33680,.T.); #49026=EDGE_CURVE('',#38954,#38953,#33681,.T.); #49027=EDGE_CURVE('',#38955,#38954,#7944,.T.); #49028=EDGE_CURVE('',#38952,#38955,#33682,.T.); #49029=EDGE_CURVE('',#38940,#38956,#30291,.T.); #49030=EDGE_CURVE('',#38956,#38957,#33683,.T.); #49031=EDGE_CURVE('',#38953,#38957,#30292,.T.); #49032=EDGE_CURVE('',#38943,#38447,#30293,.T.); #49033=EDGE_CURVE('',#38447,#38956,#33684,.T.); #49034=EDGE_CURVE('',#38958,#38959,#30294,.T.); #49035=EDGE_CURVE('',#38959,#38451,#33685,.T.); #49036=EDGE_CURVE('',#38451,#38958,#33686,.T.); #49037=EDGE_CURVE('',#38960,#38961,#30295,.T.); #49038=EDGE_CURVE('',#38961,#38959,#33687,.T.); #49039=EDGE_CURVE('',#38958,#38960,#33688,.T.); #49040=EDGE_CURVE('',#38962,#38963,#30296,.T.); #49041=EDGE_CURVE('',#38963,#38964,#33689,.T.); #49042=EDGE_CURVE('',#38964,#38965,#30297,.T.); #49043=EDGE_CURVE('',#38965,#38962,#33690,.T.); #49044=EDGE_CURVE('',#38962,#35713,#33691,.T.); #49045=EDGE_CURVE('',#35713,#38966,#30298,.T.); #49046=EDGE_CURVE('',#38966,#38963,#33692,.T.); #49047=EDGE_CURVE('',#35712,#38967,#30299,.T.); #49048=EDGE_CURVE('',#38967,#38966,#7945,.T.); #49049=EDGE_CURVE('',#35711,#38968,#30300,.T.); #49050=EDGE_CURVE('',#38968,#38967,#33693,.T.); #49051=EDGE_CURVE('',#35710,#38969,#30301,.T.); #49052=EDGE_CURVE('',#38969,#38968,#30302,.T.); #49053=EDGE_CURVE('',#35709,#38970,#30303,.T.); #49054=EDGE_CURVE('',#38970,#38969,#33694,.T.); #49055=EDGE_CURVE('',#35708,#38971,#30304,.T.); #49056=EDGE_CURVE('',#38971,#38970,#7946,.T.); #49057=EDGE_CURVE('',#35708,#38972,#33695,.T.); #49058=EDGE_CURVE('',#38972,#38973,#30305,.T.); #49059=EDGE_CURVE('',#38973,#38971,#33696,.T.); #49060=EDGE_CURVE('',#38972,#38974,#33697,.T.); #49061=EDGE_CURVE('',#38974,#38975,#30306,.T.); #49062=EDGE_CURVE('',#38975,#38973,#33698,.T.); #49063=EDGE_CURVE('',#38964,#38961,#33699,.T.); #49064=EDGE_CURVE('',#38960,#38965,#33700,.T.); #49065=EDGE_CURVE('',#38976,#38977,#30307,.T.); #49066=EDGE_CURVE('',#38977,#38978,#33701,.T.); #49067=EDGE_CURVE('',#38978,#38979,#30308,.T.); #49068=EDGE_CURVE('',#38979,#38976,#33702,.T.); #49069=EDGE_CURVE('',#38978,#38454,#33703,.T.); #49070=EDGE_CURVE('',#38454,#38979,#33704,.T.); #49071=EDGE_CURVE('',#38976,#38975,#33705,.T.); #49072=EDGE_CURVE('',#38974,#38977,#33706,.T.); #49073=EDGE_CURVE('',#38964,#38980,#33707,.T.); #49074=EDGE_CURVE('',#38980,#38981,#30309,.T.); #49075=EDGE_CURVE('',#38981,#38982,#33708,.T.); #49076=EDGE_CURVE('',#38982,#38961,#30310,.T.); #49077=EDGE_CURVE('',#38983,#38967,#1099,.T.); #49078=EDGE_CURVE('',#38984,#38983,#33709,.T.); #49079=EDGE_CURVE('',#38985,#38984,#7947,.T.); #49080=EDGE_CURVE('',#38980,#38985,#33710,.T.); #49081=EDGE_CURVE('',#38968,#38986,#1100,.T.); #49082=EDGE_CURVE('',#38983,#38986,#30311,.T.); #49083=EDGE_CURVE('',#38987,#38969,#1101,.T.); #49084=EDGE_CURVE('',#38986,#38987,#30312,.T.); #49085=EDGE_CURVE('',#38970,#38988,#1102,.T.); #49086=EDGE_CURVE('',#38987,#38988,#30313,.T.); #49087=EDGE_CURVE('',#38989,#38975,#33711,.T.); #49088=EDGE_CURVE('',#38990,#38989,#33712,.T.); #49089=EDGE_CURVE('',#38991,#38990,#7948,.T.); #49090=EDGE_CURVE('',#38988,#38991,#33713,.T.); #49091=EDGE_CURVE('',#38976,#38992,#30314,.T.); #49092=EDGE_CURVE('',#38992,#38993,#33714,.T.); #49093=EDGE_CURVE('',#38989,#38993,#30315,.T.); #49094=EDGE_CURVE('',#38979,#38453,#30316,.T.); #49095=EDGE_CURVE('',#38453,#38992,#33715,.T.); #49096=EDGE_CURVE('',#38641,#38994,#7949,.T.); #49097=EDGE_CURVE('',#38994,#38995,#30317,.T.); #49098=EDGE_CURVE('',#38995,#38658,#7950,.T.); #49099=EDGE_CURVE('',#38996,#38816,#30318,.T.); #49100=EDGE_CURVE('',#38816,#38995,#1103,.T.); #49101=EDGE_CURVE('',#38994,#38996,#1104,.T.); #49102=EDGE_CURVE('',#38996,#38814,#7951,.T.); #49103=EDGE_CURVE('',#38814,#38997,#33716,.T.); #49104=EDGE_CURVE('',#38811,#38997,#30319,.T.); #49105=EDGE_CURVE('',#38998,#38640,#7952,.T.); #49106=EDGE_CURVE('',#38999,#38998,#1105,.T.); #49107=EDGE_CURVE('',#39000,#38999,#7953,.T.); #49108=EDGE_CURVE('',#39001,#39000,#33717,.T.); #49109=EDGE_CURVE('',#39002,#39001,#33718,.T.); #49110=EDGE_CURVE('',#38997,#39002,#33719,.T.); #49111=EDGE_CURVE('',#38727,#38815,#1106,.T.); #49112=EDGE_CURVE('',#38817,#38728,#1107,.T.); #49113=EDGE_CURVE('',#38783,#38627,#30320,.T.); #49114=EDGE_CURVE('',#38634,#38783,#1108,.T.); #49115=EDGE_CURVE('',#38818,#38833,#7954,.T.); #49116=EDGE_CURVE('',#38832,#38821,#7955,.T.); #49117=EDGE_CURVE('',#38645,#39003,#7956,.T.); #49118=EDGE_CURVE('',#39003,#38998,#30321,.T.); #49119=EDGE_CURVE('',#35775,#38999,#30322,.T.); #49120=EDGE_CURVE('',#39003,#35775,#1109,.T.); #49121=EDGE_CURVE('',#35774,#39000,#30323,.T.); #49122=EDGE_CURVE('',#35773,#39004,#30324,.T.); #49123=EDGE_CURVE('',#39004,#39000,#33720,.T.); #49124=EDGE_CURVE('',#35772,#39005,#30325,.T.); #49125=EDGE_CURVE('',#39005,#39004,#30326,.T.); #49126=EDGE_CURVE('',#35771,#39006,#30327,.T.); #49127=EDGE_CURVE('',#39006,#39005,#33721,.T.); #49128=EDGE_CURVE('',#35770,#39007,#30328,.T.); #49129=EDGE_CURVE('',#39007,#39006,#7957,.T.); #49130=EDGE_CURVE('',#35769,#39008,#33722,.F.); #49131=EDGE_CURVE('',#39008,#39007,#33723,.T.); #49132=EDGE_CURVE('',#39003,#38869,#7958,.T.); #49133=EDGE_CURVE('',#38880,#39009,#33724,.T.); #49134=EDGE_CURVE('',#39009,#35778,#30329,.T.); #49135=EDGE_CURVE('',#39010,#35779,#30330,.T.); #49136=EDGE_CURVE('',#39009,#39010,#30331,.T.); #49137=EDGE_CURVE('',#39011,#35780,#30332,.T.); #49138=EDGE_CURVE('',#39010,#39011,#30333,.T.); #49139=EDGE_CURVE('',#39011,#38889,#33725,.T.); #49140=EDGE_CURVE('',#39011,#39012,#33726,.T.); #49141=EDGE_CURVE('',#38888,#39012,#30334,.T.); #49142=EDGE_CURVE('',#39010,#39013,#7959,.T.); #49143=EDGE_CURVE('',#39012,#39013,#30335,.T.); #49144=EDGE_CURVE('',#39014,#39009,#33727,.T.); #49145=EDGE_CURVE('',#39013,#39014,#30336,.T.); #49146=EDGE_CURVE('',#39014,#38882,#30337,.T.); #49147=EDGE_CURVE('',#39015,#38669,#30338,.T.); #49148=EDGE_CURVE('',#38679,#39015,#1110,.T.); #49149=EDGE_CURVE('',#39016,#38670,#30339,.T.); #49150=EDGE_CURVE('',#39015,#39016,#7960,.T.); #49151=EDGE_CURVE('',#39016,#39017,#33728,.T.); #49152=EDGE_CURVE('',#39017,#38671,#30340,.T.); #49153=EDGE_CURVE('',#39017,#38808,#33729,.T.); #49154=EDGE_CURVE('',#38808,#38671,#33730,.T.); #49155=EDGE_CURVE('',#39016,#38834,#30341,.T.); #49156=EDGE_CURVE('',#38836,#39015,#7961,.T.); #49157=EDGE_CURVE('',#39018,#35789,#33731,.F.); #49158=EDGE_CURVE('',#35788,#39019,#30342,.T.); #49159=EDGE_CURVE('',#39019,#39018,#33732,.T.); #49160=EDGE_CURVE('',#35787,#39020,#30343,.T.); #49161=EDGE_CURVE('',#39020,#39019,#7962,.T.); #49162=EDGE_CURVE('',#35786,#39021,#30344,.T.); #49163=EDGE_CURVE('',#39021,#39020,#33733,.T.); #49164=EDGE_CURVE('',#35785,#39022,#30345,.T.); #49165=EDGE_CURVE('',#39022,#39021,#30346,.T.); #49166=EDGE_CURVE('',#35784,#38677,#30347,.T.); #49167=EDGE_CURVE('',#38677,#39022,#33734,.T.); #49168=EDGE_CURVE('',#35783,#38678,#30348,.T.); #49169=EDGE_CURVE('',#35783,#38661,#1111,.T.); #49170=EDGE_CURVE('',#39023,#39024,#30349,.T.); #49171=EDGE_CURVE('',#39024,#38463,#33735,.T.); #49172=EDGE_CURVE('',#38463,#39023,#33736,.T.); #49173=EDGE_CURVE('',#39025,#39026,#30350,.T.); #49174=EDGE_CURVE('',#39026,#39024,#33737,.T.); #49175=EDGE_CURVE('',#39023,#39025,#33738,.T.); #49176=EDGE_CURVE('',#39027,#39028,#30351,.T.); #49177=EDGE_CURVE('',#39028,#39029,#33739,.T.); #49178=EDGE_CURVE('',#39029,#39030,#30352,.T.); #49179=EDGE_CURVE('',#39030,#39027,#33740,.T.); #49180=EDGE_CURVE('',#39027,#35701,#33741,.T.); #49181=EDGE_CURVE('',#35701,#39031,#30353,.T.); #49182=EDGE_CURVE('',#39031,#39028,#33742,.T.); #49183=EDGE_CURVE('',#35700,#39032,#30354,.T.); #49184=EDGE_CURVE('',#39032,#39031,#7963,.T.); #49185=EDGE_CURVE('',#35699,#39033,#30355,.T.); #49186=EDGE_CURVE('',#39033,#39032,#33743,.T.); #49187=EDGE_CURVE('',#35698,#39034,#30356,.T.); #49188=EDGE_CURVE('',#39034,#39033,#30357,.T.); #49189=EDGE_CURVE('',#35697,#39035,#30358,.T.); #49190=EDGE_CURVE('',#39035,#39034,#30359,.T.); #49191=EDGE_CURVE('',#35696,#39036,#30360,.T.); #49192=EDGE_CURVE('',#39036,#39035,#33744,.T.); #49193=EDGE_CURVE('',#35695,#39037,#30361,.T.); #49194=EDGE_CURVE('',#39037,#39036,#7964,.T.); #49195=EDGE_CURVE('',#38902,#39038,#30362,.T.); #49196=EDGE_CURVE('',#39038,#39037,#33745,.T.); #49197=EDGE_CURVE('',#38904,#39039,#30363,.T.); #49198=EDGE_CURVE('',#39039,#39038,#33746,.T.); #49199=EDGE_CURVE('',#38903,#39039,#33747,.T.); #49200=EDGE_CURVE('',#39029,#39026,#33748,.T.); #49201=EDGE_CURVE('',#39025,#39030,#33749,.T.); #49202=EDGE_CURVE('',#39040,#39027,#33750,.T.); #49203=EDGE_CURVE('',#35702,#39040,#33751,.T.); #49204=EDGE_CURVE('',#39041,#38460,#33752,.T.); #49205=EDGE_CURVE('',#39042,#39041,#33753,.T.); #49206=EDGE_CURVE('',#39043,#39042,#33754,.T.); #49207=EDGE_CURVE('',#39040,#39043,#33755,.T.); #49208=EDGE_CURVE('',#38464,#39024,#30364,.T.); #49209=EDGE_CURVE('',#39044,#39026,#30365,.T.); #49210=EDGE_CURVE('',#39044,#38464,#33756,.T.); #49211=EDGE_CURVE('',#39029,#39045,#33757,.T.); #49212=EDGE_CURVE('',#39045,#39046,#30366,.T.); #49213=EDGE_CURVE('',#39046,#39044,#33758,.T.); #49214=EDGE_CURVE('',#39047,#39048,#30367,.T.); #49215=EDGE_CURVE('',#39048,#38457,#33759,.T.); #49216=EDGE_CURVE('',#38457,#39047,#33760,.T.); #49217=EDGE_CURVE('',#39049,#39050,#30368,.T.); #49218=EDGE_CURVE('',#39050,#39048,#33761,.T.); #49219=EDGE_CURVE('',#39047,#39049,#33762,.T.); #49220=EDGE_CURVE('',#39051,#39052,#30369,.T.); #49221=EDGE_CURVE('',#39052,#39053,#33763,.T.); #49222=EDGE_CURVE('',#39053,#39054,#30370,.T.); #49223=EDGE_CURVE('',#39054,#39051,#33764,.T.); #49224=EDGE_CURVE('',#39051,#35707,#33765,.T.); #49225=EDGE_CURVE('',#35707,#39055,#30371,.T.); #49226=EDGE_CURVE('',#39055,#39052,#33766,.T.); #49227=EDGE_CURVE('',#35706,#39056,#30372,.T.); #49228=EDGE_CURVE('',#39056,#39055,#7965,.T.); #49229=EDGE_CURVE('',#35705,#39057,#30373,.T.); #49230=EDGE_CURVE('',#39057,#39056,#33767,.T.); #49231=EDGE_CURVE('',#35704,#39058,#30374,.T.); #49232=EDGE_CURVE('',#39058,#39057,#30375,.T.); #49233=EDGE_CURVE('',#35703,#39059,#30376,.T.); #49234=EDGE_CURVE('',#39059,#39058,#33768,.T.); #49235=EDGE_CURVE('',#35702,#39060,#30377,.T.); #49236=EDGE_CURVE('',#39060,#39059,#7966,.T.); #49237=EDGE_CURVE('',#39040,#39061,#30378,.T.); #49238=EDGE_CURVE('',#39061,#39060,#33769,.T.); #49239=EDGE_CURVE('',#39043,#39062,#30379,.T.); #49240=EDGE_CURVE('',#39062,#39061,#33770,.T.); #49241=EDGE_CURVE('',#39053,#39050,#33771,.T.); #49242=EDGE_CURVE('',#39049,#39054,#33772,.T.); #49243=EDGE_CURVE('',#39063,#39042,#30380,.T.); #49244=EDGE_CURVE('',#39041,#39064,#30381,.T.); #49245=EDGE_CURVE('',#39064,#39063,#33773,.T.); #49246=EDGE_CURVE('',#38460,#39064,#33774,.T.); #49247=EDGE_CURVE('',#39063,#39062,#33775,.T.); #49248=EDGE_CURVE('',#39053,#39065,#33776,.T.); #49249=EDGE_CURVE('',#39065,#39066,#30382,.T.); #49250=EDGE_CURVE('',#39066,#39067,#33777,.T.); #49251=EDGE_CURVE('',#39067,#39050,#30383,.T.); #49252=EDGE_CURVE('',#39068,#39056,#33778,.T.); #49253=EDGE_CURVE('',#39069,#39068,#33779,.T.); #49254=EDGE_CURVE('',#39070,#39069,#7967,.T.); #49255=EDGE_CURVE('',#39065,#39070,#33780,.T.); #49256=EDGE_CURVE('',#39057,#39071,#33781,.T.); #49257=EDGE_CURVE('',#39068,#39071,#30384,.T.); #49258=EDGE_CURVE('',#39072,#39058,#33782,.T.); #49259=EDGE_CURVE('',#39071,#39072,#30385,.T.); #49260=EDGE_CURVE('',#39059,#39073,#33783,.T.); #49261=EDGE_CURVE('',#39072,#39073,#30386,.T.); #49262=EDGE_CURVE('',#39074,#39062,#33784,.T.); #49263=EDGE_CURVE('',#39075,#39074,#33785,.T.); #49264=EDGE_CURVE('',#39073,#39075,#33786,.T.); #49265=EDGE_CURVE('',#39063,#39076,#30387,.T.); #49266=EDGE_CURVE('',#39076,#39077,#33787,.T.); #49267=EDGE_CURVE('',#39074,#39077,#30388,.T.); #49268=EDGE_CURVE('',#39064,#38459,#30389,.T.); #49269=EDGE_CURVE('',#38459,#39076,#33788,.T.); #49270=EDGE_CURVE('',#39078,#39079,#30390,.T.); #49271=EDGE_CURVE('',#39079,#38439,#33789,.T.); #49272=EDGE_CURVE('',#38439,#39078,#33790,.T.); #49273=EDGE_CURVE('',#39080,#39081,#30391,.T.); #49274=EDGE_CURVE('',#39081,#39079,#33791,.T.); #49275=EDGE_CURVE('',#39078,#39080,#33792,.T.); #49276=EDGE_CURVE('',#39082,#39083,#30392,.T.); #49277=EDGE_CURVE('',#39083,#39084,#33793,.T.); #49278=EDGE_CURVE('',#39084,#39085,#30393,.T.); #49279=EDGE_CURVE('',#39085,#39082,#33794,.T.); #49280=EDGE_CURVE('',#39082,#35725,#33795,.T.); #49281=EDGE_CURVE('',#35725,#39086,#30394,.T.); #49282=EDGE_CURVE('',#39086,#39083,#33796,.T.); #49283=EDGE_CURVE('',#35724,#39087,#30395,.T.); #49284=EDGE_CURVE('',#39087,#39086,#7968,.T.); #49285=EDGE_CURVE('',#35723,#39088,#30396,.T.); #49286=EDGE_CURVE('',#39088,#39087,#33797,.T.); #49287=EDGE_CURVE('',#35722,#39089,#30397,.T.); #49288=EDGE_CURVE('',#39089,#39088,#30398,.T.); #49289=EDGE_CURVE('',#35721,#39090,#30399,.T.); #49290=EDGE_CURVE('',#39090,#39089,#33798,.T.); #49291=EDGE_CURVE('',#35720,#39091,#30400,.T.); #49292=EDGE_CURVE('',#39091,#39090,#7969,.T.); #49293=EDGE_CURVE('',#35720,#39092,#33799,.T.); #49294=EDGE_CURVE('',#39092,#39093,#30401,.T.); #49295=EDGE_CURVE('',#39093,#39091,#33800,.T.); #49296=EDGE_CURVE('',#39092,#39094,#33801,.T.); #49297=EDGE_CURVE('',#39094,#39095,#30402,.T.); #49298=EDGE_CURVE('',#39095,#39093,#33802,.T.); #49299=EDGE_CURVE('',#39084,#39081,#33803,.T.); #49300=EDGE_CURVE('',#39080,#39085,#33804,.T.); #49301=EDGE_CURVE('',#39096,#39097,#30403,.T.); #49302=EDGE_CURVE('',#39097,#39098,#33805,.T.); #49303=EDGE_CURVE('',#39098,#39099,#30404,.T.); #49304=EDGE_CURVE('',#39099,#39096,#33806,.T.); #49305=EDGE_CURVE('',#39098,#38442,#33807,.T.); #49306=EDGE_CURVE('',#38442,#39099,#33808,.T.); #49307=EDGE_CURVE('',#39096,#39095,#33809,.T.); #49308=EDGE_CURVE('',#39094,#39097,#33810,.T.); #49309=EDGE_CURVE('',#39084,#39100,#33811,.T.); #49310=EDGE_CURVE('',#39100,#39101,#30405,.T.); #49311=EDGE_CURVE('',#39101,#39102,#33812,.T.); #49312=EDGE_CURVE('',#39102,#39081,#30406,.T.); #49313=EDGE_CURVE('',#39103,#39087,#33813,.T.); #49314=EDGE_CURVE('',#39104,#39103,#33814,.T.); #49315=EDGE_CURVE('',#39100,#39104,#33815,.T.); #49316=EDGE_CURVE('',#39088,#39105,#33816,.T.); #49317=EDGE_CURVE('',#39103,#39105,#30407,.T.); #49318=EDGE_CURVE('',#39106,#39089,#33817,.T.); #49319=EDGE_CURVE('',#39105,#39106,#30408,.T.); #49320=EDGE_CURVE('',#39090,#39107,#33818,.T.); #49321=EDGE_CURVE('',#39106,#39107,#30409,.T.); #49322=EDGE_CURVE('',#39108,#39095,#33819,.T.); #49323=EDGE_CURVE('',#39109,#39108,#33820,.T.); #49324=EDGE_CURVE('',#39110,#39109,#7970,.T.); #49325=EDGE_CURVE('',#39107,#39110,#33821,.T.); #49326=EDGE_CURVE('',#39096,#39111,#30410,.T.); #49327=EDGE_CURVE('',#39111,#39112,#33822,.T.); #49328=EDGE_CURVE('',#39108,#39112,#30411,.T.); #49329=EDGE_CURVE('',#39099,#38441,#30412,.T.); #49330=EDGE_CURVE('',#38441,#39111,#33823,.T.); #49331=EDGE_CURVE('',#39113,#39114,#30413,.T.); #49332=EDGE_CURVE('',#39114,#38436,#33824,.T.); #49333=EDGE_CURVE('',#38436,#39113,#33825,.T.); #49334=EDGE_CURVE('',#39115,#39116,#30414,.T.); #49335=EDGE_CURVE('',#39116,#39114,#33826,.T.); #49336=EDGE_CURVE('',#39113,#39115,#33827,.T.); #49337=EDGE_CURVE('',#39117,#39118,#30415,.T.); #49338=EDGE_CURVE('',#39118,#39119,#33828,.T.); #49339=EDGE_CURVE('',#39119,#39120,#30416,.T.); #49340=EDGE_CURVE('',#39120,#39117,#33829,.T.); #49341=EDGE_CURVE('',#39121,#35726,#30417,.T.); #49342=EDGE_CURVE('',#35726,#39118,#33830,.T.); #49343=EDGE_CURVE('',#39117,#39121,#33831,.T.); #49344=EDGE_CURVE('',#39122,#35727,#30418,.T.); #49345=EDGE_CURVE('',#39121,#39122,#7971,.T.); #49346=EDGE_CURVE('',#39122,#39123,#33832,.T.); #49347=EDGE_CURVE('',#39123,#35728,#30419,.T.); #49348=EDGE_CURVE('',#39124,#35729,#30420,.T.); #49349=EDGE_CURVE('',#39123,#39124,#30421,.T.); #49350=EDGE_CURVE('',#39125,#35730,#30422,.T.); #49351=EDGE_CURVE('',#39124,#39125,#30423,.T.); #49352=EDGE_CURVE('',#39125,#39126,#33833,.T.); #49353=EDGE_CURVE('',#39126,#35731,#30424,.T.); #49354=EDGE_CURVE('',#39127,#35732,#30425,.T.); #49355=EDGE_CURVE('',#39126,#39127,#7972,.T.); #49356=EDGE_CURVE('',#39128,#38919,#30426,.T.); #49357=EDGE_CURVE('',#39127,#39128,#33834,.T.); #49358=EDGE_CURVE('',#39128,#39129,#33835,.T.); #49359=EDGE_CURVE('',#39129,#38920,#30427,.T.); #49360=EDGE_CURVE('',#39129,#38921,#33836,.T.); #49361=EDGE_CURVE('',#39119,#39116,#33837,.T.); #49362=EDGE_CURVE('',#39115,#39120,#33838,.T.); #49363=EDGE_CURVE('',#39125,#39130,#33839,.T.); #49364=EDGE_CURVE('',#39131,#39130,#30428,.T.); #49365=EDGE_CURVE('',#39131,#39126,#33840,.T.); #49366=EDGE_CURVE('',#39124,#39132,#7973,.T.); #49367=EDGE_CURVE('',#39130,#39132,#30429,.T.); #49368=EDGE_CURVE('',#39133,#39123,#33841,.T.); #49369=EDGE_CURVE('',#39132,#39133,#30430,.T.); #49370=EDGE_CURVE('',#39122,#39134,#33842,.T.); #49371=EDGE_CURVE('',#39133,#39134,#30431,.T.); #49372=EDGE_CURVE('',#39135,#39120,#33843,.T.); #49373=EDGE_CURVE('',#39136,#39135,#33844,.T.); #49374=EDGE_CURVE('',#39134,#39136,#33845,.T.); #49375=EDGE_CURVE('',#39115,#39137,#30432,.T.); #49376=EDGE_CURVE('',#39137,#39138,#33846,.T.); #49377=EDGE_CURVE('',#39135,#39138,#30433,.T.); #49378=EDGE_CURVE('',#39113,#38435,#30434,.T.); #49379=EDGE_CURVE('',#38435,#39137,#33847,.T.); #49380=EDGE_CURVE('',#39118,#39082,#33848,.T.); #49381=EDGE_CURVE('',#38599,#39139,#7974,.T.); #49382=EDGE_CURVE('',#39139,#38765,#30435,.T.); #49383=EDGE_CURVE('',#39140,#39141,#30436,.T.); #49384=EDGE_CURVE('',#39141,#38765,#1112,.T.); #49385=EDGE_CURVE('',#39139,#39140,#1113,.T.); #49386=EDGE_CURVE('',#39142,#39143,#30437,.T.); #49387=EDGE_CURVE('',#39143,#39141,#7975,.T.); #49388=EDGE_CURVE('',#39140,#39142,#7976,.T.); #49389=EDGE_CURVE('',#39144,#39145,#30438,.T.); #49390=EDGE_CURVE('',#39145,#39143,#33849,.T.); #49391=EDGE_CURVE('',#39142,#39144,#33850,.T.); #49392=EDGE_CURVE('',#39144,#38823,#33851,.T.); #49393=EDGE_CURVE('',#38823,#39145,#33852,.T.); #49394=EDGE_CURVE('',#39144,#39146,#33853,.T.); #49395=EDGE_CURVE('',#39146,#38824,#30439,.T.); #49396=EDGE_CURVE('',#39147,#38598,#7977,.T.); #49397=EDGE_CURVE('',#39148,#39147,#1114,.T.); #49398=EDGE_CURVE('',#39149,#39148,#7978,.T.); #49399=EDGE_CURVE('',#39150,#39149,#33854,.T.); #49400=EDGE_CURVE('',#39151,#39150,#33855,.T.); #49401=EDGE_CURVE('',#39152,#39151,#33856,.T.); #49402=EDGE_CURVE('',#39153,#39152,#33857,.T.); #49403=EDGE_CURVE('',#39146,#39153,#33858,.T.); #49404=EDGE_CURVE('',#35741,#39154,#30440,.T.); #49405=EDGE_CURVE('',#39154,#39155,#7979,.T.); #49406=EDGE_CURVE('',#39155,#35742,#30441,.T.); #49407=EDGE_CURVE('',#39155,#39156,#33859,.T.); #49408=EDGE_CURVE('',#39156,#35743,#30442,.T.); #49409=EDGE_CURVE('',#39157,#35744,#30443,.T.); #49410=EDGE_CURVE('',#39156,#39157,#30444,.T.); #49411=EDGE_CURVE('',#39157,#39149,#33860,.T.); #49412=EDGE_CURVE('',#39149,#35745,#30445,.T.); #49413=EDGE_CURVE('',#39148,#35746,#30446,.T.); #49414=EDGE_CURVE('',#39147,#39158,#30447,.T.); #49415=EDGE_CURVE('',#39158,#35746,#1115,.T.); #49416=EDGE_CURVE('',#38607,#39158,#7980,.T.); #49417=EDGE_CURVE('',#35740,#39159,#33861,.F.); #49418=EDGE_CURVE('',#39159,#39154,#33862,.T.); #49419=EDGE_CURVE('',#39158,#38841,#7981,.T.); #49420=EDGE_CURVE('',#39157,#39160,#33863,.T.); #49421=EDGE_CURVE('',#39150,#39160,#30448,.T.); #49422=EDGE_CURVE('',#39161,#39156,#33864,.T.); #49423=EDGE_CURVE('',#39160,#39161,#30449,.T.); #49424=EDGE_CURVE('',#39155,#39162,#33865,.T.); #49425=EDGE_CURVE('',#39161,#39162,#30450,.T.); #49426=EDGE_CURVE('',#39163,#39154,#33866,.T.); #49427=EDGE_CURVE('',#39164,#39163,#33867,.T.); #49428=EDGE_CURVE('',#39162,#39164,#33868,.T.); #49429=EDGE_CURVE('',#39165,#35768,#33869,.F.); #49430=EDGE_CURVE('',#35767,#39166,#30451,.T.); #49431=EDGE_CURVE('',#39166,#39165,#33870,.T.); #49432=EDGE_CURVE('',#35766,#39167,#30452,.T.); #49433=EDGE_CURVE('',#39167,#39166,#7982,.T.); #49434=EDGE_CURVE('',#35765,#39168,#30453,.T.); #49435=EDGE_CURVE('',#39168,#39167,#33871,.T.); #49436=EDGE_CURVE('',#35764,#39169,#30454,.T.); #49437=EDGE_CURVE('',#39169,#39168,#30455,.T.); #49438=EDGE_CURVE('',#35763,#39170,#30456,.T.); #49439=EDGE_CURVE('',#39170,#39169,#33872,.T.); #49440=EDGE_CURVE('',#35762,#39171,#30457,.T.); #49441=EDGE_CURVE('',#39171,#39170,#7983,.T.); #49442=EDGE_CURVE('',#35761,#39172,#33873,.F.); #49443=EDGE_CURVE('',#39172,#39171,#33874,.T.); #49444=EDGE_CURVE('',#39173,#35760,#33875,.F.); #49445=EDGE_CURVE('',#35759,#39174,#30458,.T.); #49446=EDGE_CURVE('',#39174,#39173,#33876,.T.); #49447=EDGE_CURVE('',#35758,#39175,#30459,.T.); #49448=EDGE_CURVE('',#39175,#39174,#7984,.T.); #49449=EDGE_CURVE('',#35757,#39176,#30460,.T.); #49450=EDGE_CURVE('',#39176,#39175,#33877,.T.); #49451=EDGE_CURVE('',#35756,#39177,#30461,.T.); #49452=EDGE_CURVE('',#39177,#39176,#30462,.T.); #49453=EDGE_CURVE('',#35755,#38632,#30463,.T.); #49454=EDGE_CURVE('',#38632,#39177,#33878,.T.); #49455=EDGE_CURVE('',#35754,#38633,#30464,.T.); #49456=EDGE_CURVE('',#35754,#38619,#1116,.T.); #49457=EDGE_CURVE('',#38855,#35748,#30465,.T.); #49458=EDGE_CURVE('',#38855,#39178,#33879,.T.); #49459=EDGE_CURVE('',#39178,#35749,#30466,.T.); #49460=EDGE_CURVE('',#39179,#35750,#30467,.T.); #49461=EDGE_CURVE('',#39178,#39179,#30468,.T.); #49462=EDGE_CURVE('',#39180,#35751,#30469,.T.); #49463=EDGE_CURVE('',#39179,#39180,#30470,.T.); #49464=EDGE_CURVE('',#39180,#38862,#33880,.T.); #49465=EDGE_CURVE('',#39180,#39181,#33881,.T.); #49466=EDGE_CURVE('',#38863,#39181,#30471,.T.); #49467=EDGE_CURVE('',#39179,#39182,#7985,.T.); #49468=EDGE_CURVE('',#39181,#39182,#30472,.T.); #49469=EDGE_CURVE('',#39183,#39178,#33882,.T.); #49470=EDGE_CURVE('',#39182,#39183,#30473,.T.); #49471=EDGE_CURVE('',#39183,#38854,#30474,.T.); #49472=EDGE_CURVE('',#38863,#38859,#30475,.T.); #49473=EDGE_CURVE('',#38858,#38861,#30476,.T.); #49474=EDGE_CURVE('',#38859,#39181,#30477,.T.); #49475=EDGE_CURVE('',#39182,#38857,#30478,.T.); #49476=EDGE_CURVE('',#39183,#38856,#30479,.T.); #49477=EDGE_CURVE('',#39163,#39184,#30480,.T.); #49478=EDGE_CURVE('',#39184,#39185,#33883,.T.); #49479=EDGE_CURVE('',#39185,#39164,#30481,.T.); #49480=EDGE_CURVE('',#39159,#39184,#33884,.T.); #49481=EDGE_CURVE('',#39150,#39186,#30482,.T.); #49482=EDGE_CURVE('',#39186,#39187,#33885,.T.); #49483=EDGE_CURVE('',#39187,#39151,#30483,.T.); #49484=EDGE_CURVE('',#39153,#39188,#30484,.T.); #49485=EDGE_CURVE('',#39188,#38824,#33886,.T.); #49486=EDGE_CURVE('',#39152,#39189,#30485,.T.); #49487=EDGE_CURVE('',#39189,#39188,#33887,.T.); #49488=EDGE_CURVE('',#39151,#39190,#30486,.T.); #49489=EDGE_CURVE('',#39190,#39189,#33888,.T.); #49490=EDGE_CURVE('',#39187,#39190,#30487,.T.); #49491=EDGE_CURVE('',#39186,#39160,#30488,.T.); #49492=EDGE_CURVE('',#39161,#39191,#30489,.T.); #49493=EDGE_CURVE('',#39191,#39186,#30490,.T.); #49494=EDGE_CURVE('',#39164,#39192,#30491,.T.); #49495=EDGE_CURVE('',#39192,#39191,#33889,.T.); #49496=EDGE_CURVE('',#39191,#39162,#30492,.T.); #49497=EDGE_CURVE('',#39185,#39192,#30493,.T.); #49498=EDGE_CURVE('',#39187,#39192,#33890,.T.); #49499=EDGE_CURVE('',#39193,#38907,#33891,.T.); #49500=EDGE_CURVE('',#39193,#39194,#33892,.T.); #49501=EDGE_CURVE('',#39194,#39195,#30494,.T.); #49502=EDGE_CURVE('',#39195,#38826,#33893,.T.); #49503=EDGE_CURVE('',#38826,#39196,#30495,.T.); #49504=EDGE_CURVE('',#39196,#39184,#33894,.T.); #49505=EDGE_CURVE('',#39197,#39198,#30496,.T.); #49506=EDGE_CURVE('',#39198,#39199,#33895,.T.); #49507=EDGE_CURVE('',#39199,#39200,#30497,.T.); #49508=EDGE_CURVE('',#39200,#39197,#33896,.T.); #49509=EDGE_CURVE('',#39198,#39201,#30498,.T.); #49510=EDGE_CURVE('',#39201,#39202,#30499,.T.); #49511=EDGE_CURVE('',#39202,#39203,#30500,.T.); #49512=EDGE_CURVE('',#39203,#39198,#30501,.T.); #49513=EDGE_CURVE('',#38918,#39204,#33897,.T.); #49514=EDGE_CURVE('',#39204,#39205,#30502,.T.); #49515=EDGE_CURVE('',#39205,#38917,#33898,.T.); #49516=EDGE_CURVE('',#39204,#39206,#33899,.T.); #49517=EDGE_CURVE('',#39206,#39207,#30503,.T.); #49518=EDGE_CURVE('',#39207,#39205,#33900,.T.); #49519=EDGE_CURVE('',#39207,#39208,#30504,.T.); #49520=EDGE_CURVE('',#39208,#39209,#33901,.T.); #49521=EDGE_CURVE('',#39209,#39210,#30505,.T.); #49522=EDGE_CURVE('',#39210,#39207,#33902,.T.); #49523=EDGE_CURVE('',#39206,#39208,#30506,.T.); #49524=EDGE_CURVE('',#39210,#39211,#30507,.T.); #49525=EDGE_CURVE('',#39211,#39203,#33903,.T.); #49526=EDGE_CURVE('',#39203,#39212,#30508,.T.); #49527=EDGE_CURVE('',#39212,#39210,#33904,.T.); #49528=EDGE_CURVE('',#39209,#39211,#30509,.T.); #49529=EDGE_CURVE('',#39202,#39212,#30510,.T.); #49530=EDGE_CURVE('',#39197,#39201,#30511,.T.); #49531=EDGE_CURVE('',#39200,#39213,#30512,.T.); #49532=EDGE_CURVE('',#39213,#39193,#33905,.T.); #49533=EDGE_CURVE('',#39193,#39214,#30513,.T.); #49534=EDGE_CURVE('',#39214,#39200,#33906,.T.); #49535=EDGE_CURVE('',#38908,#39214,#33907,.T.); #49536=EDGE_CURVE('',#39199,#39213,#30514,.T.); #49537=EDGE_CURVE('',#38912,#39212,#33908,.T.); #49538=EDGE_CURVE('',#39202,#38911,#33909,.T.); #49539=EDGE_CURVE('',#38910,#39201,#33910,.T.); #49540=EDGE_CURVE('',#39197,#38909,#33911,.T.); #49541=EDGE_CURVE('',#39215,#39213,#33912,.T.); #49542=EDGE_CURVE('',#39215,#39194,#7986,.T.); #49543=EDGE_CURVE('',#39138,#39216,#33913,.T.); #49544=EDGE_CURVE('',#39216,#39136,#30515,.T.); #49545=EDGE_CURVE('',#39131,#39217,#30516,.T.); #49546=EDGE_CURVE('',#39217,#39218,#33914,.T.); #49547=EDGE_CURVE('',#39218,#39219,#30517,.T.); #49548=EDGE_CURVE('',#39219,#39131,#33915,.T.); #49549=EDGE_CURVE('',#39219,#39220,#30518,.T.); #49550=EDGE_CURVE('',#39220,#39221,#33916,.T.); #49551=EDGE_CURVE('',#39221,#39222,#30519,.T.); #49552=EDGE_CURVE('',#39222,#39219,#33917,.T.); #49553=EDGE_CURVE('',#39129,#39223,#33918,.T.); #49554=EDGE_CURVE('',#39223,#39224,#30520,.T.); #49555=EDGE_CURVE('',#39224,#38921,#33919,.T.); #49556=EDGE_CURVE('',#39222,#39225,#30521,.T.); #49557=EDGE_CURVE('',#39225,#39224,#33920,.T.); #49558=EDGE_CURVE('',#39223,#39222,#33921,.T.); #49559=EDGE_CURVE('',#39221,#39225,#30522,.T.); #49560=EDGE_CURVE('',#39218,#39220,#30523,.T.); #49561=EDGE_CURVE('',#39217,#39130,#30524,.T.); #49562=EDGE_CURVE('',#39132,#39226,#30525,.T.); #49563=EDGE_CURVE('',#39226,#39217,#30526,.T.); #49564=EDGE_CURVE('',#39133,#39227,#30527,.T.); #49565=EDGE_CURVE('',#39227,#39226,#30528,.T.); #49566=EDGE_CURVE('',#39136,#39228,#30529,.T.); #49567=EDGE_CURVE('',#39228,#39227,#33922,.T.); #49568=EDGE_CURVE('',#39227,#39134,#30530,.T.); #49569=EDGE_CURVE('',#39216,#39228,#30531,.T.); #49570=EDGE_CURVE('',#39229,#39228,#33923,.T.); #49571=EDGE_CURVE('',#39230,#39229,#33924,.T.); #49572=EDGE_CURVE('',#39230,#39226,#30532,.T.); #49573=EDGE_CURVE('',#39216,#39231,#33925,.T.); #49574=EDGE_CURVE('',#39231,#39229,#30533,.T.); #49575=EDGE_CURVE('',#39232,#39138,#30534,.T.); #49576=EDGE_CURVE('',#39232,#39231,#7987,.T.); #49577=EDGE_CURVE('',#38434,#39232,#30535,.T.); #49578=EDGE_CURVE('',#39112,#39233,#33926,.T.); #49579=EDGE_CURVE('',#39233,#39109,#30536,.T.); #49580=EDGE_CURVE('',#39103,#39234,#30537,.T.); #49581=EDGE_CURVE('',#39234,#39235,#33927,.T.); #49582=EDGE_CURVE('',#39235,#39104,#30538,.T.); #49583=EDGE_CURVE('',#39104,#39236,#30539,.T.); #49584=EDGE_CURVE('',#39236,#39101,#33928,.T.); #49585=EDGE_CURVE('',#39102,#38440,#33929,.T.); #49586=EDGE_CURVE('',#38440,#39079,#30540,.T.); #49587=EDGE_CURVE('',#39235,#39236,#30541,.T.); #49588=EDGE_CURVE('',#39234,#39105,#30542,.T.); #49589=EDGE_CURVE('',#39106,#39237,#30543,.T.); #49590=EDGE_CURVE('',#39237,#39234,#30544,.T.); #49591=EDGE_CURVE('',#39110,#39238,#30545,.T.); #49592=EDGE_CURVE('',#39238,#39237,#33930,.T.); #49593=EDGE_CURVE('',#39237,#39107,#30546,.T.); #49594=EDGE_CURVE('',#39109,#39239,#30547,.T.); #49595=EDGE_CURVE('',#39239,#39238,#7988,.T.); #49596=EDGE_CURVE('',#39233,#39239,#30548,.T.); #49597=EDGE_CURVE('',#39238,#39240,#30549,.T.); #49598=EDGE_CURVE('',#39235,#39240,#33931,.T.); #49599=EDGE_CURVE('',#39240,#39239,#30550,.T.); #49600=EDGE_CURVE('',#39233,#39241,#30551,.T.); #49601=EDGE_CURVE('',#39241,#39240,#30552,.T.); #49602=EDGE_CURVE('',#39242,#38945,#33932,.T.); #49603=EDGE_CURVE('',#38949,#39243,#33933,.T.); #49604=EDGE_CURVE('',#39242,#39243,#30553,.T.); #49605=EDGE_CURVE('',#38948,#39244,#30554,.T.); #49606=EDGE_CURVE('',#39244,#39243,#7989,.T.); #49607=EDGE_CURVE('',#38947,#39245,#30555,.T.); #49608=EDGE_CURVE('',#39245,#39244,#33934,.T.); #49609=EDGE_CURVE('',#39245,#38950,#30556,.T.); #49610=EDGE_CURVE('',#38951,#39246,#30557,.T.); #49611=EDGE_CURVE('',#39246,#39245,#30558,.T.); #49612=EDGE_CURVE('',#38955,#39247,#30559,.T.); #49613=EDGE_CURVE('',#39247,#39246,#33935,.T.); #49614=EDGE_CURVE('',#39246,#38952,#30560,.T.); #49615=EDGE_CURVE('',#39248,#39247,#7990,.T.); #49616=EDGE_CURVE('',#38954,#39248,#33936,.T.); #49617=EDGE_CURVE('',#38957,#39249,#33937,.T.); #49618=EDGE_CURVE('',#39248,#39249,#30561,.T.); #49619=EDGE_CURVE('',#38946,#38446,#33938,.T.); #49620=EDGE_CURVE('',#38446,#38923,#30562,.T.); #49621=EDGE_CURVE('',#39247,#39244,#30563,.T.); #49622=EDGE_CURVE('',#39249,#39242,#30564,.T.); #49623=EDGE_CURVE('',#38945,#38957,#30565,.T.); #49624=EDGE_CURVE('',#38984,#39250,#30566,.T.); #49625=EDGE_CURVE('',#39250,#39251,#7991,.T.); #49626=EDGE_CURVE('',#39251,#38985,#33939,.T.); #49627=EDGE_CURVE('',#38983,#39252,#30567,.T.); #49628=EDGE_CURVE('',#39252,#39250,#33940,.T.); #49629=EDGE_CURVE('',#39253,#38981,#33941,.T.); #49630=EDGE_CURVE('',#39253,#39251,#30568,.T.); #49631=EDGE_CURVE('',#39252,#38986,#30569,.T.); #49632=EDGE_CURVE('',#38987,#39254,#30570,.T.); #49633=EDGE_CURVE('',#39254,#39252,#30571,.T.); #49634=EDGE_CURVE('',#38991,#39255,#30572,.T.); #49635=EDGE_CURVE('',#39255,#39254,#33942,.T.); #49636=EDGE_CURVE('',#39254,#38988,#30573,.T.); #49637=EDGE_CURVE('',#39256,#39255,#7992,.T.); #49638=EDGE_CURVE('',#38990,#39256,#33943,.T.); #49639=EDGE_CURVE('',#38993,#39257,#33944,.T.); #49640=EDGE_CURVE('',#39256,#39257,#30574,.T.); #49641=EDGE_CURVE('',#38982,#38452,#33945,.T.); #49642=EDGE_CURVE('',#38452,#38959,#30575,.T.); #49643=EDGE_CURVE('',#39255,#39250,#30576,.T.); #49644=EDGE_CURVE('',#39257,#39253,#30577,.T.); #49645=EDGE_CURVE('',#38981,#38993,#30578,.T.); #49646=EDGE_CURVE('',#39258,#39075,#30579,.T.); #49647=EDGE_CURVE('',#39077,#39258,#33946,.T.); #49648=EDGE_CURVE('',#39259,#39070,#30580,.T.); #49649=EDGE_CURVE('',#39069,#39260,#30581,.T.); #49650=EDGE_CURVE('',#39260,#39259,#7993,.T.); #49651=EDGE_CURVE('',#39068,#39261,#30582,.T.); #49652=EDGE_CURVE('',#39261,#39260,#33947,.T.); #49653=EDGE_CURVE('',#39070,#39262,#30583,.T.); #49654=EDGE_CURVE('',#39262,#39066,#33948,.T.); #49655=EDGE_CURVE('',#39067,#38458,#33949,.T.); #49656=EDGE_CURVE('',#38458,#39048,#30584,.T.); #49657=EDGE_CURVE('',#39259,#39262,#30585,.T.); #49658=EDGE_CURVE('',#39261,#39071,#30586,.T.); #49659=EDGE_CURVE('',#39072,#39263,#30587,.T.); #49660=EDGE_CURVE('',#39263,#39261,#30588,.T.); #49661=EDGE_CURVE('',#39075,#39264,#30589,.T.); #49662=EDGE_CURVE('',#39264,#39263,#33950,.T.); #49663=EDGE_CURVE('',#39263,#39073,#30590,.T.); #49664=EDGE_CURVE('',#39258,#39264,#30591,.T.); #49665=EDGE_CURVE('',#39265,#39264,#33951,.T.); #49666=EDGE_CURVE('',#39265,#39260,#30592,.T.); #49667=EDGE_CURVE('',#39258,#39266,#33952,.T.); #49668=EDGE_CURVE('',#39266,#39265,#30593,.T.); #49669=EDGE_CURVE('',#39267,#39268,#30594,.T.); #49670=EDGE_CURVE('',#39268,#39269,#33953,.T.); #49671=EDGE_CURVE('',#39269,#39270,#30595,.T.); #49672=EDGE_CURVE('',#39270,#39267,#33954,.T.); #49673=EDGE_CURVE('',#38903,#39268,#33955,.T.); #49674=EDGE_CURVE('',#39267,#39039,#33956,.T.); #49675=EDGE_CURVE('',#39271,#39272,#30596,.T.); #49676=EDGE_CURVE('',#39272,#39270,#33957,.T.); #49677=EDGE_CURVE('',#39270,#39273,#30597,.T.); #49678=EDGE_CURVE('',#39273,#39271,#33958,.T.); #49679=EDGE_CURVE('',#39274,#39275,#30598,.T.); #49680=EDGE_CURVE('',#39275,#39276,#33959,.T.); #49681=EDGE_CURVE('',#39276,#39277,#30599,.T.); #49682=EDGE_CURVE('',#39277,#39274,#33960,.T.); #49683=EDGE_CURVE('',#39045,#39277,#33961,.T.); #49684=EDGE_CURVE('',#39277,#39278,#30600,.T.); #49685=EDGE_CURVE('',#39278,#39046,#33962,.T.); #49686=EDGE_CURVE('',#39276,#39278,#30601,.T.); #49687=EDGE_CURVE('',#39275,#39279,#30602,.T.); #49688=EDGE_CURVE('',#39279,#39280,#30603,.T.); #49689=EDGE_CURVE('',#39280,#39281,#30604,.T.); #49690=EDGE_CURVE('',#39281,#39275,#30605,.T.); #49691=EDGE_CURVE('',#39282,#39283,#30606,.T.); #49692=EDGE_CURVE('',#39283,#39281,#30607,.T.); #49693=EDGE_CURVE('',#39280,#39282,#30608,.T.); #49694=EDGE_CURVE('',#39274,#39279,#30609,.T.); #49695=EDGE_CURVE('',#39272,#39284,#30610,.T.); #49696=EDGE_CURVE('',#39284,#39283,#33963,.T.); #49697=EDGE_CURVE('',#39283,#39285,#30611,.T.); #49698=EDGE_CURVE('',#39285,#39272,#33964,.T.); #49699=EDGE_CURVE('',#39282,#39285,#30612,.T.); #49700=EDGE_CURVE('',#39271,#39284,#30613,.T.); #49701=EDGE_CURVE('',#39269,#39273,#30614,.T.); #49702=EDGE_CURVE('',#39271,#39286,#33965,.T.); #49703=EDGE_CURVE('',#39286,#39287,#30615,.F.); #49704=EDGE_CURVE('',#39287,#39284,#33966,.T.); #49705=EDGE_CURVE('',#39286,#39273,#33967,.T.); #49706=EDGE_CURVE('',#39269,#39288,#33968,.T.); #49707=EDGE_CURVE('',#39288,#39286,#30616,.F.); #49708=EDGE_CURVE('',#39289,#39268,#30617,.T.); #49709=EDGE_CURVE('',#39289,#39290,#7994,.T.); #49710=EDGE_CURVE('',#39291,#39290,#33969,.T.); #49711=EDGE_CURVE('',#39288,#39291,#33970,.T.); #49712=EDGE_CURVE('',#38906,#39292,#30618,.T.); #49713=EDGE_CURVE('',#38466,#39292,#30619,.T.); #49714=EDGE_CURVE('',#38465,#39289,#30620,.T.); #49715=EDGE_CURVE('',#39036,#39285,#33971,.T.); #49716=EDGE_CURVE('',#39282,#39035,#33972,.T.); #49717=EDGE_CURVE('',#39034,#39280,#7995,.T.); #49718=EDGE_CURVE('',#39033,#39279,#33973,.T.); #49719=EDGE_CURVE('',#39293,#39294,#30621,.T.); #49720=EDGE_CURVE('',#39294,#39295,#33974,.T.); #49721=EDGE_CURVE('',#39295,#39296,#30622,.T.); #49722=EDGE_CURVE('',#39296,#39293,#33975,.T.); #49723=EDGE_CURVE('',#39294,#39297,#30623,.T.); #49724=EDGE_CURVE('',#39297,#39298,#30624,.T.); #49725=EDGE_CURVE('',#39298,#39299,#30625,.T.); #49726=EDGE_CURVE('',#39299,#39294,#30626,.T.); #49727=EDGE_CURVE('',#38901,#39300,#33976,.T.); #49728=EDGE_CURVE('',#39300,#39301,#30627,.T.); #49729=EDGE_CURVE('',#39301,#38900,#33977,.T.); #49730=EDGE_CURVE('',#39302,#39303,#30628,.T.); #49731=EDGE_CURVE('',#39303,#39301,#33978,.T.); #49732=EDGE_CURVE('',#39300,#39302,#33979,.T.); #49733=EDGE_CURVE('',#39303,#39304,#30629,.T.); #49734=EDGE_CURVE('',#39304,#39299,#33980,.T.); #49735=EDGE_CURVE('',#39299,#39305,#30630,.T.); #49736=EDGE_CURVE('',#39305,#39303,#33981,.T.); #49737=EDGE_CURVE('',#39302,#39304,#30631,.T.); #49738=EDGE_CURVE('',#39298,#39305,#30632,.T.); #49739=EDGE_CURVE('',#39293,#39297,#30633,.T.); #49740=EDGE_CURVE('',#39306,#39307,#30634,.T.); #49741=EDGE_CURVE('',#39307,#39296,#33982,.T.); #49742=EDGE_CURVE('',#39296,#39308,#30635,.T.); #49743=EDGE_CURVE('',#39308,#39306,#33983,.T.); #49744=EDGE_CURVE('',#39295,#39308,#30636,.T.); #49745=EDGE_CURVE('',#39307,#39309,#30637,.T.); #49746=EDGE_CURVE('',#39309,#38906,#33984,.T.); #49747=EDGE_CURVE('',#38905,#39307,#33985,.T.); #49748=EDGE_CURVE('',#39306,#39309,#30638,.T.); #49749=EDGE_CURVE('',#38899,#39305,#33986,.T.); #49750=EDGE_CURVE('',#39298,#38898,#33987,.T.); #49751=EDGE_CURVE('',#38897,#39297,#33988,.T.); #49752=EDGE_CURVE('',#39293,#38896,#33989,.T.); #49753=EDGE_CURVE('',#39310,#39309,#33990,.T.); #49754=EDGE_CURVE('',#39311,#39310,#33991,.T.); #49755=EDGE_CURVE('',#39292,#39311,#7996,.T.); #49756=EDGE_CURVE('',#38674,#39312,#30639,.T.); #49757=EDGE_CURVE('',#39312,#39313,#33992,.T.); #49758=EDGE_CURVE('',#39313,#38675,#30640,.T.); #49759=EDGE_CURVE('',#38673,#39314,#30641,.T.); #49760=EDGE_CURVE('',#39314,#39312,#33993,.T.); #49761=EDGE_CURVE('',#38672,#38807,#30642,.T.); #49762=EDGE_CURVE('',#38807,#39314,#33994,.T.); #49763=EDGE_CURVE('',#39315,#39316,#30643,.T.); #49764=EDGE_CURVE('',#39316,#39317,#33995,.T.); #49765=EDGE_CURVE('',#39317,#39318,#30644,.T.); #49766=EDGE_CURVE('',#39318,#39315,#33996,.T.); #49767=EDGE_CURVE('',#39019,#39319,#33997,.T.); #49768=EDGE_CURVE('',#39319,#39320,#30645,.T.); #49769=EDGE_CURVE('',#39320,#39018,#33998,.T.); #49770=EDGE_CURVE('',#39319,#39318,#33999,.T.); #49771=EDGE_CURVE('',#39318,#39321,#30646,.T.); #49772=EDGE_CURVE('',#39321,#39320,#34000,.T.); #49773=EDGE_CURVE('',#39317,#39321,#30647,.T.); #49774=EDGE_CURVE('',#39316,#39322,#30648,.T.); #49775=EDGE_CURVE('',#39322,#39323,#30649,.T.); #49776=EDGE_CURVE('',#39323,#39324,#30650,.T.); #49777=EDGE_CURVE('',#39324,#39316,#30651,.T.); #49778=EDGE_CURVE('',#39315,#39322,#30652,.T.); #49779=EDGE_CURVE('',#38675,#39325,#30653,.T.); #49780=EDGE_CURVE('',#39325,#39324,#34001,.T.); #49781=EDGE_CURVE('',#39324,#38676,#30654,.T.); #49782=EDGE_CURVE('',#39323,#38676,#30655,.T.); #49783=EDGE_CURVE('',#39313,#39325,#30656,.T.); #49784=EDGE_CURVE('',#39326,#39314,#34002,.T.); #49785=EDGE_CURVE('',#39312,#39326,#7997,.T.); #49786=EDGE_CURVE('',#38806,#39326,#30657,.T.); #49787=EDGE_CURVE('',#39327,#39326,#34003,.T.); #49788=EDGE_CURVE('',#39320,#39327,#34004,.T.); #49789=EDGE_CURVE('',#39313,#39321,#34005,.T.); #49790=EDGE_CURVE('',#39323,#39022,#34006,.T.); #49791=EDGE_CURVE('',#39021,#39322,#34007,.T.); #49792=EDGE_CURVE('',#39014,#38886,#30658,.T.); #49793=EDGE_CURVE('',#38885,#39013,#30659,.T.); #49794=EDGE_CURVE('',#38887,#39012,#30660,.T.); #49795=EDGE_CURVE('',#38881,#38884,#30661,.T.); #49796=EDGE_CURVE('',#38886,#38882,#30662,.T.); #49797=EDGE_CURVE('',#39328,#39329,#30663,.T.); #49798=EDGE_CURVE('',#39329,#39330,#30664,.T.); #49799=EDGE_CURVE('',#39330,#39331,#30665,.T.); #49800=EDGE_CURVE('',#39331,#39328,#30666,.T.); #49801=EDGE_CURVE('',#39008,#39332,#34008,.T.); #49802=EDGE_CURVE('',#39332,#39333,#30667,.T.); #49803=EDGE_CURVE('',#39333,#39007,#34009,.T.); #49804=EDGE_CURVE('',#39334,#39335,#30668,.T.); #49805=EDGE_CURVE('',#39335,#39333,#34010,.T.); #49806=EDGE_CURVE('',#39332,#39334,#34011,.T.); #49807=EDGE_CURVE('',#39335,#39336,#30669,.T.); #49808=EDGE_CURVE('',#39336,#39331,#34012,.T.); #49809=EDGE_CURVE('',#39331,#39337,#30670,.T.); #49810=EDGE_CURVE('',#39337,#39335,#34013,.T.); #49811=EDGE_CURVE('',#39334,#39336,#30671,.T.); #49812=EDGE_CURVE('',#39330,#39337,#30672,.T.); #49813=EDGE_CURVE('',#39328,#39001,#30673,.T.); #49814=EDGE_CURVE('',#39001,#39329,#30674,.T.); #49815=EDGE_CURVE('',#39338,#39002,#30675,.T.); #49816=EDGE_CURVE('',#39328,#39338,#34014,.T.); #49817=EDGE_CURVE('',#39002,#39339,#30676,.T.); #49818=EDGE_CURVE('',#39339,#38811,#34015,.T.); #49819=EDGE_CURVE('',#39338,#39339,#30677,.T.); #49820=EDGE_CURVE('',#39006,#39337,#34016,.T.); #49821=EDGE_CURVE('',#39330,#39005,#34017,.T.); #49822=EDGE_CURVE('',#39004,#39329,#34018,.T.); #49823=EDGE_CURVE('',#39340,#39339,#34019,.T.); #49824=EDGE_CURVE('',#39340,#38812,#7998,.T.); #49825=EDGE_CURVE('',#39341,#39342,#30678,.T.); #49826=EDGE_CURVE('',#39342,#39343,#34020,.T.); #49827=EDGE_CURVE('',#39343,#39344,#30679,.T.); #49828=EDGE_CURVE('',#39344,#39341,#34021,.T.); #49829=EDGE_CURVE('',#39342,#39345,#30680,.T.); #49830=EDGE_CURVE('',#39345,#39346,#30681,.T.); #49831=EDGE_CURVE('',#39346,#39347,#30682,.T.); #49832=EDGE_CURVE('',#39347,#39342,#30683,.T.); #49833=EDGE_CURVE('',#39172,#39348,#34022,.T.); #49834=EDGE_CURVE('',#39348,#39349,#30684,.T.); #49835=EDGE_CURVE('',#39349,#39171,#34023,.T.); #49836=EDGE_CURVE('',#39348,#39350,#34024,.T.); #49837=EDGE_CURVE('',#39350,#39351,#30685,.T.); #49838=EDGE_CURVE('',#39351,#39349,#34025,.T.); #49839=EDGE_CURVE('',#39351,#39352,#30686,.T.); #49840=EDGE_CURVE('',#39352,#39347,#34026,.T.); #49841=EDGE_CURVE('',#39347,#39353,#30687,.T.); #49842=EDGE_CURVE('',#39353,#39351,#34027,.T.); #49843=EDGE_CURVE('',#39350,#39352,#30688,.T.); #49844=EDGE_CURVE('',#39346,#39353,#30689,.T.); #49845=EDGE_CURVE('',#39341,#39345,#30690,.T.); #49846=EDGE_CURVE('',#39354,#39355,#30691,.T.); #49847=EDGE_CURVE('',#39355,#39344,#34028,.T.); #49848=EDGE_CURVE('',#39344,#39356,#30692,.T.); #49849=EDGE_CURVE('',#39356,#39354,#34029,.T.); #49850=EDGE_CURVE('',#39166,#39355,#34030,.T.); #49851=EDGE_CURVE('',#39354,#39165,#34031,.T.); #49852=EDGE_CURVE('',#39343,#39356,#30693,.T.); #49853=EDGE_CURVE('',#39170,#39353,#34032,.T.); #49854=EDGE_CURVE('',#39346,#39169,#34033,.T.); #49855=EDGE_CURVE('',#39168,#39345,#34034,.T.); #49856=EDGE_CURVE('',#39341,#39167,#34035,.T.); #49857=EDGE_CURVE('',#39354,#39357,#34036,.T.); #49858=EDGE_CURVE('',#39357,#39358,#30694,.T.); #49859=EDGE_CURVE('',#39358,#38801,#34037,.T.); #49860=EDGE_CURVE('',#38801,#39359,#30695,.T.); #49861=EDGE_CURVE('',#39359,#39332,#34038,.T.); #49862=EDGE_CURVE('',#39360,#39356,#34039,.T.); #49863=EDGE_CURVE('',#39360,#39357,#34040,.T.); #49864=EDGE_CURVE('',#39361,#39362,#30696,.T.); #49865=EDGE_CURVE('',#39362,#39363,#34041,.T.); #49866=EDGE_CURVE('',#39363,#39364,#30697,.T.); #49867=EDGE_CURVE('',#39364,#39361,#34042,.T.); #49868=EDGE_CURVE('',#39362,#39365,#30698,.T.); #49869=EDGE_CURVE('',#39365,#39366,#30699,.T.); #49870=EDGE_CURVE('',#39366,#39367,#30700,.T.); #49871=EDGE_CURVE('',#39367,#39362,#30701,.T.); #49872=EDGE_CURVE('',#38786,#38629,#30702,.T.); #49873=EDGE_CURVE('',#38786,#39368,#34043,.T.); #49874=EDGE_CURVE('',#39368,#38630,#30703,.T.); #49875=EDGE_CURVE('',#39369,#38630,#30704,.T.); #49876=EDGE_CURVE('',#39368,#39369,#30705,.T.); #49877=EDGE_CURVE('',#39369,#39367,#34044,.T.); #49878=EDGE_CURVE('',#39367,#38631,#30706,.T.); #49879=EDGE_CURVE('',#39366,#38631,#30707,.T.); #49880=EDGE_CURVE('',#39361,#39365,#30708,.T.); #49881=EDGE_CURVE('',#39364,#39370,#30709,.T.); #49882=EDGE_CURVE('',#39370,#39371,#34045,.T.); #49883=EDGE_CURVE('',#39371,#39372,#30710,.T.); #49884=EDGE_CURVE('',#39372,#39364,#34046,.T.); #49885=EDGE_CURVE('',#39174,#39372,#34047,.T.); #49886=EDGE_CURVE('',#39371,#39173,#34048,.T.); #49887=EDGE_CURVE('',#39363,#39370,#30711,.T.); #49888=EDGE_CURVE('',#39366,#39177,#34049,.T.); #49889=EDGE_CURVE('',#39176,#39365,#34050,.T.); #49890=EDGE_CURVE('',#39361,#39175,#34051,.T.); #49891=EDGE_CURVE('',#39371,#39373,#34052,.T.); #49892=EDGE_CURVE('',#39373,#38830,#30712,.T.); #49893=EDGE_CURVE('',#38830,#39358,#34053,.T.); #49894=EDGE_CURVE('',#39357,#39348,#34054,.T.); #49895=EDGE_CURVE('',#39374,#39370,#34055,.T.); #49896=EDGE_CURVE('',#39374,#38785,#7999,.T.); #49897=EDGE_CURVE('',#39373,#38785,#34056,.T.); #49898=EDGE_CURVE('',#38821,#39143,#30713,.T.); #49899=EDGE_CURVE('',#39141,#38832,#8000,.T.); #49900=EDGE_CURVE('',#39375,#39211,#34057,.T.); #49901=EDGE_CURVE('',#39199,#39375,#34058,.T.); #49902=EDGE_CURVE('',#39376,#39230,#34059,.T.); #49903=EDGE_CURVE('',#39218,#39376,#34060,.T.); #49904=EDGE_CURVE('',#39274,#39032,#34061,.T.); #49905=EDGE_CURVE('',#39377,#39281,#30714,.T.); #49906=EDGE_CURVE('',#39378,#39377,#34062,.T.); #49907=EDGE_CURVE('',#39276,#39378,#34063,.T.); #49908=EDGE_CURVE('',#39315,#39020,#34064,.T.); #49909=EDGE_CURVE('',#39317,#39325,#34065,.T.); #49910=EDGE_CURVE('',#39379,#39336,#34066,.T.); #49911=EDGE_CURVE('',#39338,#39379,#34067,.T.); #49912=EDGE_CURVE('',#39380,#39352,#34068,.T.); #49913=EDGE_CURVE('',#39343,#39380,#34069,.T.); #49914=EDGE_CURVE('',#39381,#39369,#34070,.T.); #49915=EDGE_CURVE('',#39363,#39381,#34071,.T.); #49916=EDGE_CURVE('',#39046,#39289,#30715,.T.); #49917=EDGE_CURVE('',#38972,#39051,#34072,.T.); #49918=EDGE_CURVE('',#39066,#39077,#30716,.T.); #49919=EDGE_CURVE('',#39101,#39112,#30717,.T.); #49920=EDGE_CURVE('',#39092,#38926,#34073,.T.); #49921=EDGE_CURVE('',#38936,#38962,#34074,.T.); #49922=EDGE_CURVE('',#38726,#38995,#8001,.T.); #49923=EDGE_CURVE('',#38740,#38657,#8002,.T.); #49924=EDGE_CURVE('',#39382,#39383,#34075,.T.); #49925=EDGE_CURVE('',#39384,#39382,#34076,.T.); #49926=EDGE_CURVE('',#39385,#39384,#34077,.T.); #49927=EDGE_CURVE('',#39386,#39385,#30718,.T.); #49928=EDGE_CURVE('',#39387,#39386,#34078,.T.); #49929=EDGE_CURVE('',#39388,#39387,#34079,.T.); #49930=EDGE_CURVE('',#39389,#39388,#34080,.T.); #49931=EDGE_CURVE('',#39390,#39389,#8003,.T.); #49932=EDGE_CURVE('',#39391,#39390,#30719,.T.); #49933=EDGE_CURVE('',#39392,#39391,#30720,.T.); #49934=EDGE_CURVE('',#39393,#39392,#30721,.T.); #49935=EDGE_CURVE('',#39383,#39393,#8004,.T.); #49936=EDGE_CURVE('',#39388,#39394,#30722,.T.); #49937=EDGE_CURVE('',#39387,#39395,#30723,.T.); #49938=EDGE_CURVE('',#39395,#39394,#34081,.T.); #49939=EDGE_CURVE('',#39396,#39397,#34082,.T.); #49940=EDGE_CURVE('',#39398,#39396,#34083,.T.); #49941=EDGE_CURVE('',#39398,#39399,#8005,.T.); #49942=EDGE_CURVE('',#39400,#39399,#34084,.T.); #49943=EDGE_CURVE('',#39401,#39400,#34085,.T.); #49944=EDGE_CURVE('',#39402,#39401,#8006,.T.); #49945=EDGE_CURVE('',#39397,#39402,#34086,.T.); #49946=EDGE_CURVE('',#39397,#39403,#30724,.T.); #49947=EDGE_CURVE('',#39403,#39404,#34087,.T.); #49948=EDGE_CURVE('',#39404,#39405,#34088,.T.); #49949=EDGE_CURVE('',#39396,#39405,#30725,.T.); #49950=EDGE_CURVE('',#39406,#39403,#34089,.T.); #49951=EDGE_CURVE('',#39407,#39406,#30726,.T.); #49952=EDGE_CURVE('',#39408,#39407,#30727,.T.); #49953=EDGE_CURVE('',#39408,#39404,#30728,.T.); #49954=EDGE_CURVE('',#39409,#39410,#8007,.T.); #49955=EDGE_CURVE('',#39411,#39409,#34090,.T.); #49956=EDGE_CURVE('',#39412,#39411,#34091,.T.); #49957=EDGE_CURVE('',#39412,#39413,#34092,.T.); #49958=EDGE_CURVE('',#39414,#39413,#34093,.T.); #49959=EDGE_CURVE('',#39415,#39414,#34094,.T.); #49960=EDGE_CURVE('',#39410,#39415,#34095,.T.); #49961=EDGE_CURVE('',#39399,#39410,#34096,.T.); #49962=EDGE_CURVE('',#39409,#39398,#34097,.T.); #49963=EDGE_CURVE('',#39398,#39416,#30729,.T.); #49964=EDGE_CURVE('',#39416,#39417,#34098,.T.); #49965=EDGE_CURVE('',#39409,#39417,#30730,.T.); #49966=EDGE_CURVE('',#38752,#38754,#34099,.T.); #49967=EDGE_CURVE('',#39418,#39419,#8008,.T.); #49968=EDGE_CURVE('',#39420,#39419,#34100,.T.); #49969=EDGE_CURVE('',#39414,#39420,#34101,.T.); #49970=EDGE_CURVE('',#39421,#39412,#34102,.T.); #49971=EDGE_CURVE('',#39418,#39421,#34103,.T.); #49972=EDGE_CURVE('',#39422,#39423,#34104,.T.); #49973=EDGE_CURVE('',#39424,#39423,#30731,.T.); #49974=EDGE_CURVE('',#39424,#39418,#34105,.T.); #49975=EDGE_CURVE('',#39418,#39422,#30732,.T.); #49976=EDGE_CURVE('',#39425,#39426,#30733,.T.); #49977=EDGE_CURVE('',#39427,#39426,#34106,.T.); #49978=EDGE_CURVE('',#39423,#39427,#34107,.T.); #49979=EDGE_CURVE('',#39428,#39422,#34108,.T.); #49980=EDGE_CURVE('',#39429,#39428,#34109,.T.); #49981=EDGE_CURVE('',#39430,#39429,#30734,.T.); #49982=EDGE_CURVE('',#39425,#39430,#30735,.T.); #49983=EDGE_CURVE('',#39431,#39432,#34110,.T.); #49984=EDGE_CURVE('',#39433,#39431,#34111,.T.); #49985=EDGE_CURVE('',#39433,#39434,#8009,.T.); #49986=EDGE_CURVE('',#39435,#39434,#34112,.T.); #49987=EDGE_CURVE('',#39436,#39435,#34113,.T.); #49988=EDGE_CURVE('',#39424,#39436,#8010,.T.); #49989=EDGE_CURVE('',#39432,#39424,#34114,.T.); #49990=EDGE_CURVE('',#39426,#39437,#34115,.T.); #49991=EDGE_CURVE('',#39438,#39425,#30736,.T.); #49992=EDGE_CURVE('',#39438,#39439,#30737,.T.); #49993=EDGE_CURVE('',#39437,#39439,#34116,.T.); #49994=EDGE_CURVE('',#39427,#39432,#30738,.T.); #49995=EDGE_CURVE('',#39431,#39437,#30739,.T.); #49996=EDGE_CURVE('',#39266,#39262,#30740,.T.); #49997=EDGE_CURVE('',#39241,#39236,#34117,.T.); #49998=EDGE_CURVE('',#39440,#39204,#30741,.T.); #49999=EDGE_CURVE('',#39440,#39441,#8011,.T.); #50000=EDGE_CURVE('',#39442,#39441,#34118,.T.); #50001=EDGE_CURVE('',#39206,#39442,#34119,.T.); #50002=EDGE_CURVE('',#39189,#39443,#8012,.T.); #50003=EDGE_CURVE('',#39188,#39443,#34120,.T.); #50004=EDGE_CURVE('',#35797,#35822,#30742,.T.); #50005=EDGE_CURVE('',#35795,#35823,#30743,.T.); #50006=EDGE_CURVE('',#39377,#39287,#34121,.T.); #50007=EDGE_CURVE('',#39444,#39304,#34122,.T.); #50008=EDGE_CURVE('',#39295,#39444,#34123,.T.); #50009=EDGE_CURVE('',#39445,#39446,#30744,.T.); #50010=EDGE_CURVE('',#39447,#39446,#34124,.T.); #50011=EDGE_CURVE('',#39448,#39447,#34125,.T.); #50012=EDGE_CURVE('',#39449,#39448,#34126,.T.); #50013=EDGE_CURVE('',#39449,#39450,#30745,.T.); #50014=EDGE_CURVE('',#39451,#39450,#34127,.T.); #50015=EDGE_CURVE('',#39452,#39451,#34128,.T.); #50016=EDGE_CURVE('',#39453,#39452,#34129,.T.); #50017=EDGE_CURVE('',#39454,#39453,#30746,.T.); #50018=EDGE_CURVE('',#39445,#39454,#30747,.T.); #50019=EDGE_CURVE('',#39455,#39406,#34130,.T.); #50020=EDGE_CURVE('',#39446,#39455,#34131,.T.); #50021=EDGE_CURVE('',#39407,#39445,#30748,.T.); #50022=EDGE_CURVE('',#39454,#39438,#30749,.T.); #50023=EDGE_CURVE('',#39456,#39453,#34132,.T.); #50024=EDGE_CURVE('',#39439,#39456,#34133,.T.); #50025=EDGE_CURVE('',#39405,#39416,#34134,.T.); #50026=EDGE_CURVE('',#39457,#39408,#30750,.T.); #50027=EDGE_CURVE('',#39457,#39458,#30751,.T.); #50028=EDGE_CURVE('',#39459,#39458,#34135,.T.); #50029=EDGE_CURVE('',#39417,#39459,#34136,.T.); #50030=EDGE_CURVE('',#39430,#39457,#30752,.T.); #50031=EDGE_CURVE('',#39460,#39429,#34137,.T.); #50032=EDGE_CURVE('',#39458,#39460,#34138,.T.); #50033=EDGE_CURVE('',#35652,#38537,#8013,.T.); #50034=EDGE_CURVE('',#39461,#39462,#1117,.T.); #50035=EDGE_CURVE('',#39462,#39463,#8014,.T.); #50036=EDGE_CURVE('',#39463,#39464,#1118,.T.); #50037=EDGE_CURVE('',#39464,#39461,#8015,.T.); #50038=EDGE_CURVE('',#39464,#39465,#8016,.F.); #50039=EDGE_CURVE('',#39466,#39465,#8017,.T.); #50040=EDGE_CURVE('',#39461,#39466,#8018,.F.); #50041=EDGE_CURVE('',#39467,#39463,#8019,.F.); #50042=EDGE_CURVE('',#39468,#39467,#1119,.T.); #50043=EDGE_CURVE('',#39469,#39468,#8020,.F.); #50044=EDGE_CURVE('',#39470,#39469,#1120,.T.); #50045=EDGE_CURVE('',#39471,#39470,#8021,.T.); #50046=EDGE_CURVE('',#39472,#39471,#8022,.T.); #50047=EDGE_CURVE('',#39473,#39472,#8023,.T.); #50048=EDGE_CURVE('',#39474,#39473,#8024,.T.); #50049=EDGE_CURVE('',#39475,#39474,#8025,.T.); #50050=EDGE_CURVE('',#39475,#39476,#1121,.F.); #50051=EDGE_CURVE('',#39477,#39476,#8026,.F.); #50052=EDGE_CURVE('',#39478,#39477,#1122,.T.); #50053=EDGE_CURVE('',#39479,#39478,#8027,.F.); #50054=EDGE_CURVE('',#39480,#39479,#1123,.T.); #50055=EDGE_CURVE('',#39481,#39480,#8028,.F.); #50056=EDGE_CURVE('',#39482,#39481,#1124,.T.); #50057=EDGE_CURVE('',#39483,#39482,#8029,.F.); #50058=EDGE_CURVE('',#39465,#39483,#1125,.T.); #50059=EDGE_CURVE('',#39484,#39485,#8030,.T.); #50060=EDGE_CURVE('',#39486,#39485,#1126,.T.); #50061=EDGE_CURVE('',#39486,#39487,#8031,.T.); #50062=EDGE_CURVE('',#39488,#39487,#1127,.T.); #50063=EDGE_CURVE('',#39488,#39489,#8032,.T.); #50064=EDGE_CURVE('',#39490,#39489,#1128,.T.); #50065=EDGE_CURVE('',#39490,#39491,#8033,.T.); #50066=EDGE_CURVE('',#39484,#39491,#1129,.T.); #50067=EDGE_CURVE('',#39492,#39493,#1130,.T.); #50068=EDGE_CURVE('',#39493,#39480,#8034,.T.); #50069=EDGE_CURVE('',#39479,#39492,#8035,.T.); #50070=EDGE_CURVE('',#39494,#39493,#8036,.F.); #50071=EDGE_CURVE('',#39481,#39494,#8037,.T.); #50072=EDGE_CURVE('',#39495,#39496,#1131,.T.); #50073=EDGE_CURVE('',#39496,#39497,#8038,.T.); #50074=EDGE_CURVE('',#39497,#39498,#1132,.T.); #50075=EDGE_CURVE('',#39498,#39495,#8039,.T.); #50076=EDGE_CURVE('',#39498,#39499,#8040,.F.); #50077=EDGE_CURVE('',#39500,#39499,#8041,.T.); #50078=EDGE_CURVE('',#39500,#39495,#8042,.T.); #50079=EDGE_CURVE('',#39501,#39497,#8043,.F.); #50080=EDGE_CURVE('',#39502,#39501,#1133,.T.); #50081=EDGE_CURVE('',#39503,#39502,#8044,.F.); #50082=EDGE_CURVE('',#39504,#39503,#1134,.T.); #50083=EDGE_CURVE('',#39505,#39504,#8045,.T.); #50084=EDGE_CURVE('',#39506,#39505,#34139,.T.); #50085=EDGE_CURVE('',#39507,#39506,#8046,.T.); #50086=EDGE_CURVE('',#39508,#39507,#34140,.T.); #50087=EDGE_CURVE('',#39509,#39508,#8047,.T.); #50088=EDGE_CURVE('',#39509,#39510,#1135,.F.); #50089=EDGE_CURVE('',#39511,#39510,#8048,.F.); #50090=EDGE_CURVE('',#39512,#39511,#1136,.T.); #50091=EDGE_CURVE('',#39513,#39512,#8049,.F.); #50092=EDGE_CURVE('',#39514,#39513,#1137,.T.); #50093=EDGE_CURVE('',#39515,#39514,#8050,.F.); #50094=EDGE_CURVE('',#39516,#39515,#1138,.T.); #50095=EDGE_CURVE('',#39517,#39516,#8051,.F.); #50096=EDGE_CURVE('',#39499,#39517,#1139,.T.); #50097=EDGE_CURVE('',#39518,#39519,#1140,.T.); #50098=EDGE_CURVE('',#39519,#39514,#8052,.T.); #50099=EDGE_CURVE('',#39513,#39518,#8053,.T.); #50100=EDGE_CURVE('',#39519,#39520,#8054,.T.); #50101=EDGE_CURVE('',#39515,#39520,#8055,.T.); #50102=EDGE_CURVE('',#39521,#39522,#1141,.T.); #50103=EDGE_CURVE('',#39522,#39523,#8056,.T.); #50104=EDGE_CURVE('',#39523,#39524,#30753,.T.); #50105=EDGE_CURVE('',#39524,#39521,#8057,.T.); #50106=EDGE_CURVE('',#39525,#39526,#30754,.T.); #50107=EDGE_CURVE('',#39526,#39524,#1142,.T.); #50108=EDGE_CURVE('',#39523,#39525,#1143,.T.); #50109=EDGE_CURVE('',#39527,#39526,#34141,.T.); #50110=EDGE_CURVE('',#39525,#39528,#1144,.T.); #50111=EDGE_CURVE('',#39528,#39527,#30755,.T.); #50112=EDGE_CURVE('',#39529,#39509,#34142,.F.); #50113=EDGE_CURVE('',#39529,#39530,#8058,.T.); #50114=EDGE_CURVE('',#39530,#39531,#34143,.T.); #50115=EDGE_CURVE('',#39531,#39532,#34144,.T.); #50116=EDGE_CURVE('',#39532,#39533,#30756,.T.); #50117=EDGE_CURVE('',#39533,#39510,#8059,.T.); #50118=EDGE_CURVE('',#39532,#39527,#34145,.T.); #50119=EDGE_CURVE('',#39528,#39533,#1145,.T.); #50120=EDGE_CURVE('',#39534,#39535,#8060,.T.); #50121=EDGE_CURVE('',#39535,#39536,#8061,.T.); #50122=EDGE_CURVE('',#39534,#39536,#1146,.T.); #50123=EDGE_CURVE('',#39537,#39529,#8062,.T.); #50124=EDGE_CURVE('',#39538,#39537,#1147,.T.); #50125=EDGE_CURVE('',#39539,#39538,#8063,.T.); #50126=EDGE_CURVE('',#39540,#39539,#8064,.T.); #50127=EDGE_CURVE('',#39541,#39540,#1148,.T.); #50128=EDGE_CURVE('',#39530,#39541,#1149,.T.); #50129=EDGE_CURVE('',#39535,#39521,#8065,.T.); #50130=EDGE_CURVE('',#39542,#39526,#1150,.T.); #50131=EDGE_CURVE('',#39543,#39542,#8066,.T.); #50132=EDGE_CURVE('',#39544,#39543,#8067,.T.); #50133=EDGE_CURVE('',#39545,#39544,#34146,.T.); #50134=EDGE_CURVE('',#39546,#39545,#34147,.T.); #50135=EDGE_CURVE('',#39547,#39546,#8068,.T.); #50136=EDGE_CURVE('',#39548,#39547,#1151,.T.); #50137=EDGE_CURVE('',#39549,#39548,#8069,.T.); #50138=EDGE_CURVE('',#39550,#39549,#8070,.T.); #50139=EDGE_CURVE('',#39551,#39550,#8071,.F.); #50140=EDGE_CURVE('',#39552,#39551,#8072,.T.); #50141=EDGE_CURVE('',#39553,#39552,#8073,.T.); #50142=EDGE_CURVE('',#39554,#39553,#1152,.T.); #50143=EDGE_CURVE('',#39555,#39554,#8074,.T.); #50144=EDGE_CURVE('',#39556,#39555,#34148,.T.); #50145=EDGE_CURVE('',#39557,#39556,#34149,.T.); #50146=EDGE_CURVE('',#39558,#39557,#8075,.T.); #50147=EDGE_CURVE('',#39536,#39558,#1153,.T.); #50148=EDGE_CURVE('',#39559,#39560,#30757,.T.); #50149=EDGE_CURVE('',#39560,#39561,#8076,.T.); #50150=EDGE_CURVE('',#39561,#39562,#1154,.T.); #50151=EDGE_CURVE('',#39562,#39559,#8077,.T.); #50152=EDGE_CURVE('',#39563,#39564,#30758,.T.); #50153=EDGE_CURVE('',#39564,#39560,#1155,.T.); #50154=EDGE_CURVE('',#39559,#39563,#1156,.T.); #50155=EDGE_CURVE('',#39565,#39566,#30759,.T.); #50156=EDGE_CURVE('',#39566,#39564,#1157,.T.); #50157=EDGE_CURVE('',#39563,#39565,#1158,.T.); #50158=EDGE_CURVE('',#39511,#39566,#8078,.T.); #50159=EDGE_CURVE('',#39565,#39512,#8079,.T.); #50160=EDGE_CURVE('',#39562,#39518,#8080,.T.); #50161=EDGE_CURVE('',#39469,#39567,#8081,.T.); #50162=EDGE_CURVE('',#39567,#39550,#1159,.T.); #50163=EDGE_CURVE('',#39549,#39470,#1160,.T.); #50164=EDGE_CURVE('',#39568,#39468,#8082,.T.); #50165=EDGE_CURVE('',#39567,#39568,#8083,.F.); #50166=EDGE_CURVE('',#39483,#39569,#8084,.T.); #50167=EDGE_CURVE('',#39569,#39466,#1161,.T.); #50168=EDGE_CURVE('',#39570,#39482,#8085,.T.); #50169=EDGE_CURVE('',#39569,#39570,#8086,.F.); #50170=EDGE_CURVE('',#39467,#39571,#8087,.T.); #50171=EDGE_CURVE('',#39571,#39568,#1162,.T.); #50172=EDGE_CURVE('',#39571,#39462,#8088,.F.); #50173=EDGE_CURVE('',#39477,#39572,#8089,.T.); #50174=EDGE_CURVE('',#39572,#39573,#1163,.T.); #50175=EDGE_CURVE('',#39573,#39478,#8090,.T.); #50176=EDGE_CURVE('',#39574,#39476,#8091,.T.); #50177=EDGE_CURVE('',#39572,#39574,#8092,.F.); #50178=EDGE_CURVE('',#39494,#39570,#1164,.T.); #50179=EDGE_CURVE('',#39501,#39575,#8093,.T.); #50180=EDGE_CURVE('',#39575,#39576,#1165,.T.); #50181=EDGE_CURVE('',#39576,#39502,#8094,.T.); #50182=EDGE_CURVE('',#39496,#39575,#8095,.T.); #50183=EDGE_CURVE('',#39517,#39577,#8096,.T.); #50184=EDGE_CURVE('',#39577,#39500,#1166,.T.); #50185=EDGE_CURVE('',#39578,#39516,#8097,.T.); #50186=EDGE_CURVE('',#39578,#39577,#8098,.T.); #50187=EDGE_CURVE('',#39520,#39578,#1167,.T.); #50188=EDGE_CURVE('',#39491,#39579,#8099,.T.); #50189=EDGE_CURVE('',#39579,#39580,#1168,.T.); #50190=EDGE_CURVE('',#39580,#39484,#8100,.T.); #50191=EDGE_CURVE('',#39581,#39490,#8101,.T.); #50192=EDGE_CURVE('',#39579,#39581,#8102,.F.); #50193=EDGE_CURVE('',#39487,#39582,#8103,.T.); #50194=EDGE_CURVE('',#39582,#39583,#1169,.T.); #50195=EDGE_CURVE('',#39583,#39488,#8104,.T.); #50196=EDGE_CURVE('',#39584,#39486,#8105,.T.); #50197=EDGE_CURVE('',#39582,#39584,#8106,.F.); #50198=EDGE_CURVE('',#39485,#39585,#8107,.T.); #50199=EDGE_CURVE('',#39585,#39584,#1170,.T.); #50200=EDGE_CURVE('',#39585,#39580,#8108,.F.); #50201=EDGE_CURVE('',#39489,#39586,#8109,.T.); #50202=EDGE_CURVE('',#39586,#39581,#1171,.T.); #50203=EDGE_CURVE('',#39586,#39583,#8110,.F.); #50204=EDGE_CURVE('',#39552,#39475,#1172,.F.); #50205=EDGE_CURVE('',#39551,#39574,#1173,.T.); #50206=EDGE_CURVE('',#39543,#39587,#34150,.F.); #50207=EDGE_CURVE('',#39588,#39542,#34151,.F.); #50208=EDGE_CURVE('',#39588,#39589,#8111,.T.); #50209=EDGE_CURVE('',#39587,#39589,#1174,.F.); #50210=EDGE_CURVE('',#39576,#39589,#8112,.T.); #50211=EDGE_CURVE('',#39588,#39590,#8113,.T.); #50212=EDGE_CURVE('',#39590,#39591,#8114,.T.); #50213=EDGE_CURVE('',#39591,#39592,#8115,.T.); #50214=EDGE_CURVE('',#39503,#39592,#8116,.T.); #50215=EDGE_CURVE('',#39592,#39540,#34152,.T.); #50216=EDGE_CURVE('',#39539,#39504,#34153,.T.); #50217=EDGE_CURVE('',#39523,#39560,#8117,.T.); #50218=EDGE_CURVE('',#39564,#39528,#8118,.T.); #50219=EDGE_CURVE('',#39566,#39533,#8119,.T.); #50220=EDGE_CURVE('',#39593,#39593,#30760,.T.); #50221=EDGE_CURVE('',#39593,#39594,#30761,.T.); #50222=EDGE_CURVE('',#39594,#39594,#30762,.T.); #50223=EDGE_CURVE('',#39595,#39596,#34154,.T.); #50224=EDGE_CURVE('',#39597,#39595,#34155,.T.); #50225=EDGE_CURVE('',#39596,#39597,#34156,.T.); #50226=EDGE_CURVE('',#39596,#39594,#8120,.T.); #50227=EDGE_CURVE('',#35583,#35594,#34157,.T.); #50228=EDGE_CURVE('',#39598,#35582,#30763,.T.); #50229=EDGE_CURVE('',#35595,#39598,#34158,.T.); #50230=EDGE_CURVE('',#39599,#39599,#30764,.T.); #50231=EDGE_CURVE('',#39599,#39600,#30765,.T.); #50232=EDGE_CURVE('',#39600,#39600,#30766,.T.); #50233=EDGE_CURVE('',#39601,#39602,#34159,.T.); #50234=EDGE_CURVE('',#39603,#39601,#34160,.T.); #50235=EDGE_CURVE('',#39603,#39600,#8121,.T.); #50236=EDGE_CURVE('',#39602,#39603,#34161,.T.); #50237=EDGE_CURVE('',#35598,#35605,#34162,.T.); #50238=EDGE_CURVE('',#39604,#35597,#30767,.T.); #50239=EDGE_CURVE('',#35606,#39604,#34163,.T.); #50240=EDGE_CURVE('',#39602,#39605,#30768,.T.); #50241=EDGE_CURVE('',#39605,#39606,#34164,.T.); #50242=EDGE_CURVE('',#39606,#39601,#30769,.T.); #50243=EDGE_CURVE('',#39606,#39605,#34165,.T.); #50244=EDGE_CURVE('',#39607,#39605,#8122,.T.); #50245=EDGE_CURVE('',#39608,#39607,#34166,.T.); #50246=EDGE_CURVE('',#39609,#39608,#8123,.T.); #50247=EDGE_CURVE('',#39610,#39609,#34167,.T.); #50248=EDGE_CURVE('',#39611,#39610,#34168,.T.); #50249=EDGE_CURVE('',#39606,#39611,#8124,.T.); #50250=EDGE_CURVE('',#39597,#39612,#30770,.T.); #50251=EDGE_CURVE('',#39612,#39613,#34169,.T.); #50252=EDGE_CURVE('',#39613,#39595,#30771,.T.); #50253=EDGE_CURVE('',#39613,#39612,#34170,.T.); #50254=EDGE_CURVE('',#39612,#39614,#8125,.T.); #50255=EDGE_CURVE('',#39615,#39614,#34171,.T.); #50256=EDGE_CURVE('',#39616,#39615,#34172,.T.); #50257=EDGE_CURVE('',#39617,#39616,#8126,.T.); #50258=EDGE_CURVE('',#39618,#39617,#34173,.T.); #50259=EDGE_CURVE('',#39618,#39613,#8127,.T.); #50260=EDGE_CURVE('',#39619,#39620,#8128,.T.); #50261=EDGE_CURVE('',#39621,#39619,#8129,.T.); #50262=EDGE_CURVE('',#39622,#39621,#8130,.T.); #50263=EDGE_CURVE('',#39620,#39622,#8131,.T.); #50264=EDGE_CURVE('',#39620,#39623,#8132,.T.); #50265=EDGE_CURVE('',#39624,#39622,#8133,.T.); #50266=EDGE_CURVE('',#39624,#39623,#8134,.T.); #50267=EDGE_CURVE('',#39625,#39621,#8135,.T.); #50268=EDGE_CURVE('',#39625,#39624,#8136,.T.); #50269=EDGE_CURVE('',#39626,#35601,#34174,.T.); #50270=EDGE_CURVE('',#39627,#39626,#30772,.T.); #50271=EDGE_CURVE('',#35602,#39627,#34175,.T.); #50272=EDGE_CURVE('',#39628,#39619,#8137,.T.); #50273=EDGE_CURVE('',#39628,#39625,#8138,.T.); #50274=EDGE_CURVE('',#39623,#39628,#8139,.T.); #50275=EDGE_CURVE('',#35604,#39627,#34176,.T.); #50276=EDGE_CURVE('',#39626,#35603,#34177,.T.); #50277=EDGE_CURVE('',#39604,#35600,#34178,.T.); #50278=EDGE_CURVE('',#35596,#35599,#34179,.T.); #50279=EDGE_CURVE('',#39629,#39630,#8140,.T.); #50280=EDGE_CURVE('',#39631,#39629,#8141,.T.); #50281=EDGE_CURVE('',#39632,#39631,#8142,.T.); #50282=EDGE_CURVE('',#39630,#39632,#8143,.T.); #50283=EDGE_CURVE('',#39630,#39633,#8144,.T.); #50284=EDGE_CURVE('',#39634,#39632,#8145,.T.); #50285=EDGE_CURVE('',#39634,#39633,#8146,.T.); #50286=EDGE_CURVE('',#39635,#39631,#8147,.T.); #50287=EDGE_CURVE('',#39635,#39634,#8148,.T.); #50288=EDGE_CURVE('',#35591,#35586,#34180,.T.); #50289=EDGE_CURVE('',#35587,#35590,#34181,.T.); #50290=EDGE_CURVE('',#39636,#39629,#8149,.T.); #50291=EDGE_CURVE('',#39636,#39635,#8150,.T.); #50292=EDGE_CURVE('',#39633,#39636,#8151,.T.); #50293=EDGE_CURVE('',#35593,#35589,#34182,.T.); #50294=EDGE_CURVE('',#35588,#35592,#34183,.T.); #50295=EDGE_CURVE('',#39598,#35585,#34184,.T.); #50296=EDGE_CURVE('',#35581,#35584,#34185,.T.); #50297=EDGE_CURVE('',#39637,#39638,#8152,.T.); #50298=EDGE_CURVE('',#39637,#39639,#8153,.T.); #50299=EDGE_CURVE('',#39639,#39640,#8154,.T.); #50300=EDGE_CURVE('',#39638,#39640,#8155,.T.); #50301=EDGE_CURVE('',#39641,#39637,#8156,.T.); #50302=EDGE_CURVE('',#39641,#39642,#8157,.T.); #50303=EDGE_CURVE('',#39642,#39639,#8158,.T.); #50304=EDGE_CURVE('',#39643,#39641,#8159,.T.); #50305=EDGE_CURVE('',#39643,#39644,#8160,.T.); #50306=EDGE_CURVE('',#39644,#39642,#8161,.T.); #50307=EDGE_CURVE('',#39638,#39643,#8162,.T.); #50308=EDGE_CURVE('',#39640,#39644,#8163,.T.); #50309=EDGE_CURVE('',#39645,#39646,#8164,.T.); #50310=EDGE_CURVE('',#39645,#39647,#8165,.T.); #50311=EDGE_CURVE('',#39647,#39648,#8166,.T.); #50312=EDGE_CURVE('',#39646,#39648,#8167,.T.); #50313=EDGE_CURVE('',#39649,#39645,#8168,.T.); #50314=EDGE_CURVE('',#39649,#39650,#8169,.T.); #50315=EDGE_CURVE('',#39650,#39647,#8170,.T.); #50316=EDGE_CURVE('',#39651,#39649,#8171,.T.); #50317=EDGE_CURVE('',#39651,#39652,#8172,.T.); #50318=EDGE_CURVE('',#39652,#39650,#8173,.T.); #50319=EDGE_CURVE('',#39646,#39651,#8174,.T.); #50320=EDGE_CURVE('',#39648,#39652,#8175,.T.); #50321=EDGE_CURVE('',#39653,#39654,#8176,.T.); #50322=EDGE_CURVE('',#39653,#39655,#8177,.T.); #50323=EDGE_CURVE('',#39655,#39656,#8178,.T.); #50324=EDGE_CURVE('',#39654,#39656,#8179,.T.); #50325=EDGE_CURVE('',#39657,#39653,#8180,.T.); #50326=EDGE_CURVE('',#39657,#39658,#8181,.T.); #50327=EDGE_CURVE('',#39658,#39655,#8182,.T.); #50328=EDGE_CURVE('',#39659,#39657,#8183,.T.); #50329=EDGE_CURVE('',#39659,#39660,#8184,.T.); #50330=EDGE_CURVE('',#39660,#39658,#8185,.T.); #50331=EDGE_CURVE('',#39654,#39659,#8186,.T.); #50332=EDGE_CURVE('',#39656,#39660,#8187,.T.); #50333=EDGE_CURVE('',#39661,#39662,#8188,.T.); #50334=EDGE_CURVE('',#39663,#39661,#34186,.T.); #50335=EDGE_CURVE('',#39664,#39663,#34187,.T.); #50336=EDGE_CURVE('',#39662,#39664,#34188,.T.); #50337=EDGE_CURVE('',#39665,#39666,#34189,.T.); #50338=EDGE_CURVE('',#39663,#39666,#30773,.T.); #50339=EDGE_CURVE('',#39661,#39665,#30774,.T.); #50340=EDGE_CURVE('',#39667,#39668,#34190,.T.); #50341=EDGE_CURVE('',#39667,#39669,#8189,.T.); #50342=EDGE_CURVE('',#39670,#39669,#34191,.T.); #50343=EDGE_CURVE('',#39671,#39670,#34192,.T.); #50344=EDGE_CURVE('',#39672,#39671,#34193,.T.); #50345=EDGE_CURVE('',#39672,#39673,#8190,.T.); #50346=EDGE_CURVE('',#39668,#39673,#34194,.T.); #50347=EDGE_CURVE('',#39674,#39675,#34195,.T.); #50348=EDGE_CURVE('',#39675,#39667,#30775,.T.); #50349=EDGE_CURVE('',#39674,#39668,#30776,.T.); #50350=EDGE_CURVE('',#39674,#39676,#8191,.T.); #50351=EDGE_CURVE('',#39677,#39676,#34196,.T.); #50352=EDGE_CURVE('',#39675,#39677,#34197,.T.); #50353=EDGE_CURVE('',#39678,#38517,#34198,.T.); #50354=EDGE_CURVE('',#39676,#39678,#34199,.T.); #50355=EDGE_CURVE('',#39679,#39674,#34200,.T.); #50356=EDGE_CURVE('',#39680,#39679,#34201,.T.); #50357=EDGE_CURVE('',#39661,#39680,#34202,.T.); #50358=EDGE_CURVE('',#39681,#39662,#34203,.T.); #50359=EDGE_CURVE('',#38532,#39681,#34204,.T.); #50360=EDGE_CURVE('',#38722,#39682,#30777,.T.); #50361=EDGE_CURVE('',#39682,#38723,#34205,.T.); #50362=EDGE_CURVE('',#38721,#39683,#30778,.T.); #50363=EDGE_CURVE('',#39683,#39682,#34206,.T.); #50364=EDGE_CURVE('',#38467,#39684,#30779,.T.); #50365=EDGE_CURVE('',#39684,#39685,#34207,.T.); #50366=EDGE_CURVE('',#39685,#38720,#30780,.T.); #50367=EDGE_CURVE('',#39292,#39684,#8192,.T.); #50368=EDGE_CURVE('',#39224,#39232,#30781,.T.); #50369=EDGE_CURVE('',#38433,#39440,#30782,.T.); #50370=EDGE_CURVE('',#38432,#39686,#30783,.T.); #50371=EDGE_CURVE('',#39686,#39440,#8193,.T.); #50372=EDGE_CURVE('',#38704,#39687,#30784,.T.); #50373=EDGE_CURVE('',#39687,#39686,#34208,.T.); #50374=EDGE_CURVE('',#39685,#39683,#34209,.T.); #50375=EDGE_CURVE('',#39688,#38703,#30785,.T.); #50376=EDGE_CURVE('',#38702,#39689,#30786,.T.); #50377=EDGE_CURVE('',#39689,#39688,#34210,.T.); #50378=EDGE_CURVE('',#38701,#39689,#34211,.T.); #50379=EDGE_CURVE('',#39688,#39687,#34212,.T.); #50380=EDGE_CURVE('',#39685,#39690,#34213,.T.); #50381=EDGE_CURVE('',#39690,#39691,#30787,.T.); #50382=EDGE_CURVE('',#39691,#39692,#34214,.T.); #50383=EDGE_CURVE('',#39692,#39683,#30788,.T.); #50384=EDGE_CURVE('',#39690,#39311,#34215,.T.); #50385=EDGE_CURVE('',#39290,#39278,#34216,.T.); #50386=EDGE_CURVE('',#39693,#39225,#34217,.T.); #50387=EDGE_CURVE('',#39694,#39693,#34218,.T.); #50388=EDGE_CURVE('',#39231,#39694,#34219,.T.); #50389=EDGE_CURVE('',#39695,#39687,#34220,.T.); #50390=EDGE_CURVE('',#39441,#39695,#34221,.T.); #50391=EDGE_CURVE('',#39688,#39696,#30789,.T.); #50392=EDGE_CURVE('',#39696,#39697,#34222,.T.); #50393=EDGE_CURVE('',#39695,#39697,#30790,.T.); #50394=EDGE_CURVE('',#39689,#39698,#30791,.T.); #50395=EDGE_CURVE('',#39698,#39696,#34223,.T.); #50396=EDGE_CURVE('',#38701,#39698,#34224,.T.); #50397=EDGE_CURVE('',#39454,#39699,#30792,.T.); #50398=EDGE_CURVE('',#39699,#39700,#30793,.T.); #50399=EDGE_CURVE('',#39700,#39445,#30794,.T.); #50400=EDGE_CURVE('',#39701,#39407,#30795,.T.); #50401=EDGE_CURVE('',#39700,#39701,#30796,.T.); #50402=EDGE_CURVE('',#39702,#39408,#30797,.T.); #50403=EDGE_CURVE('',#39701,#39702,#30798,.T.); #50404=EDGE_CURVE('',#39703,#39457,#30799,.T.); #50405=EDGE_CURVE('',#39702,#39703,#30800,.T.); #50406=EDGE_CURVE('',#39703,#39704,#30801,.T.); #50407=EDGE_CURVE('',#39704,#39430,#30802,.T.); #50408=EDGE_CURVE('',#39704,#39705,#30803,.T.); #50409=EDGE_CURVE('',#39705,#39425,#30804,.T.); #50410=EDGE_CURVE('',#39705,#39706,#30805,.T.); #50411=EDGE_CURVE('',#39706,#39438,#30806,.T.); #50412=EDGE_CURVE('',#39706,#39699,#30807,.T.); #50413=EDGE_CURVE('',#39702,#35636,#8194,.T.); #50414=EDGE_CURVE('',#39703,#35635,#8195,.T.); #50415=EDGE_CURVE('',#39701,#35637,#8196,.T.); #50416=EDGE_CURVE('',#39700,#35630,#8197,.T.); #50417=EDGE_CURVE('',#39699,#35631,#8198,.T.); #50418=EDGE_CURVE('',#39704,#35634,#8199,.T.); #50419=EDGE_CURVE('',#39705,#35633,#8200,.T.); #50420=EDGE_CURVE('',#39706,#35632,#8201,.T.); #50421=EDGE_CURVE('',#39707,#39544,#30808,.T.); #50422=EDGE_CURVE('',#39587,#39707,#8202,.T.); #50423=EDGE_CURVE('',#39708,#39709,#30809,.T.); #50424=EDGE_CURVE('',#39709,#39544,#34225,.T.); #50425=EDGE_CURVE('',#39707,#39708,#34226,.T.); #50426=EDGE_CURVE('',#39708,#39710,#34227,.T.); #50427=EDGE_CURVE('',#39710,#39709,#34228,.T.); #50428=EDGE_CURVE('',#39708,#39711,#34229,.T.); #50429=EDGE_CURVE('',#39711,#39712,#30810,.T.); #50430=EDGE_CURVE('',#39712,#39710,#34230,.T.); #50431=EDGE_CURVE('',#39522,#39561,#8203,.T.); #50432=EDGE_CURVE('',#39713,#39534,#8204,.T.); #50433=EDGE_CURVE('',#39714,#39713,#34231,.T.); #50434=EDGE_CURVE('',#39715,#39714,#34232,.T.); #50435=EDGE_CURVE('',#39716,#39715,#34233,.T.); #50436=EDGE_CURVE('',#39717,#39716,#8205,.T.); #50437=EDGE_CURVE('',#39711,#39717,#34234,.T.); #50438=EDGE_CURVE('',#39557,#39713,#30811,.T.); #50439=EDGE_CURVE('',#39557,#39718,#34235,.T.); #50440=EDGE_CURVE('',#39718,#39714,#30812,.T.); #50441=EDGE_CURVE('',#39718,#39719,#34236,.T.); #50442=EDGE_CURVE('',#39719,#39714,#34237,.T.); #50443=EDGE_CURVE('',#39556,#39720,#30813,.T.); #50444=EDGE_CURVE('',#39720,#39721,#34238,.T.); #50445=EDGE_CURVE('',#39719,#39721,#34239,.T.); #50446=EDGE_CURVE('',#39508,#39722,#30814,.T.); #50447=EDGE_CURVE('',#39722,#39537,#34240,.T.); #50448=EDGE_CURVE('',#39723,#39722,#34241,.T.); #50449=EDGE_CURVE('',#39508,#39723,#34242,.T.); #50450=EDGE_CURVE('',#39507,#39724,#30815,.F.); #50451=EDGE_CURVE('',#39724,#39723,#34243,.T.); #50452=EDGE_CURVE('',#39725,#39538,#34244,.F.); #50453=EDGE_CURVE('',#39725,#39505,#30816,.T.); #50454=EDGE_CURVE('',#39726,#39725,#34245,.F.); #50455=EDGE_CURVE('',#39726,#39505,#34246,.T.); #50456=EDGE_CURVE('',#39727,#39728,#30817,.F.); #50457=EDGE_CURVE('',#39716,#39727,#30818,.F.); #50458=EDGE_CURVE('',#39728,#39716,#30819,.F.); #50459=EDGE_CURVE('',#39729,#39727,#30820,.T.); #50460=EDGE_CURVE('',#39728,#39730,#30821,.T.); #50461=EDGE_CURVE('',#39730,#39729,#34247,.T.); #50462=EDGE_CURVE('',#39731,#39717,#30822,.F.); #50463=EDGE_CURVE('',#39732,#39731,#30823,.F.); #50464=EDGE_CURVE('',#39717,#39732,#30824,.F.); #50465=EDGE_CURVE('',#39506,#39733,#30825,.F.); #50466=EDGE_CURVE('',#39734,#39506,#30826,.F.); #50467=EDGE_CURVE('',#39733,#39734,#30827,.F.); #50468=EDGE_CURVE('',#39726,#39734,#34248,.T.); #50469=EDGE_CURVE('',#39734,#39732,#30828,.T.); #50470=EDGE_CURVE('',#39731,#39733,#30829,.T.); #50471=EDGE_CURVE('',#39735,#39507,#30830,.F.); #50472=EDGE_CURVE('',#39724,#39735,#30831,.F.); #50473=EDGE_CURVE('',#39736,#39724,#30832,.T.); #50474=EDGE_CURVE('',#39735,#39737,#30833,.T.); #50475=EDGE_CURVE('',#39737,#39736,#34249,.T.); #50476=EDGE_CURVE('',#39733,#39735,#8206,.T.); #50477=EDGE_CURVE('',#39738,#39739,#8207,.T.); #50478=EDGE_CURVE('',#39739,#39736,#34250,.T.); #50479=EDGE_CURVE('',#39737,#39729,#8208,.T.); #50480=EDGE_CURVE('',#39730,#39738,#34251,.T.); #50481=EDGE_CURVE('',#39732,#39712,#34252,.T.); #50482=EDGE_CURVE('',#39727,#39731,#8209,.T.); #50483=EDGE_CURVE('',#39715,#39740,#30834,.T.); #50484=EDGE_CURVE('',#39740,#39728,#34253,.T.); #50485=EDGE_CURVE('',#39719,#39740,#34254,.T.); #50486=EDGE_CURVE('',#39741,#39738,#696,.T.); #50487=EDGE_CURVE('',#39742,#39741,#8210,.T.); #50488=EDGE_CURVE('',#39739,#39742,#697,.T.); #50489=EDGE_CURVE('',#39743,#39743,#1175,.T.); #50490=EDGE_CURVE('',#39744,#39740,#34255,.T.); #50491=EDGE_CURVE('',#39745,#39744,#30835,.T.); #50492=EDGE_CURVE('',#39741,#39745,#34256,.T.); #50493=EDGE_CURVE('',#39720,#39746,#34257,.T.); #50494=EDGE_CURVE('',#39747,#39746,#34258,.T.); #50495=EDGE_CURVE('',#39744,#39747,#34259,.T.); #50496=EDGE_CURVE('',#39748,#39749,#30836,.T.); #50497=EDGE_CURVE('',#39749,#39750,#1176,.T.); #50498=EDGE_CURVE('',#39750,#39751,#30837,.T.); #50499=EDGE_CURVE('',#39751,#39748,#1177,.T.); #50500=EDGE_CURVE('',#39752,#39753,#30838,.T.); #50501=EDGE_CURVE('',#39753,#39749,#34260,.T.); #50502=EDGE_CURVE('',#39748,#39752,#34261,.T.); #50503=EDGE_CURVE('',#39754,#39755,#30839,.T.); #50504=EDGE_CURVE('',#39755,#39753,#34262,.T.); #50505=EDGE_CURVE('',#39752,#39754,#34263,.T.); #50506=EDGE_CURVE('',#39751,#39756,#30840,.T.); #50507=EDGE_CURVE('',#39756,#39757,#34264,.T.); #50508=EDGE_CURVE('',#39757,#39758,#30841,.T.); #50509=EDGE_CURVE('',#39758,#39751,#34265,.T.); #50510=EDGE_CURVE('',#39759,#39760,#30842,.T.); #50511=EDGE_CURVE('',#39760,#39761,#1178,.T.); #50512=EDGE_CURVE('',#39761,#39762,#30843,.T.); #50513=EDGE_CURVE('',#39762,#39759,#1179,.T.); #50514=EDGE_CURVE('',#39763,#39764,#30844,.T.); #50515=EDGE_CURVE('',#39764,#39760,#34266,.T.); #50516=EDGE_CURVE('',#39759,#39763,#34267,.T.); #50517=EDGE_CURVE('',#39765,#39766,#30845,.T.); #50518=EDGE_CURVE('',#39766,#39764,#34268,.T.); #50519=EDGE_CURVE('',#39763,#39765,#34269,.T.); #50520=EDGE_CURVE('',#39767,#39768,#30846,.T.); #50521=EDGE_CURVE('',#39768,#39766,#34270,.T.); #50522=EDGE_CURVE('',#39765,#39767,#34271,.T.); #50523=EDGE_CURVE('',#39769,#39770,#30847,.T.); #50524=EDGE_CURVE('',#39770,#39768,#1180,.T.); #50525=EDGE_CURVE('',#39767,#39769,#1181,.T.); #50526=EDGE_CURVE('',#39771,#39772,#30848,.T.); #50527=EDGE_CURVE('',#39772,#39770,#34272,.T.); #50528=EDGE_CURVE('',#39769,#39771,#34273,.T.); #50529=EDGE_CURVE('',#39773,#39774,#30849,.T.); #50530=EDGE_CURVE('',#39774,#39772,#34274,.T.); #50531=EDGE_CURVE('',#39771,#39773,#34275,.T.); #50532=EDGE_CURVE('',#39775,#39776,#30850,.T.); #50533=EDGE_CURVE('',#39776,#39774,#34276,.T.); #50534=EDGE_CURVE('',#39773,#39775,#34277,.T.); #50535=EDGE_CURVE('',#39758,#39777,#30851,.T.); #50536=EDGE_CURVE('',#39777,#39776,#1182,.T.); #50537=EDGE_CURVE('',#39775,#39758,#1183,.T.); #50538=EDGE_CURVE('',#39762,#39778,#30852,.T.); #50539=EDGE_CURVE('',#39778,#39779,#34278,.T.); #50540=EDGE_CURVE('',#39779,#39780,#30853,.T.); #50541=EDGE_CURVE('',#39780,#39762,#34279,.T.); #50542=EDGE_CURVE('',#39781,#39782,#30854,.T.); #50543=EDGE_CURVE('',#39782,#39783,#34280,.T.); #50544=EDGE_CURVE('',#39783,#39781,#34281,.T.); #50545=EDGE_CURVE('',#39784,#39785,#30855,.T.); #50546=EDGE_CURVE('',#39785,#39782,#34282,.T.); #50547=EDGE_CURVE('',#39781,#39784,#34283,.T.); #50548=EDGE_CURVE('',#39786,#39787,#30856,.T.); #50549=EDGE_CURVE('',#39787,#39785,#34284,.T.); #50550=EDGE_CURVE('',#39784,#39786,#34285,.T.); #50551=EDGE_CURVE('',#39788,#39789,#30857,.T.); #50552=EDGE_CURVE('',#39789,#39790,#34286,.T.); #50553=EDGE_CURVE('',#39790,#39791,#30858,.T.); #50554=EDGE_CURVE('',#39791,#39788,#34287,.T.); #50555=EDGE_CURVE('',#39792,#39793,#30859,.T.); #50556=EDGE_CURVE('',#39793,#39791,#34288,.T.); #50557=EDGE_CURVE('',#39790,#39792,#34289,.T.); #50558=EDGE_CURVE('',#39780,#39794,#30860,.T.); #50559=EDGE_CURVE('',#39794,#39793,#1184,.T.); #50560=EDGE_CURVE('',#39792,#39780,#1185,.T.); #50561=EDGE_CURVE('',#39788,#39787,#34290,.T.); #50562=EDGE_CURVE('',#39786,#39789,#34291,.T.); #50563=EDGE_CURVE('',#39779,#39794,#30861,.T.); #50564=EDGE_CURVE('',#39761,#39778,#30862,.T.); #50565=EDGE_CURVE('',#39757,#39777,#30863,.T.); #50566=EDGE_CURVE('',#39750,#39756,#30864,.T.); #50567=EDGE_CURVE('',#39795,#39796,#30865,.T.); #50568=EDGE_CURVE('',#39796,#39755,#34292,.T.); #50569=EDGE_CURVE('',#39754,#39795,#34293,.T.); #50570=EDGE_CURVE('',#39797,#39798,#30866,.T.); #50571=EDGE_CURVE('',#39798,#39799,#34294,.T.); #50572=EDGE_CURVE('',#39799,#39800,#30867,.T.); #50573=EDGE_CURVE('',#39800,#39797,#34295,.T.); #50574=EDGE_CURVE('',#39799,#39801,#34296,.T.); #50575=EDGE_CURVE('',#39801,#39800,#34297,.T.); #50576=EDGE_CURVE('',#39797,#39796,#34298,.T.); #50577=EDGE_CURVE('',#39795,#39798,#34299,.T.); #50578=EDGE_CURVE('',#39793,#39802,#8211,.T.); #50579=EDGE_CURVE('',#39803,#39802,#30868,.T.); #50580=EDGE_CURVE('',#39803,#39791,#8212,.T.); #50581=EDGE_CURVE('',#39804,#39785,#8213,.T.); #50582=EDGE_CURVE('',#39782,#39804,#34300,.T.); #50583=EDGE_CURVE('',#39805,#39803,#8214,.T.); #50584=EDGE_CURVE('',#39806,#39805,#8215,.T.); #50585=EDGE_CURVE('',#39788,#39806,#8216,.T.); #50586=EDGE_CURVE('',#39807,#39794,#8217,.T.); #50587=EDGE_CURVE('',#39802,#39807,#8218,.T.); #50588=EDGE_CURVE('',#39779,#39808,#8219,.T.); #50589=EDGE_CURVE('',#39807,#39808,#1186,.T.); #50590=EDGE_CURVE('',#39809,#39778,#8220,.T.); #50591=EDGE_CURVE('',#39808,#39809,#8221,.T.); #50592=EDGE_CURVE('',#39761,#39810,#8222,.T.); #50593=EDGE_CURVE('',#39809,#39810,#1187,.T.); #50594=EDGE_CURVE('',#39811,#39760,#8223,.T.); #50595=EDGE_CURVE('',#39810,#39811,#8224,.T.); #50596=EDGE_CURVE('',#39764,#39812,#8225,.T.); #50597=EDGE_CURVE('',#39811,#39812,#30869,.T.); #50598=EDGE_CURVE('',#39813,#39766,#8226,.T.); #50599=EDGE_CURVE('',#39812,#39813,#8227,.T.); #50600=EDGE_CURVE('',#39768,#39814,#8228,.T.); #50601=EDGE_CURVE('',#39813,#39814,#1188,.T.); #50602=EDGE_CURVE('',#39815,#39770,#8229,.T.); #50603=EDGE_CURVE('',#39814,#39815,#8230,.T.); #50604=EDGE_CURVE('',#39492,#39573,#8231,.F.); #50605=EDGE_CURVE('',#39772,#39816,#8232,.T.); #50606=EDGE_CURVE('',#39815,#39816,#1189,.T.); #50607=EDGE_CURVE('',#39817,#39774,#8233,.T.); #50608=EDGE_CURVE('',#39816,#39817,#8234,.T.); #50609=EDGE_CURVE('',#39776,#39818,#8235,.T.); #50610=EDGE_CURVE('',#39817,#39818,#30870,.T.); #50611=EDGE_CURVE('',#39819,#39777,#8236,.T.); #50612=EDGE_CURVE('',#39818,#39819,#8237,.T.); #50613=EDGE_CURVE('',#39757,#39820,#8238,.T.); #50614=EDGE_CURVE('',#39819,#39820,#1190,.T.); #50615=EDGE_CURVE('',#39821,#39756,#8239,.T.); #50616=EDGE_CURVE('',#39820,#39821,#8240,.T.); #50617=EDGE_CURVE('',#39750,#39822,#8241,.T.); #50618=EDGE_CURVE('',#39821,#39822,#1191,.T.); #50619=EDGE_CURVE('',#39823,#39749,#8242,.T.); #50620=EDGE_CURVE('',#39822,#39823,#8243,.T.); #50621=EDGE_CURVE('',#39753,#39824,#8244,.T.); #50622=EDGE_CURVE('',#39823,#39824,#30871,.T.); #50623=EDGE_CURVE('',#39797,#39825,#8245,.T.); #50624=EDGE_CURVE('',#39825,#39555,#30872,.T.); #50625=EDGE_CURVE('',#39826,#39554,#34301,.T.); #50626=EDGE_CURVE('',#39826,#39755,#8246,.T.); #50627=EDGE_CURVE('',#39825,#39800,#34302,.T.); #50628=EDGE_CURVE('',#39827,#39826,#8247,.T.); #50629=EDGE_CURVE('',#39824,#39827,#8248,.T.); #50630=EDGE_CURVE('',#39801,#39828,#34303,.T.); #50631=EDGE_CURVE('',#39828,#39825,#30873,.T.); #50632=EDGE_CURVE('',#39795,#39829,#34304,.T.); #50633=EDGE_CURVE('',#39830,#39829,#30874,.T.); #50634=EDGE_CURVE('',#39830,#39831,#34305,.T.); #50635=EDGE_CURVE('',#39831,#39801,#34306,.T.); #50636=EDGE_CURVE('',#39832,#39547,#30875,.T.); #50637=EDGE_CURVE('',#39547,#39806,#34307,.T.); #50638=EDGE_CURVE('',#39806,#39832,#34308,.T.); #50639=EDGE_CURVE('',#39471,#39548,#30876,.T.); #50640=EDGE_CURVE('',#39832,#39471,#1192,.T.); #50641=EDGE_CURVE('',#39472,#39805,#1193,.T.); #50642=EDGE_CURVE('',#39546,#39804,#30877,.T.); #50643=EDGE_CURVE('',#39474,#39553,#30878,.T.); #50644=EDGE_CURVE('',#39833,#39554,#30879,.T.); #50645=EDGE_CURVE('',#39474,#39833,#1194,.T.); #50646=EDGE_CURVE('',#39833,#39826,#34309,.T.); #50647=EDGE_CURVE('',#39827,#39473,#1195,.T.); #50648=EDGE_CURVE('',#39805,#39834,#30880,.T.); #50649=EDGE_CURVE('',#39834,#39835,#1196,.T.); #50650=EDGE_CURVE('',#39835,#39472,#30881,.T.); #50651=EDGE_CURVE('',#39836,#39473,#30882,.T.); #50652=EDGE_CURVE('',#39835,#39836,#8249,.T.); #50653=EDGE_CURVE('',#39837,#39827,#30883,.T.); #50654=EDGE_CURVE('',#39836,#39837,#1197,.T.); #50655=EDGE_CURVE('',#39838,#39824,#30884,.T.); #50656=EDGE_CURVE('',#39837,#39838,#8250,.T.); #50657=EDGE_CURVE('',#39803,#39839,#30885,.T.); #50658=EDGE_CURVE('',#39839,#39834,#8251,.T.); #50659=EDGE_CURVE('',#39840,#39822,#30886,.T.); #50660=EDGE_CURVE('',#39823,#39838,#30887,.T.); #50661=EDGE_CURVE('',#39838,#39840,#8252,.T.); #50662=EDGE_CURVE('',#39841,#39821,#30888,.T.); #50663=EDGE_CURVE('',#39840,#39841,#1198,.T.); #50664=EDGE_CURVE('',#39842,#39820,#30889,.T.); #50665=EDGE_CURVE('',#39841,#39842,#8253,.T.); #50666=EDGE_CURVE('',#39843,#39819,#30890,.T.); #50667=EDGE_CURVE('',#39842,#39843,#1199,.T.); #50668=EDGE_CURVE('',#39844,#39818,#30891,.T.); #50669=EDGE_CURVE('',#39843,#39844,#8254,.T.); #50670=EDGE_CURVE('',#39845,#39816,#30892,.T.); #50671=EDGE_CURVE('',#39817,#39844,#30893,.T.); #50672=EDGE_CURVE('',#39844,#39845,#8255,.T.); #50673=EDGE_CURVE('',#39846,#39815,#30894,.T.); #50674=EDGE_CURVE('',#39845,#39846,#1200,.T.); #50675=EDGE_CURVE('',#39847,#39814,#30895,.T.); #50676=EDGE_CURVE('',#39846,#39847,#8256,.T.); #50677=EDGE_CURVE('',#39848,#39813,#30896,.T.); #50678=EDGE_CURVE('',#39847,#39848,#1201,.T.); #50679=EDGE_CURVE('',#39849,#39812,#30897,.T.); #50680=EDGE_CURVE('',#39848,#39849,#8257,.T.); #50681=EDGE_CURVE('',#39850,#39810,#30898,.T.); #50682=EDGE_CURVE('',#39811,#39849,#30899,.T.); #50683=EDGE_CURVE('',#39849,#39850,#8258,.T.); #50684=EDGE_CURVE('',#39851,#39809,#30900,.T.); #50685=EDGE_CURVE('',#39850,#39851,#1202,.T.); #50686=EDGE_CURVE('',#39852,#39808,#30901,.T.); #50687=EDGE_CURVE('',#39851,#39852,#8259,.T.); #50688=EDGE_CURVE('',#39853,#39807,#30902,.T.); #50689=EDGE_CURVE('',#39852,#39853,#1203,.T.); #50690=EDGE_CURVE('',#39839,#39802,#30903,.T.); #50691=EDGE_CURVE('',#39853,#39839,#8260,.T.); #50692=EDGE_CURVE('',#39804,#39854,#30904,.T.); #50693=EDGE_CURVE('',#39854,#39783,#34310,.T.); #50694=EDGE_CURVE('',#39546,#39854,#30905,.T.); #50695=EDGE_CURVE('',#39783,#39855,#34311,.T.); #50696=EDGE_CURVE('',#39856,#39854,#34312,.T.); #50697=EDGE_CURVE('',#39857,#39856,#34313,.T.); #50698=EDGE_CURVE('',#38724,#39857,#30906,.T.); #50699=EDGE_CURVE('',#38724,#39858,#8261,.T.); #50700=EDGE_CURVE('',#39855,#39858,#34314,.T.); #50701=EDGE_CURVE('',#39545,#39856,#30907,.T.); #50702=EDGE_CURVE('',#39828,#39555,#30908,.T.); #50703=EDGE_CURVE('',#39828,#39720,#34315,.T.); #50704=EDGE_CURVE('',#38700,#39830,#8262,.T.); #50705=EDGE_CURVE('',#39746,#38700,#30909,.T.); #50706=EDGE_CURVE('',#39859,#35861,#34316,.T.); #50707=EDGE_CURVE('',#39860,#39859,#34317,.T.); #50708=EDGE_CURVE('',#39614,#39860,#34318,.T.); #50709=EDGE_CURVE('',#39829,#39618,#34319,.T.); #50710=EDGE_CURVE('',#39861,#39786,#34320,.T.); #50711=EDGE_CURVE('',#39607,#39861,#34321,.T.); #50712=EDGE_CURVE('',#39862,#39611,#34322,.T.); #50713=EDGE_CURVE('',#39863,#39862,#34323,.T.); #50714=EDGE_CURVE('',#35898,#39863,#34324,.T.); #50715=EDGE_CURVE('',#35903,#35885,#34325,.T.); #50716=EDGE_CURVE('',#35907,#35836,#34326,.T.); #50717=EDGE_CURVE('',#39710,#39864,#34327,.T.); #50718=EDGE_CURVE('',#39864,#39856,#34328,.T.); #50719=EDGE_CURVE('',#39744,#38481,#30910,.T.); #50720=EDGE_CURVE('',#38480,#39745,#34329,.T.); #50721=EDGE_CURVE('',#38479,#39865,#34330,.T.); #50722=EDGE_CURVE('',#39865,#39742,#34331,.T.); #50723=EDGE_CURVE('',#39866,#38477,#30911,.T.); #50724=EDGE_CURVE('',#38477,#39857,#34332,.T.); #50725=EDGE_CURVE('',#39857,#39866,#34333,.T.); #50726=EDGE_CURVE('',#39867,#38478,#30912,.T.); #50727=EDGE_CURVE('',#39866,#39867,#34334,.T.); #50728=EDGE_CURVE('',#39867,#39865,#30913,.T.); #50729=EDGE_CURVE('',#39747,#38482,#30914,.T.); #50730=EDGE_CURVE('',#39746,#38482,#34335,.T.); #50731=EDGE_CURVE('',#39712,#39867,#34336,.T.); #50732=EDGE_CURVE('',#39868,#39869,#8263,.T.); #50733=EDGE_CURVE('',#39870,#39868,#30915,.T.); #50734=EDGE_CURVE('',#39871,#39870,#8264,.T.); #50735=EDGE_CURVE('',#39869,#39871,#30916,.T.); #50736=EDGE_CURVE('',#39872,#39873,#34337,.T.); #50737=EDGE_CURVE('',#39874,#39872,#30917,.T.); #50738=EDGE_CURVE('',#39870,#39874,#34338,.T.); #50739=EDGE_CURVE('',#39873,#39868,#34339,.T.); #50740=EDGE_CURVE('',#39875,#39872,#8265,.T.); #50741=EDGE_CURVE('',#39876,#39875,#30918,.T.); #50742=EDGE_CURVE('',#39874,#39876,#8266,.T.); #50743=EDGE_CURVE('',#39877,#39878,#8267,.T.); #50744=EDGE_CURVE('',#39878,#39879,#30919,.T.); #50745=EDGE_CURVE('',#39879,#39880,#8268,.T.); #50746=EDGE_CURVE('',#39880,#39881,#30920,.T.); #50747=EDGE_CURVE('',#39881,#39882,#8269,.T.); #50748=EDGE_CURVE('',#39882,#39883,#30921,.T.); #50749=EDGE_CURVE('',#39883,#39884,#8270,.T.); #50750=EDGE_CURVE('',#39884,#39876,#34340,.T.); #50751=EDGE_CURVE('',#39875,#39884,#34341,.T.); #50752=EDGE_CURVE('',#39883,#39885,#30922,.T.); #50753=EDGE_CURVE('',#39885,#39886,#8271,.T.); #50754=EDGE_CURVE('',#39887,#39886,#30923,.T.); #50755=EDGE_CURVE('',#39887,#39888,#8272,.T.); #50756=EDGE_CURVE('',#39888,#39889,#30924,.T.); #50757=EDGE_CURVE('',#39889,#39890,#8273,.T.); #50758=EDGE_CURVE('',#39877,#39890,#30925,.T.); #50759=EDGE_CURVE('',#39871,#39891,#34342,.T.); #50760=EDGE_CURVE('',#39891,#39531,#8274,.T.); #50761=EDGE_CURVE('',#39591,#39590,#1204,.T.); #50762=EDGE_CURVE('',#39891,#39869,#34343,.T.); #50763=EDGE_CURVE('',#39402,#39455,#30926,.T.); #50764=EDGE_CURVE('',#39411,#39459,#30927,.T.); #50765=EDGE_CURVE('',#39412,#39460,#30928,.T.); #50766=EDGE_CURVE('',#39421,#39428,#30929,.T.); #50767=EDGE_CURVE('',#39873,#39743,#8275,.T.); #50768=EDGE_CURVE('',#39259,#39265,#30930,.T.); #50769=EDGE_CURVE('',#39287,#39288,#30931,.F.); #50770=EDGE_CURVE('',#39291,#39377,#30932,.T.); #50771=EDGE_CURVE('',#39290,#39378,#30933,.T.); #50772=EDGE_CURVE('',#39892,#39693,#30934,.F.); #50773=EDGE_CURVE('',#39376,#39892,#30935,.F.); #50774=EDGE_CURVE('',#39693,#39376,#30936,.F.); #50775=EDGE_CURVE('',#39892,#39220,#34344,.T.); #50776=EDGE_CURVE('',#39694,#39230,#30937,.T.); #50777=EDGE_CURVE('',#39221,#39892,#34345,.T.); #50778=EDGE_CURVE('',#39311,#39893,#30938,.T.); #50779=EDGE_CURVE('',#39893,#39691,#34346,.T.); #50780=EDGE_CURVE('',#39310,#39894,#30939,.T.); #50781=EDGE_CURVE('',#39894,#39893,#34347,.T.); #50782=EDGE_CURVE('',#39306,#39894,#34348,.T.); #50783=EDGE_CURVE('',#39692,#39895,#34349,.T.); #50784=EDGE_CURVE('',#39895,#39682,#30940,.T.); #50785=EDGE_CURVE('',#39895,#38723,#34350,.T.); #50786=EDGE_CURVE('',#39896,#39895,#34351,.T.); #50787=EDGE_CURVE('',#39897,#39896,#34352,.T.); #50788=EDGE_CURVE('',#39897,#39898,#8276,.T.); #50789=EDGE_CURVE('',#39898,#39899,#1205,.T.); #50790=EDGE_CURVE('',#39900,#39899,#8277,.T.); #50791=EDGE_CURVE('',#39901,#39900,#34353,.T.); #50792=EDGE_CURVE('',#39858,#39901,#34354,.T.); #50793=EDGE_CURVE('',#39691,#39902,#34355,.T.); #50794=EDGE_CURVE('',#39902,#39896,#30941,.T.); #50795=EDGE_CURVE('',#39894,#39902,#8278,.T.); #50796=EDGE_CURVE('',#39903,#39308,#34356,.T.); #50797=EDGE_CURVE('',#39903,#39904,#8279,.T.); #50798=EDGE_CURVE('',#39905,#39904,#34357,.T.); #50799=EDGE_CURVE('',#39902,#39905,#34358,.T.); #50800=EDGE_CURVE('',#39442,#39906,#30942,.T.); #50801=EDGE_CURVE('',#39906,#39208,#34359,.T.); #50802=EDGE_CURVE('',#39441,#39907,#30943,.T.); #50803=EDGE_CURVE('',#39907,#39906,#34360,.T.); #50804=EDGE_CURVE('',#39697,#39907,#34361,.T.); #50805=EDGE_CURVE('',#39906,#39908,#8280,.T.); #50806=EDGE_CURVE('',#39909,#39908,#34362,.T.); #50807=EDGE_CURVE('',#39194,#39909,#34363,.T.); #50808=EDGE_CURVE('',#39209,#39215,#34364,.T.); #50809=EDGE_CURVE('',#39215,#39375,#30944,.T.); #50810=EDGE_CURVE('',#39185,#39190,#34365,.T.); #50811=EDGE_CURVE('',#39368,#39374,#34366,.T.); #50812=EDGE_CURVE('',#39374,#39381,#30945,.T.); #50813=EDGE_CURVE('',#39380,#39360,#30946,.T.); #50814=EDGE_CURVE('',#39350,#39360,#34367,.T.); #50815=EDGE_CURVE('',#39379,#39340,#30947,.T.); #50816=EDGE_CURVE('',#39334,#39340,#34368,.T.); #50817=EDGE_CURVE('',#38812,#39359,#34369,.T.); #50818=EDGE_CURVE('',#39444,#39903,#30948,.T.); #50819=EDGE_CURVE('',#39302,#39903,#34370,.T.); #50820=EDGE_CURVE('',#39904,#39300,#34371,.T.); #50821=EDGE_CURVE('',#39904,#39910,#30949,.T.); #50822=EDGE_CURVE('',#39910,#39897,#34372,.T.); #50823=EDGE_CURVE('',#39897,#39905,#30950,.T.); #50824=EDGE_CURVE('',#39327,#38805,#30951,.T.); #50825=EDGE_CURVE('',#38805,#39910,#34373,.T.); #50826=EDGE_CURVE('',#39443,#38825,#30952,.T.); #50827=EDGE_CURVE('',#39909,#39911,#30953,.T.); #50828=EDGE_CURVE('',#39911,#39195,#34374,.T.); #50829=EDGE_CURVE('',#39443,#39196,#34375,.T.); #50830=EDGE_CURVE('',#39698,#39912,#34376,.T.); #50831=EDGE_CURVE('',#39912,#39908,#30954,.T.); #50832=EDGE_CURVE('',#39908,#39697,#34377,.T.); #50833=EDGE_CURVE('',#39912,#39911,#34378,.T.); #50834=EDGE_CURVE('',#39913,#38804,#34379,.T.); #50835=EDGE_CURVE('',#39914,#39913,#34380,.T.); #50836=EDGE_CURVE('',#39898,#39914,#8281,.T.); #50837=EDGE_CURVE('',#38802,#38829,#34381,.T.); #50838=EDGE_CURVE('',#39911,#39915,#8282,.T.); #50839=EDGE_CURVE('',#39916,#39915,#8283,.T.); #50840=EDGE_CURVE('',#39917,#39916,#34382,.T.); #50841=EDGE_CURVE('',#38827,#39917,#34383,.T.); #50842=EDGE_CURVE('',#39918,#39830,#34384,.T.); #50843=EDGE_CURVE('',#39919,#39918,#34385,.T.); #50844=EDGE_CURVE('',#39920,#39919,#8284,.T.); #50845=EDGE_CURVE('',#39920,#39915,#1206,.T.); #50846=EDGE_CURVE('',#36119,#39859,#30955,.T.); #50847=EDGE_CURVE('',#36118,#39863,#30956,.T.); #50848=EDGE_CURVE('',#39863,#39859,#34386,.T.); #50849=EDGE_CURVE('',#39858,#39861,#30957,.T.); #50850=EDGE_CURVE('',#39901,#39607,#30958,.T.); #50851=EDGE_CURVE('',#39900,#39921,#34387,.T.); #50852=EDGE_CURVE('',#39921,#39608,#34388,.T.); #50853=EDGE_CURVE('',#39615,#38827,#30959,.T.); #50854=EDGE_CURVE('',#39917,#39616,#34389,.T.); #50855=EDGE_CURVE('',#39614,#38828,#30960,.T.); #50856=EDGE_CURVE('',#39860,#38829,#30961,.T.); #50857=EDGE_CURVE('',#39862,#38802,#30962,.T.); #50858=EDGE_CURVE('',#39611,#38803,#30963,.T.); #50859=EDGE_CURVE('',#39610,#38804,#30964,.T.); #50860=EDGE_CURVE('',#39609,#39913,#34390,.T.); #50861=EDGE_CURVE('',#39916,#39922,#8285,.T.); #50862=EDGE_CURVE('',#39922,#39617,#34391,.T.); #50863=EDGE_CURVE('',#39921,#39914,#8286,.T.); #50864=EDGE_CURVE('',#39918,#39618,#30965,.T.); #50865=EDGE_CURVE('',#39922,#39919,#34392,.T.); #50866=EDGE_CURVE('',#39920,#39915,#8287,.T.); #50867=EDGE_CURVE('',#39923,#39923,#30966,.T.); #50868=EDGE_CURVE('',#39923,#39924,#30967,.T.); #50869=EDGE_CURVE('',#39924,#39924,#30968,.T.); #50870=EDGE_CURVE('',#39925,#39926,#34393,.T.); #50871=EDGE_CURVE('',#39927,#39925,#30969,.T.); #50872=EDGE_CURVE('',#39927,#39923,#8288,.T.); #50873=EDGE_CURVE('',#39928,#39927,#30970,.T.); #50874=EDGE_CURVE('',#39929,#39928,#34394,.T.); #50875=EDGE_CURVE('',#35580,#39929,#34395,.T.); #50876=EDGE_CURVE('',#39930,#35579,#34396,.T.); #50877=EDGE_CURVE('',#39926,#39930,#34397,.T.); #50878=EDGE_CURVE('',#39931,#39931,#30971,.T.); #50879=EDGE_CURVE('',#39928,#39385,#30972,.T.); #50880=EDGE_CURVE('',#39385,#39929,#34398,.T.); #50881=EDGE_CURVE('',#39925,#39386,#30973,.T.); #50882=EDGE_CURVE('',#39926,#39386,#34399,.T.); #50883=EDGE_CURVE('',#39384,#39932,#30974,.T.); #50884=EDGE_CURVE('',#39932,#39929,#34400,.T.); #50885=EDGE_CURVE('',#39402,#39933,#34401,.T.); #50886=EDGE_CURVE('',#39933,#39447,#30975,.T.); #50887=EDGE_CURVE('',#39933,#39934,#34402,.T.); #50888=EDGE_CURVE('',#39934,#39448,#30976,.T.); #50889=EDGE_CURVE('',#39934,#39935,#34403,.T.); #50890=EDGE_CURVE('',#39935,#39449,#30977,.T.); #50891=EDGE_CURVE('',#39935,#39936,#34404,.T.); #50892=EDGE_CURVE('',#39936,#39937,#30978,.T.); #50893=EDGE_CURVE('',#39937,#39449,#34405,.T.); #50894=EDGE_CURVE('',#39936,#39938,#34406,.T.); #50895=EDGE_CURVE('',#39938,#39939,#30979,.T.); #50896=EDGE_CURVE('',#39939,#39937,#34407,.T.); #50897=EDGE_CURVE('',#39940,#39941,#30980,.T.); #50898=EDGE_CURVE('',#39941,#39939,#34408,.T.); #50899=EDGE_CURVE('',#39938,#39940,#34409,.T.); #50900=EDGE_CURVE('',#39942,#39450,#30981,.T.); #50901=EDGE_CURVE('',#39450,#39941,#34410,.T.); #50902=EDGE_CURVE('',#39940,#39942,#34411,.T.); #50903=EDGE_CURVE('',#39942,#39943,#34412,.T.); #50904=EDGE_CURVE('',#39943,#39451,#30982,.T.); #50905=EDGE_CURVE('',#39943,#39944,#34413,.T.); #50906=EDGE_CURVE('',#39944,#39452,#30983,.T.); #50907=EDGE_CURVE('',#39944,#39433,#34414,.T.); #50908=EDGE_CURVE('',#39433,#39456,#30984,.T.); #50909=EDGE_CURVE('',#39945,#39401,#34415,.T.); #50910=EDGE_CURVE('',#39946,#39945,#34416,.T.); #50911=EDGE_CURVE('',#39947,#39946,#8289,.T.); #50912=EDGE_CURVE('',#39948,#39947,#34417,.T.); #50913=EDGE_CURVE('',#39949,#39948,#34418,.T.); #50914=EDGE_CURVE('',#39950,#39949,#34419,.T.); #50915=EDGE_CURVE('',#39951,#39950,#34420,.T.); #50916=EDGE_CURVE('',#39934,#39951,#8290,.T.); #50917=EDGE_CURVE('',#39419,#39436,#34421,.T.); #50918=EDGE_CURVE('',#39382,#39952,#30985,.T.); #50919=EDGE_CURVE('',#39952,#39932,#34422,.T.); #50920=EDGE_CURVE('',#39383,#39953,#30986,.T.); #50921=EDGE_CURVE('',#39953,#39952,#34423,.T.); #50922=EDGE_CURVE('',#39383,#39954,#34424,.T.); #50923=EDGE_CURVE('',#39954,#39947,#30987,.T.); #50924=EDGE_CURVE('',#39947,#39953,#34425,.T.); #50925=EDGE_CURVE('',#39948,#39955,#30988,.T.); #50926=EDGE_CURVE('',#39955,#39956,#34426,.T.); #50927=EDGE_CURVE('',#39956,#39949,#30989,.T.); #50928=EDGE_CURVE('',#39957,#39950,#30990,.T.); #50929=EDGE_CURVE('',#39956,#39957,#34427,.T.); #50930=EDGE_CURVE('',#39958,#39951,#30991,.T.); #50931=EDGE_CURVE('',#39957,#39958,#34428,.T.); #50932=EDGE_CURVE('',#39959,#39960,#30992,.T.); #50933=EDGE_CURVE('',#39960,#39951,#34429,.T.); #50934=EDGE_CURVE('',#39958,#39959,#34430,.T.); #50935=EDGE_CURVE('',#39961,#39962,#30993,.T.); #50936=EDGE_CURVE('',#39962,#39960,#34431,.T.); #50937=EDGE_CURVE('',#39959,#39961,#34432,.T.); #50938=EDGE_CURVE('',#39963,#39964,#30994,.T.); #50939=EDGE_CURVE('',#39964,#39962,#34433,.T.); #50940=EDGE_CURVE('',#39961,#39963,#34434,.T.); #50941=EDGE_CURVE('',#39965,#39966,#30995,.T.); #50942=EDGE_CURVE('',#39966,#39964,#34435,.T.); #50943=EDGE_CURVE('',#39963,#39965,#34436,.T.); #50944=EDGE_CURVE('',#39967,#39968,#30996,.T.); #50945=EDGE_CURVE('',#39968,#39966,#34437,.T.); #50946=EDGE_CURVE('',#39965,#39967,#34438,.T.); #50947=EDGE_CURVE('',#39969,#39970,#30997,.T.); #50948=EDGE_CURVE('',#39970,#39968,#34439,.T.); #50949=EDGE_CURVE('',#39967,#39969,#34440,.T.); #50950=EDGE_CURVE('',#39971,#39972,#30998,.T.); #50951=EDGE_CURVE('',#39972,#39970,#34441,.T.); #50952=EDGE_CURVE('',#39969,#39971,#34442,.T.); #50953=EDGE_CURVE('',#39954,#39955,#34443,.T.); #50954=EDGE_CURVE('',#39973,#39974,#30999,.T.); #50955=EDGE_CURVE('',#39974,#39389,#34444,.T.); #50956=EDGE_CURVE('',#39389,#39975,#31000,.T.); #50957=EDGE_CURVE('',#39975,#39973,#34445,.T.); #50958=EDGE_CURVE('',#39394,#39975,#34446,.T.); #50959=EDGE_CURVE('',#39926,#39395,#34447,.T.); #50960=EDGE_CURVE('',#39973,#39972,#34448,.T.); #50961=EDGE_CURVE('',#39971,#39974,#34449,.T.); #50962=EDGE_CURVE('',#39932,#35578,#34450,.T.); #50963=EDGE_CURVE('',#39952,#39976,#8291,.T.); #50964=EDGE_CURVE('',#39977,#39976,#34451,.T.); #50965=EDGE_CURVE('',#35578,#39977,#34452,.T.); #50966=EDGE_CURVE('',#39976,#39946,#34453,.T.); #50967=EDGE_CURVE('',#39936,#39960,#8292,.T.); #50968=EDGE_CURVE('',#39962,#39938,#34454,.T.); #50969=EDGE_CURVE('',#39940,#39964,#8293,.T.); #50970=EDGE_CURVE('',#39943,#39966,#8294,.T.); #50971=EDGE_CURVE('',#39973,#39978,#8295,.T.); #50972=EDGE_CURVE('',#39979,#39978,#34455,.T.); #50973=EDGE_CURVE('',#39434,#39979,#34456,.T.); #50974=EDGE_CURVE('',#39930,#35570,#31001,.T.); #50975=EDGE_CURVE('',#35574,#39672,#34457,.T.); #50976=EDGE_CURVE('',#39672,#39980,#31002,.T.); #50977=EDGE_CURVE('',#39980,#35572,#34458,.T.); #50978=EDGE_CURVE('',#39671,#39981,#31003,.T.); #50979=EDGE_CURVE('',#39981,#39980,#34459,.T.); #50980=EDGE_CURVE('',#39670,#39978,#31004,.T.); #50981=EDGE_CURVE('',#39978,#39981,#34460,.T.); #50982=EDGE_CURVE('',#39669,#39979,#31005,.T.); #50983=EDGE_CURVE('',#39982,#39434,#31006,.T.); #50984=EDGE_CURVE('',#39669,#39982,#34461,.T.); #50985=EDGE_CURVE('',#39983,#39435,#31007,.T.); #50986=EDGE_CURVE('',#39982,#39983,#34462,.T.); #50987=EDGE_CURVE('',#39984,#39436,#31008,.T.); #50988=EDGE_CURVE('',#39983,#39984,#34463,.T.); #50989=EDGE_CURVE('',#39985,#39419,#31009,.T.); #50990=EDGE_CURVE('',#39984,#39985,#34464,.T.); #50991=EDGE_CURVE('',#39986,#39420,#31010,.T.); #50992=EDGE_CURVE('',#39985,#39986,#34465,.T.); #50993=EDGE_CURVE('',#39987,#39414,#31011,.T.); #50994=EDGE_CURVE('',#39986,#39987,#34466,.T.); #50995=EDGE_CURVE('',#39988,#39415,#31012,.T.); #50996=EDGE_CURVE('',#39987,#39988,#34467,.T.); #50997=EDGE_CURVE('',#39989,#39410,#31013,.T.); #50998=EDGE_CURVE('',#39988,#39989,#34468,.T.); #50999=EDGE_CURVE('',#39990,#39399,#31014,.T.); #51000=EDGE_CURVE('',#39989,#39990,#34469,.T.); #51001=EDGE_CURVE('',#39991,#39400,#31015,.T.); #51002=EDGE_CURVE('',#39990,#39991,#34470,.T.); #51003=EDGE_CURVE('',#39992,#39401,#31016,.T.); #51004=EDGE_CURVE('',#39991,#39992,#34471,.T.); #51005=EDGE_CURVE('',#39993,#39945,#31017,.T.); #51006=EDGE_CURVE('',#39992,#39993,#34472,.T.); #51007=EDGE_CURVE('',#39994,#39946,#31018,.T.); #51008=EDGE_CURVE('',#39993,#39994,#34473,.T.); #51009=EDGE_CURVE('',#39995,#39976,#31019,.T.); #51010=EDGE_CURVE('',#39994,#39995,#34474,.T.); #51011=EDGE_CURVE('',#39996,#39977,#31020,.T.); #51012=EDGE_CURVE('',#39995,#39996,#34475,.T.); #51013=EDGE_CURVE('',#39996,#35576,#34476,.T.); #51014=EDGE_CURVE('',#35572,#39395,#34477,.T.); #51015=EDGE_CURVE('',#39983,#39997,#8296,.T.); #51016=EDGE_CURVE('',#39998,#39997,#34478,.T.); #51017=EDGE_CURVE('',#39999,#39998,#34479,.T.); #51018=EDGE_CURVE('',#39986,#39999,#8297,.T.); #51019=EDGE_CURVE('',#39997,#39667,#34480,.T.); #51020=EDGE_CURVE('',#39996,#40000,#8298,.T.); #51021=EDGE_CURVE('',#39673,#40000,#34481,.T.); #51022=EDGE_CURVE('',#39679,#39673,#31021,.T.); #51023=EDGE_CURVE('',#39680,#40000,#31022,.T.); #51024=EDGE_CURVE('',#40001,#39877,#8299,.T.); #51025=EDGE_CURVE('',#40001,#40002,#8300,.T.); #51026=EDGE_CURVE('',#40002,#39878,#8301,.T.); #51027=EDGE_CURVE('',#39879,#40003,#8302,.T.); #51028=EDGE_CURVE('',#40003,#40002,#31023,.T.); #51029=EDGE_CURVE('',#39886,#40004,#8303,.T.); #51030=EDGE_CURVE('',#39885,#40005,#8304,.T.); #51031=EDGE_CURVE('',#40004,#40005,#8305,.T.); #51032=EDGE_CURVE('',#40005,#40006,#31024,.T.); #51033=EDGE_CURVE('',#40007,#39882,#8306,.T.); #51034=EDGE_CURVE('',#40006,#40007,#31025,.T.); #51035=EDGE_CURVE('',#40003,#40008,#8307,.T.); #51036=EDGE_CURVE('',#40008,#39880,#8308,.T.); #51037=EDGE_CURVE('',#40004,#40009,#31026,.T.); #51038=EDGE_CURVE('',#40009,#39887,#8309,.T.); #51039=EDGE_CURVE('',#39890,#40010,#8310,.T.); #51040=EDGE_CURVE('',#39889,#40011,#8311,.T.); #51041=EDGE_CURVE('',#40010,#40011,#8312,.T.); #51042=EDGE_CURVE('',#40009,#40012,#8313,.T.); #51043=EDGE_CURVE('',#40012,#39888,#8314,.T.); #51044=EDGE_CURVE('',#40011,#40012,#31027,.T.); #51045=EDGE_CURVE('',#39881,#40013,#8315,.T.); #51046=EDGE_CURVE('',#40007,#40013,#8316,.T.); #51047=EDGE_CURVE('',#40013,#40008,#31028,.T.); #51048=EDGE_CURVE('',#39931,#40006,#8317,.T.); #51049=EDGE_CURVE('',#40010,#40001,#31029,.T.); #51050=EDGE_CURVE('',#39971,#40014,#8318,.T.); #51051=EDGE_CURVE('',#40014,#39390,#31030,.T.); #51052=EDGE_CURVE('',#40015,#39391,#31031,.T.); #51053=EDGE_CURVE('',#40014,#40015,#31032,.T.); #51054=EDGE_CURVE('',#40016,#39392,#31033,.T.); #51055=EDGE_CURVE('',#40015,#40016,#31034,.T.); #51056=EDGE_CURVE('',#40017,#39393,#31035,.T.); #51057=EDGE_CURVE('',#40016,#40017,#31036,.T.); #51058=EDGE_CURVE('',#40017,#39955,#8319,.T.); #51059=EDGE_CURVE('',#39956,#40017,#8320,.T.); #51060=EDGE_CURVE('',#39957,#40016,#8321,.T.); #51061=EDGE_CURVE('',#39967,#40015,#8322,.T.); #51062=EDGE_CURVE('',#39969,#40014,#8323,.T.); #51063=EDGE_CURVE('',#39681,#40018,#31037,.T.); #51064=EDGE_CURVE('',#40018,#39999,#34482,.T.); #51065=EDGE_CURVE('',#39678,#39999,#31038,.T.); #51066=EDGE_CURVE('',#39998,#39676,#31039,.T.); #51067=EDGE_CURVE('',#39662,#40019,#31040,.T.); #51068=EDGE_CURVE('',#40019,#40018,#34483,.T.); #51069=EDGE_CURVE('',#39664,#40020,#31041,.T.); #51070=EDGE_CURVE('',#40020,#40019,#34484,.T.); #51071=EDGE_CURVE('',#39666,#40020,#34485,.T.); #51072=EDGE_CURVE('',#40000,#39665,#34486,.T.); #51073=EDGE_CURVE('',#39677,#39997,#31042,.T.); #51074=EDGE_CURVE('',#35959,#36061,#8324,.T.); #51075=EDGE_CURVE('',#36059,#35961,#8325,.T.); #51076=EDGE_CURVE('',#39988,#40018,#8326,.T.); #51077=EDGE_CURVE('',#39899,#39898,#8327,.T.); #51078=EDGE_CURVE('',#39991,#40020,#8328,.T.); #51079=EDGE_CURVE('',#39993,#39666,#8329,.T.); #51080=EDGE_CURVE('',#39394,#39981,#8330,.T.); #51081=EDGE_CURVE('',#40021,#40022,#31043,.T.); #51082=EDGE_CURVE('',#40023,#40021,#698,.T.); #51083=EDGE_CURVE('',#40024,#40023,#31044,.T.); #51084=EDGE_CURVE('',#40022,#40024,#699,.T.); #51085=EDGE_CURVE('',#40022,#40025,#31045,.T.); #51086=EDGE_CURVE('',#40026,#40022,#700,.T.); #51087=EDGE_CURVE('',#40027,#40026,#31046,.T.); #51088=EDGE_CURVE('',#40025,#40027,#701,.T.); #51089=EDGE_CURVE('',#40025,#40028,#31047,.T.); #51090=EDGE_CURVE('',#40029,#40025,#702,.T.); #51091=EDGE_CURVE('',#40030,#40029,#31048,.T.); #51092=EDGE_CURVE('',#40028,#40030,#703,.T.); #51093=EDGE_CURVE('',#40028,#40031,#31049,.T.); #51094=EDGE_CURVE('',#40032,#40028,#704,.T.); #51095=EDGE_CURVE('',#40033,#40032,#31050,.T.); #51096=EDGE_CURVE('',#40031,#40033,#705,.T.); #51097=EDGE_CURVE('',#40031,#40034,#31051,.T.); #51098=EDGE_CURVE('',#40035,#40031,#706,.T.); #51099=EDGE_CURVE('',#40036,#40035,#31052,.T.); #51100=EDGE_CURVE('',#40034,#40036,#707,.T.); #51101=EDGE_CURVE('',#40034,#40037,#31053,.T.); #51102=EDGE_CURVE('',#40038,#40034,#708,.T.); #51103=EDGE_CURVE('',#40039,#40038,#31054,.T.); #51104=EDGE_CURVE('',#40037,#40039,#709,.T.); #51105=EDGE_CURVE('',#40037,#40040,#31055,.T.); #51106=EDGE_CURVE('',#40041,#40037,#710,.T.); #51107=EDGE_CURVE('',#40042,#40041,#31056,.T.); #51108=EDGE_CURVE('',#40040,#40042,#711,.T.); #51109=EDGE_CURVE('',#40043,#40040,#712,.T.); #51110=EDGE_CURVE('',#40044,#40043,#31057,.T.); #51111=EDGE_CURVE('',#40021,#40044,#713,.T.); #51112=EDGE_CURVE('',#40040,#40021,#31058,.T.); #51113=EDGE_CURVE('',#40045,#40046,#31059,.T.); #51114=EDGE_CURVE('',#40047,#40045,#714,.T.); #51115=EDGE_CURVE('',#40048,#40047,#31060,.T.); #51116=EDGE_CURVE('',#40046,#40048,#715,.T.); #51117=EDGE_CURVE('',#40046,#40049,#31061,.T.); #51118=EDGE_CURVE('',#40050,#40046,#716,.T.); #51119=EDGE_CURVE('',#40051,#40050,#31062,.T.); #51120=EDGE_CURVE('',#40049,#40051,#717,.T.); #51121=EDGE_CURVE('',#40049,#40052,#31063,.T.); #51122=EDGE_CURVE('',#40053,#40049,#718,.T.); #51123=EDGE_CURVE('',#40054,#40053,#31064,.T.); #51124=EDGE_CURVE('',#40052,#40054,#719,.T.); #51125=EDGE_CURVE('',#40052,#40055,#31065,.T.); #51126=EDGE_CURVE('',#40056,#40052,#720,.T.); #51127=EDGE_CURVE('',#40057,#40056,#31066,.T.); #51128=EDGE_CURVE('',#40055,#40057,#721,.T.); #51129=EDGE_CURVE('',#40055,#40058,#31067,.T.); #51130=EDGE_CURVE('',#40059,#40055,#722,.T.); #51131=EDGE_CURVE('',#40060,#40059,#31068,.T.); #51132=EDGE_CURVE('',#40058,#40060,#723,.T.); #51133=EDGE_CURVE('',#40058,#40061,#31069,.T.); #51134=EDGE_CURVE('',#40062,#40058,#724,.T.); #51135=EDGE_CURVE('',#40063,#40062,#31070,.T.); #51136=EDGE_CURVE('',#40061,#40063,#725,.T.); #51137=EDGE_CURVE('',#40061,#40064,#31071,.T.); #51138=EDGE_CURVE('',#40065,#40061,#726,.T.); #51139=EDGE_CURVE('',#40066,#40065,#31072,.T.); #51140=EDGE_CURVE('',#40064,#40066,#727,.T.); #51141=EDGE_CURVE('',#40067,#40068,#31073,.T.); #51142=EDGE_CURVE('',#40045,#40067,#728,.T.); #51143=EDGE_CURVE('',#40064,#40045,#31074,.T.); #51144=EDGE_CURVE('',#40068,#40064,#729,.T.); #51145=EDGE_CURVE('',#40060,#40032,#8331,.T.); #51146=EDGE_CURVE('',#40059,#40033,#8332,.T.); #51147=EDGE_CURVE('',#40062,#40030,#8333,.T.); #51148=EDGE_CURVE('',#40063,#40029,#8334,.T.); #51149=EDGE_CURVE('',#40065,#40027,#8335,.T.); #51150=EDGE_CURVE('',#40066,#40026,#8336,.T.); #51151=EDGE_CURVE('',#40048,#40043,#8337,.T.); #51152=EDGE_CURVE('',#40047,#40044,#8338,.T.); #51153=EDGE_CURVE('',#40067,#40023,#8339,.T.); #51154=EDGE_CURVE('',#40068,#40024,#8340,.T.); #51155=EDGE_CURVE('',#40057,#40035,#8341,.T.); #51156=EDGE_CURVE('',#40056,#40036,#8342,.T.); #51157=EDGE_CURVE('',#40054,#40038,#8343,.T.); #51158=EDGE_CURVE('',#40053,#40039,#8344,.T.); #51159=EDGE_CURVE('',#40051,#40041,#8345,.T.); #51160=EDGE_CURVE('',#40050,#40042,#8346,.T.); #51161=EDGE_CURVE('',#40069,#40070,#8347,.T.); #51162=EDGE_CURVE('',#40069,#40071,#8348,.T.); #51163=EDGE_CURVE('',#40072,#40071,#8349,.T.); #51164=EDGE_CURVE('',#40070,#40072,#8350,.T.); #51165=EDGE_CURVE('',#40070,#40073,#8351,.T.); #51166=EDGE_CURVE('',#40074,#40072,#8352,.T.); #51167=EDGE_CURVE('',#40073,#40074,#8353,.T.); #51168=EDGE_CURVE('',#40073,#40075,#8354,.T.); #51169=EDGE_CURVE('',#40076,#40074,#8355,.T.); #51170=EDGE_CURVE('',#40075,#40076,#8356,.T.); #51171=EDGE_CURVE('',#40075,#40069,#8357,.T.); #51172=EDGE_CURVE('',#40071,#40076,#8358,.T.); #51173=EDGE_CURVE('',#40077,#40078,#8359,.T.); #51174=EDGE_CURVE('',#40077,#40079,#8360,.T.); #51175=EDGE_CURVE('',#40080,#40079,#8361,.T.); #51176=EDGE_CURVE('',#40078,#40080,#8362,.T.); #51177=EDGE_CURVE('',#40078,#40081,#8363,.T.); #51178=EDGE_CURVE('',#40082,#40080,#8364,.T.); #51179=EDGE_CURVE('',#40081,#40082,#8365,.T.); #51180=EDGE_CURVE('',#40081,#40083,#8366,.T.); #51181=EDGE_CURVE('',#40084,#40082,#8367,.T.); #51182=EDGE_CURVE('',#40083,#40084,#8368,.T.); #51183=EDGE_CURVE('',#40083,#40077,#8369,.T.); #51184=EDGE_CURVE('',#40079,#40084,#8370,.T.); #51185=EDGE_CURVE('',#40085,#40086,#8371,.T.); #51186=EDGE_CURVE('',#40085,#40087,#8372,.T.); #51187=EDGE_CURVE('',#40088,#40087,#8373,.T.); #51188=EDGE_CURVE('',#40086,#40088,#8374,.T.); #51189=EDGE_CURVE('',#40086,#40089,#8375,.T.); #51190=EDGE_CURVE('',#40090,#40088,#8376,.T.); #51191=EDGE_CURVE('',#40089,#40090,#8377,.T.); #51192=EDGE_CURVE('',#40089,#40091,#8378,.T.); #51193=EDGE_CURVE('',#40092,#40090,#8379,.T.); #51194=EDGE_CURVE('',#40091,#40092,#8380,.T.); #51195=EDGE_CURVE('',#40091,#40085,#8381,.T.); #51196=EDGE_CURVE('',#40087,#40092,#8382,.T.); #51197=EDGE_CURVE('',#40093,#40094,#31075,.T.); #51198=EDGE_CURVE('',#40095,#40093,#730,.T.); #51199=EDGE_CURVE('',#40096,#40095,#31076,.T.); #51200=EDGE_CURVE('',#40094,#40096,#731,.T.); #51201=EDGE_CURVE('',#40094,#40097,#31077,.T.); #51202=EDGE_CURVE('',#40098,#40094,#732,.T.); #51203=EDGE_CURVE('',#40099,#40098,#31078,.T.); #51204=EDGE_CURVE('',#40097,#40099,#733,.T.); #51205=EDGE_CURVE('',#40097,#40100,#31079,.T.); #51206=EDGE_CURVE('',#40101,#40097,#734,.T.); #51207=EDGE_CURVE('',#40102,#40101,#31080,.T.); #51208=EDGE_CURVE('',#40100,#40102,#735,.T.); #51209=EDGE_CURVE('',#40100,#40103,#31081,.T.); #51210=EDGE_CURVE('',#40104,#40100,#736,.T.); #51211=EDGE_CURVE('',#40105,#40104,#31082,.T.); #51212=EDGE_CURVE('',#40103,#40105,#737,.T.); #51213=EDGE_CURVE('',#40103,#40106,#31083,.T.); #51214=EDGE_CURVE('',#40107,#40103,#738,.T.); #51215=EDGE_CURVE('',#40108,#40107,#31084,.T.); #51216=EDGE_CURVE('',#40106,#40108,#739,.T.); #51217=EDGE_CURVE('',#40106,#40109,#31085,.T.); #51218=EDGE_CURVE('',#40110,#40106,#740,.T.); #51219=EDGE_CURVE('',#40111,#40110,#31086,.T.); #51220=EDGE_CURVE('',#40109,#40111,#741,.T.); #51221=EDGE_CURVE('',#40109,#40112,#31087,.T.); #51222=EDGE_CURVE('',#40113,#40109,#742,.T.); #51223=EDGE_CURVE('',#40114,#40113,#31088,.T.); #51224=EDGE_CURVE('',#40112,#40114,#743,.T.); #51225=EDGE_CURVE('',#40115,#40116,#31089,.T.); #51226=EDGE_CURVE('',#40093,#40115,#744,.T.); #51227=EDGE_CURVE('',#40112,#40093,#31090,.T.); #51228=EDGE_CURVE('',#40116,#40112,#745,.T.); #51229=EDGE_CURVE('',#40117,#40118,#31091,.T.); #51230=EDGE_CURVE('',#40119,#40117,#746,.T.); #51231=EDGE_CURVE('',#40120,#40119,#31092,.T.); #51232=EDGE_CURVE('',#40118,#40120,#747,.T.); #51233=EDGE_CURVE('',#40118,#40121,#31093,.T.); #51234=EDGE_CURVE('',#40122,#40118,#748,.T.); #51235=EDGE_CURVE('',#40123,#40122,#31094,.T.); #51236=EDGE_CURVE('',#40121,#40123,#749,.T.); #51237=EDGE_CURVE('',#40121,#40124,#31095,.T.); #51238=EDGE_CURVE('',#40125,#40121,#750,.T.); #51239=EDGE_CURVE('',#40126,#40125,#31096,.T.); #51240=EDGE_CURVE('',#40124,#40126,#751,.T.); #51241=EDGE_CURVE('',#40124,#40127,#31097,.T.); #51242=EDGE_CURVE('',#40128,#40124,#752,.T.); #51243=EDGE_CURVE('',#40129,#40128,#31098,.T.); #51244=EDGE_CURVE('',#40127,#40129,#753,.T.); #51245=EDGE_CURVE('',#40127,#40130,#31099,.T.); #51246=EDGE_CURVE('',#40131,#40127,#754,.T.); #51247=EDGE_CURVE('',#40132,#40131,#31100,.T.); #51248=EDGE_CURVE('',#40130,#40132,#755,.T.); #51249=EDGE_CURVE('',#40130,#40133,#31101,.T.); #51250=EDGE_CURVE('',#40134,#40130,#756,.T.); #51251=EDGE_CURVE('',#40135,#40134,#31102,.T.); #51252=EDGE_CURVE('',#40133,#40135,#757,.T.); #51253=EDGE_CURVE('',#40133,#40136,#31103,.T.); #51254=EDGE_CURVE('',#40137,#40133,#758,.T.); #51255=EDGE_CURVE('',#40138,#40137,#31104,.T.); #51256=EDGE_CURVE('',#40136,#40138,#759,.T.); #51257=EDGE_CURVE('',#40139,#40136,#760,.T.); #51258=EDGE_CURVE('',#40140,#40139,#31105,.T.); #51259=EDGE_CURVE('',#40117,#40140,#761,.T.); #51260=EDGE_CURVE('',#40136,#40117,#31106,.T.); #51261=EDGE_CURVE('',#40135,#40101,#8383,.T.); #51262=EDGE_CURVE('',#40134,#40102,#8384,.T.); #51263=EDGE_CURVE('',#40132,#40104,#8385,.T.); #51264=EDGE_CURVE('',#40138,#40098,#8386,.T.); #51265=EDGE_CURVE('',#40137,#40099,#8387,.T.); #51266=EDGE_CURVE('',#40119,#40115,#8388,.T.); #51267=EDGE_CURVE('',#40140,#40095,#8389,.T.); #51268=EDGE_CURVE('',#40139,#40096,#8390,.T.); #51269=EDGE_CURVE('',#40120,#40116,#8391,.T.); #51270=EDGE_CURVE('',#40122,#40114,#8392,.T.); #51271=EDGE_CURVE('',#40123,#40113,#8393,.T.); #51272=EDGE_CURVE('',#40125,#40111,#8394,.T.); #51273=EDGE_CURVE('',#40126,#40110,#8395,.T.); #51274=EDGE_CURVE('',#40128,#40108,#8396,.T.); #51275=EDGE_CURVE('',#40129,#40107,#8397,.T.); #51276=EDGE_CURVE('',#40131,#40105,#8398,.T.); #51277=EDGE_CURVE('',#38586,#38689,#8399,.T.); #51278=EDGE_CURVE('',#40141,#40142,#8400,.T.); #51279=EDGE_CURVE('',#40141,#40143,#762,.T.); #51280=EDGE_CURVE('',#40143,#40144,#31107,.T.); #51281=EDGE_CURVE('',#40142,#40144,#763,.T.); #51282=EDGE_CURVE('',#40145,#40146,#8401,.T.); #51283=EDGE_CURVE('',#40145,#40141,#764,.T.); #51284=EDGE_CURVE('',#40146,#40142,#765,.T.); #51285=EDGE_CURVE('',#40147,#40148,#8402,.T.); #51286=EDGE_CURVE('',#40147,#40145,#766,.T.); #51287=EDGE_CURVE('',#40148,#40146,#767,.T.); #51288=EDGE_CURVE('',#40149,#40150,#8403,.T.); #51289=EDGE_CURVE('',#40149,#40147,#768,.T.); #51290=EDGE_CURVE('',#40150,#40148,#769,.T.); #51291=EDGE_CURVE('',#40151,#40152,#8404,.T.); #51292=EDGE_CURVE('',#40151,#40149,#770,.T.); #51293=EDGE_CURVE('',#40152,#40150,#771,.T.); #51294=EDGE_CURVE('',#40153,#40154,#8405,.T.); #51295=EDGE_CURVE('',#40153,#40151,#772,.T.); #51296=EDGE_CURVE('',#40154,#40152,#773,.T.); #51297=EDGE_CURVE('',#40155,#40156,#8406,.T.); #51298=EDGE_CURVE('',#40155,#40153,#774,.T.); #51299=EDGE_CURVE('',#40156,#40154,#775,.T.); #51300=EDGE_CURVE('',#40157,#40158,#8407,.T.); #51301=EDGE_CURVE('',#40157,#40155,#776,.T.); #51302=EDGE_CURVE('',#40158,#40156,#777,.T.); #51303=EDGE_CURVE('',#40159,#40160,#8408,.T.); #51304=EDGE_CURVE('',#40159,#40157,#778,.T.); #51305=EDGE_CURVE('',#40160,#40158,#779,.T.); #51306=EDGE_CURVE('',#40161,#40162,#8409,.T.); #51307=EDGE_CURVE('',#40161,#40159,#780,.T.); #51308=EDGE_CURVE('',#40162,#40160,#781,.T.); #51309=EDGE_CURVE('',#40163,#40164,#8410,.T.); #51310=EDGE_CURVE('',#40163,#40161,#782,.T.); #51311=EDGE_CURVE('',#40164,#40162,#783,.T.); #51312=EDGE_CURVE('',#40165,#40166,#8411,.T.); #51313=EDGE_CURVE('',#40165,#40163,#784,.T.); #51314=EDGE_CURVE('',#40166,#40164,#785,.T.); #51315=EDGE_CURVE('',#40167,#40168,#8412,.T.); #51316=EDGE_CURVE('',#40167,#40165,#786,.T.); #51317=EDGE_CURVE('',#40168,#40166,#787,.T.); #51318=EDGE_CURVE('',#40169,#40170,#8413,.T.); #51319=EDGE_CURVE('',#40169,#40167,#788,.T.); #51320=EDGE_CURVE('',#40170,#40168,#789,.T.); #51321=EDGE_CURVE('',#40171,#40172,#8414,.T.); #51322=EDGE_CURVE('',#40171,#40169,#790,.T.); #51323=EDGE_CURVE('',#40172,#40170,#791,.T.); #51324=EDGE_CURVE('',#40173,#40174,#8415,.T.); #51325=EDGE_CURVE('',#40173,#40171,#792,.T.); #51326=EDGE_CURVE('',#40174,#40172,#793,.T.); #51327=EDGE_CURVE('',#40175,#40176,#8416,.T.); #51328=EDGE_CURVE('',#40175,#40173,#794,.T.); #51329=EDGE_CURVE('',#40176,#40174,#795,.T.); #51330=EDGE_CURVE('',#40177,#40178,#8417,.T.); #51331=EDGE_CURVE('',#40177,#40175,#796,.T.); #51332=EDGE_CURVE('',#40178,#40176,#797,.T.); #51333=EDGE_CURVE('',#40179,#40180,#8418,.T.); #51334=EDGE_CURVE('',#40179,#40177,#798,.T.); #51335=EDGE_CURVE('',#40180,#40178,#799,.T.); #51336=EDGE_CURVE('',#40181,#40182,#8419,.T.); #51337=EDGE_CURVE('',#40181,#40179,#800,.T.); #51338=EDGE_CURVE('',#40182,#40180,#801,.T.); #51339=EDGE_CURVE('',#40183,#40184,#8420,.T.); #51340=EDGE_CURVE('',#40183,#40185,#34487,.T.); #51341=EDGE_CURVE('',#40184,#40185,#31108,.T.); #51342=EDGE_CURVE('',#40186,#40187,#8421,.T.); #51343=EDGE_CURVE('',#40186,#40188,#34488,.T.); #51344=EDGE_CURVE('',#40189,#40188,#31109,.T.); #51345=EDGE_CURVE('',#40189,#40190,#8422,.T.); #51346=EDGE_CURVE('',#40190,#40190,#31110,.T.); #51347=EDGE_CURVE('',#40191,#40189,#31111,.T.); #51348=EDGE_CURVE('',#40187,#40191,#34489,.F.); #51349=EDGE_CURVE('',#40192,#40193,#8423,.T.); #51350=EDGE_CURVE('',#40192,#40186,#34490,.T.); #51351=EDGE_CURVE('',#40193,#40187,#34491,.F.); #51352=EDGE_CURVE('',#40194,#40195,#8424,.T.); #51353=EDGE_CURVE('',#40194,#40192,#34492,.T.); #51354=EDGE_CURVE('',#40195,#40193,#34493,.F.); #51355=EDGE_CURVE('',#40196,#40197,#8425,.T.); #51356=EDGE_CURVE('',#40196,#40194,#34494,.T.); #51357=EDGE_CURVE('',#40197,#40195,#34495,.F.); #51358=EDGE_CURVE('',#40198,#40199,#8426,.T.); #51359=EDGE_CURVE('',#40198,#40196,#34496,.T.); #51360=EDGE_CURVE('',#40199,#40197,#34497,.F.); #51361=EDGE_CURVE('',#40200,#40201,#8427,.T.); #51362=EDGE_CURVE('',#40200,#40198,#34498,.T.); #51363=EDGE_CURVE('',#40201,#40199,#34499,.F.); #51364=EDGE_CURVE('',#40202,#40203,#8428,.T.); #51365=EDGE_CURVE('',#40202,#40200,#34500,.T.); #51366=EDGE_CURVE('',#40203,#40201,#34501,.F.); #51367=EDGE_CURVE('',#40204,#40205,#8429,.T.); #51368=EDGE_CURVE('',#40204,#40202,#34502,.T.); #51369=EDGE_CURVE('',#40205,#40203,#34503,.F.); #51370=EDGE_CURVE('',#40206,#40207,#8430,.T.); #51371=EDGE_CURVE('',#40206,#40204,#34504,.T.); #51372=EDGE_CURVE('',#40207,#40205,#34505,.F.); #51373=EDGE_CURVE('',#40208,#40209,#8431,.T.); #51374=EDGE_CURVE('',#40208,#40206,#34506,.T.); #51375=EDGE_CURVE('',#40209,#40207,#34507,.F.); #51376=EDGE_CURVE('',#40210,#40211,#8432,.T.); #51377=EDGE_CURVE('',#40210,#40208,#34508,.T.); #51378=EDGE_CURVE('',#40211,#40209,#34509,.F.); #51379=EDGE_CURVE('',#40212,#40213,#8433,.T.); #51380=EDGE_CURVE('',#40212,#40210,#34510,.T.); #51381=EDGE_CURVE('',#40213,#40211,#34511,.F.); #51382=EDGE_CURVE('',#40214,#40215,#8434,.T.); #51383=EDGE_CURVE('',#40214,#40212,#34512,.T.); #51384=EDGE_CURVE('',#40215,#40213,#34513,.F.); #51385=EDGE_CURVE('',#40216,#40217,#8435,.T.); #51386=EDGE_CURVE('',#40216,#40214,#34514,.T.); #51387=EDGE_CURVE('',#40217,#40215,#34515,.F.); #51388=EDGE_CURVE('',#40218,#40219,#8436,.T.); #51389=EDGE_CURVE('',#40218,#40216,#34516,.T.); #51390=EDGE_CURVE('',#40219,#40217,#34517,.F.); #51391=EDGE_CURVE('',#40220,#40221,#8437,.T.); #51392=EDGE_CURVE('',#40220,#40218,#34518,.T.); #51393=EDGE_CURVE('',#40221,#40219,#34519,.F.); #51394=EDGE_CURVE('',#40222,#40223,#8438,.T.); #51395=EDGE_CURVE('',#40222,#40220,#34520,.T.); #51396=EDGE_CURVE('',#40223,#40221,#34521,.F.); #51397=EDGE_CURVE('',#40224,#40225,#8439,.T.); #51398=EDGE_CURVE('',#40224,#40222,#34522,.T.); #51399=EDGE_CURVE('',#40225,#40223,#34523,.F.); #51400=EDGE_CURVE('',#40226,#40227,#8440,.T.); #51401=EDGE_CURVE('',#40226,#40224,#34524,.T.); #51402=EDGE_CURVE('',#40227,#40225,#34525,.F.); #51403=EDGE_CURVE('',#40228,#40229,#8441,.T.); #51404=EDGE_CURVE('',#40228,#40230,#8442,.T.); #51405=EDGE_CURVE('',#40231,#40230,#8443,.T.); #51406=EDGE_CURVE('',#40229,#40231,#8444,.T.); #51407=EDGE_CURVE('',#40229,#40232,#8445,.T.); #51408=EDGE_CURVE('',#40233,#40231,#8446,.T.); #51409=EDGE_CURVE('',#40232,#40233,#8447,.T.); #51410=EDGE_CURVE('',#40232,#40234,#8448,.T.); #51411=EDGE_CURVE('',#40235,#40233,#8449,.T.); #51412=EDGE_CURVE('',#40234,#40235,#8450,.T.); #51413=EDGE_CURVE('',#40234,#40228,#8451,.T.); #51414=EDGE_CURVE('',#40230,#40235,#8452,.T.); #51415=EDGE_CURVE('',#40236,#40237,#8453,.T.); #51416=EDGE_CURVE('',#40236,#40238,#8454,.T.); #51417=EDGE_CURVE('',#40239,#40238,#8455,.T.); #51418=EDGE_CURVE('',#40237,#40239,#8456,.T.); #51419=EDGE_CURVE('',#40237,#40240,#8457,.T.); #51420=EDGE_CURVE('',#40241,#40239,#8458,.T.); #51421=EDGE_CURVE('',#40240,#40241,#8459,.T.); #51422=EDGE_CURVE('',#40240,#40242,#8460,.T.); #51423=EDGE_CURVE('',#40243,#40241,#8461,.T.); #51424=EDGE_CURVE('',#40242,#40243,#8462,.T.); #51425=EDGE_CURVE('',#40242,#40236,#8463,.T.); #51426=EDGE_CURVE('',#40238,#40243,#8464,.T.); #51427=EDGE_CURVE('',#40244,#40245,#8465,.T.); #51428=EDGE_CURVE('',#40244,#40246,#8466,.T.); #51429=EDGE_CURVE('',#40247,#40246,#8467,.T.); #51430=EDGE_CURVE('',#40245,#40247,#8468,.T.); #51431=EDGE_CURVE('',#40245,#40248,#8469,.T.); #51432=EDGE_CURVE('',#40249,#40247,#8470,.T.); #51433=EDGE_CURVE('',#40248,#40249,#8471,.T.); #51434=EDGE_CURVE('',#40248,#40250,#8472,.T.); #51435=EDGE_CURVE('',#40251,#40249,#8473,.T.); #51436=EDGE_CURVE('',#40250,#40251,#8474,.T.); #51437=EDGE_CURVE('',#40250,#40244,#8475,.T.); #51438=EDGE_CURVE('',#40246,#40251,#8476,.T.); #51439=EDGE_CURVE('',#40144,#40188,#34526,.T.); #51440=EDGE_CURVE('',#40191,#40143,#34527,.T.); #51441=EDGE_CURVE('',#40252,#40253,#31112,.T.); #51442=EDGE_CURVE('',#40253,#40254,#31113,.T.); #51443=EDGE_CURVE('',#40254,#40255,#31114,.T.); #51444=EDGE_CURVE('',#40255,#40256,#31115,.T.); #51445=EDGE_CURVE('',#40256,#40257,#31116,.T.); #51446=EDGE_CURVE('',#40257,#40258,#31117,.T.); #51447=EDGE_CURVE('',#40258,#40259,#31118,.T.); #51448=EDGE_CURVE('',#40259,#40252,#31119,.T.); #51449=EDGE_CURVE('',#40260,#40227,#34528,.F.); #51450=EDGE_CURVE('',#40260,#40261,#31120,.T.); #51451=EDGE_CURVE('',#40261,#40226,#34529,.T.); #51452=EDGE_CURVE('',#40185,#40181,#31121,.T.); #51453=EDGE_CURVE('',#40260,#40183,#34530,.T.); #51454=EDGE_CURVE('',#40259,#40262,#802,.T.); #51455=EDGE_CURVE('',#40263,#40258,#803,.T.); #51456=EDGE_CURVE('',#40262,#40263,#31122,.T.); #51457=EDGE_CURVE('',#40264,#40252,#804,.T.); #51458=EDGE_CURVE('',#40265,#40264,#31123,.T.); #51459=EDGE_CURVE('',#40253,#40265,#805,.T.); #51460=EDGE_CURVE('',#40266,#40253,#806,.T.); #51461=EDGE_CURVE('',#40267,#40266,#31124,.T.); #51462=EDGE_CURVE('',#40254,#40267,#807,.T.); #51463=EDGE_CURVE('',#40268,#40254,#808,.T.); #51464=EDGE_CURVE('',#40269,#40268,#31125,.T.); #51465=EDGE_CURVE('',#40255,#40269,#809,.T.); #51466=EDGE_CURVE('',#40270,#40255,#810,.T.); #51467=EDGE_CURVE('',#40271,#40270,#31126,.T.); #51468=EDGE_CURVE('',#40256,#40271,#811,.T.); #51469=EDGE_CURVE('',#40272,#40256,#812,.T.); #51470=EDGE_CURVE('',#40273,#40272,#31127,.T.); #51471=EDGE_CURVE('',#40257,#40273,#813,.T.); #51472=EDGE_CURVE('',#40274,#40257,#814,.T.); #51473=EDGE_CURVE('',#40275,#40274,#31128,.T.); #51474=EDGE_CURVE('',#40258,#40275,#815,.T.); #51475=EDGE_CURVE('',#40276,#40277,#31129,.T.); #51476=EDGE_CURVE('',#40252,#40276,#816,.T.); #51477=EDGE_CURVE('',#40277,#40259,#817,.T.); #51478=EDGE_CURVE('',#40278,#40270,#8477,.T.); #51479=EDGE_CURVE('',#40278,#40279,#31130,.T.); #51480=EDGE_CURVE('',#40271,#40279,#8478,.T.); #51481=EDGE_CURVE('',#40269,#40280,#8479,.T.); #51482=EDGE_CURVE('',#40280,#40281,#818,.T.); #51483=EDGE_CURVE('',#40281,#40278,#819,.T.); #51484=EDGE_CURVE('',#40282,#40268,#8480,.T.); #51485=EDGE_CURVE('',#40282,#40280,#31131,.T.); #51486=EDGE_CURVE('',#40267,#40283,#8481,.T.); #51487=EDGE_CURVE('',#40283,#40284,#820,.T.); #51488=EDGE_CURVE('',#40284,#40282,#821,.T.); #51489=EDGE_CURVE('',#40285,#40266,#8482,.T.); #51490=EDGE_CURVE('',#40285,#40283,#31132,.T.); #51491=EDGE_CURVE('',#40265,#40286,#8483,.T.); #51492=EDGE_CURVE('',#40286,#40287,#822,.T.); #51493=EDGE_CURVE('',#40287,#40285,#823,.T.); #51494=EDGE_CURVE('',#40288,#40264,#8484,.T.); #51495=EDGE_CURVE('',#40288,#40286,#31133,.T.); #51496=EDGE_CURVE('',#40276,#40289,#8485,.T.); #51497=EDGE_CURVE('',#40289,#40290,#824,.T.); #51498=EDGE_CURVE('',#40290,#40288,#825,.T.); #51499=EDGE_CURVE('',#40291,#40292,#826,.T.); #51500=EDGE_CURVE('',#40293,#40291,#31134,.T.); #51501=EDGE_CURVE('',#40294,#40293,#827,.T.); #51502=EDGE_CURVE('',#40292,#40294,#31135,.T.); #51503=EDGE_CURVE('',#40295,#40281,#31136,.T.); #51504=EDGE_CURVE('',#40279,#40295,#828,.T.); #51505=EDGE_CURVE('',#40281,#40284,#31137,.T.); #51506=EDGE_CURVE('',#40284,#40287,#31138,.T.); #51507=EDGE_CURVE('',#40287,#40290,#31139,.T.); #51508=EDGE_CURVE('',#40290,#40296,#31140,.T.); #51509=EDGE_CURVE('',#40297,#40289,#31141,.T.); #51510=EDGE_CURVE('',#40296,#40297,#829,.T.); #51511=EDGE_CURVE('',#40296,#40293,#31142,.T.); #51512=EDGE_CURVE('',#40298,#40296,#830,.T.); #51513=EDGE_CURVE('',#40299,#40298,#31143,.T.); #51514=EDGE_CURVE('',#40293,#40299,#831,.T.); #51515=EDGE_CURVE('',#40300,#40301,#31144,.T.); #51516=EDGE_CURVE('',#40295,#40300,#832,.T.); #51517=EDGE_CURVE('',#40291,#40295,#31145,.T.); #51518=EDGE_CURVE('',#40301,#40291,#833,.T.); #51519=EDGE_CURVE('',#40300,#40272,#8486,.T.); #51520=EDGE_CURVE('',#40292,#40274,#8487,.T.); #51521=EDGE_CURVE('',#40275,#40294,#8488,.T.); #51522=EDGE_CURVE('',#40299,#40263,#8489,.T.); #51523=EDGE_CURVE('',#40262,#40298,#8490,.T.); #51524=EDGE_CURVE('',#40297,#40277,#8491,.T.); #51525=EDGE_CURVE('',#40273,#40301,#8492,.T.); #51526=EDGE_CURVE('',#40302,#40184,#31146,.T.); #51527=EDGE_CURVE('',#40302,#40261,#34531,.T.); #51528=EDGE_CURVE('',#40181,#40303,#31147,.T.); #51529=EDGE_CURVE('',#40303,#40302,#31148,.T.); #51530=EDGE_CURVE('',#40303,#40182,#834,.T.); #51531=EDGE_CURVE('',#40304,#40305,#8493,.T.); #51532=EDGE_CURVE('',#40305,#40306,#34532,.T.); #51533=EDGE_CURVE('',#40306,#40307,#8494,.T.); #51534=EDGE_CURVE('',#40304,#40307,#34533,.T.); #51535=EDGE_CURVE('',#40305,#40308,#31149,.T.); #51536=EDGE_CURVE('',#40308,#40309,#34534,.T.); #51537=EDGE_CURVE('',#40309,#40306,#31150,.T.); #51538=EDGE_CURVE('',#40308,#40310,#8495,.T.); #51539=EDGE_CURVE('',#40310,#40311,#34535,.T.); #51540=EDGE_CURVE('',#40311,#40309,#8496,.T.); #51541=EDGE_CURVE('',#40307,#40311,#31151,.T.); #51542=EDGE_CURVE('',#40310,#40304,#31152,.T.); #51543=EDGE_CURVE('',#40312,#40307,#8497,.T.); #51544=EDGE_CURVE('',#40313,#40312,#31153,.T.); #51545=EDGE_CURVE('',#40311,#40313,#8498,.T.); #51546=EDGE_CURVE('',#40306,#40314,#8499,.T.); #51547=EDGE_CURVE('',#40312,#40314,#8500,.T.); #51548=EDGE_CURVE('',#40315,#40315,#31154,.T.); #51549=EDGE_CURVE('',#40316,#40316,#31155,.T.); #51550=EDGE_CURVE('',#40316,#40317,#31156,.T.); #51551=EDGE_CURVE('',#40317,#40317,#31157,.T.); #51552=EDGE_CURVE('',#40318,#40319,#31158,.T.); #51553=EDGE_CURVE('',#40319,#40318,#31159,.T.); #51554=EDGE_CURVE('',#40319,#40316,#8501,.T.); #51555=EDGE_CURVE('',#40320,#40321,#31160,.T.); #51556=EDGE_CURVE('',#40321,#40322,#34536,.T.); #51557=EDGE_CURVE('',#40322,#40320,#34537,.T.); #51558=EDGE_CURVE('',#40323,#40324,#31161,.T.); #51559=EDGE_CURVE('',#40324,#40325,#34538,.T.); #51560=EDGE_CURVE('',#40325,#40326,#31162,.T.); #51561=EDGE_CURVE('',#40326,#40323,#34539,.T.); #51562=EDGE_CURVE('',#40327,#40328,#31163,.T.); #51563=EDGE_CURVE('',#40328,#40326,#8502,.T.); #51564=EDGE_CURVE('',#40325,#40327,#8503,.T.); #51565=EDGE_CURVE('',#40327,#40329,#34540,.T.); #51566=EDGE_CURVE('',#40329,#40330,#31164,.T.); #51567=EDGE_CURVE('',#40330,#40328,#34541,.T.); #51568=EDGE_CURVE('',#40323,#40321,#34542,.T.); #51569=EDGE_CURVE('',#40320,#40324,#34543,.T.); #51570=EDGE_CURVE('',#40331,#40332,#34544,.T.); #51571=EDGE_CURVE('',#40332,#40333,#34545,.T.); #51572=EDGE_CURVE('',#40333,#40331,#31165,.T.); #51573=EDGE_CURVE('',#40333,#40330,#34546,.T.); #51574=EDGE_CURVE('',#40329,#40331,#34547,.T.); #51575=EDGE_CURVE('',#40323,#40334,#34548,.T.); #51576=EDGE_CURVE('',#40335,#40334,#31166,.T.); #51577=EDGE_CURVE('',#40335,#40336,#34549,.T.); #51578=EDGE_CURVE('',#40336,#40321,#31167,.T.); #51579=EDGE_CURVE('',#40337,#40330,#34550,.T.); #51580=EDGE_CURVE('',#40334,#40337,#8504,.T.); #51581=EDGE_CURVE('',#40338,#40333,#31168,.T.); #51582=EDGE_CURVE('',#40338,#40339,#34551,.T.); #51583=EDGE_CURVE('',#40339,#40337,#31169,.T.); #51584=EDGE_CURVE('',#40332,#40338,#8505,.T.); #51585=EDGE_CURVE('',#40340,#40341,#31170,.T.); #51586=EDGE_CURVE('',#40341,#40342,#34552,.T.); #51587=EDGE_CURVE('',#40342,#40340,#34553,.T.); #51588=EDGE_CURVE('',#40343,#40344,#31171,.T.); #51589=EDGE_CURVE('',#40344,#40341,#34554,.T.); #51590=EDGE_CURVE('',#40340,#40343,#34555,.T.); #51591=EDGE_CURVE('',#40345,#40346,#31172,.T.); #51592=EDGE_CURVE('',#40346,#40347,#34556,.T.); #51593=EDGE_CURVE('',#40347,#40348,#31173,.T.); #51594=EDGE_CURVE('',#40348,#40345,#34557,.T.); #51595=EDGE_CURVE('',#40349,#40350,#31174,.T.); #51596=EDGE_CURVE('',#40350,#40346,#8506,.T.); #51597=EDGE_CURVE('',#40345,#40349,#8507,.T.); #51598=EDGE_CURVE('',#40349,#40351,#34558,.T.); #51599=EDGE_CURVE('',#40351,#40352,#31175,.T.); #51600=EDGE_CURVE('',#40352,#40350,#34559,.T.); #51601=EDGE_CURVE('',#40347,#40344,#34560,.T.); #51602=EDGE_CURVE('',#40343,#40348,#34561,.T.); #51603=EDGE_CURVE('',#40353,#40354,#31176,.T.); #51604=EDGE_CURVE('',#40354,#40355,#34562,.T.); #51605=EDGE_CURVE('',#40355,#40356,#31177,.T.); #51606=EDGE_CURVE('',#40356,#40353,#34563,.T.); #51607=EDGE_CURVE('',#40355,#40357,#34564,.T.); #51608=EDGE_CURVE('',#40357,#40356,#34565,.T.); #51609=EDGE_CURVE('',#40353,#40352,#34566,.T.); #51610=EDGE_CURVE('',#40351,#40354,#34567,.T.); #51611=EDGE_CURVE('',#40342,#40358,#8508,.T.); #51612=EDGE_CURVE('',#40340,#40358,#31178,.T.); #51613=EDGE_CURVE('',#40342,#40322,#31179,.T.); #51614=EDGE_CURVE('',#40346,#40325,#31180,.T.); #51615=EDGE_CURVE('',#40327,#40350,#31181,.T.); #51616=EDGE_CURVE('',#40332,#40357,#31182,.T.); #51617=EDGE_CURVE('',#40359,#40360,#31183,.T.); #51618=EDGE_CURVE('',#40360,#40359,#31184,.T.); #51619=EDGE_CURVE('',#40359,#40361,#31185,.T.); #51620=EDGE_CURVE('',#40361,#40361,#31186,.T.); #51621=EDGE_CURVE('',#40362,#40363,#8509,.T.); #51622=EDGE_CURVE('',#40364,#40362,#31187,.T.); #51623=EDGE_CURVE('',#40365,#40364,#8510,.T.); #51624=EDGE_CURVE('',#40363,#40365,#31188,.T.); #51625=EDGE_CURVE('',#40360,#40366,#8511,.T.); #51626=EDGE_CURVE('',#40366,#40366,#31189,.T.); #51627=EDGE_CURVE('',#40363,#40312,#34568,.T.); #51628=EDGE_CURVE('',#40362,#40314,#34569,.T.); #51629=EDGE_CURVE('',#40365,#40313,#34570,.T.); #51630=EDGE_CURVE('',#40364,#40367,#34571,.T.); #51631=EDGE_CURVE('',#40367,#40313,#8512,.T.); #51632=EDGE_CURVE('',#40314,#40367,#31190,.T.); #51633=EDGE_CURVE('',#40367,#40309,#8513,.T.); #51634=EDGE_CURVE('',#40339,#40335,#8514,.T.); #51635=EDGE_CURVE('',#40336,#40322,#8515,.T.); #51636=EDGE_CURVE('',#40368,#40336,#31191,.T.); #51637=EDGE_CURVE('',#40369,#40368,#8516,.T.); #51638=EDGE_CURVE('',#40370,#40369,#8517,.T.); #51639=EDGE_CURVE('',#40371,#40370,#34572,.T.); #51640=EDGE_CURVE('',#40372,#40371,#34573,.T.); #51641=EDGE_CURVE('',#40373,#40372,#34574,.T.); #51642=EDGE_CURVE('',#40374,#40373,#34575,.T.); #51643=EDGE_CURVE('',#40375,#40374,#8518,.T.); #51644=EDGE_CURVE('',#40358,#40375,#8519,.T.); #51645=EDGE_CURVE('',#40376,#40376,#31192,.T.); #51646=EDGE_CURVE('',#40335,#40377,#31193,.T.); #51647=EDGE_CURVE('',#40377,#40368,#31194,.T.); #51648=EDGE_CURVE('',#40378,#40339,#31195,.T.); #51649=EDGE_CURVE('',#40377,#40378,#8520,.T.); #51650=EDGE_CURVE('',#40338,#40379,#31196,.T.); #51651=EDGE_CURVE('',#40378,#40379,#31197,.T.); #51652=EDGE_CURVE('',#40380,#40357,#8521,.T.); #51653=EDGE_CURVE('',#40381,#40380,#8522,.T.); #51654=EDGE_CURVE('',#40382,#40381,#8523,.T.); #51655=EDGE_CURVE('',#40383,#40382,#34576,.T.); #51656=EDGE_CURVE('',#40384,#40383,#34577,.T.); #51657=EDGE_CURVE('',#40385,#40384,#34578,.T.); #51658=EDGE_CURVE('',#40386,#40385,#34579,.T.); #51659=EDGE_CURVE('',#40387,#40386,#8524,.T.); #51660=EDGE_CURVE('',#40379,#40387,#8525,.T.); #51661=EDGE_CURVE('',#40388,#40388,#31198,.T.); #51662=EDGE_CURVE('',#40343,#40389,#31199,.T.); #51663=EDGE_CURVE('',#40389,#40390,#31200,.T.); #51664=EDGE_CURVE('',#40390,#40391,#31201,.T.); #51665=EDGE_CURVE('',#40391,#40348,#31202,.T.); #51666=EDGE_CURVE('',#40392,#40393,#31203,.T.); #51667=EDGE_CURVE('',#40393,#40391,#8526,.T.); #51668=EDGE_CURVE('',#40390,#40392,#8527,.T.); #51669=EDGE_CURVE('',#40351,#40393,#31204,.T.); #51670=EDGE_CURVE('',#40392,#40394,#31205,.T.); #51671=EDGE_CURVE('',#40394,#40354,#31206,.T.); #51672=EDGE_CURVE('',#40358,#40389,#31207,.T.); #51673=EDGE_CURVE('',#40394,#40380,#31208,.T.); #51674=EDGE_CURVE('',#40380,#40355,#31209,.T.); #51675=EDGE_CURVE('',#40392,#40395,#8528,.T.); #51676=EDGE_CURVE('',#40381,#40395,#31210,.T.); #51677=EDGE_CURVE('',#40396,#40390,#8529,.T.); #51678=EDGE_CURVE('',#40397,#40396,#8530,.T.); #51679=EDGE_CURVE('',#40398,#40397,#34580,.T.); #51680=EDGE_CURVE('',#40395,#40398,#8531,.T.); #51681=EDGE_CURVE('',#40383,#40399,#31211,.T.); #51682=EDGE_CURVE('',#40399,#40384,#34581,.T.); #51683=EDGE_CURVE('',#40384,#40400,#34582,.T.); #51684=EDGE_CURVE('',#40400,#40385,#31212,.T.); #51685=EDGE_CURVE('',#40401,#40382,#31213,.T.); #51686=EDGE_CURVE('',#40381,#40402,#31214,.T.); #51687=EDGE_CURVE('',#40402,#40401,#8532,.T.); #51688=EDGE_CURVE('',#40395,#40403,#31215,.T.); #51689=EDGE_CURVE('',#40403,#40402,#31216,.T.); #51690=EDGE_CURVE('',#40398,#40404,#31217,.T.); #51691=EDGE_CURVE('',#40404,#40403,#8533,.T.); #51692=EDGE_CURVE('',#40397,#40405,#31218,.T.); #51693=EDGE_CURVE('',#40405,#40404,#34583,.T.); #51694=EDGE_CURVE('',#40396,#40406,#31219,.T.); #51695=EDGE_CURVE('',#40406,#40405,#8534,.T.); #51696=EDGE_CURVE('',#40375,#40407,#31220,.T.); #51697=EDGE_CURVE('',#40407,#40406,#31221,.T.); #51698=EDGE_CURVE('',#40396,#40375,#31222,.T.); #51699=EDGE_CURVE('',#40374,#40408,#31223,.T.); #51700=EDGE_CURVE('',#40408,#40407,#8535,.T.); #51701=EDGE_CURVE('',#40401,#40399,#34584,.T.); #51702=EDGE_CURVE('',#40372,#40409,#34585,.T.); #51703=EDGE_CURVE('',#40409,#40373,#31224,.T.); #51704=EDGE_CURVE('',#40371,#40410,#31225,.T.); #51705=EDGE_CURVE('',#40410,#40372,#34586,.T.); #51706=EDGE_CURVE('',#40409,#40408,#34587,.T.); #51707=EDGE_CURVE('',#40411,#40370,#31226,.T.); #51708=EDGE_CURVE('',#40369,#40412,#31227,.T.); #51709=EDGE_CURVE('',#40412,#40411,#8536,.T.); #51710=EDGE_CURVE('',#40413,#40414,#31228,.T.); #51711=EDGE_CURVE('',#40414,#40412,#31229,.T.); #51712=EDGE_CURVE('',#40369,#40413,#31230,.T.); #51713=EDGE_CURVE('',#40415,#40416,#31231,.T.); #51714=EDGE_CURVE('',#40416,#40414,#8537,.T.); #51715=EDGE_CURVE('',#40413,#40415,#8538,.T.); #51716=EDGE_CURVE('',#40415,#40417,#34588,.T.); #51717=EDGE_CURVE('',#40417,#40418,#31232,.T.); #51718=EDGE_CURVE('',#40418,#40416,#34589,.T.); #51719=EDGE_CURVE('',#40419,#40420,#31233,.T.); #51720=EDGE_CURVE('',#40420,#40418,#8539,.T.); #51721=EDGE_CURVE('',#40417,#40419,#8540,.T.); #51722=EDGE_CURVE('',#40387,#40421,#31234,.T.); #51723=EDGE_CURVE('',#40421,#40420,#31235,.T.); #51724=EDGE_CURVE('',#40419,#40387,#31236,.T.); #51725=EDGE_CURVE('',#40386,#40422,#31237,.T.); #51726=EDGE_CURVE('',#40422,#40421,#8541,.T.); #51727=EDGE_CURVE('',#40411,#40410,#34590,.T.); #51728=EDGE_CURVE('',#40400,#40422,#34591,.T.); #51729=EDGE_CURVE('',#40401,#40404,#31238,.T.); #51730=EDGE_CURVE('',#40384,#40423,#34592,.T.); #51731=EDGE_CURVE('',#40400,#40423,#31239,.T.); #51732=EDGE_CURVE('',#40405,#40408,#31240,.T.); #51733=EDGE_CURVE('',#40419,#40378,#8542,.T.); #51734=EDGE_CURVE('',#40377,#40413,#8543,.T.); #51735=EDGE_CURVE('',#40423,#40424,#31241,.T.); #51736=EDGE_CURVE('',#40424,#40410,#31242,.T.); #51737=EDGE_CURVE('',#40411,#40416,#31243,.T.); #51738=EDGE_CURVE('',#40418,#40422,#31244,.T.); #51739=EDGE_CURVE('',#40372,#40425,#34593,.T.); #51740=EDGE_CURVE('',#40409,#40425,#31245,.T.); #51741=EDGE_CURVE('',#40425,#40426,#31246,.T.); #51742=EDGE_CURVE('',#40426,#40399,#31247,.T.); #51743=EDGE_CURVE('',#40426,#40384,#34594,.T.); #51744=EDGE_CURVE('',#40424,#40372,#34595,.T.); #51745=EDGE_CURVE('',#40376,#40427,#8544,.T.); #51746=EDGE_CURVE('',#40427,#40427,#31248,.T.); #51747=EDGE_CURVE('',#40427,#40428,#8545,.T.); #51748=EDGE_CURVE('',#40428,#40428,#31249,.T.); #51749=EDGE_CURVE('',#40388,#40428,#8546,.T.); #51750=EDGE_CURVE('',#40429,#40429,#31250,.T.); #51751=EDGE_CURVE('',#40429,#40430,#31251,.T.); #51752=EDGE_CURVE('',#40430,#40431,#31252,.T.); #51753=EDGE_CURVE('',#40431,#40430,#31253,.T.); #51754=EDGE_CURVE('',#40432,#40433,#31254,.T.); #51755=EDGE_CURVE('',#40433,#40431,#8547,.T.); #51756=EDGE_CURVE('',#40433,#40432,#31255,.T.); #51757=EDGE_CURVE('',#40432,#40434,#31256,.T.); #51758=EDGE_CURVE('',#40434,#40434,#31257,.T.); #51759=EDGE_CURVE('',#40435,#40435,#31258,.T.); #51760=EDGE_CURVE('',#40435,#40384,#8548,.T.); #51761=EDGE_CURVE('',#40315,#40318,#8549,.T.); #51762=EDGE_CURVE('',#40436,#40437,#31259,.T.); #51763=EDGE_CURVE('',#40437,#40438,#34596,.T.); #51764=EDGE_CURVE('',#40438,#40439,#31260,.T.); #51765=EDGE_CURVE('',#40439,#40436,#34597,.T.); #51766=EDGE_CURVE('',#40438,#40440,#34598,.T.); #51767=EDGE_CURVE('',#40440,#40441,#31261,.T.); #51768=EDGE_CURVE('',#40441,#40439,#34599,.T.); #51769=EDGE_CURVE('',#40440,#40442,#34600,.T.); #51770=EDGE_CURVE('',#40442,#40443,#31262,.T.); #51771=EDGE_CURVE('',#40443,#40441,#34601,.T.); #51772=EDGE_CURVE('',#40436,#40444,#34602,.T.); #51773=EDGE_CURVE('',#40444,#40445,#31263,.T.); #51774=EDGE_CURVE('',#40445,#40437,#34603,.T.); #51775=EDGE_CURVE('',#40446,#40447,#31264,.T.); #51776=EDGE_CURVE('',#40447,#40448,#34604,.T.); #51777=EDGE_CURVE('',#40448,#40449,#31265,.T.); #51778=EDGE_CURVE('',#40449,#40446,#1207,.T.); #51779=EDGE_CURVE('',#40446,#40450,#34605,.T.); #51780=EDGE_CURVE('',#40450,#40451,#31266,.T.); #51781=EDGE_CURVE('',#40451,#40447,#34606,.T.); #51782=EDGE_CURVE('',#40452,#40453,#31267,.T.); #51783=EDGE_CURVE('',#40453,#40451,#31268,.T.); #51784=EDGE_CURVE('',#40450,#40452,#31269,.T.); #51785=EDGE_CURVE('',#40454,#40455,#31270,.T.); #51786=EDGE_CURVE('',#40455,#40449,#34607,.T.); #51787=EDGE_CURVE('',#40448,#40454,#34608,.T.); #51788=EDGE_CURVE('',#40456,#40457,#31271,.T.); #51789=EDGE_CURVE('',#40457,#40455,#34609,.T.); #51790=EDGE_CURVE('',#40454,#40456,#34610,.T.); #51791=EDGE_CURVE('',#40458,#40459,#31272,.T.); #51792=EDGE_CURVE('',#40459,#40460,#34611,.T.); #51793=EDGE_CURVE('',#40460,#40461,#31273,.T.); #51794=EDGE_CURVE('',#40461,#40458,#34612,.T.); #51795=EDGE_CURVE('',#40462,#40463,#31274,.T.); #51796=EDGE_CURVE('',#40463,#40461,#1208,.T.); #51797=EDGE_CURVE('',#40460,#40462,#1209,.T.); #51798=EDGE_CURVE('',#40464,#40465,#31275,.T.); #51799=EDGE_CURVE('',#40465,#40459,#1210,.T.); #51800=EDGE_CURVE('',#40458,#40464,#1211,.T.); #51801=EDGE_CURVE('',#40466,#40467,#31276,.T.); #51802=EDGE_CURVE('',#40467,#40468,#1212,.T.); #51803=EDGE_CURVE('',#40468,#40469,#31277,.T.); #51804=EDGE_CURVE('',#40469,#40466,#1213,.T.); #51805=EDGE_CURVE('',#40470,#40471,#31278,.T.); #51806=EDGE_CURVE('',#40471,#40469,#34613,.T.); #51807=EDGE_CURVE('',#40468,#40470,#34614,.T.); #51808=EDGE_CURVE('',#40472,#40473,#31279,.T.); #51809=EDGE_CURVE('',#40473,#40467,#34615,.T.); #51810=EDGE_CURVE('',#40466,#40472,#34616,.T.); #51811=EDGE_CURVE('',#40474,#40475,#31280,.T.); #51812=EDGE_CURVE('',#40475,#40473,#34617,.T.); #51813=EDGE_CURVE('',#40472,#40474,#34618,.T.); #51814=EDGE_CURVE('',#40476,#40477,#31281,.T.); #51815=EDGE_CURVE('',#40477,#40478,#31282,.T.); #51816=EDGE_CURVE('',#40478,#40479,#31283,.T.); #51817=EDGE_CURVE('',#40479,#40476,#31284,.T.); #51818=EDGE_CURVE('',#40476,#40480,#34619,.T.); #51819=EDGE_CURVE('',#40480,#40481,#31285,.T.); #51820=EDGE_CURVE('',#40481,#40477,#34620,.T.); #51821=EDGE_CURVE('',#40482,#40483,#31286,.T.); #51822=EDGE_CURVE('',#40483,#40481,#34621,.T.); #51823=EDGE_CURVE('',#40480,#40482,#1214,.T.); #51824=EDGE_CURVE('',#40484,#40485,#31287,.T.); #51825=EDGE_CURVE('',#40485,#40486,#34622,.T.); #51826=EDGE_CURVE('',#40486,#40487,#31288,.T.); #51827=EDGE_CURVE('',#40487,#40484,#34623,.T.); #51828=EDGE_CURVE('',#40486,#40488,#34624,.T.); #51829=EDGE_CURVE('',#40488,#40489,#31289,.T.); #51830=EDGE_CURVE('',#40489,#40487,#34625,.T.); #51831=EDGE_CURVE('',#40488,#40490,#34626,.T.); #51832=EDGE_CURVE('',#40490,#40491,#31290,.T.); #51833=EDGE_CURVE('',#40491,#40489,#34627,.T.); #51834=EDGE_CURVE('',#40484,#40492,#34628,.T.); #51835=EDGE_CURVE('',#40492,#40493,#31291,.T.); #51836=EDGE_CURVE('',#40493,#40485,#34629,.T.); #51837=EDGE_CURVE('',#40494,#40495,#31292,.T.); #51838=EDGE_CURVE('',#40495,#40496,#34630,.T.); #51839=EDGE_CURVE('',#40496,#40497,#31293,.T.); #51840=EDGE_CURVE('',#40497,#40494,#34631,.T.); #51841=EDGE_CURVE('',#40498,#40499,#31294,.T.); #51842=EDGE_CURVE('',#40499,#40495,#34632,.T.); #51843=EDGE_CURVE('',#40494,#40498,#34633,.T.); #51844=EDGE_CURVE('',#40500,#40501,#31295,.T.); #51845=EDGE_CURVE('',#40501,#40499,#34634,.T.); #51846=EDGE_CURVE('',#40498,#40500,#34635,.T.); #51847=EDGE_CURVE('',#40502,#40503,#31296,.T.); #51848=EDGE_CURVE('',#40503,#40501,#34636,.T.); #51849=EDGE_CURVE('',#40500,#40502,#34637,.T.); #51850=EDGE_CURVE('',#40502,#40493,#31297,.T.); #51851=EDGE_CURVE('',#40492,#40503,#31298,.T.); #51852=EDGE_CURVE('',#40504,#40505,#31299,.T.); #51853=EDGE_CURVE('',#40505,#40491,#34638,.T.); #51854=EDGE_CURVE('',#40490,#40504,#34639,.T.); #51855=EDGE_CURVE('',#40504,#40506,#34640,.T.); #51856=EDGE_CURVE('',#40506,#40507,#31300,.T.); #51857=EDGE_CURVE('',#40507,#40505,#34641,.T.); #51858=EDGE_CURVE('',#40506,#40479,#34642,.T.); #51859=EDGE_CURVE('',#40478,#40507,#34643,.T.); #51860=EDGE_CURVE('',#40508,#40509,#31301,.T.); #51861=EDGE_CURVE('',#40509,#40483,#34644,.T.); #51862=EDGE_CURVE('',#40482,#40508,#34645,.T.); #51863=EDGE_CURVE('',#40508,#40475,#34646,.T.); #51864=EDGE_CURVE('',#40474,#40509,#34647,.T.); #51865=EDGE_CURVE('',#40510,#40511,#31302,.T.); #51866=EDGE_CURVE('',#40511,#40471,#1215,.T.); #51867=EDGE_CURVE('',#40470,#40510,#1216,.T.); #51868=EDGE_CURVE('',#40510,#40465,#8550,.T.); #51869=EDGE_CURVE('',#40464,#40511,#8551,.T.); #51870=EDGE_CURVE('',#40512,#40513,#31303,.T.); #51871=EDGE_CURVE('',#40513,#40463,#34648,.T.); #51872=EDGE_CURVE('',#40462,#40512,#34649,.T.); #51873=EDGE_CURVE('',#40512,#40457,#34650,.T.); #51874=EDGE_CURVE('',#40456,#40513,#34651,.T.); #51875=EDGE_CURVE('',#40452,#40514,#34652,.T.); #51876=EDGE_CURVE('',#40514,#40515,#31304,.T.); #51877=EDGE_CURVE('',#40515,#40453,#34653,.T.); #51878=EDGE_CURVE('',#40514,#40445,#34654,.T.); #51879=EDGE_CURVE('',#40444,#40515,#34655,.T.); #51880=EDGE_CURVE('',#40516,#40517,#31305,.T.); #51881=EDGE_CURVE('',#40517,#40443,#34656,.T.); #51882=EDGE_CURVE('',#40442,#40516,#34657,.T.); #51883=EDGE_CURVE('',#40518,#40519,#31306,.T.); #51884=EDGE_CURVE('',#40519,#40517,#31307,.T.); #51885=EDGE_CURVE('',#40516,#40518,#31308,.T.); #51886=EDGE_CURVE('',#40496,#40519,#34658,.T.); #51887=EDGE_CURVE('',#40518,#40497,#34659,.T.); #51888=EDGE_CURVE('',#40520,#40464,#8552,.T.); #51889=EDGE_CURVE('',#40521,#40520,#34660,.T.); #51890=EDGE_CURVE('',#40511,#40521,#8553,.T.); #51891=EDGE_CURVE('',#40513,#40522,#8554,.T.); #51892=EDGE_CURVE('',#40520,#40522,#31309,.T.); #51893=EDGE_CURVE('',#40454,#40523,#8555,.T.); #51894=EDGE_CURVE('',#40522,#40523,#34661,.T.); #51895=EDGE_CURVE('',#40524,#40453,#34662,.T.); #51896=EDGE_CURVE('',#40525,#40524,#34663,.T.); #51897=EDGE_CURVE('',#40523,#40525,#34664,.T.); #51898=EDGE_CURVE('',#40515,#40526,#31310,.T.); #51899=EDGE_CURVE('',#40526,#40527,#34665,.T.); #51900=EDGE_CURVE('',#40524,#40527,#31311,.T.); #51901=EDGE_CURVE('',#40444,#40528,#31312,.T.); #51902=EDGE_CURVE('',#40528,#40526,#34666,.T.); #51903=EDGE_CURVE('',#40436,#40529,#31313,.T.); #51904=EDGE_CURVE('',#40529,#40528,#34667,.T.); #51905=EDGE_CURVE('',#40439,#40530,#31314,.T.); #51906=EDGE_CURVE('',#40530,#40529,#34668,.T.); #51907=EDGE_CURVE('',#40441,#40531,#31315,.T.); #51908=EDGE_CURVE('',#40531,#40530,#34669,.T.); #51909=EDGE_CURVE('',#40532,#40443,#31316,.T.); #51910=EDGE_CURVE('',#40532,#40531,#34670,.T.); #51911=EDGE_CURVE('',#40517,#40533,#31317,.T.); #51912=EDGE_CURVE('',#40533,#40532,#34671,.T.); #51913=EDGE_CURVE('',#40533,#40519,#31318,.T.); #51914=EDGE_CURVE('',#40496,#40534,#34672,.T.); #51915=EDGE_CURVE('',#40534,#40535,#31319,.T.); #51916=EDGE_CURVE('',#40535,#40533,#34673,.T.); #51917=EDGE_CURVE('',#40495,#40536,#8556,.T.); #51918=EDGE_CURVE('',#40534,#40536,#34674,.T.); #51919=EDGE_CURVE('',#40475,#40537,#31320,.T.); #51920=EDGE_CURVE('',#40537,#40538,#8557,.T.); #51921=EDGE_CURVE('',#40539,#40538,#31321,.T.); #51922=EDGE_CURVE('',#40539,#40467,#8558,.T.); #51923=EDGE_CURVE('',#40459,#40540,#8559,.T.); #51924=EDGE_CURVE('',#40540,#40541,#31322,.T.); #51925=EDGE_CURVE('',#40460,#40541,#8560,.F.); #51926=EDGE_CURVE('',#40468,#40539,#8561,.T.); #51927=EDGE_CURVE('',#40542,#40539,#31323,.T.); #51928=EDGE_CURVE('',#40542,#40470,#8562,.T.); #51929=EDGE_CURVE('',#40482,#40543,#34675,.T.); #51930=EDGE_CURVE('',#40543,#40544,#31324,.T.); #51931=EDGE_CURVE('',#40544,#40537,#34676,.T.); #51932=EDGE_CURVE('',#40480,#40543,#8563,.T.); #51933=EDGE_CURVE('',#40476,#40545,#8564,.T.); #51934=EDGE_CURVE('',#40543,#40545,#34677,.T.); #51935=EDGE_CURVE('',#40546,#40450,#8565,.T.); #51936=EDGE_CURVE('',#40547,#40546,#31325,.T.); #51937=EDGE_CURVE('',#40548,#40547,#31326,.T.); #51938=EDGE_CURVE('',#40549,#40548,#8566,.T.); #51939=EDGE_CURVE('',#40550,#40549,#31327,.T.); #51940=EDGE_CURVE('',#40551,#40550,#31328,.T.); #51941=EDGE_CURVE('',#40552,#40551,#31329,.T.); #51942=EDGE_CURVE('',#40553,#40552,#8567,.T.); #51943=EDGE_CURVE('',#40554,#40553,#31330,.T.); #51944=EDGE_CURVE('',#40545,#40554,#31331,.T.); #51945=EDGE_CURVE('',#40555,#40555,#31332,.T.); #51946=EDGE_CURVE('',#40556,#40449,#34678,.T.); #51947=EDGE_CURVE('',#40556,#40446,#8568,.T.); #51948=EDGE_CURVE('',#40557,#40558,#31333,.T.); #51949=EDGE_CURVE('',#40558,#40559,#34679,.T.); #51950=EDGE_CURVE('',#40559,#40560,#31334,.T.); #51951=EDGE_CURVE('',#40560,#40557,#1217,.T.); #51952=EDGE_CURVE('',#40561,#40562,#34680,.T.); #51953=EDGE_CURVE('',#40562,#40560,#34681,.T.); #51954=EDGE_CURVE('',#40559,#40563,#34682,.T.); #51955=EDGE_CURVE('',#40563,#40558,#34683,.T.); #51956=EDGE_CURVE('',#40557,#40561,#34684,.T.); #51957=EDGE_CURVE('',#40557,#40564,#8569,.T.); #51958=EDGE_CURVE('',#40561,#40564,#34685,.T.); #51959=EDGE_CURVE('',#40565,#40562,#34686,.T.); #51960=EDGE_CURVE('',#40566,#40565,#34687,.T.); #51961=EDGE_CURVE('',#40567,#40566,#34688,.T.); #51962=EDGE_CURVE('',#40568,#40567,#31335,.T.); #51963=EDGE_CURVE('',#40568,#40560,#8570,.T.); #51964=EDGE_CURVE('',#40564,#40569,#31336,.T.); #51965=EDGE_CURVE('',#40569,#40570,#34689,.T.); #51966=EDGE_CURVE('',#40565,#40570,#34690,.T.); #51967=EDGE_CURVE('',#40571,#40572,#31337,.T.); #51968=EDGE_CURVE('',#40571,#40563,#8571,.T.); #51969=EDGE_CURVE('',#40572,#40571,#31338,.T.); #51970=EDGE_CURVE('',#40572,#40573,#31339,.T.); #51971=EDGE_CURVE('',#40573,#40573,#31340,.T.); #51972=EDGE_CURVE('',#40574,#40574,#31341,.T.); #51973=EDGE_CURVE('',#40575,#40575,#31342,.T.); #51974=EDGE_CURVE('',#40575,#40576,#31343,.T.); #51975=EDGE_CURVE('',#40576,#40577,#31344,.T.); #51976=EDGE_CURVE('',#40577,#40576,#31345,.T.); #51977=EDGE_CURVE('',#40577,#40578,#8572,.T.); #51978=EDGE_CURVE('',#40579,#40578,#34691,.T.); #51979=EDGE_CURVE('',#40580,#40579,#34692,.T.); #51980=EDGE_CURVE('',#40578,#40580,#34693,.T.); #51981=EDGE_CURVE('',#40581,#40581,#31346,.T.); #51982=EDGE_CURVE('',#40582,#40580,#31347,.T.); #51983=EDGE_CURVE('',#40579,#40583,#31348,.T.); #51984=EDGE_CURVE('',#40583,#40582,#1218,.T.); #51985=EDGE_CURVE('',#40584,#40585,#34694,.T.); #51986=EDGE_CURVE('',#40585,#40583,#34695,.T.); #51987=EDGE_CURVE('',#40582,#40584,#34696,.T.); #51988=EDGE_CURVE('',#40586,#40582,#8573,.T.); #51989=EDGE_CURVE('',#40587,#40586,#31349,.T.); #51990=EDGE_CURVE('',#40588,#40587,#34697,.T.); #51991=EDGE_CURVE('',#40589,#40588,#34698,.T.); #51992=EDGE_CURVE('',#40584,#40589,#34699,.T.); #51993=EDGE_CURVE('',#40590,#40585,#34700,.T.); #51994=EDGE_CURVE('',#40583,#40590,#8574,.T.); #51995=EDGE_CURVE('',#40591,#40589,#34701,.T.); #51996=EDGE_CURVE('',#40591,#40592,#34702,.T.); #51997=EDGE_CURVE('',#40592,#40590,#31350,.T.); #51998=EDGE_CURVE('',#40593,#40594,#34703,.T.); #51999=EDGE_CURVE('',#40594,#40595,#31351,.T.); #52000=EDGE_CURVE('',#40595,#40596,#34704,.T.); #52001=EDGE_CURVE('',#40596,#40597,#34705,.T.); #52002=EDGE_CURVE('',#40597,#40593,#34706,.T.); #52003=EDGE_CURVE('',#40505,#40598,#31352,.T.); #52004=EDGE_CURVE('',#40598,#40599,#34707,.T.); #52005=EDGE_CURVE('',#40599,#40491,#31353,.T.); #52006=EDGE_CURVE('',#40507,#40600,#31354,.T.); #52007=EDGE_CURVE('',#40600,#40598,#34708,.T.); #52008=EDGE_CURVE('',#40478,#40601,#34709,.T.); #52009=EDGE_CURVE('',#40601,#40602,#31355,.T.); #52010=EDGE_CURVE('',#40602,#40600,#34710,.T.); #52011=EDGE_CURVE('',#40603,#40602,#34711,.T.); #52012=EDGE_CURVE('',#40601,#40593,#34712,.T.); #52013=EDGE_CURVE('',#40603,#40597,#34713,.T.); #52014=EDGE_CURVE('',#40604,#40605,#31356,.T.); #52015=EDGE_CURVE('',#40605,#40606,#34714,.T.); #52016=EDGE_CURVE('',#40606,#40607,#34715,.T.); #52017=EDGE_CURVE('',#40607,#40595,#34716,.T.); #52018=EDGE_CURVE('',#40594,#40604,#34717,.T.); #52019=EDGE_CURVE('',#40520,#40608,#31357,.T.); #52020=EDGE_CURVE('',#40608,#40605,#34718,.T.); #52021=EDGE_CURVE('',#40605,#40521,#31358,.T.); #52022=EDGE_CURVE('',#40604,#40521,#31359,.T.); #52023=EDGE_CURVE('',#40523,#40609,#31360,.T.); #52024=EDGE_CURVE('',#40609,#40610,#34719,.T.); #52025=EDGE_CURVE('',#40610,#40611,#34720,.T.); #52026=EDGE_CURVE('',#40611,#40608,#34721,.T.); #52027=EDGE_CURVE('',#40608,#40522,#31361,.T.); #52028=EDGE_CURVE('',#40612,#40609,#34722,.T.); #52029=EDGE_CURVE('',#40525,#40613,#34723,.T.); #52030=EDGE_CURVE('',#40613,#40612,#34724,.T.); #52031=EDGE_CURVE('',#40527,#40614,#34725,.T.); #52032=EDGE_CURVE('',#40613,#40614,#34726,.T.); #52033=EDGE_CURVE('',#40615,#40616,#31362,.T.); #52034=EDGE_CURVE('',#40616,#40617,#34727,.T.); #52035=EDGE_CURVE('',#40617,#40536,#31363,.T.); #52036=EDGE_CURVE('',#40536,#40615,#34728,.T.); #52037=EDGE_CURVE('',#40617,#40535,#34729,.T.); #52038=EDGE_CURVE('',#40503,#40618,#31364,.T.); #52039=EDGE_CURVE('',#40618,#40619,#34730,.T.); #52040=EDGE_CURVE('',#40619,#40620,#31365,.T.); #52041=EDGE_CURVE('',#40620,#40501,#34731,.T.); #52042=EDGE_CURVE('',#40619,#40616,#34732,.T.); #52043=EDGE_CURVE('',#40615,#40620,#34733,.T.); #52044=EDGE_CURVE('',#40484,#40621,#31366,.T.); #52045=EDGE_CURVE('',#40621,#40618,#34734,.T.); #52046=EDGE_CURVE('',#40618,#40492,#31367,.T.); #52047=EDGE_CURVE('',#40487,#40622,#31368,.T.); #52048=EDGE_CURVE('',#40622,#40621,#34735,.T.); #52049=EDGE_CURVE('',#40489,#40623,#31369,.T.); #52050=EDGE_CURVE('',#40623,#40622,#34736,.T.); #52051=EDGE_CURVE('',#40599,#40623,#34737,.T.); #52052=EDGE_CURVE('',#40535,#40527,#31370,.T.); #52053=EDGE_CURVE('',#40602,#40619,#31371,.T.); #52054=EDGE_CURVE('',#40612,#40596,#34738,.T.); #52055=EDGE_CURVE('',#40604,#40472,#8575,.T.); #52056=EDGE_CURVE('',#40509,#40594,#8576,.T.); #52057=EDGE_CURVE('',#40555,#40624,#31372,.T.); #52058=EDGE_CURVE('',#40624,#40624,#31373,.T.); #52059=EDGE_CURVE('',#40624,#40625,#8577,.T.); #52060=EDGE_CURVE('',#40625,#40625,#31374,.T.); #52061=EDGE_CURVE('',#40626,#40626,#31375,.T.); #52062=EDGE_CURVE('',#40626,#40625,#31376,.T.); #52063=EDGE_CURVE('',#40538,#40542,#31377,.T.); #52064=EDGE_CURVE('',#40545,#40627,#31378,.T.); #52065=EDGE_CURVE('',#40627,#40544,#34739,.T.); #52066=EDGE_CURVE('',#40554,#40628,#31379,.T.); #52067=EDGE_CURVE('',#40628,#40627,#31380,.T.); #52068=EDGE_CURVE('',#40553,#40629,#31381,.T.); #52069=EDGE_CURVE('',#40629,#40628,#31382,.T.); #52070=EDGE_CURVE('',#40552,#40630,#31383,.T.); #52071=EDGE_CURVE('',#40630,#40629,#8578,.T.); #52072=EDGE_CURVE('',#40551,#40631,#31384,.T.); #52073=EDGE_CURVE('',#40631,#40630,#31385,.T.); #52074=EDGE_CURVE('',#40550,#40632,#31386,.T.); #52075=EDGE_CURVE('',#40632,#40631,#31387,.T.); #52076=EDGE_CURVE('',#40549,#40633,#31388,.T.); #52077=EDGE_CURVE('',#40633,#40632,#31389,.T.); #52078=EDGE_CURVE('',#40548,#40634,#31390,.T.); #52079=EDGE_CURVE('',#40634,#40633,#8579,.T.); #52080=EDGE_CURVE('',#40547,#40635,#31391,.T.); #52081=EDGE_CURVE('',#40635,#40634,#31392,.T.); #52082=EDGE_CURVE('',#40546,#40636,#31393,.T.); #52083=EDGE_CURVE('',#40636,#40635,#31394,.T.); #52084=EDGE_CURVE('',#40556,#40637,#31395,.T.); #52085=EDGE_CURVE('',#40637,#40636,#34740,.T.); #52086=EDGE_CURVE('',#40546,#40556,#34741,.T.); #52087=EDGE_CURVE('',#40457,#40638,#31396,.T.); #52088=EDGE_CURVE('',#40638,#40637,#34742,.T.); #52089=EDGE_CURVE('',#40462,#40541,#8580,.T.); #52090=EDGE_CURVE('',#40541,#40639,#31397,.T.); #52091=EDGE_CURVE('',#40639,#40638,#8581,.T.); #52092=EDGE_CURVE('',#40540,#40639,#31398,.T.); #52093=EDGE_CURVE('',#40538,#40568,#8582,.T.); #52094=EDGE_CURVE('',#40568,#40640,#31399,.T.); #52095=EDGE_CURVE('',#40640,#40542,#8583,.T.); #52096=EDGE_CURVE('',#40641,#40642,#31400,.T.); #52097=EDGE_CURVE('',#40642,#40643,#34743,.T.); #52098=EDGE_CURVE('',#40643,#40641,#34744,.T.); #52099=EDGE_CURVE('',#40641,#40644,#34745,.T.); #52100=EDGE_CURVE('',#40644,#40645,#31401,.T.); #52101=EDGE_CURVE('',#40645,#40642,#34746,.T.); #52102=EDGE_CURVE('',#40646,#40647,#31402,.T.); #52103=EDGE_CURVE('',#40647,#40645,#8584,.T.); #52104=EDGE_CURVE('',#40644,#40646,#8585,.T.); #52105=EDGE_CURVE('',#40646,#40648,#34747,.T.); #52106=EDGE_CURVE('',#40648,#40649,#31403,.T.); #52107=EDGE_CURVE('',#40649,#40647,#34748,.T.); #52108=EDGE_CURVE('',#40648,#40650,#34749,.T.); #52109=EDGE_CURVE('',#40650,#40649,#34750,.T.); #52110=EDGE_CURVE('',#40646,#40651,#1219,.T.); #52111=EDGE_CURVE('',#40652,#40651,#34751,.T.); #52112=EDGE_CURVE('',#40653,#40652,#34752,.T.); #52113=EDGE_CURVE('',#40653,#40650,#1220,.T.); #52114=EDGE_CURVE('',#40654,#40644,#1221,.T.); #52115=EDGE_CURVE('',#40651,#40654,#8586,.T.); #52116=EDGE_CURVE('',#40643,#40655,#1222,.T.); #52117=EDGE_CURVE('',#40656,#40655,#34753,.T.); #52118=EDGE_CURVE('',#40654,#40656,#34754,.T.); #52119=EDGE_CURVE('',#40652,#40657,#31404,.T.); #52120=EDGE_CURVE('',#40657,#40653,#34755,.T.); #52121=EDGE_CURVE('',#40651,#40658,#31405,.T.); #52122=EDGE_CURVE('',#40658,#40657,#34756,.T.); #52123=EDGE_CURVE('',#40654,#40659,#31406,.T.); #52124=EDGE_CURVE('',#40659,#40658,#8587,.T.); #52125=EDGE_CURVE('',#40656,#40660,#31407,.T.); #52126=EDGE_CURVE('',#40660,#40659,#34757,.T.); #52127=EDGE_CURVE('',#40655,#40660,#34758,.T.); #52128=EDGE_CURVE('',#40657,#40661,#8588,.T.); #52129=EDGE_CURVE('',#40662,#40661,#31408,.T.); #52130=EDGE_CURVE('',#40662,#40653,#8589,.T.); #52131=EDGE_CURVE('',#40650,#40663,#8590,.T.); #52132=EDGE_CURVE('',#40663,#40664,#31409,.T.); #52133=EDGE_CURVE('',#40664,#40649,#8591,.T.); #52134=EDGE_CURVE('',#40665,#40666,#31410,.T.); #52135=EDGE_CURVE('',#40666,#40664,#1223,.T.); #52136=EDGE_CURVE('',#40663,#40665,#1224,.T.); #52137=EDGE_CURVE('',#40667,#40668,#31411,.T.); #52138=EDGE_CURVE('',#40668,#40666,#8592,.T.); #52139=EDGE_CURVE('',#40665,#40667,#8593,.T.); #52140=EDGE_CURVE('',#40669,#40670,#31412,.T.); #52141=EDGE_CURVE('',#40670,#40668,#1225,.T.); #52142=EDGE_CURVE('',#40667,#40669,#1226,.T.); #52143=EDGE_CURVE('',#40642,#40670,#8594,.T.); #52144=EDGE_CURVE('',#40669,#40643,#8595,.T.); #52145=EDGE_CURVE('',#40671,#40669,#8596,.T.); #52146=EDGE_CURVE('',#40672,#40671,#8597,.T.); #52147=EDGE_CURVE('',#40673,#40672,#1227,.T.); #52148=EDGE_CURVE('',#40674,#40673,#8598,.T.); #52149=EDGE_CURVE('',#40675,#40674,#8599,.T.); #52150=EDGE_CURVE('',#40676,#40675,#1228,.T.); #52151=EDGE_CURVE('',#40655,#40676,#8600,.T.); #52152=EDGE_CURVE('',#40677,#40677,#1229,.F.); #52153=EDGE_CURVE('',#40667,#40678,#8601,.T.); #52154=EDGE_CURVE('',#40671,#40678,#1230,.T.); #52155=EDGE_CURVE('',#40679,#40665,#8602,.T.); #52156=EDGE_CURVE('',#40678,#40679,#8603,.T.); #52157=EDGE_CURVE('',#40663,#40680,#8604,.T.); #52158=EDGE_CURVE('',#40679,#40680,#1231,.T.); #52159=EDGE_CURVE('',#40681,#40662,#1232,.T.); #52160=EDGE_CURVE('',#40682,#40681,#8605,.T.); #52161=EDGE_CURVE('',#40683,#40682,#8606,.T.); #52162=EDGE_CURVE('',#40684,#40683,#1233,.T.); #52163=EDGE_CURVE('',#40680,#40684,#8607,.T.); #52164=EDGE_CURVE('',#40685,#40685,#1234,.T.); #52165=EDGE_CURVE('',#40676,#40686,#31413,.T.); #52166=EDGE_CURVE('',#40686,#40660,#8608,.T.); #52167=EDGE_CURVE('',#40687,#40688,#31414,.T.); #52168=EDGE_CURVE('',#40688,#40686,#34759,.T.); #52169=EDGE_CURVE('',#40676,#40687,#34760,.T.); #52170=EDGE_CURVE('',#40689,#40690,#31415,.T.); #52171=EDGE_CURVE('',#40690,#40688,#8609,.T.); #52172=EDGE_CURVE('',#40687,#40689,#8610,.T.); #52173=EDGE_CURVE('',#40661,#40690,#34761,.T.); #52174=EDGE_CURVE('',#40689,#40662,#34762,.T.); #52175=EDGE_CURVE('',#40689,#40691,#1235,.T.); #52176=EDGE_CURVE('',#40681,#40691,#31416,.T.); #52177=EDGE_CURVE('',#40692,#40687,#1236,.T.); #52178=EDGE_CURVE('',#40691,#40692,#8611,.T.); #52179=EDGE_CURVE('',#40675,#40692,#31417,.T.); #52180=EDGE_CURVE('',#40567,#40693,#31418,.T.); #52181=EDGE_CURVE('',#40693,#40640,#31419,.T.); #52182=EDGE_CURVE('',#40566,#40694,#31420,.T.); #52183=EDGE_CURVE('',#40694,#40693,#34763,.T.); #52184=EDGE_CURVE('',#40565,#40695,#31421,.T.); #52185=EDGE_CURVE('',#40695,#40694,#34764,.T.); #52186=EDGE_CURVE('',#40570,#40696,#31422,.T.); #52187=EDGE_CURVE('',#40696,#40695,#34765,.T.); #52188=EDGE_CURVE('',#40697,#40698,#34766,.T.); #52189=EDGE_CURVE('',#40698,#40696,#34767,.T.); #52190=EDGE_CURVE('',#40570,#40591,#34768,.T.); #52191=EDGE_CURVE('',#40591,#40699,#31423,.T.); #52192=EDGE_CURVE('',#40699,#40700,#34769,.T.); #52193=EDGE_CURVE('',#40700,#40701,#34770,.T.); #52194=EDGE_CURVE('',#40701,#40702,#34771,.T.); #52195=EDGE_CURVE('',#40702,#40703,#34772,.T.); #52196=EDGE_CURVE('',#40703,#40697,#34773,.T.); #52197=EDGE_CURVE('',#40589,#40704,#31424,.T.); #52198=EDGE_CURVE('',#40704,#40699,#34774,.T.); #52199=EDGE_CURVE('',#40588,#40705,#31425,.T.); #52200=EDGE_CURVE('',#40705,#40704,#34775,.T.); #52201=EDGE_CURVE('',#40587,#40706,#31426,.T.); #52202=EDGE_CURVE('',#40706,#40705,#34776,.T.); #52203=EDGE_CURVE('',#40586,#40707,#31427,.T.); #52204=EDGE_CURVE('',#40707,#40706,#31428,.T.); #52205=EDGE_CURVE('',#40540,#40707,#8612,.T.); #52206=EDGE_CURVE('',#40586,#40639,#8613,.T.); #52207=EDGE_CURVE('',#40708,#40569,#34777,.T.); #52208=EDGE_CURVE('',#40709,#40708,#34778,.T.); #52209=EDGE_CURVE('',#40710,#40709,#34779,.T.); #52210=EDGE_CURVE('',#40711,#40710,#34780,.T.); #52211=EDGE_CURVE('',#40712,#40711,#34781,.T.); #52212=EDGE_CURVE('',#40713,#40712,#34782,.T.); #52213=EDGE_CURVE('',#40714,#40713,#31429,.T.); #52214=EDGE_CURVE('',#40715,#40714,#31430,.T.); #52215=EDGE_CURVE('',#40716,#40715,#31431,.T.); #52216=EDGE_CURVE('',#40717,#40716,#31432,.T.); #52217=EDGE_CURVE('',#40718,#40717,#31433,.T.); #52218=EDGE_CURVE('',#40719,#40718,#31434,.T.); #52219=EDGE_CURVE('',#40720,#40719,#31435,.T.); #52220=EDGE_CURVE('',#40721,#40720,#34783,.T.); #52221=EDGE_CURVE('',#40722,#40721,#34784,.T.); #52222=EDGE_CURVE('',#40723,#40722,#34785,.T.); #52223=EDGE_CURVE('',#40724,#40723,#34786,.T.); #52224=EDGE_CURVE('',#40725,#40724,#34787,.T.); #52225=EDGE_CURVE('',#40592,#40725,#34788,.T.); #52226=EDGE_CURVE('',#40537,#40726,#8614,.T.); #52227=EDGE_CURVE('',#40564,#40726,#34789,.T.); #52228=EDGE_CURVE('',#40694,#40727,#8615,.T.); #52229=EDGE_CURVE('',#40728,#40727,#1237,.T.); #52230=EDGE_CURVE('',#40728,#40693,#8616,.T.); #52231=EDGE_CURVE('',#40729,#40697,#31436,.T.); #52232=EDGE_CURVE('',#40729,#40674,#1238,.T.); #52233=EDGE_CURVE('',#40674,#40730,#31437,.T.); #52234=EDGE_CURVE('',#40730,#40698,#1239,.T.); #52235=EDGE_CURVE('',#40727,#40730,#8617,.T.); #52236=EDGE_CURVE('',#40703,#40702,#8618,.T.); #52237=EDGE_CURVE('',#40727,#40673,#31438,.T.); #52238=EDGE_CURVE('',#40672,#40728,#31439,.T.); #52239=EDGE_CURVE('',#40671,#40731,#31440,.T.); #52240=EDGE_CURVE('',#40731,#40728,#8619,.T.); #52241=EDGE_CURVE('',#40678,#40732,#31441,.T.); #52242=EDGE_CURVE('',#40732,#40731,#1240,.T.); #52243=EDGE_CURVE('',#40679,#40733,#31442,.T.); #52244=EDGE_CURVE('',#40733,#40732,#8620,.T.); #52245=EDGE_CURVE('',#40680,#40734,#31443,.T.); #52246=EDGE_CURVE('',#40734,#40733,#1241,.T.); #52247=EDGE_CURVE('',#40684,#40735,#31444,.T.); #52248=EDGE_CURVE('',#40735,#40734,#8621,.T.); #52249=EDGE_CURVE('',#40683,#40736,#31445,.T.); #52250=EDGE_CURVE('',#40736,#40735,#1242,.T.); #52251=EDGE_CURVE('',#40682,#40737,#31446,.T.); #52252=EDGE_CURVE('',#40737,#40736,#8622,.T.); #52253=EDGE_CURVE('',#40700,#40737,#1243,.T.); #52254=EDGE_CURVE('',#40682,#40738,#1244,.T.); #52255=EDGE_CURVE('',#40738,#40701,#31447,.T.); #52256=EDGE_CURVE('',#40738,#40729,#8623,.T.); #52257=EDGE_CURVE('',#40736,#40705,#8624,.T.); #52258=EDGE_CURVE('',#40691,#40738,#8625,.T.); #52259=EDGE_CURVE('',#40729,#40692,#8626,.T.); #52260=EDGE_CURVE('',#40720,#40739,#34790,.T.); #52261=EDGE_CURVE('',#40739,#40740,#31448,.T.); #52262=EDGE_CURVE('',#40740,#40741,#34791,.T.); #52263=EDGE_CURVE('',#40741,#40721,#34792,.T.); #52264=EDGE_CURVE('',#40742,#40743,#31449,.T.); #52265=EDGE_CURVE('',#40743,#40740,#34793,.T.); #52266=EDGE_CURVE('',#40739,#40742,#34794,.T.); #52267=EDGE_CURVE('',#40744,#40745,#31450,.T.); #52268=EDGE_CURVE('',#40745,#40743,#34795,.T.); #52269=EDGE_CURVE('',#40742,#40744,#34796,.T.); #52270=EDGE_CURVE('',#40746,#40747,#31451,.T.); #52271=EDGE_CURVE('',#40747,#40745,#34797,.T.); #52272=EDGE_CURVE('',#40744,#40746,#34798,.T.); #52273=EDGE_CURVE('',#40748,#40749,#31452,.T.); #52274=EDGE_CURVE('',#40749,#40747,#34799,.T.); #52275=EDGE_CURVE('',#40746,#40748,#34800,.T.); #52276=EDGE_CURVE('',#40750,#40751,#31453,.T.); #52277=EDGE_CURVE('',#40751,#40749,#34801,.T.); #52278=EDGE_CURVE('',#40748,#40750,#34802,.T.); #52279=EDGE_CURVE('',#40752,#40753,#31454,.T.); #52280=EDGE_CURVE('',#40753,#40751,#34803,.T.); #52281=EDGE_CURVE('',#40750,#40752,#34804,.T.); #52282=EDGE_CURVE('',#40754,#40755,#31455,.T.); #52283=EDGE_CURVE('',#40755,#40753,#34805,.T.); #52284=EDGE_CURVE('',#40752,#40754,#34806,.T.); #52285=EDGE_CURVE('',#40756,#40757,#31456,.T.); #52286=EDGE_CURVE('',#40757,#40755,#34807,.T.); #52287=EDGE_CURVE('',#40754,#40756,#34808,.T.); #52288=EDGE_CURVE('',#40758,#40759,#31457,.T.); #52289=EDGE_CURVE('',#40759,#40757,#34809,.T.); #52290=EDGE_CURVE('',#40756,#40758,#34810,.T.); #52291=EDGE_CURVE('',#40760,#40759,#34811,.T.); #52292=EDGE_CURVE('',#40758,#40713,#34812,.T.); #52293=EDGE_CURVE('',#40712,#40760,#34813,.T.); #52294=EDGE_CURVE('',#40741,#40761,#34814,.T.); #52295=EDGE_CURVE('',#40761,#40722,#31458,.T.); #52296=EDGE_CURVE('',#40711,#40726,#31459,.T.); #52297=EDGE_CURVE('',#40726,#40760,#34815,.T.); #52298=EDGE_CURVE('',#40761,#40590,#34816,.T.); #52299=EDGE_CURVE('',#40638,#40761,#8627,.T.); #52300=EDGE_CURVE('',#40635,#40743,#8628,.T.); #52301=EDGE_CURVE('',#40634,#40745,#8629,.T.); #52302=EDGE_CURVE('',#40633,#40747,#8630,.T.); #52303=EDGE_CURVE('',#40632,#40749,#8631,.T.); #52304=EDGE_CURVE('',#40751,#40631,#8632,.T.); #52305=EDGE_CURVE('',#40630,#40753,#8633,.T.); #52306=EDGE_CURVE('',#40629,#40755,#8634,.T.); #52307=EDGE_CURVE('',#40628,#40757,#8635,.T.); #52308=EDGE_CURVE('',#40706,#40735,#8636,.T.); #52309=EDGE_CURVE('',#40499,#40615,#8637,.T.); #52310=EDGE_CURVE('',#40758,#40739,#31460,.T.); #52311=EDGE_CURVE('',#40685,#40581,#8638,.T.); #52312=EDGE_CURVE('',#40574,#40677,#8639,.T.); #52313=EDGE_CURVE('',#40762,#40762,#31461,.T.); #52314=EDGE_CURVE('',#40763,#40763,#31462,.T.); #52315=EDGE_CURVE('',#40764,#40765,#31463,.T.); #52316=EDGE_CURVE('',#40764,#40763,#8640,.T.); #52317=EDGE_CURVE('',#40765,#40764,#31464,.T.); #52318=EDGE_CURVE('',#40765,#40766,#31465,.T.); #52319=EDGE_CURVE('',#40766,#40766,#31466,.T.); #52320=EDGE_CURVE('',#40767,#40767,#31467,.T.); #52321=EDGE_CURVE('',#40768,#40769,#31468,.T.); #52322=EDGE_CURVE('',#40769,#40768,#31469,.T.); #52323=EDGE_CURVE('',#40769,#40767,#31470,.T.); #52324=EDGE_CURVE('',#40768,#40770,#8641,.T.); #52325=EDGE_CURVE('',#40770,#40770,#31471,.T.); #52326=EDGE_CURVE('',#40770,#40771,#8642,.T.); #52327=EDGE_CURVE('',#40772,#40772,#1245,.T.); #52328=EDGE_CURVE('',#40772,#40772,#31472,.T.); #52329=EDGE_CURVE('',#40773,#40773,#31473,.T.); #52330=EDGE_CURVE('',#40773,#40774,#8643,.T.); #52331=EDGE_CURVE('',#40774,#40774,#31474,.T.); #52332=EDGE_CURVE('',#40775,#40775,#31475,.T.); #52333=EDGE_CURVE('',#40775,#40776,#31476,.T.); #52334=EDGE_CURVE('',#40776,#40776,#31477,.T.); #52335=EDGE_CURVE('',#40776,#40777,#8644,.T.); #52336=EDGE_CURVE('',#40777,#40777,#31478,.T.); #52337=EDGE_CURVE('',#40778,#40778,#31479,.T.); #52338=EDGE_CURVE('',#40779,#40779,#31480,.T.); #52339=EDGE_CURVE('',#40779,#40777,#31481,.T.); #52340=EDGE_CURVE('',#40778,#40780,#8645,.T.); #52341=EDGE_CURVE('',#40780,#40780,#31482,.T.); #52342=EDGE_CURVE('',#40781,#40782,#34817,.T.); #52343=EDGE_CURVE('',#40781,#40783,#8646,.T.); #52344=EDGE_CURVE('',#40783,#40784,#34818,.T.); #52345=EDGE_CURVE('',#40782,#40784,#8647,.T.); #52346=EDGE_CURVE('',#40782,#40785,#34819,.T.); #52347=EDGE_CURVE('',#40784,#40786,#34820,.T.); #52348=EDGE_CURVE('',#40785,#40786,#8648,.T.); #52349=EDGE_CURVE('',#40785,#40787,#34821,.T.); #52350=EDGE_CURVE('',#40786,#40788,#34822,.T.); #52351=EDGE_CURVE('',#40787,#40788,#8649,.T.); #52352=EDGE_CURVE('',#40787,#40789,#34823,.T.); #52353=EDGE_CURVE('',#40788,#40790,#34824,.T.); #52354=EDGE_CURVE('',#40789,#40790,#8650,.T.); #52355=EDGE_CURVE('',#40789,#40791,#8651,.T.); #52356=EDGE_CURVE('',#40790,#40792,#8652,.T.); #52357=EDGE_CURVE('',#40791,#40792,#8653,.T.); #52358=EDGE_CURVE('',#40791,#40793,#8654,.T.); #52359=EDGE_CURVE('',#40792,#40794,#8655,.T.); #52360=EDGE_CURVE('',#40793,#40794,#8656,.T.); #52361=EDGE_CURVE('',#40793,#40781,#8657,.T.); #52362=EDGE_CURVE('',#40794,#40783,#8658,.T.); #52363=EDGE_CURVE('',#40795,#40796,#8659,.T.); #52364=EDGE_CURVE('',#40795,#40797,#8660,.T.); #52365=EDGE_CURVE('',#40797,#40798,#8661,.T.); #52366=EDGE_CURVE('',#40796,#40798,#8662,.T.); #52367=EDGE_CURVE('',#40799,#40795,#34825,.T.); #52368=EDGE_CURVE('',#40799,#40800,#8663,.T.); #52369=EDGE_CURVE('',#40800,#40797,#34826,.T.); #52370=EDGE_CURVE('',#40801,#40799,#34827,.T.); #52371=EDGE_CURVE('',#40801,#40802,#8664,.T.); #52372=EDGE_CURVE('',#40802,#40800,#34828,.T.); #52373=EDGE_CURVE('',#40803,#40801,#34829,.T.); #52374=EDGE_CURVE('',#40803,#40804,#8665,.T.); #52375=EDGE_CURVE('',#40804,#40802,#34830,.T.); #52376=EDGE_CURVE('',#40805,#40803,#34831,.T.); #52377=EDGE_CURVE('',#40805,#40806,#8666,.T.); #52378=EDGE_CURVE('',#40806,#40804,#34832,.T.); #52379=EDGE_CURVE('',#40807,#40805,#8667,.T.); #52380=EDGE_CURVE('',#40807,#40808,#8668,.T.); #52381=EDGE_CURVE('',#40808,#40806,#8669,.T.); #52382=EDGE_CURVE('',#40796,#40807,#8670,.T.); #52383=EDGE_CURVE('',#40798,#40808,#8671,.T.); #52384=EDGE_CURVE('',#40809,#40810,#34833,.T.); #52385=EDGE_CURVE('',#40809,#40811,#8672,.T.); #52386=EDGE_CURVE('',#40811,#40812,#34834,.T.); #52387=EDGE_CURVE('',#40810,#40812,#8673,.T.); #52388=EDGE_CURVE('',#40810,#40813,#34835,.T.); #52389=EDGE_CURVE('',#40812,#40814,#34836,.T.); #52390=EDGE_CURVE('',#40813,#40814,#8674,.T.); #52391=EDGE_CURVE('',#40813,#40815,#8675,.T.); #52392=EDGE_CURVE('',#40814,#40816,#8676,.T.); #52393=EDGE_CURVE('',#40815,#40816,#8677,.T.); #52394=EDGE_CURVE('',#40815,#40817,#8678,.T.); #52395=EDGE_CURVE('',#40816,#40818,#8679,.T.); #52396=EDGE_CURVE('',#40817,#40818,#8680,.T.); #52397=EDGE_CURVE('',#40817,#40809,#8681,.T.); #52398=EDGE_CURVE('',#40818,#40811,#8682,.T.); #52399=EDGE_CURVE('',#40819,#40820,#8683,.T.); #52400=EDGE_CURVE('',#40819,#40821,#8684,.T.); #52401=EDGE_CURVE('',#40821,#40822,#8685,.T.); #52402=EDGE_CURVE('',#40820,#40822,#8686,.T.); #52403=EDGE_CURVE('',#40823,#40819,#8687,.T.); #52404=EDGE_CURVE('',#40823,#40824,#8688,.T.); #52405=EDGE_CURVE('',#40824,#40821,#8689,.T.); #52406=EDGE_CURVE('',#40825,#40823,#8690,.T.); #52407=EDGE_CURVE('',#40825,#40826,#8691,.T.); #52408=EDGE_CURVE('',#40826,#40824,#8692,.T.); #52409=EDGE_CURVE('',#40827,#40825,#34837,.T.); #52410=EDGE_CURVE('',#40827,#40828,#8693,.T.); #52411=EDGE_CURVE('',#40828,#40826,#34838,.T.); #52412=EDGE_CURVE('',#40829,#40827,#34839,.T.); #52413=EDGE_CURVE('',#40829,#40830,#8694,.T.); #52414=EDGE_CURVE('',#40830,#40828,#34840,.T.); #52415=EDGE_CURVE('',#40831,#40829,#8695,.T.); #52416=EDGE_CURVE('',#40831,#40832,#8696,.T.); #52417=EDGE_CURVE('',#40832,#40830,#8697,.T.); #52418=EDGE_CURVE('',#40833,#40831,#8698,.T.); #52419=EDGE_CURVE('',#40833,#40834,#8699,.T.); #52420=EDGE_CURVE('',#40834,#40832,#8700,.T.); #52421=EDGE_CURVE('',#40835,#40833,#8701,.T.); #52422=EDGE_CURVE('',#40835,#40836,#8702,.T.); #52423=EDGE_CURVE('',#40836,#40834,#8703,.T.); #52424=EDGE_CURVE('',#40837,#40835,#34841,.T.); #52425=EDGE_CURVE('',#40837,#40838,#8704,.T.); #52426=EDGE_CURVE('',#40838,#40836,#34842,.T.); #52427=EDGE_CURVE('',#40839,#40837,#34843,.T.); #52428=EDGE_CURVE('',#40839,#40840,#8705,.T.); #52429=EDGE_CURVE('',#40840,#40838,#34844,.T.); #52430=EDGE_CURVE('',#40841,#40839,#34845,.T.); #52431=EDGE_CURVE('',#40841,#40842,#8706,.T.); #52432=EDGE_CURVE('',#40842,#40840,#34846,.T.); #52433=EDGE_CURVE('',#40843,#40841,#34847,.T.); #52434=EDGE_CURVE('',#40843,#40844,#8707,.T.); #52435=EDGE_CURVE('',#40844,#40842,#34848,.T.); #52436=EDGE_CURVE('',#40845,#40843,#8708,.T.); #52437=EDGE_CURVE('',#40845,#40846,#8709,.T.); #52438=EDGE_CURVE('',#40846,#40844,#8710,.T.); #52439=EDGE_CURVE('',#40820,#40845,#8711,.T.); #52440=EDGE_CURVE('',#40822,#40846,#8712,.T.); #52441=EDGE_CURVE('',#40847,#40848,#8713,.T.); #52442=EDGE_CURVE('',#40847,#40849,#8714,.T.); #52443=EDGE_CURVE('',#40849,#40850,#8715,.T.); #52444=EDGE_CURVE('',#40848,#40850,#8716,.T.); #52445=EDGE_CURVE('',#40848,#40851,#34849,.T.); #52446=EDGE_CURVE('',#40850,#40852,#34850,.T.); #52447=EDGE_CURVE('',#40851,#40852,#8717,.T.); #52448=EDGE_CURVE('',#40851,#40853,#34851,.T.); #52449=EDGE_CURVE('',#40852,#40854,#34852,.T.); #52450=EDGE_CURVE('',#40853,#40854,#8718,.T.); #52451=EDGE_CURVE('',#40853,#40855,#8719,.T.); #52452=EDGE_CURVE('',#40854,#40856,#8720,.T.); #52453=EDGE_CURVE('',#40855,#40856,#8721,.T.); #52454=EDGE_CURVE('',#40855,#40847,#8722,.T.); #52455=EDGE_CURVE('',#40856,#40849,#8723,.T.); #52456=EDGE_CURVE('',#40857,#40858,#8724,.T.); #52457=EDGE_CURVE('',#40857,#40859,#8725,.T.); #52458=EDGE_CURVE('',#40859,#40860,#8726,.T.); #52459=EDGE_CURVE('',#40858,#40860,#8727,.T.); #52460=EDGE_CURVE('',#40861,#40857,#8728,.T.); #52461=EDGE_CURVE('',#40861,#40862,#8729,.T.); #52462=EDGE_CURVE('',#40862,#40859,#8730,.T.); #52463=EDGE_CURVE('',#40863,#40861,#8731,.T.); #52464=EDGE_CURVE('',#40863,#40864,#8732,.T.); #52465=EDGE_CURVE('',#40864,#40862,#8733,.T.); #52466=EDGE_CURVE('',#40865,#40863,#8734,.T.); #52467=EDGE_CURVE('',#40865,#40866,#8735,.T.); #52468=EDGE_CURVE('',#40866,#40864,#8736,.T.); #52469=EDGE_CURVE('',#40867,#40865,#8737,.T.); #52470=EDGE_CURVE('',#40867,#40868,#8738,.T.); #52471=EDGE_CURVE('',#40868,#40866,#8739,.T.); #52472=EDGE_CURVE('',#40869,#40867,#8740,.T.); #52473=EDGE_CURVE('',#40869,#40870,#8741,.T.); #52474=EDGE_CURVE('',#40870,#40868,#8742,.T.); #52475=EDGE_CURVE('',#40871,#40869,#8743,.T.); #52476=EDGE_CURVE('',#40871,#40872,#8744,.T.); #52477=EDGE_CURVE('',#40872,#40870,#8745,.T.); #52478=EDGE_CURVE('',#40858,#40871,#8746,.T.); #52479=EDGE_CURVE('',#40860,#40872,#8747,.T.); #52480=EDGE_CURVE('',#40873,#40874,#8748,.T.); #52481=EDGE_CURVE('',#40873,#40875,#8749,.T.); #52482=EDGE_CURVE('',#40875,#40876,#8750,.T.); #52483=EDGE_CURVE('',#40874,#40876,#8751,.T.); #52484=EDGE_CURVE('',#40877,#40873,#8752,.T.); #52485=EDGE_CURVE('',#40877,#40878,#8753,.T.); #52486=EDGE_CURVE('',#40878,#40875,#8754,.T.); #52487=EDGE_CURVE('',#40879,#40877,#8755,.T.); #52488=EDGE_CURVE('',#40879,#40880,#8756,.T.); #52489=EDGE_CURVE('',#40880,#40878,#8757,.T.); #52490=EDGE_CURVE('',#40881,#40879,#8758,.T.); #52491=EDGE_CURVE('',#40881,#40882,#8759,.T.); #52492=EDGE_CURVE('',#40882,#40880,#8760,.T.); #52493=EDGE_CURVE('',#40883,#40881,#8761,.T.); #52494=EDGE_CURVE('',#40883,#40884,#8762,.T.); #52495=EDGE_CURVE('',#40884,#40882,#8763,.T.); #52496=EDGE_CURVE('',#40885,#40883,#8764,.T.); #52497=EDGE_CURVE('',#40885,#40886,#8765,.T.); #52498=EDGE_CURVE('',#40886,#40884,#8766,.T.); #52499=EDGE_CURVE('',#40887,#40885,#8767,.T.); #52500=EDGE_CURVE('',#40887,#40888,#8768,.T.); #52501=EDGE_CURVE('',#40888,#40886,#8769,.T.); #52502=EDGE_CURVE('',#40889,#40887,#8770,.T.); #52503=EDGE_CURVE('',#40889,#40890,#8771,.T.); #52504=EDGE_CURVE('',#40890,#40888,#8772,.T.); #52505=EDGE_CURVE('',#40891,#40889,#8773,.T.); #52506=EDGE_CURVE('',#40891,#40892,#8774,.T.); #52507=EDGE_CURVE('',#40892,#40890,#8775,.T.); #52508=EDGE_CURVE('',#40893,#40891,#8776,.T.); #52509=EDGE_CURVE('',#40893,#40894,#8777,.T.); #52510=EDGE_CURVE('',#40894,#40892,#8778,.T.); #52511=EDGE_CURVE('',#40895,#40893,#8779,.T.); #52512=EDGE_CURVE('',#40895,#40896,#8780,.T.); #52513=EDGE_CURVE('',#40896,#40894,#8781,.T.); #52514=EDGE_CURVE('',#40874,#40895,#8782,.T.); #52515=EDGE_CURVE('',#40876,#40896,#8783,.T.); #52516=EDGE_CURVE('',#40897,#40898,#8784,.T.); #52517=EDGE_CURVE('',#40897,#40899,#8785,.T.); #52518=EDGE_CURVE('',#40899,#40900,#8786,.T.); #52519=EDGE_CURVE('',#40898,#40900,#8787,.T.); #52520=EDGE_CURVE('',#40901,#40897,#8788,.T.); #52521=EDGE_CURVE('',#40901,#40902,#8789,.T.); #52522=EDGE_CURVE('',#40902,#40899,#8790,.T.); #52523=EDGE_CURVE('',#40903,#40901,#8791,.T.); #52524=EDGE_CURVE('',#40903,#40904,#8792,.T.); #52525=EDGE_CURVE('',#40904,#40902,#8793,.T.); #52526=EDGE_CURVE('',#40905,#40903,#8794,.T.); #52527=EDGE_CURVE('',#40905,#40906,#8795,.T.); #52528=EDGE_CURVE('',#40906,#40904,#8796,.T.); #52529=EDGE_CURVE('',#40907,#40905,#8797,.T.); #52530=EDGE_CURVE('',#40907,#40908,#8798,.T.); #52531=EDGE_CURVE('',#40908,#40906,#8799,.T.); #52532=EDGE_CURVE('',#40909,#40907,#8800,.T.); #52533=EDGE_CURVE('',#40909,#40910,#8801,.T.); #52534=EDGE_CURVE('',#40910,#40908,#8802,.T.); #52535=EDGE_CURVE('',#40911,#40909,#8803,.T.); #52536=EDGE_CURVE('',#40911,#40912,#8804,.T.); #52537=EDGE_CURVE('',#40912,#40910,#8805,.T.); #52538=EDGE_CURVE('',#40913,#40911,#8806,.T.); #52539=EDGE_CURVE('',#40913,#40914,#8807,.T.); #52540=EDGE_CURVE('',#40914,#40912,#8808,.T.); #52541=EDGE_CURVE('',#40915,#40913,#8809,.T.); #52542=EDGE_CURVE('',#40915,#40916,#8810,.T.); #52543=EDGE_CURVE('',#40916,#40914,#8811,.T.); #52544=EDGE_CURVE('',#40917,#40915,#8812,.T.); #52545=EDGE_CURVE('',#40917,#40918,#8813,.T.); #52546=EDGE_CURVE('',#40918,#40916,#8814,.T.); #52547=EDGE_CURVE('',#40919,#40917,#8815,.T.); #52548=EDGE_CURVE('',#40919,#40920,#8816,.T.); #52549=EDGE_CURVE('',#40920,#40918,#8817,.T.); #52550=EDGE_CURVE('',#40898,#40919,#8818,.T.); #52551=EDGE_CURVE('',#40900,#40920,#8819,.T.); #52552=EDGE_CURVE('',#40921,#40922,#34853,.T.); #52553=EDGE_CURVE('',#40921,#40923,#8820,.T.); #52554=EDGE_CURVE('',#40923,#40924,#34854,.T.); #52555=EDGE_CURVE('',#40922,#40924,#8821,.T.); #52556=EDGE_CURVE('',#40922,#40925,#34855,.T.); #52557=EDGE_CURVE('',#40924,#40926,#34856,.T.); #52558=EDGE_CURVE('',#40925,#40926,#8822,.T.); #52559=EDGE_CURVE('',#40925,#40927,#34857,.T.); #52560=EDGE_CURVE('',#40926,#40928,#34858,.T.); #52561=EDGE_CURVE('',#40927,#40928,#8823,.T.); #52562=EDGE_CURVE('',#40927,#40929,#8824,.T.); #52563=EDGE_CURVE('',#40928,#40930,#8825,.T.); #52564=EDGE_CURVE('',#40929,#40930,#8826,.T.); #52565=EDGE_CURVE('',#40929,#40931,#8827,.T.); #52566=EDGE_CURVE('',#40930,#40932,#8828,.T.); #52567=EDGE_CURVE('',#40931,#40932,#8829,.T.); #52568=EDGE_CURVE('',#40931,#40921,#8830,.T.); #52569=EDGE_CURVE('',#40932,#40923,#8831,.T.); #52570=EDGE_CURVE('',#40933,#40934,#34859,.T.); #52571=EDGE_CURVE('',#40933,#40935,#8832,.T.); #52572=EDGE_CURVE('',#40935,#40936,#34860,.T.); #52573=EDGE_CURVE('',#40934,#40936,#8833,.T.); #52574=EDGE_CURVE('',#40934,#40937,#34861,.T.); #52575=EDGE_CURVE('',#40936,#40938,#34862,.T.); #52576=EDGE_CURVE('',#40937,#40938,#8834,.T.); #52577=EDGE_CURVE('',#40937,#40939,#34863,.T.); #52578=EDGE_CURVE('',#40938,#40940,#34864,.T.); #52579=EDGE_CURVE('',#40939,#40940,#8835,.T.); #52580=EDGE_CURVE('',#40939,#40941,#8836,.T.); #52581=EDGE_CURVE('',#40940,#40942,#8837,.T.); #52582=EDGE_CURVE('',#40941,#40942,#8838,.T.); #52583=EDGE_CURVE('',#40941,#40943,#8839,.T.); #52584=EDGE_CURVE('',#40942,#40944,#8840,.T.); #52585=EDGE_CURVE('',#40943,#40944,#8841,.T.); #52586=EDGE_CURVE('',#40943,#40933,#8842,.T.); #52587=EDGE_CURVE('',#40944,#40935,#8843,.T.); #52588=EDGE_CURVE('',#40945,#40946,#8844,.T.); #52589=EDGE_CURVE('',#40945,#40947,#8845,.T.); #52590=EDGE_CURVE('',#40947,#40948,#8846,.T.); #52591=EDGE_CURVE('',#40946,#40948,#8847,.T.); #52592=EDGE_CURVE('',#40949,#40945,#34865,.T.); #52593=EDGE_CURVE('',#40949,#40950,#8848,.T.); #52594=EDGE_CURVE('',#40950,#40947,#34866,.T.); #52595=EDGE_CURVE('',#40951,#40949,#34867,.T.); #52596=EDGE_CURVE('',#40951,#40952,#8849,.T.); #52597=EDGE_CURVE('',#40952,#40950,#34868,.T.); #52598=EDGE_CURVE('',#40953,#40951,#34869,.T.); #52599=EDGE_CURVE('',#40953,#40954,#8850,.T.); #52600=EDGE_CURVE('',#40954,#40952,#34870,.T.); #52601=EDGE_CURVE('',#40955,#40953,#34871,.T.); #52602=EDGE_CURVE('',#40955,#40956,#8851,.T.); #52603=EDGE_CURVE('',#40956,#40954,#34872,.T.); #52604=EDGE_CURVE('',#40957,#40955,#8852,.T.); #52605=EDGE_CURVE('',#40957,#40958,#8853,.T.); #52606=EDGE_CURVE('',#40958,#40956,#8854,.T.); #52607=EDGE_CURVE('',#40946,#40957,#8855,.T.); #52608=EDGE_CURVE('',#40948,#40958,#8856,.T.); #52609=EDGE_CURVE('',#40959,#40960,#34873,.T.); #52610=EDGE_CURVE('',#40959,#40961,#8857,.T.); #52611=EDGE_CURVE('',#40961,#40962,#34874,.T.); #52612=EDGE_CURVE('',#40960,#40962,#8858,.T.); #52613=EDGE_CURVE('',#40960,#40963,#34875,.T.); #52614=EDGE_CURVE('',#40962,#40964,#34876,.T.); #52615=EDGE_CURVE('',#40963,#40964,#8859,.T.); #52616=EDGE_CURVE('',#40963,#40965,#8860,.T.); #52617=EDGE_CURVE('',#40964,#40966,#8861,.T.); #52618=EDGE_CURVE('',#40965,#40966,#8862,.T.); #52619=EDGE_CURVE('',#40965,#40967,#8863,.T.); #52620=EDGE_CURVE('',#40966,#40968,#8864,.T.); #52621=EDGE_CURVE('',#40967,#40968,#8865,.T.); #52622=EDGE_CURVE('',#40967,#40959,#8866,.T.); #52623=EDGE_CURVE('',#40968,#40961,#8867,.T.); #52624=EDGE_CURVE('',#40969,#40970,#8868,.T.); #52625=EDGE_CURVE('',#40969,#40971,#8869,.T.); #52626=EDGE_CURVE('',#40971,#40972,#8870,.T.); #52627=EDGE_CURVE('',#40970,#40972,#8871,.T.); #52628=EDGE_CURVE('',#40973,#40969,#8872,.T.); #52629=EDGE_CURVE('',#40973,#40974,#8873,.T.); #52630=EDGE_CURVE('',#40974,#40971,#8874,.T.); #52631=EDGE_CURVE('',#40975,#40973,#8875,.T.); #52632=EDGE_CURVE('',#40975,#40976,#8876,.T.); #52633=EDGE_CURVE('',#40976,#40974,#8877,.T.); #52634=EDGE_CURVE('',#40977,#40975,#34877,.T.); #52635=EDGE_CURVE('',#40977,#40978,#8878,.T.); #52636=EDGE_CURVE('',#40978,#40976,#34878,.T.); #52637=EDGE_CURVE('',#40979,#40977,#34879,.T.); #52638=EDGE_CURVE('',#40979,#40980,#8879,.T.); #52639=EDGE_CURVE('',#40980,#40978,#34880,.T.); #52640=EDGE_CURVE('',#40981,#40979,#8880,.T.); #52641=EDGE_CURVE('',#40981,#40982,#8881,.T.); #52642=EDGE_CURVE('',#40982,#40980,#8882,.T.); #52643=EDGE_CURVE('',#40983,#40981,#8883,.T.); #52644=EDGE_CURVE('',#40983,#40984,#8884,.T.); #52645=EDGE_CURVE('',#40984,#40982,#8885,.T.); #52646=EDGE_CURVE('',#40985,#40983,#8886,.T.); #52647=EDGE_CURVE('',#40985,#40986,#8887,.T.); #52648=EDGE_CURVE('',#40986,#40984,#8888,.T.); #52649=EDGE_CURVE('',#40987,#40985,#34881,.T.); #52650=EDGE_CURVE('',#40987,#40988,#8889,.T.); #52651=EDGE_CURVE('',#40988,#40986,#34882,.T.); #52652=EDGE_CURVE('',#40989,#40987,#34883,.T.); #52653=EDGE_CURVE('',#40989,#40990,#8890,.T.); #52654=EDGE_CURVE('',#40990,#40988,#34884,.T.); #52655=EDGE_CURVE('',#40991,#40989,#34885,.T.); #52656=EDGE_CURVE('',#40991,#40992,#8891,.T.); #52657=EDGE_CURVE('',#40992,#40990,#34886,.T.); #52658=EDGE_CURVE('',#40993,#40991,#34887,.T.); #52659=EDGE_CURVE('',#40993,#40994,#8892,.T.); #52660=EDGE_CURVE('',#40994,#40992,#34888,.T.); #52661=EDGE_CURVE('',#40995,#40993,#8893,.T.); #52662=EDGE_CURVE('',#40995,#40996,#8894,.T.); #52663=EDGE_CURVE('',#40996,#40994,#8895,.T.); #52664=EDGE_CURVE('',#40970,#40995,#8896,.T.); #52665=EDGE_CURVE('',#40972,#40996,#8897,.T.); #52666=EDGE_CURVE('',#40997,#40998,#8898,.T.); #52667=EDGE_CURVE('',#40997,#40999,#8899,.T.); #52668=EDGE_CURVE('',#40999,#41000,#8900,.T.); #52669=EDGE_CURVE('',#40998,#41000,#8901,.T.); #52670=EDGE_CURVE('',#41001,#40997,#8902,.T.); #52671=EDGE_CURVE('',#41001,#41002,#8903,.T.); #52672=EDGE_CURVE('',#41002,#40999,#8904,.T.); #52673=EDGE_CURVE('',#41003,#41001,#8905,.T.); #52674=EDGE_CURVE('',#41003,#41004,#8906,.T.); #52675=EDGE_CURVE('',#41004,#41002,#8907,.T.); #52676=EDGE_CURVE('',#41005,#41003,#8908,.T.); #52677=EDGE_CURVE('',#41005,#41006,#8909,.T.); #52678=EDGE_CURVE('',#41006,#41004,#8910,.T.); #52679=EDGE_CURVE('',#41007,#41005,#8911,.T.); #52680=EDGE_CURVE('',#41007,#41008,#8912,.T.); #52681=EDGE_CURVE('',#41008,#41006,#8913,.T.); #52682=EDGE_CURVE('',#41009,#41007,#8914,.T.); #52683=EDGE_CURVE('',#41009,#41010,#8915,.T.); #52684=EDGE_CURVE('',#41010,#41008,#8916,.T.); #52685=EDGE_CURVE('',#41011,#41009,#8917,.T.); #52686=EDGE_CURVE('',#41011,#41012,#8918,.T.); #52687=EDGE_CURVE('',#41012,#41010,#8919,.T.); #52688=EDGE_CURVE('',#41013,#41011,#8920,.T.); #52689=EDGE_CURVE('',#41013,#41014,#8921,.T.); #52690=EDGE_CURVE('',#41014,#41012,#8922,.T.); #52691=EDGE_CURVE('',#41015,#41013,#8923,.T.); #52692=EDGE_CURVE('',#41015,#41016,#8924,.T.); #52693=EDGE_CURVE('',#41016,#41014,#8925,.T.); #52694=EDGE_CURVE('',#41017,#41015,#8926,.T.); #52695=EDGE_CURVE('',#41017,#41018,#8927,.T.); #52696=EDGE_CURVE('',#41018,#41016,#8928,.T.); #52697=EDGE_CURVE('',#41019,#41017,#8929,.T.); #52698=EDGE_CURVE('',#41019,#41020,#8930,.T.); #52699=EDGE_CURVE('',#41020,#41018,#8931,.T.); #52700=EDGE_CURVE('',#40998,#41019,#8932,.T.); #52701=EDGE_CURVE('',#41000,#41020,#8933,.T.); #52702=EDGE_CURVE('',#41021,#41022,#8934,.T.); #52703=EDGE_CURVE('',#41023,#41022,#31483,.T.); #52704=EDGE_CURVE('',#41023,#41024,#8935,.T.); #52705=EDGE_CURVE('',#41025,#41024,#31484,.T.); #52706=EDGE_CURVE('',#41025,#41026,#8936,.T.); #52707=EDGE_CURVE('',#41027,#41026,#31485,.T.); #52708=EDGE_CURVE('',#41028,#41027,#31486,.T.); #52709=EDGE_CURVE('',#41028,#41029,#31487,.T.); #52710=EDGE_CURVE('',#41030,#41029,#31488,.T.); #52711=EDGE_CURVE('',#41030,#41031,#31489,.T.); #52712=EDGE_CURVE('',#41031,#41032,#8937,.T.); #52713=EDGE_CURVE('',#41033,#41032,#31490,.T.); #52714=EDGE_CURVE('',#41033,#41034,#8938,.T.); #52715=EDGE_CURVE('',#41034,#41035,#8939,.T.); #52716=EDGE_CURVE('',#41035,#41036,#8940,.T.); #52717=EDGE_CURVE('',#41037,#41036,#31491,.T.); #52718=EDGE_CURVE('',#41037,#41038,#8941,.T.); #52719=EDGE_CURVE('',#41038,#41039,#31492,.T.); #52720=EDGE_CURVE('',#41040,#41039,#31493,.T.); #52721=EDGE_CURVE('',#41040,#41041,#31494,.T.); #52722=EDGE_CURVE('',#41042,#41041,#31495,.T.); #52723=EDGE_CURVE('',#41021,#41042,#31496,.T.); #52724=EDGE_CURVE('',#41043,#41044,#8942,.T.); #52725=EDGE_CURVE('',#41044,#41045,#31497,.T.); #52726=EDGE_CURVE('',#41045,#41046,#8943,.T.); #52727=EDGE_CURVE('',#41046,#41043,#31498,.T.); #52728=EDGE_CURVE('',#41047,#41047,#31499,.T.); #52729=EDGE_CURVE('',#41048,#41048,#31500,.T.); #52730=EDGE_CURVE('',#41049,#41050,#31501,.T.); #52731=EDGE_CURVE('',#41050,#41023,#8944,.T.); #52732=EDGE_CURVE('',#41022,#41049,#8945,.T.); #52733=EDGE_CURVE('',#41051,#41050,#8946,.T.); #52734=EDGE_CURVE('',#41024,#41051,#8947,.T.); #52735=EDGE_CURVE('',#41051,#41052,#31502,.T.); #52736=EDGE_CURVE('',#41052,#41025,#8948,.T.); #52737=EDGE_CURVE('',#41053,#41052,#8949,.T.); #52738=EDGE_CURVE('',#41054,#41053,#31503,.T.); #52739=EDGE_CURVE('',#41055,#41054,#8950,.T.); #52740=EDGE_CURVE('',#41056,#41055,#8951,.T.); #52741=EDGE_CURVE('',#41057,#41056,#8952,.T.); #52742=EDGE_CURVE('',#41026,#41057,#31504,.T.); #52743=EDGE_CURVE('',#41058,#41059,#34889,.T.); #52744=EDGE_CURVE('',#41059,#41060,#8953,.T.); #52745=EDGE_CURVE('',#41060,#41061,#34890,.T.); #52746=EDGE_CURVE('',#41061,#41058,#8954,.T.); #52747=EDGE_CURVE('',#41059,#41062,#31505,.T.); #52748=EDGE_CURVE('',#41063,#41062,#8955,.T.); #52749=EDGE_CURVE('',#41063,#41060,#31506,.T.); #52750=EDGE_CURVE('',#41058,#41064,#8956,.T.); #52751=EDGE_CURVE('',#41065,#41064,#31507,.T.); #52752=EDGE_CURVE('',#41065,#41066,#8957,.T.); #52753=EDGE_CURVE('',#41062,#41066,#34891,.T.); #52754=EDGE_CURVE('',#41055,#41067,#34892,.T.); #52755=EDGE_CURVE('',#41067,#41068,#8958,.T.); #52756=EDGE_CURVE('',#41068,#41056,#34893,.T.); #52757=EDGE_CURVE('',#41069,#41068,#31508,.T.); #52758=EDGE_CURVE('',#41070,#41069,#34894,.T.); #52759=EDGE_CURVE('',#41071,#41070,#8959,.T.); #52760=EDGE_CURVE('',#41057,#41071,#31509,.T.); #52761=EDGE_CURVE('',#41067,#41072,#31510,.T.); #52762=EDGE_CURVE('',#41069,#41072,#8960,.T.); #52763=EDGE_CURVE('',#41073,#41063,#34895,.T.); #52764=EDGE_CURVE('',#41066,#41073,#8961,.T.); #52765=EDGE_CURVE('',#41074,#41065,#31511,.T.); #52766=EDGE_CURVE('',#41074,#41075,#8962,.T.); #52767=EDGE_CURVE('',#41075,#41076,#31512,.F.); #52768=EDGE_CURVE('',#41076,#41073,#8963,.T.); #52769=EDGE_CURVE('',#41072,#41077,#34896,.T.); #52770=EDGE_CURVE('',#41077,#41070,#8964,.T.); #52771=EDGE_CURVE('',#41064,#41021,#31513,.T.); #52772=EDGE_CURVE('',#41078,#41061,#8965,.T.); #52773=EDGE_CURVE('',#41079,#41078,#31514,.T.); #52774=EDGE_CURVE('',#41049,#41079,#8966,.T.); #52775=EDGE_CURVE('',#41071,#41080,#31515,.T.); #52776=EDGE_CURVE('',#41080,#41027,#34897,.T.); #52777=EDGE_CURVE('',#41081,#41054,#31516,.T.); #52778=EDGE_CURVE('',#41081,#41077,#8967,.T.); #52779=EDGE_CURVE('',#41078,#41076,#31517,.T.); #52780=EDGE_CURVE('',#41053,#41082,#31518,.T.); #52781=EDGE_CURVE('',#41082,#41083,#34898,.T.); #52782=EDGE_CURVE('',#41083,#41081,#31519,.T.); #52783=EDGE_CURVE('',#41084,#41075,#34899,.F.); #52784=EDGE_CURVE('',#41084,#41079,#31520,.T.); #52785=EDGE_CURVE('',#41042,#41074,#34900,.T.); #52786=EDGE_CURVE('',#41041,#41085,#8968,.T.); #52787=EDGE_CURVE('',#41084,#41085,#31521,.T.); #52788=EDGE_CURVE('',#41086,#41085,#31522,.T.); #52789=EDGE_CURVE('',#41087,#41086,#31523,.T.); #52790=EDGE_CURVE('',#41088,#41087,#31524,.T.); #52791=EDGE_CURVE('',#41089,#41088,#8969,.T.); #52792=EDGE_CURVE('',#41090,#41089,#31525,.T.); #52793=EDGE_CURVE('',#41091,#41090,#8970,.T.); #52794=EDGE_CURVE('',#41091,#41092,#8971,.T.); #52795=EDGE_CURVE('',#41093,#41092,#8972,.T.); #52796=EDGE_CURVE('',#41094,#41093,#31526,.T.); #52797=EDGE_CURVE('',#41095,#41094,#8973,.T.); #52798=EDGE_CURVE('',#41096,#41095,#31527,.T.); #52799=EDGE_CURVE('',#41097,#41096,#31528,.T.); #52800=EDGE_CURVE('',#41098,#41097,#31529,.T.); #52801=EDGE_CURVE('',#41098,#41082,#31530,.T.); #52802=EDGE_CURVE('',#41099,#41100,#8974,.T.); #52803=EDGE_CURVE('',#41100,#41101,#31531,.T.); #52804=EDGE_CURVE('',#41101,#41102,#8975,.T.); #52805=EDGE_CURVE('',#41102,#41099,#31532,.T.); #52806=EDGE_CURVE('',#41103,#41103,#31533,.T.); #52807=EDGE_CURVE('',#41104,#41104,#31534,.T.); #52808=EDGE_CURVE('',#41080,#41083,#8976,.T.); #52809=EDGE_CURVE('',#41028,#41098,#8977,.T.); #52810=EDGE_CURVE('',#41086,#41040,#8978,.T.); #52811=EDGE_CURVE('',#41105,#41106,#31535,.T.); #52812=EDGE_CURVE('',#41106,#41107,#8979,.T.); #52813=EDGE_CURVE('',#41107,#41108,#31536,.T.); #52814=EDGE_CURVE('',#41108,#41105,#8980,.T.); #52815=EDGE_CURVE('',#41106,#41109,#8981,.T.); #52816=EDGE_CURVE('',#41110,#41109,#8982,.T.); #52817=EDGE_CURVE('',#41110,#41107,#8983,.T.); #52818=EDGE_CURVE('',#41105,#41111,#8984,.T.); #52819=EDGE_CURVE('',#41111,#41112,#8985,.T.); #52820=EDGE_CURVE('',#41113,#41112,#8986,.T.); #52821=EDGE_CURVE('',#41109,#41113,#31537,.T.); #52822=EDGE_CURVE('',#41114,#41115,#8987,.T.); #52823=EDGE_CURVE('',#41115,#41116,#31538,.T.); #52824=EDGE_CURVE('',#41116,#41117,#8988,.T.); #52825=EDGE_CURVE('',#41117,#41114,#31539,.T.); #52826=EDGE_CURVE('',#41118,#41110,#31540,.T.); #52827=EDGE_CURVE('',#41113,#41118,#8989,.T.); #52828=EDGE_CURVE('',#41096,#41030,#8990,.T.); #52829=EDGE_CURVE('',#41029,#41097,#8991,.T.); #52830=EDGE_CURVE('',#41095,#41031,#8992,.T.); #52831=EDGE_CURVE('',#41039,#41087,#8993,.T.); #52832=EDGE_CURVE('',#41089,#41037,#8994,.T.); #52833=EDGE_CURVE('',#41036,#41090,#8995,.T.); #52834=EDGE_CURVE('',#41088,#41038,#8996,.T.); #52835=EDGE_CURVE('',#41093,#41033,#8997,.T.); #52836=EDGE_CURVE('',#41032,#41094,#8998,.T.); #52837=EDGE_CURVE('',#41092,#41034,#8999,.T.); #52838=EDGE_CURVE('',#41104,#41119,#9000,.T.); #52839=EDGE_CURVE('',#41119,#41119,#31541,.T.); #52840=EDGE_CURVE('',#41119,#41048,#9001,.T.); #52841=EDGE_CURVE('',#41103,#41120,#9002,.T.); #52842=EDGE_CURVE('',#41120,#41120,#31542,.T.); #52843=EDGE_CURVE('',#41120,#41047,#9003,.T.); #52844=EDGE_CURVE('',#41044,#41099,#9004,.T.); #52845=EDGE_CURVE('',#41043,#41100,#9005,.T.); #52846=EDGE_CURVE('',#41046,#41101,#9006,.T.); #52847=EDGE_CURVE('',#41045,#41102,#9007,.T.); #52848=EDGE_CURVE('',#41121,#41114,#9008,.T.); #52849=EDGE_CURVE('',#41122,#41121,#9009,.T.); #52850=EDGE_CURVE('',#41122,#41115,#9010,.T.); #52851=EDGE_CURVE('',#41123,#41122,#31543,.T.); #52852=EDGE_CURVE('',#41123,#41116,#9011,.T.); #52853=EDGE_CURVE('',#41124,#41123,#9012,.T.); #52854=EDGE_CURVE('',#41124,#41117,#9013,.T.); #52855=EDGE_CURVE('',#41121,#41124,#31544,.T.); #52856=EDGE_CURVE('',#41125,#41126,#9014,.T.); #52857=EDGE_CURVE('',#41127,#41125,#31545,.T.); #52858=EDGE_CURVE('',#41127,#41128,#9015,.T.); #52859=EDGE_CURVE('',#41126,#41128,#31546,.T.); #52860=EDGE_CURVE('',#41108,#41126,#9016,.T.); #52861=EDGE_CURVE('',#41126,#41111,#9017,.T.); #52862=EDGE_CURVE('',#41112,#41125,#9018,.T.); #52863=EDGE_CURVE('',#41112,#41129,#31547,.T.); #52864=EDGE_CURVE('',#41129,#41127,#9019,.T.); #52865=EDGE_CURVE('',#41130,#41128,#9020,.T.); #52866=EDGE_CURVE('',#41111,#41130,#31548,.T.); #52867=EDGE_CURVE('',#41125,#41118,#9021,.T.); #52868=EDGE_CURVE('',#41129,#41130,#9022,.T.); #52869=EDGE_CURVE('',#41131,#41132,#9023,.T.); #52870=EDGE_CURVE('',#41133,#41131,#31549,.T.); #52871=EDGE_CURVE('',#41134,#41133,#9024,.T.); #52872=EDGE_CURVE('',#41132,#41134,#31550,.T.); #52873=EDGE_CURVE('',#41132,#41135,#9025,.T.); #52874=EDGE_CURVE('',#41130,#41135,#9026,.T.); #52875=EDGE_CURVE('',#41128,#41132,#9027,.T.); #52876=EDGE_CURVE('',#41135,#41136,#9028,.T.); #52877=EDGE_CURVE('',#41129,#41136,#9029,.T.); #52878=EDGE_CURVE('',#41136,#41131,#9030,.T.); #52879=EDGE_CURVE('',#41136,#41137,#31551,.T.); #52880=EDGE_CURVE('',#41133,#41137,#9031,.T.); #52881=EDGE_CURVE('',#41138,#41134,#9032,.T.); #52882=EDGE_CURVE('',#41135,#41138,#31552,.T.); #52883=EDGE_CURVE('',#41131,#41127,#9033,.T.); #52884=EDGE_CURVE('',#41137,#41138,#9034,.T.); #52885=EDGE_CURVE('',#41134,#41139,#9035,.T.); #52886=EDGE_CURVE('',#41139,#41140,#9036,.T.); #52887=EDGE_CURVE('',#41140,#41138,#9037,.T.); #52888=EDGE_CURVE('',#41133,#41141,#9038,.T.); #52889=EDGE_CURVE('',#41141,#41139,#9039,.T.); #52890=EDGE_CURVE('',#41140,#41142,#9040,.T.); #52891=EDGE_CURVE('',#41035,#41140,#31553,.T.); #52892=EDGE_CURVE('',#41142,#41034,#31554,.T.); #52893=EDGE_CURVE('',#41137,#41142,#9041,.T.); #52894=EDGE_CURVE('',#41142,#41141,#9042,.T.); #52895=EDGE_CURVE('',#41139,#41091,#31555,.T.); #52896=EDGE_CURVE('',#41035,#41091,#9043,.T.); #52897=EDGE_CURVE('',#41141,#41092,#31556,.T.); #52898=EDGE_CURVE('',#41143,#41144,#9044,.T.); #52899=EDGE_CURVE('',#41145,#41143,#9045,.T.); #52900=EDGE_CURVE('',#41146,#41145,#9046,.T.); #52901=EDGE_CURVE('',#41146,#41144,#9047,.T.); #52902=EDGE_CURVE('',#41144,#41147,#31557,.T.); #52903=EDGE_CURVE('',#41148,#41146,#31558,.T.); #52904=EDGE_CURVE('',#41148,#41147,#9048,.T.); #52905=EDGE_CURVE('',#41147,#41149,#9049,.T.); #52906=EDGE_CURVE('',#41150,#41148,#9050,.T.); #52907=EDGE_CURVE('',#41150,#41149,#9051,.T.); #52908=EDGE_CURVE('',#41149,#41143,#31559,.T.); #52909=EDGE_CURVE('',#41145,#41150,#31560,.T.); #52910=EDGE_CURVE('',#41151,#41151,#31561,.T.); #52911=EDGE_CURVE('',#41151,#41152,#31562,.T.); #52912=EDGE_CURVE('',#41152,#41152,#31563,.T.); #52913=EDGE_CURVE('',#41151,#41153,#31564,.T.); #52914=EDGE_CURVE('',#41153,#41153,#31565,.T.); #52915=EDGE_CURVE('',#41154,#41154,#31566,.T.); #52916=EDGE_CURVE('',#41154,#41155,#31567,.T.); #52917=EDGE_CURVE('',#41155,#41155,#31568,.T.); #52918=EDGE_CURVE('',#41154,#41156,#31569,.T.); #52919=EDGE_CURVE('',#41156,#41156,#31570,.T.); #52920=EDGE_CURVE('',#41157,#41157,#31571,.T.); #52921=EDGE_CURVE('',#41157,#41158,#31572,.T.); #52922=EDGE_CURVE('',#41158,#41158,#31573,.T.); #52923=EDGE_CURVE('',#41157,#41159,#31574,.T.); #52924=EDGE_CURVE('',#41159,#41159,#31575,.T.); #52925=EDGE_CURVE('',#41160,#41160,#31576,.T.); #52926=EDGE_CURVE('',#41161,#41161,#31577,.T.); #52927=EDGE_CURVE('',#41162,#41162,#31578,.T.); #52928=EDGE_CURVE('',#41162,#41163,#31579,.T.); #52929=EDGE_CURVE('',#41163,#41163,#31580,.T.); #52930=EDGE_CURVE('',#41164,#41164,#31581,.T.); #52931=EDGE_CURVE('',#41164,#41163,#31582,.T.); #52932=EDGE_CURVE('',#41165,#41165,#31583,.T.); #52933=EDGE_CURVE('',#41165,#41166,#31584,.T.); #52934=EDGE_CURVE('',#41166,#41166,#31585,.T.); #52935=EDGE_CURVE('',#41167,#41167,#31586,.T.); #52936=EDGE_CURVE('',#41167,#41166,#31587,.T.); #52937=EDGE_CURVE('',#41168,#41168,#31588,.T.); #52938=EDGE_CURVE('',#41168,#41169,#31589,.T.); #52939=EDGE_CURVE('',#41169,#41169,#31590,.T.); #52940=EDGE_CURVE('',#41170,#41170,#31591,.T.); #52941=EDGE_CURVE('',#41170,#41169,#31592,.T.); #52942=EDGE_CURVE('',#41171,#41171,#31593,.T.); #52943=EDGE_CURVE('',#41172,#41172,#31594,.T.); #52944=EDGE_CURVE('',#41173,#41173,#31595,.T.); #52945=EDGE_CURVE('',#41173,#41161,#31596,.T.); #52946=EDGE_CURVE('',#41173,#41174,#31597,.T.); #52947=EDGE_CURVE('',#41174,#41174,#31598,.T.); #52948=EDGE_CURVE('',#41172,#41175,#31599,.T.); #52949=EDGE_CURVE('',#41175,#41175,#31600,.T.); #52950=EDGE_CURVE('',#41176,#41176,#31601,.T.); #52951=EDGE_CURVE('',#41176,#41175,#31602,.T.); #52952=EDGE_CURVE('',#41171,#41160,#9052,.T.); #52953=EDGE_CURVE('',#41177,#41177,#31603,.T.); #52954=EDGE_CURVE('',#41177,#41178,#31604,.T.); #52955=EDGE_CURVE('',#41178,#41179,#31605,.T.); #52956=EDGE_CURVE('',#41179,#41178,#31606,.T.); #52957=EDGE_CURVE('',#41179,#41180,#9053,.T.); #52958=EDGE_CURVE('',#41181,#41180,#31607,.T.); #52959=EDGE_CURVE('',#41180,#41181,#31608,.T.); #52960=EDGE_CURVE('',#41182,#41177,#31609,.T.); #52961=EDGE_CURVE('',#41183,#41184,#34901,.T.); #52962=EDGE_CURVE('',#41185,#41183,#34902,.T.); #52963=EDGE_CURVE('',#41186,#41185,#34903,.T.); #52964=EDGE_CURVE('',#41186,#41187,#9054,.T.); #52965=EDGE_CURVE('',#41188,#41187,#34904,.T.); #52966=EDGE_CURVE('',#41187,#41188,#34905,.T.); #52967=EDGE_CURVE('',#41184,#41186,#34906,.T.); #52968=EDGE_CURVE('',#41189,#41189,#31610,.T.); #52969=EDGE_CURVE('',#41189,#41190,#9055,.T.); #52970=EDGE_CURVE('',#41190,#41190,#31611,.T.); #52971=EDGE_CURVE('',#41189,#41184,#9056,.T.); #52972=EDGE_CURVE('',#41185,#41191,#9057,.T.); #52973=EDGE_CURVE('',#41191,#41191,#31612,.T.); #52974=EDGE_CURVE('',#41192,#41192,#31613,.T.); #52975=EDGE_CURVE('',#41192,#41193,#31614,.T.); #52976=EDGE_CURVE('',#41193,#41193,#31615,.T.); #52977=EDGE_CURVE('',#41194,#41194,#31616,.T.); #52978=EDGE_CURVE('',#41181,#41192,#9058,.T.); #52979=EDGE_CURVE('',#41195,#41195,#31617,.T.); #52980=EDGE_CURVE('',#41195,#41194,#31618,.T.); #52981=EDGE_CURVE('',#41196,#41197,#31619,.T.); #52982=EDGE_CURVE('',#41196,#41195,#9059,.T.); #52983=EDGE_CURVE('',#41197,#41196,#31620,.T.); #52984=EDGE_CURVE('',#41197,#41198,#31621,.T.); #52985=EDGE_CURVE('',#41198,#41198,#31622,.T.); #52986=EDGE_CURVE('',#41199,#41199,#31623,.T.); #52987=EDGE_CURVE('',#41191,#41199,#31624,.T.); #52988=EDGE_CURVE('',#41200,#41200,#31625,.T.); #52989=EDGE_CURVE('',#41200,#41201,#9060,.T.); #52990=EDGE_CURVE('',#41201,#41201,#31626,.T.); #52991=EDGE_CURVE('',#41202,#41202,#31627,.T.); #52992=EDGE_CURVE('',#41202,#41203,#9061,.T.); #52993=EDGE_CURVE('',#41203,#41203,#31628,.T.); #52994=EDGE_CURVE('',#41204,#41205,#31629,.T.); #52995=EDGE_CURVE('',#41205,#41206,#31630,.T.); #52996=EDGE_CURVE('',#41206,#41206,#31631,.T.); #52997=EDGE_CURVE('',#41205,#41204,#31632,.T.); #52998=EDGE_CURVE('',#41207,#41207,#31633,.T.); #52999=EDGE_CURVE('',#41208,#41209,#31634,.T.); #53000=EDGE_CURVE('',#41208,#41204,#9062,.T.); #53001=EDGE_CURVE('',#41209,#41208,#31635,.T.); #53002=EDGE_CURVE('',#41210,#41210,#31636,.T.); #53003=EDGE_CURVE('',#41210,#41211,#31637,.T.); #53004=EDGE_CURVE('',#41211,#41211,#31638,.T.); #53005=EDGE_CURVE('',#41212,#41212,#31639,.T.); #53006=EDGE_CURVE('',#41211,#41213,#9063,.T.); #53007=EDGE_CURVE('',#41213,#41213,#31640,.T.); #53008=EDGE_CURVE('',#41212,#41214,#31641,.T.); #53009=EDGE_CURVE('',#41214,#41215,#31642,.T.); #53010=EDGE_CURVE('',#41215,#41214,#31643,.T.); #53011=EDGE_CURVE('',#41215,#41209,#9064,.T.); #53012=EDGE_CURVE('',#41216,#41216,#31644,.T.); #53013=EDGE_CURVE('',#41216,#41207,#9065,.T.); #53014=EDGE_CURVE('',#41217,#41217,#31645,.T.); #53015=EDGE_CURVE('',#41217,#41218,#9066,.T.); #53016=EDGE_CURVE('',#41218,#41218,#31646,.T.); #53017=EDGE_CURVE('',#41217,#41219,#9067,.T.); #53018=EDGE_CURVE('',#41219,#41219,#31647,.T.); #53019=EDGE_CURVE('',#41220,#41220,#31648,.T.); #53020=EDGE_CURVE('',#41220,#41221,#9068,.T.); #53021=EDGE_CURVE('',#41221,#41221,#31649,.T.); #53022=EDGE_CURVE('',#41222,#41222,#31650,.T.); #53023=EDGE_CURVE('',#41222,#41223,#9069,.T.); #53024=EDGE_CURVE('',#41224,#41223,#835,.T.); #53025=EDGE_CURVE('',#41225,#41224,#836,.T.); #53026=EDGE_CURVE('',#41226,#41225,#837,.T.); #53027=EDGE_CURVE('',#41227,#41226,#838,.T.); #53028=EDGE_CURVE('',#41228,#41227,#839,.T.); #53029=EDGE_CURVE('',#41229,#41228,#840,.T.); #53030=EDGE_CURVE('',#41230,#41229,#841,.T.); #53031=EDGE_CURVE('',#41231,#41230,#842,.T.); #53032=EDGE_CURVE('',#41232,#41231,#843,.T.); #53033=EDGE_CURVE('',#41233,#41232,#844,.T.); #53034=EDGE_CURVE('',#41234,#41233,#845,.T.); #53035=EDGE_CURVE('',#41223,#41234,#846,.T.); #53036=EDGE_CURVE('',#41224,#41235,#9070,.T.); #53037=EDGE_CURVE('',#41236,#41235,#9071,.T.); #53038=EDGE_CURVE('',#41226,#41236,#9072,.T.); #53039=EDGE_CURVE('',#41234,#41237,#9073,.T.); #53040=EDGE_CURVE('',#41235,#41237,#9074,.T.); #53041=EDGE_CURVE('',#41232,#41238,#9075,.T.); #53042=EDGE_CURVE('',#41237,#41238,#9076,.T.); #53043=EDGE_CURVE('',#41230,#41239,#9077,.T.); #53044=EDGE_CURVE('',#41238,#41239,#9078,.T.); #53045=EDGE_CURVE('',#41228,#41240,#9079,.T.); #53046=EDGE_CURVE('',#41239,#41240,#9080,.T.); #53047=EDGE_CURVE('',#41240,#41236,#9081,.T.); #53048=EDGE_CURVE('',#41241,#41241,#31651,.T.); #53049=EDGE_CURVE('',#41241,#41242,#9082,.T.); #53050=EDGE_CURVE('',#41242,#41242,#31652,.T.); #53051=EDGE_CURVE('',#41241,#41243,#9083,.T.); #53052=EDGE_CURVE('',#41243,#41243,#31653,.T.); #53053=EDGE_CURVE('',#41244,#41244,#31654,.T.); #53054=EDGE_CURVE('',#41244,#41245,#9084,.T.); #53055=EDGE_CURVE('',#41245,#41245,#31655,.T.); #53056=EDGE_CURVE('',#41246,#41246,#31656,.T.); #53057=EDGE_CURVE('',#41247,#41247,#31657,.T.); #53058=EDGE_CURVE('',#41247,#41246,#9085,.T.); #53059=EDGE_CURVE('',#41248,#41249,#31658,.T.); #53060=EDGE_CURVE('',#41249,#41250,#9086,.T.); #53061=EDGE_CURVE('',#41250,#41251,#31659,.T.); #53062=EDGE_CURVE('',#41251,#41248,#9087,.T.); #53063=EDGE_CURVE('',#41252,#41249,#9088,.T.); #53064=EDGE_CURVE('',#41252,#41253,#9089,.T.); #53065=EDGE_CURVE('',#41253,#41250,#9090,.T.); #53066=EDGE_CURVE('',#41248,#41254,#9091,.T.); #53067=EDGE_CURVE('',#41255,#41254,#31660,.T.); #53068=EDGE_CURVE('',#41255,#41256,#9092,.T.); #53069=EDGE_CURVE('',#41256,#41257,#9093,.T.); #53070=EDGE_CURVE('',#41258,#41257,#9094,.T.); #53071=EDGE_CURVE('',#41258,#41259,#9095,.T.); #53072=EDGE_CURVE('',#41259,#41260,#9096,.T.); #53073=EDGE_CURVE('',#41260,#41252,#9097,.T.); #53074=EDGE_CURVE('',#41253,#41261,#9098,.T.); #53075=EDGE_CURVE('',#41262,#41261,#9099,.T.); #53076=EDGE_CURVE('',#41262,#41263,#9100,.T.); #53077=EDGE_CURVE('',#41263,#41264,#9101,.T.); #53078=EDGE_CURVE('',#41264,#41265,#9102,.T.); #53079=EDGE_CURVE('',#41265,#41266,#9103,.T.); #53080=EDGE_CURVE('',#41267,#41266,#31661,.T.); #53081=EDGE_CURVE('',#41267,#41251,#9104,.T.); #53082=EDGE_CURVE('',#41261,#41260,#9105,.T.); #53083=EDGE_CURVE('',#41254,#41267,#9106,.T.); #53084=EDGE_CURVE('',#41268,#41268,#31662,.T.); #53085=EDGE_CURVE('',#41269,#41269,#31663,.T.); #53086=EDGE_CURVE('',#41270,#41270,#31664,.T.); #53087=EDGE_CURVE('',#41258,#41263,#9107,.T.); #53088=EDGE_CURVE('',#41262,#41259,#9108,.T.); #53089=EDGE_CURVE('',#41271,#41271,#31665,.T.); #53090=EDGE_CURVE('',#41270,#41272,#9109,.T.); #53091=EDGE_CURVE('',#41273,#41272,#31666,.T.); #53092=EDGE_CURVE('',#41272,#41273,#31667,.T.); #53093=EDGE_CURVE('',#41271,#41273,#31668,.T.); #53094=EDGE_CURVE('',#41269,#41274,#9110,.T.); #53095=EDGE_CURVE('',#41275,#41274,#31669,.T.); #53096=EDGE_CURVE('',#41274,#41275,#31670,.T.); #53097=EDGE_CURVE('',#41275,#41268,#31671,.T.); #53098=EDGE_CURVE('',#41257,#41264,#9111,.T.); #53099=EDGE_CURVE('',#41266,#41255,#9112,.T.); #53100=EDGE_CURVE('',#41265,#41256,#9113,.T.); #53101=EDGE_CURVE('',#41276,#41277,#31672,.T.); #53102=EDGE_CURVE('',#41277,#41278,#9114,.T.); #53103=EDGE_CURVE('',#41278,#41279,#31673,.T.); #53104=EDGE_CURVE('',#41279,#41276,#9115,.T.); #53105=EDGE_CURVE('',#41280,#41281,#31674,.T.); #53106=EDGE_CURVE('',#41281,#41279,#31675,.T.); #53107=EDGE_CURVE('',#41278,#41280,#31676,.T.); #53108=EDGE_CURVE('',#41282,#41283,#31677,.T.); #53109=EDGE_CURVE('',#41283,#41281,#31678,.T.); #53110=EDGE_CURVE('',#41280,#41282,#31679,.T.); #53111=EDGE_CURVE('',#41284,#41285,#31680,.T.); #53112=EDGE_CURVE('',#41285,#41283,#31681,.T.); #53113=EDGE_CURVE('',#41282,#41284,#31682,.T.); #53114=EDGE_CURVE('',#41286,#41287,#31683,.T.); #53115=EDGE_CURVE('',#41287,#41285,#9116,.T.); #53116=EDGE_CURVE('',#41284,#41286,#9117,.T.); #53117=EDGE_CURVE('',#41288,#41289,#31684,.T.); #53118=EDGE_CURVE('',#41289,#41287,#31685,.T.); #53119=EDGE_CURVE('',#41286,#41288,#31686,.T.); #53120=EDGE_CURVE('',#41290,#41291,#31687,.T.); #53121=EDGE_CURVE('',#41291,#41289,#9118,.T.); #53122=EDGE_CURVE('',#41288,#41290,#9119,.T.); #53123=EDGE_CURVE('',#41292,#41293,#31688,.T.); #53124=EDGE_CURVE('',#41293,#41291,#31689,.T.); #53125=EDGE_CURVE('',#41290,#41292,#31690,.T.); #53126=EDGE_CURVE('',#41294,#41295,#31691,.T.); #53127=EDGE_CURVE('',#41295,#41293,#9120,.T.); #53128=EDGE_CURVE('',#41292,#41294,#9121,.T.); #53129=EDGE_CURVE('',#41296,#41297,#31692,.T.); #53130=EDGE_CURVE('',#41297,#41295,#31693,.T.); #53131=EDGE_CURVE('',#41294,#41296,#31694,.T.); #53132=EDGE_CURVE('',#41298,#41299,#31695,.T.); #53133=EDGE_CURVE('',#41299,#41297,#31696,.T.); #53134=EDGE_CURVE('',#41296,#41298,#31697,.T.); #53135=EDGE_CURVE('',#41298,#41277,#31698,.T.); #53136=EDGE_CURVE('',#41276,#41299,#31699,.T.); #53137=EDGE_CURVE('',#41286,#41300,#9122,.T.); #53138=EDGE_CURVE('',#41301,#41300,#31700,.T.); #53139=EDGE_CURVE('',#41301,#41288,#9123,.T.); #53140=EDGE_CURVE('',#41302,#41284,#9124,.T.); #53141=EDGE_CURVE('',#41303,#41302,#31701,.T.); #53142=EDGE_CURVE('',#41304,#41303,#9125,.T.); #53143=EDGE_CURVE('',#41305,#41304,#9126,.T.); #53144=EDGE_CURVE('',#41306,#41305,#9127,.T.); #53145=EDGE_CURVE('',#41300,#41306,#31702,.T.); #53146=EDGE_CURVE('',#41307,#41282,#9128,.T.); #53147=EDGE_CURVE('',#41302,#41307,#31703,.T.); #53148=EDGE_CURVE('',#41280,#41308,#9129,.T.); #53149=EDGE_CURVE('',#41307,#41308,#31704,.T.); #53150=EDGE_CURVE('',#41278,#41309,#9130,.T.); #53151=EDGE_CURVE('',#41308,#41309,#31705,.T.); #53152=EDGE_CURVE('',#41310,#41277,#9131,.T.); #53153=EDGE_CURVE('',#41310,#41311,#9132,.T.); #53154=EDGE_CURVE('',#41311,#41312,#31706,.T.); #53155=EDGE_CURVE('',#41312,#41313,#9133,.T.); #53156=EDGE_CURVE('',#41314,#41313,#9134,.T.); #53157=EDGE_CURVE('',#41315,#41314,#34907,.T.); #53158=EDGE_CURVE('',#41316,#41315,#34908,.T.); #53159=EDGE_CURVE('',#41317,#41316,#9135,.T.); #53160=EDGE_CURVE('',#41318,#41317,#34909,.T.); #53161=EDGE_CURVE('',#41309,#41318,#34910,.T.); #53162=EDGE_CURVE('',#41319,#41305,#31707,.T.); #53163=EDGE_CURVE('',#41304,#41320,#31708,.T.); #53164=EDGE_CURVE('',#41320,#41319,#9136,.T.); #53165=EDGE_CURVE('',#41321,#41322,#31709,.T.); #53166=EDGE_CURVE('',#41322,#41320,#31710,.T.); #53167=EDGE_CURVE('',#41304,#41321,#31711,.T.); #53168=EDGE_CURVE('',#41323,#41324,#31712,.T.); #53169=EDGE_CURVE('',#41324,#41322,#31713,.T.); #53170=EDGE_CURVE('',#41321,#41323,#31714,.T.); #53171=EDGE_CURVE('',#41325,#41326,#31715,.T.); #53172=EDGE_CURVE('',#41326,#41324,#31716,.T.); #53173=EDGE_CURVE('',#41323,#41325,#31717,.T.); #53174=EDGE_CURVE('',#41327,#41328,#31718,.T.); #53175=EDGE_CURVE('',#41328,#41326,#9137,.T.); #53176=EDGE_CURVE('',#41325,#41327,#9138,.T.); #53177=EDGE_CURVE('',#41329,#41330,#31719,.T.); #53178=EDGE_CURVE('',#41330,#41328,#31720,.T.); #53179=EDGE_CURVE('',#41327,#41329,#31721,.T.); #53180=EDGE_CURVE('',#41331,#41332,#31722,.T.); #53181=EDGE_CURVE('',#41332,#41330,#31723,.T.); #53182=EDGE_CURVE('',#41329,#41331,#31724,.T.); #53183=EDGE_CURVE('',#41333,#41334,#31725,.T.); #53184=EDGE_CURVE('',#41334,#41332,#31726,.T.); #53185=EDGE_CURVE('',#41331,#41333,#31727,.T.); #53186=EDGE_CURVE('',#41335,#41336,#31728,.T.); #53187=EDGE_CURVE('',#41336,#41334,#9139,.T.); #53188=EDGE_CURVE('',#41333,#41335,#9140,.T.); #53189=EDGE_CURVE('',#41337,#41338,#31729,.T.); #53190=EDGE_CURVE('',#41338,#41336,#31730,.T.); #53191=EDGE_CURVE('',#41335,#41337,#31731,.T.); #53192=EDGE_CURVE('',#41339,#41340,#31732,.T.); #53193=EDGE_CURVE('',#41340,#41338,#9141,.T.); #53194=EDGE_CURVE('',#41337,#41339,#9142,.T.); #53195=EDGE_CURVE('',#41339,#41305,#31733,.T.); #53196=EDGE_CURVE('',#41319,#41340,#31734,.T.); #53197=EDGE_CURVE('',#41327,#41341,#9143,.T.); #53198=EDGE_CURVE('',#41341,#41342,#31735,.T.); #53199=EDGE_CURVE('',#41329,#41342,#9144,.T.); #53200=EDGE_CURVE('',#41343,#41325,#9145,.T.); #53201=EDGE_CURVE('',#41344,#41343,#34911,.T.); #53202=EDGE_CURVE('',#41345,#41344,#34912,.T.); #53203=EDGE_CURVE('',#41346,#41345,#9146,.T.); #53204=EDGE_CURVE('',#41347,#41346,#34913,.T.); #53205=EDGE_CURVE('',#41341,#41347,#34914,.T.); #53206=EDGE_CURVE('',#41348,#41323,#9147,.T.); #53207=EDGE_CURVE('',#41348,#41343,#31736,.T.); #53208=EDGE_CURVE('',#41321,#41349,#9148,.T.); #53209=EDGE_CURVE('',#41348,#41349,#31737,.T.); #53210=EDGE_CURVE('',#41303,#41349,#31738,.T.); #53211=EDGE_CURVE('',#41345,#41350,#34915,.T.); #53212=EDGE_CURVE('',#41350,#41351,#9149,.T.); #53213=EDGE_CURVE('',#41351,#41346,#34916,.T.); #53214=EDGE_CURVE('',#41352,#41350,#34917,.T.); #53215=EDGE_CURVE('',#41353,#41352,#34918,.T.); #53216=EDGE_CURVE('',#41354,#41353,#34919,.T.); #53217=EDGE_CURVE('',#41355,#41354,#34920,.T.); #53218=EDGE_CURVE('',#41356,#41355,#9150,.T.); #53219=EDGE_CURVE('',#41357,#41356,#34921,.T.); #53220=EDGE_CURVE('',#41358,#41357,#34922,.T.); #53221=EDGE_CURVE('',#41359,#41358,#34923,.T.); #53222=EDGE_CURVE('',#41351,#41359,#34924,.T.); #53223=EDGE_CURVE('',#41316,#41356,#34925,.T.); #53224=EDGE_CURVE('',#41355,#41317,#34926,.T.); #53225=EDGE_CURVE('',#41359,#41357,#34927,.T.); #53226=EDGE_CURVE('',#41315,#41360,#34928,.T.); #53227=EDGE_CURVE('',#41360,#41361,#31739,.T.); #53228=EDGE_CURVE('',#41361,#41362,#34929,.T.); #53229=EDGE_CURVE('',#41362,#41342,#31740,.T.); #53230=EDGE_CURVE('',#41342,#41347,#34930,.T.); #53231=EDGE_CURVE('',#41314,#41360,#31741,.T.); #53232=EDGE_CURVE('',#41308,#41318,#34931,.T.); #53233=EDGE_CURVE('',#41354,#41352,#34932,.T.); #53234=EDGE_CURVE('',#41344,#41348,#34933,.T.); #53235=EDGE_CURVE('',#41335,#41363,#9151,.T.); #53236=EDGE_CURVE('',#41363,#41364,#31742,.T.); #53237=EDGE_CURVE('',#41364,#41337,#9152,.T.); #53238=EDGE_CURVE('',#41365,#41366,#31743,.T.); #53239=EDGE_CURVE('',#41366,#41364,#31744,.T.); #53240=EDGE_CURVE('',#41363,#41365,#31745,.T.); #53241=EDGE_CURVE('',#41367,#41368,#31746,.T.); #53242=EDGE_CURVE('',#41368,#41366,#9153,.T.); #53243=EDGE_CURVE('',#41365,#41367,#9154,.T.); #53244=EDGE_CURVE('',#41369,#41333,#9155,.T.); #53245=EDGE_CURVE('',#41370,#41369,#31747,.T.); #53246=EDGE_CURVE('',#41371,#41370,#9156,.T.); #53247=EDGE_CURVE('',#41371,#41367,#9157,.T.); #53248=EDGE_CURVE('',#41372,#41364,#9158,.T.); #53249=EDGE_CURVE('',#41339,#41372,#9159,.T.); #53250=EDGE_CURVE('',#41290,#41373,#9160,.T.); #53251=EDGE_CURVE('',#41373,#41374,#31748,.T.); #53252=EDGE_CURVE('',#41374,#41292,#9161,.T.); #53253=EDGE_CURVE('',#41374,#41375,#9162,.T.); #53254=EDGE_CURVE('',#41294,#41375,#9163,.T.); #53255=EDGE_CURVE('',#41376,#41373,#9164,.T.); #53256=EDGE_CURVE('',#41376,#41311,#9165,.T.); #53257=EDGE_CURVE('',#41377,#41310,#31749,.T.); #53258=EDGE_CURVE('',#41377,#41378,#31750,.F.); #53259=EDGE_CURVE('',#41375,#41378,#31751,.T.); #53260=EDGE_CURVE('',#41372,#41306,#31752,.T.); #53261=EDGE_CURVE('',#41372,#41301,#31753,.T.); #53262=EDGE_CURVE('',#41301,#41366,#9166,.T.); #53263=EDGE_CURVE('',#41296,#41378,#9167,.T.); #53264=EDGE_CURVE('',#41377,#41298,#9168,.T.); #53265=EDGE_CURVE('',#41379,#41380,#31754,.F.); #53266=EDGE_CURVE('',#41379,#41361,#9169,.T.); #53267=EDGE_CURVE('',#41360,#41380,#9170,.T.); #53268=EDGE_CURVE('',#41362,#41331,#9171,.T.); #53269=EDGE_CURVE('',#41379,#41371,#31755,.T.); #53270=EDGE_CURVE('',#41361,#41370,#31756,.T.); #53271=EDGE_CURVE('',#41313,#41380,#31757,.T.); #53272=EDGE_CURVE('',#41349,#41307,#34934,.T.); #53273=EDGE_CURVE('',#41362,#41369,#31758,.T.); #53274=EDGE_CURVE('',#41381,#41312,#9172,.T.); #53275=EDGE_CURVE('',#41368,#41381,#9173,.T.); #53276=EDGE_CURVE('',#41381,#41376,#31759,.T.); #53277=EDGE_CURVE('',#41382,#41383,#9174,.T.); #53278=EDGE_CURVE('',#41384,#41382,#9175,.T.); #53279=EDGE_CURVE('',#41385,#41384,#9176,.T.); #53280=EDGE_CURVE('',#41385,#41383,#9177,.T.); #53281=EDGE_CURVE('',#41386,#41387,#9178,.T.); #53282=EDGE_CURVE('',#41388,#41386,#9179,.T.); #53283=EDGE_CURVE('',#41389,#41388,#9180,.T.); #53284=EDGE_CURVE('',#41387,#41389,#9181,.T.); #53285=EDGE_CURVE('',#41384,#41390,#9182,.T.); #53286=EDGE_CURVE('',#41390,#41391,#9183,.T.); #53287=EDGE_CURVE('',#41391,#41385,#9184,.T.); #53288=EDGE_CURVE('',#41392,#41393,#9185,.T.); #53289=EDGE_CURVE('',#41391,#41393,#9186,.T.); #53290=EDGE_CURVE('',#41390,#41392,#9187,.T.); #53291=EDGE_CURVE('',#41394,#41395,#9188,.T.); #53292=EDGE_CURVE('',#41396,#41394,#9189,.T.); #53293=EDGE_CURVE('',#41397,#41396,#9190,.T.); #53294=EDGE_CURVE('',#41395,#41397,#9191,.T.); #53295=EDGE_CURVE('',#41398,#41397,#31760,.T.); #53296=EDGE_CURVE('',#41396,#41399,#31761,.T.); #53297=EDGE_CURVE('',#41399,#41398,#9192,.T.); #53298=EDGE_CURVE('',#41400,#41401,#9193,.T.); #53299=EDGE_CURVE('',#41393,#41400,#31762,.T.); #53300=EDGE_CURVE('',#41401,#41392,#31763,.T.); #53301=EDGE_CURVE('',#41395,#41400,#31764,.T.); #53302=EDGE_CURVE('',#41401,#41394,#31765,.T.); #53303=EDGE_CURVE('',#41383,#41402,#31766,.T.); #53304=EDGE_CURVE('',#41398,#41403,#31767,.T.); #53305=EDGE_CURVE('',#41388,#41403,#31768,.T.); #53306=EDGE_CURVE('',#41404,#41386,#31769,.T.); #53307=EDGE_CURVE('',#41404,#41402,#31770,.T.); #53308=EDGE_CURVE('',#41405,#41406,#9194,.T.); #53309=EDGE_CURVE('',#41406,#41407,#9195,.T.); #53310=EDGE_CURVE('',#41407,#41408,#9196,.T.); #53311=EDGE_CURVE('',#41408,#41405,#9197,.T.); #53312=EDGE_CURVE('',#41403,#41409,#9198,.T.); #53313=EDGE_CURVE('',#41409,#41399,#31771,.T.); #53314=EDGE_CURVE('',#41409,#41389,#31772,.T.); #53315=EDGE_CURVE('',#41387,#41410,#31773,.T.); #53316=EDGE_CURVE('',#41410,#41404,#9199,.T.); #53317=EDGE_CURVE('',#41402,#41411,#9200,.T.); #53318=EDGE_CURVE('',#41411,#41410,#31774,.T.); #53319=EDGE_CURVE('',#41411,#41382,#31775,.T.); #53320=EDGE_CURVE('',#41412,#41413,#9201,.T.); #53321=EDGE_CURVE('',#41413,#41414,#9202,.T.); #53322=EDGE_CURVE('',#41414,#41415,#9203,.T.); #53323=EDGE_CURVE('',#41415,#41412,#9204,.T.); #53324=EDGE_CURVE('',#41405,#41413,#9205,.T.); #53325=EDGE_CURVE('',#41408,#41414,#9206,.T.); #53326=EDGE_CURVE('',#41406,#41412,#9207,.T.); #53327=EDGE_CURVE('',#41407,#41415,#9208,.T.); #53328=EDGE_CURVE('',#41416,#41417,#9209,.T.); #53329=EDGE_CURVE('',#41418,#41416,#9210,.T.); #53330=EDGE_CURVE('',#41419,#41418,#9211,.T.); #53331=EDGE_CURVE('',#41419,#41417,#9212,.T.); #53332=EDGE_CURVE('',#41420,#41416,#9213,.T.); #53333=EDGE_CURVE('',#41421,#41420,#9214,.T.); #53334=EDGE_CURVE('',#41418,#41421,#9215,.T.); #53335=EDGE_CURVE('',#41417,#41422,#9216,.T.); #53336=EDGE_CURVE('',#41423,#41419,#9217,.T.); #53337=EDGE_CURVE('',#41423,#41422,#9218,.T.); #53338=EDGE_CURVE('',#41422,#41420,#9219,.T.); #53339=EDGE_CURVE('',#41421,#41423,#9220,.T.); #53340=EDGE_CURVE('',#41424,#41425,#31776,.T.); #53341=EDGE_CURVE('',#41425,#41426,#9221,.T.); #53342=EDGE_CURVE('',#41426,#41427,#31777,.T.); #53343=EDGE_CURVE('',#41427,#41424,#9222,.T.); #53344=EDGE_CURVE('',#41428,#41425,#9223,.T.); #53345=EDGE_CURVE('',#41429,#41428,#9224,.T.); #53346=EDGE_CURVE('',#41429,#41426,#9225,.T.); #53347=EDGE_CURVE('',#41424,#41430,#31778,.T.); #53348=EDGE_CURVE('',#41431,#41430,#31779,.T.); #53349=EDGE_CURVE('',#41431,#41432,#9226,.T.); #53350=EDGE_CURVE('',#41433,#41432,#31780,.T.); #53351=EDGE_CURVE('',#41433,#41434,#31781,.T.); #53352=EDGE_CURVE('',#41435,#41434,#31782,.T.); #53353=EDGE_CURVE('',#41435,#41436,#9227,.T.); #53354=EDGE_CURVE('',#41437,#41436,#31783,.T.); #53355=EDGE_CURVE('',#41437,#41438,#31784,.T.); #53356=EDGE_CURVE('',#41439,#41438,#9228,.T.); #53357=EDGE_CURVE('',#41428,#41439,#9229,.T.); #53358=EDGE_CURVE('',#41440,#41441,#31785,.T.); #53359=EDGE_CURVE('',#41441,#41431,#9230,.T.); #53360=EDGE_CURVE('',#41430,#41440,#9231,.T.); #53361=EDGE_CURVE('',#41442,#41441,#9232,.T.); #53362=EDGE_CURVE('',#41432,#41442,#9233,.T.); #53363=EDGE_CURVE('',#41443,#41429,#9234,.T.); #53364=EDGE_CURVE('',#41443,#41444,#9235,.T.); #53365=EDGE_CURVE('',#41444,#41445,#31786,.T.); #53366=EDGE_CURVE('',#41446,#41445,#31787,.T.); #53367=EDGE_CURVE('',#41446,#41447,#9236,.T.); #53368=EDGE_CURVE('',#41448,#41447,#31788,.T.); #53369=EDGE_CURVE('',#41448,#41449,#31789,.T.); #53370=EDGE_CURVE('',#41442,#41449,#31790,.T.); #53371=EDGE_CURVE('',#41440,#41427,#31791,.T.); #53372=EDGE_CURVE('',#41449,#41433,#9237,.T.); #53373=EDGE_CURVE('',#41434,#41448,#9238,.T.); #53374=EDGE_CURVE('',#41447,#41435,#9239,.T.); #53375=EDGE_CURVE('',#41436,#41446,#9240,.T.); #53376=EDGE_CURVE('',#41445,#41437,#9241,.T.); #53377=EDGE_CURVE('',#41438,#41444,#9242,.T.); #53378=EDGE_CURVE('',#41439,#41443,#9243,.T.); #53379=EDGE_CURVE('',#41450,#41451,#9244,.T.); #53380=EDGE_CURVE('',#41451,#41452,#9245,.T.); #53381=EDGE_CURVE('',#41452,#41453,#9246,.T.); #53382=EDGE_CURVE('',#41453,#41450,#9247,.T.); #53383=EDGE_CURVE('',#41454,#41455,#9248,.T.); #53384=EDGE_CURVE('',#41453,#41455,#9249,.T.); #53385=EDGE_CURVE('',#41452,#41454,#9250,.T.); #53386=EDGE_CURVE('',#41456,#41457,#31792,.T.); #53387=EDGE_CURVE('',#41456,#41458,#31793,.T.); #53388=EDGE_CURVE('',#41459,#41458,#31794,.T.); #53389=EDGE_CURVE('',#41460,#41459,#9251,.T.); #53390=EDGE_CURVE('',#41461,#41460,#31795,.T.); #53391=EDGE_CURVE('',#41461,#41462,#31796,.T.); #53392=EDGE_CURVE('',#41463,#41462,#31797,.T.); #53393=EDGE_CURVE('',#41463,#41464,#9252,.T.); #53394=EDGE_CURVE('',#41465,#41464,#31798,.T.); #53395=EDGE_CURVE('',#41465,#41454,#31799,.T.); #53396=EDGE_CURVE('',#41451,#41457,#9253,.T.); #53397=EDGE_CURVE('',#41466,#41467,#9254,.T.); #53398=EDGE_CURVE('',#41467,#41468,#9255,.T.); #53399=EDGE_CURVE('',#41468,#41469,#9256,.T.); #53400=EDGE_CURVE('',#41469,#41466,#9257,.T.); #53401=EDGE_CURVE('',#41470,#41465,#9258,.T.); #53402=EDGE_CURVE('',#41455,#41470,#31800,.T.); #53403=EDGE_CURVE('',#41457,#41471,#9259,.T.); #53404=EDGE_CURVE('',#41450,#41471,#9260,.T.); #53405=EDGE_CURVE('',#41472,#41473,#31801,.T.); #53406=EDGE_CURVE('',#41473,#41463,#9261,.T.); #53407=EDGE_CURVE('',#41462,#41472,#9262,.T.); #53408=EDGE_CURVE('',#41464,#41474,#9263,.T.); #53409=EDGE_CURVE('',#41474,#41473,#9264,.T.); #53410=EDGE_CURVE('',#41474,#41470,#31802,.T.); #53411=EDGE_CURVE('',#41475,#41461,#9265,.T.); #53412=EDGE_CURVE('',#41472,#41475,#31803,.T.); #53413=EDGE_CURVE('',#41460,#41476,#9266,.T.); #53414=EDGE_CURVE('',#41476,#41475,#31804,.T.); #53415=EDGE_CURVE('',#41477,#41459,#9267,.T.); #53416=EDGE_CURVE('',#41476,#41477,#9268,.T.); #53417=EDGE_CURVE('',#41478,#41477,#31805,.T.); #53418=EDGE_CURVE('',#41458,#41478,#9269,.T.); #53419=EDGE_CURVE('',#41471,#41479,#31806,.T.); #53420=EDGE_CURVE('',#41478,#41479,#31807,.T.); #53421=EDGE_CURVE('',#41480,#41481,#9270,.T.); #53422=EDGE_CURVE('',#41481,#41482,#9271,.T.); #53423=EDGE_CURVE('',#41482,#41483,#9272,.T.); #53424=EDGE_CURVE('',#41483,#41480,#9273,.T.); #53425=EDGE_CURVE('',#41479,#41456,#9274,.T.); #53426=EDGE_CURVE('',#41466,#41481,#9275,.T.); #53427=EDGE_CURVE('',#41467,#41480,#9276,.T.); #53428=EDGE_CURVE('',#41468,#41483,#9277,.T.); #53429=EDGE_CURVE('',#41469,#41482,#9278,.T.); #53430=EDGE_CURVE('',#41484,#41485,#9279,.T.); #53431=EDGE_CURVE('',#41484,#41486,#9280,.T.); #53432=EDGE_CURVE('',#41487,#41486,#9281,.T.); #53433=EDGE_CURVE('',#41485,#41487,#9282,.T.); #53434=EDGE_CURVE('',#41485,#41488,#9283,.T.); #53435=EDGE_CURVE('',#41489,#41487,#9284,.T.); #53436=EDGE_CURVE('',#41488,#41489,#9285,.T.); #53437=EDGE_CURVE('',#41490,#41484,#9286,.T.); #53438=EDGE_CURVE('',#41490,#41491,#9287,.T.); #53439=EDGE_CURVE('',#41486,#41491,#9288,.T.); #53440=EDGE_CURVE('',#41488,#41490,#9289,.T.); #53441=EDGE_CURVE('',#41491,#41489,#9290,.T.); #53442=EDGE_CURVE('',#41492,#41493,#31808,.T.); #53443=EDGE_CURVE('',#41493,#41494,#9291,.T.); #53444=EDGE_CURVE('',#41494,#41495,#31809,.T.); #53445=EDGE_CURVE('',#41495,#41492,#9292,.T.); #53446=EDGE_CURVE('',#41496,#41493,#9293,.T.); #53447=EDGE_CURVE('',#41497,#41496,#9294,.T.); #53448=EDGE_CURVE('',#41497,#41494,#9295,.T.); #53449=EDGE_CURVE('',#41492,#41498,#31810,.T.); #53450=EDGE_CURVE('',#41499,#41498,#31811,.T.); #53451=EDGE_CURVE('',#41500,#41499,#9296,.T.); #53452=EDGE_CURVE('',#41501,#41500,#31812,.T.); #53453=EDGE_CURVE('',#41501,#41502,#31813,.T.); #53454=EDGE_CURVE('',#41503,#41502,#31814,.T.); #53455=EDGE_CURVE('',#41503,#41504,#9297,.T.); #53456=EDGE_CURVE('',#41505,#41504,#31815,.T.); #53457=EDGE_CURVE('',#41505,#41506,#31816,.T.); #53458=EDGE_CURVE('',#41507,#41506,#9298,.T.); #53459=EDGE_CURVE('',#41496,#41507,#9299,.T.); #53460=EDGE_CURVE('',#41508,#41509,#31817,.T.); #53461=EDGE_CURVE('',#41509,#41499,#9300,.T.); #53462=EDGE_CURVE('',#41498,#41508,#9301,.T.); #53463=EDGE_CURVE('',#41510,#41509,#9302,.T.); #53464=EDGE_CURVE('',#41500,#41510,#9303,.T.); #53465=EDGE_CURVE('',#41511,#41497,#9304,.T.); #53466=EDGE_CURVE('',#41511,#41512,#9305,.T.); #53467=EDGE_CURVE('',#41512,#41513,#31818,.T.); #53468=EDGE_CURVE('',#41514,#41513,#31819,.T.); #53469=EDGE_CURVE('',#41514,#41515,#9306,.T.); #53470=EDGE_CURVE('',#41516,#41515,#31820,.T.); #53471=EDGE_CURVE('',#41516,#41517,#31821,.T.); #53472=EDGE_CURVE('',#41510,#41517,#31822,.T.); #53473=EDGE_CURVE('',#41508,#41495,#31823,.T.); #53474=EDGE_CURVE('',#41504,#41514,#9307,.T.); #53475=EDGE_CURVE('',#41513,#41505,#9308,.T.); #53476=EDGE_CURVE('',#41515,#41503,#9309,.T.); #53477=EDGE_CURVE('',#41502,#41516,#9310,.T.); #53478=EDGE_CURVE('',#41517,#41501,#9311,.T.); #53479=EDGE_CURVE('',#41507,#41511,#9312,.T.); #53480=EDGE_CURVE('',#41506,#41512,#9313,.T.); #53481=EDGE_CURVE('',#41518,#41519,#9314,.T.); #53482=EDGE_CURVE('',#41520,#41518,#9315,.T.); #53483=EDGE_CURVE('',#41521,#41520,#9316,.T.); #53484=EDGE_CURVE('',#41521,#41519,#9317,.T.); #53485=EDGE_CURVE('',#41522,#41518,#9318,.T.); #53486=EDGE_CURVE('',#41523,#41522,#9319,.T.); #53487=EDGE_CURVE('',#41520,#41523,#9320,.T.); #53488=EDGE_CURVE('',#41519,#41524,#9321,.T.); #53489=EDGE_CURVE('',#41525,#41521,#9322,.T.); #53490=EDGE_CURVE('',#41525,#41524,#9323,.T.); #53491=EDGE_CURVE('',#41524,#41522,#9324,.T.); #53492=EDGE_CURVE('',#41523,#41525,#9325,.T.); #53493=EDGE_CURVE('',#41526,#41527,#31824,.T.); #53494=EDGE_CURVE('',#41527,#41528,#9326,.T.); #53495=EDGE_CURVE('',#41528,#41529,#31825,.T.); #53496=EDGE_CURVE('',#41529,#41526,#9327,.T.); #53497=EDGE_CURVE('',#41530,#41529,#31826,.T.); #53498=EDGE_CURVE('',#41531,#41530,#9328,.T.); #53499=EDGE_CURVE('',#41526,#41531,#31827,.T.); #53500=EDGE_CURVE('',#41532,#41528,#9329,.T.); #53501=EDGE_CURVE('',#41533,#41532,#9330,.T.); #53502=EDGE_CURVE('',#41533,#41534,#9331,.T.); #53503=EDGE_CURVE('',#41534,#41535,#31828,.T.); #53504=EDGE_CURVE('',#41536,#41535,#31829,.T.); #53505=EDGE_CURVE('',#41536,#41537,#9332,.T.); #53506=EDGE_CURVE('',#41538,#41537,#31830,.T.); #53507=EDGE_CURVE('',#41538,#41539,#31831,.T.); #53508=EDGE_CURVE('',#41540,#41539,#31832,.T.); #53509=EDGE_CURVE('',#41540,#41541,#9333,.T.); #53510=EDGE_CURVE('',#41530,#41541,#31833,.T.); #53511=EDGE_CURVE('',#41541,#41542,#9334,.T.); #53512=EDGE_CURVE('',#41542,#41531,#31834,.T.); #53513=EDGE_CURVE('',#41543,#41540,#9335,.T.); #53514=EDGE_CURVE('',#41543,#41542,#9336,.T.); #53515=EDGE_CURVE('',#41544,#41545,#31835,.T.); #53516=EDGE_CURVE('',#41545,#41536,#9337,.T.); #53517=EDGE_CURVE('',#41535,#41544,#9338,.T.); #53518=EDGE_CURVE('',#41546,#41545,#9339,.T.); #53519=EDGE_CURVE('',#41537,#41546,#9340,.T.); #53520=EDGE_CURVE('',#41546,#41547,#31836,.T.); #53521=EDGE_CURVE('',#41547,#41538,#9341,.T.); #53522=EDGE_CURVE('',#41548,#41543,#31837,.T.); #53523=EDGE_CURVE('',#41539,#41548,#9342,.T.); #53524=EDGE_CURVE('',#41549,#41527,#9343,.T.); #53525=EDGE_CURVE('',#41532,#41549,#9344,.T.); #53526=EDGE_CURVE('',#41550,#41534,#9345,.T.); #53527=EDGE_CURVE('',#41544,#41550,#31838,.T.); #53528=EDGE_CURVE('',#41548,#41547,#31839,.T.); #53529=EDGE_CURVE('',#41551,#41550,#9346,.T.); #53530=EDGE_CURVE('',#41549,#41551,#9347,.T.); #53531=EDGE_CURVE('',#41551,#41533,#9348,.T.); #53532=EDGE_CURVE('',#41552,#41553,#31840,.T.); #53533=EDGE_CURVE('',#41553,#41554,#34935,.T.); #53534=EDGE_CURVE('',#41554,#41555,#31841,.T.); #53535=EDGE_CURVE('',#41555,#41552,#34936,.T.); #53536=EDGE_CURVE('',#41556,#41557,#31842,.T.); #53537=EDGE_CURVE('',#41557,#41553,#31843,.T.); #53538=EDGE_CURVE('',#41552,#41558,#31844,.T.); #53539=EDGE_CURVE('',#41558,#41556,#31845,.T.); #53540=EDGE_CURVE('',#41559,#41560,#31846,.T.); #53541=EDGE_CURVE('',#41560,#41557,#34937,.T.); #53542=EDGE_CURVE('',#41556,#41559,#34938,.T.); #53543=EDGE_CURVE('',#41561,#41562,#31847,.T.); #53544=EDGE_CURVE('',#41562,#41560,#9349,.T.); #53545=EDGE_CURVE('',#41559,#41561,#9350,.T.); #53546=EDGE_CURVE('',#41563,#41564,#31848,.T.); #53547=EDGE_CURVE('',#41564,#41562,#34939,.T.); #53548=EDGE_CURVE('',#41561,#41563,#34940,.T.); #53549=EDGE_CURVE('',#41565,#41566,#31849,.T.); #53550=EDGE_CURVE('',#41566,#41564,#31850,.T.); #53551=EDGE_CURVE('',#41563,#41567,#31851,.T.); #53552=EDGE_CURVE('',#41567,#41565,#31852,.T.); #53553=EDGE_CURVE('',#41568,#41569,#31853,.T.); #53554=EDGE_CURVE('',#41569,#41566,#34941,.T.); #53555=EDGE_CURVE('',#41565,#41568,#34942,.T.); #53556=EDGE_CURVE('',#41568,#41555,#9351,.T.); #53557=EDGE_CURVE('',#41554,#41569,#9352,.T.); #53558=EDGE_CURVE('',#41570,#41566,#9353,.T.); #53559=EDGE_CURVE('',#41571,#41570,#31854,.T.); #53560=EDGE_CURVE('',#41564,#41571,#9354,.T.); #53561=EDGE_CURVE('',#41569,#41572,#9355,.T.); #53562=EDGE_CURVE('',#41570,#41572,#31855,.T.); #53563=EDGE_CURVE('',#41573,#41554,#9356,.T.); #53564=EDGE_CURVE('',#41572,#41573,#9357,.T.); #53565=EDGE_CURVE('',#41553,#41574,#9358,.T.); #53566=EDGE_CURVE('',#41573,#41574,#31856,.T.); #53567=EDGE_CURVE('',#41575,#41575,#31857,.T.); #53568=EDGE_CURVE('',#41575,#41567,#9359,.T.); #53569=EDGE_CURVE('',#41558,#41576,#9360,.T.); #53570=EDGE_CURVE('',#41577,#41576,#31858,.T.); #53571=EDGE_CURVE('',#41578,#41577,#9361,.T.); #53572=EDGE_CURVE('',#41579,#41578,#34943,.T.); #53573=EDGE_CURVE('',#41580,#41579,#31859,.T.); #53574=EDGE_CURVE('',#41580,#41581,#9362,.T.); #53575=EDGE_CURVE('',#41581,#41582,#31860,.T.); #53576=EDGE_CURVE('',#41582,#41583,#31861,.T.); #53577=EDGE_CURVE('',#41583,#41584,#31862,.T.); #53578=EDGE_CURVE('',#41584,#41585,#9363,.T.); #53579=EDGE_CURVE('',#41586,#41585,#31863,.T.); #53580=EDGE_CURVE('',#41586,#41587,#9364,.T.); #53581=EDGE_CURVE('',#41587,#41588,#31864,.T.); #53582=EDGE_CURVE('',#41588,#41589,#31865,.T.); #53583=EDGE_CURVE('',#41589,#41590,#31866,.T.); #53584=EDGE_CURVE('',#41590,#41591,#9365,.T.); #53585=EDGE_CURVE('',#41592,#41591,#31867,.T.); #53586=EDGE_CURVE('',#41593,#41592,#34944,.T.); #53587=EDGE_CURVE('',#41594,#41593,#9366,.T.); #53588=EDGE_CURVE('',#41576,#41594,#31868,.T.); #53589=EDGE_CURVE('',#41595,#41596,#31869,.T.); #53590=EDGE_CURVE('',#41596,#41597,#31870,.T.); #53591=EDGE_CURVE('',#41597,#41574,#31871,.T.); #53592=EDGE_CURVE('',#41574,#41595,#31872,.T.); #53593=EDGE_CURVE('',#41573,#41597,#31873,.T.); #53594=EDGE_CURVE('',#41597,#41598,#9367,.T.); #53595=EDGE_CURVE('',#41598,#41572,#31874,.T.); #53596=EDGE_CURVE('',#41570,#41598,#31875,.T.); #53597=EDGE_CURVE('',#41598,#41599,#31876,.T.); #53598=EDGE_CURVE('',#41599,#41571,#31877,.T.); #53599=EDGE_CURVE('',#41596,#41600,#31878,.T.); #53600=EDGE_CURVE('',#41600,#41601,#9368,.T.); #53601=EDGE_CURVE('',#41601,#41599,#31879,.T.); #53602=EDGE_CURVE('',#41599,#41596,#9369,.T.); #53603=EDGE_CURVE('',#41601,#41571,#31880,.T.); #53604=EDGE_CURVE('',#41595,#41600,#31881,.T.); #53605=EDGE_CURVE('',#41601,#41562,#9370,.T.); #53606=EDGE_CURVE('',#41560,#41600,#9371,.T.); #53607=EDGE_CURVE('',#41602,#41603,#34945,.T.); #53608=EDGE_CURVE('',#41602,#41604,#9372,.T.); #53609=EDGE_CURVE('',#41604,#41605,#31882,.T.); #53610=EDGE_CURVE('',#41605,#41603,#9373,.T.); #53611=EDGE_CURVE('',#41592,#41605,#31883,.T.); #53612=EDGE_CURVE('',#41604,#41593,#31884,.T.); #53613=EDGE_CURVE('',#41604,#41606,#9374,.T.); #53614=EDGE_CURVE('',#41606,#41594,#31885,.T.); #53615=EDGE_CURVE('',#41607,#41602,#9375,.T.); #53616=EDGE_CURVE('',#41608,#41607,#34946,.T.); #53617=EDGE_CURVE('',#41606,#41608,#9376,.T.); #53618=EDGE_CURVE('',#41609,#41610,#34947,.T.); #53619=EDGE_CURVE('',#41609,#41611,#9377,.T.); #53620=EDGE_CURVE('',#41611,#41612,#31886,.T.); #53621=EDGE_CURVE('',#41612,#41610,#9378,.T.); #53622=EDGE_CURVE('',#41578,#41612,#31887,.T.); #53623=EDGE_CURVE('',#41611,#41579,#31888,.T.); #53624=EDGE_CURVE('',#41613,#41609,#31889,.T.); #53625=EDGE_CURVE('',#41613,#41580,#9379,.T.); #53626=EDGE_CURVE('',#41595,#41557,#9380,.T.); #53627=EDGE_CURVE('',#41614,#41606,#31890,.T.); #53628=EDGE_CURVE('',#41608,#41615,#31891,.T.); #53629=EDGE_CURVE('',#41615,#41614,#9381,.T.); #53630=EDGE_CURVE('',#41607,#41616,#34948,.F.); #53631=EDGE_CURVE('',#41616,#41615,#34949,.T.); #53632=EDGE_CURVE('',#41614,#41594,#31892,.T.); #53633=EDGE_CURVE('',#41614,#41617,#31893,.T.); #53634=EDGE_CURVE('',#41577,#41617,#31894,.T.); #53635=EDGE_CURVE('',#41618,#41615,#31895,.T.); #53636=EDGE_CURVE('',#41617,#41618,#9382,.T.); #53637=EDGE_CURVE('',#41619,#41618,#31896,.T.); #53638=EDGE_CURVE('',#41618,#41620,#34950,.T.); #53639=EDGE_CURVE('',#41620,#41621,#34951,.F.); #53640=EDGE_CURVE('',#41621,#41619,#34952,.T.); #53641=EDGE_CURVE('',#41622,#41617,#31897,.T.); #53642=EDGE_CURVE('',#41619,#41622,#9383,.T.); #53643=EDGE_CURVE('',#41577,#41622,#31898,.T.); #53644=EDGE_CURVE('',#41622,#41612,#9384,.T.); #53645=EDGE_CURVE('',#41616,#41623,#31899,.T.); #53646=EDGE_CURVE('',#41623,#41620,#31900,.T.); #53647=EDGE_CURVE('',#41610,#41621,#9385,.T.); #53648=EDGE_CURVE('',#41624,#41625,#9386,.T.); #53649=EDGE_CURVE('',#41626,#41624,#9387,.T.); #53650=EDGE_CURVE('',#41626,#41627,#9388,.T.); #53651=EDGE_CURVE('',#41625,#41627,#9389,.T.); #53652=EDGE_CURVE('',#41628,#41629,#9390,.T.); #53653=EDGE_CURVE('',#41630,#41628,#9391,.T.); #53654=EDGE_CURVE('',#41630,#41631,#9392,.T.); #53655=EDGE_CURVE('',#41629,#41631,#9393,.T.); #53656=EDGE_CURVE('',#41627,#41630,#31901,.T.); #53657=EDGE_CURVE('',#41631,#41626,#31902,.T.); #53658=EDGE_CURVE('',#41624,#41632,#31903,.T.); #53659=EDGE_CURVE('',#41632,#41633,#9394,.T.); #53660=EDGE_CURVE('',#41633,#41634,#31904,.T.); #53661=EDGE_CURVE('',#41634,#41635,#31905,.T.); #53662=EDGE_CURVE('',#41635,#41636,#9395,.T.); #53663=EDGE_CURVE('',#41637,#41636,#31906,.T.); #53664=EDGE_CURVE('',#41636,#41637,#31907,.T.); #53665=EDGE_CURVE('',#41635,#41638,#31908,.T.); #53666=EDGE_CURVE('',#41638,#41639,#31909,.T.); #53667=EDGE_CURVE('',#41639,#41640,#9396,.T.); #53668=EDGE_CURVE('',#41640,#41641,#31910,.T.); #53669=EDGE_CURVE('',#41641,#41642,#9397,.T.); #53670=EDGE_CURVE('',#41642,#41643,#31911,.T.); #53671=EDGE_CURVE('',#41643,#41644,#31912,.T.); #53672=EDGE_CURVE('',#41644,#41645,#31913,.T.); #53673=EDGE_CURVE('',#41645,#41646,#9398,.T.); #53674=EDGE_CURVE('',#41646,#41629,#31914,.T.); #53675=EDGE_CURVE('',#41625,#41647,#31915,.T.); #53676=EDGE_CURVE('',#41647,#41632,#9399,.T.); #53677=EDGE_CURVE('',#41648,#41649,#31916,.T.); #53678=EDGE_CURVE('',#41649,#41650,#34953,.T.); #53679=EDGE_CURVE('',#41650,#41648,#34954,.T.); #53680=EDGE_CURVE('',#41651,#41650,#34955,.T.); #53681=EDGE_CURVE('',#41650,#41652,#34956,.T.); #53682=EDGE_CURVE('',#41652,#41651,#31917,.T.); #53683=EDGE_CURVE('',#41653,#41654,#31918,.T.); #53684=EDGE_CURVE('',#41654,#41655,#31919,.T.); #53685=EDGE_CURVE('',#41655,#41656,#31920,.T.); #53686=EDGE_CURVE('',#41656,#41657,#31921,.T.); #53687=EDGE_CURVE('',#41657,#41653,#31922,.T.); #53688=EDGE_CURVE('',#41653,#41649,#34957,.T.); #53689=EDGE_CURVE('',#41648,#41654,#34958,.T.); #53690=EDGE_CURVE('',#41652,#41657,#34959,.T.); #53691=EDGE_CURVE('',#41656,#41651,#34960,.T.); #53692=EDGE_CURVE('',#41658,#41649,#31923,.T.); #53693=EDGE_CURVE('',#41658,#41650,#34961,.T.); #53694=EDGE_CURVE('',#41653,#41657,#31924,.T.); #53695=EDGE_CURVE('',#41652,#41659,#31925,.T.); #53696=EDGE_CURVE('',#41659,#41660,#31926,.T.); #53697=EDGE_CURVE('',#41660,#41658,#31927,.T.); #53698=EDGE_CURVE('',#41661,#41662,#31928,.T.); #53699=EDGE_CURVE('',#41662,#41663,#31929,.T.); #53700=EDGE_CURVE('',#41663,#41664,#31930,.T.); #53701=EDGE_CURVE('',#41664,#41663,#31931,.T.); #53702=EDGE_CURVE('',#41662,#41661,#31932,.T.); #53703=EDGE_CURVE('',#41661,#41665,#9400,.T.); #53704=EDGE_CURVE('',#41666,#41665,#31933,.T.); #53705=EDGE_CURVE('',#41665,#41666,#31934,.T.); #53706=EDGE_CURVE('',#41667,#41667,#31935,.T.); #53707=EDGE_CURVE('',#41667,#41664,#9401,.T.); #53708=EDGE_CURVE('',#41666,#41668,#31936,.T.); #53709=EDGE_CURVE('',#41668,#41669,#31937,.T.); #53710=EDGE_CURVE('',#41669,#41668,#31938,.T.); #53711=EDGE_CURVE('',#41669,#41655,#9402,.T.); #53712=EDGE_CURVE('',#41670,#41671,#31939,.T.); #53713=EDGE_CURVE('',#41671,#41672,#31940,.T.); #53714=EDGE_CURVE('',#41672,#41672,#31941,.T.); #53715=EDGE_CURVE('',#41671,#41670,#31942,.T.); #53716=EDGE_CURVE('',#41673,#41673,#31943,.T.); #53717=EDGE_CURVE('',#41603,#41674,#31944,.T.); #53718=EDGE_CURVE('',#41674,#41675,#9403,.T.); #53719=EDGE_CURVE('',#41676,#41675,#31945,.T.); #53720=EDGE_CURVE('',#41677,#41676,#9404,.T.); #53721=EDGE_CURVE('',#41677,#41678,#31946,.T.); #53722=EDGE_CURVE('',#41678,#41679,#9405,.T.); #53723=EDGE_CURVE('',#41680,#41679,#31947,.T.); #53724=EDGE_CURVE('',#41613,#41680,#9406,.T.); #53725=EDGE_CURVE('',#41623,#41681,#9407,.T.); #53726=EDGE_CURVE('',#41681,#41682,#31948,.T.); #53727=EDGE_CURVE('',#41682,#41683,#9408,.T.); #53728=EDGE_CURVE('',#41683,#41684,#31949,.T.); #53729=EDGE_CURVE('',#41684,#41670,#9409,.T.); #53730=EDGE_CURVE('',#41684,#41685,#31950,.T.); #53731=EDGE_CURVE('',#41685,#41686,#9410,.T.); #53732=EDGE_CURVE('',#41686,#41681,#31951,.T.); #53733=EDGE_CURVE('',#41687,#41688,#9411,.T.); #53734=EDGE_CURVE('',#41688,#41689,#31952,.T.); #53735=EDGE_CURVE('',#41689,#41690,#9412,.T.); #53736=EDGE_CURVE('',#41690,#41691,#31953,.T.); #53737=EDGE_CURVE('',#41691,#41692,#9413,.T.); #53738=EDGE_CURVE('',#41692,#41693,#31954,.T.); #53739=EDGE_CURVE('',#41693,#41694,#9414,.T.); #53740=EDGE_CURVE('',#41694,#41695,#31955,.T.); #53741=EDGE_CURVE('',#41695,#41696,#9415,.T.); #53742=EDGE_CURVE('',#41696,#41697,#31956,.T.); #53743=EDGE_CURVE('',#41697,#41698,#9416,.T.); #53744=EDGE_CURVE('',#41698,#41699,#31957,.T.); #53745=EDGE_CURVE('',#41699,#41700,#9417,.T.); #53746=EDGE_CURVE('',#41700,#41701,#31958,.T.); #53747=EDGE_CURVE('',#41701,#41702,#9418,.T.); #53748=EDGE_CURVE('',#41702,#41703,#31959,.T.); #53749=EDGE_CURVE('',#41703,#41704,#9419,.T.); #53750=EDGE_CURVE('',#41704,#41705,#31960,.T.); #53751=EDGE_CURVE('',#41705,#41706,#9420,.T.); #53752=EDGE_CURVE('',#41706,#41707,#31961,.T.); #53753=EDGE_CURVE('',#41707,#41708,#9421,.T.); #53754=EDGE_CURVE('',#41708,#41709,#31962,.T.); #53755=EDGE_CURVE('',#41709,#41710,#9422,.T.); #53756=EDGE_CURVE('',#41710,#41711,#31963,.T.); #53757=EDGE_CURVE('',#41711,#41712,#9423,.T.); #53758=EDGE_CURVE('',#41712,#41713,#31964,.T.); #53759=EDGE_CURVE('',#41713,#41714,#9424,.T.); #53760=EDGE_CURVE('',#41714,#41715,#31965,.T.); #53761=EDGE_CURVE('',#41715,#41716,#9425,.T.); #53762=EDGE_CURVE('',#41716,#41717,#31966,.T.); #53763=EDGE_CURVE('',#41717,#41718,#9426,.T.); #53764=EDGE_CURVE('',#41718,#41719,#31967,.T.); #53765=EDGE_CURVE('',#41719,#41720,#9427,.T.); #53766=EDGE_CURVE('',#41720,#41721,#31968,.T.); #53767=EDGE_CURVE('',#41721,#41722,#9428,.T.); #53768=EDGE_CURVE('',#41722,#41723,#31969,.T.); #53769=EDGE_CURVE('',#41723,#41724,#9429,.T.); #53770=EDGE_CURVE('',#41724,#41725,#31970,.T.); #53771=EDGE_CURVE('',#41725,#41726,#9430,.T.); #53772=EDGE_CURVE('',#41726,#41727,#31971,.T.); #53773=EDGE_CURVE('',#41727,#41728,#9431,.T.); #53774=EDGE_CURVE('',#41728,#41729,#31972,.T.); #53775=EDGE_CURVE('',#41729,#41730,#9432,.T.); #53776=EDGE_CURVE('',#41730,#41687,#31973,.T.); #53777=EDGE_CURVE('',#41731,#41732,#9433,.T.); #53778=EDGE_CURVE('',#41732,#41733,#31974,.T.); #53779=EDGE_CURVE('',#41733,#41734,#9434,.T.); #53780=EDGE_CURVE('',#41734,#41735,#31975,.T.); #53781=EDGE_CURVE('',#41735,#41736,#9435,.T.); #53782=EDGE_CURVE('',#41736,#41737,#31976,.T.); #53783=EDGE_CURVE('',#41737,#41738,#34962,.T.); #53784=EDGE_CURVE('',#41738,#41739,#31977,.T.); #53785=EDGE_CURVE('',#41739,#41740,#9436,.T.); #53786=EDGE_CURVE('',#41740,#41741,#31978,.T.); #53787=EDGE_CURVE('',#41741,#41742,#9437,.T.); #53788=EDGE_CURVE('',#41742,#41743,#31979,.T.); #53789=EDGE_CURVE('',#41743,#41744,#9438,.T.); #53790=EDGE_CURVE('',#41744,#41745,#31980,.T.); #53791=EDGE_CURVE('',#41745,#41746,#34963,.T.); #53792=EDGE_CURVE('',#41746,#41747,#31981,.T.); #53793=EDGE_CURVE('',#41747,#41748,#9439,.T.); #53794=EDGE_CURVE('',#41748,#41749,#31982,.T.); #53795=EDGE_CURVE('',#41749,#41750,#9440,.T.); #53796=EDGE_CURVE('',#41750,#41751,#31983,.T.); #53797=EDGE_CURVE('',#41751,#41752,#9441,.T.); #53798=EDGE_CURVE('',#41752,#41753,#31984,.T.); #53799=EDGE_CURVE('',#41753,#41754,#9442,.T.); #53800=EDGE_CURVE('',#41754,#41755,#31985,.T.); #53801=EDGE_CURVE('',#41755,#41756,#34964,.T.); #53802=EDGE_CURVE('',#41756,#41757,#31986,.T.); #53803=EDGE_CURVE('',#41757,#41758,#9443,.T.); #53804=EDGE_CURVE('',#41758,#41759,#31987,.T.); #53805=EDGE_CURVE('',#41759,#41760,#9444,.T.); #53806=EDGE_CURVE('',#41760,#41761,#31988,.T.); #53807=EDGE_CURVE('',#41761,#41762,#9445,.T.); #53808=EDGE_CURVE('',#41762,#41763,#31989,.T.); #53809=EDGE_CURVE('',#41763,#41764,#34965,.T.); #53810=EDGE_CURVE('',#41764,#41765,#31990,.T.); #53811=EDGE_CURVE('',#41765,#41766,#9446,.T.); #53812=EDGE_CURVE('',#41766,#41767,#31991,.T.); #53813=EDGE_CURVE('',#41767,#41768,#9447,.T.); #53814=EDGE_CURVE('',#41768,#41769,#31992,.T.); #53815=EDGE_CURVE('',#41769,#41770,#34966,.T.); #53816=EDGE_CURVE('',#41770,#41731,#31993,.T.); #53817=EDGE_CURVE('',#41771,#41771,#31994,.T.); #53818=EDGE_CURVE('',#41771,#41772,#31995,.T.); #53819=EDGE_CURVE('',#41772,#41772,#31996,.T.); #53820=EDGE_CURVE('',#41773,#41773,#31997,.T.); #53821=EDGE_CURVE('',#41771,#41660,#9448,.T.); #53822=EDGE_CURVE('',#41650,#41659,#34967,.T.); #53823=EDGE_CURVE('',#41667,#41774,#31998,.T.); #53824=EDGE_CURVE('',#41774,#41774,#31999,.T.); #53825=EDGE_CURVE('',#41682,#41775,#9449,.T.); #53826=EDGE_CURVE('',#41775,#41776,#9450,.T.); #53827=EDGE_CURVE('',#41776,#41683,#9451,.T.); #53828=EDGE_CURVE('',#41775,#41777,#9452,.T.); #53829=EDGE_CURVE('',#41777,#41778,#9453,.T.); #53830=EDGE_CURVE('',#41778,#41776,#9454,.T.); #53831=EDGE_CURVE('',#41777,#41779,#32000,.T.); #53832=EDGE_CURVE('',#41779,#41780,#9455,.T.); #53833=EDGE_CURVE('',#41780,#41778,#32001,.T.); #53834=EDGE_CURVE('',#41779,#41781,#32002,.T.); #53835=EDGE_CURVE('',#41781,#41782,#9456,.T.); #53836=EDGE_CURVE('',#41782,#41780,#32003,.T.); #53837=EDGE_CURVE('',#41781,#41783,#32004,.T.); #53838=EDGE_CURVE('',#41783,#41784,#9457,.T.); #53839=EDGE_CURVE('',#41784,#41782,#32005,.T.); #53840=EDGE_CURVE('',#41783,#41785,#9458,.T.); #53841=EDGE_CURVE('',#41785,#41786,#9459,.T.); #53842=EDGE_CURVE('',#41786,#41784,#9460,.T.); #53843=EDGE_CURVE('',#41785,#41686,#9461,.T.); #53844=EDGE_CURVE('',#41685,#41786,#9462,.T.); #53845=EDGE_CURVE('',#41787,#41788,#9463,.T.); #53846=EDGE_CURVE('',#41789,#41787,#9464,.T.); #53847=EDGE_CURVE('',#41790,#41789,#9465,.T.); #53848=EDGE_CURVE('',#41790,#41788,#9466,.T.); #53849=EDGE_CURVE('',#41788,#41791,#9467,.T.); #53850=EDGE_CURVE('',#41792,#41790,#9468,.T.); #53851=EDGE_CURVE('',#41792,#41791,#9469,.T.); #53852=EDGE_CURVE('',#41791,#41793,#34968,.T.); #53853=EDGE_CURVE('',#41794,#41792,#34969,.T.); #53854=EDGE_CURVE('',#41794,#41793,#9470,.T.); #53855=EDGE_CURVE('',#41793,#41795,#34970,.T.); #53856=EDGE_CURVE('',#41796,#41794,#34971,.T.); #53857=EDGE_CURVE('',#41796,#41795,#9471,.T.); #53858=EDGE_CURVE('',#41795,#41797,#9472,.T.); #53859=EDGE_CURVE('',#41798,#41796,#9473,.T.); #53860=EDGE_CURVE('',#41798,#41797,#9474,.T.); #53861=EDGE_CURVE('',#41797,#41799,#9475,.T.); #53862=EDGE_CURVE('',#41800,#41798,#9476,.T.); #53863=EDGE_CURVE('',#41800,#41799,#9477,.T.); #53864=EDGE_CURVE('',#41799,#41801,#9478,.T.); #53865=EDGE_CURVE('',#41802,#41800,#9479,.T.); #53866=EDGE_CURVE('',#41802,#41801,#9480,.T.); #53867=EDGE_CURVE('',#41801,#41803,#9481,.T.); #53868=EDGE_CURVE('',#41804,#41802,#9482,.T.); #53869=EDGE_CURVE('',#41804,#41803,#9483,.T.); #53870=EDGE_CURVE('',#41803,#41805,#9484,.T.); #53871=EDGE_CURVE('',#41806,#41804,#9485,.T.); #53872=EDGE_CURVE('',#41806,#41805,#9486,.T.); #53873=EDGE_CURVE('',#41805,#41807,#9487,.T.); #53874=EDGE_CURVE('',#41808,#41806,#9488,.T.); #53875=EDGE_CURVE('',#41808,#41807,#9489,.T.); #53876=EDGE_CURVE('',#41807,#41809,#34972,.T.); #53877=EDGE_CURVE('',#41810,#41808,#34973,.T.); #53878=EDGE_CURVE('',#41810,#41809,#9490,.T.); #53879=EDGE_CURVE('',#41809,#41811,#34974,.T.); #53880=EDGE_CURVE('',#41812,#41810,#34975,.T.); #53881=EDGE_CURVE('',#41812,#41811,#9491,.T.); #53882=EDGE_CURVE('',#41811,#41813,#9492,.T.); #53883=EDGE_CURVE('',#41814,#41812,#9493,.T.); #53884=EDGE_CURVE('',#41814,#41813,#9494,.T.); #53885=EDGE_CURVE('',#41813,#41815,#9495,.T.); #53886=EDGE_CURVE('',#41816,#41814,#9496,.T.); #53887=EDGE_CURVE('',#41816,#41815,#9497,.T.); #53888=EDGE_CURVE('',#41815,#41817,#9498,.T.); #53889=EDGE_CURVE('',#41818,#41816,#9499,.T.); #53890=EDGE_CURVE('',#41818,#41817,#9500,.T.); #53891=EDGE_CURVE('',#41817,#41787,#9501,.T.); #53892=EDGE_CURVE('',#41789,#41818,#9502,.T.); #53893=EDGE_CURVE('',#41819,#41820,#9503,.T.); #53894=EDGE_CURVE('',#41821,#41819,#9504,.T.); #53895=EDGE_CURVE('',#41822,#41821,#9505,.T.); #53896=EDGE_CURVE('',#41822,#41820,#9506,.T.); #53897=EDGE_CURVE('',#41820,#41823,#9507,.T.); #53898=EDGE_CURVE('',#41824,#41822,#9508,.T.); #53899=EDGE_CURVE('',#41824,#41823,#9509,.T.); #53900=EDGE_CURVE('',#41823,#41825,#34976,.T.); #53901=EDGE_CURVE('',#41826,#41824,#34977,.T.); #53902=EDGE_CURVE('',#41826,#41825,#9510,.T.); #53903=EDGE_CURVE('',#41825,#41827,#34978,.T.); #53904=EDGE_CURVE('',#41828,#41826,#34979,.T.); #53905=EDGE_CURVE('',#41828,#41827,#9511,.T.); #53906=EDGE_CURVE('',#41827,#41829,#9512,.T.); #53907=EDGE_CURVE('',#41830,#41828,#9513,.T.); #53908=EDGE_CURVE('',#41830,#41829,#9514,.T.); #53909=EDGE_CURVE('',#41829,#41831,#9515,.T.); #53910=EDGE_CURVE('',#41832,#41830,#9516,.T.); #53911=EDGE_CURVE('',#41832,#41831,#9517,.T.); #53912=EDGE_CURVE('',#41831,#41833,#9518,.T.); #53913=EDGE_CURVE('',#41834,#41832,#9519,.T.); #53914=EDGE_CURVE('',#41834,#41833,#9520,.T.); #53915=EDGE_CURVE('',#41833,#41835,#9521,.T.); #53916=EDGE_CURVE('',#41836,#41834,#9522,.T.); #53917=EDGE_CURVE('',#41836,#41835,#9523,.T.); #53918=EDGE_CURVE('',#41835,#41837,#9524,.T.); #53919=EDGE_CURVE('',#41838,#41836,#9525,.T.); #53920=EDGE_CURVE('',#41838,#41837,#9526,.T.); #53921=EDGE_CURVE('',#41837,#41839,#9527,.T.); #53922=EDGE_CURVE('',#41840,#41838,#9528,.T.); #53923=EDGE_CURVE('',#41840,#41839,#9529,.T.); #53924=EDGE_CURVE('',#41839,#41841,#34980,.T.); #53925=EDGE_CURVE('',#41842,#41840,#34981,.T.); #53926=EDGE_CURVE('',#41842,#41841,#9530,.T.); #53927=EDGE_CURVE('',#41841,#41843,#34982,.T.); #53928=EDGE_CURVE('',#41844,#41842,#34983,.T.); #53929=EDGE_CURVE('',#41844,#41843,#9531,.T.); #53930=EDGE_CURVE('',#41843,#41845,#9532,.T.); #53931=EDGE_CURVE('',#41846,#41844,#9533,.T.); #53932=EDGE_CURVE('',#41846,#41845,#9534,.T.); #53933=EDGE_CURVE('',#41845,#41847,#9535,.T.); #53934=EDGE_CURVE('',#41848,#41846,#9536,.T.); #53935=EDGE_CURVE('',#41848,#41847,#9537,.T.); #53936=EDGE_CURVE('',#41847,#41849,#9538,.T.); #53937=EDGE_CURVE('',#41850,#41848,#9539,.T.); #53938=EDGE_CURVE('',#41850,#41849,#9540,.T.); #53939=EDGE_CURVE('',#41849,#41819,#9541,.T.); #53940=EDGE_CURVE('',#41821,#41850,#9542,.T.); #53941=EDGE_CURVE('',#41851,#41852,#9543,.T.); #53942=EDGE_CURVE('',#41853,#41851,#9544,.T.); #53943=EDGE_CURVE('',#41854,#41853,#9545,.T.); #53944=EDGE_CURVE('',#41854,#41852,#9546,.T.); #53945=EDGE_CURVE('',#41852,#41855,#9547,.T.); #53946=EDGE_CURVE('',#41856,#41854,#9548,.T.); #53947=EDGE_CURVE('',#41856,#41855,#9549,.T.); #53948=EDGE_CURVE('',#41855,#41857,#34984,.T.); #53949=EDGE_CURVE('',#41858,#41856,#34985,.T.); #53950=EDGE_CURVE('',#41858,#41857,#9550,.T.); #53951=EDGE_CURVE('',#41857,#41859,#34986,.T.); #53952=EDGE_CURVE('',#41860,#41858,#34987,.T.); #53953=EDGE_CURVE('',#41860,#41859,#9551,.T.); #53954=EDGE_CURVE('',#41859,#41861,#9552,.T.); #53955=EDGE_CURVE('',#41862,#41860,#9553,.T.); #53956=EDGE_CURVE('',#41862,#41861,#9554,.T.); #53957=EDGE_CURVE('',#41861,#41863,#9555,.T.); #53958=EDGE_CURVE('',#41864,#41862,#9556,.T.); #53959=EDGE_CURVE('',#41864,#41863,#9557,.T.); #53960=EDGE_CURVE('',#41863,#41865,#9558,.T.); #53961=EDGE_CURVE('',#41866,#41864,#9559,.T.); #53962=EDGE_CURVE('',#41866,#41865,#9560,.T.); #53963=EDGE_CURVE('',#41865,#41867,#9561,.T.); #53964=EDGE_CURVE('',#41868,#41866,#9562,.T.); #53965=EDGE_CURVE('',#41868,#41867,#9563,.T.); #53966=EDGE_CURVE('',#41867,#41869,#9564,.T.); #53967=EDGE_CURVE('',#41870,#41868,#9565,.T.); #53968=EDGE_CURVE('',#41870,#41869,#9566,.T.); #53969=EDGE_CURVE('',#41869,#41871,#9567,.T.); #53970=EDGE_CURVE('',#41872,#41870,#9568,.T.); #53971=EDGE_CURVE('',#41872,#41871,#9569,.T.); #53972=EDGE_CURVE('',#41871,#41873,#34988,.T.); #53973=EDGE_CURVE('',#41874,#41872,#34989,.T.); #53974=EDGE_CURVE('',#41874,#41873,#9570,.T.); #53975=EDGE_CURVE('',#41873,#41875,#34990,.T.); #53976=EDGE_CURVE('',#41876,#41874,#34991,.T.); #53977=EDGE_CURVE('',#41876,#41875,#9571,.T.); #53978=EDGE_CURVE('',#41875,#41877,#9572,.T.); #53979=EDGE_CURVE('',#41878,#41876,#9573,.T.); #53980=EDGE_CURVE('',#41878,#41877,#9574,.T.); #53981=EDGE_CURVE('',#41877,#41879,#9575,.T.); #53982=EDGE_CURVE('',#41880,#41878,#9576,.T.); #53983=EDGE_CURVE('',#41880,#41879,#9577,.T.); #53984=EDGE_CURVE('',#41879,#41881,#9578,.T.); #53985=EDGE_CURVE('',#41882,#41880,#9579,.T.); #53986=EDGE_CURVE('',#41882,#41881,#9580,.T.); #53987=EDGE_CURVE('',#41881,#41851,#9581,.T.); #53988=EDGE_CURVE('',#41853,#41882,#9582,.T.); #53989=EDGE_CURVE('',#41883,#41884,#9583,.T.); #53990=EDGE_CURVE('',#41885,#41883,#9584,.T.); #53991=EDGE_CURVE('',#41886,#41885,#9585,.T.); #53992=EDGE_CURVE('',#41886,#41884,#9586,.T.); #53993=EDGE_CURVE('',#41884,#41887,#9587,.T.); #53994=EDGE_CURVE('',#41888,#41886,#9588,.T.); #53995=EDGE_CURVE('',#41888,#41887,#9589,.T.); #53996=EDGE_CURVE('',#41887,#41889,#34992,.T.); #53997=EDGE_CURVE('',#41890,#41888,#34993,.T.); #53998=EDGE_CURVE('',#41890,#41889,#9590,.T.); #53999=EDGE_CURVE('',#41889,#41891,#34994,.T.); #54000=EDGE_CURVE('',#41892,#41890,#34995,.T.); #54001=EDGE_CURVE('',#41892,#41891,#9591,.T.); #54002=EDGE_CURVE('',#41891,#41893,#9592,.T.); #54003=EDGE_CURVE('',#41894,#41892,#9593,.T.); #54004=EDGE_CURVE('',#41894,#41893,#9594,.T.); #54005=EDGE_CURVE('',#41893,#41895,#9595,.T.); #54006=EDGE_CURVE('',#41896,#41894,#9596,.T.); #54007=EDGE_CURVE('',#41896,#41895,#9597,.T.); #54008=EDGE_CURVE('',#41895,#41897,#9598,.T.); #54009=EDGE_CURVE('',#41898,#41896,#9599,.T.); #54010=EDGE_CURVE('',#41898,#41897,#9600,.T.); #54011=EDGE_CURVE('',#41897,#41899,#9601,.T.); #54012=EDGE_CURVE('',#41900,#41898,#9602,.T.); #54013=EDGE_CURVE('',#41900,#41899,#9603,.T.); #54014=EDGE_CURVE('',#41899,#41901,#9604,.T.); #54015=EDGE_CURVE('',#41902,#41900,#9605,.T.); #54016=EDGE_CURVE('',#41902,#41901,#9606,.T.); #54017=EDGE_CURVE('',#41901,#41903,#9607,.T.); #54018=EDGE_CURVE('',#41904,#41902,#9608,.T.); #54019=EDGE_CURVE('',#41904,#41903,#9609,.T.); #54020=EDGE_CURVE('',#41903,#41905,#34996,.T.); #54021=EDGE_CURVE('',#41906,#41904,#34997,.T.); #54022=EDGE_CURVE('',#41906,#41905,#9610,.T.); #54023=EDGE_CURVE('',#41905,#41907,#34998,.T.); #54024=EDGE_CURVE('',#41908,#41906,#34999,.T.); #54025=EDGE_CURVE('',#41908,#41907,#9611,.T.); #54026=EDGE_CURVE('',#41907,#41909,#9612,.T.); #54027=EDGE_CURVE('',#41910,#41908,#9613,.T.); #54028=EDGE_CURVE('',#41910,#41909,#9614,.T.); #54029=EDGE_CURVE('',#41909,#41911,#9615,.T.); #54030=EDGE_CURVE('',#41912,#41910,#9616,.T.); #54031=EDGE_CURVE('',#41912,#41911,#9617,.T.); #54032=EDGE_CURVE('',#41911,#41913,#9618,.T.); #54033=EDGE_CURVE('',#41914,#41912,#9619,.T.); #54034=EDGE_CURVE('',#41914,#41913,#9620,.T.); #54035=EDGE_CURVE('',#41913,#41883,#9621,.T.); #54036=EDGE_CURVE('',#41885,#41914,#9622,.T.); #54037=EDGE_CURVE('',#41773,#41673,#32006,.T.); #54038=EDGE_CURVE('',#41766,#41687,#9623,.T.); #54039=EDGE_CURVE('',#41767,#41730,#9624,.T.); #54040=EDGE_CURVE('',#41688,#41765,#9625,.T.); #54041=EDGE_CURVE('',#41764,#41915,#9626,.T.); #54042=EDGE_CURVE('',#41916,#41915,#9627,.T.); #54043=EDGE_CURVE('',#41916,#41689,#9628,.T.); #54044=EDGE_CURVE('',#41732,#41721,#9629,.T.); #54045=EDGE_CURVE('',#41733,#41720,#9630,.T.); #54046=EDGE_CURVE('',#41740,#41713,#9631,.T.); #54047=EDGE_CURVE('',#41741,#41712,#9632,.T.); #54048=EDGE_CURVE('',#41714,#41739,#9633,.T.); #54049=EDGE_CURVE('',#41738,#41917,#9634,.T.); #54050=EDGE_CURVE('',#41918,#41917,#9635,.T.); #54051=EDGE_CURVE('',#41918,#41715,#9636,.T.); #54052=EDGE_CURVE('',#41758,#41695,#9637,.T.); #54053=EDGE_CURVE('',#41759,#41694,#9638,.T.); #54054=EDGE_CURVE('',#41744,#41709,#9639,.T.); #54055=EDGE_CURVE('',#41919,#41708,#9640,.T.); #54056=EDGE_CURVE('',#41920,#41919,#9641,.T.); #54057=EDGE_CURVE('',#41745,#41920,#9642,.T.); #54058=EDGE_CURVE('',#41710,#41743,#9643,.T.); #54059=EDGE_CURVE('',#41742,#41711,#9644,.T.); #54060=EDGE_CURVE('',#41754,#41699,#9645,.T.); #54061=EDGE_CURVE('',#41921,#41698,#9646,.T.); #54062=EDGE_CURVE('',#41922,#41921,#9647,.T.); #54063=EDGE_CURVE('',#41755,#41922,#9648,.T.); #54064=EDGE_CURVE('',#41706,#41747,#9649,.T.); #54065=EDGE_CURVE('',#41746,#41923,#9650,.T.); #54066=EDGE_CURVE('',#41924,#41923,#9651,.T.); #54067=EDGE_CURVE('',#41924,#41707,#9652,.T.); #54068=EDGE_CURVE('',#41750,#41703,#9653,.T.); #54069=EDGE_CURVE('',#41751,#41702,#9654,.T.); #54070=EDGE_CURVE('',#41736,#41717,#9655,.T.); #54071=EDGE_CURVE('',#41925,#41716,#9656,.T.); #54072=EDGE_CURVE('',#41926,#41925,#9657,.T.); #54073=EDGE_CURVE('',#41737,#41926,#9658,.T.); #54074=EDGE_CURVE('',#41718,#41735,#9659,.T.); #54075=EDGE_CURVE('',#41734,#41719,#9660,.T.); #54076=EDGE_CURVE('',#41762,#41691,#9661,.T.); #54077=EDGE_CURVE('',#41927,#41690,#9662,.T.); #54078=EDGE_CURVE('',#41928,#41927,#9663,.T.); #54079=EDGE_CURVE('',#41763,#41928,#9664,.T.); #54080=EDGE_CURVE('',#41748,#41705,#9665,.T.); #54081=EDGE_CURVE('',#41722,#41731,#9666,.T.); #54082=EDGE_CURVE('',#41700,#41753,#9667,.T.); #54083=EDGE_CURVE('',#41692,#41761,#9668,.T.); #54084=EDGE_CURVE('',#41696,#41757,#9669,.T.); #54085=EDGE_CURVE('',#41704,#41749,#9670,.T.); #54086=EDGE_CURVE('',#41929,#41725,#9671,.T.); #54087=EDGE_CURVE('',#41929,#41930,#9672,.T.); #54088=EDGE_CURVE('',#41930,#41726,#9673,.T.); #54089=EDGE_CURVE('',#41930,#41931,#9674,.T.); #54090=EDGE_CURVE('',#41931,#41727,#9675,.T.); #54091=EDGE_CURVE('',#41931,#41932,#9676,.T.); #54092=EDGE_CURVE('',#41728,#41932,#9677,.T.); #54093=EDGE_CURVE('',#41932,#41929,#9678,.T.); #54094=EDGE_CURVE('',#41933,#41724,#9679,.T.); #54095=EDGE_CURVE('',#41934,#41933,#9680,.T.); #54096=EDGE_CURVE('',#41769,#41934,#9681,.T.); #54097=EDGE_CURVE('',#41768,#41729,#9682,.T.); #54098=EDGE_CURVE('',#41935,#41934,#32007,.T.); #54099=EDGE_CURVE('',#41770,#41935,#9683,.T.); #54100=EDGE_CURVE('',#41936,#41933,#9684,.T.); #54101=EDGE_CURVE('',#41936,#41935,#9685,.T.); #54102=EDGE_CURVE('',#41937,#41921,#9686,.T.); #54103=EDGE_CURVE('',#41937,#41938,#9687,.T.); #54104=EDGE_CURVE('',#41938,#41922,#32008,.T.); #54105=EDGE_CURVE('',#41756,#41938,#9688,.T.); #54106=EDGE_CURVE('',#41923,#41920,#32009,.T.); #54107=EDGE_CURVE('',#41924,#41919,#9689,.T.); #54108=EDGE_CURVE('',#41917,#41926,#32010,.T.); #54109=EDGE_CURVE('',#41918,#41925,#9690,.T.); #54110=EDGE_CURVE('',#41916,#41927,#9691,.T.); #54111=EDGE_CURVE('',#41915,#41928,#32011,.T.); #54112=EDGE_CURVE('',#41936,#41723,#9692,.T.); #54113=EDGE_CURVE('',#41937,#41697,#9693,.T.); #54114=EDGE_CURVE('',#41752,#41701,#9694,.T.); #54115=EDGE_CURVE('',#41760,#41693,#9695,.T.); #54116=EDGE_CURVE('',#41939,#41940,#9696,.T.); #54117=EDGE_CURVE('',#41941,#41939,#9697,.T.); #54118=EDGE_CURVE('',#41942,#41941,#9698,.T.); #54119=EDGE_CURVE('',#41942,#41940,#9699,.T.); #54120=EDGE_CURVE('',#41940,#41943,#9700,.T.); #54121=EDGE_CURVE('',#41944,#41942,#9701,.T.); #54122=EDGE_CURVE('',#41944,#41943,#9702,.T.); #54123=EDGE_CURVE('',#41943,#41945,#9703,.T.); #54124=EDGE_CURVE('',#41946,#41944,#9704,.T.); #54125=EDGE_CURVE('',#41946,#41945,#9705,.T.); #54126=EDGE_CURVE('',#41945,#41939,#9706,.T.); #54127=EDGE_CURVE('',#41941,#41946,#9707,.T.); #54128=EDGE_CURVE('',#41947,#41948,#35000,.T.); #54129=EDGE_CURVE('',#41948,#41949,#32012,.T.); #54130=EDGE_CURVE('',#41949,#41950,#32013,.T.); #54131=EDGE_CURVE('',#41950,#41951,#35001,.T.); #54132=EDGE_CURVE('',#41951,#41947,#32014,.T.); #54133=EDGE_CURVE('',#41952,#41947,#9708,.T.); #54134=EDGE_CURVE('',#41952,#41953,#9709,.T.); #54135=EDGE_CURVE('',#41589,#41953,#9710,.T.); #54136=EDGE_CURVE('',#41589,#41634,#9711,.T.); #54137=EDGE_CURVE('',#41948,#41634,#9712,.T.); #54138=EDGE_CURVE('',#41951,#41954,#9713,.T.); #54139=EDGE_CURVE('',#41954,#41952,#9714,.T.); #54140=EDGE_CURVE('',#41953,#41955,#9715,.T.); #54141=EDGE_CURVE('',#41954,#41955,#9716,.T.); #54142=EDGE_CURVE('',#41638,#41588,#9717,.T.); #54143=EDGE_CURVE('',#41638,#41950,#9718,.T.); #54144=EDGE_CURVE('',#41956,#41950,#32015,.T.); #54145=EDGE_CURVE('',#41956,#41639,#9719,.T.); #54146=EDGE_CURVE('',#41955,#41588,#9720,.T.); #54147=EDGE_CURVE('',#41957,#41956,#9721,.T.); #54148=EDGE_CURVE('',#41640,#41957,#9722,.T.); #54149=EDGE_CURVE('',#41633,#41958,#9723,.T.); #54150=EDGE_CURVE('',#41958,#41647,#9724,.T.); #54151=EDGE_CURVE('',#41948,#41958,#32016,.T.); #54152=EDGE_CURVE('',#41646,#41959,#9725,.T.); #54153=EDGE_CURVE('',#41959,#41628,#32017,.T.); #54154=EDGE_CURVE('',#41957,#41960,#32018,.T.); #54155=EDGE_CURVE('',#41960,#41641,#9726,.T.); #54156=EDGE_CURVE('',#41959,#41961,#9727,.T.); #54157=EDGE_CURVE('',#41961,#41962,#32019,.T.); #54158=EDGE_CURVE('',#41963,#41962,#32020,.T.); #54159=EDGE_CURVE('',#41963,#41964,#32021,.T.); #54160=EDGE_CURVE('',#41964,#41960,#9728,.T.); #54161=EDGE_CURVE('',#41949,#41965,#9729,.T.); #54162=EDGE_CURVE('',#41965,#41966,#32022,.T.); #54163=EDGE_CURVE('',#41966,#41965,#32023,.T.); #54164=EDGE_CURVE('',#41967,#41963,#35002,.T.); #54165=EDGE_CURVE('',#41962,#41968,#35003,.T.); #54166=EDGE_CURVE('',#41968,#41967,#32024,.T.); #54167=EDGE_CURVE('',#41967,#41969,#9730,.T.); #54168=EDGE_CURVE('',#41969,#41970,#9731,.T.); #54169=EDGE_CURVE('',#41583,#41970,#9732,.T.); #54170=EDGE_CURVE('',#41583,#41643,#9733,.T.); #54171=EDGE_CURVE('',#41963,#41643,#9734,.T.); #54172=EDGE_CURVE('',#41971,#41968,#9735,.T.); #54173=EDGE_CURVE('',#41971,#41969,#9736,.T.); #54174=EDGE_CURVE('',#41970,#41972,#9737,.T.); #54175=EDGE_CURVE('',#41971,#41972,#9738,.T.); #54176=EDGE_CURVE('',#41644,#41582,#9739,.T.); #54177=EDGE_CURVE('',#41644,#41962,#9740,.T.); #54178=EDGE_CURVE('',#41972,#41582,#9741,.T.); #54179=EDGE_CURVE('',#41642,#41964,#9742,.T.); #54180=EDGE_CURVE('',#41961,#41645,#9743,.T.); #54181=EDGE_CURVE('',#41973,#41973,#32025,.T.); #54182=EDGE_CURVE('',#41974,#41974,#32026,.T.); #54183=EDGE_CURVE('',#41637,#41973,#32027,.T.); #54184=EDGE_CURVE('',#41975,#41975,#32028,.T.); #54185=EDGE_CURVE('',#41966,#41975,#32029,.T.); #54186=EDGE_CURVE('',#41679,#41584,#9744,.T.); #54187=EDGE_CURVE('',#41585,#41678,#9745,.T.); #54188=EDGE_CURVE('',#41581,#41680,#9746,.T.); #54189=EDGE_CURVE('',#41587,#41676,#9747,.T.); #54190=EDGE_CURVE('',#41677,#41586,#9748,.T.); #54191=EDGE_CURVE('',#41675,#41590,#9749,.T.); #54192=EDGE_CURVE('',#41591,#41674,#9750,.T.); #54193=EDGE_CURVE('',#41575,#41974,#32030,.T.); #54194=EDGE_CURVE('',#41976,#41977,#32031,.T.); #54195=EDGE_CURVE('',#41977,#41978,#9751,.T.); #54196=EDGE_CURVE('',#41978,#41979,#9752,.T.); #54197=EDGE_CURVE('',#41979,#41980,#32032,.T.); #54198=EDGE_CURVE('',#41980,#41981,#9753,.T.); #54199=EDGE_CURVE('',#41981,#41982,#32033,.T.); #54200=EDGE_CURVE('',#41982,#41983,#32034,.T.); #54201=EDGE_CURVE('',#41983,#41984,#32035,.T.); #54202=EDGE_CURVE('',#41984,#41985,#9754,.T.); #54203=EDGE_CURVE('',#41985,#41986,#32036,.T.); #54204=EDGE_CURVE('',#41986,#41987,#9755,.T.); #54205=EDGE_CURVE('',#41987,#41988,#9756,.T.); #54206=EDGE_CURVE('',#41988,#41989,#32037,.T.); #54207=EDGE_CURVE('',#41989,#41976,#32038,.T.); #54208=EDGE_CURVE('',#41990,#41977,#9757,.T.); #54209=EDGE_CURVE('',#41991,#41990,#9758,.T.); #54210=EDGE_CURVE('',#41978,#41991,#9759,.T.); #54211=EDGE_CURVE('',#41992,#41991,#9760,.T.); #54212=EDGE_CURVE('',#41979,#41992,#9761,.T.); #54213=EDGE_CURVE('',#41993,#41992,#32039,.T.); #54214=EDGE_CURVE('',#41980,#41993,#9762,.T.); #54215=EDGE_CURVE('',#41994,#41993,#9763,.T.); #54216=EDGE_CURVE('',#41981,#41994,#9764,.T.); #54217=EDGE_CURVE('',#41995,#41994,#32040,.T.); #54218=EDGE_CURVE('',#41982,#41995,#9765,.T.); #54219=EDGE_CURVE('',#41996,#41995,#32041,.T.); #54220=EDGE_CURVE('',#41983,#41996,#9766,.T.); #54221=EDGE_CURVE('',#41997,#41996,#32042,.T.); #54222=EDGE_CURVE('',#41984,#41997,#9767,.T.); #54223=EDGE_CURVE('',#41998,#41997,#9768,.T.); #54224=EDGE_CURVE('',#41985,#41998,#9769,.T.); #54225=EDGE_CURVE('',#41999,#41998,#32043,.T.); #54226=EDGE_CURVE('',#41986,#41999,#9770,.T.); #54227=EDGE_CURVE('',#42000,#41999,#9771,.T.); #54228=EDGE_CURVE('',#41987,#42000,#9772,.T.); #54229=EDGE_CURVE('',#42001,#42000,#9773,.T.); #54230=EDGE_CURVE('',#41988,#42001,#9774,.T.); #54231=EDGE_CURVE('',#42002,#42001,#32044,.T.); #54232=EDGE_CURVE('',#41989,#42002,#9775,.T.); #54233=EDGE_CURVE('',#42003,#42002,#32045,.T.); #54234=EDGE_CURVE('',#41976,#42003,#9776,.T.); #54235=EDGE_CURVE('',#41990,#42003,#32046,.T.); #54236=EDGE_CURVE('',#42004,#42004,#32047,.T.); #54237=EDGE_CURVE('',#42004,#42004,#32048,.T.); #54238=EDGE_CURVE('',#42005,#42005,#32049,.T.); #54239=EDGE_CURVE('',#42005,#42006,#9777,.T.); #54240=EDGE_CURVE('',#42006,#42007,#32050,.T.); #54241=EDGE_CURVE('',#42007,#42006,#32051,.T.); #54242=EDGE_CURVE('',#42007,#42008,#9778,.T.); #54243=EDGE_CURVE('',#42008,#42008,#32052,.T.); #54244=EDGE_CURVE('',#42009,#42009,#32053,.T.); #54245=EDGE_CURVE('',#42010,#42010,#32054,.T.); #54246=EDGE_CURVE('',#42010,#42008,#9779,.T.); #54247=EDGE_CURVE('',#42011,#42011,#32055,.T.); #54248=EDGE_CURVE('',#42012,#42012,#32056,.T.); #54249=EDGE_CURVE('',#42012,#42009,#32057,.T.); #54250=EDGE_CURVE('',#42012,#42013,#9780,.T.); #54251=EDGE_CURVE('',#42013,#42014,#32058,.T.); #54252=EDGE_CURVE('',#42014,#42013,#32059,.T.); #54253=EDGE_CURVE('',#42011,#42015,#9781,.T.); #54254=EDGE_CURVE('',#42016,#42015,#847,.T.); #54255=EDGE_CURVE('',#42017,#42016,#848,.T.); #54256=EDGE_CURVE('',#42018,#42017,#849,.T.); #54257=EDGE_CURVE('',#42019,#42018,#850,.T.); #54258=EDGE_CURVE('',#42020,#42019,#851,.T.); #54259=EDGE_CURVE('',#42015,#42020,#852,.T.); #54260=EDGE_CURVE('',#42021,#42015,#9782,.T.); #54261=EDGE_CURVE('',#42021,#42022,#853,.T.); #54262=EDGE_CURVE('',#42016,#42022,#9783,.T.); #54263=EDGE_CURVE('',#42020,#42023,#9784,.T.); #54264=EDGE_CURVE('',#42023,#42021,#854,.T.); #54265=EDGE_CURVE('',#42019,#42024,#9785,.T.); #54266=EDGE_CURVE('',#42024,#42023,#855,.T.); #54267=EDGE_CURVE('',#42014,#42021,#9786,.T.); #54268=EDGE_CURVE('',#42025,#42024,#856,.T.); #54269=EDGE_CURVE('',#42026,#42025,#857,.T.); #54270=EDGE_CURVE('',#42022,#42026,#858,.T.); #54271=EDGE_CURVE('',#42018,#42025,#9787,.T.); #54272=EDGE_CURVE('',#42017,#42026,#9788,.T.); #54273=EDGE_CURVE('',#42027,#42028,#9789,.T.); #54274=EDGE_CURVE('',#42027,#42029,#9790,.T.); #54275=EDGE_CURVE('',#42029,#42030,#9791,.T.); #54276=EDGE_CURVE('',#42028,#42030,#9792,.T.); #54277=EDGE_CURVE('',#42031,#42027,#9793,.T.); #54278=EDGE_CURVE('',#42031,#42032,#9794,.T.); #54279=EDGE_CURVE('',#42032,#42029,#9795,.T.); #54280=EDGE_CURVE('',#42033,#42031,#9796,.T.); #54281=EDGE_CURVE('',#42033,#42034,#9797,.T.); #54282=EDGE_CURVE('',#42034,#42032,#9798,.T.); #54283=EDGE_CURVE('',#42035,#42033,#9799,.T.); #54284=EDGE_CURVE('',#42035,#42036,#9800,.T.); #54285=EDGE_CURVE('',#42036,#42034,#9801,.T.); #54286=EDGE_CURVE('',#42037,#42035,#9802,.T.); #54287=EDGE_CURVE('',#42037,#42038,#9803,.T.); #54288=EDGE_CURVE('',#42038,#42036,#9804,.T.); #54289=EDGE_CURVE('',#42039,#42037,#9805,.T.); #54290=EDGE_CURVE('',#42039,#42040,#9806,.T.); #54291=EDGE_CURVE('',#42040,#42038,#9807,.T.); #54292=EDGE_CURVE('',#42041,#42039,#9808,.T.); #54293=EDGE_CURVE('',#42041,#42042,#9809,.T.); #54294=EDGE_CURVE('',#42042,#42040,#9810,.T.); #54295=EDGE_CURVE('',#42043,#42041,#9811,.T.); #54296=EDGE_CURVE('',#42043,#42044,#9812,.T.); #54297=EDGE_CURVE('',#42044,#42042,#9813,.T.); #54298=EDGE_CURVE('',#42045,#42043,#9814,.T.); #54299=EDGE_CURVE('',#42045,#42046,#9815,.T.); #54300=EDGE_CURVE('',#42046,#42044,#9816,.T.); #54301=EDGE_CURVE('',#42028,#42045,#9817,.T.); #54302=EDGE_CURVE('',#42030,#42046,#9818,.T.); #54303=EDGE_CURVE('',#42047,#42048,#9819,.T.); #54304=EDGE_CURVE('',#42047,#42049,#9820,.T.); #54305=EDGE_CURVE('',#42049,#42050,#9821,.T.); #54306=EDGE_CURVE('',#42048,#42050,#9822,.T.); #54307=EDGE_CURVE('',#42051,#42047,#9823,.T.); #54308=EDGE_CURVE('',#42051,#42052,#9824,.T.); #54309=EDGE_CURVE('',#42052,#42049,#9825,.T.); #54310=EDGE_CURVE('',#42053,#42051,#9826,.T.); #54311=EDGE_CURVE('',#42053,#42054,#9827,.T.); #54312=EDGE_CURVE('',#42054,#42052,#9828,.T.); #54313=EDGE_CURVE('',#42055,#42053,#9829,.T.); #54314=EDGE_CURVE('',#42055,#42056,#9830,.T.); #54315=EDGE_CURVE('',#42056,#42054,#9831,.T.); #54316=EDGE_CURVE('',#42057,#42055,#9832,.T.); #54317=EDGE_CURVE('',#42057,#42058,#9833,.T.); #54318=EDGE_CURVE('',#42058,#42056,#9834,.T.); #54319=EDGE_CURVE('',#42059,#42057,#9835,.T.); #54320=EDGE_CURVE('',#42059,#42060,#9836,.T.); #54321=EDGE_CURVE('',#42060,#42058,#9837,.T.); #54322=EDGE_CURVE('',#42061,#42059,#9838,.T.); #54323=EDGE_CURVE('',#42061,#42062,#9839,.T.); #54324=EDGE_CURVE('',#42062,#42060,#9840,.T.); #54325=EDGE_CURVE('',#42063,#42061,#9841,.T.); #54326=EDGE_CURVE('',#42063,#42064,#9842,.T.); #54327=EDGE_CURVE('',#42064,#42062,#9843,.T.); #54328=EDGE_CURVE('',#42065,#42063,#9844,.T.); #54329=EDGE_CURVE('',#42065,#42066,#9845,.T.); #54330=EDGE_CURVE('',#42066,#42064,#9846,.T.); #54331=EDGE_CURVE('',#42048,#42065,#9847,.T.); #54332=EDGE_CURVE('',#42050,#42066,#9848,.T.); #54333=EDGE_CURVE('',#42067,#42068,#9849,.T.); #54334=EDGE_CURVE('',#42067,#42069,#9850,.T.); #54335=EDGE_CURVE('',#42069,#42070,#9851,.T.); #54336=EDGE_CURVE('',#42068,#42070,#9852,.T.); #54337=EDGE_CURVE('',#42071,#42067,#9853,.T.); #54338=EDGE_CURVE('',#42071,#42072,#9854,.T.); #54339=EDGE_CURVE('',#42072,#42069,#9855,.T.); #54340=EDGE_CURVE('',#42073,#42071,#9856,.T.); #54341=EDGE_CURVE('',#42073,#42074,#9857,.T.); #54342=EDGE_CURVE('',#42074,#42072,#9858,.T.); #54343=EDGE_CURVE('',#42075,#42073,#9859,.T.); #54344=EDGE_CURVE('',#42075,#42076,#9860,.T.); #54345=EDGE_CURVE('',#42076,#42074,#9861,.T.); #54346=EDGE_CURVE('',#42077,#42075,#9862,.T.); #54347=EDGE_CURVE('',#42077,#42078,#9863,.T.); #54348=EDGE_CURVE('',#42078,#42076,#9864,.T.); #54349=EDGE_CURVE('',#42079,#42077,#9865,.T.); #54350=EDGE_CURVE('',#42079,#42080,#9866,.T.); #54351=EDGE_CURVE('',#42080,#42078,#9867,.T.); #54352=EDGE_CURVE('',#42081,#42079,#9868,.T.); #54353=EDGE_CURVE('',#42081,#42082,#9869,.T.); #54354=EDGE_CURVE('',#42082,#42080,#9870,.T.); #54355=EDGE_CURVE('',#42068,#42081,#9871,.T.); #54356=EDGE_CURVE('',#42070,#42082,#9872,.T.); #54357=EDGE_CURVE('',#42083,#42084,#9873,.T.); #54358=EDGE_CURVE('',#42083,#42085,#9874,.T.); #54359=EDGE_CURVE('',#42085,#42086,#9875,.T.); #54360=EDGE_CURVE('',#42084,#42086,#9876,.T.); #54361=EDGE_CURVE('',#42087,#42083,#9877,.T.); #54362=EDGE_CURVE('',#42087,#42088,#9878,.T.); #54363=EDGE_CURVE('',#42088,#42085,#9879,.T.); #54364=EDGE_CURVE('',#42089,#42087,#9880,.T.); #54365=EDGE_CURVE('',#42089,#42090,#9881,.T.); #54366=EDGE_CURVE('',#42090,#42088,#9882,.T.); #54367=EDGE_CURVE('',#42091,#42089,#9883,.T.); #54368=EDGE_CURVE('',#42091,#42092,#9884,.T.); #54369=EDGE_CURVE('',#42092,#42090,#9885,.T.); #54370=EDGE_CURVE('',#42093,#42091,#9886,.T.); #54371=EDGE_CURVE('',#42093,#42094,#9887,.T.); #54372=EDGE_CURVE('',#42094,#42092,#9888,.T.); #54373=EDGE_CURVE('',#42095,#42093,#9889,.T.); #54374=EDGE_CURVE('',#42095,#42096,#9890,.T.); #54375=EDGE_CURVE('',#42096,#42094,#9891,.T.); #54376=EDGE_CURVE('',#42097,#42095,#9892,.T.); #54377=EDGE_CURVE('',#42097,#42098,#9893,.T.); #54378=EDGE_CURVE('',#42098,#42096,#9894,.T.); #54379=EDGE_CURVE('',#42099,#42097,#9895,.T.); #54380=EDGE_CURVE('',#42099,#42100,#9896,.T.); #54381=EDGE_CURVE('',#42100,#42098,#9897,.T.); #54382=EDGE_CURVE('',#42101,#42099,#9898,.T.); #54383=EDGE_CURVE('',#42101,#42102,#9899,.T.); #54384=EDGE_CURVE('',#42102,#42100,#9900,.T.); #54385=EDGE_CURVE('',#42103,#42101,#9901,.T.); #54386=EDGE_CURVE('',#42103,#42104,#9902,.T.); #54387=EDGE_CURVE('',#42104,#42102,#9903,.T.); #54388=EDGE_CURVE('',#42105,#42103,#9904,.T.); #54389=EDGE_CURVE('',#42105,#42106,#9905,.T.); #54390=EDGE_CURVE('',#42106,#42104,#9906,.T.); #54391=EDGE_CURVE('',#42084,#42105,#9907,.T.); #54392=EDGE_CURVE('',#42086,#42106,#9908,.T.); #54393=EDGE_CURVE('',#42107,#42108,#9909,.T.); #54394=EDGE_CURVE('',#42107,#42109,#9910,.T.); #54395=EDGE_CURVE('',#42109,#42110,#9911,.T.); #54396=EDGE_CURVE('',#42108,#42110,#9912,.T.); #54397=EDGE_CURVE('',#42111,#42107,#9913,.T.); #54398=EDGE_CURVE('',#42111,#42112,#9914,.T.); #54399=EDGE_CURVE('',#42112,#42109,#9915,.T.); #54400=EDGE_CURVE('',#42113,#42111,#9916,.T.); #54401=EDGE_CURVE('',#42113,#42114,#9917,.T.); #54402=EDGE_CURVE('',#42114,#42112,#9918,.T.); #54403=EDGE_CURVE('',#42115,#42113,#9919,.T.); #54404=EDGE_CURVE('',#42115,#42116,#9920,.T.); #54405=EDGE_CURVE('',#42116,#42114,#9921,.T.); #54406=EDGE_CURVE('',#42117,#42115,#9922,.T.); #54407=EDGE_CURVE('',#42117,#42118,#9923,.T.); #54408=EDGE_CURVE('',#42118,#42116,#9924,.T.); #54409=EDGE_CURVE('',#42119,#42117,#9925,.T.); #54410=EDGE_CURVE('',#42119,#42120,#9926,.T.); #54411=EDGE_CURVE('',#42120,#42118,#9927,.T.); #54412=EDGE_CURVE('',#42121,#42119,#9928,.T.); #54413=EDGE_CURVE('',#42121,#42122,#9929,.T.); #54414=EDGE_CURVE('',#42122,#42120,#9930,.T.); #54415=EDGE_CURVE('',#42123,#42121,#9931,.T.); #54416=EDGE_CURVE('',#42123,#42124,#9932,.T.); #54417=EDGE_CURVE('',#42124,#42122,#9933,.T.); #54418=EDGE_CURVE('',#42125,#42123,#9934,.T.); #54419=EDGE_CURVE('',#42125,#42126,#9935,.T.); #54420=EDGE_CURVE('',#42126,#42124,#9936,.T.); #54421=EDGE_CURVE('',#42127,#42125,#9937,.T.); #54422=EDGE_CURVE('',#42127,#42128,#9938,.T.); #54423=EDGE_CURVE('',#42128,#42126,#9939,.T.); #54424=EDGE_CURVE('',#42129,#42127,#9940,.T.); #54425=EDGE_CURVE('',#42129,#42130,#9941,.T.); #54426=EDGE_CURVE('',#42130,#42128,#9942,.T.); #54427=EDGE_CURVE('',#42108,#42129,#9943,.T.); #54428=EDGE_CURVE('',#42110,#42130,#9944,.T.); #54429=EDGE_CURVE('',#42131,#42132,#35004,.T.); #54430=EDGE_CURVE('',#42131,#42133,#9945,.T.); #54431=EDGE_CURVE('',#42133,#42134,#35005,.T.); #54432=EDGE_CURVE('',#42132,#42134,#9946,.T.); #54433=EDGE_CURVE('',#42132,#42135,#35006,.T.); #54434=EDGE_CURVE('',#42134,#42136,#35007,.T.); #54435=EDGE_CURVE('',#42135,#42136,#9947,.T.); #54436=EDGE_CURVE('',#42135,#42137,#9948,.T.); #54437=EDGE_CURVE('',#42136,#42138,#9949,.T.); #54438=EDGE_CURVE('',#42137,#42138,#9950,.T.); #54439=EDGE_CURVE('',#42137,#42139,#9951,.T.); #54440=EDGE_CURVE('',#42138,#42140,#9952,.T.); #54441=EDGE_CURVE('',#42139,#42140,#9953,.T.); #54442=EDGE_CURVE('',#42139,#42131,#9954,.T.); #54443=EDGE_CURVE('',#42140,#42133,#9955,.T.); #54444=EDGE_CURVE('',#42141,#42142,#9956,.T.); #54445=EDGE_CURVE('',#42141,#42143,#9957,.T.); #54446=EDGE_CURVE('',#42143,#42144,#9958,.T.); #54447=EDGE_CURVE('',#42142,#42144,#9959,.T.); #54448=EDGE_CURVE('',#42145,#42141,#9960,.T.); #54449=EDGE_CURVE('',#42145,#42146,#9961,.T.); #54450=EDGE_CURVE('',#42146,#42143,#9962,.T.); #54451=EDGE_CURVE('',#42147,#42145,#9963,.T.); #54452=EDGE_CURVE('',#42147,#42148,#9964,.T.); #54453=EDGE_CURVE('',#42148,#42146,#9965,.T.); #54454=EDGE_CURVE('',#42149,#42147,#35008,.T.); #54455=EDGE_CURVE('',#42149,#42150,#9966,.T.); #54456=EDGE_CURVE('',#42150,#42148,#35009,.T.); #54457=EDGE_CURVE('',#42151,#42149,#35010,.T.); #54458=EDGE_CURVE('',#42151,#42152,#9967,.T.); #54459=EDGE_CURVE('',#42152,#42150,#35011,.T.); #54460=EDGE_CURVE('',#42153,#42151,#9968,.T.); #54461=EDGE_CURVE('',#42153,#42154,#9969,.T.); #54462=EDGE_CURVE('',#42154,#42152,#9970,.T.); #54463=EDGE_CURVE('',#42155,#42153,#9971,.T.); #54464=EDGE_CURVE('',#42155,#42156,#9972,.T.); #54465=EDGE_CURVE('',#42156,#42154,#9973,.T.); #54466=EDGE_CURVE('',#42157,#42155,#9974,.T.); #54467=EDGE_CURVE('',#42157,#42158,#9975,.T.); #54468=EDGE_CURVE('',#42158,#42156,#9976,.T.); #54469=EDGE_CURVE('',#42159,#42157,#35012,.T.); #54470=EDGE_CURVE('',#42159,#42160,#9977,.T.); #54471=EDGE_CURVE('',#42160,#42158,#35013,.T.); #54472=EDGE_CURVE('',#42161,#42159,#35014,.T.); #54473=EDGE_CURVE('',#42161,#42162,#9978,.T.); #54474=EDGE_CURVE('',#42162,#42160,#35015,.T.); #54475=EDGE_CURVE('',#42163,#42161,#35016,.T.); #54476=EDGE_CURVE('',#42163,#42164,#9979,.T.); #54477=EDGE_CURVE('',#42164,#42162,#35017,.T.); #54478=EDGE_CURVE('',#42165,#42163,#35018,.T.); #54479=EDGE_CURVE('',#42165,#42166,#9980,.T.); #54480=EDGE_CURVE('',#42166,#42164,#35019,.T.); #54481=EDGE_CURVE('',#42167,#42165,#9981,.T.); #54482=EDGE_CURVE('',#42167,#42168,#9982,.T.); #54483=EDGE_CURVE('',#42168,#42166,#9983,.T.); #54484=EDGE_CURVE('',#42142,#42167,#9984,.T.); #54485=EDGE_CURVE('',#42144,#42168,#9985,.T.); #54486=EDGE_CURVE('',#42169,#42170,#35020,.T.); #54487=EDGE_CURVE('',#42169,#42171,#9986,.T.); #54488=EDGE_CURVE('',#42171,#42172,#35021,.T.); #54489=EDGE_CURVE('',#42170,#42172,#9987,.T.); #54490=EDGE_CURVE('',#42170,#42173,#35022,.T.); #54491=EDGE_CURVE('',#42172,#42174,#35023,.T.); #54492=EDGE_CURVE('',#42173,#42174,#9988,.T.); #54493=EDGE_CURVE('',#42173,#42175,#35024,.T.); #54494=EDGE_CURVE('',#42174,#42176,#35025,.T.); #54495=EDGE_CURVE('',#42175,#42176,#9989,.T.); #54496=EDGE_CURVE('',#42175,#42177,#9990,.T.); #54497=EDGE_CURVE('',#42176,#42178,#9991,.T.); #54498=EDGE_CURVE('',#42177,#42178,#9992,.T.); #54499=EDGE_CURVE('',#42177,#42179,#9993,.T.); #54500=EDGE_CURVE('',#42178,#42180,#9994,.T.); #54501=EDGE_CURVE('',#42179,#42180,#9995,.T.); #54502=EDGE_CURVE('',#42179,#42169,#9996,.T.); #54503=EDGE_CURVE('',#42180,#42171,#9997,.T.); #54504=EDGE_CURVE('',#42181,#42182,#35026,.T.); #54505=EDGE_CURVE('',#42181,#42183,#9998,.T.); #54506=EDGE_CURVE('',#42183,#42184,#35027,.T.); #54507=EDGE_CURVE('',#42182,#42184,#9999,.T.); #54508=EDGE_CURVE('',#42182,#42185,#35028,.T.); #54509=EDGE_CURVE('',#42184,#42186,#35029,.T.); #54510=EDGE_CURVE('',#42185,#42186,#10000,.T.); #54511=EDGE_CURVE('',#42185,#42187,#35030,.T.); #54512=EDGE_CURVE('',#42186,#42188,#35031,.T.); #54513=EDGE_CURVE('',#42187,#42188,#10001,.T.); #54514=EDGE_CURVE('',#42187,#42189,#10002,.T.); #54515=EDGE_CURVE('',#42188,#42190,#10003,.T.); #54516=EDGE_CURVE('',#42189,#42190,#10004,.T.); #54517=EDGE_CURVE('',#42189,#42191,#10005,.T.); #54518=EDGE_CURVE('',#42190,#42192,#10006,.T.); #54519=EDGE_CURVE('',#42191,#42192,#10007,.T.); #54520=EDGE_CURVE('',#42191,#42181,#10008,.T.); #54521=EDGE_CURVE('',#42192,#42183,#10009,.T.); #54522=EDGE_CURVE('',#42193,#42194,#10010,.T.); #54523=EDGE_CURVE('',#42193,#42195,#10011,.T.); #54524=EDGE_CURVE('',#42195,#42196,#10012,.T.); #54525=EDGE_CURVE('',#42194,#42196,#10013,.T.); #54526=EDGE_CURVE('',#42197,#42193,#35032,.T.); #54527=EDGE_CURVE('',#42197,#42198,#10014,.T.); #54528=EDGE_CURVE('',#42198,#42195,#35033,.T.); #54529=EDGE_CURVE('',#42199,#42197,#35034,.T.); #54530=EDGE_CURVE('',#42199,#42200,#10015,.T.); #54531=EDGE_CURVE('',#42200,#42198,#35035,.T.); #54532=EDGE_CURVE('',#42201,#42199,#35036,.T.); #54533=EDGE_CURVE('',#42201,#42202,#10016,.T.); #54534=EDGE_CURVE('',#42202,#42200,#35037,.T.); #54535=EDGE_CURVE('',#42203,#42201,#35038,.T.); #54536=EDGE_CURVE('',#42203,#42204,#10017,.T.); #54537=EDGE_CURVE('',#42204,#42202,#35039,.T.); #54538=EDGE_CURVE('',#42205,#42203,#10018,.T.); #54539=EDGE_CURVE('',#42205,#42206,#10019,.T.); #54540=EDGE_CURVE('',#42206,#42204,#10020,.T.); #54541=EDGE_CURVE('',#42194,#42205,#10021,.T.); #54542=EDGE_CURVE('',#42196,#42206,#10022,.T.); #54543=EDGE_CURVE('',#42207,#42208,#10023,.T.); #54544=EDGE_CURVE('',#42207,#42209,#10024,.T.); #54545=EDGE_CURVE('',#42209,#42210,#10025,.T.); #54546=EDGE_CURVE('',#42208,#42210,#10026,.T.); #54547=EDGE_CURVE('',#42211,#42207,#10027,.T.); #54548=EDGE_CURVE('',#42211,#42212,#10028,.T.); #54549=EDGE_CURVE('',#42212,#42209,#10029,.T.); #54550=EDGE_CURVE('',#42213,#42211,#10030,.T.); #54551=EDGE_CURVE('',#42213,#42214,#10031,.T.); #54552=EDGE_CURVE('',#42214,#42212,#10032,.T.); #54553=EDGE_CURVE('',#42215,#42213,#10033,.T.); #54554=EDGE_CURVE('',#42215,#42216,#10034,.T.); #54555=EDGE_CURVE('',#42216,#42214,#10035,.T.); #54556=EDGE_CURVE('',#42217,#42215,#10036,.T.); #54557=EDGE_CURVE('',#42217,#42218,#10037,.T.); #54558=EDGE_CURVE('',#42218,#42216,#10038,.T.); #54559=EDGE_CURVE('',#42219,#42217,#10039,.T.); #54560=EDGE_CURVE('',#42219,#42220,#10040,.T.); #54561=EDGE_CURVE('',#42220,#42218,#10041,.T.); #54562=EDGE_CURVE('',#42221,#42219,#10042,.T.); #54563=EDGE_CURVE('',#42221,#42222,#10043,.T.); #54564=EDGE_CURVE('',#42222,#42220,#10044,.T.); #54565=EDGE_CURVE('',#42223,#42221,#10045,.T.); #54566=EDGE_CURVE('',#42223,#42224,#10046,.T.); #54567=EDGE_CURVE('',#42224,#42222,#10047,.T.); #54568=EDGE_CURVE('',#42225,#42223,#10048,.T.); #54569=EDGE_CURVE('',#42225,#42226,#10049,.T.); #54570=EDGE_CURVE('',#42226,#42224,#10050,.T.); #54571=EDGE_CURVE('',#42227,#42225,#10051,.T.); #54572=EDGE_CURVE('',#42227,#42228,#10052,.T.); #54573=EDGE_CURVE('',#42228,#42226,#10053,.T.); #54574=EDGE_CURVE('',#42229,#42227,#10054,.T.); #54575=EDGE_CURVE('',#42229,#42230,#10055,.T.); #54576=EDGE_CURVE('',#42230,#42228,#10056,.T.); #54577=EDGE_CURVE('',#42208,#42229,#10057,.T.); #54578=EDGE_CURVE('',#42210,#42230,#10058,.T.); #54579=EDGE_CURVE('',#42231,#42232,#10059,.T.); #54580=EDGE_CURVE('',#42231,#42233,#10060,.T.); #54581=EDGE_CURVE('',#42233,#42234,#10061,.T.); #54582=EDGE_CURVE('',#42232,#42234,#10062,.T.); #54583=EDGE_CURVE('',#42235,#42231,#10063,.T.); #54584=EDGE_CURVE('',#42235,#42236,#10064,.T.); #54585=EDGE_CURVE('',#42236,#42233,#10065,.T.); #54586=EDGE_CURVE('',#42237,#42235,#10066,.T.); #54587=EDGE_CURVE('',#42237,#42238,#10067,.T.); #54588=EDGE_CURVE('',#42238,#42236,#10068,.T.); #54589=EDGE_CURVE('',#42239,#42237,#10069,.T.); #54590=EDGE_CURVE('',#42239,#42240,#10070,.T.); #54591=EDGE_CURVE('',#42240,#42238,#10071,.T.); #54592=EDGE_CURVE('',#42241,#42239,#10072,.T.); #54593=EDGE_CURVE('',#42241,#42242,#10073,.T.); #54594=EDGE_CURVE('',#42242,#42240,#10074,.T.); #54595=EDGE_CURVE('',#42243,#42241,#10075,.T.); #54596=EDGE_CURVE('',#42243,#42244,#10076,.T.); #54597=EDGE_CURVE('',#42244,#42242,#10077,.T.); #54598=EDGE_CURVE('',#42245,#42243,#10078,.T.); #54599=EDGE_CURVE('',#42245,#42246,#10079,.T.); #54600=EDGE_CURVE('',#42246,#42244,#10080,.T.); #54601=EDGE_CURVE('',#42247,#42245,#10081,.T.); #54602=EDGE_CURVE('',#42247,#42248,#10082,.T.); #54603=EDGE_CURVE('',#42248,#42246,#10083,.T.); #54604=EDGE_CURVE('',#42249,#42247,#10084,.T.); #54605=EDGE_CURVE('',#42249,#42250,#10085,.T.); #54606=EDGE_CURVE('',#42250,#42248,#10086,.T.); #54607=EDGE_CURVE('',#42251,#42249,#10087,.T.); #54608=EDGE_CURVE('',#42251,#42252,#10088,.T.); #54609=EDGE_CURVE('',#42252,#42250,#10089,.T.); #54610=EDGE_CURVE('',#42253,#42251,#10090,.T.); #54611=EDGE_CURVE('',#42253,#42254,#10091,.T.); #54612=EDGE_CURVE('',#42254,#42252,#10092,.T.); #54613=EDGE_CURVE('',#42232,#42253,#10093,.T.); #54614=EDGE_CURVE('',#42234,#42254,#10094,.T.); #54615=EDGE_CURVE('',#42255,#42256,#10095,.T.); #54616=EDGE_CURVE('',#42255,#42257,#10096,.T.); #54617=EDGE_CURVE('',#42257,#42258,#10097,.T.); #54618=EDGE_CURVE('',#42256,#42258,#10098,.T.); #54619=EDGE_CURVE('',#42256,#42259,#35040,.T.); #54620=EDGE_CURVE('',#42258,#42260,#35041,.T.); #54621=EDGE_CURVE('',#42259,#42260,#10099,.T.); #54622=EDGE_CURVE('',#42259,#42261,#35042,.T.); #54623=EDGE_CURVE('',#42260,#42262,#35043,.T.); #54624=EDGE_CURVE('',#42261,#42262,#10100,.T.); #54625=EDGE_CURVE('',#42261,#42263,#10101,.T.); #54626=EDGE_CURVE('',#42262,#42264,#10102,.T.); #54627=EDGE_CURVE('',#42263,#42264,#10103,.T.); #54628=EDGE_CURVE('',#42263,#42255,#10104,.T.); #54629=EDGE_CURVE('',#42264,#42257,#10105,.T.); #54630=EDGE_CURVE('',#42265,#42266,#10106,.T.); #54631=EDGE_CURVE('',#42265,#42267,#10107,.T.); #54632=EDGE_CURVE('',#42267,#42268,#10108,.T.); #54633=EDGE_CURVE('',#42266,#42268,#10109,.T.); #54634=EDGE_CURVE('',#42269,#42265,#10110,.T.); #54635=EDGE_CURVE('',#42269,#42270,#10111,.T.); #54636=EDGE_CURVE('',#42270,#42267,#10112,.T.); #54637=EDGE_CURVE('',#42271,#42269,#10113,.T.); #54638=EDGE_CURVE('',#42271,#42272,#10114,.T.); #54639=EDGE_CURVE('',#42272,#42270,#10115,.T.); #54640=EDGE_CURVE('',#42273,#42271,#10116,.T.); #54641=EDGE_CURVE('',#42273,#42274,#10117,.T.); #54642=EDGE_CURVE('',#42274,#42272,#10118,.T.); #54643=EDGE_CURVE('',#42275,#42273,#10119,.T.); #54644=EDGE_CURVE('',#42275,#42276,#10120,.T.); #54645=EDGE_CURVE('',#42276,#42274,#10121,.T.); #54646=EDGE_CURVE('',#42277,#42275,#10122,.T.); #54647=EDGE_CURVE('',#42277,#42278,#10123,.T.); #54648=EDGE_CURVE('',#42278,#42276,#10124,.T.); #54649=EDGE_CURVE('',#42279,#42277,#10125,.T.); #54650=EDGE_CURVE('',#42279,#42280,#10126,.T.); #54651=EDGE_CURVE('',#42280,#42278,#10127,.T.); #54652=EDGE_CURVE('',#42266,#42279,#10128,.T.); #54653=EDGE_CURVE('',#42268,#42280,#10129,.T.); #54654=EDGE_CURVE('',#42281,#42282,#35044,.T.); #54655=EDGE_CURVE('',#42281,#42283,#10130,.T.); #54656=EDGE_CURVE('',#42283,#42284,#35045,.T.); #54657=EDGE_CURVE('',#42282,#42284,#10131,.T.); #54658=EDGE_CURVE('',#42282,#42285,#35046,.T.); #54659=EDGE_CURVE('',#42284,#42286,#35047,.T.); #54660=EDGE_CURVE('',#42285,#42286,#10132,.T.); #54661=EDGE_CURVE('',#42285,#42287,#10133,.T.); #54662=EDGE_CURVE('',#42286,#42288,#10134,.T.); #54663=EDGE_CURVE('',#42287,#42288,#10135,.T.); #54664=EDGE_CURVE('',#42287,#42289,#10136,.T.); #54665=EDGE_CURVE('',#42288,#42290,#10137,.T.); #54666=EDGE_CURVE('',#42289,#42290,#10138,.T.); #54667=EDGE_CURVE('',#42289,#42281,#10139,.T.); #54668=EDGE_CURVE('',#42290,#42283,#10140,.T.); #54669=EDGE_CURVE('',#42291,#42292,#10141,.T.); #54670=EDGE_CURVE('',#42291,#42293,#10142,.T.); #54671=EDGE_CURVE('',#42293,#42294,#10143,.T.); #54672=EDGE_CURVE('',#42292,#42294,#10144,.T.); #54673=EDGE_CURVE('',#42295,#42291,#10145,.T.); #54674=EDGE_CURVE('',#42295,#42296,#10146,.T.); #54675=EDGE_CURVE('',#42296,#42293,#10147,.T.); #54676=EDGE_CURVE('',#42297,#42295,#10148,.T.); #54677=EDGE_CURVE('',#42297,#42298,#10149,.T.); #54678=EDGE_CURVE('',#42298,#42296,#10150,.T.); #54679=EDGE_CURVE('',#42299,#42297,#35048,.T.); #54680=EDGE_CURVE('',#42299,#42300,#10151,.T.); #54681=EDGE_CURVE('',#42300,#42298,#35049,.T.); #54682=EDGE_CURVE('',#42301,#42299,#35050,.T.); #54683=EDGE_CURVE('',#42301,#42302,#10152,.T.); #54684=EDGE_CURVE('',#42302,#42300,#35051,.T.); #54685=EDGE_CURVE('',#42303,#42301,#10153,.T.); #54686=EDGE_CURVE('',#42303,#42304,#10154,.T.); #54687=EDGE_CURVE('',#42304,#42302,#10155,.T.); #54688=EDGE_CURVE('',#42305,#42303,#10156,.T.); #54689=EDGE_CURVE('',#42305,#42306,#10157,.T.); #54690=EDGE_CURVE('',#42306,#42304,#10158,.T.); #54691=EDGE_CURVE('',#42307,#42305,#10159,.T.); #54692=EDGE_CURVE('',#42307,#42308,#10160,.T.); #54693=EDGE_CURVE('',#42308,#42306,#10161,.T.); #54694=EDGE_CURVE('',#42309,#42307,#35052,.T.); #54695=EDGE_CURVE('',#42309,#42310,#10162,.T.); #54696=EDGE_CURVE('',#42310,#42308,#35053,.T.); #54697=EDGE_CURVE('',#42311,#42309,#35054,.T.); #54698=EDGE_CURVE('',#42311,#42312,#10163,.T.); #54699=EDGE_CURVE('',#42312,#42310,#35055,.T.); #54700=EDGE_CURVE('',#42313,#42311,#35056,.T.); #54701=EDGE_CURVE('',#42313,#42314,#10164,.T.); #54702=EDGE_CURVE('',#42314,#42312,#35057,.T.); #54703=EDGE_CURVE('',#42315,#42313,#35058,.T.); #54704=EDGE_CURVE('',#42315,#42316,#10165,.T.); #54705=EDGE_CURVE('',#42316,#42314,#35059,.T.); #54706=EDGE_CURVE('',#42317,#42315,#10166,.T.); #54707=EDGE_CURVE('',#42317,#42318,#10167,.T.); #54708=EDGE_CURVE('',#42318,#42316,#10168,.T.); #54709=EDGE_CURVE('',#42292,#42317,#10169,.T.); #54710=EDGE_CURVE('',#42294,#42318,#10170,.T.); #54711=EDGE_CURVE('',#42319,#42320,#35060,.T.); #54712=EDGE_CURVE('',#42319,#42321,#10171,.T.); #54713=EDGE_CURVE('',#42321,#42322,#35061,.T.); #54714=EDGE_CURVE('',#42320,#42322,#10172,.T.); #54715=EDGE_CURVE('',#42320,#42323,#35062,.T.); #54716=EDGE_CURVE('',#42322,#42324,#35063,.T.); #54717=EDGE_CURVE('',#42323,#42324,#10173,.T.); #54718=EDGE_CURVE('',#42323,#42325,#35064,.T.); #54719=EDGE_CURVE('',#42324,#42326,#35065,.T.); #54720=EDGE_CURVE('',#42325,#42326,#10174,.T.); #54721=EDGE_CURVE('',#42325,#42327,#35066,.T.); #54722=EDGE_CURVE('',#42326,#42328,#35067,.T.); #54723=EDGE_CURVE('',#42327,#42328,#10175,.T.); #54724=EDGE_CURVE('',#42327,#42329,#10176,.T.); #54725=EDGE_CURVE('',#42328,#42330,#10177,.T.); #54726=EDGE_CURVE('',#42329,#42330,#10178,.T.); #54727=EDGE_CURVE('',#42329,#42331,#10179,.T.); #54728=EDGE_CURVE('',#42330,#42332,#10180,.T.); #54729=EDGE_CURVE('',#42331,#42332,#10181,.T.); #54730=EDGE_CURVE('',#42331,#42319,#10182,.T.); #54731=EDGE_CURVE('',#42332,#42321,#10183,.T.); #54732=EDGE_CURVE('',#42333,#42334,#10184,.T.); #54733=EDGE_CURVE('',#42333,#42335,#10185,.T.); #54734=EDGE_CURVE('',#42335,#42336,#10186,.T.); #54735=EDGE_CURVE('',#42334,#42336,#10187,.T.); #54736=EDGE_CURVE('',#42337,#42333,#35068,.T.); #54737=EDGE_CURVE('',#42337,#42338,#10188,.T.); #54738=EDGE_CURVE('',#42338,#42335,#35069,.T.); #54739=EDGE_CURVE('',#42339,#42337,#35070,.T.); #54740=EDGE_CURVE('',#42339,#42340,#10189,.T.); #54741=EDGE_CURVE('',#42340,#42338,#35071,.T.); #54742=EDGE_CURVE('',#42341,#42339,#35072,.T.); #54743=EDGE_CURVE('',#42341,#42342,#10190,.T.); #54744=EDGE_CURVE('',#42342,#42340,#35073,.T.); #54745=EDGE_CURVE('',#42343,#42341,#35074,.T.); #54746=EDGE_CURVE('',#42343,#42344,#10191,.T.); #54747=EDGE_CURVE('',#42344,#42342,#35075,.T.); #54748=EDGE_CURVE('',#42345,#42343,#10192,.T.); #54749=EDGE_CURVE('',#42345,#42346,#10193,.T.); #54750=EDGE_CURVE('',#42346,#42344,#10194,.T.); #54751=EDGE_CURVE('',#42334,#42345,#10195,.T.); #54752=EDGE_CURVE('',#42336,#42346,#10196,.T.); #54753=EDGE_CURVE('',#42347,#42348,#35076,.T.); #54754=EDGE_CURVE('',#42347,#42349,#10197,.T.); #54755=EDGE_CURVE('',#42349,#42350,#35077,.T.); #54756=EDGE_CURVE('',#42348,#42350,#10198,.T.); #54757=EDGE_CURVE('',#42348,#42351,#35078,.T.); #54758=EDGE_CURVE('',#42350,#42352,#35079,.T.); #54759=EDGE_CURVE('',#42351,#42352,#10199,.T.); #54760=EDGE_CURVE('',#42351,#42353,#35080,.T.); #54761=EDGE_CURVE('',#42352,#42354,#35081,.T.); #54762=EDGE_CURVE('',#42353,#42354,#10200,.T.); #54763=EDGE_CURVE('',#42353,#42355,#10201,.T.); #54764=EDGE_CURVE('',#42354,#42356,#10202,.T.); #54765=EDGE_CURVE('',#42355,#42356,#10203,.T.); #54766=EDGE_CURVE('',#42355,#42357,#10204,.T.); #54767=EDGE_CURVE('',#42356,#42358,#10205,.T.); #54768=EDGE_CURVE('',#42357,#42358,#10206,.T.); #54769=EDGE_CURVE('',#42357,#42347,#10207,.T.); #54770=EDGE_CURVE('',#42358,#42349,#10208,.T.); #54771=EDGE_CURVE('',#42359,#42360,#10209,.T.); #54772=EDGE_CURVE('',#42359,#42361,#10210,.T.); #54773=EDGE_CURVE('',#42361,#42362,#10211,.T.); #54774=EDGE_CURVE('',#42360,#42362,#10212,.T.); #54775=EDGE_CURVE('',#42363,#42359,#10213,.T.); #54776=EDGE_CURVE('',#42363,#42364,#10214,.T.); #54777=EDGE_CURVE('',#42364,#42361,#10215,.T.); #54778=EDGE_CURVE('',#42365,#42363,#10216,.T.); #54779=EDGE_CURVE('',#42365,#42366,#10217,.T.); #54780=EDGE_CURVE('',#42366,#42364,#10218,.T.); #54781=EDGE_CURVE('',#42367,#42365,#35082,.T.); #54782=EDGE_CURVE('',#42367,#42368,#10219,.T.); #54783=EDGE_CURVE('',#42368,#42366,#35083,.T.); #54784=EDGE_CURVE('',#42369,#42367,#35084,.T.); #54785=EDGE_CURVE('',#42369,#42370,#10220,.T.); #54786=EDGE_CURVE('',#42370,#42368,#35085,.T.); #54787=EDGE_CURVE('',#42371,#42369,#35086,.T.); #54788=EDGE_CURVE('',#42371,#42372,#10221,.T.); #54789=EDGE_CURVE('',#42372,#42370,#35087,.T.); #54790=EDGE_CURVE('',#42373,#42371,#10222,.T.); #54791=EDGE_CURVE('',#42373,#42374,#10223,.T.); #54792=EDGE_CURVE('',#42374,#42372,#10224,.T.); #54793=EDGE_CURVE('',#42360,#42373,#10225,.T.); #54794=EDGE_CURVE('',#42362,#42374,#10226,.T.); #54795=EDGE_CURVE('',#42375,#42376,#10227,.T.); #54796=EDGE_CURVE('',#42375,#42377,#10228,.T.); #54797=EDGE_CURVE('',#42377,#42378,#10229,.T.); #54798=EDGE_CURVE('',#42376,#42378,#10230,.T.); #54799=EDGE_CURVE('',#42376,#42379,#35088,.T.); #54800=EDGE_CURVE('',#42378,#42380,#35089,.T.); #54801=EDGE_CURVE('',#42379,#42380,#10231,.T.); #54802=EDGE_CURVE('',#42379,#42381,#35090,.T.); #54803=EDGE_CURVE('',#42380,#42382,#35091,.T.); #54804=EDGE_CURVE('',#42381,#42382,#10232,.T.); #54805=EDGE_CURVE('',#42381,#42383,#10233,.T.); #54806=EDGE_CURVE('',#42382,#42384,#10234,.T.); #54807=EDGE_CURVE('',#42383,#42384,#10235,.T.); #54808=EDGE_CURVE('',#42383,#42375,#10236,.T.); #54809=EDGE_CURVE('',#42384,#42377,#10237,.T.); #54810=EDGE_CURVE('',#42385,#42386,#10238,.T.); #54811=EDGE_CURVE('',#42385,#42387,#10239,.T.); #54812=EDGE_CURVE('',#42387,#42388,#10240,.T.); #54813=EDGE_CURVE('',#42386,#42388,#10241,.T.); #54814=EDGE_CURVE('',#42389,#42385,#10242,.T.); #54815=EDGE_CURVE('',#42389,#42390,#10243,.T.); #54816=EDGE_CURVE('',#42390,#42387,#10244,.T.); #54817=EDGE_CURVE('',#42391,#42389,#10245,.T.); #54818=EDGE_CURVE('',#42391,#42392,#10246,.T.); #54819=EDGE_CURVE('',#42392,#42390,#10247,.T.); #54820=EDGE_CURVE('',#42393,#42391,#10248,.T.); #54821=EDGE_CURVE('',#42393,#42394,#10249,.T.); #54822=EDGE_CURVE('',#42394,#42392,#10250,.T.); #54823=EDGE_CURVE('',#42395,#42393,#10251,.T.); #54824=EDGE_CURVE('',#42395,#42396,#10252,.T.); #54825=EDGE_CURVE('',#42396,#42394,#10253,.T.); #54826=EDGE_CURVE('',#42397,#42395,#10254,.T.); #54827=EDGE_CURVE('',#42397,#42398,#10255,.T.); #54828=EDGE_CURVE('',#42398,#42396,#10256,.T.); #54829=EDGE_CURVE('',#42399,#42397,#10257,.T.); #54830=EDGE_CURVE('',#42399,#42400,#10258,.T.); #54831=EDGE_CURVE('',#42400,#42398,#10259,.T.); #54832=EDGE_CURVE('',#42386,#42399,#10260,.T.); #54833=EDGE_CURVE('',#42388,#42400,#10261,.T.); #54834=EDGE_CURVE('',#42401,#42402,#10262,.T.); #54835=EDGE_CURVE('',#42401,#42403,#10263,.T.); #54836=EDGE_CURVE('',#42403,#42404,#10264,.T.); #54837=EDGE_CURVE('',#42402,#42404,#10265,.T.); #54838=EDGE_CURVE('',#42405,#42401,#10266,.T.); #54839=EDGE_CURVE('',#42405,#42406,#10267,.T.); #54840=EDGE_CURVE('',#42406,#42403,#10268,.T.); #54841=EDGE_CURVE('',#42407,#42405,#10269,.T.); #54842=EDGE_CURVE('',#42407,#42408,#10270,.T.); #54843=EDGE_CURVE('',#42408,#42406,#10271,.T.); #54844=EDGE_CURVE('',#42409,#42407,#10272,.T.); #54845=EDGE_CURVE('',#42409,#42410,#10273,.T.); #54846=EDGE_CURVE('',#42410,#42408,#10274,.T.); #54847=EDGE_CURVE('',#42411,#42409,#10275,.T.); #54848=EDGE_CURVE('',#42411,#42412,#10276,.T.); #54849=EDGE_CURVE('',#42412,#42410,#10277,.T.); #54850=EDGE_CURVE('',#42413,#42411,#10278,.T.); #54851=EDGE_CURVE('',#42413,#42414,#10279,.T.); #54852=EDGE_CURVE('',#42414,#42412,#10280,.T.); #54853=EDGE_CURVE('',#42415,#42413,#10281,.T.); #54854=EDGE_CURVE('',#42415,#42416,#10282,.T.); #54855=EDGE_CURVE('',#42416,#42414,#10283,.T.); #54856=EDGE_CURVE('',#42402,#42415,#10284,.T.); #54857=EDGE_CURVE('',#42404,#42416,#10285,.T.); #54858=EDGE_CURVE('',#42417,#42418,#10286,.T.); #54859=EDGE_CURVE('',#42417,#42419,#10287,.T.); #54860=EDGE_CURVE('',#42419,#42420,#10288,.T.); #54861=EDGE_CURVE('',#42418,#42420,#10289,.T.); #54862=EDGE_CURVE('',#42421,#42417,#10290,.T.); #54863=EDGE_CURVE('',#42421,#42422,#10291,.T.); #54864=EDGE_CURVE('',#42422,#42419,#10292,.T.); #54865=EDGE_CURVE('',#42423,#42421,#10293,.T.); #54866=EDGE_CURVE('',#42423,#42424,#10294,.T.); #54867=EDGE_CURVE('',#42424,#42422,#10295,.T.); #54868=EDGE_CURVE('',#42425,#42423,#10296,.T.); #54869=EDGE_CURVE('',#42425,#42426,#10297,.T.); #54870=EDGE_CURVE('',#42426,#42424,#10298,.T.); #54871=EDGE_CURVE('',#42427,#42425,#10299,.T.); #54872=EDGE_CURVE('',#42427,#42428,#10300,.T.); #54873=EDGE_CURVE('',#42428,#42426,#10301,.T.); #54874=EDGE_CURVE('',#42429,#42427,#10302,.T.); #54875=EDGE_CURVE('',#42429,#42430,#10303,.T.); #54876=EDGE_CURVE('',#42430,#42428,#10304,.T.); #54877=EDGE_CURVE('',#42431,#42429,#10305,.T.); #54878=EDGE_CURVE('',#42431,#42432,#10306,.T.); #54879=EDGE_CURVE('',#42432,#42430,#10307,.T.); #54880=EDGE_CURVE('',#42433,#42431,#10308,.T.); #54881=EDGE_CURVE('',#42433,#42434,#10309,.T.); #54882=EDGE_CURVE('',#42434,#42432,#10310,.T.); #54883=EDGE_CURVE('',#42435,#42433,#10311,.T.); #54884=EDGE_CURVE('',#42435,#42436,#10312,.T.); #54885=EDGE_CURVE('',#42436,#42434,#10313,.T.); #54886=EDGE_CURVE('',#42418,#42435,#10314,.T.); #54887=EDGE_CURVE('',#42420,#42436,#10315,.T.); #54888=EDGE_CURVE('',#42437,#42438,#35092,.T.); #54889=EDGE_CURVE('',#42437,#42439,#10316,.T.); #54890=EDGE_CURVE('',#42439,#42440,#35093,.T.); #54891=EDGE_CURVE('',#42438,#42440,#10317,.T.); #54892=EDGE_CURVE('',#42438,#42441,#35094,.T.); #54893=EDGE_CURVE('',#42440,#42442,#35095,.T.); #54894=EDGE_CURVE('',#42441,#42442,#10318,.T.); #54895=EDGE_CURVE('',#42441,#42443,#35096,.T.); #54896=EDGE_CURVE('',#42442,#42444,#35097,.T.); #54897=EDGE_CURVE('',#42443,#42444,#10319,.T.); #54898=EDGE_CURVE('',#42443,#42445,#10320,.T.); #54899=EDGE_CURVE('',#42444,#42446,#10321,.T.); #54900=EDGE_CURVE('',#42445,#42446,#10322,.T.); #54901=EDGE_CURVE('',#42445,#42447,#10323,.T.); #54902=EDGE_CURVE('',#42446,#42448,#10324,.T.); #54903=EDGE_CURVE('',#42447,#42448,#10325,.T.); #54904=EDGE_CURVE('',#42447,#42437,#10326,.T.); #54905=EDGE_CURVE('',#42448,#42439,#10327,.T.); #54906=EDGE_CURVE('',#42449,#42450,#10328,.T.); #54907=EDGE_CURVE('',#42449,#42451,#10329,.T.); #54908=EDGE_CURVE('',#42451,#42452,#10330,.T.); #54909=EDGE_CURVE('',#42450,#42452,#10331,.T.); #54910=EDGE_CURVE('',#42453,#42449,#10332,.T.); #54911=EDGE_CURVE('',#42453,#42454,#10333,.T.); #54912=EDGE_CURVE('',#42454,#42451,#10334,.T.); #54913=EDGE_CURVE('',#42455,#42453,#10335,.T.); #54914=EDGE_CURVE('',#42455,#42456,#10336,.T.); #54915=EDGE_CURVE('',#42456,#42454,#10337,.T.); #54916=EDGE_CURVE('',#42457,#42455,#35098,.T.); #54917=EDGE_CURVE('',#42457,#42458,#10338,.T.); #54918=EDGE_CURVE('',#42458,#42456,#35099,.T.); #54919=EDGE_CURVE('',#42459,#42457,#35100,.T.); #54920=EDGE_CURVE('',#42459,#42460,#10339,.T.); #54921=EDGE_CURVE('',#42460,#42458,#35101,.T.); #54922=EDGE_CURVE('',#42461,#42459,#35102,.T.); #54923=EDGE_CURVE('',#42461,#42462,#10340,.T.); #54924=EDGE_CURVE('',#42462,#42460,#35103,.T.); #54925=EDGE_CURVE('',#42463,#42461,#10341,.T.); #54926=EDGE_CURVE('',#42463,#42464,#10342,.T.); #54927=EDGE_CURVE('',#42464,#42462,#10343,.T.); #54928=EDGE_CURVE('',#42450,#42463,#10344,.T.); #54929=EDGE_CURVE('',#42452,#42464,#10345,.T.); #54930=EDGE_CURVE('',#42465,#42466,#10346,.T.); #54931=EDGE_CURVE('',#42465,#42467,#10347,.T.); #54932=EDGE_CURVE('',#42467,#42468,#10348,.T.); #54933=EDGE_CURVE('',#42466,#42468,#10349,.T.); #54934=EDGE_CURVE('',#42469,#42465,#10350,.T.); #54935=EDGE_CURVE('',#42469,#42470,#10351,.T.); #54936=EDGE_CURVE('',#42470,#42467,#10352,.T.); #54937=EDGE_CURVE('',#42471,#42469,#10353,.T.); #54938=EDGE_CURVE('',#42471,#42472,#10354,.T.); #54939=EDGE_CURVE('',#42472,#42470,#10355,.T.); #54940=EDGE_CURVE('',#42473,#42471,#10356,.T.); #54941=EDGE_CURVE('',#42473,#42474,#10357,.T.); #54942=EDGE_CURVE('',#42474,#42472,#10358,.T.); #54943=EDGE_CURVE('',#42475,#42473,#10359,.T.); #54944=EDGE_CURVE('',#42475,#42476,#10360,.T.); #54945=EDGE_CURVE('',#42476,#42474,#10361,.T.); #54946=EDGE_CURVE('',#42477,#42475,#10362,.T.); #54947=EDGE_CURVE('',#42477,#42478,#10363,.T.); #54948=EDGE_CURVE('',#42478,#42476,#10364,.T.); #54949=EDGE_CURVE('',#42479,#42477,#10365,.T.); #54950=EDGE_CURVE('',#42479,#42480,#10366,.T.); #54951=EDGE_CURVE('',#42480,#42478,#10367,.T.); #54952=EDGE_CURVE('',#42481,#42479,#10368,.T.); #54953=EDGE_CURVE('',#42481,#42482,#10369,.T.); #54954=EDGE_CURVE('',#42482,#42480,#10370,.T.); #54955=EDGE_CURVE('',#42483,#42481,#10371,.T.); #54956=EDGE_CURVE('',#42483,#42484,#10372,.T.); #54957=EDGE_CURVE('',#42484,#42482,#10373,.T.); #54958=EDGE_CURVE('',#42485,#42483,#10374,.T.); #54959=EDGE_CURVE('',#42485,#42486,#10375,.T.); #54960=EDGE_CURVE('',#42486,#42484,#10376,.T.); #54961=EDGE_CURVE('',#42487,#42485,#10377,.T.); #54962=EDGE_CURVE('',#42487,#42488,#10378,.T.); #54963=EDGE_CURVE('',#42488,#42486,#10379,.T.); #54964=EDGE_CURVE('',#42466,#42487,#10380,.T.); #54965=EDGE_CURVE('',#42468,#42488,#10381,.T.); #54966=EDGE_CURVE('',#42489,#42490,#35104,.T.); #54967=EDGE_CURVE('',#42489,#42491,#10382,.T.); #54968=EDGE_CURVE('',#42491,#42492,#35105,.T.); #54969=EDGE_CURVE('',#42490,#42492,#10383,.T.); #54970=EDGE_CURVE('',#42490,#42493,#35106,.T.); #54971=EDGE_CURVE('',#42492,#42494,#35107,.T.); #54972=EDGE_CURVE('',#42493,#42494,#10384,.T.); #54973=EDGE_CURVE('',#42493,#42495,#35108,.T.); #54974=EDGE_CURVE('',#42494,#42496,#35109,.T.); #54975=EDGE_CURVE('',#42495,#42496,#10385,.T.); #54976=EDGE_CURVE('',#42495,#42497,#35110,.T.); #54977=EDGE_CURVE('',#42496,#42498,#35111,.T.); #54978=EDGE_CURVE('',#42497,#42498,#10386,.T.); #54979=EDGE_CURVE('',#42497,#42499,#10387,.T.); #54980=EDGE_CURVE('',#42498,#42500,#10388,.T.); #54981=EDGE_CURVE('',#42499,#42500,#10389,.T.); #54982=EDGE_CURVE('',#42499,#42501,#10390,.T.); #54983=EDGE_CURVE('',#42500,#42502,#10391,.T.); #54984=EDGE_CURVE('',#42501,#42502,#10392,.T.); #54985=EDGE_CURVE('',#42501,#42489,#10393,.T.); #54986=EDGE_CURVE('',#42502,#42491,#10394,.T.); #54987=EDGE_CURVE('',#42503,#42504,#10395,.T.); #54988=EDGE_CURVE('',#42503,#42505,#10396,.T.); #54989=EDGE_CURVE('',#42505,#42506,#10397,.T.); #54990=EDGE_CURVE('',#42504,#42506,#10398,.T.); #54991=EDGE_CURVE('',#42507,#42503,#35112,.T.); #54992=EDGE_CURVE('',#42507,#42508,#10399,.T.); #54993=EDGE_CURVE('',#42508,#42505,#35113,.T.); #54994=EDGE_CURVE('',#42509,#42507,#35114,.T.); #54995=EDGE_CURVE('',#42509,#42510,#10400,.T.); #54996=EDGE_CURVE('',#42510,#42508,#35115,.T.); #54997=EDGE_CURVE('',#42511,#42509,#35116,.T.); #54998=EDGE_CURVE('',#42511,#42512,#10401,.T.); #54999=EDGE_CURVE('',#42512,#42510,#35117,.T.); #55000=EDGE_CURVE('',#42513,#42511,#35118,.T.); #55001=EDGE_CURVE('',#42513,#42514,#10402,.T.); #55002=EDGE_CURVE('',#42514,#42512,#35119,.T.); #55003=EDGE_CURVE('',#42515,#42513,#10403,.T.); #55004=EDGE_CURVE('',#42515,#42516,#10404,.T.); #55005=EDGE_CURVE('',#42516,#42514,#10405,.T.); #55006=EDGE_CURVE('',#42504,#42515,#10406,.T.); #55007=EDGE_CURVE('',#42506,#42516,#10407,.T.); #55008=EDGE_CURVE('',#42517,#42518,#10408,.T.); #55009=EDGE_CURVE('',#42517,#42519,#10409,.T.); #55010=EDGE_CURVE('',#42519,#42520,#10410,.T.); #55011=EDGE_CURVE('',#42518,#42520,#10411,.T.); #55012=EDGE_CURVE('',#42521,#42517,#10412,.T.); #55013=EDGE_CURVE('',#42521,#42522,#10413,.T.); #55014=EDGE_CURVE('',#42522,#42519,#10414,.T.); #55015=EDGE_CURVE('',#42523,#42521,#10415,.T.); #55016=EDGE_CURVE('',#42523,#42524,#10416,.T.); #55017=EDGE_CURVE('',#42524,#42522,#10417,.T.); #55018=EDGE_CURVE('',#42518,#42523,#10418,.T.); #55019=EDGE_CURVE('',#42520,#42524,#10419,.T.); #55020=EDGE_CURVE('',#42525,#42526,#10420,.T.); #55021=EDGE_CURVE('',#42525,#42527,#10421,.T.); #55022=EDGE_CURVE('',#42527,#42528,#10422,.T.); #55023=EDGE_CURVE('',#42526,#42528,#10423,.T.); #55024=EDGE_CURVE('',#42529,#42525,#10424,.T.); #55025=EDGE_CURVE('',#42529,#42530,#10425,.T.); #55026=EDGE_CURVE('',#42530,#42527,#10426,.T.); #55027=EDGE_CURVE('',#42531,#42529,#35120,.T.); #55028=EDGE_CURVE('',#42531,#42532,#10427,.T.); #55029=EDGE_CURVE('',#42532,#42530,#35121,.T.); #55030=EDGE_CURVE('',#42533,#42531,#35122,.T.); #55031=EDGE_CURVE('',#42533,#42534,#10428,.T.); #55032=EDGE_CURVE('',#42534,#42532,#35123,.T.); #55033=EDGE_CURVE('',#42535,#42533,#35124,.T.); #55034=EDGE_CURVE('',#42535,#42536,#10429,.T.); #55035=EDGE_CURVE('',#42536,#42534,#35125,.T.); #55036=EDGE_CURVE('',#42537,#42535,#35126,.T.); #55037=EDGE_CURVE('',#42537,#42538,#10430,.T.); #55038=EDGE_CURVE('',#42538,#42536,#35127,.T.); #55039=EDGE_CURVE('',#42539,#42537,#35128,.T.); #55040=EDGE_CURVE('',#42539,#42540,#10431,.T.); #55041=EDGE_CURVE('',#42540,#42538,#35129,.T.); #55042=EDGE_CURVE('',#42541,#42539,#10432,.T.); #55043=EDGE_CURVE('',#42541,#42542,#10433,.T.); #55044=EDGE_CURVE('',#42542,#42540,#10434,.T.); #55045=EDGE_CURVE('',#42543,#42541,#35130,.T.); #55046=EDGE_CURVE('',#42543,#42544,#10435,.T.); #55047=EDGE_CURVE('',#42544,#42542,#35131,.T.); #55048=EDGE_CURVE('',#42545,#42543,#35132,.T.); #55049=EDGE_CURVE('',#42545,#42546,#10436,.T.); #55050=EDGE_CURVE('',#42546,#42544,#35133,.T.); #55051=EDGE_CURVE('',#42547,#42545,#35134,.T.); #55052=EDGE_CURVE('',#42547,#42548,#10437,.T.); #55053=EDGE_CURVE('',#42548,#42546,#35135,.T.); #55054=EDGE_CURVE('',#42549,#42547,#35136,.T.); #55055=EDGE_CURVE('',#42549,#42550,#10438,.T.); #55056=EDGE_CURVE('',#42550,#42548,#35137,.T.); #55057=EDGE_CURVE('',#42551,#42549,#10439,.T.); #55058=EDGE_CURVE('',#42551,#42552,#10440,.T.); #55059=EDGE_CURVE('',#42552,#42550,#10441,.T.); #55060=EDGE_CURVE('',#42553,#42551,#10442,.T.); #55061=EDGE_CURVE('',#42553,#42554,#10443,.T.); #55062=EDGE_CURVE('',#42554,#42552,#10444,.T.); #55063=EDGE_CURVE('',#42526,#42553,#10445,.T.); #55064=EDGE_CURVE('',#42528,#42554,#10446,.T.); #55065=EDGE_CURVE('',#42555,#42556,#10447,.T.); #55066=EDGE_CURVE('',#42557,#42556,#10448,.T.); #55067=EDGE_CURVE('',#42557,#42558,#10449,.T.); #55068=EDGE_CURVE('',#42555,#42558,#10450,.T.); #55069=EDGE_CURVE('',#42559,#42560,#32060,.F.); #55070=EDGE_CURVE('',#42559,#42561,#10451,.T.); #55071=EDGE_CURVE('',#42562,#42561,#32061,.F.); #55072=EDGE_CURVE('',#42563,#42562,#35138,.F.); #55073=EDGE_CURVE('',#42563,#42564,#10452,.T.); #55074=EDGE_CURVE('',#42560,#42564,#35139,.F.); #55075=EDGE_CURVE('',#42565,#42563,#32062,.T.); #55076=EDGE_CURVE('',#42566,#42565,#10453,.T.); #55077=EDGE_CURVE('',#42564,#42566,#32063,.T.); #55078=EDGE_CURVE('',#42567,#42568,#32064,.T.); #55079=EDGE_CURVE('',#42568,#42569,#10454,.T.); #55080=EDGE_CURVE('',#42569,#42570,#32065,.T.); #55081=EDGE_CURVE('',#42570,#42571,#35140,.T.); #55082=EDGE_CURVE('',#42571,#42572,#10455,.T.); #55083=EDGE_CURVE('',#42572,#42567,#35141,.T.); #55084=EDGE_CURVE('',#42573,#42571,#32066,.T.); #55085=EDGE_CURVE('',#42574,#42573,#10456,.T.); #55086=EDGE_CURVE('',#42572,#42574,#32067,.T.); #55087=EDGE_CURVE('',#42575,#42576,#32068,.T.); #55088=EDGE_CURVE('',#42576,#42577,#10457,.T.); #55089=EDGE_CURVE('',#42577,#42578,#32069,.T.); #55090=EDGE_CURVE('',#42578,#42575,#10458,.T.); #55091=EDGE_CURVE('',#42575,#42559,#10459,.T.); #55092=EDGE_CURVE('',#42561,#42578,#10460,.T.); #55093=EDGE_CURVE('',#42579,#42577,#10461,.T.); #55094=EDGE_CURVE('',#42580,#42579,#32070,.T.); #55095=EDGE_CURVE('',#42580,#42581,#10462,.T.); #55096=EDGE_CURVE('',#42582,#42581,#32071,.T.); #55097=EDGE_CURVE('',#42582,#42583,#10463,.T.); #55098=EDGE_CURVE('',#42562,#42583,#10464,.T.); #55099=EDGE_CURVE('',#42584,#42585,#32072,.T.); #55100=EDGE_CURVE('',#42585,#42586,#10465,.T.); #55101=EDGE_CURVE('',#42586,#42587,#32073,.T.); #55102=EDGE_CURVE('',#42587,#42584,#10466,.T.); #55103=EDGE_CURVE('',#42588,#42585,#10467,.T.); #55104=EDGE_CURVE('',#42589,#42588,#10468,.T.); #55105=EDGE_CURVE('',#42589,#42586,#10469,.T.); #55106=EDGE_CURVE('',#42584,#42568,#10470,.T.); #55107=EDGE_CURVE('',#42567,#42590,#10471,.F.); #55108=EDGE_CURVE('',#42591,#42590,#10472,.T.); #55109=EDGE_CURVE('',#42592,#42591,#32074,.T.); #55110=EDGE_CURVE('',#42592,#42593,#10473,.T.); #55111=EDGE_CURVE('',#42588,#42593,#32075,.T.); #55112=EDGE_CURVE('',#42594,#42595,#32076,.T.); #55113=EDGE_CURVE('',#42595,#42592,#10474,.T.); #55114=EDGE_CURVE('',#42591,#42594,#10475,.T.); #55115=EDGE_CURVE('',#42590,#42596,#10476,.T.); #55116=EDGE_CURVE('',#42596,#42594,#10477,.T.); #55117=EDGE_CURVE('',#42597,#42598,#32077,.T.); #55118=EDGE_CURVE('',#42598,#42582,#10478,.T.); #55119=EDGE_CURVE('',#42581,#42597,#10479,.T.); #55120=EDGE_CURVE('',#42599,#42580,#10480,.T.); #55121=EDGE_CURVE('',#42599,#42597,#10481,.T.); #55122=EDGE_CURVE('',#42600,#42599,#32078,.T.); #55123=EDGE_CURVE('',#42579,#42600,#10482,.T.); #55124=EDGE_CURVE('',#42576,#42600,#10483,.T.); #55125=EDGE_CURVE('',#42601,#42589,#32079,.T.); #55126=EDGE_CURVE('',#42593,#42601,#10484,.T.); #55127=EDGE_CURVE('',#42595,#42601,#10485,.T.); #55128=EDGE_CURVE('',#42596,#42570,#10486,.T.); #55129=EDGE_CURVE('',#42569,#42587,#10487,.T.); #55130=EDGE_CURVE('',#42602,#42603,#10488,.T.); #55131=EDGE_CURVE('',#42604,#42602,#35142,.T.); #55132=EDGE_CURVE('',#42604,#42605,#10489,.T.); #55133=EDGE_CURVE('',#42605,#42606,#10490,.T.); #55134=EDGE_CURVE('',#42607,#42606,#35143,.T.); #55135=EDGE_CURVE('',#42603,#42607,#10491,.T.); #55136=EDGE_CURVE('',#42608,#42604,#32080,.T.); #55137=EDGE_CURVE('',#42608,#42609,#10492,.T.); #55138=EDGE_CURVE('',#42609,#42610,#10493,.T.); #55139=EDGE_CURVE('',#42606,#42610,#32081,.T.); #55140=EDGE_CURVE('',#42611,#42608,#35144,.T.); #55141=EDGE_CURVE('',#42612,#42611,#10494,.T.); #55142=EDGE_CURVE('',#42610,#42612,#35145,.T.); #55143=EDGE_CURVE('',#42613,#42555,#10495,.T.); #55144=EDGE_CURVE('',#42614,#42613,#10496,.T.); #55145=EDGE_CURVE('',#42614,#42556,#10497,.T.); #55146=EDGE_CURVE('',#42615,#42616,#10498,.T.); #55147=EDGE_CURVE('',#42617,#42615,#35146,.T.); #55148=EDGE_CURVE('',#42617,#42618,#10499,.T.); #55149=EDGE_CURVE('',#42618,#42619,#10500,.T.); #55150=EDGE_CURVE('',#42620,#42619,#35147,.T.); #55151=EDGE_CURVE('',#42616,#42620,#10501,.T.); #55152=EDGE_CURVE('',#42621,#42617,#32082,.T.); #55153=EDGE_CURVE('',#42622,#42621,#10502,.T.); #55154=EDGE_CURVE('',#42619,#42622,#32083,.T.); #55155=EDGE_CURVE('',#42623,#42614,#32084,.T.); #55156=EDGE_CURVE('',#42624,#42623,#10503,.T.); #55157=EDGE_CURVE('',#42556,#42624,#32085,.T.); #55158=EDGE_CURVE('',#42625,#42620,#32086,.T.); #55159=EDGE_CURVE('',#42626,#42625,#10504,.T.); #55160=EDGE_CURVE('',#42627,#42626,#10505,.T.); #55161=EDGE_CURVE('',#42615,#42627,#32087,.T.); #55162=EDGE_CURVE('',#42612,#42555,#32088,.T.); #55163=EDGE_CURVE('',#42613,#42611,#32089,.T.); #55164=EDGE_CURVE('',#42628,#42607,#32090,.T.); #55165=EDGE_CURVE('',#42628,#42629,#10506,.T.); #55166=EDGE_CURVE('',#42602,#42629,#32091,.T.); #55167=EDGE_CURVE('',#42629,#42630,#32092,.T.); #55168=EDGE_CURVE('',#42631,#42628,#32093,.T.); #55169=EDGE_CURVE('',#42630,#42631,#10507,.T.); #55170=EDGE_CURVE('',#42632,#42621,#32094,.T.); #55171=EDGE_CURVE('',#42632,#42633,#10508,.T.); #55172=EDGE_CURVE('',#42622,#42633,#32095,.T.); #55173=EDGE_CURVE('',#42624,#42625,#35148,.T.); #55174=EDGE_CURVE('',#42627,#42623,#35149,.T.); #55175=EDGE_CURVE('',#42634,#42627,#10509,.T.); #55176=EDGE_CURVE('',#42635,#42634,#10510,.T.); #55177=EDGE_CURVE('',#42635,#42615,#10511,.T.); #55178=EDGE_CURVE('',#42636,#42614,#10512,.T.); #55179=EDGE_CURVE('',#42634,#42636,#32096,.T.); #55180=EDGE_CURVE('',#42619,#42637,#10513,.T.); #55181=EDGE_CURVE('',#42637,#42638,#32097,.T.); #55182=EDGE_CURVE('',#42638,#42620,#10514,.T.); #55183=EDGE_CURVE('',#42633,#42573,#10515,.T.); #55184=EDGE_CURVE('',#42573,#42639,#10516,.T.); #55185=EDGE_CURVE('',#42637,#42639,#10517,.T.); #55186=EDGE_CURVE('',#42640,#42640,#32098,.T.); #55187=EDGE_CURVE('',#42641,#42613,#10518,.T.); #55188=EDGE_CURVE('',#42636,#42641,#10519,.T.); #55189=EDGE_CURVE('',#42642,#42608,#10520,.T.); #55190=EDGE_CURVE('',#42641,#42642,#32099,.T.); #55191=EDGE_CURVE('',#42643,#42604,#10521,.T.); #55192=EDGE_CURVE('',#42642,#42643,#10522,.T.); #55193=EDGE_CURVE('',#42602,#42644,#10523,.T.); #55194=EDGE_CURVE('',#42643,#42644,#32100,.T.); #55195=EDGE_CURVE('',#42630,#42565,#10524,.T.); #55196=EDGE_CURVE('',#42565,#42645,#10525,.T.); #55197=EDGE_CURVE('',#42645,#42644,#10526,.T.); #55198=EDGE_CURVE('',#42646,#42646,#32101,.T.); #55199=EDGE_CURVE('',#42566,#42631,#10527,.T.); #55200=EDGE_CURVE('',#42644,#42647,#10528,.T.); #55201=EDGE_CURVE('',#42645,#42648,#10529,.T.); #55202=EDGE_CURVE('',#42648,#42647,#10530,.T.); #55203=EDGE_CURVE('',#42643,#42649,#10531,.T.); #55204=EDGE_CURVE('',#42649,#42650,#10532,.T.); #55205=EDGE_CURVE('',#42642,#42650,#10533,.T.); #55206=EDGE_CURVE('',#42558,#42650,#32102,.T.); #55207=EDGE_CURVE('',#42641,#42558,#10534,.T.); #55208=EDGE_CURVE('',#42636,#42557,#10535,.T.); #55209=EDGE_CURVE('',#42651,#42557,#32103,.T.); #55210=EDGE_CURVE('',#42634,#42651,#10536,.T.); #55211=EDGE_CURVE('',#42638,#42651,#10537,.T.); #55212=EDGE_CURVE('',#42635,#42638,#10538,.T.); #55213=EDGE_CURVE('',#42652,#42637,#10539,.T.); #55214=EDGE_CURVE('',#42652,#42635,#32104,.T.); #55215=EDGE_CURVE('',#42649,#42647,#32105,.T.); #55216=EDGE_CURVE('',#42617,#42652,#10540,.T.); #55217=EDGE_CURVE('',#42652,#42653,#10541,.T.); #55218=EDGE_CURVE('',#42653,#42574,#10542,.T.); #55219=EDGE_CURVE('',#42574,#42632,#10543,.T.); #55220=EDGE_CURVE('',#42654,#42654,#32106,.T.); #55221=EDGE_CURVE('',#42625,#42651,#10544,.T.); #55222=EDGE_CURVE('',#42639,#42653,#10545,.T.); #55223=EDGE_CURVE('',#42650,#42610,#10546,.T.); #55224=EDGE_CURVE('',#42606,#42649,#10547,.T.); #55225=EDGE_CURVE('',#42647,#42607,#10548,.T.); #55226=EDGE_CURVE('',#42648,#42566,#10549,.T.); #55227=EDGE_CURVE('',#42655,#42655,#32107,.T.); #55228=EDGE_CURVE('',#42656,#42648,#32108,.T.); #55229=EDGE_CURVE('',#42560,#42656,#10550,.T.); #55230=EDGE_CURVE('',#42639,#42596,#32109,.T.); #55231=EDGE_CURVE('',#42640,#42654,#10551,.T.); #55232=EDGE_CURVE('',#42655,#42646,#10552,.T.); #55233=EDGE_CURVE('',#42598,#42656,#10553,.T.); #55234=EDGE_CURVE('',#42656,#42583,#10554,.T.); #55235=EDGE_CURVE('',#42583,#42645,#32110,.T.); #55236=EDGE_CURVE('',#42590,#42653,#32111,.T.); #55237=EDGE_CURVE('',#42657,#42658,#35150,.T.); #55238=EDGE_CURVE('',#42658,#42657,#10555,.T.); #55239=EDGE_CURVE('',#42657,#42658,#32112,.T.); #55240=EDGE_CURVE('',#42659,#42660,#35151,.T.); #55241=EDGE_CURVE('',#42661,#42659,#10556,.T.); #55242=EDGE_CURVE('',#42660,#42661,#35152,.T.); #55243=EDGE_CURVE('',#42661,#42659,#32113,.T.); #55244=EDGE_CURVE('',#42658,#42660,#35153,.T.); #55245=EDGE_CURVE('',#42662,#42663,#32114,.T.); #55246=EDGE_CURVE('',#42663,#42664,#35154,.T.); #55247=EDGE_CURVE('',#42664,#42665,#32115,.T.); #55248=EDGE_CURVE('',#42665,#42662,#35155,.T.); #55249=EDGE_CURVE('',#42666,#42667,#32116,.T.); #55250=EDGE_CURVE('',#42667,#42663,#32117,.T.); #55251=EDGE_CURVE('',#42662,#42666,#32118,.T.); #55252=EDGE_CURVE('',#42668,#42669,#32119,.T.); #55253=EDGE_CURVE('',#42669,#42667,#35156,.T.); #55254=EDGE_CURVE('',#42666,#42668,#35157,.T.); #55255=EDGE_CURVE('',#42670,#42671,#32120,.T.); #55256=EDGE_CURVE('',#42671,#42669,#10557,.T.); #55257=EDGE_CURVE('',#42668,#42670,#10558,.T.); #55258=EDGE_CURVE('',#42672,#42673,#32121,.T.); #55259=EDGE_CURVE('',#42673,#42671,#35158,.T.); #55260=EDGE_CURVE('',#42670,#42672,#35159,.T.); #55261=EDGE_CURVE('',#42674,#42675,#32122,.T.); #55262=EDGE_CURVE('',#42675,#42673,#32123,.T.); #55263=EDGE_CURVE('',#42672,#42674,#32124,.T.); #55264=EDGE_CURVE('',#42676,#42677,#32125,.T.); #55265=EDGE_CURVE('',#42677,#42675,#35160,.T.); #55266=EDGE_CURVE('',#42674,#42676,#35161,.T.); #55267=EDGE_CURVE('',#42676,#42665,#10559,.T.); #55268=EDGE_CURVE('',#42664,#42677,#10560,.T.); #55269=EDGE_CURVE('',#42678,#42675,#35162,.T.); #55270=EDGE_CURVE('',#42679,#42678,#32126,.T.); #55271=EDGE_CURVE('',#42673,#42679,#35163,.T.); #55272=EDGE_CURVE('',#42677,#42680,#35164,.T.); #55273=EDGE_CURVE('',#42678,#42680,#35165,.T.); #55274=EDGE_CURVE('',#42681,#42664,#35166,.T.); #55275=EDGE_CURVE('',#42680,#42681,#10561,.T.); #55276=EDGE_CURVE('',#42663,#42682,#35167,.T.); #55277=EDGE_CURVE('',#42681,#42682,#35168,.T.); #55278=EDGE_CURVE('',#42683,#42684,#32127,.T.); #55279=EDGE_CURVE('',#42685,#42683,#10562,.T.); #55280=EDGE_CURVE('',#42686,#42685,#32128,.T.); #55281=EDGE_CURVE('',#42684,#42686,#10563,.T.); #55282=EDGE_CURVE('',#42687,#42688,#32129,.T.); #55283=EDGE_CURVE('',#42688,#42689,#35169,.T.); #55284=EDGE_CURVE('',#42689,#42690,#32130,.T.); #55285=EDGE_CURVE('',#42690,#42687,#35170,.T.); #55286=EDGE_CURVE('',#42691,#42682,#32131,.T.); #55287=EDGE_CURVE('',#42682,#42688,#32132,.T.); #55288=EDGE_CURVE('',#42687,#42691,#32133,.T.); #55289=EDGE_CURVE('',#42692,#42681,#32134,.T.); #55290=EDGE_CURVE('',#42691,#42692,#35171,.T.); #55291=EDGE_CURVE('',#42693,#42680,#32135,.T.); #55292=EDGE_CURVE('',#42692,#42693,#10564,.T.); #55293=EDGE_CURVE('',#42694,#42678,#32136,.T.); #55294=EDGE_CURVE('',#42693,#42694,#35172,.T.); #55295=EDGE_CURVE('',#42695,#42679,#32137,.T.); #55296=EDGE_CURVE('',#42694,#42695,#32138,.T.); #55297=EDGE_CURVE('',#42696,#42697,#32139,.T.); #55298=EDGE_CURVE('',#42697,#42679,#35173,.T.); #55299=EDGE_CURVE('',#42695,#42696,#35174,.T.); #55300=EDGE_CURVE('',#42696,#42690,#10565,.T.); #55301=EDGE_CURVE('',#42689,#42697,#10566,.T.); #55302=EDGE_CURVE('',#42697,#42671,#35175,.T.); #55303=EDGE_CURVE('',#42669,#42689,#35176,.T.); #55304=EDGE_CURVE('',#42688,#42667,#35177,.T.); #55305=EDGE_CURVE('',#42698,#42699,#35178,.T.); #55306=EDGE_CURVE('',#42700,#42698,#35179,.T.); #55307=EDGE_CURVE('',#42701,#42700,#32140,.T.); #55308=EDGE_CURVE('',#42702,#42701,#35180,.T.); #55309=EDGE_CURVE('',#42703,#42702,#35181,.T.); #55310=EDGE_CURVE('',#42704,#42703,#32141,.T.); #55311=EDGE_CURVE('',#42705,#42704,#35182,.T.); #55312=EDGE_CURVE('',#42706,#42705,#35183,.T.); #55313=EDGE_CURVE('',#42707,#42706,#32142,.T.); #55314=EDGE_CURVE('',#42708,#42707,#35184,.T.); #55315=EDGE_CURVE('',#42709,#42708,#35185,.T.); #55316=EDGE_CURVE('',#42699,#42709,#32143,.T.); #55317=EDGE_CURVE('',#42710,#42711,#32144,.T.); #55318=EDGE_CURVE('',#42711,#42712,#35186,.T.); #55319=EDGE_CURVE('',#42712,#42710,#35187,.T.); #55320=EDGE_CURVE('',#42713,#42714,#32145,.T.); #55321=EDGE_CURVE('',#42714,#42711,#35188,.T.); #55322=EDGE_CURVE('',#42710,#42713,#35189,.T.); #55323=EDGE_CURVE('',#42715,#42716,#32146,.T.); #55324=EDGE_CURVE('',#42716,#42714,#35190,.T.); #55325=EDGE_CURVE('',#42713,#42715,#35191,.T.); #55326=EDGE_CURVE('',#42717,#42718,#32147,.T.); #55327=EDGE_CURVE('',#42718,#42716,#35192,.T.); #55328=EDGE_CURVE('',#42715,#42717,#35193,.T.); #55329=EDGE_CURVE('',#42719,#42720,#32148,.T.); #55330=EDGE_CURVE('',#42720,#42718,#32149,.T.); #55331=EDGE_CURVE('',#42717,#42719,#32150,.T.); #55332=EDGE_CURVE('',#42719,#42721,#35194,.T.); #55333=EDGE_CURVE('',#42721,#42722,#32151,.T.); #55334=EDGE_CURVE('',#42722,#42720,#35195,.T.); #55335=EDGE_CURVE('',#42721,#42723,#35196,.T.); #55336=EDGE_CURVE('',#42723,#42724,#32152,.T.); #55337=EDGE_CURVE('',#42724,#42722,#35197,.T.); #55338=EDGE_CURVE('',#42723,#42725,#35198,.T.); #55339=EDGE_CURVE('',#42725,#42726,#32153,.T.); #55340=EDGE_CURVE('',#42726,#42724,#35199,.T.); #55341=EDGE_CURVE('',#42725,#42727,#35200,.T.); #55342=EDGE_CURVE('',#42727,#42726,#35201,.T.); #55343=EDGE_CURVE('',#42728,#42725,#32154,.T.); #55344=EDGE_CURVE('',#42728,#42727,#10567,.T.); #55345=EDGE_CURVE('',#42729,#42723,#32155,.T.); #55346=EDGE_CURVE('',#42729,#42728,#35202,.T.); #55347=EDGE_CURVE('',#42721,#42730,#35203,.T.); #55348=EDGE_CURVE('',#42731,#42730,#32156,.T.); #55349=EDGE_CURVE('',#42731,#42729,#35204,.T.); #55350=EDGE_CURVE('',#42732,#42715,#35205,.T.); #55351=EDGE_CURVE('',#42730,#42732,#32157,.T.); #55352=EDGE_CURVE('',#42733,#42734,#35206,.T.); #55353=EDGE_CURVE('',#42735,#42733,#32158,.T.); #55354=EDGE_CURVE('',#42736,#42735,#35207,.T.); #55355=EDGE_CURVE('',#42737,#42736,#32159,.T.); #55356=EDGE_CURVE('',#42738,#42737,#35208,.T.); #55357=EDGE_CURVE('',#42739,#42738,#32160,.T.); #55358=EDGE_CURVE('',#42740,#42739,#35209,.T.); #55359=EDGE_CURVE('',#42734,#42740,#32161,.T.); #55360=EDGE_CURVE('',#42713,#42741,#32162,.T.); #55361=EDGE_CURVE('',#42741,#42742,#35210,.T.); #55362=EDGE_CURVE('',#42742,#42732,#32163,.T.); #55363=EDGE_CURVE('',#42710,#42743,#32164,.T.); #55364=EDGE_CURVE('',#42743,#42741,#35211,.T.); #55365=EDGE_CURVE('',#42712,#42743,#10568,.T.); #55366=EDGE_CURVE('',#42744,#42745,#35212,.T.); #55367=EDGE_CURVE('',#42745,#42746,#35213,.T.); #55368=EDGE_CURVE('',#42746,#42744,#32165,.T.); #55369=EDGE_CURVE('',#42747,#42748,#32166,.T.); #55370=EDGE_CURVE('',#42748,#42744,#35214,.T.); #55371=EDGE_CURVE('',#42746,#42747,#35215,.T.); #55372=EDGE_CURVE('',#42698,#42749,#32167,.T.); #55373=EDGE_CURVE('',#42749,#42748,#35216,.T.); #55374=EDGE_CURVE('',#42747,#42698,#35217,.T.); #55375=EDGE_CURVE('',#42709,#42750,#32168,.T.); #55376=EDGE_CURVE('',#42750,#42751,#32169,.T.); #55377=EDGE_CURVE('',#42751,#42699,#32170,.T.); #55378=EDGE_CURVE('',#42708,#42752,#32171,.T.); #55379=EDGE_CURVE('',#42752,#42750,#35218,.T.); #55380=EDGE_CURVE('',#42753,#42754,#32172,.T.); #55381=EDGE_CURVE('',#42754,#42752,#35219,.T.); #55382=EDGE_CURVE('',#42708,#42753,#35220,.T.); #55383=EDGE_CURVE('',#42755,#42756,#32173,.T.); #55384=EDGE_CURVE('',#42756,#42757,#35221,.T.); #55385=EDGE_CURVE('',#42757,#42755,#35222,.T.); #55386=EDGE_CURVE('',#42755,#42754,#35223,.T.); #55387=EDGE_CURVE('',#42753,#42756,#35224,.T.); #55388=EDGE_CURVE('',#42751,#42749,#35225,.T.); #55389=EDGE_CURVE('',#42758,#42748,#32174,.T.); #55390=EDGE_CURVE('',#42758,#42759,#35226,.T.); #55391=EDGE_CURVE('',#42745,#42759,#35227,.T.); #55392=EDGE_CURVE('',#42751,#42760,#35228,.T.); #55393=EDGE_CURVE('',#42761,#42760,#32175,.T.); #55394=EDGE_CURVE('',#42761,#42758,#35229,.T.); #55395=EDGE_CURVE('',#42762,#42750,#35230,.T.); #55396=EDGE_CURVE('',#42760,#42762,#32176,.T.); #55397=EDGE_CURVE('',#42763,#42754,#32177,.T.); #55398=EDGE_CURVE('',#42763,#42764,#35231,.T.); #55399=EDGE_CURVE('',#42764,#42762,#32178,.T.); #55400=EDGE_CURVE('',#42757,#42765,#35232,.T.); #55401=EDGE_CURVE('',#42765,#42763,#35233,.T.); #55402=EDGE_CURVE('',#42766,#42756,#32179,.T.); #55403=EDGE_CURVE('',#42766,#42757,#10569,.T.); #55404=EDGE_CURVE('',#42767,#42768,#35234,.T.); #55405=EDGE_CURVE('',#42768,#42769,#35235,.T.); #55406=EDGE_CURVE('',#42769,#42767,#32180,.T.); #55407=EDGE_CURVE('',#42770,#42771,#32181,.T.); #55408=EDGE_CURVE('',#42771,#42767,#35236,.T.); #55409=EDGE_CURVE('',#42769,#42770,#35237,.T.); #55410=EDGE_CURVE('',#42705,#42772,#32182,.T.); #55411=EDGE_CURVE('',#42772,#42771,#35238,.T.); #55412=EDGE_CURVE('',#42770,#42705,#35239,.T.); #55413=EDGE_CURVE('',#42703,#42773,#32183,.T.); #55414=EDGE_CURVE('',#42773,#42774,#32184,.T.); #55415=EDGE_CURVE('',#42774,#42704,#32185,.T.); #55416=EDGE_CURVE('',#42702,#42775,#32186,.T.); #55417=EDGE_CURVE('',#42775,#42773,#35240,.T.); #55418=EDGE_CURVE('',#42776,#42777,#32187,.T.); #55419=EDGE_CURVE('',#42777,#42775,#35241,.T.); #55420=EDGE_CURVE('',#42702,#42776,#35242,.T.); #55421=EDGE_CURVE('',#42778,#42779,#32188,.T.); #55422=EDGE_CURVE('',#42779,#42780,#35243,.T.); #55423=EDGE_CURVE('',#42780,#42778,#35244,.T.); #55424=EDGE_CURVE('',#42778,#42777,#35245,.T.); #55425=EDGE_CURVE('',#42776,#42779,#35246,.T.); #55426=EDGE_CURVE('',#42774,#42772,#35247,.T.); #55427=EDGE_CURVE('',#42771,#42781,#32189,.T.); #55428=EDGE_CURVE('',#42781,#42782,#35248,.T.); #55429=EDGE_CURVE('',#42768,#42782,#35249,.T.); #55430=EDGE_CURVE('',#42774,#42783,#35250,.T.); #55431=EDGE_CURVE('',#42783,#42784,#32190,.T.); #55432=EDGE_CURVE('',#42784,#42781,#35251,.T.); #55433=EDGE_CURVE('',#42785,#42773,#35252,.T.); #55434=EDGE_CURVE('',#42783,#42785,#32191,.T.); #55435=EDGE_CURVE('',#42777,#42786,#32192,.T.); #55436=EDGE_CURVE('',#42786,#42787,#35253,.T.); #55437=EDGE_CURVE('',#42785,#42787,#32193,.T.); #55438=EDGE_CURVE('',#42780,#42788,#35254,.T.); #55439=EDGE_CURVE('',#42788,#42786,#35255,.T.); #55440=EDGE_CURVE('',#42789,#42779,#32194,.T.); #55441=EDGE_CURVE('',#42789,#42780,#10570,.T.); #55442=EDGE_CURVE('',#42790,#42791,#32195,.T.); #55443=EDGE_CURVE('',#42791,#42792,#32196,.T.); #55444=EDGE_CURVE('',#42792,#42793,#32197,.T.); #55445=EDGE_CURVE('',#42793,#42790,#32198,.T.); #55446=EDGE_CURVE('',#42794,#42792,#32199,.T.); #55447=EDGE_CURVE('',#42792,#42795,#10571,.T.); #55448=EDGE_CURVE('',#42795,#42796,#32200,.T.); #55449=EDGE_CURVE('',#42796,#42794,#10572,.T.); #55450=EDGE_CURVE('',#42794,#42793,#32201,.T.); #55451=EDGE_CURVE('',#42797,#42795,#32202,.T.); #55452=EDGE_CURVE('',#42795,#42798,#32203,.T.); #55453=EDGE_CURVE('',#42798,#42799,#32204,.T.); #55454=EDGE_CURVE('',#42799,#42797,#32205,.T.); #55455=EDGE_CURVE('',#42797,#42796,#32206,.T.); #55456=EDGE_CURVE('',#42800,#42798,#32207,.T.); #55457=EDGE_CURVE('',#42798,#42791,#10573,.T.); #55458=EDGE_CURVE('',#42791,#42801,#32208,.T.); #55459=EDGE_CURVE('',#42801,#42800,#10574,.T.); #55460=EDGE_CURVE('',#42800,#42799,#32209,.T.); #55461=EDGE_CURVE('',#42790,#42801,#32210,.T.); #55462=EDGE_CURVE('',#42797,#42802,#35256,.T.); #55463=EDGE_CURVE('',#42803,#42802,#32211,.T.); #55464=EDGE_CURVE('',#42803,#42796,#35257,.T.); #55465=EDGE_CURVE('',#42804,#42799,#35258,.T.); #55466=EDGE_CURVE('',#42802,#42804,#32212,.T.); #55467=EDGE_CURVE('',#42800,#42805,#35259,.T.); #55468=EDGE_CURVE('',#42804,#42805,#32213,.T.); #55469=EDGE_CURVE('',#42806,#42801,#35260,.T.); #55470=EDGE_CURVE('',#42727,#42806,#35261,.T.); #55471=EDGE_CURVE('',#42807,#42728,#35262,.T.); #55472=EDGE_CURVE('',#42805,#42807,#10575,.T.); #55473=EDGE_CURVE('',#42808,#42809,#32214,.T.); #55474=EDGE_CURVE('',#42808,#42810,#35263,.T.); #55475=EDGE_CURVE('',#42810,#42811,#32215,.T.); #55476=EDGE_CURVE('',#42811,#42809,#35264,.T.); #55477=EDGE_CURVE('',#42809,#42812,#35265,.T.); #55478=EDGE_CURVE('',#42812,#42808,#35266,.T.); #55479=EDGE_CURVE('',#42813,#42814,#32216,.T.); #55480=EDGE_CURVE('',#42813,#42815,#35267,.T.); #55481=EDGE_CURVE('',#42815,#42816,#32217,.T.); #55482=EDGE_CURVE('',#42816,#42814,#35268,.T.); #55483=EDGE_CURVE('',#42814,#42817,#35269,.T.); #55484=EDGE_CURVE('',#42817,#42813,#35270,.T.); #55485=EDGE_CURVE('',#42818,#42819,#32218,.T.); #55486=EDGE_CURVE('',#42818,#42820,#35271,.T.); #55487=EDGE_CURVE('',#42820,#42821,#32219,.T.); #55488=EDGE_CURVE('',#42821,#42819,#35272,.T.); #55489=EDGE_CURVE('',#42819,#42822,#35273,.T.); #55490=EDGE_CURVE('',#42822,#42818,#35274,.T.); #55491=EDGE_CURVE('',#42823,#42824,#32220,.T.); #55492=EDGE_CURVE('',#42823,#42825,#35275,.T.); #55493=EDGE_CURVE('',#42825,#42826,#32221,.T.); #55494=EDGE_CURVE('',#42826,#42824,#35276,.T.); #55495=EDGE_CURVE('',#42824,#42827,#35277,.T.); #55496=EDGE_CURVE('',#42827,#42823,#35278,.T.); #55497=EDGE_CURVE('',#42811,#42825,#10576,.T.); #55498=EDGE_CURVE('',#42827,#42812,#10577,.T.); #55499=EDGE_CURVE('',#42822,#42827,#32222,.T.); #55500=EDGE_CURVE('',#42824,#42818,#32223,.T.); #55501=EDGE_CURVE('',#42817,#42822,#10578,.T.); #55502=EDGE_CURVE('',#42821,#42815,#10579,.T.); #55503=EDGE_CURVE('',#42812,#42817,#32224,.T.); #55504=EDGE_CURVE('',#42814,#42808,#32225,.T.); #55505=EDGE_CURVE('',#42815,#42789,#35279,.T.); #55506=EDGE_CURVE('',#42828,#42789,#35280,.T.); #55507=EDGE_CURVE('',#42828,#42816,#35281,.T.); #55508=EDGE_CURVE('',#42829,#42821,#35282,.T.); #55509=EDGE_CURVE('',#42786,#42829,#10580,.T.); #55510=EDGE_CURVE('',#42820,#42830,#35283,.T.); #55511=EDGE_CURVE('',#42830,#42829,#32226,.T.); #55512=EDGE_CURVE('',#42831,#42826,#35284,.T.); #55513=EDGE_CURVE('',#42832,#42831,#35285,.T.); #55514=EDGE_CURVE('',#42833,#42832,#32227,.T.); #55515=EDGE_CURVE('',#42830,#42833,#35286,.T.); #55516=EDGE_CURVE('',#42834,#42835,#32228,.T.); #55517=EDGE_CURVE('',#42834,#42836,#35287,.T.); #55518=EDGE_CURVE('',#42836,#42837,#32229,.T.); #55519=EDGE_CURVE('',#42837,#42835,#35288,.T.); #55520=EDGE_CURVE('',#42835,#42838,#35289,.T.); #55521=EDGE_CURVE('',#42838,#42834,#35290,.T.); #55522=EDGE_CURVE('',#42839,#42840,#32230,.T.); #55523=EDGE_CURVE('',#42839,#42841,#35291,.T.); #55524=EDGE_CURVE('',#42841,#42842,#32231,.T.); #55525=EDGE_CURVE('',#42842,#42840,#35292,.T.); #55526=EDGE_CURVE('',#42840,#42843,#35293,.T.); #55527=EDGE_CURVE('',#42843,#42839,#35294,.T.); #55528=EDGE_CURVE('',#42844,#42845,#32232,.T.); #55529=EDGE_CURVE('',#42844,#42846,#35295,.T.); #55530=EDGE_CURVE('',#42846,#42847,#32233,.T.); #55531=EDGE_CURVE('',#42847,#42845,#35296,.T.); #55532=EDGE_CURVE('',#42845,#42848,#35297,.T.); #55533=EDGE_CURVE('',#42848,#42844,#35298,.T.); #55534=EDGE_CURVE('',#42849,#42850,#32234,.T.); #55535=EDGE_CURVE('',#42849,#42851,#35299,.T.); #55536=EDGE_CURVE('',#42851,#42852,#32235,.T.); #55537=EDGE_CURVE('',#42852,#42850,#35300,.T.); #55538=EDGE_CURVE('',#42850,#42853,#35301,.T.); #55539=EDGE_CURVE('',#42853,#42849,#35302,.T.); #55540=EDGE_CURVE('',#42837,#42851,#10581,.T.); #55541=EDGE_CURVE('',#42853,#42838,#10582,.T.); #55542=EDGE_CURVE('',#42848,#42853,#32236,.T.); #55543=EDGE_CURVE('',#42850,#42844,#32237,.T.); #55544=EDGE_CURVE('',#42843,#42848,#10583,.T.); #55545=EDGE_CURVE('',#42847,#42841,#10584,.T.); #55546=EDGE_CURVE('',#42838,#42843,#32238,.T.); #55547=EDGE_CURVE('',#42840,#42834,#32239,.T.); #55548=EDGE_CURVE('',#42841,#42766,#35303,.T.); #55549=EDGE_CURVE('',#42854,#42766,#35304,.T.); #55550=EDGE_CURVE('',#42854,#42842,#35305,.T.); #55551=EDGE_CURVE('',#42855,#42847,#35306,.T.); #55552=EDGE_CURVE('',#42763,#42855,#10585,.T.); #55553=EDGE_CURVE('',#42846,#42856,#35307,.T.); #55554=EDGE_CURVE('',#42856,#42855,#32240,.T.); #55555=EDGE_CURVE('',#42857,#42852,#35308,.T.); #55556=EDGE_CURVE('',#42858,#42857,#35309,.T.); #55557=EDGE_CURVE('',#42859,#42858,#32241,.T.); #55558=EDGE_CURVE('',#42856,#42859,#35310,.T.); #55559=EDGE_CURVE('',#42742,#42731,#32242,.T.); #55560=EDGE_CURVE('',#42731,#42860,#35311,.T.); #55561=EDGE_CURVE('',#42807,#42860,#35312,.T.); #55562=EDGE_CURVE('',#42861,#42742,#35313,.T.); #55563=EDGE_CURVE('',#42860,#42861,#32243,.T.); #55564=EDGE_CURVE('',#42745,#42862,#10586,.T.); #55565=EDGE_CURVE('',#42862,#42746,#32244,.T.); #55566=EDGE_CURVE('',#42862,#42863,#35314,.T.); #55567=EDGE_CURVE('',#42863,#42700,#32245,.T.); #55568=EDGE_CURVE('',#42828,#42701,#32246,.T.); #55569=EDGE_CURVE('',#42863,#42828,#32247,.T.); #55570=EDGE_CURVE('',#42810,#42863,#35315,.T.); #55571=EDGE_CURVE('',#42862,#42811,#35316,.T.); #55572=EDGE_CURVE('',#42864,#42758,#10587,.T.); #55573=EDGE_CURVE('',#42825,#42864,#35317,.T.); #55574=EDGE_CURVE('',#42768,#42865,#10588,.T.); #55575=EDGE_CURVE('',#42865,#42769,#32248,.T.); #55576=EDGE_CURVE('',#42865,#42866,#35318,.T.); #55577=EDGE_CURVE('',#42866,#42706,#32249,.T.); #55578=EDGE_CURVE('',#42854,#42707,#32250,.T.); #55579=EDGE_CURVE('',#42866,#42854,#32251,.T.); #55580=EDGE_CURVE('',#42836,#42866,#35319,.T.); #55581=EDGE_CURVE('',#42865,#42837,#35320,.T.); #55582=EDGE_CURVE('',#42867,#42781,#10589,.T.); #55583=EDGE_CURVE('',#42851,#42867,#35321,.T.); #55584=EDGE_CURVE('',#42868,#42869,#32252,.T.); #55585=EDGE_CURVE('',#42869,#42870,#35322,.T.); #55586=EDGE_CURVE('',#42870,#42871,#32253,.T.); #55587=EDGE_CURVE('',#42871,#42868,#35323,.T.); #55588=EDGE_CURVE('',#42868,#42872,#35324,.T.); #55589=EDGE_CURVE('',#42872,#42873,#32254,.T.); #55590=EDGE_CURVE('',#42873,#42869,#35325,.T.); #55591=EDGE_CURVE('',#42872,#42738,#35326,.T.); #55592=EDGE_CURVE('',#42738,#42874,#32255,.T.); #55593=EDGE_CURVE('',#42874,#42873,#35327,.T.); #55594=EDGE_CURVE('',#42737,#42832,#32256,.T.); #55595=EDGE_CURVE('',#42832,#42874,#35328,.T.); #55596=EDGE_CURVE('',#42736,#42833,#32257,.T.); #55597=EDGE_CURVE('',#42735,#42875,#32258,.T.); #55598=EDGE_CURVE('',#42875,#42833,#35329,.T.); #55599=EDGE_CURVE('',#42876,#42877,#32259,.T.); #55600=EDGE_CURVE('',#42877,#42875,#35330,.T.); #55601=EDGE_CURVE('',#42735,#42876,#35331,.T.); #55602=EDGE_CURVE('',#42878,#42879,#32260,.T.); #55603=EDGE_CURVE('',#42879,#42877,#35332,.T.); #55604=EDGE_CURVE('',#42876,#42878,#35333,.T.); #55605=EDGE_CURVE('',#42880,#42881,#32261,.T.); #55606=EDGE_CURVE('',#42881,#42879,#35334,.T.); #55607=EDGE_CURVE('',#42878,#42880,#35335,.T.); #55608=EDGE_CURVE('',#42882,#42883,#32262,.T.); #55609=EDGE_CURVE('',#42883,#42881,#32263,.T.); #55610=EDGE_CURVE('',#42880,#42882,#32264,.T.); #55611=EDGE_CURVE('',#42884,#42885,#32265,.T.); #55612=EDGE_CURVE('',#42885,#42883,#35336,.T.); #55613=EDGE_CURVE('',#42882,#42884,#35337,.T.); #55614=EDGE_CURVE('',#42884,#42886,#35338,.T.); #55615=EDGE_CURVE('',#42886,#42887,#32266,.T.); #55616=EDGE_CURVE('',#42887,#42885,#35339,.T.); #55617=EDGE_CURVE('',#42886,#42733,#35340,.T.); #55618=EDGE_CURVE('',#42733,#42888,#32267,.T.); #55619=EDGE_CURVE('',#42888,#42887,#35341,.T.); #55620=EDGE_CURVE('',#42734,#42858,#32268,.T.); #55621=EDGE_CURVE('',#42858,#42888,#35342,.T.); #55622=EDGE_CURVE('',#42740,#42859,#32269,.T.); #55623=EDGE_CURVE('',#42739,#42889,#32270,.T.); #55624=EDGE_CURVE('',#42889,#42859,#35343,.T.); #55625=EDGE_CURVE('',#42890,#42891,#32271,.T.); #55626=EDGE_CURVE('',#42891,#42889,#35344,.T.); #55627=EDGE_CURVE('',#42739,#42890,#35345,.T.); #55628=EDGE_CURVE('',#42892,#42893,#32272,.T.); #55629=EDGE_CURVE('',#42893,#42891,#35346,.T.); #55630=EDGE_CURVE('',#42890,#42892,#35347,.T.); #55631=EDGE_CURVE('',#42894,#42895,#32273,.T.); #55632=EDGE_CURVE('',#42895,#42893,#35348,.T.); #55633=EDGE_CURVE('',#42892,#42894,#35349,.T.); #55634=EDGE_CURVE('',#42870,#42895,#32274,.T.); #55635=EDGE_CURVE('',#42894,#42871,#32275,.T.); #55636=EDGE_CURVE('',#42867,#42887,#35350,.T.); #55637=EDGE_CURVE('',#42784,#42883,#10590,.T.); #55638=EDGE_CURVE('',#42867,#42857,#32276,.T.); #55639=EDGE_CURVE('',#42891,#42855,#35351,.T.); #55640=EDGE_CURVE('',#42895,#42764,#10591,.T.); #55641=EDGE_CURVE('',#42761,#42870,#10592,.T.); #55642=EDGE_CURVE('',#42764,#42761,#32277,.T.); #55643=EDGE_CURVE('',#42884,#42878,#32278,.T.); #55644=EDGE_CURVE('',#42864,#42873,#35352,.T.); #55645=EDGE_CURVE('',#42787,#42784,#32279,.T.); #55646=EDGE_CURVE('',#42868,#42892,#32280,.T.); #55647=EDGE_CURVE('',#42877,#42829,#35353,.T.); #55648=EDGE_CURVE('',#42864,#42831,#32281,.T.); #55649=EDGE_CURVE('',#42896,#42896,#32282,.T.); #55650=EDGE_CURVE('',#42896,#42897,#32283,.T.); #55651=EDGE_CURVE('',#42897,#42898,#32284,.T.); #55652=EDGE_CURVE('',#42898,#42897,#32285,.T.); #55653=EDGE_CURVE('',#42899,#42900,#35354,.T.); #55654=EDGE_CURVE('',#42901,#42899,#10593,.T.); #55655=EDGE_CURVE('',#42902,#42901,#35355,.T.); #55656=EDGE_CURVE('',#42903,#42902,#32286,.T.); #55657=EDGE_CURVE('',#42904,#42903,#35356,.T.); #55658=EDGE_CURVE('',#42905,#42904,#10594,.T.); #55659=EDGE_CURVE('',#42906,#42905,#35357,.T.); #55660=EDGE_CURVE('',#42900,#42906,#32287,.T.); #55661=EDGE_CURVE('',#42907,#42908,#32288,.T.); #55662=EDGE_CURVE('',#42908,#42907,#32289,.T.); #55663=EDGE_CURVE('',#42908,#42898,#10595,.T.); #55664=EDGE_CURVE('',#42900,#42909,#32290,.T.); #55665=EDGE_CURVE('',#42909,#42910,#35358,.T.); #55666=EDGE_CURVE('',#42910,#42899,#32291,.T.); #55667=EDGE_CURVE('',#42906,#42911,#32292,.T.); #55668=EDGE_CURVE('',#42911,#42909,#32293,.T.); #55669=EDGE_CURVE('',#42905,#42912,#32294,.T.); #55670=EDGE_CURVE('',#42912,#42911,#35359,.T.); #55671=EDGE_CURVE('',#42904,#42913,#32295,.T.); #55672=EDGE_CURVE('',#42913,#42912,#10596,.T.); #55673=EDGE_CURVE('',#42903,#42914,#32296,.T.); #55674=EDGE_CURVE('',#42914,#42913,#35360,.T.); #55675=EDGE_CURVE('',#42902,#42915,#32297,.T.); #55676=EDGE_CURVE('',#42915,#42914,#32298,.T.); #55677=EDGE_CURVE('',#42901,#42916,#32299,.T.); #55678=EDGE_CURVE('',#42916,#42915,#35361,.T.); #55679=EDGE_CURVE('',#42910,#42916,#10597,.T.); #55680=EDGE_CURVE('',#42917,#42915,#35362,.T.); #55681=EDGE_CURVE('',#42918,#42917,#32300,.T.); #55682=EDGE_CURVE('',#42914,#42918,#35363,.T.); #55683=EDGE_CURVE('',#42916,#42919,#35364,.T.); #55684=EDGE_CURVE('',#42917,#42919,#32301,.T.); #55685=EDGE_CURVE('',#42920,#42910,#35365,.T.); #55686=EDGE_CURVE('',#42919,#42920,#10598,.T.); #55687=EDGE_CURVE('',#42909,#42921,#35366,.T.); #55688=EDGE_CURVE('',#42920,#42921,#32302,.T.); #55689=EDGE_CURVE('',#42922,#42802,#32303,.T.); #55690=EDGE_CURVE('',#42804,#42923,#32304,.T.); #55691=EDGE_CURVE('',#42923,#42922,#32305,.T.); #55692=EDGE_CURVE('',#42924,#42860,#32306,.T.); #55693=EDGE_CURVE('',#42861,#42925,#32307,.T.); #55694=EDGE_CURVE('',#42925,#42924,#32308,.T.); #55695=EDGE_CURVE('',#42861,#42926,#35367,.T.); #55696=EDGE_CURVE('',#42926,#42927,#32309,.T.); #55697=EDGE_CURVE('',#42927,#42925,#35368,.T.); #55698=EDGE_CURVE('',#42803,#42922,#32310,.T.); #55699=EDGE_CURVE('',#42922,#42927,#10599,.T.); #55700=EDGE_CURVE('',#42926,#42803,#10600,.T.); #55701=EDGE_CURVE('',#42923,#42805,#32311,.T.); #55702=EDGE_CURVE('',#42807,#42928,#32312,.T.); #55703=EDGE_CURVE('',#42928,#42923,#10601,.T.); #55704=EDGE_CURVE('',#42924,#42928,#35369,.T.); #55705=EDGE_CURVE('',#42743,#42926,#35370,.T.); #55706=EDGE_CURVE('',#42929,#42929,#32313,.T.); #55707=EDGE_CURVE('',#42929,#42907,#32314,.T.); #55708=EDGE_CURVE('',#42684,#42918,#32315,.T.); #55709=EDGE_CURVE('',#42917,#42683,#32316,.T.); #55710=EDGE_CURVE('',#42686,#42930,#32317,.T.); #55711=EDGE_CURVE('',#42930,#42931,#10602,.T.); #55712=EDGE_CURVE('',#42931,#42684,#32318,.T.); #55713=EDGE_CURVE('',#42685,#42921,#32319,.T.); #55714=EDGE_CURVE('',#42921,#42932,#32320,.T.); #55715=EDGE_CURVE('',#42932,#42686,#32321,.T.); #55716=EDGE_CURVE('',#42683,#42919,#32322,.T.); #55717=EDGE_CURVE('',#42920,#42685,#32323,.T.); #55718=EDGE_CURVE('',#42932,#42930,#32324,.T.); #55719=EDGE_CURVE('',#42931,#42918,#32325,.T.); #55720=EDGE_CURVE('',#42912,#42930,#35371,.T.); #55721=EDGE_CURVE('',#42932,#42911,#35372,.T.); #55722=EDGE_CURVE('',#42712,#42933,#35373,.T.); #55723=EDGE_CURVE('',#42794,#42933,#35374,.T.); #55724=EDGE_CURVE('',#42931,#42913,#35375,.T.); #55725=EDGE_CURVE('',#42790,#42720,#35376,.T.); #55726=EDGE_CURVE('',#42718,#42793,#35377,.T.); #55727=EDGE_CURVE('',#42881,#42787,#10603,.T.); #55728=EDGE_CURVE('',#42934,#42935,#1246,.T.); #55729=EDGE_CURVE('',#42935,#42936,#1247,.T.); #55730=EDGE_CURVE('',#42936,#42937,#10604,.T.); #55731=EDGE_CURVE('',#42938,#42937,#32326,.T.); #55732=EDGE_CURVE('',#42939,#42938,#10605,.T.); #55733=EDGE_CURVE('',#42940,#42939,#1248,.T.); #55734=EDGE_CURVE('',#42941,#42940,#1249,.T.); #55735=EDGE_CURVE('',#42934,#42941,#10606,.T.); #55736=EDGE_CURVE('',#42936,#42942,#10607,.T.); #55737=EDGE_CURVE('',#42942,#42943,#10608,.T.); #55738=EDGE_CURVE('',#42944,#42943,#10609,.T.); #55739=EDGE_CURVE('',#42937,#42944,#10610,.T.); #55740=EDGE_CURVE('',#42942,#42945,#1250,.T.); #55741=EDGE_CURVE('',#42945,#42946,#10611,.T.); #55742=EDGE_CURVE('',#42947,#42946,#32327,.T.); #55743=EDGE_CURVE('',#42948,#42947,#10612,.T.); #55744=EDGE_CURVE('',#42943,#42948,#1251,.T.); #55745=EDGE_CURVE('',#42945,#42949,#10613,.T.); #55746=EDGE_CURVE('',#42949,#42950,#10614,.T.); #55747=EDGE_CURVE('',#42951,#42950,#10615,.T.); #55748=EDGE_CURVE('',#42946,#42951,#10616,.T.); #55749=EDGE_CURVE('',#42949,#42952,#1252,.T.); #55750=EDGE_CURVE('',#42952,#42953,#10617,.T.); #55751=EDGE_CURVE('',#42954,#42953,#32328,.T.); #55752=EDGE_CURVE('',#42955,#42954,#10618,.T.); #55753=EDGE_CURVE('',#42950,#42955,#1253,.T.); #55754=EDGE_CURVE('',#42952,#42956,#10619,.T.); #55755=EDGE_CURVE('',#42956,#42957,#10620,.T.); #55756=EDGE_CURVE('',#42958,#42957,#10621,.T.); #55757=EDGE_CURVE('',#42953,#42958,#10622,.T.); #55758=EDGE_CURVE('',#42956,#42959,#1254,.T.); #55759=EDGE_CURVE('',#42959,#42960,#10623,.T.); #55760=EDGE_CURVE('',#42961,#42960,#32329,.T.); #55761=EDGE_CURVE('',#42962,#42961,#10624,.T.); #55762=EDGE_CURVE('',#42957,#42962,#1255,.T.); #55763=EDGE_CURVE('',#42959,#42963,#10625,.T.); #55764=EDGE_CURVE('',#42963,#42964,#10626,.T.); #55765=EDGE_CURVE('',#42965,#42964,#10627,.T.); #55766=EDGE_CURVE('',#42960,#42965,#10628,.T.); #55767=EDGE_CURVE('',#42963,#42966,#1256,.T.); #55768=EDGE_CURVE('',#42966,#42967,#10629,.T.); #55769=EDGE_CURVE('',#42968,#42967,#32330,.T.); #55770=EDGE_CURVE('',#42969,#42968,#10630,.T.); #55771=EDGE_CURVE('',#42964,#42969,#1257,.T.); #55772=EDGE_CURVE('',#42966,#42970,#10631,.T.); #55773=EDGE_CURVE('',#42970,#42971,#10632,.T.); #55774=EDGE_CURVE('',#42972,#42971,#10633,.T.); #55775=EDGE_CURVE('',#42967,#42972,#10634,.T.); #55776=EDGE_CURVE('',#42970,#42973,#1258,.T.); #55777=EDGE_CURVE('',#42973,#42974,#10635,.T.); #55778=EDGE_CURVE('',#42975,#42974,#32331,.T.); #55779=EDGE_CURVE('',#42976,#42975,#10636,.T.); #55780=EDGE_CURVE('',#42971,#42976,#1259,.T.); #55781=EDGE_CURVE('',#42973,#42977,#10637,.T.); #55782=EDGE_CURVE('',#42977,#42978,#10638,.T.); #55783=EDGE_CURVE('',#42979,#42978,#10639,.T.); #55784=EDGE_CURVE('',#42974,#42979,#10640,.T.); #55785=EDGE_CURVE('',#42977,#42980,#1260,.T.); #55786=EDGE_CURVE('',#42980,#42981,#10641,.T.); #55787=EDGE_CURVE('',#42982,#42981,#32332,.T.); #55788=EDGE_CURVE('',#42983,#42982,#10642,.T.); #55789=EDGE_CURVE('',#42978,#42983,#1261,.T.); #55790=EDGE_CURVE('',#42980,#42984,#10643,.T.); #55791=EDGE_CURVE('',#42984,#42985,#10644,.T.); #55792=EDGE_CURVE('',#42986,#42985,#10645,.T.); #55793=EDGE_CURVE('',#42981,#42986,#10646,.T.); #55794=EDGE_CURVE('',#42984,#42987,#1262,.T.); #55795=EDGE_CURVE('',#42987,#42988,#10647,.T.); #55796=EDGE_CURVE('',#42989,#42988,#32333,.T.); #55797=EDGE_CURVE('',#42990,#42989,#10648,.T.); #55798=EDGE_CURVE('',#42985,#42990,#1263,.T.); #55799=EDGE_CURVE('',#42987,#42991,#10649,.T.); #55800=EDGE_CURVE('',#42991,#42992,#10650,.T.); #55801=EDGE_CURVE('',#42993,#42992,#10651,.T.); #55802=EDGE_CURVE('',#42988,#42993,#10652,.T.); #55803=EDGE_CURVE('',#42991,#42994,#1264,.T.); #55804=EDGE_CURVE('',#42995,#42994,#10653,.T.); #55805=EDGE_CURVE('',#42996,#42995,#32334,.T.); #55806=EDGE_CURVE('',#42997,#42996,#10654,.T.); #55807=EDGE_CURVE('',#42992,#42997,#1265,.T.); #55808=EDGE_CURVE('',#42994,#42998,#10655,.T.); #55809=EDGE_CURVE('',#42998,#42999,#10656,.T.); #55810=EDGE_CURVE('',#43000,#42999,#10657,.T.); #55811=EDGE_CURVE('',#42995,#43000,#10658,.T.); #55812=EDGE_CURVE('',#43001,#43002,#1266,.T.); #55813=EDGE_CURVE('',#43002,#43003,#10659,.T.); #55814=EDGE_CURVE('',#43004,#43003,#32335,.T.); #55815=EDGE_CURVE('',#43005,#43004,#10660,.T.); #55816=EDGE_CURVE('',#43006,#43005,#1267,.T.); #55817=EDGE_CURVE('',#43001,#43006,#10661,.T.); #55818=EDGE_CURVE('',#43007,#43008,#1268,.T.); #55819=EDGE_CURVE('',#43008,#43009,#10662,.T.); #55820=EDGE_CURVE('',#43010,#43009,#32336,.T.); #55821=EDGE_CURVE('',#43011,#43010,#10663,.T.); #55822=EDGE_CURVE('',#43012,#43011,#1269,.T.); #55823=EDGE_CURVE('',#43007,#43012,#10664,.T.); #55824=EDGE_CURVE('',#43013,#43014,#1270,.T.); #55825=EDGE_CURVE('',#43014,#43015,#10665,.T.); #55826=EDGE_CURVE('',#43016,#43015,#32337,.T.); #55827=EDGE_CURVE('',#43017,#43016,#10666,.T.); #55828=EDGE_CURVE('',#43018,#43017,#1271,.T.); #55829=EDGE_CURVE('',#43013,#43018,#10667,.T.); #55830=EDGE_CURVE('',#43019,#43020,#1272,.T.); #55831=EDGE_CURVE('',#43020,#43021,#10668,.T.); #55832=EDGE_CURVE('',#43022,#43021,#32338,.T.); #55833=EDGE_CURVE('',#43023,#43022,#10669,.T.); #55834=EDGE_CURVE('',#43024,#43023,#1273,.T.); #55835=EDGE_CURVE('',#43019,#43024,#10670,.T.); #55836=EDGE_CURVE('',#43025,#43026,#1274,.T.); #55837=EDGE_CURVE('',#43026,#43027,#10671,.T.); #55838=EDGE_CURVE('',#43028,#43027,#32339,.T.); #55839=EDGE_CURVE('',#43029,#43028,#10672,.T.); #55840=EDGE_CURVE('',#43030,#43029,#1275,.T.); #55841=EDGE_CURVE('',#43025,#43030,#10673,.T.); #55842=EDGE_CURVE('',#43031,#43032,#1276,.T.); #55843=EDGE_CURVE('',#43032,#43033,#10674,.T.); #55844=EDGE_CURVE('',#43034,#43033,#32340,.T.); #55845=EDGE_CURVE('',#43035,#43034,#10675,.T.); #55846=EDGE_CURVE('',#43036,#43035,#1277,.T.); #55847=EDGE_CURVE('',#43031,#43036,#10676,.T.); #55848=EDGE_CURVE('',#43037,#43038,#1278,.T.); #55849=EDGE_CURVE('',#43038,#43039,#10677,.T.); #55850=EDGE_CURVE('',#43040,#43039,#32341,.T.); #55851=EDGE_CURVE('',#43041,#43040,#10678,.T.); #55852=EDGE_CURVE('',#43042,#43041,#1279,.T.); #55853=EDGE_CURVE('',#43037,#43042,#10679,.T.); #55854=EDGE_CURVE('',#43043,#43044,#1280,.T.); #55855=EDGE_CURVE('',#43044,#43045,#10680,.T.); #55856=EDGE_CURVE('',#43046,#43045,#32342,.T.); #55857=EDGE_CURVE('',#43047,#43046,#10681,.T.); #55858=EDGE_CURVE('',#43048,#43047,#1281,.T.); #55859=EDGE_CURVE('',#43043,#43048,#10682,.T.); #55860=EDGE_CURVE('',#43002,#42934,#10683,.T.); #55861=EDGE_CURVE('',#43049,#42941,#10684,.T.); #55862=EDGE_CURVE('',#43003,#43049,#10685,.T.); #55863=EDGE_CURVE('',#43008,#43001,#10686,.T.); #55864=EDGE_CURVE('',#43050,#43006,#10687,.T.); #55865=EDGE_CURVE('',#43009,#43050,#10688,.T.); #55866=EDGE_CURVE('',#43014,#43007,#10689,.T.); #55867=EDGE_CURVE('',#43051,#43012,#10690,.T.); #55868=EDGE_CURVE('',#43015,#43051,#10691,.T.); #55869=EDGE_CURVE('',#43020,#43013,#10692,.T.); #55870=EDGE_CURVE('',#43052,#43018,#10693,.T.); #55871=EDGE_CURVE('',#43021,#43052,#10694,.T.); #55872=EDGE_CURVE('',#43026,#43019,#10695,.T.); #55873=EDGE_CURVE('',#43053,#43024,#10696,.T.); #55874=EDGE_CURVE('',#43027,#43053,#10697,.T.); #55875=EDGE_CURVE('',#43032,#43025,#10698,.T.); #55876=EDGE_CURVE('',#43054,#43030,#10699,.T.); #55877=EDGE_CURVE('',#43033,#43054,#10700,.T.); #55878=EDGE_CURVE('',#43038,#43031,#10701,.T.); #55879=EDGE_CURVE('',#43055,#43036,#10702,.T.); #55880=EDGE_CURVE('',#43039,#43055,#10703,.T.); #55881=EDGE_CURVE('',#43044,#43037,#10704,.T.); #55882=EDGE_CURVE('',#43056,#43042,#10705,.T.); #55883=EDGE_CURVE('',#43045,#43056,#10706,.T.); #55884=EDGE_CURVE('',#43057,#43058,#10707,.T.); #55885=EDGE_CURVE('',#43057,#43043,#10708,.T.); #55886=EDGE_CURVE('',#43059,#43048,#10709,.T.); #55887=EDGE_CURVE('',#43058,#43059,#10710,.T.); #55888=EDGE_CURVE('',#43060,#43059,#32343,.T.); #55889=EDGE_CURVE('',#43060,#43061,#10711,.T.); #55890=EDGE_CURVE('',#43061,#43058,#32344,.T.); #55891=EDGE_CURVE('',#43047,#43056,#32345,.T.); #55892=EDGE_CURVE('',#43041,#43055,#32346,.T.); #55893=EDGE_CURVE('',#43035,#43054,#32347,.T.); #55894=EDGE_CURVE('',#43029,#43053,#32348,.T.); #55895=EDGE_CURVE('',#43023,#43052,#32349,.T.); #55896=EDGE_CURVE('',#43017,#43051,#32350,.T.); #55897=EDGE_CURVE('',#43011,#43050,#32351,.T.); #55898=EDGE_CURVE('',#43005,#43049,#32352,.T.); #55899=EDGE_CURVE('',#42939,#42944,#32353,.T.); #55900=EDGE_CURVE('',#42948,#42951,#32354,.T.); #55901=EDGE_CURVE('',#42955,#42958,#32355,.T.); #55902=EDGE_CURVE('',#42962,#42965,#32356,.T.); #55903=EDGE_CURVE('',#42969,#42972,#32357,.T.); #55904=EDGE_CURVE('',#42976,#42979,#32358,.T.); #55905=EDGE_CURVE('',#42983,#42986,#32359,.T.); #55906=EDGE_CURVE('',#42990,#42993,#32360,.T.); #55907=EDGE_CURVE('',#42998,#43057,#1282,.T.); #55908=EDGE_CURVE('',#42999,#43060,#1283,.T.); #55909=EDGE_CURVE('',#43062,#43063,#32361,.T.); #55910=EDGE_CURVE('',#43063,#43064,#10712,.T.); #55911=EDGE_CURVE('',#43064,#43065,#32362,.T.); #55912=EDGE_CURVE('',#43062,#43065,#10713,.T.); #55913=EDGE_CURVE('',#43066,#43064,#32363,.T.); #55914=EDGE_CURVE('',#43064,#43067,#10714,.T.); #55915=EDGE_CURVE('',#43067,#43068,#32364,.T.); #55916=EDGE_CURVE('',#43066,#43068,#10715,.T.); #55917=EDGE_CURVE('',#43069,#43067,#32365,.T.); #55918=EDGE_CURVE('',#43067,#43070,#10716,.T.); #55919=EDGE_CURVE('',#43070,#43071,#32366,.T.); #55920=EDGE_CURVE('',#43069,#43071,#10717,.T.); #55921=EDGE_CURVE('',#43068,#43069,#32367,.T.); #55922=EDGE_CURVE('',#43072,#43070,#32368,.T.); #55923=EDGE_CURVE('',#43070,#43063,#10718,.T.); #55924=EDGE_CURVE('',#43063,#43073,#32369,.T.); #55925=EDGE_CURVE('',#43072,#43073,#10719,.T.); #55926=EDGE_CURVE('',#43071,#43072,#32370,.T.); #55927=EDGE_CURVE('',#43065,#43066,#32371,.T.); #55928=EDGE_CURVE('',#43073,#43062,#32372,.T.); #55929=EDGE_CURVE('',#43074,#43066,#32373,.T.); #55930=EDGE_CURVE('',#43068,#43075,#32374,.T.); #55931=EDGE_CURVE('',#43075,#43074,#10720,.T.); #55932=EDGE_CURVE('',#43076,#43065,#32375,.T.); #55933=EDGE_CURVE('',#43074,#43076,#32376,.T.); #55934=EDGE_CURVE('',#43069,#43077,#32377,.T.); #55935=EDGE_CURVE('',#43077,#43075,#32378,.T.); #55936=EDGE_CURVE('',#43078,#43062,#32379,.T.); #55937=EDGE_CURVE('',#43076,#43078,#10721,.T.); #55938=EDGE_CURVE('',#43071,#43079,#32380,.T.); #55939=EDGE_CURVE('',#43079,#43077,#10722,.T.); #55940=EDGE_CURVE('',#43080,#43073,#32381,.T.); #55941=EDGE_CURVE('',#43078,#43080,#32382,.T.); #55942=EDGE_CURVE('',#43072,#43081,#32383,.T.); #55943=EDGE_CURVE('',#43081,#43079,#32384,.T.); #55944=EDGE_CURVE('',#43080,#43081,#10723,.T.); #55945=EDGE_CURVE('',#43082,#43083,#32385,.T.); #55946=EDGE_CURVE('',#43083,#43084,#32386,.T.); #55947=EDGE_CURVE('',#43084,#43085,#32387,.T.); #55948=EDGE_CURVE('',#43085,#43086,#32388,.T.); #55949=EDGE_CURVE('',#43086,#43087,#32389,.T.); #55950=EDGE_CURVE('',#43087,#43082,#32390,.T.); #55951=EDGE_CURVE('',#43088,#43089,#10724,.T.); #55952=EDGE_CURVE('',#43090,#43088,#32391,.T.); #55953=EDGE_CURVE('',#43091,#43090,#10725,.T.); #55954=EDGE_CURVE('',#43092,#43091,#32392,.T.); #55955=EDGE_CURVE('',#43093,#43092,#10726,.T.); #55956=EDGE_CURVE('',#43094,#43093,#32393,.T.); #55957=EDGE_CURVE('',#43095,#43094,#10727,.T.); #55958=EDGE_CURVE('',#43089,#43095,#32394,.T.); #55959=EDGE_CURVE('',#43096,#43097,#32395,.T.); #55960=EDGE_CURVE('',#43098,#43096,#10728,.T.); #55961=EDGE_CURVE('',#43099,#43098,#32396,.T.); #55962=EDGE_CURVE('',#43100,#43099,#10729,.T.); #55963=EDGE_CURVE('',#43101,#43100,#32397,.T.); #55964=EDGE_CURVE('',#43102,#43101,#10730,.T.); #55965=EDGE_CURVE('',#43103,#43102,#32398,.T.); #55966=EDGE_CURVE('',#43097,#43103,#10731,.T.); #55967=EDGE_CURVE('',#43104,#43105,#32399,.T.); #55968=EDGE_CURVE('',#43105,#43106,#10732,.T.); #55969=EDGE_CURVE('',#43106,#43107,#32400,.T.); #55970=EDGE_CURVE('',#43104,#43107,#10733,.T.); #55971=EDGE_CURVE('',#43108,#43106,#32401,.T.); #55972=EDGE_CURVE('',#43106,#43109,#10734,.T.); #55973=EDGE_CURVE('',#43109,#43110,#32402,.T.); #55974=EDGE_CURVE('',#43108,#43110,#10735,.T.); #55975=EDGE_CURVE('',#43111,#43109,#32403,.T.); #55976=EDGE_CURVE('',#43109,#43112,#10736,.T.); #55977=EDGE_CURVE('',#43112,#43113,#32404,.T.); #55978=EDGE_CURVE('',#43111,#43113,#10737,.T.); #55979=EDGE_CURVE('',#43110,#43111,#32405,.T.); #55980=EDGE_CURVE('',#43114,#43112,#32406,.T.); #55981=EDGE_CURVE('',#43112,#43105,#10738,.T.); #55982=EDGE_CURVE('',#43105,#43115,#32407,.T.); #55983=EDGE_CURVE('',#43114,#43115,#10739,.T.); #55984=EDGE_CURVE('',#43113,#43114,#32408,.T.); #55985=EDGE_CURVE('',#43107,#43108,#32409,.T.); #55986=EDGE_CURVE('',#43115,#43104,#32410,.T.); #55987=EDGE_CURVE('',#43089,#43108,#32411,.T.); #55988=EDGE_CURVE('',#43110,#43088,#32412,.T.); #55989=EDGE_CURVE('',#43095,#43107,#32413,.T.); #55990=EDGE_CURVE('',#43111,#43090,#32414,.T.); #55991=EDGE_CURVE('',#43094,#43104,#32415,.T.); #55992=EDGE_CURVE('',#43113,#43091,#32416,.T.); #55993=EDGE_CURVE('',#43093,#43115,#32417,.T.); #55994=EDGE_CURVE('',#43114,#43092,#32418,.T.); #55995=EDGE_CURVE('',#43116,#43117,#32419,.T.); #55996=EDGE_CURVE('',#43117,#43118,#10740,.T.); #55997=EDGE_CURVE('',#43118,#43119,#32420,.T.); #55998=EDGE_CURVE('',#43116,#43119,#10741,.T.); #55999=EDGE_CURVE('',#43120,#43118,#32421,.T.); #56000=EDGE_CURVE('',#43118,#43121,#10742,.T.); #56001=EDGE_CURVE('',#43121,#43122,#32422,.T.); #56002=EDGE_CURVE('',#43120,#43122,#10743,.T.); #56003=EDGE_CURVE('',#43123,#43121,#32423,.T.); #56004=EDGE_CURVE('',#43121,#43124,#10744,.T.); #56005=EDGE_CURVE('',#43124,#43125,#32424,.T.); #56006=EDGE_CURVE('',#43123,#43125,#10745,.T.); #56007=EDGE_CURVE('',#43122,#43123,#32425,.T.); #56008=EDGE_CURVE('',#43126,#43124,#32426,.T.); #56009=EDGE_CURVE('',#43124,#43117,#10746,.T.); #56010=EDGE_CURVE('',#43117,#43127,#32427,.T.); #56011=EDGE_CURVE('',#43126,#43127,#10747,.T.); #56012=EDGE_CURVE('',#43125,#43126,#32428,.T.); #56013=EDGE_CURVE('',#43119,#43120,#32429,.T.); #56014=EDGE_CURVE('',#43127,#43116,#32430,.T.); #56015=EDGE_CURVE('',#43120,#43096,#32431,.T.); #56016=EDGE_CURVE('',#43097,#43119,#32432,.T.); #56017=EDGE_CURVE('',#43122,#43098,#32433,.T.); #56018=EDGE_CURVE('',#43103,#43116,#32434,.T.); #56019=EDGE_CURVE('',#43123,#43099,#32435,.T.); #56020=EDGE_CURVE('',#43102,#43127,#32436,.T.); #56021=EDGE_CURVE('',#43125,#43100,#32437,.T.); #56022=EDGE_CURVE('',#43101,#43126,#32438,.T.); #56023=EDGE_CURVE('',#43128,#43128,#32439,.T.); #56024=EDGE_CURVE('',#43128,#43129,#10748,.T.); #56025=EDGE_CURVE('',#43129,#43130,#32440,.T.); #56026=EDGE_CURVE('',#43130,#43129,#32441,.T.); #56027=EDGE_CURVE('',#43130,#42940,#10749,.T.); #56028=EDGE_CURVE('',#42997,#43000,#32442,.T.); #56029=EDGE_CURVE('',#43131,#43087,#859,.T.); #56030=EDGE_CURVE('',#43082,#43131,#860,.T.); #56031=EDGE_CURVE('',#43083,#43132,#861,.T.); #56032=EDGE_CURVE('',#43132,#43082,#862,.T.); #56033=EDGE_CURVE('',#43084,#43133,#863,.T.); #56034=EDGE_CURVE('',#43133,#43083,#864,.T.); #56035=EDGE_CURVE('',#43134,#43086,#865,.T.); #56036=EDGE_CURVE('',#43087,#43134,#866,.T.); #56037=EDGE_CURVE('',#43085,#43135,#867,.T.); #56038=EDGE_CURVE('',#43135,#43084,#868,.T.); #56039=EDGE_CURVE('',#43086,#43136,#869,.T.); #56040=EDGE_CURVE('',#43136,#43085,#870,.T.); #56041=EDGE_CURVE('',#43137,#43135,#10750,.T.); #56042=EDGE_CURVE('',#43138,#43137,#35378,.T.); #56043=EDGE_CURVE('',#43138,#43136,#10751,.T.); #56044=EDGE_CURVE('',#43139,#43133,#10752,.T.); #56045=EDGE_CURVE('',#43137,#43139,#35379,.T.); #56046=EDGE_CURVE('',#43140,#43132,#10753,.T.); #56047=EDGE_CURVE('',#43139,#43140,#35380,.T.); #56048=EDGE_CURVE('',#43141,#43138,#35381,.T.); #56049=EDGE_CURVE('',#43142,#43141,#35382,.T.); #56050=EDGE_CURVE('',#43142,#43134,#10754,.T.); #56051=EDGE_CURVE('',#43143,#43142,#35383,.T.); #56052=EDGE_CURVE('',#43143,#43131,#10755,.T.); #56053=EDGE_CURVE('',#43140,#43143,#35384,.T.); #56054=EDGE_CURVE('',#43144,#43145,#32443,.T.); #56055=EDGE_CURVE('',#43145,#43144,#32444,.T.); #56056=EDGE_CURVE('',#43145,#43141,#32445,.T.); #56057=EDGE_CURVE('',#42935,#43144,#10756,.T.); #56058=EDGE_CURVE('',#43146,#43147,#35385,.T.); #56059=EDGE_CURVE('',#43148,#43146,#35386,.T.); #56060=EDGE_CURVE('',#43149,#43148,#35387,.T.); #56061=EDGE_CURVE('',#43149,#43150,#35388,.T.); #56062=EDGE_CURVE('',#43150,#43151,#10757,.T.); #56063=EDGE_CURVE('',#43147,#43151,#35389,.T.); #56064=EDGE_CURVE('',#43152,#43153,#10758,.T.); #56065=EDGE_CURVE('',#43152,#43154,#10759,.T.); #56066=EDGE_CURVE('',#43155,#43154,#10760,.T.); #56067=EDGE_CURVE('',#43155,#43153,#10761,.T.); #56068=EDGE_CURVE('',#43156,#43150,#10762,.T.); #56069=EDGE_CURVE('',#43157,#43156,#10763,.T.); #56070=EDGE_CURVE('',#43151,#43157,#10764,.T.); #56071=EDGE_CURVE('',#43158,#43152,#10765,.T.); #56072=EDGE_CURVE('',#43158,#43156,#35390,.T.); #56073=EDGE_CURVE('',#43154,#43149,#10766,.T.); #56074=EDGE_CURVE('',#43147,#43155,#10767,.T.); #56075=EDGE_CURVE('',#43159,#43157,#35391,.T.); #56076=EDGE_CURVE('',#43153,#43159,#10768,.T.); #56077=EDGE_CURVE('',#43159,#43160,#35392,.T.); #56078=EDGE_CURVE('',#43161,#43158,#35393,.T.); #56079=EDGE_CURVE('',#43160,#43161,#35394,.T.); #56080=EDGE_CURVE('',#43162,#43163,#35395,.T.); #56081=EDGE_CURVE('',#43164,#43162,#35396,.T.); #56082=EDGE_CURVE('',#43165,#43164,#35397,.T.); #56083=EDGE_CURVE('',#43165,#43166,#35398,.T.); #56084=EDGE_CURVE('',#43166,#43167,#10769,.T.); #56085=EDGE_CURVE('',#43163,#43167,#35399,.T.); #56086=EDGE_CURVE('',#43168,#43169,#10770,.T.); #56087=EDGE_CURVE('',#43168,#43170,#10771,.T.); #56088=EDGE_CURVE('',#43171,#43170,#10772,.T.); #56089=EDGE_CURVE('',#43171,#43169,#10773,.T.); #56090=EDGE_CURVE('',#43172,#43166,#10774,.T.); #56091=EDGE_CURVE('',#43173,#43172,#10775,.T.); #56092=EDGE_CURVE('',#43167,#43173,#10776,.T.); #56093=EDGE_CURVE('',#43174,#43168,#10777,.T.); #56094=EDGE_CURVE('',#43174,#43172,#35400,.T.); #56095=EDGE_CURVE('',#43170,#43165,#10778,.T.); #56096=EDGE_CURVE('',#43163,#43171,#10779,.T.); #56097=EDGE_CURVE('',#43175,#43173,#35401,.T.); #56098=EDGE_CURVE('',#43169,#43175,#10780,.T.); #56099=EDGE_CURVE('',#43175,#43176,#35402,.T.); #56100=EDGE_CURVE('',#43177,#43174,#35403,.T.); #56101=EDGE_CURVE('',#43176,#43177,#35404,.T.); #56102=EDGE_CURVE('',#43178,#43179,#35405,.T.); #56103=EDGE_CURVE('',#43180,#43178,#35406,.T.); #56104=EDGE_CURVE('',#43181,#43180,#35407,.T.); #56105=EDGE_CURVE('',#43181,#43182,#35408,.T.); #56106=EDGE_CURVE('',#43182,#43183,#10781,.T.); #56107=EDGE_CURVE('',#43179,#43183,#35409,.T.); #56108=EDGE_CURVE('',#43184,#43185,#10782,.T.); #56109=EDGE_CURVE('',#43184,#43186,#10783,.T.); #56110=EDGE_CURVE('',#43187,#43186,#10784,.T.); #56111=EDGE_CURVE('',#43187,#43185,#10785,.T.); #56112=EDGE_CURVE('',#43188,#43182,#10786,.T.); #56113=EDGE_CURVE('',#43189,#43188,#10787,.T.); #56114=EDGE_CURVE('',#43183,#43189,#10788,.T.); #56115=EDGE_CURVE('',#43190,#43184,#10789,.T.); #56116=EDGE_CURVE('',#43190,#43188,#35410,.T.); #56117=EDGE_CURVE('',#43186,#43181,#10790,.T.); #56118=EDGE_CURVE('',#43179,#43187,#10791,.T.); #56119=EDGE_CURVE('',#43191,#43189,#35411,.T.); #56120=EDGE_CURVE('',#43185,#43191,#10792,.T.); #56121=EDGE_CURVE('',#43191,#43192,#35412,.T.); #56122=EDGE_CURVE('',#43193,#43190,#35413,.T.); #56123=EDGE_CURVE('',#43192,#43193,#35414,.T.); #56124=EDGE_CURVE('',#43194,#43195,#35415,.T.); #56125=EDGE_CURVE('',#43196,#43194,#35416,.T.); #56126=EDGE_CURVE('',#43197,#43196,#35417,.T.); #56127=EDGE_CURVE('',#43197,#43198,#35418,.T.); #56128=EDGE_CURVE('',#43198,#43199,#10793,.T.); #56129=EDGE_CURVE('',#43195,#43199,#35419,.T.); #56130=EDGE_CURVE('',#43200,#43201,#10794,.T.); #56131=EDGE_CURVE('',#43200,#43202,#10795,.T.); #56132=EDGE_CURVE('',#43203,#43202,#10796,.T.); #56133=EDGE_CURVE('',#43203,#43201,#10797,.T.); #56134=EDGE_CURVE('',#43204,#43198,#10798,.T.); #56135=EDGE_CURVE('',#43205,#43204,#10799,.T.); #56136=EDGE_CURVE('',#43199,#43205,#10800,.T.); #56137=EDGE_CURVE('',#43206,#43200,#10801,.T.); #56138=EDGE_CURVE('',#43206,#43204,#35420,.T.); #56139=EDGE_CURVE('',#43202,#43197,#10802,.T.); #56140=EDGE_CURVE('',#43195,#43203,#10803,.T.); #56141=EDGE_CURVE('',#43207,#43205,#35421,.T.); #56142=EDGE_CURVE('',#43201,#43207,#10804,.T.); #56143=EDGE_CURVE('',#43207,#43208,#35422,.T.); #56144=EDGE_CURVE('',#43209,#43206,#35423,.T.); #56145=EDGE_CURVE('',#43208,#43209,#35424,.T.); #56146=EDGE_CURVE('',#43210,#43211,#35425,.T.); #56147=EDGE_CURVE('',#43212,#43210,#35426,.T.); #56148=EDGE_CURVE('',#43213,#43212,#35427,.T.); #56149=EDGE_CURVE('',#43213,#43214,#35428,.T.); #56150=EDGE_CURVE('',#43214,#43215,#10805,.T.); #56151=EDGE_CURVE('',#43211,#43215,#35429,.T.); #56152=EDGE_CURVE('',#43216,#43217,#10806,.T.); #56153=EDGE_CURVE('',#43216,#43218,#10807,.T.); #56154=EDGE_CURVE('',#43219,#43218,#10808,.T.); #56155=EDGE_CURVE('',#43219,#43217,#10809,.T.); #56156=EDGE_CURVE('',#43220,#43214,#10810,.T.); #56157=EDGE_CURVE('',#43221,#43220,#10811,.T.); #56158=EDGE_CURVE('',#43215,#43221,#10812,.T.); #56159=EDGE_CURVE('',#43222,#43216,#10813,.T.); #56160=EDGE_CURVE('',#43222,#43220,#35430,.T.); #56161=EDGE_CURVE('',#43218,#43213,#10814,.T.); #56162=EDGE_CURVE('',#43211,#43219,#10815,.T.); #56163=EDGE_CURVE('',#43223,#43221,#35431,.T.); #56164=EDGE_CURVE('',#43217,#43223,#10816,.T.); #56165=EDGE_CURVE('',#43223,#43224,#35432,.T.); #56166=EDGE_CURVE('',#43225,#43222,#35433,.T.); #56167=EDGE_CURVE('',#43224,#43225,#35434,.T.); #56168=EDGE_CURVE('',#43226,#43227,#32446,.T.); #56169=EDGE_CURVE('',#43227,#43228,#32447,.T.); #56170=EDGE_CURVE('',#43228,#43229,#32448,.T.); #56171=EDGE_CURVE('',#43229,#43230,#32449,.T.); #56172=EDGE_CURVE('',#43230,#43231,#32450,.T.); #56173=EDGE_CURVE('',#43231,#43232,#32451,.T.); #56174=EDGE_CURVE('',#43232,#43233,#32452,.T.); #56175=EDGE_CURVE('',#43233,#43234,#32453,.T.); #56176=EDGE_CURVE('',#43234,#43235,#32454,.T.); #56177=EDGE_CURVE('',#43235,#43236,#32455,.T.); #56178=EDGE_CURVE('',#43236,#43237,#32456,.T.); #56179=EDGE_CURVE('',#43237,#43226,#32457,.T.); #56180=EDGE_CURVE('',#43154,#43152,#32458,.T.); #56181=EDGE_CURVE('',#43238,#43148,#32459,.T.); #56182=EDGE_CURVE('',#43238,#43239,#35435,.T.); #56183=EDGE_CURVE('',#43239,#43240,#10817,.T.); #56184=EDGE_CURVE('',#43241,#43240,#32460,.T.); #56185=EDGE_CURVE('',#43241,#43242,#10818,.T.); #56186=EDGE_CURVE('',#43242,#43243,#35436,.T.); #56187=EDGE_CURVE('',#43225,#43243,#32461,.T.); #56188=EDGE_CURVE('',#43218,#43216,#32462,.T.); #56189=EDGE_CURVE('',#43209,#43212,#32463,.T.); #56190=EDGE_CURVE('',#43202,#43200,#32464,.T.); #56191=EDGE_CURVE('',#43193,#43196,#32465,.T.); #56192=EDGE_CURVE('',#43186,#43184,#32466,.T.); #56193=EDGE_CURVE('',#43177,#43180,#32467,.T.); #56194=EDGE_CURVE('',#43170,#43168,#32468,.T.); #56195=EDGE_CURVE('',#43161,#43164,#32469,.T.); #56196=EDGE_CURVE('',#43219,#43217,#32470,.T.); #56197=EDGE_CURVE('',#43243,#43244,#35437,.T.); #56198=EDGE_CURVE('',#43224,#43244,#32471,.T.); #56199=EDGE_CURVE('',#43203,#43201,#32472,.T.); #56200=EDGE_CURVE('',#43208,#43210,#32473,.T.); #56201=EDGE_CURVE('',#43187,#43185,#32474,.T.); #56202=EDGE_CURVE('',#43192,#43194,#32475,.T.); #56203=EDGE_CURVE('',#43171,#43169,#32476,.T.); #56204=EDGE_CURVE('',#43176,#43178,#32477,.T.); #56205=EDGE_CURVE('',#43245,#43146,#32478,.T.); #56206=EDGE_CURVE('',#43245,#43238,#35438,.T.); #56207=EDGE_CURVE('',#43155,#43153,#32479,.T.); #56208=EDGE_CURVE('',#43160,#43162,#32480,.T.); #56209=EDGE_CURVE('',#43244,#43246,#35439,.T.); #56210=EDGE_CURVE('',#43242,#43247,#35440,.T.); #56211=EDGE_CURVE('',#43247,#43248,#10819,.T.); #56212=EDGE_CURVE('',#43246,#43248,#35441,.T.); #56213=EDGE_CURVE('',#43240,#43249,#10820,.T.); #56214=EDGE_CURVE('',#43240,#43241,#10821,.T.); #56215=EDGE_CURVE('',#43250,#43241,#10822,.T.); #56216=EDGE_CURVE('',#43250,#43249,#10823,.T.); #56217=EDGE_CURVE('',#43251,#43247,#10824,.T.); #56218=EDGE_CURVE('',#43252,#43251,#10825,.T.); #56219=EDGE_CURVE('',#43248,#43252,#10826,.T.); #56220=EDGE_CURVE('',#43239,#43251,#35442,.T.); #56221=EDGE_CURVE('',#43246,#43250,#10827,.T.); #56222=EDGE_CURVE('',#43253,#43252,#35443,.T.); #56223=EDGE_CURVE('',#43249,#43253,#10828,.T.); #56224=EDGE_CURVE('',#43253,#43245,#35444,.T.); #56225=EDGE_CURVE('',#43250,#43249,#32481,.T.); #56226=EDGE_CURVE('',#43254,#43226,#10829,.T.); #56227=EDGE_CURVE('',#43254,#43255,#10830,.T.); #56228=EDGE_CURVE('',#43255,#43256,#10831,.T.); #56229=EDGE_CURVE('',#43226,#43256,#35445,.T.); #56230=EDGE_CURVE('',#43257,#43255,#32482,.T.); #56231=EDGE_CURVE('',#43258,#43257,#10832,.T.); #56232=EDGE_CURVE('',#43256,#43258,#32483,.T.); #56233=EDGE_CURVE('',#43259,#43260,#10833,.T.); #56234=EDGE_CURVE('',#43259,#43257,#10834,.T.); #56235=EDGE_CURVE('',#43260,#43254,#35446,.T.); #56236=EDGE_CURVE('',#43226,#43261,#35447,.T.); #56237=EDGE_CURVE('',#43261,#43258,#10835,.T.); #56238=EDGE_CURVE('',#43261,#43262,#10836,.T.); #56239=EDGE_CURVE('',#43262,#43259,#10837,.T.); #56240=EDGE_CURVE('',#43263,#43227,#10838,.T.); #56241=EDGE_CURVE('',#43263,#43264,#10839,.T.); #56242=EDGE_CURVE('',#43264,#43265,#10840,.T.); #56243=EDGE_CURVE('',#43227,#43265,#35448,.T.); #56244=EDGE_CURVE('',#43266,#43264,#32484,.T.); #56245=EDGE_CURVE('',#43267,#43266,#10841,.T.); #56246=EDGE_CURVE('',#43265,#43267,#32485,.T.); #56247=EDGE_CURVE('',#43268,#43269,#10842,.T.); #56248=EDGE_CURVE('',#43268,#43266,#10843,.T.); #56249=EDGE_CURVE('',#43269,#43263,#35449,.T.); #56250=EDGE_CURVE('',#43227,#43270,#35450,.T.); #56251=EDGE_CURVE('',#43270,#43267,#10844,.T.); #56252=EDGE_CURVE('',#43270,#43271,#10845,.T.); #56253=EDGE_CURVE('',#43271,#43268,#10846,.T.); #56254=EDGE_CURVE('',#43272,#43228,#10847,.T.); #56255=EDGE_CURVE('',#43272,#43273,#10848,.T.); #56256=EDGE_CURVE('',#43273,#43274,#10849,.T.); #56257=EDGE_CURVE('',#43228,#43274,#35451,.T.); #56258=EDGE_CURVE('',#43275,#43273,#32486,.T.); #56259=EDGE_CURVE('',#43276,#43275,#10850,.T.); #56260=EDGE_CURVE('',#43274,#43276,#32487,.T.); #56261=EDGE_CURVE('',#43277,#43278,#10851,.T.); #56262=EDGE_CURVE('',#43277,#43275,#10852,.T.); #56263=EDGE_CURVE('',#43278,#43272,#35452,.T.); #56264=EDGE_CURVE('',#43228,#43279,#35453,.T.); #56265=EDGE_CURVE('',#43279,#43276,#10853,.T.); #56266=EDGE_CURVE('',#43279,#43280,#10854,.T.); #56267=EDGE_CURVE('',#43280,#43277,#10855,.T.); #56268=EDGE_CURVE('',#43281,#43229,#10856,.T.); #56269=EDGE_CURVE('',#43281,#43282,#10857,.T.); #56270=EDGE_CURVE('',#43282,#43283,#10858,.T.); #56271=EDGE_CURVE('',#43229,#43283,#35454,.T.); #56272=EDGE_CURVE('',#43284,#43282,#32488,.T.); #56273=EDGE_CURVE('',#43285,#43284,#10859,.T.); #56274=EDGE_CURVE('',#43283,#43285,#32489,.T.); #56275=EDGE_CURVE('',#43286,#43287,#10860,.T.); #56276=EDGE_CURVE('',#43286,#43284,#10861,.T.); #56277=EDGE_CURVE('',#43287,#43281,#35455,.T.); #56278=EDGE_CURVE('',#43229,#43288,#35456,.T.); #56279=EDGE_CURVE('',#43288,#43285,#10862,.T.); #56280=EDGE_CURVE('',#43288,#43289,#10863,.T.); #56281=EDGE_CURVE('',#43289,#43286,#10864,.T.); #56282=EDGE_CURVE('',#43290,#43230,#10865,.T.); #56283=EDGE_CURVE('',#43290,#43291,#10866,.T.); #56284=EDGE_CURVE('',#43291,#43292,#10867,.T.); #56285=EDGE_CURVE('',#43230,#43292,#35457,.T.); #56286=EDGE_CURVE('',#43293,#43291,#32490,.T.); #56287=EDGE_CURVE('',#43294,#43293,#10868,.T.); #56288=EDGE_CURVE('',#43292,#43294,#32491,.T.); #56289=EDGE_CURVE('',#43295,#43296,#10869,.T.); #56290=EDGE_CURVE('',#43295,#43293,#10870,.T.); #56291=EDGE_CURVE('',#43296,#43290,#35458,.T.); #56292=EDGE_CURVE('',#43230,#43297,#35459,.T.); #56293=EDGE_CURVE('',#43297,#43294,#10871,.T.); #56294=EDGE_CURVE('',#43297,#43298,#10872,.T.); #56295=EDGE_CURVE('',#43298,#43295,#10873,.T.); #56296=EDGE_CURVE('',#43299,#43231,#10874,.T.); #56297=EDGE_CURVE('',#43299,#43300,#10875,.T.); #56298=EDGE_CURVE('',#43300,#43301,#10876,.T.); #56299=EDGE_CURVE('',#43231,#43301,#35460,.T.); #56300=EDGE_CURVE('',#43302,#43300,#32492,.T.); #56301=EDGE_CURVE('',#43303,#43302,#10877,.T.); #56302=EDGE_CURVE('',#43301,#43303,#32493,.T.); #56303=EDGE_CURVE('',#43304,#43305,#10878,.T.); #56304=EDGE_CURVE('',#43304,#43302,#10879,.T.); #56305=EDGE_CURVE('',#43305,#43299,#35461,.T.); #56306=EDGE_CURVE('',#43231,#43306,#35462,.T.); #56307=EDGE_CURVE('',#43306,#43303,#10880,.T.); #56308=EDGE_CURVE('',#43306,#43307,#10881,.T.); #56309=EDGE_CURVE('',#43307,#43304,#10882,.T.); #56310=EDGE_CURVE('',#43308,#43232,#10883,.T.); #56311=EDGE_CURVE('',#43308,#43309,#10884,.T.); #56312=EDGE_CURVE('',#43309,#43310,#10885,.T.); #56313=EDGE_CURVE('',#43232,#43310,#35463,.T.); #56314=EDGE_CURVE('',#43311,#43309,#32494,.T.); #56315=EDGE_CURVE('',#43312,#43311,#10886,.T.); #56316=EDGE_CURVE('',#43310,#43312,#32495,.T.); #56317=EDGE_CURVE('',#43313,#43314,#10887,.T.); #56318=EDGE_CURVE('',#43313,#43311,#10888,.T.); #56319=EDGE_CURVE('',#43314,#43308,#35464,.T.); #56320=EDGE_CURVE('',#43232,#43315,#35465,.T.); #56321=EDGE_CURVE('',#43315,#43312,#10889,.T.); #56322=EDGE_CURVE('',#43315,#43316,#10890,.T.); #56323=EDGE_CURVE('',#43316,#43313,#10891,.T.); #56324=EDGE_CURVE('',#43317,#43233,#10892,.T.); #56325=EDGE_CURVE('',#43317,#43318,#10893,.T.); #56326=EDGE_CURVE('',#43318,#43319,#10894,.T.); #56327=EDGE_CURVE('',#43233,#43319,#35466,.T.); #56328=EDGE_CURVE('',#43320,#43318,#32496,.T.); #56329=EDGE_CURVE('',#43321,#43320,#10895,.T.); #56330=EDGE_CURVE('',#43319,#43321,#32497,.T.); #56331=EDGE_CURVE('',#43322,#43323,#10896,.T.); #56332=EDGE_CURVE('',#43322,#43320,#10897,.T.); #56333=EDGE_CURVE('',#43323,#43317,#35467,.T.); #56334=EDGE_CURVE('',#43233,#43324,#35468,.T.); #56335=EDGE_CURVE('',#43324,#43321,#10898,.T.); #56336=EDGE_CURVE('',#43324,#43325,#10899,.T.); #56337=EDGE_CURVE('',#43325,#43322,#10900,.T.); #56338=EDGE_CURVE('',#43326,#43234,#10901,.T.); #56339=EDGE_CURVE('',#43326,#43327,#10902,.T.); #56340=EDGE_CURVE('',#43327,#43328,#10903,.T.); #56341=EDGE_CURVE('',#43234,#43328,#35469,.T.); #56342=EDGE_CURVE('',#43329,#43327,#32498,.T.); #56343=EDGE_CURVE('',#43330,#43329,#10904,.T.); #56344=EDGE_CURVE('',#43328,#43330,#32499,.T.); #56345=EDGE_CURVE('',#43331,#43332,#10905,.T.); #56346=EDGE_CURVE('',#43331,#43329,#10906,.T.); #56347=EDGE_CURVE('',#43332,#43326,#35470,.T.); #56348=EDGE_CURVE('',#43234,#43333,#35471,.T.); #56349=EDGE_CURVE('',#43333,#43330,#10907,.T.); #56350=EDGE_CURVE('',#43333,#43334,#10908,.T.); #56351=EDGE_CURVE('',#43334,#43331,#10909,.T.); #56352=EDGE_CURVE('',#43335,#43235,#10910,.T.); #56353=EDGE_CURVE('',#43335,#43336,#10911,.T.); #56354=EDGE_CURVE('',#43336,#43337,#10912,.T.); #56355=EDGE_CURVE('',#43235,#43337,#35472,.T.); #56356=EDGE_CURVE('',#43338,#43336,#32500,.T.); #56357=EDGE_CURVE('',#43339,#43338,#10913,.T.); #56358=EDGE_CURVE('',#43337,#43339,#32501,.T.); #56359=EDGE_CURVE('',#43340,#43341,#10914,.T.); #56360=EDGE_CURVE('',#43340,#43338,#10915,.T.); #56361=EDGE_CURVE('',#43341,#43335,#35473,.T.); #56362=EDGE_CURVE('',#43235,#43342,#35474,.T.); #56363=EDGE_CURVE('',#43342,#43339,#10916,.T.); #56364=EDGE_CURVE('',#43342,#43343,#10917,.T.); #56365=EDGE_CURVE('',#43343,#43340,#10918,.T.); #56366=EDGE_CURVE('',#43344,#43236,#10919,.T.); #56367=EDGE_CURVE('',#43344,#43345,#10920,.T.); #56368=EDGE_CURVE('',#43345,#43346,#10921,.T.); #56369=EDGE_CURVE('',#43236,#43346,#35475,.T.); #56370=EDGE_CURVE('',#43347,#43345,#32502,.T.); #56371=EDGE_CURVE('',#43348,#43347,#10922,.T.); #56372=EDGE_CURVE('',#43346,#43348,#32503,.T.); #56373=EDGE_CURVE('',#43349,#43350,#10923,.T.); #56374=EDGE_CURVE('',#43349,#43347,#10924,.T.); #56375=EDGE_CURVE('',#43350,#43344,#35476,.T.); #56376=EDGE_CURVE('',#43236,#43351,#35477,.T.); #56377=EDGE_CURVE('',#43351,#43348,#10925,.T.); #56378=EDGE_CURVE('',#43351,#43352,#10926,.T.); #56379=EDGE_CURVE('',#43352,#43349,#10927,.T.); #56380=EDGE_CURVE('',#43352,#43353,#32504,.T.); #56381=EDGE_CURVE('',#43353,#43237,#10928,.T.); #56382=EDGE_CURVE('',#43343,#43344,#32505,.T.); #56383=EDGE_CURVE('',#43334,#43335,#32506,.T.); #56384=EDGE_CURVE('',#43325,#43326,#32507,.T.); #56385=EDGE_CURVE('',#43316,#43317,#32508,.T.); #56386=EDGE_CURVE('',#43307,#43308,#32509,.T.); #56387=EDGE_CURVE('',#43298,#43299,#32510,.T.); #56388=EDGE_CURVE('',#43289,#43290,#32511,.T.); #56389=EDGE_CURVE('',#43280,#43281,#32512,.T.); #56390=EDGE_CURVE('',#43271,#43272,#32513,.T.); #56391=EDGE_CURVE('',#43262,#43263,#32514,.T.); #56392=EDGE_CURVE('',#43353,#43354,#10929,.T.); #56393=EDGE_CURVE('',#43354,#43355,#10930,.T.); #56394=EDGE_CURVE('',#43237,#43355,#35478,.T.); #56395=EDGE_CURVE('',#43356,#43354,#32515,.T.); #56396=EDGE_CURVE('',#43357,#43356,#10931,.T.); #56397=EDGE_CURVE('',#43355,#43357,#32516,.T.); #56398=EDGE_CURVE('',#43358,#43359,#10932,.T.); #56399=EDGE_CURVE('',#43358,#43356,#10933,.T.); #56400=EDGE_CURVE('',#43359,#43353,#35479,.T.); #56401=EDGE_CURVE('',#43237,#43360,#35480,.T.); #56402=EDGE_CURVE('',#43360,#43357,#10934,.T.); #56403=EDGE_CURVE('',#43360,#43361,#10935,.T.); #56404=EDGE_CURVE('',#43361,#43358,#10936,.T.); #56405=EDGE_CURVE('',#43361,#43254,#32517,.T.); #56406=EDGE_CURVE('',#43362,#43363,#1284,.T.); #56407=EDGE_CURVE('',#43363,#43364,#10937,.T.); #56408=EDGE_CURVE('',#43364,#43365,#1285,.T.); #56409=EDGE_CURVE('',#43365,#43366,#35481,.T.); #56410=EDGE_CURVE('',#43366,#43367,#35482,.T.); #56411=EDGE_CURVE('',#43367,#43368,#1286,.T.); #56412=EDGE_CURVE('',#43368,#43369,#10938,.T.); #56413=EDGE_CURVE('',#43369,#43370,#1287,.T.); #56414=EDGE_CURVE('',#43370,#43371,#1288,.T.); #56415=EDGE_CURVE('',#43371,#43372,#10939,.T.); #56416=EDGE_CURVE('',#43372,#43373,#1289,.T.); #56417=EDGE_CURVE('',#43373,#43374,#35483,.T.); #56418=EDGE_CURVE('',#43374,#43375,#35484,.T.); #56419=EDGE_CURVE('',#43375,#43376,#1290,.T.); #56420=EDGE_CURVE('',#43376,#43377,#10940,.T.); #56421=EDGE_CURVE('',#43377,#43362,#1291,.T.); #56422=EDGE_CURVE('',#43369,#43378,#10941,.T.); #56423=EDGE_CURVE('',#43379,#43378,#1292,.T.); #56424=EDGE_CURVE('',#43370,#43379,#10942,.T.); #56425=EDGE_CURVE('',#43368,#43380,#10943,.T.); #56426=EDGE_CURVE('',#43378,#43380,#10944,.T.); #56427=EDGE_CURVE('',#43367,#43381,#10945,.T.); #56428=EDGE_CURVE('',#43380,#43381,#1293,.T.); #56429=EDGE_CURVE('',#43366,#43382,#10946,.T.); #56430=EDGE_CURVE('',#43381,#43382,#35485,.T.); #56431=EDGE_CURVE('',#43365,#43383,#10947,.T.); #56432=EDGE_CURVE('',#43382,#43383,#35486,.T.); #56433=EDGE_CURVE('',#43364,#43384,#10948,.T.); #56434=EDGE_CURVE('',#43383,#43384,#1294,.T.); #56435=EDGE_CURVE('',#43363,#43385,#10949,.T.); #56436=EDGE_CURVE('',#43384,#43385,#10950,.T.); #56437=EDGE_CURVE('',#43362,#43386,#10951,.T.); #56438=EDGE_CURVE('',#43385,#43386,#1295,.T.); #56439=EDGE_CURVE('',#43387,#43379,#1296,.T.); #56440=EDGE_CURVE('',#43371,#43387,#10952,.T.); #56441=EDGE_CURVE('',#43388,#43387,#10953,.T.); #56442=EDGE_CURVE('',#43372,#43388,#10954,.T.); #56443=EDGE_CURVE('',#43389,#43388,#1297,.T.); #56444=EDGE_CURVE('',#43373,#43389,#10955,.T.); #56445=EDGE_CURVE('',#43390,#43389,#35487,.T.); #56446=EDGE_CURVE('',#43374,#43390,#10956,.T.); #56447=EDGE_CURVE('',#43391,#43390,#35488,.T.); #56448=EDGE_CURVE('',#43375,#43391,#10957,.T.); #56449=EDGE_CURVE('',#43392,#43391,#1298,.T.); #56450=EDGE_CURVE('',#43376,#43392,#10958,.T.); #56451=EDGE_CURVE('',#43393,#43392,#10959,.T.); #56452=EDGE_CURVE('',#43377,#43393,#10960,.T.); #56453=EDGE_CURVE('',#43386,#43393,#1299,.T.); #56454=EDGE_CURVE('',#43394,#43395,#1300,.T.); #56455=EDGE_CURVE('',#43394,#43396,#10961,.T.); #56456=EDGE_CURVE('',#43397,#43396,#1301,.T.); #56457=EDGE_CURVE('',#43395,#43397,#10962,.T.); #56458=EDGE_CURVE('',#43398,#43394,#10963,.T.); #56459=EDGE_CURVE('',#43398,#43399,#10964,.T.); #56460=EDGE_CURVE('',#43396,#43399,#10965,.T.); #56461=EDGE_CURVE('',#43400,#43398,#1302,.T.); #56462=EDGE_CURVE('',#43400,#43401,#10966,.T.); #56463=EDGE_CURVE('',#43399,#43401,#1303,.T.); #56464=EDGE_CURVE('',#43395,#43402,#10967,.T.); #56465=EDGE_CURVE('',#43403,#43397,#10968,.T.); #56466=EDGE_CURVE('',#43402,#43403,#10969,.T.); #56467=EDGE_CURVE('',#43402,#43404,#1304,.T.); #56468=EDGE_CURVE('',#43405,#43403,#1305,.T.); #56469=EDGE_CURVE('',#43404,#43405,#10970,.T.); #56470=EDGE_CURVE('',#43404,#43400,#10971,.T.); #56471=EDGE_CURVE('',#43401,#43405,#10972,.T.); #56472=EDGE_CURVE('',#43406,#43407,#1306,.T.); #56473=EDGE_CURVE('',#43407,#43408,#10973,.T.); #56474=EDGE_CURVE('',#43408,#43409,#1307,.T.); #56475=EDGE_CURVE('',#43409,#43410,#10974,.T.); #56476=EDGE_CURVE('',#43410,#43411,#1308,.T.); #56477=EDGE_CURVE('',#43411,#43406,#10975,.T.); #56478=EDGE_CURVE('',#43408,#43412,#10976,.T.); #56479=EDGE_CURVE('',#43413,#43412,#1309,.T.); #56480=EDGE_CURVE('',#43409,#43413,#10977,.T.); #56481=EDGE_CURVE('',#43407,#43414,#10978,.T.); #56482=EDGE_CURVE('',#43412,#43414,#10979,.T.); #56483=EDGE_CURVE('',#43406,#43415,#10980,.T.); #56484=EDGE_CURVE('',#43414,#43415,#1310,.T.); #56485=EDGE_CURVE('',#43416,#43413,#10981,.T.); #56486=EDGE_CURVE('',#43410,#43416,#10982,.T.); #56487=EDGE_CURVE('',#43417,#43416,#1311,.T.); #56488=EDGE_CURVE('',#43411,#43417,#10983,.T.); #56489=EDGE_CURVE('',#43415,#43417,#10984,.T.); #56490=EDGE_CURVE('',#43418,#43419,#10985,.T.); #56491=EDGE_CURVE('',#43418,#43420,#10986,.T.); #56492=EDGE_CURVE('',#43421,#43420,#10987,.T.); #56493=EDGE_CURVE('',#43419,#43421,#10988,.T.); #56494=EDGE_CURVE('',#43422,#43418,#1312,.T.); #56495=EDGE_CURVE('',#43422,#43423,#10989,.T.); #56496=EDGE_CURVE('',#43420,#43423,#1313,.T.); #56497=EDGE_CURVE('',#43424,#43422,#10990,.T.); #56498=EDGE_CURVE('',#43424,#43425,#10991,.T.); #56499=EDGE_CURVE('',#43423,#43425,#10992,.T.); #56500=EDGE_CURVE('',#43419,#43426,#1314,.T.); #56501=EDGE_CURVE('',#43427,#43421,#1315,.T.); #56502=EDGE_CURVE('',#43426,#43427,#10993,.T.); #56503=EDGE_CURVE('',#43426,#43428,#10994,.T.); #56504=EDGE_CURVE('',#43429,#43427,#10995,.T.); #56505=EDGE_CURVE('',#43428,#43429,#10996,.T.); #56506=EDGE_CURVE('',#43428,#43424,#1316,.T.); #56507=EDGE_CURVE('',#43425,#43429,#1317,.T.); #56508=EDGE_CURVE('',#43430,#43431,#1318,.T.); #56509=EDGE_CURVE('',#43431,#43432,#10997,.T.); #56510=EDGE_CURVE('',#43432,#43433,#1319,.T.); #56511=EDGE_CURVE('',#43433,#43434,#10998,.T.); #56512=EDGE_CURVE('',#43434,#43435,#1320,.T.); #56513=EDGE_CURVE('',#43435,#43430,#10999,.T.); #56514=EDGE_CURVE('',#43432,#43436,#11000,.T.); #56515=EDGE_CURVE('',#43437,#43436,#1321,.T.); #56516=EDGE_CURVE('',#43433,#43437,#11001,.T.); #56517=EDGE_CURVE('',#43431,#43438,#11002,.T.); #56518=EDGE_CURVE('',#43436,#43438,#11003,.T.); #56519=EDGE_CURVE('',#43430,#43439,#11004,.T.); #56520=EDGE_CURVE('',#43438,#43439,#1322,.T.); #56521=EDGE_CURVE('',#43440,#43437,#11005,.T.); #56522=EDGE_CURVE('',#43434,#43440,#11006,.T.); #56523=EDGE_CURVE('',#43441,#43440,#1323,.T.); #56524=EDGE_CURVE('',#43435,#43441,#11007,.T.); #56525=EDGE_CURVE('',#43439,#43441,#11008,.T.); #56526=EDGE_CURVE('',#43442,#43443,#1324,.T.); #56527=EDGE_CURVE('',#43442,#43444,#11009,.T.); #56528=EDGE_CURVE('',#43445,#43444,#1325,.T.); #56529=EDGE_CURVE('',#43443,#43445,#11010,.T.); #56530=EDGE_CURVE('',#43446,#43442,#11011,.T.); #56531=EDGE_CURVE('',#43446,#43447,#11012,.T.); #56532=EDGE_CURVE('',#43444,#43447,#11013,.T.); #56533=EDGE_CURVE('',#43448,#43446,#1326,.T.); #56534=EDGE_CURVE('',#43448,#43449,#11014,.T.); #56535=EDGE_CURVE('',#43447,#43449,#1327,.T.); #56536=EDGE_CURVE('',#43450,#43448,#35489,.T.); #56537=EDGE_CURVE('',#43450,#43451,#11015,.T.); #56538=EDGE_CURVE('',#43449,#43451,#35490,.T.); #56539=EDGE_CURVE('',#43452,#43450,#35491,.T.); #56540=EDGE_CURVE('',#43452,#43453,#11016,.T.); #56541=EDGE_CURVE('',#43451,#43453,#35492,.T.); #56542=EDGE_CURVE('',#43454,#43452,#1328,.T.); #56543=EDGE_CURVE('',#43454,#43455,#11017,.T.); #56544=EDGE_CURVE('',#43453,#43455,#1329,.T.); #56545=EDGE_CURVE('',#43456,#43454,#11018,.T.); #56546=EDGE_CURVE('',#43456,#43457,#11019,.T.); #56547=EDGE_CURVE('',#43455,#43457,#11020,.T.); #56548=EDGE_CURVE('',#43458,#43456,#1330,.T.); #56549=EDGE_CURVE('',#43458,#43459,#11021,.T.); #56550=EDGE_CURVE('',#43457,#43459,#1331,.T.); #56551=EDGE_CURVE('',#43443,#43460,#1332,.T.); #56552=EDGE_CURVE('',#43461,#43445,#1333,.T.); #56553=EDGE_CURVE('',#43460,#43461,#11022,.T.); #56554=EDGE_CURVE('',#43460,#43462,#11023,.T.); #56555=EDGE_CURVE('',#43463,#43461,#11024,.T.); #56556=EDGE_CURVE('',#43462,#43463,#11025,.T.); #56557=EDGE_CURVE('',#43462,#43464,#1334,.T.); #56558=EDGE_CURVE('',#43465,#43463,#1335,.T.); #56559=EDGE_CURVE('',#43464,#43465,#11026,.T.); #56560=EDGE_CURVE('',#43464,#43466,#35493,.T.); #56561=EDGE_CURVE('',#43467,#43465,#35494,.T.); #56562=EDGE_CURVE('',#43466,#43467,#11027,.T.); #56563=EDGE_CURVE('',#43466,#43468,#35495,.T.); #56564=EDGE_CURVE('',#43469,#43467,#35496,.T.); #56565=EDGE_CURVE('',#43468,#43469,#11028,.T.); #56566=EDGE_CURVE('',#43468,#43470,#1336,.T.); #56567=EDGE_CURVE('',#43471,#43469,#1337,.T.); #56568=EDGE_CURVE('',#43470,#43471,#11029,.T.); #56569=EDGE_CURVE('',#43470,#43472,#11030,.T.); #56570=EDGE_CURVE('',#43473,#43471,#11031,.T.); #56571=EDGE_CURVE('',#43472,#43473,#11032,.T.); #56572=EDGE_CURVE('',#43472,#43458,#1338,.T.); #56573=EDGE_CURVE('',#43459,#43473,#1339,.T.); #56574=EDGE_CURVE('',#43474,#43475,#1340,.T.); #56575=EDGE_CURVE('',#43475,#43476,#11033,.T.); #56576=EDGE_CURVE('',#43476,#43477,#1341,.T.); #56577=EDGE_CURVE('',#43477,#43478,#35497,.T.); #56578=EDGE_CURVE('',#43478,#43479,#35498,.T.); #56579=EDGE_CURVE('',#43479,#43480,#1342,.T.); #56580=EDGE_CURVE('',#43480,#43481,#11034,.T.); #56581=EDGE_CURVE('',#43481,#43482,#1343,.T.); #56582=EDGE_CURVE('',#43482,#43483,#1344,.T.); #56583=EDGE_CURVE('',#43483,#43484,#11035,.T.); #56584=EDGE_CURVE('',#43484,#43485,#1345,.T.); #56585=EDGE_CURVE('',#43485,#43486,#35499,.T.); #56586=EDGE_CURVE('',#43486,#43487,#35500,.T.); #56587=EDGE_CURVE('',#43487,#43488,#1346,.T.); #56588=EDGE_CURVE('',#43488,#43489,#11036,.T.); #56589=EDGE_CURVE('',#43489,#43474,#1347,.T.); #56590=EDGE_CURVE('',#43481,#43490,#11037,.T.); #56591=EDGE_CURVE('',#43491,#43490,#1348,.T.); #56592=EDGE_CURVE('',#43482,#43491,#11038,.T.); #56593=EDGE_CURVE('',#43480,#43492,#11039,.T.); #56594=EDGE_CURVE('',#43490,#43492,#11040,.T.); #56595=EDGE_CURVE('',#43479,#43493,#11041,.T.); #56596=EDGE_CURVE('',#43492,#43493,#1349,.T.); #56597=EDGE_CURVE('',#43478,#43494,#11042,.T.); #56598=EDGE_CURVE('',#43493,#43494,#35501,.T.); #56599=EDGE_CURVE('',#43477,#43495,#11043,.T.); #56600=EDGE_CURVE('',#43494,#43495,#35502,.T.); #56601=EDGE_CURVE('',#43476,#43496,#11044,.T.); #56602=EDGE_CURVE('',#43495,#43496,#1350,.T.); #56603=EDGE_CURVE('',#43475,#43497,#11045,.T.); #56604=EDGE_CURVE('',#43496,#43497,#11046,.T.); #56605=EDGE_CURVE('',#43474,#43498,#11047,.T.); #56606=EDGE_CURVE('',#43497,#43498,#1351,.T.); #56607=EDGE_CURVE('',#43499,#43491,#1352,.T.); #56608=EDGE_CURVE('',#43483,#43499,#11048,.T.); #56609=EDGE_CURVE('',#43500,#43499,#11049,.T.); #56610=EDGE_CURVE('',#43484,#43500,#11050,.T.); #56611=EDGE_CURVE('',#43501,#43500,#1353,.T.); #56612=EDGE_CURVE('',#43485,#43501,#11051,.T.); #56613=EDGE_CURVE('',#43502,#43501,#35503,.T.); #56614=EDGE_CURVE('',#43486,#43502,#11052,.T.); #56615=EDGE_CURVE('',#43503,#43502,#35504,.T.); #56616=EDGE_CURVE('',#43487,#43503,#11053,.T.); #56617=EDGE_CURVE('',#43504,#43503,#1354,.T.); #56618=EDGE_CURVE('',#43488,#43504,#11054,.T.); #56619=EDGE_CURVE('',#43505,#43504,#11055,.T.); #56620=EDGE_CURVE('',#43489,#43505,#11056,.T.); #56621=EDGE_CURVE('',#43498,#43505,#1355,.T.); #56622=EDGE_CURVE('',#43506,#43507,#1356,.T.); #56623=EDGE_CURVE('',#43506,#43508,#11057,.T.); #56624=EDGE_CURVE('',#43509,#43508,#1357,.T.); #56625=EDGE_CURVE('',#43507,#43509,#11058,.T.); #56626=EDGE_CURVE('',#43510,#43506,#11059,.T.); #56627=EDGE_CURVE('',#43510,#43511,#11060,.T.); #56628=EDGE_CURVE('',#43508,#43511,#11061,.T.); #56629=EDGE_CURVE('',#43512,#43510,#1358,.T.); #56630=EDGE_CURVE('',#43512,#43513,#11062,.T.); #56631=EDGE_CURVE('',#43511,#43513,#1359,.T.); #56632=EDGE_CURVE('',#43514,#43512,#35505,.T.); #56633=EDGE_CURVE('',#43514,#43515,#11063,.T.); #56634=EDGE_CURVE('',#43513,#43515,#35506,.T.); #56635=EDGE_CURVE('',#43516,#43514,#35507,.T.); #56636=EDGE_CURVE('',#43516,#43517,#11064,.T.); #56637=EDGE_CURVE('',#43515,#43517,#35508,.T.); #56638=EDGE_CURVE('',#43518,#43516,#1360,.T.); #56639=EDGE_CURVE('',#43518,#43519,#11065,.T.); #56640=EDGE_CURVE('',#43517,#43519,#1361,.T.); #56641=EDGE_CURVE('',#43520,#43518,#11066,.T.); #56642=EDGE_CURVE('',#43520,#43521,#11067,.T.); #56643=EDGE_CURVE('',#43519,#43521,#11068,.T.); #56644=EDGE_CURVE('',#43522,#43520,#1362,.T.); #56645=EDGE_CURVE('',#43522,#43523,#11069,.T.); #56646=EDGE_CURVE('',#43521,#43523,#1363,.T.); #56647=EDGE_CURVE('',#43507,#43524,#1364,.T.); #56648=EDGE_CURVE('',#43525,#43509,#1365,.T.); #56649=EDGE_CURVE('',#43524,#43525,#11070,.T.); #56650=EDGE_CURVE('',#43524,#43526,#11071,.T.); #56651=EDGE_CURVE('',#43527,#43525,#11072,.T.); #56652=EDGE_CURVE('',#43526,#43527,#11073,.T.); #56653=EDGE_CURVE('',#43526,#43528,#1366,.T.); #56654=EDGE_CURVE('',#43529,#43527,#1367,.T.); #56655=EDGE_CURVE('',#43528,#43529,#11074,.T.); #56656=EDGE_CURVE('',#43528,#43530,#35509,.T.); #56657=EDGE_CURVE('',#43531,#43529,#35510,.T.); #56658=EDGE_CURVE('',#43530,#43531,#11075,.T.); #56659=EDGE_CURVE('',#43530,#43532,#35511,.T.); #56660=EDGE_CURVE('',#43533,#43531,#35512,.T.); #56661=EDGE_CURVE('',#43532,#43533,#11076,.T.); #56662=EDGE_CURVE('',#43532,#43534,#1368,.T.); #56663=EDGE_CURVE('',#43535,#43533,#1369,.T.); #56664=EDGE_CURVE('',#43534,#43535,#11077,.T.); #56665=EDGE_CURVE('',#43534,#43536,#11078,.T.); #56666=EDGE_CURVE('',#43537,#43535,#11079,.T.); #56667=EDGE_CURVE('',#43536,#43537,#11080,.T.); #56668=EDGE_CURVE('',#43536,#43522,#1370,.T.); #56669=EDGE_CURVE('',#43523,#43537,#1371,.T.); #56670=EDGE_CURVE('',#43538,#43539,#1372,.T.); #56671=EDGE_CURVE('',#43539,#43540,#11081,.T.); #56672=EDGE_CURVE('',#43540,#43541,#1373,.T.); #56673=EDGE_CURVE('',#43541,#43542,#35513,.T.); #56674=EDGE_CURVE('',#43542,#43543,#35514,.T.); #56675=EDGE_CURVE('',#43543,#43544,#1374,.T.); #56676=EDGE_CURVE('',#43544,#43545,#11082,.T.); #56677=EDGE_CURVE('',#43545,#43546,#1375,.T.); #56678=EDGE_CURVE('',#43546,#43547,#1376,.T.); #56679=EDGE_CURVE('',#43547,#43548,#11083,.T.); #56680=EDGE_CURVE('',#43548,#43549,#1377,.T.); #56681=EDGE_CURVE('',#43549,#43550,#35515,.T.); #56682=EDGE_CURVE('',#43550,#43551,#35516,.T.); #56683=EDGE_CURVE('',#43551,#43552,#1378,.T.); #56684=EDGE_CURVE('',#43552,#43553,#11084,.T.); #56685=EDGE_CURVE('',#43553,#43538,#1379,.T.); #56686=EDGE_CURVE('',#43545,#43554,#11085,.T.); #56687=EDGE_CURVE('',#43555,#43554,#1380,.T.); #56688=EDGE_CURVE('',#43546,#43555,#11086,.T.); #56689=EDGE_CURVE('',#43544,#43556,#11087,.T.); #56690=EDGE_CURVE('',#43554,#43556,#11088,.T.); #56691=EDGE_CURVE('',#43543,#43557,#11089,.T.); #56692=EDGE_CURVE('',#43556,#43557,#1381,.T.); #56693=EDGE_CURVE('',#43542,#43558,#11090,.T.); #56694=EDGE_CURVE('',#43557,#43558,#35517,.T.); #56695=EDGE_CURVE('',#43541,#43559,#11091,.T.); #56696=EDGE_CURVE('',#43558,#43559,#35518,.T.); #56697=EDGE_CURVE('',#43540,#43560,#11092,.T.); #56698=EDGE_CURVE('',#43559,#43560,#1382,.T.); #56699=EDGE_CURVE('',#43539,#43561,#11093,.T.); #56700=EDGE_CURVE('',#43560,#43561,#11094,.T.); #56701=EDGE_CURVE('',#43538,#43562,#11095,.T.); #56702=EDGE_CURVE('',#43561,#43562,#1383,.T.); #56703=EDGE_CURVE('',#43563,#43555,#1384,.T.); #56704=EDGE_CURVE('',#43547,#43563,#11096,.T.); #56705=EDGE_CURVE('',#43564,#43563,#11097,.T.); #56706=EDGE_CURVE('',#43548,#43564,#11098,.T.); #56707=EDGE_CURVE('',#43565,#43564,#1385,.T.); #56708=EDGE_CURVE('',#43549,#43565,#11099,.T.); #56709=EDGE_CURVE('',#43566,#43565,#35519,.T.); #56710=EDGE_CURVE('',#43550,#43566,#11100,.T.); #56711=EDGE_CURVE('',#43567,#43566,#35520,.T.); #56712=EDGE_CURVE('',#43551,#43567,#11101,.T.); #56713=EDGE_CURVE('',#43568,#43567,#1386,.T.); #56714=EDGE_CURVE('',#43552,#43568,#11102,.T.); #56715=EDGE_CURVE('',#43569,#43568,#11103,.T.); #56716=EDGE_CURVE('',#43553,#43569,#11104,.T.); #56717=EDGE_CURVE('',#43562,#43569,#1387,.T.); #56718=EDGE_CURVE('',#43570,#43571,#1388,.T.); #56719=EDGE_CURVE('',#43570,#43572,#11105,.T.); #56720=EDGE_CURVE('',#43573,#43572,#1389,.T.); #56721=EDGE_CURVE('',#43571,#43573,#11106,.T.); #56722=EDGE_CURVE('',#43574,#43570,#11107,.T.); #56723=EDGE_CURVE('',#43574,#43575,#11108,.T.); #56724=EDGE_CURVE('',#43572,#43575,#11109,.T.); #56725=EDGE_CURVE('',#43576,#43574,#1390,.T.); #56726=EDGE_CURVE('',#43576,#43577,#11110,.T.); #56727=EDGE_CURVE('',#43575,#43577,#1391,.T.); #56728=EDGE_CURVE('',#43578,#43576,#35521,.T.); #56729=EDGE_CURVE('',#43578,#43579,#11111,.T.); #56730=EDGE_CURVE('',#43577,#43579,#35522,.T.); #56731=EDGE_CURVE('',#43580,#43578,#35523,.T.); #56732=EDGE_CURVE('',#43580,#43581,#11112,.T.); #56733=EDGE_CURVE('',#43579,#43581,#35524,.T.); #56734=EDGE_CURVE('',#43582,#43580,#1392,.T.); #56735=EDGE_CURVE('',#43582,#43583,#11113,.T.); #56736=EDGE_CURVE('',#43581,#43583,#1393,.T.); #56737=EDGE_CURVE('',#43584,#43582,#11114,.T.); #56738=EDGE_CURVE('',#43584,#43585,#11115,.T.); #56739=EDGE_CURVE('',#43583,#43585,#11116,.T.); #56740=EDGE_CURVE('',#43586,#43584,#1394,.T.); #56741=EDGE_CURVE('',#43586,#43587,#11117,.T.); #56742=EDGE_CURVE('',#43585,#43587,#1395,.T.); #56743=EDGE_CURVE('',#43571,#43588,#1396,.T.); #56744=EDGE_CURVE('',#43589,#43573,#1397,.T.); #56745=EDGE_CURVE('',#43588,#43589,#11118,.T.); #56746=EDGE_CURVE('',#43588,#43590,#11119,.T.); #56747=EDGE_CURVE('',#43591,#43589,#11120,.T.); #56748=EDGE_CURVE('',#43590,#43591,#11121,.T.); #56749=EDGE_CURVE('',#43590,#43592,#1398,.T.); #56750=EDGE_CURVE('',#43593,#43591,#1399,.T.); #56751=EDGE_CURVE('',#43592,#43593,#11122,.T.); #56752=EDGE_CURVE('',#43592,#43594,#35525,.T.); #56753=EDGE_CURVE('',#43595,#43593,#35526,.T.); #56754=EDGE_CURVE('',#43594,#43595,#11123,.T.); #56755=EDGE_CURVE('',#43594,#43596,#35527,.T.); #56756=EDGE_CURVE('',#43597,#43595,#35528,.T.); #56757=EDGE_CURVE('',#43596,#43597,#11124,.T.); #56758=EDGE_CURVE('',#43596,#43598,#1400,.T.); #56759=EDGE_CURVE('',#43599,#43597,#1401,.T.); #56760=EDGE_CURVE('',#43598,#43599,#11125,.T.); #56761=EDGE_CURVE('',#43598,#43600,#11126,.T.); #56762=EDGE_CURVE('',#43601,#43599,#11127,.T.); #56763=EDGE_CURVE('',#43600,#43601,#11128,.T.); #56764=EDGE_CURVE('',#43600,#43586,#1402,.T.); #56765=EDGE_CURVE('',#43587,#43601,#1403,.T.); #56766=EDGE_CURVE('',#43602,#43603,#1404,.T.); #56767=EDGE_CURVE('',#43603,#43604,#11129,.T.); #56768=EDGE_CURVE('',#43604,#43605,#1405,.T.); #56769=EDGE_CURVE('',#43605,#43606,#35529,.T.); #56770=EDGE_CURVE('',#43606,#43607,#35530,.T.); #56771=EDGE_CURVE('',#43607,#43608,#1406,.T.); #56772=EDGE_CURVE('',#43608,#43609,#11130,.T.); #56773=EDGE_CURVE('',#43609,#43610,#1407,.T.); #56774=EDGE_CURVE('',#43610,#43611,#1408,.T.); #56775=EDGE_CURVE('',#43611,#43612,#11131,.T.); #56776=EDGE_CURVE('',#43612,#43613,#1409,.T.); #56777=EDGE_CURVE('',#43613,#43614,#35531,.T.); #56778=EDGE_CURVE('',#43614,#43615,#35532,.T.); #56779=EDGE_CURVE('',#43615,#43616,#1410,.T.); #56780=EDGE_CURVE('',#43616,#43617,#11132,.T.); #56781=EDGE_CURVE('',#43617,#43602,#1411,.T.); #56782=EDGE_CURVE('',#43609,#43618,#11133,.T.); #56783=EDGE_CURVE('',#43619,#43618,#1412,.T.); #56784=EDGE_CURVE('',#43610,#43619,#11134,.T.); #56785=EDGE_CURVE('',#43608,#43620,#11135,.T.); #56786=EDGE_CURVE('',#43618,#43620,#11136,.T.); #56787=EDGE_CURVE('',#43607,#43621,#11137,.T.); #56788=EDGE_CURVE('',#43620,#43621,#1413,.T.); #56789=EDGE_CURVE('',#43606,#43622,#11138,.T.); #56790=EDGE_CURVE('',#43621,#43622,#35533,.T.); #56791=EDGE_CURVE('',#43605,#43623,#11139,.T.); #56792=EDGE_CURVE('',#43622,#43623,#35534,.T.); #56793=EDGE_CURVE('',#43604,#43624,#11140,.T.); #56794=EDGE_CURVE('',#43623,#43624,#1414,.T.); #56795=EDGE_CURVE('',#43603,#43625,#11141,.T.); #56796=EDGE_CURVE('',#43624,#43625,#11142,.T.); #56797=EDGE_CURVE('',#43602,#43626,#11143,.T.); #56798=EDGE_CURVE('',#43625,#43626,#1415,.T.); #56799=EDGE_CURVE('',#43627,#43619,#1416,.T.); #56800=EDGE_CURVE('',#43611,#43627,#11144,.T.); #56801=EDGE_CURVE('',#43628,#43627,#11145,.T.); #56802=EDGE_CURVE('',#43612,#43628,#11146,.T.); #56803=EDGE_CURVE('',#43629,#43628,#1417,.T.); #56804=EDGE_CURVE('',#43613,#43629,#11147,.T.); #56805=EDGE_CURVE('',#43630,#43629,#35535,.T.); #56806=EDGE_CURVE('',#43614,#43630,#11148,.T.); #56807=EDGE_CURVE('',#43631,#43630,#35536,.T.); #56808=EDGE_CURVE('',#43615,#43631,#11149,.T.); #56809=EDGE_CURVE('',#43632,#43631,#1418,.T.); #56810=EDGE_CURVE('',#43616,#43632,#11150,.T.); #56811=EDGE_CURVE('',#43633,#43632,#11151,.T.); #56812=EDGE_CURVE('',#43617,#43633,#11152,.T.); #56813=EDGE_CURVE('',#43626,#43633,#1419,.T.); #56814=EDGE_CURVE('',#43634,#43635,#1420,.T.); #56815=EDGE_CURVE('',#43634,#43636,#11153,.T.); #56816=EDGE_CURVE('',#43637,#43636,#1421,.T.); #56817=EDGE_CURVE('',#43635,#43637,#11154,.T.); #56818=EDGE_CURVE('',#43638,#43634,#11155,.T.); #56819=EDGE_CURVE('',#43638,#43639,#11156,.T.); #56820=EDGE_CURVE('',#43636,#43639,#11157,.T.); #56821=EDGE_CURVE('',#43640,#43638,#1422,.T.); #56822=EDGE_CURVE('',#43640,#43641,#11158,.T.); #56823=EDGE_CURVE('',#43639,#43641,#1423,.T.); #56824=EDGE_CURVE('',#43642,#43640,#35537,.T.); #56825=EDGE_CURVE('',#43642,#43643,#11159,.T.); #56826=EDGE_CURVE('',#43641,#43643,#35538,.T.); #56827=EDGE_CURVE('',#43644,#43642,#35539,.T.); #56828=EDGE_CURVE('',#43644,#43645,#11160,.T.); #56829=EDGE_CURVE('',#43643,#43645,#35540,.T.); #56830=EDGE_CURVE('',#43646,#43644,#1424,.T.); #56831=EDGE_CURVE('',#43646,#43647,#11161,.T.); #56832=EDGE_CURVE('',#43645,#43647,#1425,.T.); #56833=EDGE_CURVE('',#43648,#43646,#11162,.T.); #56834=EDGE_CURVE('',#43648,#43649,#11163,.T.); #56835=EDGE_CURVE('',#43647,#43649,#11164,.T.); #56836=EDGE_CURVE('',#43650,#43648,#1426,.T.); #56837=EDGE_CURVE('',#43650,#43651,#11165,.T.); #56838=EDGE_CURVE('',#43649,#43651,#1427,.T.); #56839=EDGE_CURVE('',#43635,#43652,#1428,.T.); #56840=EDGE_CURVE('',#43653,#43637,#1429,.T.); #56841=EDGE_CURVE('',#43652,#43653,#11166,.T.); #56842=EDGE_CURVE('',#43652,#43654,#11167,.T.); #56843=EDGE_CURVE('',#43655,#43653,#11168,.T.); #56844=EDGE_CURVE('',#43654,#43655,#11169,.T.); #56845=EDGE_CURVE('',#43654,#43656,#1430,.T.); #56846=EDGE_CURVE('',#43657,#43655,#1431,.T.); #56847=EDGE_CURVE('',#43656,#43657,#11170,.T.); #56848=EDGE_CURVE('',#43656,#43658,#35541,.T.); #56849=EDGE_CURVE('',#43659,#43657,#35542,.T.); #56850=EDGE_CURVE('',#43658,#43659,#11171,.T.); #56851=EDGE_CURVE('',#43658,#43660,#35543,.T.); #56852=EDGE_CURVE('',#43661,#43659,#35544,.T.); #56853=EDGE_CURVE('',#43660,#43661,#11172,.T.); #56854=EDGE_CURVE('',#43660,#43662,#1432,.T.); #56855=EDGE_CURVE('',#43663,#43661,#1433,.T.); #56856=EDGE_CURVE('',#43662,#43663,#11173,.T.); #56857=EDGE_CURVE('',#43662,#43664,#11174,.T.); #56858=EDGE_CURVE('',#43665,#43663,#11175,.T.); #56859=EDGE_CURVE('',#43664,#43665,#11176,.T.); #56860=EDGE_CURVE('',#43664,#43650,#1434,.T.); #56861=EDGE_CURVE('',#43651,#43665,#1435,.T.); #56862=EDGE_CURVE('',#43666,#43667,#1436,.T.); #56863=EDGE_CURVE('',#43667,#43668,#11177,.T.); #56864=EDGE_CURVE('',#43668,#43669,#1437,.T.); #56865=EDGE_CURVE('',#43669,#43670,#35545,.T.); #56866=EDGE_CURVE('',#43670,#43671,#35546,.T.); #56867=EDGE_CURVE('',#43671,#43672,#1438,.T.); #56868=EDGE_CURVE('',#43672,#43673,#11178,.T.); #56869=EDGE_CURVE('',#43673,#43674,#1439,.T.); #56870=EDGE_CURVE('',#43674,#43675,#1440,.T.); #56871=EDGE_CURVE('',#43675,#43676,#11179,.T.); #56872=EDGE_CURVE('',#43676,#43677,#1441,.T.); #56873=EDGE_CURVE('',#43677,#43678,#35547,.T.); #56874=EDGE_CURVE('',#43678,#43679,#35548,.T.); #56875=EDGE_CURVE('',#43679,#43680,#1442,.T.); #56876=EDGE_CURVE('',#43680,#43681,#11180,.T.); #56877=EDGE_CURVE('',#43681,#43666,#1443,.T.); #56878=EDGE_CURVE('',#43673,#43682,#11181,.T.); #56879=EDGE_CURVE('',#43683,#43682,#1444,.T.); #56880=EDGE_CURVE('',#43674,#43683,#11182,.T.); #56881=EDGE_CURVE('',#43672,#43684,#11183,.T.); #56882=EDGE_CURVE('',#43682,#43684,#11184,.T.); #56883=EDGE_CURVE('',#43671,#43685,#11185,.T.); #56884=EDGE_CURVE('',#43684,#43685,#1445,.T.); #56885=EDGE_CURVE('',#43670,#43686,#11186,.T.); #56886=EDGE_CURVE('',#43685,#43686,#35549,.T.); #56887=EDGE_CURVE('',#43669,#43687,#11187,.T.); #56888=EDGE_CURVE('',#43686,#43687,#35550,.T.); #56889=EDGE_CURVE('',#43668,#43688,#11188,.T.); #56890=EDGE_CURVE('',#43687,#43688,#1446,.T.); #56891=EDGE_CURVE('',#43667,#43689,#11189,.T.); #56892=EDGE_CURVE('',#43688,#43689,#11190,.T.); #56893=EDGE_CURVE('',#43666,#43690,#11191,.T.); #56894=EDGE_CURVE('',#43689,#43690,#1447,.T.); #56895=EDGE_CURVE('',#43691,#43683,#1448,.T.); #56896=EDGE_CURVE('',#43675,#43691,#11192,.T.); #56897=EDGE_CURVE('',#43692,#43691,#11193,.T.); #56898=EDGE_CURVE('',#43676,#43692,#11194,.T.); #56899=EDGE_CURVE('',#43693,#43692,#1449,.T.); #56900=EDGE_CURVE('',#43677,#43693,#11195,.T.); #56901=EDGE_CURVE('',#43694,#43693,#35551,.T.); #56902=EDGE_CURVE('',#43678,#43694,#11196,.T.); #56903=EDGE_CURVE('',#43695,#43694,#35552,.T.); #56904=EDGE_CURVE('',#43679,#43695,#11197,.T.); #56905=EDGE_CURVE('',#43696,#43695,#1450,.T.); #56906=EDGE_CURVE('',#43680,#43696,#11198,.T.); #56907=EDGE_CURVE('',#43697,#43696,#11199,.T.); #56908=EDGE_CURVE('',#43681,#43697,#11200,.T.); #56909=EDGE_CURVE('',#43690,#43697,#1451,.T.); #56910=EDGE_CURVE('',#43698,#43699,#1452,.T.); #56911=EDGE_CURVE('',#43698,#43700,#11201,.T.); #56912=EDGE_CURVE('',#43701,#43700,#1453,.T.); #56913=EDGE_CURVE('',#43699,#43701,#11202,.T.); #56914=EDGE_CURVE('',#43702,#43698,#11203,.T.); #56915=EDGE_CURVE('',#43702,#43703,#11204,.T.); #56916=EDGE_CURVE('',#43700,#43703,#11205,.T.); #56917=EDGE_CURVE('',#43704,#43702,#1454,.T.); #56918=EDGE_CURVE('',#43704,#43705,#11206,.T.); #56919=EDGE_CURVE('',#43703,#43705,#1455,.T.); #56920=EDGE_CURVE('',#43706,#43704,#35553,.T.); #56921=EDGE_CURVE('',#43706,#43707,#11207,.T.); #56922=EDGE_CURVE('',#43705,#43707,#35554,.T.); #56923=EDGE_CURVE('',#43708,#43706,#35555,.T.); #56924=EDGE_CURVE('',#43708,#43709,#11208,.T.); #56925=EDGE_CURVE('',#43707,#43709,#35556,.T.); #56926=EDGE_CURVE('',#43710,#43708,#1456,.T.); #56927=EDGE_CURVE('',#43710,#43711,#11209,.T.); #56928=EDGE_CURVE('',#43709,#43711,#1457,.T.); #56929=EDGE_CURVE('',#43712,#43710,#11210,.T.); #56930=EDGE_CURVE('',#43712,#43713,#11211,.T.); #56931=EDGE_CURVE('',#43711,#43713,#11212,.T.); #56932=EDGE_CURVE('',#43714,#43712,#1458,.T.); #56933=EDGE_CURVE('',#43714,#43715,#11213,.T.); #56934=EDGE_CURVE('',#43713,#43715,#1459,.T.); #56935=EDGE_CURVE('',#43699,#43716,#1460,.T.); #56936=EDGE_CURVE('',#43717,#43701,#1461,.T.); #56937=EDGE_CURVE('',#43716,#43717,#11214,.T.); #56938=EDGE_CURVE('',#43716,#43718,#11215,.T.); #56939=EDGE_CURVE('',#43719,#43717,#11216,.T.); #56940=EDGE_CURVE('',#43718,#43719,#11217,.T.); #56941=EDGE_CURVE('',#43718,#43720,#1462,.T.); #56942=EDGE_CURVE('',#43721,#43719,#1463,.T.); #56943=EDGE_CURVE('',#43720,#43721,#11218,.T.); #56944=EDGE_CURVE('',#43720,#43722,#35557,.T.); #56945=EDGE_CURVE('',#43723,#43721,#35558,.T.); #56946=EDGE_CURVE('',#43722,#43723,#11219,.T.); #56947=EDGE_CURVE('',#43722,#43724,#35559,.T.); #56948=EDGE_CURVE('',#43725,#43723,#35560,.T.); #56949=EDGE_CURVE('',#43724,#43725,#11220,.T.); #56950=EDGE_CURVE('',#43724,#43726,#1464,.T.); #56951=EDGE_CURVE('',#43727,#43725,#1465,.T.); #56952=EDGE_CURVE('',#43726,#43727,#11221,.T.); #56953=EDGE_CURVE('',#43726,#43728,#11222,.T.); #56954=EDGE_CURVE('',#43729,#43727,#11223,.T.); #56955=EDGE_CURVE('',#43728,#43729,#11224,.T.); #56956=EDGE_CURVE('',#43728,#43714,#1466,.T.); #56957=EDGE_CURVE('',#43715,#43729,#1467,.T.); #56958=EDGE_CURVE('',#43730,#43731,#1468,.T.); #56959=EDGE_CURVE('',#43731,#43732,#11225,.T.); #56960=EDGE_CURVE('',#43732,#43733,#1469,.T.); #56961=EDGE_CURVE('',#43730,#43733,#11226,.T.); #56962=EDGE_CURVE('',#43731,#43734,#35561,.T.); #56963=EDGE_CURVE('',#43734,#43735,#11227,.T.); #56964=EDGE_CURVE('',#43735,#43732,#35562,.T.); #56965=EDGE_CURVE('',#43734,#43736,#11228,.T.); #56966=EDGE_CURVE('',#43736,#43737,#11229,.T.); #56967=EDGE_CURVE('',#43737,#43735,#11230,.T.); #56968=EDGE_CURVE('',#43736,#43738,#35563,.T.); #56969=EDGE_CURVE('',#43738,#43739,#11231,.T.); #56970=EDGE_CURVE('',#43739,#43737,#35564,.T.); #56971=EDGE_CURVE('',#43740,#43730,#35565,.T.); #56972=EDGE_CURVE('',#43741,#43740,#11232,.T.); #56973=EDGE_CURVE('',#43742,#43741,#35566,.T.); #56974=EDGE_CURVE('',#43738,#43742,#1470,.T.); #56975=EDGE_CURVE('',#43742,#43743,#11233,.T.); #56976=EDGE_CURVE('',#43743,#43739,#1471,.T.); #56977=EDGE_CURVE('',#43741,#43744,#11234,.T.); #56978=EDGE_CURVE('',#43744,#43743,#35567,.T.); #56979=EDGE_CURVE('',#43740,#43745,#11235,.T.); #56980=EDGE_CURVE('',#43745,#43744,#11236,.T.); #56981=EDGE_CURVE('',#43733,#43745,#35568,.T.); #56982=EDGE_CURVE('',#43746,#43747,#32518,.T.); #56983=EDGE_CURVE('',#43747,#43748,#32519,.T.); #56984=EDGE_CURVE('',#43748,#43749,#32520,.T.); #56985=EDGE_CURVE('',#43746,#43749,#32521,.T.); #56986=EDGE_CURVE('',#43750,#43746,#11237,.T.); #56987=EDGE_CURVE('',#43749,#43751,#11238,.T.); #56988=EDGE_CURVE('',#43750,#43751,#32522,.T.); #56989=EDGE_CURVE('',#43752,#43750,#32523,.T.); #56990=EDGE_CURVE('',#43751,#43753,#32524,.T.); #56991=EDGE_CURVE('',#43752,#43753,#32525,.T.); #56992=EDGE_CURVE('',#43754,#43752,#32526,.T.); #56993=EDGE_CURVE('',#43753,#43755,#32527,.T.); #56994=EDGE_CURVE('',#43754,#43755,#32528,.T.); #56995=EDGE_CURVE('',#43756,#43754,#32529,.T.); #56996=EDGE_CURVE('',#43755,#43757,#32530,.T.); #56997=EDGE_CURVE('',#43756,#43757,#32531,.T.); #56998=EDGE_CURVE('',#43758,#43756,#11239,.T.); #56999=EDGE_CURVE('',#43757,#43759,#11240,.T.); #57000=EDGE_CURVE('',#43758,#43759,#32532,.T.); #57001=EDGE_CURVE('',#43760,#43758,#32533,.T.); #57002=EDGE_CURVE('',#43759,#43761,#32534,.T.); #57003=EDGE_CURVE('',#43760,#43761,#32535,.T.); #57004=EDGE_CURVE('',#43762,#43760,#32536,.T.); #57005=EDGE_CURVE('',#43761,#43763,#32537,.T.); #57006=EDGE_CURVE('',#43762,#43763,#32538,.T.); #57007=EDGE_CURVE('',#43764,#43762,#32539,.T.); #57008=EDGE_CURVE('',#43763,#43765,#32540,.T.); #57009=EDGE_CURVE('',#43764,#43765,#32541,.T.); #57010=EDGE_CURVE('',#43747,#43764,#32542,.T.); #57011=EDGE_CURVE('',#43765,#43748,#32543,.T.); #57012=EDGE_CURVE('',#43766,#43767,#32544,.T.); #57013=EDGE_CURVE('',#43767,#43747,#32545,.T.); #57014=EDGE_CURVE('',#43766,#43746,#32546,.T.); #57015=EDGE_CURVE('',#43768,#43766,#11241,.T.); #57016=EDGE_CURVE('',#43768,#43750,#32547,.T.); #57017=EDGE_CURVE('',#43769,#43768,#32548,.T.); #57018=EDGE_CURVE('',#43769,#43752,#32549,.T.); #57019=EDGE_CURVE('',#43770,#43769,#32550,.T.); #57020=EDGE_CURVE('',#43770,#43754,#32551,.T.); #57021=EDGE_CURVE('',#43771,#43770,#32552,.T.); #57022=EDGE_CURVE('',#43771,#43756,#32553,.T.); #57023=EDGE_CURVE('',#43772,#43771,#11242,.T.); #57024=EDGE_CURVE('',#43772,#43758,#32554,.T.); #57025=EDGE_CURVE('',#43773,#43772,#32555,.T.); #57026=EDGE_CURVE('',#43773,#43760,#32556,.T.); #57027=EDGE_CURVE('',#43774,#43773,#32557,.T.); #57028=EDGE_CURVE('',#43774,#43762,#32558,.T.); #57029=EDGE_CURVE('',#43775,#43774,#32559,.T.); #57030=EDGE_CURVE('',#43775,#43764,#32560,.T.); #57031=EDGE_CURVE('',#43767,#43775,#32561,.T.); #57032=EDGE_CURVE('',#43776,#43777,#32562,.T.); #57033=EDGE_CURVE('',#43777,#43767,#32563,.T.); #57034=EDGE_CURVE('',#43776,#43766,#32564,.T.); #57035=EDGE_CURVE('',#43778,#43776,#11243,.T.); #57036=EDGE_CURVE('',#43778,#43768,#32565,.T.); #57037=EDGE_CURVE('',#43779,#43778,#32566,.T.); #57038=EDGE_CURVE('',#43779,#43769,#32567,.T.); #57039=EDGE_CURVE('',#43780,#43779,#32568,.T.); #57040=EDGE_CURVE('',#43780,#43770,#32569,.T.); #57041=EDGE_CURVE('',#43781,#43780,#32570,.T.); #57042=EDGE_CURVE('',#43781,#43771,#32571,.T.); #57043=EDGE_CURVE('',#43782,#43781,#11244,.T.); #57044=EDGE_CURVE('',#43782,#43772,#32572,.T.); #57045=EDGE_CURVE('',#43783,#43782,#32573,.T.); #57046=EDGE_CURVE('',#43783,#43773,#32574,.T.); #57047=EDGE_CURVE('',#43784,#43783,#32575,.T.); #57048=EDGE_CURVE('',#43784,#43774,#32576,.T.); #57049=EDGE_CURVE('',#43785,#43784,#32577,.T.); #57050=EDGE_CURVE('',#43785,#43775,#32578,.T.); #57051=EDGE_CURVE('',#43777,#43785,#32579,.T.); #57052=EDGE_CURVE('',#43786,#43787,#32580,.T.); #57053=EDGE_CURVE('',#43787,#43777,#32581,.T.); #57054=EDGE_CURVE('',#43786,#43776,#32582,.T.); #57055=EDGE_CURVE('',#43788,#43786,#11245,.T.); #57056=EDGE_CURVE('',#43788,#43778,#32583,.T.); #57057=EDGE_CURVE('',#43789,#43788,#32584,.T.); #57058=EDGE_CURVE('',#43789,#43779,#32585,.T.); #57059=EDGE_CURVE('',#43790,#43789,#32586,.T.); #57060=EDGE_CURVE('',#43790,#43780,#32587,.T.); #57061=EDGE_CURVE('',#43791,#43790,#32588,.T.); #57062=EDGE_CURVE('',#43791,#43781,#32589,.T.); #57063=EDGE_CURVE('',#43792,#43791,#11246,.T.); #57064=EDGE_CURVE('',#43792,#43782,#32590,.T.); #57065=EDGE_CURVE('',#43793,#43792,#32591,.T.); #57066=EDGE_CURVE('',#43793,#43783,#32592,.T.); #57067=EDGE_CURVE('',#43794,#43793,#32593,.T.); #57068=EDGE_CURVE('',#43794,#43784,#32594,.T.); #57069=EDGE_CURVE('',#43795,#43794,#32595,.T.); #57070=EDGE_CURVE('',#43795,#43785,#32596,.T.); #57071=EDGE_CURVE('',#43787,#43795,#32597,.T.); #57072=EDGE_CURVE('',#43796,#43797,#32598,.T.); #57073=EDGE_CURVE('',#43797,#43787,#32599,.T.); #57074=EDGE_CURVE('',#43796,#43786,#32600,.T.); #57075=EDGE_CURVE('',#43798,#43796,#11247,.T.); #57076=EDGE_CURVE('',#43798,#43788,#32601,.T.); #57077=EDGE_CURVE('',#43799,#43798,#32602,.T.); #57078=EDGE_CURVE('',#43799,#43789,#32603,.T.); #57079=EDGE_CURVE('',#43800,#43799,#32604,.T.); #57080=EDGE_CURVE('',#43800,#43790,#32605,.T.); #57081=EDGE_CURVE('',#43801,#43800,#32606,.T.); #57082=EDGE_CURVE('',#43801,#43791,#32607,.T.); #57083=EDGE_CURVE('',#43802,#43801,#11248,.T.); #57084=EDGE_CURVE('',#43802,#43792,#32608,.T.); #57085=EDGE_CURVE('',#43803,#43802,#32609,.T.); #57086=EDGE_CURVE('',#43803,#43793,#32610,.T.); #57087=EDGE_CURVE('',#43804,#43803,#32611,.T.); #57088=EDGE_CURVE('',#43804,#43794,#32612,.T.); #57089=EDGE_CURVE('',#43805,#43804,#32613,.T.); #57090=EDGE_CURVE('',#43805,#43795,#32614,.T.); #57091=EDGE_CURVE('',#43797,#43805,#32615,.T.); #57092=EDGE_CURVE('',#43806,#43807,#32616,.T.); #57093=EDGE_CURVE('',#43807,#43797,#32617,.T.); #57094=EDGE_CURVE('',#43806,#43796,#32618,.T.); #57095=EDGE_CURVE('',#43808,#43806,#11249,.T.); #57096=EDGE_CURVE('',#43808,#43798,#32619,.T.); #57097=EDGE_CURVE('',#43809,#43808,#32620,.T.); #57098=EDGE_CURVE('',#43809,#43799,#32621,.T.); #57099=EDGE_CURVE('',#43810,#43809,#32622,.T.); #57100=EDGE_CURVE('',#43810,#43800,#32623,.T.); #57101=EDGE_CURVE('',#43811,#43810,#32624,.T.); #57102=EDGE_CURVE('',#43811,#43801,#32625,.T.); #57103=EDGE_CURVE('',#43812,#43811,#11250,.T.); #57104=EDGE_CURVE('',#43812,#43802,#32626,.T.); #57105=EDGE_CURVE('',#43813,#43812,#32627,.T.); #57106=EDGE_CURVE('',#43813,#43803,#32628,.T.); #57107=EDGE_CURVE('',#43814,#43813,#32629,.T.); #57108=EDGE_CURVE('',#43814,#43804,#32630,.T.); #57109=EDGE_CURVE('',#43815,#43814,#32631,.T.); #57110=EDGE_CURVE('',#43815,#43805,#32632,.T.); #57111=EDGE_CURVE('',#43807,#43815,#32633,.T.); #57112=EDGE_CURVE('',#43816,#43817,#32634,.T.); #57113=EDGE_CURVE('',#43817,#43807,#32635,.T.); #57114=EDGE_CURVE('',#43816,#43806,#32636,.T.); #57115=EDGE_CURVE('',#43818,#43816,#11251,.T.); #57116=EDGE_CURVE('',#43818,#43808,#32637,.T.); #57117=EDGE_CURVE('',#43819,#43818,#32638,.T.); #57118=EDGE_CURVE('',#43819,#43809,#32639,.T.); #57119=EDGE_CURVE('',#43820,#43819,#32640,.T.); #57120=EDGE_CURVE('',#43820,#43810,#32641,.T.); #57121=EDGE_CURVE('',#43821,#43820,#32642,.T.); #57122=EDGE_CURVE('',#43821,#43811,#32643,.T.); #57123=EDGE_CURVE('',#43822,#43821,#11252,.T.); #57124=EDGE_CURVE('',#43822,#43812,#32644,.T.); #57125=EDGE_CURVE('',#43823,#43822,#32645,.T.); #57126=EDGE_CURVE('',#43823,#43813,#32646,.T.); #57127=EDGE_CURVE('',#43824,#43823,#32647,.T.); #57128=EDGE_CURVE('',#43824,#43814,#32648,.T.); #57129=EDGE_CURVE('',#43825,#43824,#32649,.T.); #57130=EDGE_CURVE('',#43825,#43815,#32650,.T.); #57131=EDGE_CURVE('',#43817,#43825,#32651,.T.); #57132=EDGE_CURVE('',#43748,#43817,#32652,.T.); #57133=EDGE_CURVE('',#43749,#43816,#32653,.T.); #57134=EDGE_CURVE('',#43751,#43818,#32654,.T.); #57135=EDGE_CURVE('',#43753,#43819,#32655,.T.); #57136=EDGE_CURVE('',#43755,#43820,#32656,.T.); #57137=EDGE_CURVE('',#43757,#43821,#32657,.T.); #57138=EDGE_CURVE('',#43759,#43822,#32658,.T.); #57139=EDGE_CURVE('',#43761,#43823,#32659,.T.); #57140=EDGE_CURVE('',#43763,#43824,#32660,.T.); #57141=EDGE_CURVE('',#43765,#43825,#32661,.T.); #57142=ORIENTED_EDGE('',*,*,#43826,.F.); #57143=ORIENTED_EDGE('',*,*,#43827,.T.); #57144=ORIENTED_EDGE('',*,*,#43828,.F.); #57145=ORIENTED_EDGE('',*,*,#43829,.F.); #57146=ORIENTED_EDGE('',*,*,#43827,.F.); #57147=ORIENTED_EDGE('',*,*,#43830,.T.); #57148=ORIENTED_EDGE('',*,*,#43831,.T.); #57149=ORIENTED_EDGE('',*,*,#43832,.F.); #57150=ORIENTED_EDGE('',*,*,#43830,.F.); #57151=ORIENTED_EDGE('',*,*,#43833,.T.); #57152=ORIENTED_EDGE('',*,*,#43834,.F.); #57153=ORIENTED_EDGE('',*,*,#43835,.T.); #57154=ORIENTED_EDGE('',*,*,#43833,.F.); #57155=ORIENTED_EDGE('',*,*,#43836,.T.); #57156=ORIENTED_EDGE('',*,*,#43837,.F.); #57157=ORIENTED_EDGE('',*,*,#43838,.F.); #57158=ORIENTED_EDGE('',*,*,#43836,.F.); #57159=ORIENTED_EDGE('',*,*,#43839,.T.); #57160=ORIENTED_EDGE('',*,*,#43840,.T.); #57161=ORIENTED_EDGE('',*,*,#43841,.F.); #57162=ORIENTED_EDGE('',*,*,#43842,.T.); #57163=ORIENTED_EDGE('',*,*,#43843,.T.); #57164=ORIENTED_EDGE('',*,*,#43844,.T.); #57165=ORIENTED_EDGE('',*,*,#43845,.F.); #57166=ORIENTED_EDGE('',*,*,#43846,.T.); #57167=ORIENTED_EDGE('',*,*,#43847,.T.); #57168=ORIENTED_EDGE('',*,*,#43848,.F.); #57169=ORIENTED_EDGE('',*,*,#43849,.T.); #57170=ORIENTED_EDGE('',*,*,#43850,.T.); #57171=ORIENTED_EDGE('',*,*,#43851,.T.); #57172=ORIENTED_EDGE('',*,*,#43852,.T.); #57173=ORIENTED_EDGE('',*,*,#43853,.F.); #57174=ORIENTED_EDGE('',*,*,#43854,.T.); #57175=ORIENTED_EDGE('',*,*,#43855,.F.); #57176=ORIENTED_EDGE('',*,*,#43856,.T.); #57177=ORIENTED_EDGE('',*,*,#43857,.T.); #57178=ORIENTED_EDGE('',*,*,#43858,.T.); #57179=ORIENTED_EDGE('',*,*,#43859,.T.); #57180=ORIENTED_EDGE('',*,*,#43860,.F.); #57181=ORIENTED_EDGE('',*,*,#43861,.T.); #57182=ORIENTED_EDGE('',*,*,#43862,.T.); #57183=ORIENTED_EDGE('',*,*,#43863,.F.); #57184=ORIENTED_EDGE('',*,*,#43864,.F.); #57185=ORIENTED_EDGE('',*,*,#43865,.T.); #57186=ORIENTED_EDGE('',*,*,#43866,.F.); #57187=ORIENTED_EDGE('',*,*,#43867,.T.); #57188=ORIENTED_EDGE('',*,*,#43868,.F.); #57189=ORIENTED_EDGE('',*,*,#43869,.T.); #57190=ORIENTED_EDGE('',*,*,#43870,.T.); #57191=ORIENTED_EDGE('',*,*,#43869,.F.); #57192=ORIENTED_EDGE('',*,*,#43870,.F.); #57193=ORIENTED_EDGE('',*,*,#43871,.T.); #57194=ORIENTED_EDGE('',*,*,#43872,.T.); #57195=ORIENTED_EDGE('',*,*,#43873,.T.); #57196=ORIENTED_EDGE('',*,*,#43874,.T.); #57197=ORIENTED_EDGE('',*,*,#43875,.T.); #57198=ORIENTED_EDGE('',*,*,#43876,.T.); #57199=ORIENTED_EDGE('',*,*,#43873,.F.); #57200=ORIENTED_EDGE('',*,*,#43877,.T.); #57201=ORIENTED_EDGE('',*,*,#43878,.T.); #57202=ORIENTED_EDGE('',*,*,#43879,.T.); #57203=ORIENTED_EDGE('',*,*,#43875,.F.); #57204=ORIENTED_EDGE('',*,*,#43880,.T.); #57205=ORIENTED_EDGE('',*,*,#43881,.T.); #57206=ORIENTED_EDGE('',*,*,#43882,.T.); #57207=ORIENTED_EDGE('',*,*,#43878,.F.); #57208=ORIENTED_EDGE('',*,*,#43883,.T.); #57209=ORIENTED_EDGE('',*,*,#43884,.T.); #57210=ORIENTED_EDGE('',*,*,#43885,.T.); #57211=ORIENTED_EDGE('',*,*,#43881,.F.); #57212=ORIENTED_EDGE('',*,*,#43886,.T.); #57213=ORIENTED_EDGE('',*,*,#43887,.T.); #57214=ORIENTED_EDGE('',*,*,#43888,.T.); #57215=ORIENTED_EDGE('',*,*,#43884,.F.); #57216=ORIENTED_EDGE('',*,*,#43889,.T.); #57217=ORIENTED_EDGE('',*,*,#43890,.T.); #57218=ORIENTED_EDGE('',*,*,#43891,.T.); #57219=ORIENTED_EDGE('',*,*,#43887,.F.); #57220=ORIENTED_EDGE('',*,*,#43892,.T.); #57221=ORIENTED_EDGE('',*,*,#43871,.F.); #57222=ORIENTED_EDGE('',*,*,#43893,.T.); #57223=ORIENTED_EDGE('',*,*,#43890,.F.); #57224=ORIENTED_EDGE('',*,*,#43894,.T.); #57225=ORIENTED_EDGE('',*,*,#43883,.F.); #57226=ORIENTED_EDGE('',*,*,#43895,.F.); #57227=ORIENTED_EDGE('',*,*,#43896,.F.); #57228=ORIENTED_EDGE('',*,*,#43897,.T.); #57229=ORIENTED_EDGE('',*,*,#43880,.F.); #57230=ORIENTED_EDGE('',*,*,#43898,.F.); #57231=ORIENTED_EDGE('',*,*,#43899,.F.); #57232=ORIENTED_EDGE('',*,*,#43895,.T.); #57233=ORIENTED_EDGE('',*,*,#43877,.F.); #57234=ORIENTED_EDGE('',*,*,#43900,.F.); #57235=ORIENTED_EDGE('',*,*,#43901,.F.); #57236=ORIENTED_EDGE('',*,*,#43898,.T.); #57237=ORIENTED_EDGE('',*,*,#43872,.F.); #57238=ORIENTED_EDGE('',*,*,#43902,.F.); #57239=ORIENTED_EDGE('',*,*,#43903,.F.); #57240=ORIENTED_EDGE('',*,*,#43900,.T.); #57241=ORIENTED_EDGE('',*,*,#43904,.T.); #57242=ORIENTED_EDGE('',*,*,#43905,.T.); #57243=ORIENTED_EDGE('',*,*,#43906,.T.); #57244=ORIENTED_EDGE('',*,*,#43907,.T.); #57245=ORIENTED_EDGE('',*,*,#43908,.T.); #57246=ORIENTED_EDGE('',*,*,#43909,.T.); #57247=ORIENTED_EDGE('',*,*,#43910,.T.); #57248=ORIENTED_EDGE('',*,*,#43911,.T.); #57249=ORIENTED_EDGE('',*,*,#43874,.F.); #57250=ORIENTED_EDGE('',*,*,#43876,.F.); #57251=ORIENTED_EDGE('',*,*,#43879,.F.); #57252=ORIENTED_EDGE('',*,*,#43882,.F.); #57253=ORIENTED_EDGE('',*,*,#43885,.F.); #57254=ORIENTED_EDGE('',*,*,#43888,.F.); #57255=ORIENTED_EDGE('',*,*,#43891,.F.); #57256=ORIENTED_EDGE('',*,*,#43893,.F.); #57257=ORIENTED_EDGE('',*,*,#43912,.T.); #57258=ORIENTED_EDGE('',*,*,#43913,.T.); #57259=ORIENTED_EDGE('',*,*,#43914,.T.); #57260=ORIENTED_EDGE('',*,*,#43915,.T.); #57261=ORIENTED_EDGE('',*,*,#43916,.T.); #57262=ORIENTED_EDGE('',*,*,#43917,.T.); #57263=ORIENTED_EDGE('',*,*,#43914,.F.); #57264=ORIENTED_EDGE('',*,*,#43918,.T.); #57265=ORIENTED_EDGE('',*,*,#43919,.T.); #57266=ORIENTED_EDGE('',*,*,#43920,.T.); #57267=ORIENTED_EDGE('',*,*,#43916,.F.); #57268=ORIENTED_EDGE('',*,*,#43921,.T.); #57269=ORIENTED_EDGE('',*,*,#43922,.T.); #57270=ORIENTED_EDGE('',*,*,#43923,.T.); #57271=ORIENTED_EDGE('',*,*,#43919,.F.); #57272=ORIENTED_EDGE('',*,*,#43924,.T.); #57273=ORIENTED_EDGE('',*,*,#43925,.T.); #57274=ORIENTED_EDGE('',*,*,#43926,.T.); #57275=ORIENTED_EDGE('',*,*,#43922,.F.); #57276=ORIENTED_EDGE('',*,*,#43927,.T.); #57277=ORIENTED_EDGE('',*,*,#43928,.T.); #57278=ORIENTED_EDGE('',*,*,#43929,.T.); #57279=ORIENTED_EDGE('',*,*,#43925,.F.); #57280=ORIENTED_EDGE('',*,*,#43930,.T.); #57281=ORIENTED_EDGE('',*,*,#43931,.T.); #57282=ORIENTED_EDGE('',*,*,#43932,.T.); #57283=ORIENTED_EDGE('',*,*,#43928,.F.); #57284=ORIENTED_EDGE('',*,*,#43933,.T.); #57285=ORIENTED_EDGE('',*,*,#43912,.F.); #57286=ORIENTED_EDGE('',*,*,#43934,.T.); #57287=ORIENTED_EDGE('',*,*,#43931,.F.); #57288=ORIENTED_EDGE('',*,*,#43935,.T.); #57289=ORIENTED_EDGE('',*,*,#43924,.F.); #57290=ORIENTED_EDGE('',*,*,#43936,.T.); #57291=ORIENTED_EDGE('',*,*,#43937,.F.); #57292=ORIENTED_EDGE('',*,*,#43938,.F.); #57293=ORIENTED_EDGE('',*,*,#43921,.F.); #57294=ORIENTED_EDGE('',*,*,#43939,.T.); #57295=ORIENTED_EDGE('',*,*,#43940,.F.); #57296=ORIENTED_EDGE('',*,*,#43936,.F.); #57297=ORIENTED_EDGE('',*,*,#43918,.F.); #57298=ORIENTED_EDGE('',*,*,#43941,.T.); #57299=ORIENTED_EDGE('',*,*,#43942,.F.); #57300=ORIENTED_EDGE('',*,*,#43939,.F.); #57301=ORIENTED_EDGE('',*,*,#43913,.F.); #57302=ORIENTED_EDGE('',*,*,#43943,.T.); #57303=ORIENTED_EDGE('',*,*,#43944,.F.); #57304=ORIENTED_EDGE('',*,*,#43941,.F.); #57305=ORIENTED_EDGE('',*,*,#43915,.F.); #57306=ORIENTED_EDGE('',*,*,#43917,.F.); #57307=ORIENTED_EDGE('',*,*,#43920,.F.); #57308=ORIENTED_EDGE('',*,*,#43923,.F.); #57309=ORIENTED_EDGE('',*,*,#43926,.F.); #57310=ORIENTED_EDGE('',*,*,#43929,.F.); #57311=ORIENTED_EDGE('',*,*,#43932,.F.); #57312=ORIENTED_EDGE('',*,*,#43934,.F.); #57313=ORIENTED_EDGE('',*,*,#43945,.F.); #57314=ORIENTED_EDGE('',*,*,#43946,.F.); #57315=ORIENTED_EDGE('',*,*,#43947,.F.); #57316=ORIENTED_EDGE('',*,*,#43948,.F.); #57317=ORIENTED_EDGE('',*,*,#43949,.F.); #57318=ORIENTED_EDGE('',*,*,#43950,.F.); #57319=ORIENTED_EDGE('',*,*,#43951,.F.); #57320=ORIENTED_EDGE('',*,*,#43952,.F.); #57321=ORIENTED_EDGE('',*,*,#43953,.T.); #57322=ORIENTED_EDGE('',*,*,#43954,.T.); #57323=ORIENTED_EDGE('',*,*,#43955,.T.); #57324=ORIENTED_EDGE('',*,*,#43956,.T.); #57325=ORIENTED_EDGE('',*,*,#43957,.T.); #57326=ORIENTED_EDGE('',*,*,#43958,.T.); #57327=ORIENTED_EDGE('',*,*,#43955,.F.); #57328=ORIENTED_EDGE('',*,*,#43959,.T.); #57329=ORIENTED_EDGE('',*,*,#43960,.T.); #57330=ORIENTED_EDGE('',*,*,#43961,.T.); #57331=ORIENTED_EDGE('',*,*,#43957,.F.); #57332=ORIENTED_EDGE('',*,*,#43962,.T.); #57333=ORIENTED_EDGE('',*,*,#43963,.T.); #57334=ORIENTED_EDGE('',*,*,#43964,.T.); #57335=ORIENTED_EDGE('',*,*,#43960,.F.); #57336=ORIENTED_EDGE('',*,*,#43965,.T.); #57337=ORIENTED_EDGE('',*,*,#43966,.T.); #57338=ORIENTED_EDGE('',*,*,#43967,.T.); #57339=ORIENTED_EDGE('',*,*,#43963,.F.); #57340=ORIENTED_EDGE('',*,*,#43968,.T.); #57341=ORIENTED_EDGE('',*,*,#43969,.T.); #57342=ORIENTED_EDGE('',*,*,#43970,.T.); #57343=ORIENTED_EDGE('',*,*,#43966,.F.); #57344=ORIENTED_EDGE('',*,*,#43971,.T.); #57345=ORIENTED_EDGE('',*,*,#43972,.T.); #57346=ORIENTED_EDGE('',*,*,#43973,.T.); #57347=ORIENTED_EDGE('',*,*,#43969,.F.); #57348=ORIENTED_EDGE('',*,*,#43974,.T.); #57349=ORIENTED_EDGE('',*,*,#43953,.F.); #57350=ORIENTED_EDGE('',*,*,#43975,.T.); #57351=ORIENTED_EDGE('',*,*,#43972,.F.); #57352=ORIENTED_EDGE('',*,*,#43976,.T.); #57353=ORIENTED_EDGE('',*,*,#43970,.F.); #57354=ORIENTED_EDGE('',*,*,#43977,.T.); #57355=ORIENTED_EDGE('',*,*,#43978,.F.); #57356=ORIENTED_EDGE('',*,*,#43979,.F.); #57357=ORIENTED_EDGE('',*,*,#43973,.F.); #57358=ORIENTED_EDGE('',*,*,#43980,.T.); #57359=ORIENTED_EDGE('',*,*,#43981,.F.); #57360=ORIENTED_EDGE('',*,*,#43977,.F.); #57361=ORIENTED_EDGE('',*,*,#43975,.F.); #57362=ORIENTED_EDGE('',*,*,#43982,.T.); #57363=ORIENTED_EDGE('',*,*,#43983,.F.); #57364=ORIENTED_EDGE('',*,*,#43980,.F.); #57365=ORIENTED_EDGE('',*,*,#43956,.F.); #57366=ORIENTED_EDGE('',*,*,#43984,.T.); #57367=ORIENTED_EDGE('',*,*,#43985,.F.); #57368=ORIENTED_EDGE('',*,*,#43982,.F.); #57369=ORIENTED_EDGE('',*,*,#43954,.F.); #57370=ORIENTED_EDGE('',*,*,#43976,.F.); #57371=ORIENTED_EDGE('',*,*,#43974,.F.); #57372=ORIENTED_EDGE('',*,*,#43971,.F.); #57373=ORIENTED_EDGE('',*,*,#43968,.F.); #57374=ORIENTED_EDGE('',*,*,#43965,.F.); #57375=ORIENTED_EDGE('',*,*,#43962,.F.); #57376=ORIENTED_EDGE('',*,*,#43959,.F.); #57377=ORIENTED_EDGE('',*,*,#43986,.F.); #57378=ORIENTED_EDGE('',*,*,#43987,.F.); #57379=ORIENTED_EDGE('',*,*,#43988,.F.); #57380=ORIENTED_EDGE('',*,*,#43989,.F.); #57381=ORIENTED_EDGE('',*,*,#43990,.F.); #57382=ORIENTED_EDGE('',*,*,#43991,.F.); #57383=ORIENTED_EDGE('',*,*,#43992,.F.); #57384=ORIENTED_EDGE('',*,*,#43993,.F.); #57385=ORIENTED_EDGE('',*,*,#43994,.F.); #57386=ORIENTED_EDGE('',*,*,#43995,.F.); #57387=ORIENTED_EDGE('',*,*,#43996,.F.); #57388=ORIENTED_EDGE('',*,*,#43997,.F.); #57389=ORIENTED_EDGE('',*,*,#43998,.F.); #57390=ORIENTED_EDGE('',*,*,#43999,.F.); #57391=ORIENTED_EDGE('',*,*,#44000,.F.); #57392=ORIENTED_EDGE('',*,*,#44001,.F.); #57393=ORIENTED_EDGE('',*,*,#44002,.F.); #57394=ORIENTED_EDGE('',*,*,#44003,.F.); #57395=ORIENTED_EDGE('',*,*,#44004,.F.); #57396=ORIENTED_EDGE('',*,*,#44005,.F.); #57397=ORIENTED_EDGE('',*,*,#44006,.F.); #57398=ORIENTED_EDGE('',*,*,#44007,.F.); #57399=ORIENTED_EDGE('',*,*,#44008,.F.); #57400=ORIENTED_EDGE('',*,*,#44009,.F.); #57401=ORIENTED_EDGE('',*,*,#44010,.F.); #57402=ORIENTED_EDGE('',*,*,#44011,.F.); #57403=ORIENTED_EDGE('',*,*,#44012,.F.); #57404=ORIENTED_EDGE('',*,*,#44013,.F.); #57405=ORIENTED_EDGE('',*,*,#44014,.F.); #57406=ORIENTED_EDGE('',*,*,#44015,.F.); #57407=ORIENTED_EDGE('',*,*,#44016,.F.); #57408=ORIENTED_EDGE('',*,*,#44017,.F.); #57409=ORIENTED_EDGE('',*,*,#44018,.F.); #57410=ORIENTED_EDGE('',*,*,#44019,.F.); #57411=ORIENTED_EDGE('',*,*,#44020,.F.); #57412=ORIENTED_EDGE('',*,*,#44021,.F.); #57413=ORIENTED_EDGE('',*,*,#44022,.F.); #57414=ORIENTED_EDGE('',*,*,#44023,.F.); #57415=ORIENTED_EDGE('',*,*,#44024,.F.); #57416=ORIENTED_EDGE('',*,*,#44025,.F.); #57417=ORIENTED_EDGE('',*,*,#44026,.F.); #57418=ORIENTED_EDGE('',*,*,#44027,.F.); #57419=ORIENTED_EDGE('',*,*,#44028,.F.); #57420=ORIENTED_EDGE('',*,*,#44029,.F.); #57421=ORIENTED_EDGE('',*,*,#44030,.F.); #57422=ORIENTED_EDGE('',*,*,#44031,.F.); #57423=ORIENTED_EDGE('',*,*,#44032,.F.); #57424=ORIENTED_EDGE('',*,*,#44033,.F.); #57425=ORIENTED_EDGE('',*,*,#44034,.F.); #57426=ORIENTED_EDGE('',*,*,#44035,.F.); #57427=ORIENTED_EDGE('',*,*,#44036,.F.); #57428=ORIENTED_EDGE('',*,*,#44037,.T.); #57429=ORIENTED_EDGE('',*,*,#44038,.F.); #57430=ORIENTED_EDGE('',*,*,#44039,.F.); #57431=ORIENTED_EDGE('',*,*,#44040,.F.); #57432=ORIENTED_EDGE('',*,*,#44041,.F.); #57433=ORIENTED_EDGE('',*,*,#44042,.F.); #57434=ORIENTED_EDGE('',*,*,#44043,.F.); #57435=ORIENTED_EDGE('',*,*,#44044,.F.); #57436=ORIENTED_EDGE('',*,*,#44045,.F.); #57437=ORIENTED_EDGE('',*,*,#44046,.F.); #57438=ORIENTED_EDGE('',*,*,#44047,.F.); #57439=ORIENTED_EDGE('',*,*,#44048,.F.); #57440=ORIENTED_EDGE('',*,*,#44049,.F.); #57441=ORIENTED_EDGE('',*,*,#44050,.F.); #57442=ORIENTED_EDGE('',*,*,#44051,.F.); #57443=ORIENTED_EDGE('',*,*,#44052,.F.); #57444=ORIENTED_EDGE('',*,*,#44053,.F.); #57445=ORIENTED_EDGE('',*,*,#44054,.F.); #57446=ORIENTED_EDGE('',*,*,#44055,.F.); #57447=ORIENTED_EDGE('',*,*,#44056,.F.); #57448=ORIENTED_EDGE('',*,*,#44057,.F.); #57449=ORIENTED_EDGE('',*,*,#44058,.T.); #57450=ORIENTED_EDGE('',*,*,#44059,.F.); #57451=ORIENTED_EDGE('',*,*,#44060,.F.); #57452=ORIENTED_EDGE('',*,*,#44061,.F.); #57453=ORIENTED_EDGE('',*,*,#44062,.F.); #57454=ORIENTED_EDGE('',*,*,#44063,.F.); #57455=ORIENTED_EDGE('',*,*,#44064,.F.); #57456=ORIENTED_EDGE('',*,*,#44065,.F.); #57457=ORIENTED_EDGE('',*,*,#44066,.T.); #57458=ORIENTED_EDGE('',*,*,#44067,.F.); #57459=ORIENTED_EDGE('',*,*,#44068,.F.); #57460=ORIENTED_EDGE('',*,*,#44069,.F.); #57461=ORIENTED_EDGE('',*,*,#44070,.F.); #57462=ORIENTED_EDGE('',*,*,#44071,.F.); #57463=ORIENTED_EDGE('',*,*,#44072,.F.); #57464=ORIENTED_EDGE('',*,*,#44073,.F.); #57465=ORIENTED_EDGE('',*,*,#44074,.F.); #57466=ORIENTED_EDGE('',*,*,#44075,.F.); #57467=ORIENTED_EDGE('',*,*,#44076,.F.); #57468=ORIENTED_EDGE('',*,*,#44077,.F.); #57469=ORIENTED_EDGE('',*,*,#44078,.F.); #57470=ORIENTED_EDGE('',*,*,#44079,.F.); #57471=ORIENTED_EDGE('',*,*,#44080,.F.); #57472=ORIENTED_EDGE('',*,*,#44081,.F.); #57473=ORIENTED_EDGE('',*,*,#44082,.F.); #57474=ORIENTED_EDGE('',*,*,#44083,.F.); #57475=ORIENTED_EDGE('',*,*,#44084,.F.); #57476=ORIENTED_EDGE('',*,*,#44085,.F.); #57477=ORIENTED_EDGE('',*,*,#44086,.F.); #57478=ORIENTED_EDGE('',*,*,#44087,.T.); #57479=ORIENTED_EDGE('',*,*,#44088,.T.); #57480=ORIENTED_EDGE('',*,*,#43985,.T.); #57481=ORIENTED_EDGE('',*,*,#44089,.T.); #57482=ORIENTED_EDGE('',*,*,#44090,.T.); #57483=ORIENTED_EDGE('',*,*,#44089,.F.); #57484=ORIENTED_EDGE('',*,*,#44091,.T.); #57485=ORIENTED_EDGE('',*,*,#44092,.T.); #57486=ORIENTED_EDGE('',*,*,#44093,.T.); #57487=ORIENTED_EDGE('',*,*,#44092,.F.); #57488=ORIENTED_EDGE('',*,*,#44094,.T.); #57489=ORIENTED_EDGE('',*,*,#44095,.T.); #57490=ORIENTED_EDGE('',*,*,#44096,.T.); #57491=ORIENTED_EDGE('',*,*,#44095,.F.); #57492=ORIENTED_EDGE('',*,*,#44097,.T.); #57493=ORIENTED_EDGE('',*,*,#44098,.T.); #57494=ORIENTED_EDGE('',*,*,#44099,.T.); #57495=ORIENTED_EDGE('',*,*,#44098,.F.); #57496=ORIENTED_EDGE('',*,*,#44100,.T.); #57497=ORIENTED_EDGE('',*,*,#44101,.T.); #57498=ORIENTED_EDGE('',*,*,#44102,.T.); #57499=ORIENTED_EDGE('',*,*,#44101,.F.); #57500=ORIENTED_EDGE('',*,*,#43978,.T.); #57501=ORIENTED_EDGE('',*,*,#44103,.T.); #57502=ORIENTED_EDGE('',*,*,#44104,.T.); #57503=ORIENTED_EDGE('',*,*,#44103,.F.); #57504=ORIENTED_EDGE('',*,*,#43981,.T.); #57505=ORIENTED_EDGE('',*,*,#44105,.T.); #57506=ORIENTED_EDGE('',*,*,#44106,.T.); #57507=ORIENTED_EDGE('',*,*,#44105,.F.); #57508=ORIENTED_EDGE('',*,*,#43983,.T.); #57509=ORIENTED_EDGE('',*,*,#44088,.F.); #57510=ORIENTED_EDGE('',*,*,#44107,.T.); #57511=ORIENTED_EDGE('',*,*,#44104,.F.); #57512=ORIENTED_EDGE('',*,*,#44108,.T.); #57513=ORIENTED_EDGE('',*,*,#44109,.F.); #57514=ORIENTED_EDGE('',*,*,#44110,.F.); #57515=ORIENTED_EDGE('',*,*,#44106,.F.); #57516=ORIENTED_EDGE('',*,*,#44111,.T.); #57517=ORIENTED_EDGE('',*,*,#44112,.F.); #57518=ORIENTED_EDGE('',*,*,#44108,.F.); #57519=ORIENTED_EDGE('',*,*,#44107,.F.); #57520=ORIENTED_EDGE('',*,*,#44113,.T.); #57521=ORIENTED_EDGE('',*,*,#44114,.F.); #57522=ORIENTED_EDGE('',*,*,#44111,.F.); #57523=ORIENTED_EDGE('',*,*,#44090,.F.); #57524=ORIENTED_EDGE('',*,*,#44115,.T.); #57525=ORIENTED_EDGE('',*,*,#44116,.F.); #57526=ORIENTED_EDGE('',*,*,#44113,.F.); #57527=ORIENTED_EDGE('',*,*,#43964,.F.); #57528=ORIENTED_EDGE('',*,*,#44117,.T.); #57529=ORIENTED_EDGE('',*,*,#44097,.F.); #57530=ORIENTED_EDGE('',*,*,#44118,.F.); #57531=ORIENTED_EDGE('',*,*,#43961,.F.); #57532=ORIENTED_EDGE('',*,*,#44118,.T.); #57533=ORIENTED_EDGE('',*,*,#44094,.F.); #57534=ORIENTED_EDGE('',*,*,#44119,.F.); #57535=ORIENTED_EDGE('',*,*,#43958,.F.); #57536=ORIENTED_EDGE('',*,*,#44119,.T.); #57537=ORIENTED_EDGE('',*,*,#44091,.F.); #57538=ORIENTED_EDGE('',*,*,#43984,.F.); #57539=ORIENTED_EDGE('',*,*,#44120,.T.); #57540=ORIENTED_EDGE('',*,*,#44121,.T.); #57541=ORIENTED_EDGE('',*,*,#44122,.T.); #57542=ORIENTED_EDGE('',*,*,#43945,.T.); #57543=ORIENTED_EDGE('',*,*,#44123,.T.); #57544=ORIENTED_EDGE('',*,*,#43946,.T.); #57545=ORIENTED_EDGE('',*,*,#44122,.F.); #57546=ORIENTED_EDGE('',*,*,#44124,.T.); #57547=ORIENTED_EDGE('',*,*,#44125,.T.); #57548=ORIENTED_EDGE('',*,*,#43947,.T.); #57549=ORIENTED_EDGE('',*,*,#44123,.F.); #57550=ORIENTED_EDGE('',*,*,#44126,.T.); #57551=ORIENTED_EDGE('',*,*,#44127,.T.); #57552=ORIENTED_EDGE('',*,*,#43948,.T.); #57553=ORIENTED_EDGE('',*,*,#44125,.F.); #57554=ORIENTED_EDGE('',*,*,#44128,.T.); #57555=ORIENTED_EDGE('',*,*,#44129,.T.); #57556=ORIENTED_EDGE('',*,*,#43949,.T.); #57557=ORIENTED_EDGE('',*,*,#44127,.F.); #57558=ORIENTED_EDGE('',*,*,#44130,.T.); #57559=ORIENTED_EDGE('',*,*,#44131,.T.); #57560=ORIENTED_EDGE('',*,*,#43950,.T.); #57561=ORIENTED_EDGE('',*,*,#44129,.F.); #57562=ORIENTED_EDGE('',*,*,#44132,.T.); #57563=ORIENTED_EDGE('',*,*,#44133,.T.); #57564=ORIENTED_EDGE('',*,*,#43951,.T.); #57565=ORIENTED_EDGE('',*,*,#44131,.F.); #57566=ORIENTED_EDGE('',*,*,#44134,.T.); #57567=ORIENTED_EDGE('',*,*,#44120,.F.); #57568=ORIENTED_EDGE('',*,*,#43952,.T.); #57569=ORIENTED_EDGE('',*,*,#44133,.F.); #57570=ORIENTED_EDGE('',*,*,#44135,.T.); #57571=ORIENTED_EDGE('',*,*,#44128,.F.); #57572=ORIENTED_EDGE('',*,*,#44136,.T.); #57573=ORIENTED_EDGE('',*,*,#44137,.F.); #57574=ORIENTED_EDGE('',*,*,#44138,.F.); #57575=ORIENTED_EDGE('',*,*,#44126,.F.); #57576=ORIENTED_EDGE('',*,*,#44139,.T.); #57577=ORIENTED_EDGE('',*,*,#44140,.F.); #57578=ORIENTED_EDGE('',*,*,#44136,.F.); #57579=ORIENTED_EDGE('',*,*,#44124,.F.); #57580=ORIENTED_EDGE('',*,*,#44141,.T.); #57581=ORIENTED_EDGE('',*,*,#44142,.F.); #57582=ORIENTED_EDGE('',*,*,#44139,.F.); #57583=ORIENTED_EDGE('',*,*,#44121,.F.); #57584=ORIENTED_EDGE('',*,*,#44143,.T.); #57585=ORIENTED_EDGE('',*,*,#44144,.F.); #57586=ORIENTED_EDGE('',*,*,#44141,.F.); #57587=ORIENTED_EDGE('',*,*,#44145,.T.); #57588=ORIENTED_EDGE('',*,*,#44146,.T.); #57589=ORIENTED_EDGE('',*,*,#44147,.T.); #57590=ORIENTED_EDGE('',*,*,#44112,.T.); #57591=ORIENTED_EDGE('',*,*,#44147,.F.); #57592=ORIENTED_EDGE('',*,*,#44148,.T.); #57593=ORIENTED_EDGE('',*,*,#44149,.T.); #57594=ORIENTED_EDGE('',*,*,#44109,.T.); #57595=ORIENTED_EDGE('',*,*,#44149,.F.); #57596=ORIENTED_EDGE('',*,*,#44150,.T.); #57597=ORIENTED_EDGE('',*,*,#44151,.T.); #57598=ORIENTED_EDGE('',*,*,#44152,.T.); #57599=ORIENTED_EDGE('',*,*,#44151,.F.); #57600=ORIENTED_EDGE('',*,*,#44137,.T.); #57601=ORIENTED_EDGE('',*,*,#44153,.T.); #57602=ORIENTED_EDGE('',*,*,#44154,.T.); #57603=ORIENTED_EDGE('',*,*,#44153,.F.); #57604=ORIENTED_EDGE('',*,*,#44140,.T.); #57605=ORIENTED_EDGE('',*,*,#44155,.T.); #57606=ORIENTED_EDGE('',*,*,#44156,.T.); #57607=ORIENTED_EDGE('',*,*,#44155,.F.); #57608=ORIENTED_EDGE('',*,*,#44142,.T.); #57609=ORIENTED_EDGE('',*,*,#44157,.T.); #57610=ORIENTED_EDGE('',*,*,#44158,.T.); #57611=ORIENTED_EDGE('',*,*,#44157,.F.); #57612=ORIENTED_EDGE('',*,*,#44144,.T.); #57613=ORIENTED_EDGE('',*,*,#44159,.T.); #57614=ORIENTED_EDGE('',*,*,#44116,.T.); #57615=ORIENTED_EDGE('',*,*,#44159,.F.); #57616=ORIENTED_EDGE('',*,*,#44160,.T.); #57617=ORIENTED_EDGE('',*,*,#44145,.F.); #57618=ORIENTED_EDGE('',*,*,#44114,.T.); #57619=ORIENTED_EDGE('',*,*,#44093,.F.); #57620=ORIENTED_EDGE('',*,*,#44161,.T.); #57621=ORIENTED_EDGE('',*,*,#44158,.F.); #57622=ORIENTED_EDGE('',*,*,#44115,.F.); #57623=ORIENTED_EDGE('',*,*,#44130,.F.); #57624=ORIENTED_EDGE('',*,*,#44138,.T.); #57625=ORIENTED_EDGE('',*,*,#44150,.F.); #57626=ORIENTED_EDGE('',*,*,#44162,.F.); #57627=ORIENTED_EDGE('',*,*,#44132,.F.); #57628=ORIENTED_EDGE('',*,*,#44162,.T.); #57629=ORIENTED_EDGE('',*,*,#44148,.F.); #57630=ORIENTED_EDGE('',*,*,#44163,.F.); #57631=ORIENTED_EDGE('',*,*,#44134,.F.); #57632=ORIENTED_EDGE('',*,*,#44163,.T.); #57633=ORIENTED_EDGE('',*,*,#44146,.F.); #57634=ORIENTED_EDGE('',*,*,#44164,.F.); #57635=ORIENTED_EDGE('',*,*,#44165,.T.); #57636=ORIENTED_EDGE('',*,*,#44166,.T.); #57637=ORIENTED_EDGE('',*,*,#44167,.T.); #57638=ORIENTED_EDGE('',*,*,#44165,.F.); #57639=ORIENTED_EDGE('',*,*,#44168,.T.); #57640=ORIENTED_EDGE('',*,*,#44169,.T.); #57641=ORIENTED_EDGE('',*,*,#44170,.T.); #57642=ORIENTED_EDGE('',*,*,#44171,.T.); #57643=ORIENTED_EDGE('',*,*,#44172,.T.); #57644=ORIENTED_EDGE('',*,*,#44169,.F.); #57645=ORIENTED_EDGE('',*,*,#44173,.T.); #57646=ORIENTED_EDGE('',*,*,#44171,.F.); #57647=ORIENTED_EDGE('',*,*,#44174,.T.); #57648=ORIENTED_EDGE('',*,*,#44175,.T.); #57649=ORIENTED_EDGE('',*,*,#44176,.T.); #57650=ORIENTED_EDGE('',*,*,#44177,.T.); #57651=ORIENTED_EDGE('',*,*,#44178,.T.); #57652=ORIENTED_EDGE('',*,*,#44175,.F.); #57653=ORIENTED_EDGE('',*,*,#44177,.F.); #57654=ORIENTED_EDGE('',*,*,#44174,.F.); #57655=ORIENTED_EDGE('',*,*,#44179,.T.); #57656=ORIENTED_EDGE('',*,*,#44180,.T.); #57657=ORIENTED_EDGE('',*,*,#44181,.T.); #57658=ORIENTED_EDGE('',*,*,#44182,.F.); #57659=ORIENTED_EDGE('',*,*,#44173,.F.); #57660=ORIENTED_EDGE('',*,*,#44183,.F.); #57661=ORIENTED_EDGE('',*,*,#44179,.F.); #57662=ORIENTED_EDGE('',*,*,#44168,.F.); #57663=ORIENTED_EDGE('',*,*,#44167,.F.); #57664=ORIENTED_EDGE('',*,*,#44184,.F.); #57665=ORIENTED_EDGE('',*,*,#44185,.T.); #57666=ORIENTED_EDGE('',*,*,#44186,.T.); #57667=ORIENTED_EDGE('',*,*,#44183,.T.); #57668=ORIENTED_EDGE('',*,*,#44166,.F.); #57669=ORIENTED_EDGE('',*,*,#44187,.T.); #57670=ORIENTED_EDGE('',*,*,#44188,.F.); #57671=ORIENTED_EDGE('',*,*,#44189,.T.); #57672=ORIENTED_EDGE('',*,*,#44190,.T.); #57673=ORIENTED_EDGE('',*,*,#44191,.T.); #57674=ORIENTED_EDGE('',*,*,#44188,.T.); #57675=ORIENTED_EDGE('',*,*,#44190,.F.); #57676=ORIENTED_EDGE('',*,*,#44192,.T.); #57677=ORIENTED_EDGE('',*,*,#44193,.T.); #57678=ORIENTED_EDGE('',*,*,#44194,.T.); #57679=ORIENTED_EDGE('',*,*,#44195,.T.); #57680=ORIENTED_EDGE('',*,*,#44196,.T.); #57681=ORIENTED_EDGE('',*,*,#44193,.F.); #57682=ORIENTED_EDGE('',*,*,#44197,.T.); #57683=ORIENTED_EDGE('',*,*,#44198,.T.); #57684=ORIENTED_EDGE('',*,*,#44199,.T.); #57685=ORIENTED_EDGE('',*,*,#44195,.F.); #57686=ORIENTED_EDGE('',*,*,#44200,.T.); #57687=ORIENTED_EDGE('',*,*,#44198,.F.); #57688=ORIENTED_EDGE('',*,*,#44201,.T.); #57689=ORIENTED_EDGE('',*,*,#44202,.T.); #57690=ORIENTED_EDGE('',*,*,#44178,.F.); #57691=ORIENTED_EDGE('',*,*,#44203,.T.); #57692=ORIENTED_EDGE('',*,*,#44201,.F.); #57693=ORIENTED_EDGE('',*,*,#44204,.T.); #57694=ORIENTED_EDGE('',*,*,#44176,.F.); #57695=ORIENTED_EDGE('',*,*,#44204,.F.); #57696=ORIENTED_EDGE('',*,*,#44200,.F.); #57697=ORIENTED_EDGE('',*,*,#44197,.F.); #57698=ORIENTED_EDGE('',*,*,#44192,.F.); #57699=ORIENTED_EDGE('',*,*,#44187,.F.); #57700=ORIENTED_EDGE('',*,*,#44170,.F.); #57701=ORIENTED_EDGE('',*,*,#44172,.F.); #57702=ORIENTED_EDGE('',*,*,#44205,.T.); #57703=ORIENTED_EDGE('',*,*,#44206,.T.); #57704=ORIENTED_EDGE('',*,*,#44207,.T.); #57705=ORIENTED_EDGE('',*,*,#44205,.F.); #57706=ORIENTED_EDGE('',*,*,#44208,.T.); #57707=ORIENTED_EDGE('',*,*,#44209,.T.); #57708=ORIENTED_EDGE('',*,*,#44210,.T.); #57709=ORIENTED_EDGE('',*,*,#44211,.T.); #57710=ORIENTED_EDGE('',*,*,#44212,.T.); #57711=ORIENTED_EDGE('',*,*,#44209,.F.); #57712=ORIENTED_EDGE('',*,*,#44213,.T.); #57713=ORIENTED_EDGE('',*,*,#44211,.F.); #57714=ORIENTED_EDGE('',*,*,#44214,.T.); #57715=ORIENTED_EDGE('',*,*,#44215,.T.); #57716=ORIENTED_EDGE('',*,*,#44216,.T.); #57717=ORIENTED_EDGE('',*,*,#44217,.T.); #57718=ORIENTED_EDGE('',*,*,#44218,.T.); #57719=ORIENTED_EDGE('',*,*,#44215,.F.); #57720=ORIENTED_EDGE('',*,*,#44217,.F.); #57721=ORIENTED_EDGE('',*,*,#44214,.F.); #57722=ORIENTED_EDGE('',*,*,#44219,.T.); #57723=ORIENTED_EDGE('',*,*,#44220,.T.); #57724=ORIENTED_EDGE('',*,*,#44221,.T.); #57725=ORIENTED_EDGE('',*,*,#44213,.F.); #57726=ORIENTED_EDGE('',*,*,#44222,.F.); #57727=ORIENTED_EDGE('',*,*,#44219,.F.); #57728=ORIENTED_EDGE('',*,*,#44208,.F.); #57729=ORIENTED_EDGE('',*,*,#44207,.F.); #57730=ORIENTED_EDGE('',*,*,#44223,.F.); #57731=ORIENTED_EDGE('',*,*,#44224,.T.); #57732=ORIENTED_EDGE('',*,*,#44225,.T.); #57733=ORIENTED_EDGE('',*,*,#44222,.T.); #57734=ORIENTED_EDGE('',*,*,#44206,.F.); #57735=ORIENTED_EDGE('',*,*,#44226,.T.); #57736=ORIENTED_EDGE('',*,*,#44227,.F.); #57737=ORIENTED_EDGE('',*,*,#44228,.T.); #57738=ORIENTED_EDGE('',*,*,#44229,.T.); #57739=ORIENTED_EDGE('',*,*,#44230,.T.); #57740=ORIENTED_EDGE('',*,*,#44227,.T.); #57741=ORIENTED_EDGE('',*,*,#44229,.F.); #57742=ORIENTED_EDGE('',*,*,#44231,.T.); #57743=ORIENTED_EDGE('',*,*,#44232,.T.); #57744=ORIENTED_EDGE('',*,*,#44233,.T.); #57745=ORIENTED_EDGE('',*,*,#44234,.T.); #57746=ORIENTED_EDGE('',*,*,#44235,.T.); #57747=ORIENTED_EDGE('',*,*,#44232,.F.); #57748=ORIENTED_EDGE('',*,*,#44236,.T.); #57749=ORIENTED_EDGE('',*,*,#44237,.T.); #57750=ORIENTED_EDGE('',*,*,#44238,.T.); #57751=ORIENTED_EDGE('',*,*,#44234,.F.); #57752=ORIENTED_EDGE('',*,*,#44239,.T.); #57753=ORIENTED_EDGE('',*,*,#44237,.F.); #57754=ORIENTED_EDGE('',*,*,#44240,.T.); #57755=ORIENTED_EDGE('',*,*,#44241,.T.); #57756=ORIENTED_EDGE('',*,*,#44218,.F.); #57757=ORIENTED_EDGE('',*,*,#44242,.T.); #57758=ORIENTED_EDGE('',*,*,#44240,.F.); #57759=ORIENTED_EDGE('',*,*,#44243,.T.); #57760=ORIENTED_EDGE('',*,*,#44216,.F.); #57761=ORIENTED_EDGE('',*,*,#44243,.F.); #57762=ORIENTED_EDGE('',*,*,#44239,.F.); #57763=ORIENTED_EDGE('',*,*,#44236,.F.); #57764=ORIENTED_EDGE('',*,*,#44231,.F.); #57765=ORIENTED_EDGE('',*,*,#44226,.F.); #57766=ORIENTED_EDGE('',*,*,#44210,.F.); #57767=ORIENTED_EDGE('',*,*,#44212,.F.); #57768=ORIENTED_EDGE('',*,*,#44244,.T.); #57769=ORIENTED_EDGE('',*,*,#44245,.T.); #57770=ORIENTED_EDGE('',*,*,#44246,.T.); #57771=ORIENTED_EDGE('',*,*,#44244,.F.); #57772=ORIENTED_EDGE('',*,*,#44247,.T.); #57773=ORIENTED_EDGE('',*,*,#44248,.T.); #57774=ORIENTED_EDGE('',*,*,#44249,.T.); #57775=ORIENTED_EDGE('',*,*,#44250,.T.); #57776=ORIENTED_EDGE('',*,*,#44251,.T.); #57777=ORIENTED_EDGE('',*,*,#44248,.F.); #57778=ORIENTED_EDGE('',*,*,#44252,.T.); #57779=ORIENTED_EDGE('',*,*,#44250,.F.); #57780=ORIENTED_EDGE('',*,*,#44253,.T.); #57781=ORIENTED_EDGE('',*,*,#44254,.T.); #57782=ORIENTED_EDGE('',*,*,#44255,.T.); #57783=ORIENTED_EDGE('',*,*,#44256,.T.); #57784=ORIENTED_EDGE('',*,*,#44257,.T.); #57785=ORIENTED_EDGE('',*,*,#44254,.F.); #57786=ORIENTED_EDGE('',*,*,#44256,.F.); #57787=ORIENTED_EDGE('',*,*,#44253,.F.); #57788=ORIENTED_EDGE('',*,*,#44258,.T.); #57789=ORIENTED_EDGE('',*,*,#44259,.T.); #57790=ORIENTED_EDGE('',*,*,#44260,.T.); #57791=ORIENTED_EDGE('',*,*,#44252,.F.); #57792=ORIENTED_EDGE('',*,*,#44261,.F.); #57793=ORIENTED_EDGE('',*,*,#44258,.F.); #57794=ORIENTED_EDGE('',*,*,#44247,.F.); #57795=ORIENTED_EDGE('',*,*,#44246,.F.); #57796=ORIENTED_EDGE('',*,*,#44262,.F.); #57797=ORIENTED_EDGE('',*,*,#44263,.T.); #57798=ORIENTED_EDGE('',*,*,#44264,.T.); #57799=ORIENTED_EDGE('',*,*,#44261,.T.); #57800=ORIENTED_EDGE('',*,*,#44245,.F.); #57801=ORIENTED_EDGE('',*,*,#44265,.T.); #57802=ORIENTED_EDGE('',*,*,#44266,.F.); #57803=ORIENTED_EDGE('',*,*,#44267,.T.); #57804=ORIENTED_EDGE('',*,*,#44268,.T.); #57805=ORIENTED_EDGE('',*,*,#44269,.T.); #57806=ORIENTED_EDGE('',*,*,#44266,.T.); #57807=ORIENTED_EDGE('',*,*,#44268,.F.); #57808=ORIENTED_EDGE('',*,*,#44270,.T.); #57809=ORIENTED_EDGE('',*,*,#44271,.T.); #57810=ORIENTED_EDGE('',*,*,#44272,.T.); #57811=ORIENTED_EDGE('',*,*,#44273,.T.); #57812=ORIENTED_EDGE('',*,*,#44274,.T.); #57813=ORIENTED_EDGE('',*,*,#44271,.F.); #57814=ORIENTED_EDGE('',*,*,#44275,.T.); #57815=ORIENTED_EDGE('',*,*,#44276,.T.); #57816=ORIENTED_EDGE('',*,*,#44277,.T.); #57817=ORIENTED_EDGE('',*,*,#44273,.F.); #57818=ORIENTED_EDGE('',*,*,#44278,.T.); #57819=ORIENTED_EDGE('',*,*,#44276,.F.); #57820=ORIENTED_EDGE('',*,*,#44279,.T.); #57821=ORIENTED_EDGE('',*,*,#44280,.T.); #57822=ORIENTED_EDGE('',*,*,#44257,.F.); #57823=ORIENTED_EDGE('',*,*,#44281,.T.); #57824=ORIENTED_EDGE('',*,*,#44279,.F.); #57825=ORIENTED_EDGE('',*,*,#44282,.T.); #57826=ORIENTED_EDGE('',*,*,#44255,.F.); #57827=ORIENTED_EDGE('',*,*,#44282,.F.); #57828=ORIENTED_EDGE('',*,*,#44278,.F.); #57829=ORIENTED_EDGE('',*,*,#44275,.F.); #57830=ORIENTED_EDGE('',*,*,#44270,.F.); #57831=ORIENTED_EDGE('',*,*,#44265,.F.); #57832=ORIENTED_EDGE('',*,*,#44249,.F.); #57833=ORIENTED_EDGE('',*,*,#44251,.F.); #57834=ORIENTED_EDGE('',*,*,#44220,.F.); #57835=ORIENTED_EDGE('',*,*,#44225,.F.); #57836=ORIENTED_EDGE('',*,*,#44283,.T.); #57837=ORIENTED_EDGE('',*,*,#44280,.F.); #57838=ORIENTED_EDGE('',*,*,#44284,.T.); #57839=ORIENTED_EDGE('',*,*,#44285,.T.); #57840=ORIENTED_EDGE('',*,*,#44286,.T.); #57841=ORIENTED_EDGE('',*,*,#44277,.F.); #57842=ORIENTED_EDGE('',*,*,#44272,.F.); #57843=ORIENTED_EDGE('',*,*,#44287,.T.); #57844=ORIENTED_EDGE('',*,*,#44288,.T.); #57845=ORIENTED_EDGE('',*,*,#44289,.T.); #57846=ORIENTED_EDGE('',*,*,#44290,.F.); #57847=ORIENTED_EDGE('',*,*,#44269,.F.); #57848=ORIENTED_EDGE('',*,*,#44288,.F.); #57849=ORIENTED_EDGE('',*,*,#44285,.F.); #57850=ORIENTED_EDGE('',*,*,#44291,.T.); #57851=ORIENTED_EDGE('',*,*,#44274,.F.); #57852=ORIENTED_EDGE('',*,*,#44286,.F.); #57853=ORIENTED_EDGE('',*,*,#44287,.F.); #57854=ORIENTED_EDGE('',*,*,#44202,.F.); #57855=ORIENTED_EDGE('',*,*,#44292,.F.); #57856=ORIENTED_EDGE('',*,*,#44293,.T.); #57857=ORIENTED_EDGE('',*,*,#44294,.T.); #57858=ORIENTED_EDGE('',*,*,#44295,.T.); #57859=ORIENTED_EDGE('',*,*,#44199,.F.); #57860=ORIENTED_EDGE('',*,*,#44194,.F.); #57861=ORIENTED_EDGE('',*,*,#44296,.T.); #57862=ORIENTED_EDGE('',*,*,#44297,.T.); #57863=ORIENTED_EDGE('',*,*,#44298,.T.); #57864=ORIENTED_EDGE('',*,*,#44299,.F.); #57865=ORIENTED_EDGE('',*,*,#44191,.F.); #57866=ORIENTED_EDGE('',*,*,#44297,.F.); #57867=ORIENTED_EDGE('',*,*,#44294,.F.); #57868=ORIENTED_EDGE('',*,*,#44300,.T.); #57869=ORIENTED_EDGE('',*,*,#44196,.F.); #57870=ORIENTED_EDGE('',*,*,#44295,.F.); #57871=ORIENTED_EDGE('',*,*,#44296,.F.); #57872=ORIENTED_EDGE('',*,*,#44259,.F.); #57873=ORIENTED_EDGE('',*,*,#44264,.F.); #57874=ORIENTED_EDGE('',*,*,#44301,.T.); #57875=ORIENTED_EDGE('',*,*,#44180,.F.); #57876=ORIENTED_EDGE('',*,*,#44186,.F.); #57877=ORIENTED_EDGE('',*,*,#44302,.T.); #57878=ORIENTED_EDGE('',*,*,#44241,.F.); #57879=ORIENTED_EDGE('',*,*,#44303,.T.); #57880=ORIENTED_EDGE('',*,*,#44304,.T.); #57881=ORIENTED_EDGE('',*,*,#44305,.T.); #57882=ORIENTED_EDGE('',*,*,#44238,.F.); #57883=ORIENTED_EDGE('',*,*,#44233,.F.); #57884=ORIENTED_EDGE('',*,*,#44306,.T.); #57885=ORIENTED_EDGE('',*,*,#44307,.T.); #57886=ORIENTED_EDGE('',*,*,#44308,.T.); #57887=ORIENTED_EDGE('',*,*,#44309,.F.); #57888=ORIENTED_EDGE('',*,*,#44230,.F.); #57889=ORIENTED_EDGE('',*,*,#44307,.F.); #57890=ORIENTED_EDGE('',*,*,#44304,.F.); #57891=ORIENTED_EDGE('',*,*,#44310,.T.); #57892=ORIENTED_EDGE('',*,*,#44235,.F.); #57893=ORIENTED_EDGE('',*,*,#44305,.F.); #57894=ORIENTED_EDGE('',*,*,#44306,.F.); #57895=ORIENTED_EDGE('',*,*,#44311,.T.); #57896=ORIENTED_EDGE('',*,*,#44312,.T.); #57897=ORIENTED_EDGE('',*,*,#44313,.T.); #57898=ORIENTED_EDGE('',*,*,#44314,.T.); #57899=ORIENTED_EDGE('',*,*,#44315,.T.); #57900=ORIENTED_EDGE('',*,*,#44316,.T.); #57901=ORIENTED_EDGE('',*,*,#44311,.F.); #57902=ORIENTED_EDGE('',*,*,#44317,.T.); #57903=ORIENTED_EDGE('',*,*,#44318,.T.); #57904=ORIENTED_EDGE('',*,*,#44319,.T.); #57905=ORIENTED_EDGE('',*,*,#44315,.F.); #57906=ORIENTED_EDGE('',*,*,#44320,.T.); #57907=ORIENTED_EDGE('',*,*,#44321,.T.); #57908=ORIENTED_EDGE('',*,*,#44322,.T.); #57909=ORIENTED_EDGE('',*,*,#44318,.F.); #57910=ORIENTED_EDGE('',*,*,#44323,.T.); #57911=ORIENTED_EDGE('',*,*,#44324,.T.); #57912=ORIENTED_EDGE('',*,*,#44325,.T.); #57913=ORIENTED_EDGE('',*,*,#44321,.F.); #57914=ORIENTED_EDGE('',*,*,#44326,.T.); #57915=ORIENTED_EDGE('',*,*,#44327,.T.); #57916=ORIENTED_EDGE('',*,*,#44328,.T.); #57917=ORIENTED_EDGE('',*,*,#44324,.F.); #57918=ORIENTED_EDGE('',*,*,#44329,.T.); #57919=ORIENTED_EDGE('',*,*,#44330,.T.); #57920=ORIENTED_EDGE('',*,*,#44331,.T.); #57921=ORIENTED_EDGE('',*,*,#44327,.F.); #57922=ORIENTED_EDGE('',*,*,#44332,.T.); #57923=ORIENTED_EDGE('',*,*,#44333,.T.); #57924=ORIENTED_EDGE('',*,*,#44334,.T.); #57925=ORIENTED_EDGE('',*,*,#44330,.F.); #57926=ORIENTED_EDGE('',*,*,#44335,.T.); #57927=ORIENTED_EDGE('',*,*,#44336,.T.); #57928=ORIENTED_EDGE('',*,*,#44337,.T.); #57929=ORIENTED_EDGE('',*,*,#44333,.F.); #57930=ORIENTED_EDGE('',*,*,#44338,.T.); #57931=ORIENTED_EDGE('',*,*,#44339,.T.); #57932=ORIENTED_EDGE('',*,*,#44340,.T.); #57933=ORIENTED_EDGE('',*,*,#44336,.F.); #57934=ORIENTED_EDGE('',*,*,#44341,.T.); #57935=ORIENTED_EDGE('',*,*,#44342,.T.); #57936=ORIENTED_EDGE('',*,*,#44343,.T.); #57937=ORIENTED_EDGE('',*,*,#44339,.F.); #57938=ORIENTED_EDGE('',*,*,#44344,.T.); #57939=ORIENTED_EDGE('',*,*,#44345,.T.); #57940=ORIENTED_EDGE('',*,*,#44346,.T.); #57941=ORIENTED_EDGE('',*,*,#44342,.F.); #57942=ORIENTED_EDGE('',*,*,#44347,.T.); #57943=ORIENTED_EDGE('',*,*,#44348,.T.); #57944=ORIENTED_EDGE('',*,*,#44349,.T.); #57945=ORIENTED_EDGE('',*,*,#44345,.F.); #57946=ORIENTED_EDGE('',*,*,#44350,.T.); #57947=ORIENTED_EDGE('',*,*,#44351,.T.); #57948=ORIENTED_EDGE('',*,*,#44352,.T.); #57949=ORIENTED_EDGE('',*,*,#44348,.F.); #57950=ORIENTED_EDGE('',*,*,#44353,.T.); #57951=ORIENTED_EDGE('',*,*,#44354,.T.); #57952=ORIENTED_EDGE('',*,*,#44355,.T.); #57953=ORIENTED_EDGE('',*,*,#44351,.F.); #57954=ORIENTED_EDGE('',*,*,#44356,.T.); #57955=ORIENTED_EDGE('',*,*,#44357,.T.); #57956=ORIENTED_EDGE('',*,*,#44358,.T.); #57957=ORIENTED_EDGE('',*,*,#44354,.F.); #57958=ORIENTED_EDGE('',*,*,#44359,.T.); #57959=ORIENTED_EDGE('',*,*,#44360,.T.); #57960=ORIENTED_EDGE('',*,*,#44361,.T.); #57961=ORIENTED_EDGE('',*,*,#44357,.F.); #57962=ORIENTED_EDGE('',*,*,#44362,.T.); #57963=ORIENTED_EDGE('',*,*,#44363,.T.); #57964=ORIENTED_EDGE('',*,*,#44364,.T.); #57965=ORIENTED_EDGE('',*,*,#44360,.F.); #57966=ORIENTED_EDGE('',*,*,#44365,.T.); #57967=ORIENTED_EDGE('',*,*,#44366,.T.); #57968=ORIENTED_EDGE('',*,*,#44367,.T.); #57969=ORIENTED_EDGE('',*,*,#44368,.T.); #57970=ORIENTED_EDGE('',*,*,#44363,.F.); #57971=ORIENTED_EDGE('',*,*,#44369,.T.); #57972=ORIENTED_EDGE('',*,*,#44370,.T.); #57973=ORIENTED_EDGE('',*,*,#44371,.T.); #57974=ORIENTED_EDGE('',*,*,#44367,.F.); #57975=ORIENTED_EDGE('',*,*,#44372,.T.); #57976=ORIENTED_EDGE('',*,*,#44373,.T.); #57977=ORIENTED_EDGE('',*,*,#44374,.T.); #57978=ORIENTED_EDGE('',*,*,#44370,.F.); #57979=ORIENTED_EDGE('',*,*,#44375,.T.); #57980=ORIENTED_EDGE('',*,*,#44376,.T.); #57981=ORIENTED_EDGE('',*,*,#44377,.T.); #57982=ORIENTED_EDGE('',*,*,#44373,.F.); #57983=ORIENTED_EDGE('',*,*,#44378,.T.); #57984=ORIENTED_EDGE('',*,*,#44379,.T.); #57985=ORIENTED_EDGE('',*,*,#44380,.T.); #57986=ORIENTED_EDGE('',*,*,#44376,.F.); #57987=ORIENTED_EDGE('',*,*,#44381,.T.); #57988=ORIENTED_EDGE('',*,*,#44382,.T.); #57989=ORIENTED_EDGE('',*,*,#44383,.T.); #57990=ORIENTED_EDGE('',*,*,#44379,.F.); #57991=ORIENTED_EDGE('',*,*,#44384,.T.); #57992=ORIENTED_EDGE('',*,*,#44385,.T.); #57993=ORIENTED_EDGE('',*,*,#44386,.T.); #57994=ORIENTED_EDGE('',*,*,#44382,.F.); #57995=ORIENTED_EDGE('',*,*,#44387,.T.); #57996=ORIENTED_EDGE('',*,*,#44388,.T.); #57997=ORIENTED_EDGE('',*,*,#44389,.T.); #57998=ORIENTED_EDGE('',*,*,#44385,.F.); #57999=ORIENTED_EDGE('',*,*,#44390,.T.); #58000=ORIENTED_EDGE('',*,*,#44391,.T.); #58001=ORIENTED_EDGE('',*,*,#44392,.T.); #58002=ORIENTED_EDGE('',*,*,#44388,.F.); #58003=ORIENTED_EDGE('',*,*,#44393,.T.); #58004=ORIENTED_EDGE('',*,*,#44394,.T.); #58005=ORIENTED_EDGE('',*,*,#44395,.T.); #58006=ORIENTED_EDGE('',*,*,#44391,.F.); #58007=ORIENTED_EDGE('',*,*,#44396,.T.); #58008=ORIENTED_EDGE('',*,*,#44397,.T.); #58009=ORIENTED_EDGE('',*,*,#44398,.T.); #58010=ORIENTED_EDGE('',*,*,#44394,.F.); #58011=ORIENTED_EDGE('',*,*,#44399,.T.); #58012=ORIENTED_EDGE('',*,*,#44400,.T.); #58013=ORIENTED_EDGE('',*,*,#44401,.T.); #58014=ORIENTED_EDGE('',*,*,#44397,.F.); #58015=ORIENTED_EDGE('',*,*,#44402,.T.); #58016=ORIENTED_EDGE('',*,*,#44403,.T.); #58017=ORIENTED_EDGE('',*,*,#44404,.T.); #58018=ORIENTED_EDGE('',*,*,#44400,.F.); #58019=ORIENTED_EDGE('',*,*,#44405,.T.); #58020=ORIENTED_EDGE('',*,*,#44406,.T.); #58021=ORIENTED_EDGE('',*,*,#44407,.T.); #58022=ORIENTED_EDGE('',*,*,#44403,.F.); #58023=ORIENTED_EDGE('',*,*,#44408,.T.); #58024=ORIENTED_EDGE('',*,*,#44409,.T.); #58025=ORIENTED_EDGE('',*,*,#44410,.T.); #58026=ORIENTED_EDGE('',*,*,#44406,.F.); #58027=ORIENTED_EDGE('',*,*,#44411,.T.); #58028=ORIENTED_EDGE('',*,*,#44412,.T.); #58029=ORIENTED_EDGE('',*,*,#44413,.T.); #58030=ORIENTED_EDGE('',*,*,#44409,.F.); #58031=ORIENTED_EDGE('',*,*,#44414,.T.); #58032=ORIENTED_EDGE('',*,*,#44415,.T.); #58033=ORIENTED_EDGE('',*,*,#44416,.T.); #58034=ORIENTED_EDGE('',*,*,#44412,.F.); #58035=ORIENTED_EDGE('',*,*,#44417,.T.); #58036=ORIENTED_EDGE('',*,*,#44418,.T.); #58037=ORIENTED_EDGE('',*,*,#44419,.T.); #58038=ORIENTED_EDGE('',*,*,#44415,.F.); #58039=ORIENTED_EDGE('',*,*,#44420,.T.); #58040=ORIENTED_EDGE('',*,*,#44421,.T.); #58041=ORIENTED_EDGE('',*,*,#44422,.T.); #58042=ORIENTED_EDGE('',*,*,#44418,.F.); #58043=ORIENTED_EDGE('',*,*,#44423,.T.); #58044=ORIENTED_EDGE('',*,*,#44424,.T.); #58045=ORIENTED_EDGE('',*,*,#44425,.T.); #58046=ORIENTED_EDGE('',*,*,#44421,.F.); #58047=ORIENTED_EDGE('',*,*,#44426,.T.); #58048=ORIENTED_EDGE('',*,*,#44427,.T.); #58049=ORIENTED_EDGE('',*,*,#44428,.T.); #58050=ORIENTED_EDGE('',*,*,#44424,.F.); #58051=ORIENTED_EDGE('',*,*,#44429,.T.); #58052=ORIENTED_EDGE('',*,*,#44430,.T.); #58053=ORIENTED_EDGE('',*,*,#44431,.T.); #58054=ORIENTED_EDGE('',*,*,#44427,.F.); #58055=ORIENTED_EDGE('',*,*,#44432,.T.); #58056=ORIENTED_EDGE('',*,*,#44433,.T.); #58057=ORIENTED_EDGE('',*,*,#44434,.T.); #58058=ORIENTED_EDGE('',*,*,#44430,.F.); #58059=ORIENTED_EDGE('',*,*,#44435,.T.); #58060=ORIENTED_EDGE('',*,*,#44436,.T.); #58061=ORIENTED_EDGE('',*,*,#44437,.T.); #58062=ORIENTED_EDGE('',*,*,#44433,.F.); #58063=ORIENTED_EDGE('',*,*,#44438,.T.); #58064=ORIENTED_EDGE('',*,*,#44439,.T.); #58065=ORIENTED_EDGE('',*,*,#44440,.T.); #58066=ORIENTED_EDGE('',*,*,#44436,.F.); #58067=ORIENTED_EDGE('',*,*,#44441,.T.); #58068=ORIENTED_EDGE('',*,*,#44442,.T.); #58069=ORIENTED_EDGE('',*,*,#44443,.T.); #58070=ORIENTED_EDGE('',*,*,#44439,.F.); #58071=ORIENTED_EDGE('',*,*,#44444,.T.); #58072=ORIENTED_EDGE('',*,*,#44445,.T.); #58073=ORIENTED_EDGE('',*,*,#44446,.T.); #58074=ORIENTED_EDGE('',*,*,#44442,.F.); #58075=ORIENTED_EDGE('',*,*,#44447,.T.); #58076=ORIENTED_EDGE('',*,*,#44448,.T.); #58077=ORIENTED_EDGE('',*,*,#44449,.T.); #58078=ORIENTED_EDGE('',*,*,#44445,.F.); #58079=ORIENTED_EDGE('',*,*,#44450,.T.); #58080=ORIENTED_EDGE('',*,*,#44451,.T.); #58081=ORIENTED_EDGE('',*,*,#44452,.T.); #58082=ORIENTED_EDGE('',*,*,#44448,.F.); #58083=ORIENTED_EDGE('',*,*,#44453,.T.); #58084=ORIENTED_EDGE('',*,*,#44313,.F.); #58085=ORIENTED_EDGE('',*,*,#44454,.T.); #58086=ORIENTED_EDGE('',*,*,#44451,.F.); #58087=ORIENTED_EDGE('',*,*,#44455,.T.); #58088=ORIENTED_EDGE('',*,*,#44389,.F.); #58089=ORIENTED_EDGE('',*,*,#44456,.F.); #58090=ORIENTED_EDGE('',*,*,#44457,.F.); #58091=ORIENTED_EDGE('',*,*,#44458,.F.); #58092=ORIENTED_EDGE('',*,*,#44392,.F.); #58093=ORIENTED_EDGE('',*,*,#44459,.T.); #58094=ORIENTED_EDGE('',*,*,#44460,.F.); #58095=ORIENTED_EDGE('',*,*,#44456,.T.); #58096=ORIENTED_EDGE('',*,*,#44395,.F.); #58097=ORIENTED_EDGE('',*,*,#44461,.F.); #58098=ORIENTED_EDGE('',*,*,#44462,.F.); #58099=ORIENTED_EDGE('',*,*,#44459,.F.); #58100=ORIENTED_EDGE('',*,*,#44398,.F.); #58101=ORIENTED_EDGE('',*,*,#44463,.T.); #58102=ORIENTED_EDGE('',*,*,#44464,.F.); #58103=ORIENTED_EDGE('',*,*,#44461,.T.); #58104=ORIENTED_EDGE('',*,*,#44401,.F.); #58105=ORIENTED_EDGE('',*,*,#44465,.F.); #58106=ORIENTED_EDGE('',*,*,#44466,.F.); #58107=ORIENTED_EDGE('',*,*,#44463,.F.); #58108=ORIENTED_EDGE('',*,*,#44404,.F.); #58109=ORIENTED_EDGE('',*,*,#44467,.T.); #58110=ORIENTED_EDGE('',*,*,#44468,.F.); #58111=ORIENTED_EDGE('',*,*,#44465,.T.); #58112=ORIENTED_EDGE('',*,*,#44407,.F.); #58113=ORIENTED_EDGE('',*,*,#44469,.F.); #58114=ORIENTED_EDGE('',*,*,#44470,.F.); #58115=ORIENTED_EDGE('',*,*,#44467,.F.); #58116=ORIENTED_EDGE('',*,*,#44410,.F.); #58117=ORIENTED_EDGE('',*,*,#44471,.T.); #58118=ORIENTED_EDGE('',*,*,#44472,.F.); #58119=ORIENTED_EDGE('',*,*,#44469,.T.); #58120=ORIENTED_EDGE('',*,*,#44413,.F.); #58121=ORIENTED_EDGE('',*,*,#44473,.F.); #58122=ORIENTED_EDGE('',*,*,#44474,.F.); #58123=ORIENTED_EDGE('',*,*,#44471,.F.); #58124=ORIENTED_EDGE('',*,*,#44416,.F.); #58125=ORIENTED_EDGE('',*,*,#44475,.T.); #58126=ORIENTED_EDGE('',*,*,#44476,.F.); #58127=ORIENTED_EDGE('',*,*,#44473,.T.); #58128=ORIENTED_EDGE('',*,*,#44419,.F.); #58129=ORIENTED_EDGE('',*,*,#44477,.F.); #58130=ORIENTED_EDGE('',*,*,#44478,.F.); #58131=ORIENTED_EDGE('',*,*,#44475,.F.); #58132=ORIENTED_EDGE('',*,*,#44422,.F.); #58133=ORIENTED_EDGE('',*,*,#44479,.T.); #58134=ORIENTED_EDGE('',*,*,#44480,.F.); #58135=ORIENTED_EDGE('',*,*,#44477,.T.); #58136=ORIENTED_EDGE('',*,*,#44425,.F.); #58137=ORIENTED_EDGE('',*,*,#44481,.F.); #58138=ORIENTED_EDGE('',*,*,#44482,.F.); #58139=ORIENTED_EDGE('',*,*,#44479,.F.); #58140=ORIENTED_EDGE('',*,*,#44428,.F.); #58141=ORIENTED_EDGE('',*,*,#44483,.T.); #58142=ORIENTED_EDGE('',*,*,#44484,.F.); #58143=ORIENTED_EDGE('',*,*,#44481,.T.); #58144=ORIENTED_EDGE('',*,*,#44431,.F.); #58145=ORIENTED_EDGE('',*,*,#44485,.F.); #58146=ORIENTED_EDGE('',*,*,#44486,.F.); #58147=ORIENTED_EDGE('',*,*,#44483,.F.); #58148=ORIENTED_EDGE('',*,*,#44434,.F.); #58149=ORIENTED_EDGE('',*,*,#44487,.T.); #58150=ORIENTED_EDGE('',*,*,#44488,.F.); #58151=ORIENTED_EDGE('',*,*,#44485,.T.); #58152=ORIENTED_EDGE('',*,*,#44437,.F.); #58153=ORIENTED_EDGE('',*,*,#44489,.F.); #58154=ORIENTED_EDGE('',*,*,#44490,.F.); #58155=ORIENTED_EDGE('',*,*,#44487,.F.); #58156=ORIENTED_EDGE('',*,*,#44440,.F.); #58157=ORIENTED_EDGE('',*,*,#44491,.T.); #58158=ORIENTED_EDGE('',*,*,#44492,.F.); #58159=ORIENTED_EDGE('',*,*,#44489,.T.); #58160=ORIENTED_EDGE('',*,*,#44443,.F.); #58161=ORIENTED_EDGE('',*,*,#44493,.F.); #58162=ORIENTED_EDGE('',*,*,#44494,.F.); #58163=ORIENTED_EDGE('',*,*,#44491,.F.); #58164=ORIENTED_EDGE('',*,*,#44446,.F.); #58165=ORIENTED_EDGE('',*,*,#44495,.T.); #58166=ORIENTED_EDGE('',*,*,#44496,.F.); #58167=ORIENTED_EDGE('',*,*,#44493,.T.); #58168=ORIENTED_EDGE('',*,*,#44449,.F.); #58169=ORIENTED_EDGE('',*,*,#44497,.F.); #58170=ORIENTED_EDGE('',*,*,#44498,.F.); #58171=ORIENTED_EDGE('',*,*,#44495,.F.); #58172=ORIENTED_EDGE('',*,*,#44452,.F.); #58173=ORIENTED_EDGE('',*,*,#44499,.T.); #58174=ORIENTED_EDGE('',*,*,#44500,.F.); #58175=ORIENTED_EDGE('',*,*,#44497,.T.); #58176=ORIENTED_EDGE('',*,*,#44454,.F.); #58177=ORIENTED_EDGE('',*,*,#44501,.F.); #58178=ORIENTED_EDGE('',*,*,#44502,.F.); #58179=ORIENTED_EDGE('',*,*,#44499,.F.); #58180=ORIENTED_EDGE('',*,*,#44312,.F.); #58181=ORIENTED_EDGE('',*,*,#44503,.T.); #58182=ORIENTED_EDGE('',*,*,#44504,.F.); #58183=ORIENTED_EDGE('',*,*,#44501,.T.); #58184=ORIENTED_EDGE('',*,*,#44505,.F.); #58185=ORIENTED_EDGE('',*,*,#44506,.T.); #58186=ORIENTED_EDGE('',*,*,#44365,.F.); #58187=ORIENTED_EDGE('',*,*,#44362,.F.); #58188=ORIENTED_EDGE('',*,*,#44359,.F.); #58189=ORIENTED_EDGE('',*,*,#44356,.F.); #58190=ORIENTED_EDGE('',*,*,#44353,.F.); #58191=ORIENTED_EDGE('',*,*,#44350,.F.); #58192=ORIENTED_EDGE('',*,*,#44347,.F.); #58193=ORIENTED_EDGE('',*,*,#44344,.F.); #58194=ORIENTED_EDGE('',*,*,#44341,.F.); #58195=ORIENTED_EDGE('',*,*,#44338,.F.); #58196=ORIENTED_EDGE('',*,*,#44335,.F.); #58197=ORIENTED_EDGE('',*,*,#44332,.F.); #58198=ORIENTED_EDGE('',*,*,#44329,.F.); #58199=ORIENTED_EDGE('',*,*,#44326,.F.); #58200=ORIENTED_EDGE('',*,*,#44323,.F.); #58201=ORIENTED_EDGE('',*,*,#44320,.F.); #58202=ORIENTED_EDGE('',*,*,#44317,.F.); #58203=ORIENTED_EDGE('',*,*,#44314,.F.); #58204=ORIENTED_EDGE('',*,*,#44455,.F.); #58205=ORIENTED_EDGE('',*,*,#44453,.F.); #58206=ORIENTED_EDGE('',*,*,#44450,.F.); #58207=ORIENTED_EDGE('',*,*,#44447,.F.); #58208=ORIENTED_EDGE('',*,*,#44444,.F.); #58209=ORIENTED_EDGE('',*,*,#44441,.F.); #58210=ORIENTED_EDGE('',*,*,#44438,.F.); #58211=ORIENTED_EDGE('',*,*,#44435,.F.); #58212=ORIENTED_EDGE('',*,*,#44432,.F.); #58213=ORIENTED_EDGE('',*,*,#44429,.F.); #58214=ORIENTED_EDGE('',*,*,#44426,.F.); #58215=ORIENTED_EDGE('',*,*,#44423,.F.); #58216=ORIENTED_EDGE('',*,*,#44420,.F.); #58217=ORIENTED_EDGE('',*,*,#44417,.F.); #58218=ORIENTED_EDGE('',*,*,#44414,.F.); #58219=ORIENTED_EDGE('',*,*,#44411,.F.); #58220=ORIENTED_EDGE('',*,*,#44408,.F.); #58221=ORIENTED_EDGE('',*,*,#44405,.F.); #58222=ORIENTED_EDGE('',*,*,#44402,.F.); #58223=ORIENTED_EDGE('',*,*,#44399,.F.); #58224=ORIENTED_EDGE('',*,*,#44396,.F.); #58225=ORIENTED_EDGE('',*,*,#44393,.F.); #58226=ORIENTED_EDGE('',*,*,#44390,.F.); #58227=ORIENTED_EDGE('',*,*,#44387,.F.); #58228=ORIENTED_EDGE('',*,*,#44384,.F.); #58229=ORIENTED_EDGE('',*,*,#44381,.F.); #58230=ORIENTED_EDGE('',*,*,#44378,.F.); #58231=ORIENTED_EDGE('',*,*,#44375,.F.); #58232=ORIENTED_EDGE('',*,*,#44372,.F.); #58233=ORIENTED_EDGE('',*,*,#44369,.F.); #58234=ORIENTED_EDGE('',*,*,#44366,.F.); #58235=ORIENTED_EDGE('',*,*,#44506,.F.); #58236=ORIENTED_EDGE('',*,*,#44507,.F.); #58237=ORIENTED_EDGE('',*,*,#44508,.T.); #58238=ORIENTED_EDGE('',*,*,#44500,.T.); #58239=ORIENTED_EDGE('',*,*,#44509,.T.); #58240=ORIENTED_EDGE('',*,*,#44510,.T.); #58241=ORIENTED_EDGE('',*,*,#44511,.T.); #58242=ORIENTED_EDGE('',*,*,#44498,.T.); #58243=ORIENTED_EDGE('',*,*,#44508,.F.); #58244=ORIENTED_EDGE('',*,*,#44512,.T.); #58245=ORIENTED_EDGE('',*,*,#44513,.T.); #58246=ORIENTED_EDGE('',*,*,#44496,.T.); #58247=ORIENTED_EDGE('',*,*,#44511,.F.); #58248=ORIENTED_EDGE('',*,*,#44514,.T.); #58249=ORIENTED_EDGE('',*,*,#44513,.F.); #58250=ORIENTED_EDGE('',*,*,#44515,.T.); #58251=ORIENTED_EDGE('',*,*,#44516,.T.); #58252=ORIENTED_EDGE('',*,*,#44494,.T.); #58253=ORIENTED_EDGE('',*,*,#44517,.T.); #58254=ORIENTED_EDGE('',*,*,#44492,.T.); #58255=ORIENTED_EDGE('',*,*,#44516,.F.); #58256=ORIENTED_EDGE('',*,*,#44518,.T.); #58257=ORIENTED_EDGE('',*,*,#44519,.T.); #58258=ORIENTED_EDGE('',*,*,#44490,.T.); #58259=ORIENTED_EDGE('',*,*,#44517,.F.); #58260=ORIENTED_EDGE('',*,*,#44520,.T.); #58261=ORIENTED_EDGE('',*,*,#44521,.T.); #58262=ORIENTED_EDGE('',*,*,#44488,.T.); #58263=ORIENTED_EDGE('',*,*,#44519,.F.); #58264=ORIENTED_EDGE('',*,*,#44522,.T.); #58265=ORIENTED_EDGE('',*,*,#44521,.F.); #58266=ORIENTED_EDGE('',*,*,#44523,.T.); #58267=ORIENTED_EDGE('',*,*,#44524,.T.); #58268=ORIENTED_EDGE('',*,*,#44486,.T.); #58269=ORIENTED_EDGE('',*,*,#44525,.T.); #58270=ORIENTED_EDGE('',*,*,#44484,.T.); #58271=ORIENTED_EDGE('',*,*,#44524,.F.); #58272=ORIENTED_EDGE('',*,*,#44526,.T.); #58273=ORIENTED_EDGE('',*,*,#44527,.T.); #58274=ORIENTED_EDGE('',*,*,#44482,.T.); #58275=ORIENTED_EDGE('',*,*,#44525,.F.); #58276=ORIENTED_EDGE('',*,*,#44528,.T.); #58277=ORIENTED_EDGE('',*,*,#44529,.T.); #58278=ORIENTED_EDGE('',*,*,#44480,.T.); #58279=ORIENTED_EDGE('',*,*,#44527,.F.); #58280=ORIENTED_EDGE('',*,*,#44530,.T.); #58281=ORIENTED_EDGE('',*,*,#44531,.T.); #58282=ORIENTED_EDGE('',*,*,#44478,.T.); #58283=ORIENTED_EDGE('',*,*,#44529,.F.); #58284=ORIENTED_EDGE('',*,*,#44532,.T.); #58285=ORIENTED_EDGE('',*,*,#44533,.T.); #58286=ORIENTED_EDGE('',*,*,#44476,.T.); #58287=ORIENTED_EDGE('',*,*,#44531,.F.); #58288=ORIENTED_EDGE('',*,*,#44534,.T.); #58289=ORIENTED_EDGE('',*,*,#44535,.T.); #58290=ORIENTED_EDGE('',*,*,#44474,.T.); #58291=ORIENTED_EDGE('',*,*,#44533,.F.); #58292=ORIENTED_EDGE('',*,*,#44536,.T.); #58293=ORIENTED_EDGE('',*,*,#44537,.T.); #58294=ORIENTED_EDGE('',*,*,#44472,.T.); #58295=ORIENTED_EDGE('',*,*,#44535,.F.); #58296=ORIENTED_EDGE('',*,*,#44538,.T.); #58297=ORIENTED_EDGE('',*,*,#44537,.F.); #58298=ORIENTED_EDGE('',*,*,#44539,.T.); #58299=ORIENTED_EDGE('',*,*,#44540,.T.); #58300=ORIENTED_EDGE('',*,*,#44470,.T.); #58301=ORIENTED_EDGE('',*,*,#44541,.T.); #58302=ORIENTED_EDGE('',*,*,#44468,.T.); #58303=ORIENTED_EDGE('',*,*,#44540,.F.); #58304=ORIENTED_EDGE('',*,*,#44542,.T.); #58305=ORIENTED_EDGE('',*,*,#44543,.T.); #58306=ORIENTED_EDGE('',*,*,#44466,.T.); #58307=ORIENTED_EDGE('',*,*,#44541,.F.); #58308=ORIENTED_EDGE('',*,*,#44544,.T.); #58309=ORIENTED_EDGE('',*,*,#44545,.T.); #58310=ORIENTED_EDGE('',*,*,#44464,.T.); #58311=ORIENTED_EDGE('',*,*,#44543,.F.); #58312=ORIENTED_EDGE('',*,*,#44546,.T.); #58313=ORIENTED_EDGE('',*,*,#44545,.F.); #58314=ORIENTED_EDGE('',*,*,#44547,.T.); #58315=ORIENTED_EDGE('',*,*,#44548,.T.); #58316=ORIENTED_EDGE('',*,*,#44462,.T.); #58317=ORIENTED_EDGE('',*,*,#44549,.T.); #58318=ORIENTED_EDGE('',*,*,#44460,.T.); #58319=ORIENTED_EDGE('',*,*,#44548,.F.); #58320=ORIENTED_EDGE('',*,*,#44550,.T.); #58321=ORIENTED_EDGE('',*,*,#44551,.T.); #58322=ORIENTED_EDGE('',*,*,#44457,.T.); #58323=ORIENTED_EDGE('',*,*,#44549,.F.); #58324=ORIENTED_EDGE('',*,*,#44552,.T.); #58325=ORIENTED_EDGE('',*,*,#44553,.T.); #58326=ORIENTED_EDGE('',*,*,#44554,.T.); #58327=ORIENTED_EDGE('',*,*,#44551,.F.); #58328=ORIENTED_EDGE('',*,*,#44555,.T.); #58329=ORIENTED_EDGE('',*,*,#44556,.T.); #58330=ORIENTED_EDGE('',*,*,#44557,.T.); #58331=ORIENTED_EDGE('',*,*,#44553,.F.); #58332=ORIENTED_EDGE('',*,*,#44558,.T.); #58333=ORIENTED_EDGE('',*,*,#44559,.T.); #58334=ORIENTED_EDGE('',*,*,#44560,.T.); #58335=ORIENTED_EDGE('',*,*,#44556,.F.); #58336=ORIENTED_EDGE('',*,*,#44561,.T.); #58337=ORIENTED_EDGE('',*,*,#44562,.T.); #58338=ORIENTED_EDGE('',*,*,#44563,.T.); #58339=ORIENTED_EDGE('',*,*,#44559,.F.); #58340=ORIENTED_EDGE('',*,*,#44564,.T.); #58341=ORIENTED_EDGE('',*,*,#44565,.T.); #58342=ORIENTED_EDGE('',*,*,#44566,.T.); #58343=ORIENTED_EDGE('',*,*,#44562,.F.); #58344=ORIENTED_EDGE('',*,*,#44567,.T.); #58345=ORIENTED_EDGE('',*,*,#44565,.F.); #58346=ORIENTED_EDGE('',*,*,#44568,.T.); #58347=ORIENTED_EDGE('',*,*,#44569,.T.); #58348=ORIENTED_EDGE('',*,*,#44570,.T.); #58349=ORIENTED_EDGE('',*,*,#44571,.T.); #58350=ORIENTED_EDGE('',*,*,#44572,.T.); #58351=ORIENTED_EDGE('',*,*,#44569,.F.); #58352=ORIENTED_EDGE('',*,*,#44573,.T.); #58353=ORIENTED_EDGE('',*,*,#44574,.T.); #58354=ORIENTED_EDGE('',*,*,#44575,.T.); #58355=ORIENTED_EDGE('',*,*,#44571,.F.); #58356=ORIENTED_EDGE('',*,*,#44576,.T.); #58357=ORIENTED_EDGE('',*,*,#44577,.T.); #58358=ORIENTED_EDGE('',*,*,#44578,.T.); #58359=ORIENTED_EDGE('',*,*,#44579,.T.); #58360=ORIENTED_EDGE('',*,*,#44574,.F.); #58361=ORIENTED_EDGE('',*,*,#44580,.T.); #58362=ORIENTED_EDGE('',*,*,#44578,.F.); #58363=ORIENTED_EDGE('',*,*,#44581,.T.); #58364=ORIENTED_EDGE('',*,*,#44582,.T.); #58365=ORIENTED_EDGE('',*,*,#44583,.T.); #58366=ORIENTED_EDGE('',*,*,#44584,.T.); #58367=ORIENTED_EDGE('',*,*,#44585,.T.); #58368=ORIENTED_EDGE('',*,*,#44582,.F.); #58369=ORIENTED_EDGE('',*,*,#44586,.T.); #58370=ORIENTED_EDGE('',*,*,#44587,.T.); #58371=ORIENTED_EDGE('',*,*,#44588,.T.); #58372=ORIENTED_EDGE('',*,*,#44584,.F.); #58373=ORIENTED_EDGE('',*,*,#44589,.T.); #58374=ORIENTED_EDGE('',*,*,#44590,.T.); #58375=ORIENTED_EDGE('',*,*,#44591,.T.); #58376=ORIENTED_EDGE('',*,*,#44587,.F.); #58377=ORIENTED_EDGE('',*,*,#44592,.T.); #58378=ORIENTED_EDGE('',*,*,#44593,.T.); #58379=ORIENTED_EDGE('',*,*,#44594,.T.); #58380=ORIENTED_EDGE('',*,*,#44590,.F.); #58381=ORIENTED_EDGE('',*,*,#44595,.T.); #58382=ORIENTED_EDGE('',*,*,#44596,.T.); #58383=ORIENTED_EDGE('',*,*,#44597,.T.); #58384=ORIENTED_EDGE('',*,*,#44593,.F.); #58385=ORIENTED_EDGE('',*,*,#44598,.T.); #58386=ORIENTED_EDGE('',*,*,#44599,.T.); #58387=ORIENTED_EDGE('',*,*,#44600,.T.); #58388=ORIENTED_EDGE('',*,*,#44596,.F.); #58389=ORIENTED_EDGE('',*,*,#44601,.T.); #58390=ORIENTED_EDGE('',*,*,#44602,.T.); #58391=ORIENTED_EDGE('',*,*,#44603,.T.); #58392=ORIENTED_EDGE('',*,*,#44599,.F.); #58393=ORIENTED_EDGE('',*,*,#44604,.T.); #58394=ORIENTED_EDGE('',*,*,#44602,.F.); #58395=ORIENTED_EDGE('',*,*,#44605,.T.); #58396=ORIENTED_EDGE('',*,*,#44606,.T.); #58397=ORIENTED_EDGE('',*,*,#44607,.T.); #58398=ORIENTED_EDGE('',*,*,#44608,.T.); #58399=ORIENTED_EDGE('',*,*,#44609,.T.); #58400=ORIENTED_EDGE('',*,*,#44606,.F.); #58401=ORIENTED_EDGE('',*,*,#44610,.T.); #58402=ORIENTED_EDGE('',*,*,#44611,.T.); #58403=ORIENTED_EDGE('',*,*,#44612,.T.); #58404=ORIENTED_EDGE('',*,*,#44608,.F.); #58405=ORIENTED_EDGE('',*,*,#44613,.T.); #58406=ORIENTED_EDGE('',*,*,#44614,.T.); #58407=ORIENTED_EDGE('',*,*,#44615,.T.); #58408=ORIENTED_EDGE('',*,*,#44611,.F.); #58409=ORIENTED_EDGE('',*,*,#44616,.T.); #58410=ORIENTED_EDGE('',*,*,#44614,.F.); #58411=ORIENTED_EDGE('',*,*,#44617,.T.); #58412=ORIENTED_EDGE('',*,*,#44618,.T.); #58413=ORIENTED_EDGE('',*,*,#44619,.T.); #58414=ORIENTED_EDGE('',*,*,#44620,.T.); #58415=ORIENTED_EDGE('',*,*,#44621,.T.); #58416=ORIENTED_EDGE('',*,*,#44618,.F.); #58417=ORIENTED_EDGE('',*,*,#44622,.T.); #58418=ORIENTED_EDGE('',*,*,#44623,.T.); #58419=ORIENTED_EDGE('',*,*,#44624,.T.); #58420=ORIENTED_EDGE('',*,*,#44620,.F.); #58421=ORIENTED_EDGE('',*,*,#44625,.T.); #58422=ORIENTED_EDGE('',*,*,#44626,.T.); #58423=ORIENTED_EDGE('',*,*,#44504,.T.); #58424=ORIENTED_EDGE('',*,*,#44623,.F.); #58425=ORIENTED_EDGE('',*,*,#44627,.T.); #58426=ORIENTED_EDGE('',*,*,#44509,.F.); #58427=ORIENTED_EDGE('',*,*,#44502,.T.); #58428=ORIENTED_EDGE('',*,*,#44626,.F.); #58429=ORIENTED_EDGE('',*,*,#44628,.T.); #58430=ORIENTED_EDGE('',*,*,#44377,.F.); #58431=ORIENTED_EDGE('',*,*,#44629,.F.); #58432=ORIENTED_EDGE('',*,*,#44563,.F.); #58433=ORIENTED_EDGE('',*,*,#44630,.F.); #58434=ORIENTED_EDGE('',*,*,#44374,.F.); #58435=ORIENTED_EDGE('',*,*,#44630,.T.); #58436=ORIENTED_EDGE('',*,*,#44566,.F.); #58437=ORIENTED_EDGE('',*,*,#44631,.T.); #58438=ORIENTED_EDGE('',*,*,#44371,.F.); #58439=ORIENTED_EDGE('',*,*,#44631,.F.); #58440=ORIENTED_EDGE('',*,*,#44570,.F.); #58441=ORIENTED_EDGE('',*,*,#44632,.F.); #58442=ORIENTED_EDGE('',*,*,#44368,.F.); #58443=ORIENTED_EDGE('',*,*,#44632,.T.); #58444=ORIENTED_EDGE('',*,*,#44572,.F.); #58445=ORIENTED_EDGE('',*,*,#44633,.T.); #58446=ORIENTED_EDGE('',*,*,#44364,.F.); #58447=ORIENTED_EDGE('',*,*,#44633,.F.); #58448=ORIENTED_EDGE('',*,*,#44575,.F.); #58449=ORIENTED_EDGE('',*,*,#44634,.F.); #58450=ORIENTED_EDGE('',*,*,#44361,.F.); #58451=ORIENTED_EDGE('',*,*,#44634,.T.); #58452=ORIENTED_EDGE('',*,*,#44579,.F.); #58453=ORIENTED_EDGE('',*,*,#44635,.T.); #58454=ORIENTED_EDGE('',*,*,#44358,.F.); #58455=ORIENTED_EDGE('',*,*,#44635,.F.); #58456=ORIENTED_EDGE('',*,*,#44583,.F.); #58457=ORIENTED_EDGE('',*,*,#44636,.F.); #58458=ORIENTED_EDGE('',*,*,#44355,.F.); #58459=ORIENTED_EDGE('',*,*,#44636,.T.); #58460=ORIENTED_EDGE('',*,*,#44585,.F.); #58461=ORIENTED_EDGE('',*,*,#44637,.T.); #58462=ORIENTED_EDGE('',*,*,#44352,.F.); #58463=ORIENTED_EDGE('',*,*,#44637,.F.); #58464=ORIENTED_EDGE('',*,*,#44588,.F.); #58465=ORIENTED_EDGE('',*,*,#44638,.F.); #58466=ORIENTED_EDGE('',*,*,#44349,.F.); #58467=ORIENTED_EDGE('',*,*,#44638,.T.); #58468=ORIENTED_EDGE('',*,*,#44591,.F.); #58469=ORIENTED_EDGE('',*,*,#44639,.T.); #58470=ORIENTED_EDGE('',*,*,#44346,.F.); #58471=ORIENTED_EDGE('',*,*,#44639,.F.); #58472=ORIENTED_EDGE('',*,*,#44594,.F.); #58473=ORIENTED_EDGE('',*,*,#44640,.F.); #58474=ORIENTED_EDGE('',*,*,#44343,.F.); #58475=ORIENTED_EDGE('',*,*,#44640,.T.); #58476=ORIENTED_EDGE('',*,*,#44597,.F.); #58477=ORIENTED_EDGE('',*,*,#44641,.T.); #58478=ORIENTED_EDGE('',*,*,#44340,.F.); #58479=ORIENTED_EDGE('',*,*,#44641,.F.); #58480=ORIENTED_EDGE('',*,*,#44600,.F.); #58481=ORIENTED_EDGE('',*,*,#44642,.F.); #58482=ORIENTED_EDGE('',*,*,#44337,.F.); #58483=ORIENTED_EDGE('',*,*,#44642,.T.); #58484=ORIENTED_EDGE('',*,*,#44603,.F.); #58485=ORIENTED_EDGE('',*,*,#44643,.T.); #58486=ORIENTED_EDGE('',*,*,#44334,.F.); #58487=ORIENTED_EDGE('',*,*,#44643,.F.); #58488=ORIENTED_EDGE('',*,*,#44607,.F.); #58489=ORIENTED_EDGE('',*,*,#44644,.F.); #58490=ORIENTED_EDGE('',*,*,#44331,.F.); #58491=ORIENTED_EDGE('',*,*,#44644,.T.); #58492=ORIENTED_EDGE('',*,*,#44609,.F.); #58493=ORIENTED_EDGE('',*,*,#44645,.T.); #58494=ORIENTED_EDGE('',*,*,#44328,.F.); #58495=ORIENTED_EDGE('',*,*,#44645,.F.); #58496=ORIENTED_EDGE('',*,*,#44612,.F.); #58497=ORIENTED_EDGE('',*,*,#44646,.F.); #58498=ORIENTED_EDGE('',*,*,#44325,.F.); #58499=ORIENTED_EDGE('',*,*,#44646,.T.); #58500=ORIENTED_EDGE('',*,*,#44615,.F.); #58501=ORIENTED_EDGE('',*,*,#44647,.T.); #58502=ORIENTED_EDGE('',*,*,#44322,.F.); #58503=ORIENTED_EDGE('',*,*,#44647,.F.); #58504=ORIENTED_EDGE('',*,*,#44619,.F.); #58505=ORIENTED_EDGE('',*,*,#44648,.F.); #58506=ORIENTED_EDGE('',*,*,#44319,.F.); #58507=ORIENTED_EDGE('',*,*,#44648,.T.); #58508=ORIENTED_EDGE('',*,*,#44621,.F.); #58509=ORIENTED_EDGE('',*,*,#44649,.T.); #58510=ORIENTED_EDGE('',*,*,#44316,.F.); #58511=ORIENTED_EDGE('',*,*,#44649,.F.); #58512=ORIENTED_EDGE('',*,*,#44624,.F.); #58513=ORIENTED_EDGE('',*,*,#44503,.F.); #58514=ORIENTED_EDGE('',*,*,#44510,.F.); #58515=ORIENTED_EDGE('',*,*,#44628,.F.); #58516=ORIENTED_EDGE('',*,*,#44627,.F.); #58517=ORIENTED_EDGE('',*,*,#44625,.F.); #58518=ORIENTED_EDGE('',*,*,#44622,.F.); #58519=ORIENTED_EDGE('',*,*,#44617,.F.); #58520=ORIENTED_EDGE('',*,*,#44616,.F.); #58521=ORIENTED_EDGE('',*,*,#44613,.F.); #58522=ORIENTED_EDGE('',*,*,#44610,.F.); #58523=ORIENTED_EDGE('',*,*,#44605,.F.); #58524=ORIENTED_EDGE('',*,*,#44604,.F.); #58525=ORIENTED_EDGE('',*,*,#44601,.F.); #58526=ORIENTED_EDGE('',*,*,#44598,.F.); #58527=ORIENTED_EDGE('',*,*,#44595,.F.); #58528=ORIENTED_EDGE('',*,*,#44592,.F.); #58529=ORIENTED_EDGE('',*,*,#44589,.F.); #58530=ORIENTED_EDGE('',*,*,#44586,.F.); #58531=ORIENTED_EDGE('',*,*,#44581,.F.); #58532=ORIENTED_EDGE('',*,*,#44580,.F.); #58533=ORIENTED_EDGE('',*,*,#44577,.F.); #58534=ORIENTED_EDGE('',*,*,#44650,.T.); #58535=ORIENTED_EDGE('',*,*,#44651,.F.); #58536=ORIENTED_EDGE('',*,*,#44652,.F.); #58537=ORIENTED_EDGE('',*,*,#44650,.F.); #58538=ORIENTED_EDGE('',*,*,#44576,.F.); #58539=ORIENTED_EDGE('',*,*,#44573,.F.); #58540=ORIENTED_EDGE('',*,*,#44568,.F.); #58541=ORIENTED_EDGE('',*,*,#44567,.F.); #58542=ORIENTED_EDGE('',*,*,#44564,.F.); #58543=ORIENTED_EDGE('',*,*,#44561,.F.); #58544=ORIENTED_EDGE('',*,*,#44558,.F.); #58545=ORIENTED_EDGE('',*,*,#44555,.F.); #58546=ORIENTED_EDGE('',*,*,#44552,.F.); #58547=ORIENTED_EDGE('',*,*,#44550,.F.); #58548=ORIENTED_EDGE('',*,*,#44547,.F.); #58549=ORIENTED_EDGE('',*,*,#44546,.F.); #58550=ORIENTED_EDGE('',*,*,#44544,.F.); #58551=ORIENTED_EDGE('',*,*,#44542,.F.); #58552=ORIENTED_EDGE('',*,*,#44539,.F.); #58553=ORIENTED_EDGE('',*,*,#44538,.F.); #58554=ORIENTED_EDGE('',*,*,#44536,.F.); #58555=ORIENTED_EDGE('',*,*,#44534,.F.); #58556=ORIENTED_EDGE('',*,*,#44532,.F.); #58557=ORIENTED_EDGE('',*,*,#44530,.F.); #58558=ORIENTED_EDGE('',*,*,#44528,.F.); #58559=ORIENTED_EDGE('',*,*,#44526,.F.); #58560=ORIENTED_EDGE('',*,*,#44523,.F.); #58561=ORIENTED_EDGE('',*,*,#44522,.F.); #58562=ORIENTED_EDGE('',*,*,#44520,.F.); #58563=ORIENTED_EDGE('',*,*,#44518,.F.); #58564=ORIENTED_EDGE('',*,*,#44515,.F.); #58565=ORIENTED_EDGE('',*,*,#44514,.F.); #58566=ORIENTED_EDGE('',*,*,#44512,.F.); #58567=ORIENTED_EDGE('',*,*,#44653,.T.); #58568=ORIENTED_EDGE('',*,*,#44654,.T.); #58569=ORIENTED_EDGE('',*,*,#44655,.T.); #58570=ORIENTED_EDGE('',*,*,#44654,.F.); #58571=ORIENTED_EDGE('',*,*,#44655,.F.); #58572=ORIENTED_EDGE('',*,*,#44656,.F.); #58573=ORIENTED_EDGE('',*,*,#44657,.F.); #58574=ORIENTED_EDGE('',*,*,#44658,.F.); #58575=ORIENTED_EDGE('',*,*,#44659,.F.); #58576=ORIENTED_EDGE('',*,*,#44660,.F.); #58577=ORIENTED_EDGE('',*,*,#44661,.F.); #58578=ORIENTED_EDGE('',*,*,#44290,.T.); #58579=ORIENTED_EDGE('',*,*,#44289,.F.); #58580=ORIENTED_EDGE('',*,*,#44662,.F.); #58581=ORIENTED_EDGE('',*,*,#44663,.T.); #58582=ORIENTED_EDGE('',*,*,#44653,.F.); #58583=ORIENTED_EDGE('',*,*,#44663,.F.); #58584=ORIENTED_EDGE('',*,*,#44664,.F.); #58585=ORIENTED_EDGE('',*,*,#44665,.F.); #58586=ORIENTED_EDGE('',*,*,#44666,.F.); #58587=ORIENTED_EDGE('',*,*,#44224,.F.); #58588=ORIENTED_EDGE('',*,*,#44223,.T.); #58589=ORIENTED_EDGE('',*,*,#44228,.F.); #58590=ORIENTED_EDGE('',*,*,#44309,.T.); #58591=ORIENTED_EDGE('',*,*,#44308,.F.); #58592=ORIENTED_EDGE('',*,*,#44667,.F.); #58593=ORIENTED_EDGE('',*,*,#44185,.F.); #58594=ORIENTED_EDGE('',*,*,#44184,.T.); #58595=ORIENTED_EDGE('',*,*,#44189,.F.); #58596=ORIENTED_EDGE('',*,*,#44299,.T.); #58597=ORIENTED_EDGE('',*,*,#44298,.F.); #58598=ORIENTED_EDGE('',*,*,#44668,.F.); #58599=ORIENTED_EDGE('',*,*,#44263,.F.); #58600=ORIENTED_EDGE('',*,*,#44262,.T.); #58601=ORIENTED_EDGE('',*,*,#44267,.F.); #58602=ORIENTED_EDGE('',*,*,#44669,.T.); #58603=ORIENTED_EDGE('',*,*,#44670,.T.); #58604=ORIENTED_EDGE('',*,*,#44671,.T.); #58605=ORIENTED_EDGE('',*,*,#44672,.T.); #58606=ORIENTED_EDGE('',*,*,#44673,.T.); #58607=ORIENTED_EDGE('',*,*,#44674,.T.); #58608=ORIENTED_EDGE('',*,*,#44675,.T.); #58609=ORIENTED_EDGE('',*,*,#44676,.T.); #58610=ORIENTED_EDGE('',*,*,#44677,.T.); #58611=ORIENTED_EDGE('',*,*,#44678,.T.); #58612=ORIENTED_EDGE('',*,*,#44675,.F.); #58613=ORIENTED_EDGE('',*,*,#44679,.T.); #58614=ORIENTED_EDGE('',*,*,#44680,.T.); #58615=ORIENTED_EDGE('',*,*,#44681,.T.); #58616=ORIENTED_EDGE('',*,*,#44677,.F.); #58617=ORIENTED_EDGE('',*,*,#44682,.T.); #58618=ORIENTED_EDGE('',*,*,#44683,.T.); #58619=ORIENTED_EDGE('',*,*,#44684,.T.); #58620=ORIENTED_EDGE('',*,*,#44680,.F.); #58621=ORIENTED_EDGE('',*,*,#44685,.T.); #58622=ORIENTED_EDGE('',*,*,#44686,.T.); #58623=ORIENTED_EDGE('',*,*,#44687,.T.); #58624=ORIENTED_EDGE('',*,*,#44683,.F.); #58625=ORIENTED_EDGE('',*,*,#44688,.T.); #58626=ORIENTED_EDGE('',*,*,#44689,.T.); #58627=ORIENTED_EDGE('',*,*,#44690,.T.); #58628=ORIENTED_EDGE('',*,*,#44691,.T.); #58629=ORIENTED_EDGE('',*,*,#44692,.T.); #58630=ORIENTED_EDGE('',*,*,#44693,.T.); #58631=ORIENTED_EDGE('',*,*,#44694,.T.); #58632=ORIENTED_EDGE('',*,*,#44695,.T.); #58633=ORIENTED_EDGE('',*,*,#44696,.T.); #58634=ORIENTED_EDGE('',*,*,#44697,.T.); #58635=ORIENTED_EDGE('',*,*,#44698,.T.); #58636=ORIENTED_EDGE('',*,*,#44695,.F.); #58637=ORIENTED_EDGE('',*,*,#44699,.T.); #58638=ORIENTED_EDGE('',*,*,#44700,.T.); #58639=ORIENTED_EDGE('',*,*,#44701,.T.); #58640=ORIENTED_EDGE('',*,*,#44697,.F.); #58641=ORIENTED_EDGE('',*,*,#44702,.T.); #58642=ORIENTED_EDGE('',*,*,#44703,.T.); #58643=ORIENTED_EDGE('',*,*,#44704,.T.); #58644=ORIENTED_EDGE('',*,*,#44700,.F.); #58645=ORIENTED_EDGE('',*,*,#44705,.T.); #58646=ORIENTED_EDGE('',*,*,#44706,.T.); #58647=ORIENTED_EDGE('',*,*,#44707,.T.); #58648=ORIENTED_EDGE('',*,*,#44703,.F.); #58649=ORIENTED_EDGE('',*,*,#44708,.T.); #58650=ORIENTED_EDGE('',*,*,#44709,.T.); #58651=ORIENTED_EDGE('',*,*,#44710,.T.); #58652=ORIENTED_EDGE('',*,*,#44711,.T.); #58653=ORIENTED_EDGE('',*,*,#44712,.T.); #58654=ORIENTED_EDGE('',*,*,#44713,.T.); #58655=ORIENTED_EDGE('',*,*,#44714,.T.); #58656=ORIENTED_EDGE('',*,*,#44715,.T.); #58657=ORIENTED_EDGE('',*,*,#44658,.T.); #58658=ORIENTED_EDGE('',*,*,#44716,.F.); #58659=ORIENTED_EDGE('',*,*,#44717,.F.); #58660=ORIENTED_EDGE('',*,*,#44660,.T.); #58661=ORIENTED_EDGE('',*,*,#44718,.F.); #58662=ORIENTED_EDGE('',*,*,#44719,.F.); #58663=ORIENTED_EDGE('',*,*,#44720,.F.); #58664=ORIENTED_EDGE('',*,*,#44656,.T.); #58665=ORIENTED_EDGE('',*,*,#44721,.F.); #58666=ORIENTED_EDGE('',*,*,#44722,.T.); #58667=ORIENTED_EDGE('',*,*,#44657,.T.); #58668=ORIENTED_EDGE('',*,*,#44720,.T.); #58669=ORIENTED_EDGE('',*,*,#44723,.T.); #58670=ORIENTED_EDGE('',*,*,#44721,.T.); #58671=ORIENTED_EDGE('',*,*,#44661,.T.); #58672=ORIENTED_EDGE('',*,*,#44717,.T.); #58673=ORIENTED_EDGE('',*,*,#44724,.T.); #58674=ORIENTED_EDGE('',*,*,#44719,.T.); #58675=ORIENTED_EDGE('',*,*,#44725,.T.); #58676=ORIENTED_EDGE('',*,*,#44726,.T.); #58677=ORIENTED_EDGE('',*,*,#44727,.T.); #58678=ORIENTED_EDGE('',*,*,#44728,.T.); #58679=ORIENTED_EDGE('',*,*,#44729,.T.); #58680=ORIENTED_EDGE('',*,*,#44718,.T.); #58681=ORIENTED_EDGE('',*,*,#44659,.T.); #58682=ORIENTED_EDGE('',*,*,#44716,.T.); #58683=ORIENTED_EDGE('',*,*,#44730,.T.); #58684=ORIENTED_EDGE('',*,*,#44731,.T.); #58685=ORIENTED_EDGE('',*,*,#44732,.T.); #58686=ORIENTED_EDGE('',*,*,#44722,.F.); #58687=ORIENTED_EDGE('',*,*,#44733,.T.); #58688=ORIENTED_EDGE('',*,*,#44713,.F.); #58689=ORIENTED_EDGE('',*,*,#44715,.F.); #58690=ORIENTED_EDGE('',*,*,#44734,.T.); #58691=ORIENTED_EDGE('',*,*,#44728,.F.); #58692=ORIENTED_EDGE('',*,*,#44726,.F.); #58693=ORIENTED_EDGE('',*,*,#44711,.F.); #58694=ORIENTED_EDGE('',*,*,#44735,.T.); #58695=ORIENTED_EDGE('',*,*,#44709,.F.); #58696=ORIENTED_EDGE('',*,*,#44693,.F.); #58697=ORIENTED_EDGE('',*,*,#44736,.T.); #58698=ORIENTED_EDGE('',*,*,#44706,.F.); #58699=ORIENTED_EDGE('',*,*,#44691,.F.); #58700=ORIENTED_EDGE('',*,*,#44737,.T.); #58701=ORIENTED_EDGE('',*,*,#44689,.F.); #58702=ORIENTED_EDGE('',*,*,#44673,.F.); #58703=ORIENTED_EDGE('',*,*,#44738,.T.); #58704=ORIENTED_EDGE('',*,*,#44686,.F.); #58705=ORIENTED_EDGE('',*,*,#44671,.F.); #58706=ORIENTED_EDGE('',*,*,#44739,.T.); #58707=ORIENTED_EDGE('',*,*,#44669,.F.); #58708=ORIENTED_EDGE('',*,*,#44731,.F.); #58709=ORIENTED_EDGE('',*,*,#44740,.T.); #58710=ORIENTED_EDGE('',*,*,#44737,.F.); #58711=ORIENTED_EDGE('',*,*,#44741,.T.); #58712=ORIENTED_EDGE('',*,*,#44742,.F.); #58713=ORIENTED_EDGE('',*,*,#44743,.T.); #58714=ORIENTED_EDGE('',*,*,#44690,.F.); #58715=ORIENTED_EDGE('',*,*,#44744,.F.); #58716=ORIENTED_EDGE('',*,*,#44745,.F.); #58717=ORIENTED_EDGE('',*,*,#44741,.F.); #58718=ORIENTED_EDGE('',*,*,#44738,.F.); #58719=ORIENTED_EDGE('',*,*,#44746,.T.); #58720=ORIENTED_EDGE('',*,*,#44747,.F.); #58721=ORIENTED_EDGE('',*,*,#44744,.T.); #58722=ORIENTED_EDGE('',*,*,#44676,.F.); #58723=ORIENTED_EDGE('',*,*,#44748,.F.); #58724=ORIENTED_EDGE('',*,*,#44749,.F.); #58725=ORIENTED_EDGE('',*,*,#44746,.F.); #58726=ORIENTED_EDGE('',*,*,#44678,.F.); #58727=ORIENTED_EDGE('',*,*,#44750,.T.); #58728=ORIENTED_EDGE('',*,*,#44751,.F.); #58729=ORIENTED_EDGE('',*,*,#44748,.T.); #58730=ORIENTED_EDGE('',*,*,#44681,.F.); #58731=ORIENTED_EDGE('',*,*,#44752,.F.); #58732=ORIENTED_EDGE('',*,*,#44753,.F.); #58733=ORIENTED_EDGE('',*,*,#44750,.F.); #58734=ORIENTED_EDGE('',*,*,#44684,.F.); #58735=ORIENTED_EDGE('',*,*,#44754,.T.); #58736=ORIENTED_EDGE('',*,*,#44755,.F.); #58737=ORIENTED_EDGE('',*,*,#44752,.T.); #58738=ORIENTED_EDGE('',*,*,#44687,.F.); #58739=ORIENTED_EDGE('',*,*,#44756,.F.); #58740=ORIENTED_EDGE('',*,*,#44757,.F.); #58741=ORIENTED_EDGE('',*,*,#44754,.F.); #58742=ORIENTED_EDGE('',*,*,#44739,.F.); #58743=ORIENTED_EDGE('',*,*,#44758,.T.); #58744=ORIENTED_EDGE('',*,*,#44759,.F.); #58745=ORIENTED_EDGE('',*,*,#44756,.T.); #58746=ORIENTED_EDGE('',*,*,#44670,.F.); #58747=ORIENTED_EDGE('',*,*,#44760,.F.); #58748=ORIENTED_EDGE('',*,*,#44761,.F.); #58749=ORIENTED_EDGE('',*,*,#44758,.F.); #58750=ORIENTED_EDGE('',*,*,#44740,.F.); #58751=ORIENTED_EDGE('',*,*,#44762,.T.); #58752=ORIENTED_EDGE('',*,*,#44763,.F.); #58753=ORIENTED_EDGE('',*,*,#44760,.T.); #58754=ORIENTED_EDGE('',*,*,#44730,.F.); #58755=ORIENTED_EDGE('',*,*,#44729,.F.); #58756=ORIENTED_EDGE('',*,*,#44764,.F.); #58757=ORIENTED_EDGE('',*,*,#44762,.F.); #58758=ORIENTED_EDGE('',*,*,#44724,.F.); #58759=ORIENTED_EDGE('',*,*,#44732,.F.); #58760=ORIENTED_EDGE('',*,*,#44672,.F.); #58761=ORIENTED_EDGE('',*,*,#44688,.F.); #58762=ORIENTED_EDGE('',*,*,#44685,.F.); #58763=ORIENTED_EDGE('',*,*,#44682,.F.); #58764=ORIENTED_EDGE('',*,*,#44679,.F.); #58765=ORIENTED_EDGE('',*,*,#44674,.F.); #58766=ORIENTED_EDGE('',*,*,#44692,.F.); #58767=ORIENTED_EDGE('',*,*,#44708,.F.); #58768=ORIENTED_EDGE('',*,*,#44705,.F.); #58769=ORIENTED_EDGE('',*,*,#44702,.F.); #58770=ORIENTED_EDGE('',*,*,#44699,.F.); #58771=ORIENTED_EDGE('',*,*,#44694,.F.); #58772=ORIENTED_EDGE('',*,*,#44712,.F.); #58773=ORIENTED_EDGE('',*,*,#44725,.F.); #58774=ORIENTED_EDGE('',*,*,#44765,.T.); #58775=ORIENTED_EDGE('',*,*,#44766,.T.); #58776=ORIENTED_EDGE('',*,*,#44767,.T.); #58777=ORIENTED_EDGE('',*,*,#44766,.F.); #58778=ORIENTED_EDGE('',*,*,#44765,.F.); #58779=ORIENTED_EDGE('',*,*,#44768,.F.); #58780=ORIENTED_EDGE('',*,*,#44714,.F.); #58781=ORIENTED_EDGE('',*,*,#44769,.F.); #58782=ORIENTED_EDGE('',*,*,#44770,.F.); #58783=ORIENTED_EDGE('',*,*,#44771,.F.); #58784=ORIENTED_EDGE('',*,*,#44772,.T.); #58785=ORIENTED_EDGE('',*,*,#44767,.F.); #58786=ORIENTED_EDGE('',*,*,#44772,.F.); #58787=ORIENTED_EDGE('',*,*,#44773,.F.); #58788=ORIENTED_EDGE('',*,*,#44774,.F.); #58789=ORIENTED_EDGE('',*,*,#44775,.F.); #58790=ORIENTED_EDGE('',*,*,#44776,.F.); #58791=ORIENTED_EDGE('',*,*,#44777,.F.); #58792=ORIENTED_EDGE('',*,*,#44778,.F.); #58793=ORIENTED_EDGE('',*,*,#44779,.F.); #58794=ORIENTED_EDGE('',*,*,#44780,.F.); #58795=ORIENTED_EDGE('',*,*,#44781,.F.); #58796=ORIENTED_EDGE('',*,*,#44782,.F.); #58797=ORIENTED_EDGE('',*,*,#44783,.F.); #58798=ORIENTED_EDGE('',*,*,#44784,.F.); #58799=ORIENTED_EDGE('',*,*,#44785,.F.); #58800=ORIENTED_EDGE('',*,*,#44786,.F.); #58801=ORIENTED_EDGE('',*,*,#44787,.F.); #58802=ORIENTED_EDGE('',*,*,#44788,.F.); #58803=ORIENTED_EDGE('',*,*,#44789,.F.); #58804=ORIENTED_EDGE('',*,*,#44790,.F.); #58805=ORIENTED_EDGE('',*,*,#44791,.F.); #58806=ORIENTED_EDGE('',*,*,#44768,.T.); #58807=ORIENTED_EDGE('',*,*,#44792,.T.); #58808=ORIENTED_EDGE('',*,*,#44507,.T.); #58809=ORIENTED_EDGE('',*,*,#44505,.T.); #58810=ORIENTED_EDGE('',*,*,#44792,.F.); #58811=ORIENTED_EDGE('',*,*,#44733,.F.); #58812=ORIENTED_EDGE('',*,*,#44793,.T.); #58813=ORIENTED_EDGE('',*,*,#44794,.T.); #58814=ORIENTED_EDGE('',*,*,#44769,.T.); #58815=ORIENTED_EDGE('',*,*,#44794,.F.); #58816=ORIENTED_EDGE('',*,*,#44795,.T.); #58817=ORIENTED_EDGE('',*,*,#44796,.T.); #58818=ORIENTED_EDGE('',*,*,#44770,.T.); #58819=ORIENTED_EDGE('',*,*,#44797,.T.); #58820=ORIENTED_EDGE('',*,*,#44773,.T.); #58821=ORIENTED_EDGE('',*,*,#44771,.T.); #58822=ORIENTED_EDGE('',*,*,#44796,.F.); #58823=ORIENTED_EDGE('',*,*,#44798,.T.); #58824=ORIENTED_EDGE('',*,*,#44797,.F.); #58825=ORIENTED_EDGE('',*,*,#44799,.T.); #58826=ORIENTED_EDGE('',*,*,#44800,.T.); #58827=ORIENTED_EDGE('',*,*,#44774,.T.); #58828=ORIENTED_EDGE('',*,*,#44801,.T.); #58829=ORIENTED_EDGE('',*,*,#44775,.T.); #58830=ORIENTED_EDGE('',*,*,#44800,.F.); #58831=ORIENTED_EDGE('',*,*,#44802,.T.); #58832=ORIENTED_EDGE('',*,*,#44801,.F.); #58833=ORIENTED_EDGE('',*,*,#44803,.T.); #58834=ORIENTED_EDGE('',*,*,#44804,.T.); #58835=ORIENTED_EDGE('',*,*,#44776,.T.); #58836=ORIENTED_EDGE('',*,*,#44805,.T.); #58837=ORIENTED_EDGE('',*,*,#44777,.T.); #58838=ORIENTED_EDGE('',*,*,#44804,.F.); #58839=ORIENTED_EDGE('',*,*,#44806,.T.); #58840=ORIENTED_EDGE('',*,*,#44805,.F.); #58841=ORIENTED_EDGE('',*,*,#44807,.T.); #58842=ORIENTED_EDGE('',*,*,#44808,.T.); #58843=ORIENTED_EDGE('',*,*,#44778,.T.); #58844=ORIENTED_EDGE('',*,*,#44809,.T.); #58845=ORIENTED_EDGE('',*,*,#44779,.T.); #58846=ORIENTED_EDGE('',*,*,#44808,.F.); #58847=ORIENTED_EDGE('',*,*,#44810,.T.); #58848=ORIENTED_EDGE('',*,*,#44809,.F.); #58849=ORIENTED_EDGE('',*,*,#44742,.T.); #58850=ORIENTED_EDGE('',*,*,#44811,.T.); #58851=ORIENTED_EDGE('',*,*,#44780,.T.); #58852=ORIENTED_EDGE('',*,*,#44812,.T.); #58853=ORIENTED_EDGE('',*,*,#44781,.T.); #58854=ORIENTED_EDGE('',*,*,#44811,.F.); #58855=ORIENTED_EDGE('',*,*,#44745,.T.); #58856=ORIENTED_EDGE('',*,*,#44812,.F.); #58857=ORIENTED_EDGE('',*,*,#44747,.T.); #58858=ORIENTED_EDGE('',*,*,#44813,.T.); #58859=ORIENTED_EDGE('',*,*,#44782,.T.); #58860=ORIENTED_EDGE('',*,*,#44814,.T.); #58861=ORIENTED_EDGE('',*,*,#44783,.T.); #58862=ORIENTED_EDGE('',*,*,#44813,.F.); #58863=ORIENTED_EDGE('',*,*,#44749,.T.); #58864=ORIENTED_EDGE('',*,*,#44814,.F.); #58865=ORIENTED_EDGE('',*,*,#44751,.T.); #58866=ORIENTED_EDGE('',*,*,#44815,.T.); #58867=ORIENTED_EDGE('',*,*,#44784,.T.); #58868=ORIENTED_EDGE('',*,*,#44816,.T.); #58869=ORIENTED_EDGE('',*,*,#44785,.T.); #58870=ORIENTED_EDGE('',*,*,#44815,.F.); #58871=ORIENTED_EDGE('',*,*,#44753,.T.); #58872=ORIENTED_EDGE('',*,*,#44816,.F.); #58873=ORIENTED_EDGE('',*,*,#44755,.T.); #58874=ORIENTED_EDGE('',*,*,#44817,.T.); #58875=ORIENTED_EDGE('',*,*,#44786,.T.); #58876=ORIENTED_EDGE('',*,*,#44818,.T.); #58877=ORIENTED_EDGE('',*,*,#44787,.T.); #58878=ORIENTED_EDGE('',*,*,#44817,.F.); #58879=ORIENTED_EDGE('',*,*,#44757,.T.); #58880=ORIENTED_EDGE('',*,*,#44818,.F.); #58881=ORIENTED_EDGE('',*,*,#44759,.T.); #58882=ORIENTED_EDGE('',*,*,#44819,.T.); #58883=ORIENTED_EDGE('',*,*,#44788,.T.); #58884=ORIENTED_EDGE('',*,*,#44820,.T.); #58885=ORIENTED_EDGE('',*,*,#44789,.T.); #58886=ORIENTED_EDGE('',*,*,#44819,.F.); #58887=ORIENTED_EDGE('',*,*,#44761,.T.); #58888=ORIENTED_EDGE('',*,*,#44820,.F.); #58889=ORIENTED_EDGE('',*,*,#44763,.T.); #58890=ORIENTED_EDGE('',*,*,#44821,.T.); #58891=ORIENTED_EDGE('',*,*,#44790,.T.); #58892=ORIENTED_EDGE('',*,*,#44734,.F.); #58893=ORIENTED_EDGE('',*,*,#44791,.T.); #58894=ORIENTED_EDGE('',*,*,#44821,.F.); #58895=ORIENTED_EDGE('',*,*,#44764,.T.); #58896=ORIENTED_EDGE('',*,*,#44707,.F.); #58897=ORIENTED_EDGE('',*,*,#44743,.F.); #58898=ORIENTED_EDGE('',*,*,#44810,.F.); #58899=ORIENTED_EDGE('',*,*,#44822,.F.); #58900=ORIENTED_EDGE('',*,*,#44704,.F.); #58901=ORIENTED_EDGE('',*,*,#44822,.T.); #58902=ORIENTED_EDGE('',*,*,#44807,.F.); #58903=ORIENTED_EDGE('',*,*,#44823,.T.); #58904=ORIENTED_EDGE('',*,*,#44701,.F.); #58905=ORIENTED_EDGE('',*,*,#44823,.F.); #58906=ORIENTED_EDGE('',*,*,#44806,.F.); #58907=ORIENTED_EDGE('',*,*,#44824,.F.); #58908=ORIENTED_EDGE('',*,*,#44698,.F.); #58909=ORIENTED_EDGE('',*,*,#44824,.T.); #58910=ORIENTED_EDGE('',*,*,#44803,.F.); #58911=ORIENTED_EDGE('',*,*,#44825,.T.); #58912=ORIENTED_EDGE('',*,*,#44696,.F.); #58913=ORIENTED_EDGE('',*,*,#44825,.F.); #58914=ORIENTED_EDGE('',*,*,#44802,.F.); #58915=ORIENTED_EDGE('',*,*,#44826,.F.); #58916=ORIENTED_EDGE('',*,*,#44736,.F.); #58917=ORIENTED_EDGE('',*,*,#44826,.T.); #58918=ORIENTED_EDGE('',*,*,#44799,.F.); #58919=ORIENTED_EDGE('',*,*,#44827,.T.); #58920=ORIENTED_EDGE('',*,*,#44710,.F.); #58921=ORIENTED_EDGE('',*,*,#44827,.F.); #58922=ORIENTED_EDGE('',*,*,#44798,.F.); #58923=ORIENTED_EDGE('',*,*,#44828,.F.); #58924=ORIENTED_EDGE('',*,*,#44735,.F.); #58925=ORIENTED_EDGE('',*,*,#44828,.T.); #58926=ORIENTED_EDGE('',*,*,#44795,.F.); #58927=ORIENTED_EDGE('',*,*,#44829,.T.); #58928=ORIENTED_EDGE('',*,*,#44727,.F.); #58929=ORIENTED_EDGE('',*,*,#44829,.F.); #58930=ORIENTED_EDGE('',*,*,#44793,.F.); #58931=ORIENTED_EDGE('',*,*,#44723,.F.); #58932=ORIENTED_EDGE('',*,*,#44830,.F.); #58933=ORIENTED_EDGE('',*,*,#44831,.T.); #58934=ORIENTED_EDGE('',*,*,#44832,.T.); #58935=ORIENTED_EDGE('',*,*,#44833,.F.); #58936=ORIENTED_EDGE('',*,*,#44834,.F.); #58937=ORIENTED_EDGE('',*,*,#44835,.T.); #58938=ORIENTED_EDGE('',*,*,#44836,.T.); #58939=ORIENTED_EDGE('',*,*,#44831,.F.); #58940=ORIENTED_EDGE('',*,*,#44837,.F.); #58941=ORIENTED_EDGE('',*,*,#44838,.T.); #58942=ORIENTED_EDGE('',*,*,#44839,.T.); #58943=ORIENTED_EDGE('',*,*,#44835,.F.); #58944=ORIENTED_EDGE('',*,*,#44840,.F.); #58945=ORIENTED_EDGE('',*,*,#44841,.T.); #58946=ORIENTED_EDGE('',*,*,#44842,.T.); #58947=ORIENTED_EDGE('',*,*,#44838,.F.); #58948=ORIENTED_EDGE('',*,*,#44843,.F.); #58949=ORIENTED_EDGE('',*,*,#44844,.T.); #58950=ORIENTED_EDGE('',*,*,#44845,.T.); #58951=ORIENTED_EDGE('',*,*,#44841,.F.); #58952=ORIENTED_EDGE('',*,*,#44846,.F.); #58953=ORIENTED_EDGE('',*,*,#44847,.T.); #58954=ORIENTED_EDGE('',*,*,#44848,.T.); #58955=ORIENTED_EDGE('',*,*,#44844,.F.); #58956=ORIENTED_EDGE('',*,*,#44849,.F.); #58957=ORIENTED_EDGE('',*,*,#44850,.T.); #58958=ORIENTED_EDGE('',*,*,#44851,.T.); #58959=ORIENTED_EDGE('',*,*,#44847,.F.); #58960=ORIENTED_EDGE('',*,*,#44852,.F.); #58961=ORIENTED_EDGE('',*,*,#44853,.T.); #58962=ORIENTED_EDGE('',*,*,#44854,.T.); #58963=ORIENTED_EDGE('',*,*,#44850,.F.); #58964=ORIENTED_EDGE('',*,*,#44855,.F.); #58965=ORIENTED_EDGE('',*,*,#44856,.T.); #58966=ORIENTED_EDGE('',*,*,#44857,.T.); #58967=ORIENTED_EDGE('',*,*,#44853,.F.); #58968=ORIENTED_EDGE('',*,*,#44858,.F.); #58969=ORIENTED_EDGE('',*,*,#44833,.T.); #58970=ORIENTED_EDGE('',*,*,#44859,.T.); #58971=ORIENTED_EDGE('',*,*,#44856,.F.); #58972=ORIENTED_EDGE('',*,*,#44859,.F.); #58973=ORIENTED_EDGE('',*,*,#44832,.F.); #58974=ORIENTED_EDGE('',*,*,#44836,.F.); #58975=ORIENTED_EDGE('',*,*,#44839,.F.); #58976=ORIENTED_EDGE('',*,*,#44842,.F.); #58977=ORIENTED_EDGE('',*,*,#44845,.F.); #58978=ORIENTED_EDGE('',*,*,#44848,.F.); #58979=ORIENTED_EDGE('',*,*,#44851,.F.); #58980=ORIENTED_EDGE('',*,*,#44854,.F.); #58981=ORIENTED_EDGE('',*,*,#44857,.F.); #58982=ORIENTED_EDGE('',*,*,#44860,.F.); #58983=ORIENTED_EDGE('',*,*,#44861,.T.); #58984=ORIENTED_EDGE('',*,*,#44862,.T.); #58985=ORIENTED_EDGE('',*,*,#44863,.F.); #58986=ORIENTED_EDGE('',*,*,#44864,.F.); #58987=ORIENTED_EDGE('',*,*,#44865,.T.); #58988=ORIENTED_EDGE('',*,*,#44866,.T.); #58989=ORIENTED_EDGE('',*,*,#44861,.F.); #58990=ORIENTED_EDGE('',*,*,#44867,.F.); #58991=ORIENTED_EDGE('',*,*,#44868,.T.); #58992=ORIENTED_EDGE('',*,*,#44869,.T.); #58993=ORIENTED_EDGE('',*,*,#44865,.F.); #58994=ORIENTED_EDGE('',*,*,#44870,.F.); #58995=ORIENTED_EDGE('',*,*,#44863,.T.); #58996=ORIENTED_EDGE('',*,*,#44871,.T.); #58997=ORIENTED_EDGE('',*,*,#44868,.F.); #58998=ORIENTED_EDGE('',*,*,#44871,.F.); #58999=ORIENTED_EDGE('',*,*,#44862,.F.); #59000=ORIENTED_EDGE('',*,*,#44866,.F.); #59001=ORIENTED_EDGE('',*,*,#44869,.F.); #59002=ORIENTED_EDGE('',*,*,#44872,.F.); #59003=ORIENTED_EDGE('',*,*,#44873,.T.); #59004=ORIENTED_EDGE('',*,*,#44874,.T.); #59005=ORIENTED_EDGE('',*,*,#44875,.F.); #59006=ORIENTED_EDGE('',*,*,#44876,.F.); #59007=ORIENTED_EDGE('',*,*,#44877,.T.); #59008=ORIENTED_EDGE('',*,*,#44878,.T.); #59009=ORIENTED_EDGE('',*,*,#44873,.F.); #59010=ORIENTED_EDGE('',*,*,#44879,.F.); #59011=ORIENTED_EDGE('',*,*,#44880,.T.); #59012=ORIENTED_EDGE('',*,*,#44881,.T.); #59013=ORIENTED_EDGE('',*,*,#44877,.F.); #59014=ORIENTED_EDGE('',*,*,#44882,.F.); #59015=ORIENTED_EDGE('',*,*,#44875,.T.); #59016=ORIENTED_EDGE('',*,*,#44883,.T.); #59017=ORIENTED_EDGE('',*,*,#44880,.F.); #59018=ORIENTED_EDGE('',*,*,#44883,.F.); #59019=ORIENTED_EDGE('',*,*,#44874,.F.); #59020=ORIENTED_EDGE('',*,*,#44878,.F.); #59021=ORIENTED_EDGE('',*,*,#44881,.F.); #59022=ORIENTED_EDGE('',*,*,#44884,.F.); #59023=ORIENTED_EDGE('',*,*,#44885,.T.); #59024=ORIENTED_EDGE('',*,*,#44886,.T.); #59025=ORIENTED_EDGE('',*,*,#44887,.F.); #59026=ORIENTED_EDGE('',*,*,#44888,.F.); #59027=ORIENTED_EDGE('',*,*,#44887,.T.); #59028=ORIENTED_EDGE('',*,*,#44889,.T.); #59029=ORIENTED_EDGE('',*,*,#44890,.F.); #59030=ORIENTED_EDGE('',*,*,#44891,.F.); #59031=ORIENTED_EDGE('',*,*,#44890,.T.); #59032=ORIENTED_EDGE('',*,*,#44892,.T.); #59033=ORIENTED_EDGE('',*,*,#44893,.F.); #59034=ORIENTED_EDGE('',*,*,#44894,.F.); #59035=ORIENTED_EDGE('',*,*,#44893,.T.); #59036=ORIENTED_EDGE('',*,*,#44895,.T.); #59037=ORIENTED_EDGE('',*,*,#44896,.F.); #59038=ORIENTED_EDGE('',*,*,#44897,.F.); #59039=ORIENTED_EDGE('',*,*,#44896,.T.); #59040=ORIENTED_EDGE('',*,*,#44898,.T.); #59041=ORIENTED_EDGE('',*,*,#44899,.F.); #59042=ORIENTED_EDGE('',*,*,#44900,.F.); #59043=ORIENTED_EDGE('',*,*,#44899,.T.); #59044=ORIENTED_EDGE('',*,*,#44901,.T.); #59045=ORIENTED_EDGE('',*,*,#44885,.F.); #59046=ORIENTED_EDGE('',*,*,#44902,.F.); #59047=ORIENTED_EDGE('',*,*,#44903,.T.); #59048=ORIENTED_EDGE('',*,*,#44904,.T.); #59049=ORIENTED_EDGE('',*,*,#44905,.F.); #59050=ORIENTED_EDGE('',*,*,#44906,.F.); #59051=ORIENTED_EDGE('',*,*,#44907,.T.); #59052=ORIENTED_EDGE('',*,*,#44908,.T.); #59053=ORIENTED_EDGE('',*,*,#44903,.F.); #59054=ORIENTED_EDGE('',*,*,#44909,.F.); #59055=ORIENTED_EDGE('',*,*,#44910,.T.); #59056=ORIENTED_EDGE('',*,*,#44911,.T.); #59057=ORIENTED_EDGE('',*,*,#44907,.F.); #59058=ORIENTED_EDGE('',*,*,#44912,.F.); #59059=ORIENTED_EDGE('',*,*,#44913,.T.); #59060=ORIENTED_EDGE('',*,*,#44914,.T.); #59061=ORIENTED_EDGE('',*,*,#44910,.F.); #59062=ORIENTED_EDGE('',*,*,#44915,.F.); #59063=ORIENTED_EDGE('',*,*,#44916,.T.); #59064=ORIENTED_EDGE('',*,*,#44917,.T.); #59065=ORIENTED_EDGE('',*,*,#44913,.F.); #59066=ORIENTED_EDGE('',*,*,#44918,.F.); #59067=ORIENTED_EDGE('',*,*,#44919,.T.); #59068=ORIENTED_EDGE('',*,*,#44920,.T.); #59069=ORIENTED_EDGE('',*,*,#44916,.F.); #59070=ORIENTED_EDGE('',*,*,#44921,.F.); #59071=ORIENTED_EDGE('',*,*,#44922,.T.); #59072=ORIENTED_EDGE('',*,*,#44923,.T.); #59073=ORIENTED_EDGE('',*,*,#44919,.F.); #59074=ORIENTED_EDGE('',*,*,#44924,.F.); #59075=ORIENTED_EDGE('',*,*,#44905,.T.); #59076=ORIENTED_EDGE('',*,*,#44925,.T.); #59077=ORIENTED_EDGE('',*,*,#44922,.F.); #59078=ORIENTED_EDGE('',*,*,#44925,.F.); #59079=ORIENTED_EDGE('',*,*,#44904,.F.); #59080=ORIENTED_EDGE('',*,*,#44908,.F.); #59081=ORIENTED_EDGE('',*,*,#44911,.F.); #59082=ORIENTED_EDGE('',*,*,#44914,.F.); #59083=ORIENTED_EDGE('',*,*,#44917,.F.); #59084=ORIENTED_EDGE('',*,*,#44920,.F.); #59085=ORIENTED_EDGE('',*,*,#44923,.F.); #59086=ORIENTED_EDGE('',*,*,#44886,.F.); #59087=ORIENTED_EDGE('',*,*,#44901,.F.); #59088=ORIENTED_EDGE('',*,*,#44898,.F.); #59089=ORIENTED_EDGE('',*,*,#44895,.F.); #59090=ORIENTED_EDGE('',*,*,#44892,.F.); #59091=ORIENTED_EDGE('',*,*,#44889,.F.); #59092=ORIENTED_EDGE('',*,*,#44926,.F.); #59093=ORIENTED_EDGE('',*,*,#44927,.T.); #59094=ORIENTED_EDGE('',*,*,#44928,.T.); #59095=ORIENTED_EDGE('',*,*,#44929,.F.); #59096=ORIENTED_EDGE('',*,*,#44930,.F.); #59097=ORIENTED_EDGE('',*,*,#44931,.T.); #59098=ORIENTED_EDGE('',*,*,#44932,.T.); #59099=ORIENTED_EDGE('',*,*,#44927,.F.); #59100=ORIENTED_EDGE('',*,*,#44933,.F.); #59101=ORIENTED_EDGE('',*,*,#44934,.T.); #59102=ORIENTED_EDGE('',*,*,#44935,.T.); #59103=ORIENTED_EDGE('',*,*,#44931,.F.); #59104=ORIENTED_EDGE('',*,*,#44936,.F.); #59105=ORIENTED_EDGE('',*,*,#44929,.T.); #59106=ORIENTED_EDGE('',*,*,#44937,.T.); #59107=ORIENTED_EDGE('',*,*,#44934,.F.); #59108=ORIENTED_EDGE('',*,*,#44937,.F.); #59109=ORIENTED_EDGE('',*,*,#44928,.F.); #59110=ORIENTED_EDGE('',*,*,#44932,.F.); #59111=ORIENTED_EDGE('',*,*,#44935,.F.); #59112=ORIENTED_EDGE('',*,*,#44938,.F.); #59113=ORIENTED_EDGE('',*,*,#44939,.T.); #59114=ORIENTED_EDGE('',*,*,#44940,.T.); #59115=ORIENTED_EDGE('',*,*,#44941,.F.); #59116=ORIENTED_EDGE('',*,*,#44942,.F.); #59117=ORIENTED_EDGE('',*,*,#44943,.T.); #59118=ORIENTED_EDGE('',*,*,#44944,.T.); #59119=ORIENTED_EDGE('',*,*,#44939,.F.); #59120=ORIENTED_EDGE('',*,*,#44945,.F.); #59121=ORIENTED_EDGE('',*,*,#44946,.T.); #59122=ORIENTED_EDGE('',*,*,#44947,.T.); #59123=ORIENTED_EDGE('',*,*,#44943,.F.); #59124=ORIENTED_EDGE('',*,*,#44948,.F.); #59125=ORIENTED_EDGE('',*,*,#44949,.T.); #59126=ORIENTED_EDGE('',*,*,#44950,.T.); #59127=ORIENTED_EDGE('',*,*,#44946,.F.); #59128=ORIENTED_EDGE('',*,*,#44951,.F.); #59129=ORIENTED_EDGE('',*,*,#44952,.T.); #59130=ORIENTED_EDGE('',*,*,#44953,.T.); #59131=ORIENTED_EDGE('',*,*,#44949,.F.); #59132=ORIENTED_EDGE('',*,*,#44954,.F.); #59133=ORIENTED_EDGE('',*,*,#44955,.T.); #59134=ORIENTED_EDGE('',*,*,#44956,.T.); #59135=ORIENTED_EDGE('',*,*,#44952,.F.); #59136=ORIENTED_EDGE('',*,*,#44957,.F.); #59137=ORIENTED_EDGE('',*,*,#44958,.T.); #59138=ORIENTED_EDGE('',*,*,#44959,.T.); #59139=ORIENTED_EDGE('',*,*,#44955,.F.); #59140=ORIENTED_EDGE('',*,*,#44960,.F.); #59141=ORIENTED_EDGE('',*,*,#44961,.T.); #59142=ORIENTED_EDGE('',*,*,#44962,.T.); #59143=ORIENTED_EDGE('',*,*,#44958,.F.); #59144=ORIENTED_EDGE('',*,*,#44963,.F.); #59145=ORIENTED_EDGE('',*,*,#44964,.T.); #59146=ORIENTED_EDGE('',*,*,#44965,.T.); #59147=ORIENTED_EDGE('',*,*,#44961,.F.); #59148=ORIENTED_EDGE('',*,*,#44966,.F.); #59149=ORIENTED_EDGE('',*,*,#44941,.T.); #59150=ORIENTED_EDGE('',*,*,#44967,.T.); #59151=ORIENTED_EDGE('',*,*,#44964,.F.); #59152=ORIENTED_EDGE('',*,*,#44967,.F.); #59153=ORIENTED_EDGE('',*,*,#44940,.F.); #59154=ORIENTED_EDGE('',*,*,#44944,.F.); #59155=ORIENTED_EDGE('',*,*,#44947,.F.); #59156=ORIENTED_EDGE('',*,*,#44950,.F.); #59157=ORIENTED_EDGE('',*,*,#44953,.F.); #59158=ORIENTED_EDGE('',*,*,#44956,.F.); #59159=ORIENTED_EDGE('',*,*,#44959,.F.); #59160=ORIENTED_EDGE('',*,*,#44962,.F.); #59161=ORIENTED_EDGE('',*,*,#44965,.F.); #59162=ORIENTED_EDGE('',*,*,#44968,.F.); #59163=ORIENTED_EDGE('',*,*,#44969,.T.); #59164=ORIENTED_EDGE('',*,*,#44970,.T.); #59165=ORIENTED_EDGE('',*,*,#44971,.F.); #59166=ORIENTED_EDGE('',*,*,#44972,.F.); #59167=ORIENTED_EDGE('',*,*,#44973,.T.); #59168=ORIENTED_EDGE('',*,*,#44974,.T.); #59169=ORIENTED_EDGE('',*,*,#44969,.F.); #59170=ORIENTED_EDGE('',*,*,#44975,.F.); #59171=ORIENTED_EDGE('',*,*,#44976,.T.); #59172=ORIENTED_EDGE('',*,*,#44977,.T.); #59173=ORIENTED_EDGE('',*,*,#44973,.F.); #59174=ORIENTED_EDGE('',*,*,#44978,.F.); #59175=ORIENTED_EDGE('',*,*,#44979,.T.); #59176=ORIENTED_EDGE('',*,*,#44980,.T.); #59177=ORIENTED_EDGE('',*,*,#44976,.F.); #59178=ORIENTED_EDGE('',*,*,#44981,.F.); #59179=ORIENTED_EDGE('',*,*,#44982,.T.); #59180=ORIENTED_EDGE('',*,*,#44983,.T.); #59181=ORIENTED_EDGE('',*,*,#44979,.F.); #59182=ORIENTED_EDGE('',*,*,#44984,.F.); #59183=ORIENTED_EDGE('',*,*,#44985,.T.); #59184=ORIENTED_EDGE('',*,*,#44986,.T.); #59185=ORIENTED_EDGE('',*,*,#44982,.F.); #59186=ORIENTED_EDGE('',*,*,#44987,.F.); #59187=ORIENTED_EDGE('',*,*,#44988,.T.); #59188=ORIENTED_EDGE('',*,*,#44989,.T.); #59189=ORIENTED_EDGE('',*,*,#44985,.F.); #59190=ORIENTED_EDGE('',*,*,#44990,.F.); #59191=ORIENTED_EDGE('',*,*,#44991,.T.); #59192=ORIENTED_EDGE('',*,*,#44992,.T.); #59193=ORIENTED_EDGE('',*,*,#44988,.F.); #59194=ORIENTED_EDGE('',*,*,#44993,.F.); #59195=ORIENTED_EDGE('',*,*,#44994,.T.); #59196=ORIENTED_EDGE('',*,*,#44995,.T.); #59197=ORIENTED_EDGE('',*,*,#44991,.F.); #59198=ORIENTED_EDGE('',*,*,#44996,.F.); #59199=ORIENTED_EDGE('',*,*,#44997,.T.); #59200=ORIENTED_EDGE('',*,*,#44998,.T.); #59201=ORIENTED_EDGE('',*,*,#44994,.F.); #59202=ORIENTED_EDGE('',*,*,#44999,.F.); #59203=ORIENTED_EDGE('',*,*,#45000,.T.); #59204=ORIENTED_EDGE('',*,*,#45001,.T.); #59205=ORIENTED_EDGE('',*,*,#44997,.F.); #59206=ORIENTED_EDGE('',*,*,#45002,.F.); #59207=ORIENTED_EDGE('',*,*,#44971,.T.); #59208=ORIENTED_EDGE('',*,*,#45003,.T.); #59209=ORIENTED_EDGE('',*,*,#45000,.F.); #59210=ORIENTED_EDGE('',*,*,#45003,.F.); #59211=ORIENTED_EDGE('',*,*,#44970,.F.); #59212=ORIENTED_EDGE('',*,*,#44974,.F.); #59213=ORIENTED_EDGE('',*,*,#44977,.F.); #59214=ORIENTED_EDGE('',*,*,#44980,.F.); #59215=ORIENTED_EDGE('',*,*,#44983,.F.); #59216=ORIENTED_EDGE('',*,*,#44986,.F.); #59217=ORIENTED_EDGE('',*,*,#44989,.F.); #59218=ORIENTED_EDGE('',*,*,#44992,.F.); #59219=ORIENTED_EDGE('',*,*,#44995,.F.); #59220=ORIENTED_EDGE('',*,*,#44998,.F.); #59221=ORIENTED_EDGE('',*,*,#45001,.F.); #59222=ORIENTED_EDGE('',*,*,#45004,.F.); #59223=ORIENTED_EDGE('',*,*,#45005,.T.); #59224=ORIENTED_EDGE('',*,*,#45006,.T.); #59225=ORIENTED_EDGE('',*,*,#45007,.F.); #59226=ORIENTED_EDGE('',*,*,#45008,.F.); #59227=ORIENTED_EDGE('',*,*,#45007,.T.); #59228=ORIENTED_EDGE('',*,*,#45009,.T.); #59229=ORIENTED_EDGE('',*,*,#45010,.F.); #59230=ORIENTED_EDGE('',*,*,#45011,.F.); #59231=ORIENTED_EDGE('',*,*,#45010,.T.); #59232=ORIENTED_EDGE('',*,*,#45012,.T.); #59233=ORIENTED_EDGE('',*,*,#45013,.F.); #59234=ORIENTED_EDGE('',*,*,#45014,.F.); #59235=ORIENTED_EDGE('',*,*,#45013,.T.); #59236=ORIENTED_EDGE('',*,*,#45015,.T.); #59237=ORIENTED_EDGE('',*,*,#45016,.F.); #59238=ORIENTED_EDGE('',*,*,#45017,.F.); #59239=ORIENTED_EDGE('',*,*,#45016,.T.); #59240=ORIENTED_EDGE('',*,*,#45018,.T.); #59241=ORIENTED_EDGE('',*,*,#45019,.F.); #59242=ORIENTED_EDGE('',*,*,#45020,.F.); #59243=ORIENTED_EDGE('',*,*,#45019,.T.); #59244=ORIENTED_EDGE('',*,*,#45021,.T.); #59245=ORIENTED_EDGE('',*,*,#45022,.F.); #59246=ORIENTED_EDGE('',*,*,#45023,.F.); #59247=ORIENTED_EDGE('',*,*,#45022,.T.); #59248=ORIENTED_EDGE('',*,*,#45024,.T.); #59249=ORIENTED_EDGE('',*,*,#45005,.F.); #59250=ORIENTED_EDGE('',*,*,#45025,.F.); #59251=ORIENTED_EDGE('',*,*,#45026,.T.); #59252=ORIENTED_EDGE('',*,*,#45027,.T.); #59253=ORIENTED_EDGE('',*,*,#45028,.F.); #59254=ORIENTED_EDGE('',*,*,#45029,.F.); #59255=ORIENTED_EDGE('',*,*,#45030,.T.); #59256=ORIENTED_EDGE('',*,*,#45031,.T.); #59257=ORIENTED_EDGE('',*,*,#45026,.F.); #59258=ORIENTED_EDGE('',*,*,#45032,.F.); #59259=ORIENTED_EDGE('',*,*,#45033,.T.); #59260=ORIENTED_EDGE('',*,*,#45034,.T.); #59261=ORIENTED_EDGE('',*,*,#45030,.F.); #59262=ORIENTED_EDGE('',*,*,#45035,.F.); #59263=ORIENTED_EDGE('',*,*,#45036,.T.); #59264=ORIENTED_EDGE('',*,*,#45037,.T.); #59265=ORIENTED_EDGE('',*,*,#45033,.F.); #59266=ORIENTED_EDGE('',*,*,#45038,.F.); #59267=ORIENTED_EDGE('',*,*,#45039,.T.); #59268=ORIENTED_EDGE('',*,*,#45040,.T.); #59269=ORIENTED_EDGE('',*,*,#45036,.F.); #59270=ORIENTED_EDGE('',*,*,#45041,.F.); #59271=ORIENTED_EDGE('',*,*,#45042,.T.); #59272=ORIENTED_EDGE('',*,*,#45043,.T.); #59273=ORIENTED_EDGE('',*,*,#45039,.F.); #59274=ORIENTED_EDGE('',*,*,#45044,.F.); #59275=ORIENTED_EDGE('',*,*,#45045,.T.); #59276=ORIENTED_EDGE('',*,*,#45046,.T.); #59277=ORIENTED_EDGE('',*,*,#45042,.F.); #59278=ORIENTED_EDGE('',*,*,#45047,.F.); #59279=ORIENTED_EDGE('',*,*,#45048,.T.); #59280=ORIENTED_EDGE('',*,*,#45049,.T.); #59281=ORIENTED_EDGE('',*,*,#45045,.F.); #59282=ORIENTED_EDGE('',*,*,#45050,.F.); #59283=ORIENTED_EDGE('',*,*,#45051,.T.); #59284=ORIENTED_EDGE('',*,*,#45052,.T.); #59285=ORIENTED_EDGE('',*,*,#45048,.F.); #59286=ORIENTED_EDGE('',*,*,#45053,.F.); #59287=ORIENTED_EDGE('',*,*,#45054,.T.); #59288=ORIENTED_EDGE('',*,*,#45055,.T.); #59289=ORIENTED_EDGE('',*,*,#45051,.F.); #59290=ORIENTED_EDGE('',*,*,#45056,.F.); #59291=ORIENTED_EDGE('',*,*,#45028,.T.); #59292=ORIENTED_EDGE('',*,*,#45057,.T.); #59293=ORIENTED_EDGE('',*,*,#45054,.F.); #59294=ORIENTED_EDGE('',*,*,#45057,.F.); #59295=ORIENTED_EDGE('',*,*,#45027,.F.); #59296=ORIENTED_EDGE('',*,*,#45031,.F.); #59297=ORIENTED_EDGE('',*,*,#45034,.F.); #59298=ORIENTED_EDGE('',*,*,#45037,.F.); #59299=ORIENTED_EDGE('',*,*,#45040,.F.); #59300=ORIENTED_EDGE('',*,*,#45043,.F.); #59301=ORIENTED_EDGE('',*,*,#45046,.F.); #59302=ORIENTED_EDGE('',*,*,#45049,.F.); #59303=ORIENTED_EDGE('',*,*,#45052,.F.); #59304=ORIENTED_EDGE('',*,*,#45055,.F.); #59305=ORIENTED_EDGE('',*,*,#45006,.F.); #59306=ORIENTED_EDGE('',*,*,#45024,.F.); #59307=ORIENTED_EDGE('',*,*,#45021,.F.); #59308=ORIENTED_EDGE('',*,*,#45018,.F.); #59309=ORIENTED_EDGE('',*,*,#45015,.F.); #59310=ORIENTED_EDGE('',*,*,#45012,.F.); #59311=ORIENTED_EDGE('',*,*,#45009,.F.); #59312=ORIENTED_EDGE('',*,*,#45058,.F.); #59313=ORIENTED_EDGE('',*,*,#45059,.T.); #59314=ORIENTED_EDGE('',*,*,#45060,.T.); #59315=ORIENTED_EDGE('',*,*,#45061,.F.); #59316=ORIENTED_EDGE('',*,*,#45062,.F.); #59317=ORIENTED_EDGE('',*,*,#45061,.T.); #59318=ORIENTED_EDGE('',*,*,#45063,.T.); #59319=ORIENTED_EDGE('',*,*,#45064,.F.); #59320=ORIENTED_EDGE('',*,*,#45065,.F.); #59321=ORIENTED_EDGE('',*,*,#45064,.T.); #59322=ORIENTED_EDGE('',*,*,#45066,.T.); #59323=ORIENTED_EDGE('',*,*,#45067,.F.); #59324=ORIENTED_EDGE('',*,*,#45068,.F.); #59325=ORIENTED_EDGE('',*,*,#45067,.T.); #59326=ORIENTED_EDGE('',*,*,#45069,.T.); #59327=ORIENTED_EDGE('',*,*,#45070,.F.); #59328=ORIENTED_EDGE('',*,*,#45071,.F.); #59329=ORIENTED_EDGE('',*,*,#45070,.T.); #59330=ORIENTED_EDGE('',*,*,#45072,.T.); #59331=ORIENTED_EDGE('',*,*,#45073,.F.); #59332=ORIENTED_EDGE('',*,*,#45074,.F.); #59333=ORIENTED_EDGE('',*,*,#45073,.T.); #59334=ORIENTED_EDGE('',*,*,#45075,.T.); #59335=ORIENTED_EDGE('',*,*,#45076,.F.); #59336=ORIENTED_EDGE('',*,*,#45077,.F.); #59337=ORIENTED_EDGE('',*,*,#45076,.T.); #59338=ORIENTED_EDGE('',*,*,#45078,.T.); #59339=ORIENTED_EDGE('',*,*,#45059,.F.); #59340=ORIENTED_EDGE('',*,*,#45079,.F.); #59341=ORIENTED_EDGE('',*,*,#45080,.T.); #59342=ORIENTED_EDGE('',*,*,#45081,.T.); #59343=ORIENTED_EDGE('',*,*,#45082,.F.); #59344=ORIENTED_EDGE('',*,*,#45083,.F.); #59345=ORIENTED_EDGE('',*,*,#45082,.T.); #59346=ORIENTED_EDGE('',*,*,#45084,.T.); #59347=ORIENTED_EDGE('',*,*,#45085,.F.); #59348=ORIENTED_EDGE('',*,*,#45086,.F.); #59349=ORIENTED_EDGE('',*,*,#45085,.T.); #59350=ORIENTED_EDGE('',*,*,#45087,.T.); #59351=ORIENTED_EDGE('',*,*,#45088,.F.); #59352=ORIENTED_EDGE('',*,*,#45089,.F.); #59353=ORIENTED_EDGE('',*,*,#45088,.T.); #59354=ORIENTED_EDGE('',*,*,#45090,.T.); #59355=ORIENTED_EDGE('',*,*,#45091,.F.); #59356=ORIENTED_EDGE('',*,*,#45092,.F.); #59357=ORIENTED_EDGE('',*,*,#45091,.T.); #59358=ORIENTED_EDGE('',*,*,#45093,.T.); #59359=ORIENTED_EDGE('',*,*,#45094,.F.); #59360=ORIENTED_EDGE('',*,*,#45095,.F.); #59361=ORIENTED_EDGE('',*,*,#45094,.T.); #59362=ORIENTED_EDGE('',*,*,#45096,.T.); #59363=ORIENTED_EDGE('',*,*,#45097,.F.); #59364=ORIENTED_EDGE('',*,*,#45098,.F.); #59365=ORIENTED_EDGE('',*,*,#45097,.T.); #59366=ORIENTED_EDGE('',*,*,#45099,.T.); #59367=ORIENTED_EDGE('',*,*,#45080,.F.); #59368=ORIENTED_EDGE('',*,*,#45100,.F.); #59369=ORIENTED_EDGE('',*,*,#45101,.T.); #59370=ORIENTED_EDGE('',*,*,#45102,.T.); #59371=ORIENTED_EDGE('',*,*,#45103,.F.); #59372=ORIENTED_EDGE('',*,*,#45104,.F.); #59373=ORIENTED_EDGE('',*,*,#45105,.T.); #59374=ORIENTED_EDGE('',*,*,#45106,.T.); #59375=ORIENTED_EDGE('',*,*,#45101,.F.); #59376=ORIENTED_EDGE('',*,*,#45107,.F.); #59377=ORIENTED_EDGE('',*,*,#45108,.T.); #59378=ORIENTED_EDGE('',*,*,#45109,.T.); #59379=ORIENTED_EDGE('',*,*,#45105,.F.); #59380=ORIENTED_EDGE('',*,*,#45110,.F.); #59381=ORIENTED_EDGE('',*,*,#45111,.T.); #59382=ORIENTED_EDGE('',*,*,#45112,.T.); #59383=ORIENTED_EDGE('',*,*,#45108,.F.); #59384=ORIENTED_EDGE('',*,*,#45113,.F.); #59385=ORIENTED_EDGE('',*,*,#45114,.T.); #59386=ORIENTED_EDGE('',*,*,#45115,.T.); #59387=ORIENTED_EDGE('',*,*,#45111,.F.); #59388=ORIENTED_EDGE('',*,*,#45116,.F.); #59389=ORIENTED_EDGE('',*,*,#45117,.T.); #59390=ORIENTED_EDGE('',*,*,#45118,.T.); #59391=ORIENTED_EDGE('',*,*,#45114,.F.); #59392=ORIENTED_EDGE('',*,*,#45119,.F.); #59393=ORIENTED_EDGE('',*,*,#45120,.T.); #59394=ORIENTED_EDGE('',*,*,#45121,.T.); #59395=ORIENTED_EDGE('',*,*,#45117,.F.); #59396=ORIENTED_EDGE('',*,*,#45122,.F.); #59397=ORIENTED_EDGE('',*,*,#45123,.T.); #59398=ORIENTED_EDGE('',*,*,#45124,.T.); #59399=ORIENTED_EDGE('',*,*,#45120,.F.); #59400=ORIENTED_EDGE('',*,*,#45125,.F.); #59401=ORIENTED_EDGE('',*,*,#45126,.T.); #59402=ORIENTED_EDGE('',*,*,#45127,.T.); #59403=ORIENTED_EDGE('',*,*,#45123,.F.); #59404=ORIENTED_EDGE('',*,*,#45128,.F.); #59405=ORIENTED_EDGE('',*,*,#45103,.T.); #59406=ORIENTED_EDGE('',*,*,#45129,.T.); #59407=ORIENTED_EDGE('',*,*,#45126,.F.); #59408=ORIENTED_EDGE('',*,*,#45129,.F.); #59409=ORIENTED_EDGE('',*,*,#45102,.F.); #59410=ORIENTED_EDGE('',*,*,#45106,.F.); #59411=ORIENTED_EDGE('',*,*,#45109,.F.); #59412=ORIENTED_EDGE('',*,*,#45112,.F.); #59413=ORIENTED_EDGE('',*,*,#45115,.F.); #59414=ORIENTED_EDGE('',*,*,#45118,.F.); #59415=ORIENTED_EDGE('',*,*,#45121,.F.); #59416=ORIENTED_EDGE('',*,*,#45124,.F.); #59417=ORIENTED_EDGE('',*,*,#45127,.F.); #59418=ORIENTED_EDGE('',*,*,#45060,.F.); #59419=ORIENTED_EDGE('',*,*,#45078,.F.); #59420=ORIENTED_EDGE('',*,*,#45075,.F.); #59421=ORIENTED_EDGE('',*,*,#45072,.F.); #59422=ORIENTED_EDGE('',*,*,#45069,.F.); #59423=ORIENTED_EDGE('',*,*,#45066,.F.); #59424=ORIENTED_EDGE('',*,*,#45063,.F.); #59425=ORIENTED_EDGE('',*,*,#45081,.F.); #59426=ORIENTED_EDGE('',*,*,#45099,.F.); #59427=ORIENTED_EDGE('',*,*,#45096,.F.); #59428=ORIENTED_EDGE('',*,*,#45093,.F.); #59429=ORIENTED_EDGE('',*,*,#45090,.F.); #59430=ORIENTED_EDGE('',*,*,#45087,.F.); #59431=ORIENTED_EDGE('',*,*,#45084,.F.); #59432=ORIENTED_EDGE('',*,*,#45130,.F.); #59433=ORIENTED_EDGE('',*,*,#45131,.T.); #59434=ORIENTED_EDGE('',*,*,#45132,.T.); #59435=ORIENTED_EDGE('',*,*,#45133,.F.); #59436=ORIENTED_EDGE('',*,*,#45134,.F.); #59437=ORIENTED_EDGE('',*,*,#45135,.T.); #59438=ORIENTED_EDGE('',*,*,#45136,.T.); #59439=ORIENTED_EDGE('',*,*,#45131,.F.); #59440=ORIENTED_EDGE('',*,*,#45137,.F.); #59441=ORIENTED_EDGE('',*,*,#45138,.T.); #59442=ORIENTED_EDGE('',*,*,#45139,.T.); #59443=ORIENTED_EDGE('',*,*,#45135,.F.); #59444=ORIENTED_EDGE('',*,*,#45140,.F.); #59445=ORIENTED_EDGE('',*,*,#45141,.T.); #59446=ORIENTED_EDGE('',*,*,#45142,.T.); #59447=ORIENTED_EDGE('',*,*,#45138,.F.); #59448=ORIENTED_EDGE('',*,*,#45143,.F.); #59449=ORIENTED_EDGE('',*,*,#45144,.T.); #59450=ORIENTED_EDGE('',*,*,#45145,.T.); #59451=ORIENTED_EDGE('',*,*,#45141,.F.); #59452=ORIENTED_EDGE('',*,*,#45146,.F.); #59453=ORIENTED_EDGE('',*,*,#45147,.T.); #59454=ORIENTED_EDGE('',*,*,#45148,.T.); #59455=ORIENTED_EDGE('',*,*,#45144,.F.); #59456=ORIENTED_EDGE('',*,*,#45149,.F.); #59457=ORIENTED_EDGE('',*,*,#45150,.T.); #59458=ORIENTED_EDGE('',*,*,#45151,.T.); #59459=ORIENTED_EDGE('',*,*,#45147,.F.); #59460=ORIENTED_EDGE('',*,*,#45152,.F.); #59461=ORIENTED_EDGE('',*,*,#45133,.T.); #59462=ORIENTED_EDGE('',*,*,#45153,.T.); #59463=ORIENTED_EDGE('',*,*,#45150,.F.); #59464=ORIENTED_EDGE('',*,*,#45153,.F.); #59465=ORIENTED_EDGE('',*,*,#45132,.F.); #59466=ORIENTED_EDGE('',*,*,#45136,.F.); #59467=ORIENTED_EDGE('',*,*,#45139,.F.); #59468=ORIENTED_EDGE('',*,*,#45142,.F.); #59469=ORIENTED_EDGE('',*,*,#45145,.F.); #59470=ORIENTED_EDGE('',*,*,#45148,.F.); #59471=ORIENTED_EDGE('',*,*,#45151,.F.); #59472=ORIENTED_EDGE('',*,*,#45154,.F.); #59473=ORIENTED_EDGE('',*,*,#45155,.T.); #59474=ORIENTED_EDGE('',*,*,#45156,.T.); #59475=ORIENTED_EDGE('',*,*,#45157,.F.); #59476=ORIENTED_EDGE('',*,*,#45158,.F.); #59477=ORIENTED_EDGE('',*,*,#45159,.T.); #59478=ORIENTED_EDGE('',*,*,#45160,.T.); #59479=ORIENTED_EDGE('',*,*,#45155,.F.); #59480=ORIENTED_EDGE('',*,*,#45161,.F.); #59481=ORIENTED_EDGE('',*,*,#45162,.T.); #59482=ORIENTED_EDGE('',*,*,#45163,.T.); #59483=ORIENTED_EDGE('',*,*,#45159,.F.); #59484=ORIENTED_EDGE('',*,*,#45164,.F.); #59485=ORIENTED_EDGE('',*,*,#45165,.T.); #59486=ORIENTED_EDGE('',*,*,#45166,.T.); #59487=ORIENTED_EDGE('',*,*,#45162,.F.); #59488=ORIENTED_EDGE('',*,*,#45167,.F.); #59489=ORIENTED_EDGE('',*,*,#45168,.T.); #59490=ORIENTED_EDGE('',*,*,#45169,.T.); #59491=ORIENTED_EDGE('',*,*,#45165,.F.); #59492=ORIENTED_EDGE('',*,*,#45170,.F.); #59493=ORIENTED_EDGE('',*,*,#45171,.T.); #59494=ORIENTED_EDGE('',*,*,#45172,.T.); #59495=ORIENTED_EDGE('',*,*,#45168,.F.); #59496=ORIENTED_EDGE('',*,*,#45173,.F.); #59497=ORIENTED_EDGE('',*,*,#45174,.T.); #59498=ORIENTED_EDGE('',*,*,#45175,.T.); #59499=ORIENTED_EDGE('',*,*,#45171,.F.); #59500=ORIENTED_EDGE('',*,*,#45176,.F.); #59501=ORIENTED_EDGE('',*,*,#45177,.T.); #59502=ORIENTED_EDGE('',*,*,#45178,.T.); #59503=ORIENTED_EDGE('',*,*,#45174,.F.); #59504=ORIENTED_EDGE('',*,*,#45179,.F.); #59505=ORIENTED_EDGE('',*,*,#45157,.T.); #59506=ORIENTED_EDGE('',*,*,#45180,.T.); #59507=ORIENTED_EDGE('',*,*,#45177,.F.); #59508=ORIENTED_EDGE('',*,*,#45180,.F.); #59509=ORIENTED_EDGE('',*,*,#45156,.F.); #59510=ORIENTED_EDGE('',*,*,#45160,.F.); #59511=ORIENTED_EDGE('',*,*,#45163,.F.); #59512=ORIENTED_EDGE('',*,*,#45166,.F.); #59513=ORIENTED_EDGE('',*,*,#45169,.F.); #59514=ORIENTED_EDGE('',*,*,#45172,.F.); #59515=ORIENTED_EDGE('',*,*,#45175,.F.); #59516=ORIENTED_EDGE('',*,*,#45178,.F.); #59517=ORIENTED_EDGE('',*,*,#45181,.F.); #59518=ORIENTED_EDGE('',*,*,#45182,.T.); #59519=ORIENTED_EDGE('',*,*,#45183,.T.); #59520=ORIENTED_EDGE('',*,*,#45184,.F.); #59521=ORIENTED_EDGE('',*,*,#45185,.F.); #59522=ORIENTED_EDGE('',*,*,#45186,.T.); #59523=ORIENTED_EDGE('',*,*,#45187,.T.); #59524=ORIENTED_EDGE('',*,*,#45182,.F.); #59525=ORIENTED_EDGE('',*,*,#45188,.F.); #59526=ORIENTED_EDGE('',*,*,#45189,.T.); #59527=ORIENTED_EDGE('',*,*,#45190,.T.); #59528=ORIENTED_EDGE('',*,*,#45186,.F.); #59529=ORIENTED_EDGE('',*,*,#45191,.F.); #59530=ORIENTED_EDGE('',*,*,#45192,.T.); #59531=ORIENTED_EDGE('',*,*,#45193,.T.); #59532=ORIENTED_EDGE('',*,*,#45189,.F.); #59533=ORIENTED_EDGE('',*,*,#45194,.F.); #59534=ORIENTED_EDGE('',*,*,#45195,.T.); #59535=ORIENTED_EDGE('',*,*,#45196,.T.); #59536=ORIENTED_EDGE('',*,*,#45192,.F.); #59537=ORIENTED_EDGE('',*,*,#45197,.F.); #59538=ORIENTED_EDGE('',*,*,#45198,.T.); #59539=ORIENTED_EDGE('',*,*,#45199,.T.); #59540=ORIENTED_EDGE('',*,*,#45195,.F.); #59541=ORIENTED_EDGE('',*,*,#45200,.F.); #59542=ORIENTED_EDGE('',*,*,#45201,.T.); #59543=ORIENTED_EDGE('',*,*,#45202,.T.); #59544=ORIENTED_EDGE('',*,*,#45198,.F.); #59545=ORIENTED_EDGE('',*,*,#45203,.F.); #59546=ORIENTED_EDGE('',*,*,#45204,.T.); #59547=ORIENTED_EDGE('',*,*,#45205,.T.); #59548=ORIENTED_EDGE('',*,*,#45201,.F.); #59549=ORIENTED_EDGE('',*,*,#45206,.F.); #59550=ORIENTED_EDGE('',*,*,#45207,.T.); #59551=ORIENTED_EDGE('',*,*,#45208,.T.); #59552=ORIENTED_EDGE('',*,*,#45204,.F.); #59553=ORIENTED_EDGE('',*,*,#45209,.F.); #59554=ORIENTED_EDGE('',*,*,#45210,.T.); #59555=ORIENTED_EDGE('',*,*,#45211,.T.); #59556=ORIENTED_EDGE('',*,*,#45207,.F.); #59557=ORIENTED_EDGE('',*,*,#45212,.F.); #59558=ORIENTED_EDGE('',*,*,#45213,.T.); #59559=ORIENTED_EDGE('',*,*,#45214,.T.); #59560=ORIENTED_EDGE('',*,*,#45210,.F.); #59561=ORIENTED_EDGE('',*,*,#45215,.F.); #59562=ORIENTED_EDGE('',*,*,#45216,.T.); #59563=ORIENTED_EDGE('',*,*,#45217,.T.); #59564=ORIENTED_EDGE('',*,*,#45213,.F.); #59565=ORIENTED_EDGE('',*,*,#45218,.F.); #59566=ORIENTED_EDGE('',*,*,#45184,.T.); #59567=ORIENTED_EDGE('',*,*,#45219,.T.); #59568=ORIENTED_EDGE('',*,*,#45216,.F.); #59569=ORIENTED_EDGE('',*,*,#45219,.F.); #59570=ORIENTED_EDGE('',*,*,#45183,.F.); #59571=ORIENTED_EDGE('',*,*,#45187,.F.); #59572=ORIENTED_EDGE('',*,*,#45190,.F.); #59573=ORIENTED_EDGE('',*,*,#45193,.F.); #59574=ORIENTED_EDGE('',*,*,#45196,.F.); #59575=ORIENTED_EDGE('',*,*,#45199,.F.); #59576=ORIENTED_EDGE('',*,*,#45202,.F.); #59577=ORIENTED_EDGE('',*,*,#45205,.F.); #59578=ORIENTED_EDGE('',*,*,#45208,.F.); #59579=ORIENTED_EDGE('',*,*,#45211,.F.); #59580=ORIENTED_EDGE('',*,*,#45214,.F.); #59581=ORIENTED_EDGE('',*,*,#45217,.F.); #59582=ORIENTED_EDGE('',*,*,#45220,.F.); #59583=ORIENTED_EDGE('',*,*,#45221,.T.); #59584=ORIENTED_EDGE('',*,*,#45222,.T.); #59585=ORIENTED_EDGE('',*,*,#45223,.F.); #59586=ORIENTED_EDGE('',*,*,#45224,.F.); #59587=ORIENTED_EDGE('',*,*,#45223,.T.); #59588=ORIENTED_EDGE('',*,*,#45225,.T.); #59589=ORIENTED_EDGE('',*,*,#45226,.F.); #59590=ORIENTED_EDGE('',*,*,#45227,.F.); #59591=ORIENTED_EDGE('',*,*,#45226,.T.); #59592=ORIENTED_EDGE('',*,*,#45228,.T.); #59593=ORIENTED_EDGE('',*,*,#45229,.F.); #59594=ORIENTED_EDGE('',*,*,#45230,.F.); #59595=ORIENTED_EDGE('',*,*,#45229,.T.); #59596=ORIENTED_EDGE('',*,*,#45231,.T.); #59597=ORIENTED_EDGE('',*,*,#45232,.F.); #59598=ORIENTED_EDGE('',*,*,#45233,.F.); #59599=ORIENTED_EDGE('',*,*,#45232,.T.); #59600=ORIENTED_EDGE('',*,*,#45234,.T.); #59601=ORIENTED_EDGE('',*,*,#45235,.F.); #59602=ORIENTED_EDGE('',*,*,#45236,.F.); #59603=ORIENTED_EDGE('',*,*,#45235,.T.); #59604=ORIENTED_EDGE('',*,*,#45237,.T.); #59605=ORIENTED_EDGE('',*,*,#45238,.F.); #59606=ORIENTED_EDGE('',*,*,#45239,.F.); #59607=ORIENTED_EDGE('',*,*,#45238,.T.); #59608=ORIENTED_EDGE('',*,*,#45240,.T.); #59609=ORIENTED_EDGE('',*,*,#45221,.F.); #59610=ORIENTED_EDGE('',*,*,#45241,.F.); #59611=ORIENTED_EDGE('',*,*,#45242,.T.); #59612=ORIENTED_EDGE('',*,*,#45243,.T.); #59613=ORIENTED_EDGE('',*,*,#45244,.F.); #59614=ORIENTED_EDGE('',*,*,#45245,.F.); #59615=ORIENTED_EDGE('',*,*,#45246,.T.); #59616=ORIENTED_EDGE('',*,*,#45247,.T.); #59617=ORIENTED_EDGE('',*,*,#45242,.F.); #59618=ORIENTED_EDGE('',*,*,#45248,.F.); #59619=ORIENTED_EDGE('',*,*,#45249,.T.); #59620=ORIENTED_EDGE('',*,*,#45250,.T.); #59621=ORIENTED_EDGE('',*,*,#45246,.F.); #59622=ORIENTED_EDGE('',*,*,#45251,.F.); #59623=ORIENTED_EDGE('',*,*,#45252,.T.); #59624=ORIENTED_EDGE('',*,*,#45253,.T.); #59625=ORIENTED_EDGE('',*,*,#45249,.F.); #59626=ORIENTED_EDGE('',*,*,#45254,.F.); #59627=ORIENTED_EDGE('',*,*,#45255,.T.); #59628=ORIENTED_EDGE('',*,*,#45256,.T.); #59629=ORIENTED_EDGE('',*,*,#45252,.F.); #59630=ORIENTED_EDGE('',*,*,#45257,.F.); #59631=ORIENTED_EDGE('',*,*,#45258,.T.); #59632=ORIENTED_EDGE('',*,*,#45259,.T.); #59633=ORIENTED_EDGE('',*,*,#45255,.F.); #59634=ORIENTED_EDGE('',*,*,#45260,.F.); #59635=ORIENTED_EDGE('',*,*,#45244,.T.); #59636=ORIENTED_EDGE('',*,*,#45261,.T.); #59637=ORIENTED_EDGE('',*,*,#45258,.F.); #59638=ORIENTED_EDGE('',*,*,#45261,.F.); #59639=ORIENTED_EDGE('',*,*,#45243,.F.); #59640=ORIENTED_EDGE('',*,*,#45247,.F.); #59641=ORIENTED_EDGE('',*,*,#45250,.F.); #59642=ORIENTED_EDGE('',*,*,#45253,.F.); #59643=ORIENTED_EDGE('',*,*,#45256,.F.); #59644=ORIENTED_EDGE('',*,*,#45259,.F.); #59645=ORIENTED_EDGE('',*,*,#45222,.F.); #59646=ORIENTED_EDGE('',*,*,#45240,.F.); #59647=ORIENTED_EDGE('',*,*,#45237,.F.); #59648=ORIENTED_EDGE('',*,*,#45234,.F.); #59649=ORIENTED_EDGE('',*,*,#45231,.F.); #59650=ORIENTED_EDGE('',*,*,#45228,.F.); #59651=ORIENTED_EDGE('',*,*,#45225,.F.); #59652=ORIENTED_EDGE('',*,*,#45262,.F.); #59653=ORIENTED_EDGE('',*,*,#45263,.T.); #59654=ORIENTED_EDGE('',*,*,#45264,.T.); #59655=ORIENTED_EDGE('',*,*,#45265,.F.); #59656=ORIENTED_EDGE('',*,*,#45266,.F.); #59657=ORIENTED_EDGE('',*,*,#45267,.T.); #59658=ORIENTED_EDGE('',*,*,#45268,.T.); #59659=ORIENTED_EDGE('',*,*,#45263,.F.); #59660=ORIENTED_EDGE('',*,*,#45269,.F.); #59661=ORIENTED_EDGE('',*,*,#45270,.T.); #59662=ORIENTED_EDGE('',*,*,#45271,.T.); #59663=ORIENTED_EDGE('',*,*,#45267,.F.); #59664=ORIENTED_EDGE('',*,*,#45272,.F.); #59665=ORIENTED_EDGE('',*,*,#45273,.T.); #59666=ORIENTED_EDGE('',*,*,#45274,.T.); #59667=ORIENTED_EDGE('',*,*,#45270,.F.); #59668=ORIENTED_EDGE('',*,*,#45275,.F.); #59669=ORIENTED_EDGE('',*,*,#45276,.T.); #59670=ORIENTED_EDGE('',*,*,#45277,.T.); #59671=ORIENTED_EDGE('',*,*,#45273,.F.); #59672=ORIENTED_EDGE('',*,*,#45278,.F.); #59673=ORIENTED_EDGE('',*,*,#45279,.T.); #59674=ORIENTED_EDGE('',*,*,#45280,.T.); #59675=ORIENTED_EDGE('',*,*,#45276,.F.); #59676=ORIENTED_EDGE('',*,*,#45281,.F.); #59677=ORIENTED_EDGE('',*,*,#45282,.T.); #59678=ORIENTED_EDGE('',*,*,#45283,.T.); #59679=ORIENTED_EDGE('',*,*,#45279,.F.); #59680=ORIENTED_EDGE('',*,*,#45284,.F.); #59681=ORIENTED_EDGE('',*,*,#45285,.T.); #59682=ORIENTED_EDGE('',*,*,#45286,.T.); #59683=ORIENTED_EDGE('',*,*,#45282,.F.); #59684=ORIENTED_EDGE('',*,*,#45287,.F.); #59685=ORIENTED_EDGE('',*,*,#45288,.T.); #59686=ORIENTED_EDGE('',*,*,#45289,.T.); #59687=ORIENTED_EDGE('',*,*,#45285,.F.); #59688=ORIENTED_EDGE('',*,*,#45290,.F.); #59689=ORIENTED_EDGE('',*,*,#45291,.T.); #59690=ORIENTED_EDGE('',*,*,#45292,.T.); #59691=ORIENTED_EDGE('',*,*,#45288,.F.); #59692=ORIENTED_EDGE('',*,*,#45293,.F.); #59693=ORIENTED_EDGE('',*,*,#45294,.T.); #59694=ORIENTED_EDGE('',*,*,#45295,.T.); #59695=ORIENTED_EDGE('',*,*,#45291,.F.); #59696=ORIENTED_EDGE('',*,*,#45296,.F.); #59697=ORIENTED_EDGE('',*,*,#45265,.T.); #59698=ORIENTED_EDGE('',*,*,#45297,.T.); #59699=ORIENTED_EDGE('',*,*,#45294,.F.); #59700=ORIENTED_EDGE('',*,*,#45297,.F.); #59701=ORIENTED_EDGE('',*,*,#45264,.F.); #59702=ORIENTED_EDGE('',*,*,#45268,.F.); #59703=ORIENTED_EDGE('',*,*,#45271,.F.); #59704=ORIENTED_EDGE('',*,*,#45274,.F.); #59705=ORIENTED_EDGE('',*,*,#45277,.F.); #59706=ORIENTED_EDGE('',*,*,#45280,.F.); #59707=ORIENTED_EDGE('',*,*,#45283,.F.); #59708=ORIENTED_EDGE('',*,*,#45286,.F.); #59709=ORIENTED_EDGE('',*,*,#45289,.F.); #59710=ORIENTED_EDGE('',*,*,#45292,.F.); #59711=ORIENTED_EDGE('',*,*,#45295,.F.); #59712=ORIENTED_EDGE('',*,*,#45298,.F.); #59713=ORIENTED_EDGE('',*,*,#45299,.T.); #59714=ORIENTED_EDGE('',*,*,#45300,.T.); #59715=ORIENTED_EDGE('',*,*,#45301,.F.); #59716=ORIENTED_EDGE('',*,*,#45302,.F.); #59717=ORIENTED_EDGE('',*,*,#45303,.T.); #59718=ORIENTED_EDGE('',*,*,#45304,.T.); #59719=ORIENTED_EDGE('',*,*,#45299,.F.); #59720=ORIENTED_EDGE('',*,*,#45305,.F.); #59721=ORIENTED_EDGE('',*,*,#45306,.T.); #59722=ORIENTED_EDGE('',*,*,#45307,.T.); #59723=ORIENTED_EDGE('',*,*,#45303,.F.); #59724=ORIENTED_EDGE('',*,*,#45308,.F.); #59725=ORIENTED_EDGE('',*,*,#45309,.T.); #59726=ORIENTED_EDGE('',*,*,#45310,.T.); #59727=ORIENTED_EDGE('',*,*,#45306,.F.); #59728=ORIENTED_EDGE('',*,*,#45311,.F.); #59729=ORIENTED_EDGE('',*,*,#45312,.T.); #59730=ORIENTED_EDGE('',*,*,#45313,.T.); #59731=ORIENTED_EDGE('',*,*,#45309,.F.); #59732=ORIENTED_EDGE('',*,*,#45314,.F.); #59733=ORIENTED_EDGE('',*,*,#45315,.T.); #59734=ORIENTED_EDGE('',*,*,#45316,.T.); #59735=ORIENTED_EDGE('',*,*,#45312,.F.); #59736=ORIENTED_EDGE('',*,*,#45317,.F.); #59737=ORIENTED_EDGE('',*,*,#45301,.T.); #59738=ORIENTED_EDGE('',*,*,#45318,.T.); #59739=ORIENTED_EDGE('',*,*,#45315,.F.); #59740=ORIENTED_EDGE('',*,*,#45318,.F.); #59741=ORIENTED_EDGE('',*,*,#45300,.F.); #59742=ORIENTED_EDGE('',*,*,#45304,.F.); #59743=ORIENTED_EDGE('',*,*,#45307,.F.); #59744=ORIENTED_EDGE('',*,*,#45310,.F.); #59745=ORIENTED_EDGE('',*,*,#45313,.F.); #59746=ORIENTED_EDGE('',*,*,#45316,.F.); #59747=ORIENTED_EDGE('',*,*,#45319,.F.); #59748=ORIENTED_EDGE('',*,*,#45320,.T.); #59749=ORIENTED_EDGE('',*,*,#45321,.T.); #59750=ORIENTED_EDGE('',*,*,#45322,.F.); #59751=ORIENTED_EDGE('',*,*,#45323,.F.); #59752=ORIENTED_EDGE('',*,*,#45324,.T.); #59753=ORIENTED_EDGE('',*,*,#45325,.T.); #59754=ORIENTED_EDGE('',*,*,#45320,.F.); #59755=ORIENTED_EDGE('',*,*,#45326,.F.); #59756=ORIENTED_EDGE('',*,*,#45327,.T.); #59757=ORIENTED_EDGE('',*,*,#45328,.T.); #59758=ORIENTED_EDGE('',*,*,#45324,.F.); #59759=ORIENTED_EDGE('',*,*,#45329,.F.); #59760=ORIENTED_EDGE('',*,*,#45330,.T.); #59761=ORIENTED_EDGE('',*,*,#45331,.T.); #59762=ORIENTED_EDGE('',*,*,#45327,.F.); #59763=ORIENTED_EDGE('',*,*,#45332,.F.); #59764=ORIENTED_EDGE('',*,*,#45333,.T.); #59765=ORIENTED_EDGE('',*,*,#45334,.T.); #59766=ORIENTED_EDGE('',*,*,#45330,.F.); #59767=ORIENTED_EDGE('',*,*,#45335,.F.); #59768=ORIENTED_EDGE('',*,*,#45336,.T.); #59769=ORIENTED_EDGE('',*,*,#45337,.T.); #59770=ORIENTED_EDGE('',*,*,#45333,.F.); #59771=ORIENTED_EDGE('',*,*,#45338,.F.); #59772=ORIENTED_EDGE('',*,*,#45339,.T.); #59773=ORIENTED_EDGE('',*,*,#45340,.T.); #59774=ORIENTED_EDGE('',*,*,#45336,.F.); #59775=ORIENTED_EDGE('',*,*,#45341,.F.); #59776=ORIENTED_EDGE('',*,*,#45342,.T.); #59777=ORIENTED_EDGE('',*,*,#45343,.T.); #59778=ORIENTED_EDGE('',*,*,#45339,.F.); #59779=ORIENTED_EDGE('',*,*,#45344,.F.); #59780=ORIENTED_EDGE('',*,*,#45345,.T.); #59781=ORIENTED_EDGE('',*,*,#45346,.T.); #59782=ORIENTED_EDGE('',*,*,#45342,.F.); #59783=ORIENTED_EDGE('',*,*,#45347,.F.); #59784=ORIENTED_EDGE('',*,*,#45348,.T.); #59785=ORIENTED_EDGE('',*,*,#45349,.T.); #59786=ORIENTED_EDGE('',*,*,#45345,.F.); #59787=ORIENTED_EDGE('',*,*,#45350,.F.); #59788=ORIENTED_EDGE('',*,*,#45351,.T.); #59789=ORIENTED_EDGE('',*,*,#45352,.T.); #59790=ORIENTED_EDGE('',*,*,#45348,.F.); #59791=ORIENTED_EDGE('',*,*,#45353,.F.); #59792=ORIENTED_EDGE('',*,*,#45354,.T.); #59793=ORIENTED_EDGE('',*,*,#45355,.T.); #59794=ORIENTED_EDGE('',*,*,#45351,.F.); #59795=ORIENTED_EDGE('',*,*,#45356,.F.); #59796=ORIENTED_EDGE('',*,*,#45357,.T.); #59797=ORIENTED_EDGE('',*,*,#45358,.T.); #59798=ORIENTED_EDGE('',*,*,#45354,.F.); #59799=ORIENTED_EDGE('',*,*,#45359,.F.); #59800=ORIENTED_EDGE('',*,*,#45360,.T.); #59801=ORIENTED_EDGE('',*,*,#45361,.T.); #59802=ORIENTED_EDGE('',*,*,#45357,.F.); #59803=ORIENTED_EDGE('',*,*,#45362,.F.); #59804=ORIENTED_EDGE('',*,*,#45322,.T.); #59805=ORIENTED_EDGE('',*,*,#45363,.T.); #59806=ORIENTED_EDGE('',*,*,#45360,.F.); #59807=ORIENTED_EDGE('',*,*,#45363,.F.); #59808=ORIENTED_EDGE('',*,*,#45321,.F.); #59809=ORIENTED_EDGE('',*,*,#45325,.F.); #59810=ORIENTED_EDGE('',*,*,#45328,.F.); #59811=ORIENTED_EDGE('',*,*,#45331,.F.); #59812=ORIENTED_EDGE('',*,*,#45334,.F.); #59813=ORIENTED_EDGE('',*,*,#45337,.F.); #59814=ORIENTED_EDGE('',*,*,#45340,.F.); #59815=ORIENTED_EDGE('',*,*,#45343,.F.); #59816=ORIENTED_EDGE('',*,*,#45346,.F.); #59817=ORIENTED_EDGE('',*,*,#45349,.F.); #59818=ORIENTED_EDGE('',*,*,#45352,.F.); #59819=ORIENTED_EDGE('',*,*,#45355,.F.); #59820=ORIENTED_EDGE('',*,*,#45358,.F.); #59821=ORIENTED_EDGE('',*,*,#45361,.F.); #59822=ORIENTED_EDGE('',*,*,#45364,.F.); #59823=ORIENTED_EDGE('',*,*,#45365,.T.); #59824=ORIENTED_EDGE('',*,*,#45366,.T.); #59825=ORIENTED_EDGE('',*,*,#45367,.F.); #59826=ORIENTED_EDGE('',*,*,#45368,.F.); #59827=ORIENTED_EDGE('',*,*,#45369,.T.); #59828=ORIENTED_EDGE('',*,*,#45370,.T.); #59829=ORIENTED_EDGE('',*,*,#45365,.F.); #59830=ORIENTED_EDGE('',*,*,#45371,.F.); #59831=ORIENTED_EDGE('',*,*,#45372,.T.); #59832=ORIENTED_EDGE('',*,*,#45373,.T.); #59833=ORIENTED_EDGE('',*,*,#45369,.F.); #59834=ORIENTED_EDGE('',*,*,#45374,.F.); #59835=ORIENTED_EDGE('',*,*,#45367,.T.); #59836=ORIENTED_EDGE('',*,*,#45375,.T.); #59837=ORIENTED_EDGE('',*,*,#45372,.F.); #59838=ORIENTED_EDGE('',*,*,#45375,.F.); #59839=ORIENTED_EDGE('',*,*,#45366,.F.); #59840=ORIENTED_EDGE('',*,*,#45370,.F.); #59841=ORIENTED_EDGE('',*,*,#45373,.F.); #59842=ORIENTED_EDGE('',*,*,#45376,.F.); #59843=ORIENTED_EDGE('',*,*,#45377,.T.); #59844=ORIENTED_EDGE('',*,*,#45378,.T.); #59845=ORIENTED_EDGE('',*,*,#45379,.F.); #59846=ORIENTED_EDGE('',*,*,#45380,.F.); #59847=ORIENTED_EDGE('',*,*,#45379,.T.); #59848=ORIENTED_EDGE('',*,*,#45381,.T.); #59849=ORIENTED_EDGE('',*,*,#45382,.F.); #59850=ORIENTED_EDGE('',*,*,#45383,.F.); #59851=ORIENTED_EDGE('',*,*,#45382,.T.); #59852=ORIENTED_EDGE('',*,*,#45384,.T.); #59853=ORIENTED_EDGE('',*,*,#45385,.F.); #59854=ORIENTED_EDGE('',*,*,#45386,.F.); #59855=ORIENTED_EDGE('',*,*,#45385,.T.); #59856=ORIENTED_EDGE('',*,*,#45387,.T.); #59857=ORIENTED_EDGE('',*,*,#45377,.F.); #59858=ORIENTED_EDGE('',*,*,#45388,.F.); #59859=ORIENTED_EDGE('',*,*,#45389,.T.); #59860=ORIENTED_EDGE('',*,*,#45390,.T.); #59861=ORIENTED_EDGE('',*,*,#45391,.F.); #59862=ORIENTED_EDGE('',*,*,#45392,.F.); #59863=ORIENTED_EDGE('',*,*,#45393,.T.); #59864=ORIENTED_EDGE('',*,*,#45394,.T.); #59865=ORIENTED_EDGE('',*,*,#45389,.F.); #59866=ORIENTED_EDGE('',*,*,#45395,.F.); #59867=ORIENTED_EDGE('',*,*,#45396,.T.); #59868=ORIENTED_EDGE('',*,*,#45397,.T.); #59869=ORIENTED_EDGE('',*,*,#45393,.F.); #59870=ORIENTED_EDGE('',*,*,#45398,.F.); #59871=ORIENTED_EDGE('',*,*,#45399,.T.); #59872=ORIENTED_EDGE('',*,*,#45400,.T.); #59873=ORIENTED_EDGE('',*,*,#45396,.F.); #59874=ORIENTED_EDGE('',*,*,#45401,.F.); #59875=ORIENTED_EDGE('',*,*,#45402,.T.); #59876=ORIENTED_EDGE('',*,*,#45403,.T.); #59877=ORIENTED_EDGE('',*,*,#45399,.F.); #59878=ORIENTED_EDGE('',*,*,#45404,.F.); #59879=ORIENTED_EDGE('',*,*,#45405,.T.); #59880=ORIENTED_EDGE('',*,*,#45406,.T.); #59881=ORIENTED_EDGE('',*,*,#45402,.F.); #59882=ORIENTED_EDGE('',*,*,#45407,.F.); #59883=ORIENTED_EDGE('',*,*,#45408,.T.); #59884=ORIENTED_EDGE('',*,*,#45409,.T.); #59885=ORIENTED_EDGE('',*,*,#45405,.F.); #59886=ORIENTED_EDGE('',*,*,#45410,.F.); #59887=ORIENTED_EDGE('',*,*,#45411,.T.); #59888=ORIENTED_EDGE('',*,*,#45412,.T.); #59889=ORIENTED_EDGE('',*,*,#45408,.F.); #59890=ORIENTED_EDGE('',*,*,#45413,.F.); #59891=ORIENTED_EDGE('',*,*,#45414,.T.); #59892=ORIENTED_EDGE('',*,*,#45415,.T.); #59893=ORIENTED_EDGE('',*,*,#45411,.F.); #59894=ORIENTED_EDGE('',*,*,#45416,.F.); #59895=ORIENTED_EDGE('',*,*,#45417,.T.); #59896=ORIENTED_EDGE('',*,*,#45418,.T.); #59897=ORIENTED_EDGE('',*,*,#45414,.F.); #59898=ORIENTED_EDGE('',*,*,#45419,.F.); #59899=ORIENTED_EDGE('',*,*,#45420,.T.); #59900=ORIENTED_EDGE('',*,*,#45421,.T.); #59901=ORIENTED_EDGE('',*,*,#45417,.F.); #59902=ORIENTED_EDGE('',*,*,#45422,.F.); #59903=ORIENTED_EDGE('',*,*,#45391,.T.); #59904=ORIENTED_EDGE('',*,*,#45423,.T.); #59905=ORIENTED_EDGE('',*,*,#45420,.F.); #59906=ORIENTED_EDGE('',*,*,#45423,.F.); #59907=ORIENTED_EDGE('',*,*,#45390,.F.); #59908=ORIENTED_EDGE('',*,*,#45394,.F.); #59909=ORIENTED_EDGE('',*,*,#45397,.F.); #59910=ORIENTED_EDGE('',*,*,#45400,.F.); #59911=ORIENTED_EDGE('',*,*,#45403,.F.); #59912=ORIENTED_EDGE('',*,*,#45406,.F.); #59913=ORIENTED_EDGE('',*,*,#45409,.F.); #59914=ORIENTED_EDGE('',*,*,#45412,.F.); #59915=ORIENTED_EDGE('',*,*,#45415,.F.); #59916=ORIENTED_EDGE('',*,*,#45418,.F.); #59917=ORIENTED_EDGE('',*,*,#45421,.F.); #59918=ORIENTED_EDGE('',*,*,#45378,.F.); #59919=ORIENTED_EDGE('',*,*,#45387,.F.); #59920=ORIENTED_EDGE('',*,*,#45384,.F.); #59921=ORIENTED_EDGE('',*,*,#45381,.F.); #59922=ORIENTED_EDGE('',*,*,#45424,.F.); #59923=ORIENTED_EDGE('',*,*,#45425,.T.); #59924=ORIENTED_EDGE('',*,*,#45426,.T.); #59925=ORIENTED_EDGE('',*,*,#45427,.F.); #59926=ORIENTED_EDGE('',*,*,#45428,.F.); #59927=ORIENTED_EDGE('',*,*,#45427,.T.); #59928=ORIENTED_EDGE('',*,*,#45429,.T.); #59929=ORIENTED_EDGE('',*,*,#45430,.F.); #59930=ORIENTED_EDGE('',*,*,#45431,.F.); #59931=ORIENTED_EDGE('',*,*,#45430,.T.); #59932=ORIENTED_EDGE('',*,*,#45432,.T.); #59933=ORIENTED_EDGE('',*,*,#45433,.F.); #59934=ORIENTED_EDGE('',*,*,#45434,.F.); #59935=ORIENTED_EDGE('',*,*,#45433,.T.); #59936=ORIENTED_EDGE('',*,*,#45435,.T.); #59937=ORIENTED_EDGE('',*,*,#45436,.F.); #59938=ORIENTED_EDGE('',*,*,#45437,.F.); #59939=ORIENTED_EDGE('',*,*,#45436,.T.); #59940=ORIENTED_EDGE('',*,*,#45438,.T.); #59941=ORIENTED_EDGE('',*,*,#45425,.F.); #59942=ORIENTED_EDGE('',*,*,#45439,.F.); #59943=ORIENTED_EDGE('',*,*,#45440,.T.); #59944=ORIENTED_EDGE('',*,*,#45441,.T.); #59945=ORIENTED_EDGE('',*,*,#45442,.F.); #59946=ORIENTED_EDGE('',*,*,#45443,.F.); #59947=ORIENTED_EDGE('',*,*,#45444,.T.); #59948=ORIENTED_EDGE('',*,*,#45445,.T.); #59949=ORIENTED_EDGE('',*,*,#45440,.F.); #59950=ORIENTED_EDGE('',*,*,#45446,.F.); #59951=ORIENTED_EDGE('',*,*,#45447,.T.); #59952=ORIENTED_EDGE('',*,*,#45448,.T.); #59953=ORIENTED_EDGE('',*,*,#45444,.F.); #59954=ORIENTED_EDGE('',*,*,#45449,.F.); #59955=ORIENTED_EDGE('',*,*,#45450,.T.); #59956=ORIENTED_EDGE('',*,*,#45451,.T.); #59957=ORIENTED_EDGE('',*,*,#45447,.F.); #59958=ORIENTED_EDGE('',*,*,#45452,.F.); #59959=ORIENTED_EDGE('',*,*,#45453,.T.); #59960=ORIENTED_EDGE('',*,*,#45454,.T.); #59961=ORIENTED_EDGE('',*,*,#45450,.F.); #59962=ORIENTED_EDGE('',*,*,#45455,.F.); #59963=ORIENTED_EDGE('',*,*,#45456,.T.); #59964=ORIENTED_EDGE('',*,*,#45457,.T.); #59965=ORIENTED_EDGE('',*,*,#45453,.F.); #59966=ORIENTED_EDGE('',*,*,#45458,.F.); #59967=ORIENTED_EDGE('',*,*,#45459,.T.); #59968=ORIENTED_EDGE('',*,*,#45460,.T.); #59969=ORIENTED_EDGE('',*,*,#45456,.F.); #59970=ORIENTED_EDGE('',*,*,#45461,.F.); #59971=ORIENTED_EDGE('',*,*,#45442,.T.); #59972=ORIENTED_EDGE('',*,*,#45462,.T.); #59973=ORIENTED_EDGE('',*,*,#45459,.F.); #59974=ORIENTED_EDGE('',*,*,#45462,.F.); #59975=ORIENTED_EDGE('',*,*,#45441,.F.); #59976=ORIENTED_EDGE('',*,*,#45445,.F.); #59977=ORIENTED_EDGE('',*,*,#45448,.F.); #59978=ORIENTED_EDGE('',*,*,#45451,.F.); #59979=ORIENTED_EDGE('',*,*,#45454,.F.); #59980=ORIENTED_EDGE('',*,*,#45457,.F.); #59981=ORIENTED_EDGE('',*,*,#45460,.F.); #59982=ORIENTED_EDGE('',*,*,#45426,.F.); #59983=ORIENTED_EDGE('',*,*,#45438,.F.); #59984=ORIENTED_EDGE('',*,*,#45435,.F.); #59985=ORIENTED_EDGE('',*,*,#45432,.F.); #59986=ORIENTED_EDGE('',*,*,#45429,.F.); #59987=ORIENTED_EDGE('',*,*,#45463,.F.); #59988=ORIENTED_EDGE('',*,*,#45464,.T.); #59989=ORIENTED_EDGE('',*,*,#45465,.T.); #59990=ORIENTED_EDGE('',*,*,#45466,.F.); #59991=ORIENTED_EDGE('',*,*,#45467,.F.); #59992=ORIENTED_EDGE('',*,*,#45466,.T.); #59993=ORIENTED_EDGE('',*,*,#45468,.T.); #59994=ORIENTED_EDGE('',*,*,#45469,.F.); #59995=ORIENTED_EDGE('',*,*,#45470,.F.); #59996=ORIENTED_EDGE('',*,*,#45469,.T.); #59997=ORIENTED_EDGE('',*,*,#45471,.T.); #59998=ORIENTED_EDGE('',*,*,#45472,.F.); #59999=ORIENTED_EDGE('',*,*,#45473,.F.); #60000=ORIENTED_EDGE('',*,*,#45472,.T.); #60001=ORIENTED_EDGE('',*,*,#45474,.T.); #60002=ORIENTED_EDGE('',*,*,#45475,.F.); #60003=ORIENTED_EDGE('',*,*,#45476,.F.); #60004=ORIENTED_EDGE('',*,*,#45475,.T.); #60005=ORIENTED_EDGE('',*,*,#45477,.T.); #60006=ORIENTED_EDGE('',*,*,#45478,.F.); #60007=ORIENTED_EDGE('',*,*,#45479,.F.); #60008=ORIENTED_EDGE('',*,*,#45478,.T.); #60009=ORIENTED_EDGE('',*,*,#45480,.T.); #60010=ORIENTED_EDGE('',*,*,#45464,.F.); #60011=ORIENTED_EDGE('',*,*,#45481,.F.); #60012=ORIENTED_EDGE('',*,*,#45482,.T.); #60013=ORIENTED_EDGE('',*,*,#45483,.T.); #60014=ORIENTED_EDGE('',*,*,#45484,.F.); #60015=ORIENTED_EDGE('',*,*,#45485,.F.); #60016=ORIENTED_EDGE('',*,*,#45486,.T.); #60017=ORIENTED_EDGE('',*,*,#45487,.T.); #60018=ORIENTED_EDGE('',*,*,#45482,.F.); #60019=ORIENTED_EDGE('',*,*,#45488,.F.); #60020=ORIENTED_EDGE('',*,*,#45489,.T.); #60021=ORIENTED_EDGE('',*,*,#45490,.T.); #60022=ORIENTED_EDGE('',*,*,#45486,.F.); #60023=ORIENTED_EDGE('',*,*,#45491,.F.); #60024=ORIENTED_EDGE('',*,*,#45492,.T.); #60025=ORIENTED_EDGE('',*,*,#45493,.T.); #60026=ORIENTED_EDGE('',*,*,#45489,.F.); #60027=ORIENTED_EDGE('',*,*,#45494,.F.); #60028=ORIENTED_EDGE('',*,*,#45495,.T.); #60029=ORIENTED_EDGE('',*,*,#45496,.T.); #60030=ORIENTED_EDGE('',*,*,#45492,.F.); #60031=ORIENTED_EDGE('',*,*,#45497,.F.); #60032=ORIENTED_EDGE('',*,*,#45498,.T.); #60033=ORIENTED_EDGE('',*,*,#45499,.T.); #60034=ORIENTED_EDGE('',*,*,#45495,.F.); #60035=ORIENTED_EDGE('',*,*,#45500,.F.); #60036=ORIENTED_EDGE('',*,*,#45501,.T.); #60037=ORIENTED_EDGE('',*,*,#45502,.T.); #60038=ORIENTED_EDGE('',*,*,#45498,.F.); #60039=ORIENTED_EDGE('',*,*,#45503,.F.); #60040=ORIENTED_EDGE('',*,*,#45484,.T.); #60041=ORIENTED_EDGE('',*,*,#45504,.T.); #60042=ORIENTED_EDGE('',*,*,#45501,.F.); #60043=ORIENTED_EDGE('',*,*,#45504,.F.); #60044=ORIENTED_EDGE('',*,*,#45483,.F.); #60045=ORIENTED_EDGE('',*,*,#45487,.F.); #60046=ORIENTED_EDGE('',*,*,#45490,.F.); #60047=ORIENTED_EDGE('',*,*,#45493,.F.); #60048=ORIENTED_EDGE('',*,*,#45496,.F.); #60049=ORIENTED_EDGE('',*,*,#45499,.F.); #60050=ORIENTED_EDGE('',*,*,#45502,.F.); #60051=ORIENTED_EDGE('',*,*,#45465,.F.); #60052=ORIENTED_EDGE('',*,*,#45480,.F.); #60053=ORIENTED_EDGE('',*,*,#45477,.F.); #60054=ORIENTED_EDGE('',*,*,#45474,.F.); #60055=ORIENTED_EDGE('',*,*,#45471,.F.); #60056=ORIENTED_EDGE('',*,*,#45468,.F.); #60057=ORIENTED_EDGE('',*,*,#45505,.F.); #60058=ORIENTED_EDGE('',*,*,#45506,.T.); #60059=ORIENTED_EDGE('',*,*,#45507,.T.); #60060=ORIENTED_EDGE('',*,*,#45508,.F.); #60061=ORIENTED_EDGE('',*,*,#45509,.F.); #60062=ORIENTED_EDGE('',*,*,#45510,.T.); #60063=ORIENTED_EDGE('',*,*,#45511,.T.); #60064=ORIENTED_EDGE('',*,*,#45506,.F.); #60065=ORIENTED_EDGE('',*,*,#45512,.F.); #60066=ORIENTED_EDGE('',*,*,#45513,.T.); #60067=ORIENTED_EDGE('',*,*,#45514,.T.); #60068=ORIENTED_EDGE('',*,*,#45510,.F.); #60069=ORIENTED_EDGE('',*,*,#45515,.F.); #60070=ORIENTED_EDGE('',*,*,#45516,.T.); #60071=ORIENTED_EDGE('',*,*,#45517,.T.); #60072=ORIENTED_EDGE('',*,*,#45513,.F.); #60073=ORIENTED_EDGE('',*,*,#45518,.F.); #60074=ORIENTED_EDGE('',*,*,#45519,.T.); #60075=ORIENTED_EDGE('',*,*,#45520,.T.); #60076=ORIENTED_EDGE('',*,*,#45516,.F.); #60077=ORIENTED_EDGE('',*,*,#45521,.F.); #60078=ORIENTED_EDGE('',*,*,#45522,.T.); #60079=ORIENTED_EDGE('',*,*,#45523,.T.); #60080=ORIENTED_EDGE('',*,*,#45519,.F.); #60081=ORIENTED_EDGE('',*,*,#45524,.F.); #60082=ORIENTED_EDGE('',*,*,#45508,.T.); #60083=ORIENTED_EDGE('',*,*,#45525,.T.); #60084=ORIENTED_EDGE('',*,*,#45522,.F.); #60085=ORIENTED_EDGE('',*,*,#45525,.F.); #60086=ORIENTED_EDGE('',*,*,#45507,.F.); #60087=ORIENTED_EDGE('',*,*,#45511,.F.); #60088=ORIENTED_EDGE('',*,*,#45514,.F.); #60089=ORIENTED_EDGE('',*,*,#45517,.F.); #60090=ORIENTED_EDGE('',*,*,#45520,.F.); #60091=ORIENTED_EDGE('',*,*,#45523,.F.); #60092=ORIENTED_EDGE('',*,*,#45526,.F.); #60093=ORIENTED_EDGE('',*,*,#45527,.T.); #60094=ORIENTED_EDGE('',*,*,#45528,.T.); #60095=ORIENTED_EDGE('',*,*,#45529,.F.); #60096=ORIENTED_EDGE('',*,*,#45530,.F.); #60097=ORIENTED_EDGE('',*,*,#45531,.T.); #60098=ORIENTED_EDGE('',*,*,#45532,.T.); #60099=ORIENTED_EDGE('',*,*,#45527,.F.); #60100=ORIENTED_EDGE('',*,*,#45533,.F.); #60101=ORIENTED_EDGE('',*,*,#45534,.T.); #60102=ORIENTED_EDGE('',*,*,#45535,.T.); #60103=ORIENTED_EDGE('',*,*,#45531,.F.); #60104=ORIENTED_EDGE('',*,*,#45536,.F.); #60105=ORIENTED_EDGE('',*,*,#45537,.T.); #60106=ORIENTED_EDGE('',*,*,#45538,.T.); #60107=ORIENTED_EDGE('',*,*,#45534,.F.); #60108=ORIENTED_EDGE('',*,*,#45539,.F.); #60109=ORIENTED_EDGE('',*,*,#45540,.T.); #60110=ORIENTED_EDGE('',*,*,#45541,.T.); #60111=ORIENTED_EDGE('',*,*,#45537,.F.); #60112=ORIENTED_EDGE('',*,*,#45542,.F.); #60113=ORIENTED_EDGE('',*,*,#45543,.T.); #60114=ORIENTED_EDGE('',*,*,#45544,.T.); #60115=ORIENTED_EDGE('',*,*,#45540,.F.); #60116=ORIENTED_EDGE('',*,*,#45545,.F.); #60117=ORIENTED_EDGE('',*,*,#45529,.T.); #60118=ORIENTED_EDGE('',*,*,#45546,.T.); #60119=ORIENTED_EDGE('',*,*,#45543,.F.); #60120=ORIENTED_EDGE('',*,*,#45546,.F.); #60121=ORIENTED_EDGE('',*,*,#45528,.F.); #60122=ORIENTED_EDGE('',*,*,#45532,.F.); #60123=ORIENTED_EDGE('',*,*,#45535,.F.); #60124=ORIENTED_EDGE('',*,*,#45538,.F.); #60125=ORIENTED_EDGE('',*,*,#45541,.F.); #60126=ORIENTED_EDGE('',*,*,#45544,.F.); #60127=ORIENTED_EDGE('',*,*,#45547,.F.); #60128=ORIENTED_EDGE('',*,*,#45548,.T.); #60129=ORIENTED_EDGE('',*,*,#45549,.T.); #60130=ORIENTED_EDGE('',*,*,#45550,.F.); #60131=ORIENTED_EDGE('',*,*,#45551,.F.); #60132=ORIENTED_EDGE('',*,*,#45552,.T.); #60133=ORIENTED_EDGE('',*,*,#45553,.T.); #60134=ORIENTED_EDGE('',*,*,#45548,.F.); #60135=ORIENTED_EDGE('',*,*,#45554,.F.); #60136=ORIENTED_EDGE('',*,*,#45555,.T.); #60137=ORIENTED_EDGE('',*,*,#45556,.T.); #60138=ORIENTED_EDGE('',*,*,#45552,.F.); #60139=ORIENTED_EDGE('',*,*,#45557,.F.); #60140=ORIENTED_EDGE('',*,*,#45558,.T.); #60141=ORIENTED_EDGE('',*,*,#45559,.T.); #60142=ORIENTED_EDGE('',*,*,#45555,.F.); #60143=ORIENTED_EDGE('',*,*,#45560,.F.); #60144=ORIENTED_EDGE('',*,*,#45561,.T.); #60145=ORIENTED_EDGE('',*,*,#45562,.T.); #60146=ORIENTED_EDGE('',*,*,#45558,.F.); #60147=ORIENTED_EDGE('',*,*,#45563,.F.); #60148=ORIENTED_EDGE('',*,*,#45564,.T.); #60149=ORIENTED_EDGE('',*,*,#45565,.T.); #60150=ORIENTED_EDGE('',*,*,#45561,.F.); #60151=ORIENTED_EDGE('',*,*,#45566,.F.); #60152=ORIENTED_EDGE('',*,*,#45550,.T.); #60153=ORIENTED_EDGE('',*,*,#45567,.T.); #60154=ORIENTED_EDGE('',*,*,#45564,.F.); #60155=ORIENTED_EDGE('',*,*,#45567,.F.); #60156=ORIENTED_EDGE('',*,*,#45549,.F.); #60157=ORIENTED_EDGE('',*,*,#45553,.F.); #60158=ORIENTED_EDGE('',*,*,#45556,.F.); #60159=ORIENTED_EDGE('',*,*,#45559,.F.); #60160=ORIENTED_EDGE('',*,*,#45562,.F.); #60161=ORIENTED_EDGE('',*,*,#45565,.F.); #60162=ORIENTED_EDGE('',*,*,#45568,.F.); #60163=ORIENTED_EDGE('',*,*,#45569,.T.); #60164=ORIENTED_EDGE('',*,*,#45570,.T.); #60165=ORIENTED_EDGE('',*,*,#45571,.F.); #60166=ORIENTED_EDGE('',*,*,#45572,.F.); #60167=ORIENTED_EDGE('',*,*,#45571,.T.); #60168=ORIENTED_EDGE('',*,*,#45573,.T.); #60169=ORIENTED_EDGE('',*,*,#45574,.F.); #60170=ORIENTED_EDGE('',*,*,#45575,.F.); #60171=ORIENTED_EDGE('',*,*,#45574,.T.); #60172=ORIENTED_EDGE('',*,*,#45576,.T.); #60173=ORIENTED_EDGE('',*,*,#45577,.F.); #60174=ORIENTED_EDGE('',*,*,#45578,.F.); #60175=ORIENTED_EDGE('',*,*,#45577,.T.); #60176=ORIENTED_EDGE('',*,*,#45579,.T.); #60177=ORIENTED_EDGE('',*,*,#45580,.F.); #60178=ORIENTED_EDGE('',*,*,#45581,.F.); #60179=ORIENTED_EDGE('',*,*,#45580,.T.); #60180=ORIENTED_EDGE('',*,*,#45582,.T.); #60181=ORIENTED_EDGE('',*,*,#45583,.F.); #60182=ORIENTED_EDGE('',*,*,#45584,.F.); #60183=ORIENTED_EDGE('',*,*,#45583,.T.); #60184=ORIENTED_EDGE('',*,*,#45585,.T.); #60185=ORIENTED_EDGE('',*,*,#45586,.F.); #60186=ORIENTED_EDGE('',*,*,#45587,.F.); #60187=ORIENTED_EDGE('',*,*,#45586,.T.); #60188=ORIENTED_EDGE('',*,*,#45588,.T.); #60189=ORIENTED_EDGE('',*,*,#45589,.F.); #60190=ORIENTED_EDGE('',*,*,#45590,.F.); #60191=ORIENTED_EDGE('',*,*,#45589,.T.); #60192=ORIENTED_EDGE('',*,*,#45591,.T.); #60193=ORIENTED_EDGE('',*,*,#45592,.F.); #60194=ORIENTED_EDGE('',*,*,#45593,.F.); #60195=ORIENTED_EDGE('',*,*,#45592,.T.); #60196=ORIENTED_EDGE('',*,*,#45594,.T.); #60197=ORIENTED_EDGE('',*,*,#45595,.F.); #60198=ORIENTED_EDGE('',*,*,#45596,.F.); #60199=ORIENTED_EDGE('',*,*,#45595,.T.); #60200=ORIENTED_EDGE('',*,*,#45597,.T.); #60201=ORIENTED_EDGE('',*,*,#45598,.F.); #60202=ORIENTED_EDGE('',*,*,#45599,.F.); #60203=ORIENTED_EDGE('',*,*,#45598,.T.); #60204=ORIENTED_EDGE('',*,*,#45600,.T.); #60205=ORIENTED_EDGE('',*,*,#45601,.F.); #60206=ORIENTED_EDGE('',*,*,#45602,.F.); #60207=ORIENTED_EDGE('',*,*,#45601,.T.); #60208=ORIENTED_EDGE('',*,*,#45603,.T.); #60209=ORIENTED_EDGE('',*,*,#45604,.F.); #60210=ORIENTED_EDGE('',*,*,#45605,.F.); #60211=ORIENTED_EDGE('',*,*,#45604,.T.); #60212=ORIENTED_EDGE('',*,*,#45606,.T.); #60213=ORIENTED_EDGE('',*,*,#45607,.F.); #60214=ORIENTED_EDGE('',*,*,#45608,.F.); #60215=ORIENTED_EDGE('',*,*,#45607,.T.); #60216=ORIENTED_EDGE('',*,*,#45609,.T.); #60217=ORIENTED_EDGE('',*,*,#45610,.F.); #60218=ORIENTED_EDGE('',*,*,#45611,.F.); #60219=ORIENTED_EDGE('',*,*,#45610,.T.); #60220=ORIENTED_EDGE('',*,*,#45612,.T.); #60221=ORIENTED_EDGE('',*,*,#45613,.F.); #60222=ORIENTED_EDGE('',*,*,#45614,.F.); #60223=ORIENTED_EDGE('',*,*,#45613,.T.); #60224=ORIENTED_EDGE('',*,*,#45615,.T.); #60225=ORIENTED_EDGE('',*,*,#45616,.F.); #60226=ORIENTED_EDGE('',*,*,#45617,.F.); #60227=ORIENTED_EDGE('',*,*,#45616,.T.); #60228=ORIENTED_EDGE('',*,*,#45618,.T.); #60229=ORIENTED_EDGE('',*,*,#45619,.F.); #60230=ORIENTED_EDGE('',*,*,#45620,.F.); #60231=ORIENTED_EDGE('',*,*,#45619,.T.); #60232=ORIENTED_EDGE('',*,*,#45621,.T.); #60233=ORIENTED_EDGE('',*,*,#45569,.F.); #60234=ORIENTED_EDGE('',*,*,#45622,.F.); #60235=ORIENTED_EDGE('',*,*,#45623,.T.); #60236=ORIENTED_EDGE('',*,*,#45624,.T.); #60237=ORIENTED_EDGE('',*,*,#45625,.F.); #60238=ORIENTED_EDGE('',*,*,#45626,.F.); #60239=ORIENTED_EDGE('',*,*,#45627,.T.); #60240=ORIENTED_EDGE('',*,*,#45628,.T.); #60241=ORIENTED_EDGE('',*,*,#45623,.F.); #60242=ORIENTED_EDGE('',*,*,#45629,.F.); #60243=ORIENTED_EDGE('',*,*,#45630,.T.); #60244=ORIENTED_EDGE('',*,*,#45631,.T.); #60245=ORIENTED_EDGE('',*,*,#45627,.F.); #60246=ORIENTED_EDGE('',*,*,#45632,.F.); #60247=ORIENTED_EDGE('',*,*,#45633,.T.); #60248=ORIENTED_EDGE('',*,*,#45634,.T.); #60249=ORIENTED_EDGE('',*,*,#45630,.F.); #60250=ORIENTED_EDGE('',*,*,#45635,.F.); #60251=ORIENTED_EDGE('',*,*,#45636,.T.); #60252=ORIENTED_EDGE('',*,*,#45637,.T.); #60253=ORIENTED_EDGE('',*,*,#45633,.F.); #60254=ORIENTED_EDGE('',*,*,#45638,.F.); #60255=ORIENTED_EDGE('',*,*,#45639,.T.); #60256=ORIENTED_EDGE('',*,*,#45640,.T.); #60257=ORIENTED_EDGE('',*,*,#45636,.F.); #60258=ORIENTED_EDGE('',*,*,#45641,.F.); #60259=ORIENTED_EDGE('',*,*,#45642,.T.); #60260=ORIENTED_EDGE('',*,*,#45643,.T.); #60261=ORIENTED_EDGE('',*,*,#45639,.F.); #60262=ORIENTED_EDGE('',*,*,#45644,.F.); #60263=ORIENTED_EDGE('',*,*,#45645,.T.); #60264=ORIENTED_EDGE('',*,*,#45646,.T.); #60265=ORIENTED_EDGE('',*,*,#45642,.F.); #60266=ORIENTED_EDGE('',*,*,#45647,.F.); #60267=ORIENTED_EDGE('',*,*,#45648,.T.); #60268=ORIENTED_EDGE('',*,*,#45649,.T.); #60269=ORIENTED_EDGE('',*,*,#45645,.F.); #60270=ORIENTED_EDGE('',*,*,#45650,.F.); #60271=ORIENTED_EDGE('',*,*,#45651,.T.); #60272=ORIENTED_EDGE('',*,*,#45652,.T.); #60273=ORIENTED_EDGE('',*,*,#45648,.F.); #60274=ORIENTED_EDGE('',*,*,#45653,.F.); #60275=ORIENTED_EDGE('',*,*,#45654,.T.); #60276=ORIENTED_EDGE('',*,*,#45655,.T.); #60277=ORIENTED_EDGE('',*,*,#45651,.F.); #60278=ORIENTED_EDGE('',*,*,#45656,.F.); #60279=ORIENTED_EDGE('',*,*,#45657,.T.); #60280=ORIENTED_EDGE('',*,*,#45658,.T.); #60281=ORIENTED_EDGE('',*,*,#45654,.F.); #60282=ORIENTED_EDGE('',*,*,#45659,.F.); #60283=ORIENTED_EDGE('',*,*,#45660,.T.); #60284=ORIENTED_EDGE('',*,*,#45661,.T.); #60285=ORIENTED_EDGE('',*,*,#45657,.F.); #60286=ORIENTED_EDGE('',*,*,#45662,.F.); #60287=ORIENTED_EDGE('',*,*,#45663,.T.); #60288=ORIENTED_EDGE('',*,*,#45664,.T.); #60289=ORIENTED_EDGE('',*,*,#45660,.F.); #60290=ORIENTED_EDGE('',*,*,#45665,.F.); #60291=ORIENTED_EDGE('',*,*,#45666,.T.); #60292=ORIENTED_EDGE('',*,*,#45667,.T.); #60293=ORIENTED_EDGE('',*,*,#45663,.F.); #60294=ORIENTED_EDGE('',*,*,#45668,.F.); #60295=ORIENTED_EDGE('',*,*,#45669,.T.); #60296=ORIENTED_EDGE('',*,*,#45670,.T.); #60297=ORIENTED_EDGE('',*,*,#45666,.F.); #60298=ORIENTED_EDGE('',*,*,#45671,.F.); #60299=ORIENTED_EDGE('',*,*,#45672,.T.); #60300=ORIENTED_EDGE('',*,*,#45673,.T.); #60301=ORIENTED_EDGE('',*,*,#45669,.F.); #60302=ORIENTED_EDGE('',*,*,#45674,.F.); #60303=ORIENTED_EDGE('',*,*,#45675,.T.); #60304=ORIENTED_EDGE('',*,*,#45676,.T.); #60305=ORIENTED_EDGE('',*,*,#45672,.F.); #60306=ORIENTED_EDGE('',*,*,#45677,.F.); #60307=ORIENTED_EDGE('',*,*,#45678,.T.); #60308=ORIENTED_EDGE('',*,*,#45679,.T.); #60309=ORIENTED_EDGE('',*,*,#45675,.F.); #60310=ORIENTED_EDGE('',*,*,#45680,.F.); #60311=ORIENTED_EDGE('',*,*,#45681,.T.); #60312=ORIENTED_EDGE('',*,*,#45682,.T.); #60313=ORIENTED_EDGE('',*,*,#45678,.F.); #60314=ORIENTED_EDGE('',*,*,#45683,.F.); #60315=ORIENTED_EDGE('',*,*,#45684,.T.); #60316=ORIENTED_EDGE('',*,*,#45685,.T.); #60317=ORIENTED_EDGE('',*,*,#45681,.F.); #60318=ORIENTED_EDGE('',*,*,#45686,.F.); #60319=ORIENTED_EDGE('',*,*,#45687,.T.); #60320=ORIENTED_EDGE('',*,*,#45688,.T.); #60321=ORIENTED_EDGE('',*,*,#45684,.F.); #60322=ORIENTED_EDGE('',*,*,#45689,.F.); #60323=ORIENTED_EDGE('',*,*,#45690,.T.); #60324=ORIENTED_EDGE('',*,*,#45691,.T.); #60325=ORIENTED_EDGE('',*,*,#45687,.F.); #60326=ORIENTED_EDGE('',*,*,#45692,.F.); #60327=ORIENTED_EDGE('',*,*,#45693,.T.); #60328=ORIENTED_EDGE('',*,*,#45694,.T.); #60329=ORIENTED_EDGE('',*,*,#45690,.F.); #60330=ORIENTED_EDGE('',*,*,#45695,.F.); #60331=ORIENTED_EDGE('',*,*,#45696,.T.); #60332=ORIENTED_EDGE('',*,*,#45697,.T.); #60333=ORIENTED_EDGE('',*,*,#45693,.F.); #60334=ORIENTED_EDGE('',*,*,#45698,.F.); #60335=ORIENTED_EDGE('',*,*,#45625,.T.); #60336=ORIENTED_EDGE('',*,*,#45699,.T.); #60337=ORIENTED_EDGE('',*,*,#45696,.F.); #60338=ORIENTED_EDGE('',*,*,#45699,.F.); #60339=ORIENTED_EDGE('',*,*,#45624,.F.); #60340=ORIENTED_EDGE('',*,*,#45628,.F.); #60341=ORIENTED_EDGE('',*,*,#45631,.F.); #60342=ORIENTED_EDGE('',*,*,#45634,.F.); #60343=ORIENTED_EDGE('',*,*,#45637,.F.); #60344=ORIENTED_EDGE('',*,*,#45640,.F.); #60345=ORIENTED_EDGE('',*,*,#45643,.F.); #60346=ORIENTED_EDGE('',*,*,#45646,.F.); #60347=ORIENTED_EDGE('',*,*,#45649,.F.); #60348=ORIENTED_EDGE('',*,*,#45652,.F.); #60349=ORIENTED_EDGE('',*,*,#45655,.F.); #60350=ORIENTED_EDGE('',*,*,#45658,.F.); #60351=ORIENTED_EDGE('',*,*,#45661,.F.); #60352=ORIENTED_EDGE('',*,*,#45664,.F.); #60353=ORIENTED_EDGE('',*,*,#45667,.F.); #60354=ORIENTED_EDGE('',*,*,#45670,.F.); #60355=ORIENTED_EDGE('',*,*,#45673,.F.); #60356=ORIENTED_EDGE('',*,*,#45676,.F.); #60357=ORIENTED_EDGE('',*,*,#45679,.F.); #60358=ORIENTED_EDGE('',*,*,#45682,.F.); #60359=ORIENTED_EDGE('',*,*,#45685,.F.); #60360=ORIENTED_EDGE('',*,*,#45688,.F.); #60361=ORIENTED_EDGE('',*,*,#45691,.F.); #60362=ORIENTED_EDGE('',*,*,#45694,.F.); #60363=ORIENTED_EDGE('',*,*,#45697,.F.); #60364=ORIENTED_EDGE('',*,*,#45570,.F.); #60365=ORIENTED_EDGE('',*,*,#45621,.F.); #60366=ORIENTED_EDGE('',*,*,#45618,.F.); #60367=ORIENTED_EDGE('',*,*,#45615,.F.); #60368=ORIENTED_EDGE('',*,*,#45612,.F.); #60369=ORIENTED_EDGE('',*,*,#45609,.F.); #60370=ORIENTED_EDGE('',*,*,#45606,.F.); #60371=ORIENTED_EDGE('',*,*,#45603,.F.); #60372=ORIENTED_EDGE('',*,*,#45600,.F.); #60373=ORIENTED_EDGE('',*,*,#45597,.F.); #60374=ORIENTED_EDGE('',*,*,#45594,.F.); #60375=ORIENTED_EDGE('',*,*,#45591,.F.); #60376=ORIENTED_EDGE('',*,*,#45588,.F.); #60377=ORIENTED_EDGE('',*,*,#45585,.F.); #60378=ORIENTED_EDGE('',*,*,#45582,.F.); #60379=ORIENTED_EDGE('',*,*,#45579,.F.); #60380=ORIENTED_EDGE('',*,*,#45576,.F.); #60381=ORIENTED_EDGE('',*,*,#45573,.F.); #60382=ORIENTED_EDGE('',*,*,#45700,.F.); #60383=ORIENTED_EDGE('',*,*,#45701,.T.); #60384=ORIENTED_EDGE('',*,*,#45702,.T.); #60385=ORIENTED_EDGE('',*,*,#45703,.F.); #60386=ORIENTED_EDGE('',*,*,#45704,.F.); #60387=ORIENTED_EDGE('',*,*,#45705,.T.); #60388=ORIENTED_EDGE('',*,*,#45706,.T.); #60389=ORIENTED_EDGE('',*,*,#45701,.F.); #60390=ORIENTED_EDGE('',*,*,#45707,.F.); #60391=ORIENTED_EDGE('',*,*,#45708,.T.); #60392=ORIENTED_EDGE('',*,*,#45709,.T.); #60393=ORIENTED_EDGE('',*,*,#45705,.F.); #60394=ORIENTED_EDGE('',*,*,#45710,.F.); #60395=ORIENTED_EDGE('',*,*,#45711,.T.); #60396=ORIENTED_EDGE('',*,*,#45712,.T.); #60397=ORIENTED_EDGE('',*,*,#45708,.F.); #60398=ORIENTED_EDGE('',*,*,#45713,.F.); #60399=ORIENTED_EDGE('',*,*,#45714,.T.); #60400=ORIENTED_EDGE('',*,*,#45715,.T.); #60401=ORIENTED_EDGE('',*,*,#45711,.F.); #60402=ORIENTED_EDGE('',*,*,#45716,.F.); #60403=ORIENTED_EDGE('',*,*,#45717,.T.); #60404=ORIENTED_EDGE('',*,*,#45718,.T.); #60405=ORIENTED_EDGE('',*,*,#45714,.F.); #60406=ORIENTED_EDGE('',*,*,#45719,.F.); #60407=ORIENTED_EDGE('',*,*,#45703,.T.); #60408=ORIENTED_EDGE('',*,*,#45720,.T.); #60409=ORIENTED_EDGE('',*,*,#45717,.F.); #60410=ORIENTED_EDGE('',*,*,#45720,.F.); #60411=ORIENTED_EDGE('',*,*,#45702,.F.); #60412=ORIENTED_EDGE('',*,*,#45706,.F.); #60413=ORIENTED_EDGE('',*,*,#45709,.F.); #60414=ORIENTED_EDGE('',*,*,#45712,.F.); #60415=ORIENTED_EDGE('',*,*,#45715,.F.); #60416=ORIENTED_EDGE('',*,*,#45718,.F.); #60417=ORIENTED_EDGE('',*,*,#45721,.F.); #60418=ORIENTED_EDGE('',*,*,#45722,.T.); #60419=ORIENTED_EDGE('',*,*,#45723,.T.); #60420=ORIENTED_EDGE('',*,*,#45724,.F.); #60421=ORIENTED_EDGE('',*,*,#45725,.F.); #60422=ORIENTED_EDGE('',*,*,#45726,.T.); #60423=ORIENTED_EDGE('',*,*,#45727,.T.); #60424=ORIENTED_EDGE('',*,*,#45722,.F.); #60425=ORIENTED_EDGE('',*,*,#45728,.F.); #60426=ORIENTED_EDGE('',*,*,#45729,.T.); #60427=ORIENTED_EDGE('',*,*,#45730,.T.); #60428=ORIENTED_EDGE('',*,*,#45726,.F.); #60429=ORIENTED_EDGE('',*,*,#45731,.F.); #60430=ORIENTED_EDGE('',*,*,#45732,.T.); #60431=ORIENTED_EDGE('',*,*,#45733,.T.); #60432=ORIENTED_EDGE('',*,*,#45729,.F.); #60433=ORIENTED_EDGE('',*,*,#45734,.F.); #60434=ORIENTED_EDGE('',*,*,#45735,.T.); #60435=ORIENTED_EDGE('',*,*,#45736,.T.); #60436=ORIENTED_EDGE('',*,*,#45732,.F.); #60437=ORIENTED_EDGE('',*,*,#45737,.F.); #60438=ORIENTED_EDGE('',*,*,#45738,.T.); #60439=ORIENTED_EDGE('',*,*,#45739,.T.); #60440=ORIENTED_EDGE('',*,*,#45735,.F.); #60441=ORIENTED_EDGE('',*,*,#45740,.F.); #60442=ORIENTED_EDGE('',*,*,#45741,.T.); #60443=ORIENTED_EDGE('',*,*,#45742,.T.); #60444=ORIENTED_EDGE('',*,*,#45738,.F.); #60445=ORIENTED_EDGE('',*,*,#45743,.F.); #60446=ORIENTED_EDGE('',*,*,#45744,.T.); #60447=ORIENTED_EDGE('',*,*,#45745,.T.); #60448=ORIENTED_EDGE('',*,*,#45741,.F.); #60449=ORIENTED_EDGE('',*,*,#45746,.F.); #60450=ORIENTED_EDGE('',*,*,#45747,.T.); #60451=ORIENTED_EDGE('',*,*,#45748,.T.); #60452=ORIENTED_EDGE('',*,*,#45744,.F.); #60453=ORIENTED_EDGE('',*,*,#45749,.F.); #60454=ORIENTED_EDGE('',*,*,#45750,.T.); #60455=ORIENTED_EDGE('',*,*,#45751,.T.); #60456=ORIENTED_EDGE('',*,*,#45747,.F.); #60457=ORIENTED_EDGE('',*,*,#45752,.F.); #60458=ORIENTED_EDGE('',*,*,#45753,.T.); #60459=ORIENTED_EDGE('',*,*,#45754,.T.); #60460=ORIENTED_EDGE('',*,*,#45750,.F.); #60461=ORIENTED_EDGE('',*,*,#45755,.F.); #60462=ORIENTED_EDGE('',*,*,#45756,.T.); #60463=ORIENTED_EDGE('',*,*,#45757,.T.); #60464=ORIENTED_EDGE('',*,*,#45753,.F.); #60465=ORIENTED_EDGE('',*,*,#45758,.F.); #60466=ORIENTED_EDGE('',*,*,#45759,.T.); #60467=ORIENTED_EDGE('',*,*,#45760,.T.); #60468=ORIENTED_EDGE('',*,*,#45756,.F.); #60469=ORIENTED_EDGE('',*,*,#45761,.F.); #60470=ORIENTED_EDGE('',*,*,#45762,.T.); #60471=ORIENTED_EDGE('',*,*,#45763,.T.); #60472=ORIENTED_EDGE('',*,*,#45759,.F.); #60473=ORIENTED_EDGE('',*,*,#45764,.F.); #60474=ORIENTED_EDGE('',*,*,#45765,.T.); #60475=ORIENTED_EDGE('',*,*,#45766,.T.); #60476=ORIENTED_EDGE('',*,*,#45762,.F.); #60477=ORIENTED_EDGE('',*,*,#45767,.F.); #60478=ORIENTED_EDGE('',*,*,#45768,.T.); #60479=ORIENTED_EDGE('',*,*,#45769,.T.); #60480=ORIENTED_EDGE('',*,*,#45765,.F.); #60481=ORIENTED_EDGE('',*,*,#45770,.F.); #60482=ORIENTED_EDGE('',*,*,#45771,.T.); #60483=ORIENTED_EDGE('',*,*,#45772,.T.); #60484=ORIENTED_EDGE('',*,*,#45768,.F.); #60485=ORIENTED_EDGE('',*,*,#45773,.F.); #60486=ORIENTED_EDGE('',*,*,#45774,.T.); #60487=ORIENTED_EDGE('',*,*,#45775,.T.); #60488=ORIENTED_EDGE('',*,*,#45771,.F.); #60489=ORIENTED_EDGE('',*,*,#45776,.F.); #60490=ORIENTED_EDGE('',*,*,#45777,.T.); #60491=ORIENTED_EDGE('',*,*,#45778,.T.); #60492=ORIENTED_EDGE('',*,*,#45774,.F.); #60493=ORIENTED_EDGE('',*,*,#45779,.F.); #60494=ORIENTED_EDGE('',*,*,#45780,.T.); #60495=ORIENTED_EDGE('',*,*,#45781,.T.); #60496=ORIENTED_EDGE('',*,*,#45777,.F.); #60497=ORIENTED_EDGE('',*,*,#45782,.F.); #60498=ORIENTED_EDGE('',*,*,#45783,.T.); #60499=ORIENTED_EDGE('',*,*,#45784,.T.); #60500=ORIENTED_EDGE('',*,*,#45780,.F.); #60501=ORIENTED_EDGE('',*,*,#45785,.F.); #60502=ORIENTED_EDGE('',*,*,#45786,.T.); #60503=ORIENTED_EDGE('',*,*,#45787,.T.); #60504=ORIENTED_EDGE('',*,*,#45783,.F.); #60505=ORIENTED_EDGE('',*,*,#45788,.F.); #60506=ORIENTED_EDGE('',*,*,#45789,.T.); #60507=ORIENTED_EDGE('',*,*,#45790,.T.); #60508=ORIENTED_EDGE('',*,*,#45786,.F.); #60509=ORIENTED_EDGE('',*,*,#45791,.F.); #60510=ORIENTED_EDGE('',*,*,#45792,.T.); #60511=ORIENTED_EDGE('',*,*,#45793,.T.); #60512=ORIENTED_EDGE('',*,*,#45789,.F.); #60513=ORIENTED_EDGE('',*,*,#45794,.F.); #60514=ORIENTED_EDGE('',*,*,#45795,.T.); #60515=ORIENTED_EDGE('',*,*,#45796,.T.); #60516=ORIENTED_EDGE('',*,*,#45792,.F.); #60517=ORIENTED_EDGE('',*,*,#45797,.F.); #60518=ORIENTED_EDGE('',*,*,#45798,.T.); #60519=ORIENTED_EDGE('',*,*,#45799,.T.); #60520=ORIENTED_EDGE('',*,*,#45795,.F.); #60521=ORIENTED_EDGE('',*,*,#45800,.F.); #60522=ORIENTED_EDGE('',*,*,#45801,.T.); #60523=ORIENTED_EDGE('',*,*,#45802,.T.); #60524=ORIENTED_EDGE('',*,*,#45798,.F.); #60525=ORIENTED_EDGE('',*,*,#45803,.F.); #60526=ORIENTED_EDGE('',*,*,#45724,.T.); #60527=ORIENTED_EDGE('',*,*,#45804,.T.); #60528=ORIENTED_EDGE('',*,*,#45801,.F.); #60529=ORIENTED_EDGE('',*,*,#45804,.F.); #60530=ORIENTED_EDGE('',*,*,#45723,.F.); #60531=ORIENTED_EDGE('',*,*,#45727,.F.); #60532=ORIENTED_EDGE('',*,*,#45730,.F.); #60533=ORIENTED_EDGE('',*,*,#45733,.F.); #60534=ORIENTED_EDGE('',*,*,#45736,.F.); #60535=ORIENTED_EDGE('',*,*,#45739,.F.); #60536=ORIENTED_EDGE('',*,*,#45742,.F.); #60537=ORIENTED_EDGE('',*,*,#45745,.F.); #60538=ORIENTED_EDGE('',*,*,#45748,.F.); #60539=ORIENTED_EDGE('',*,*,#45751,.F.); #60540=ORIENTED_EDGE('',*,*,#45754,.F.); #60541=ORIENTED_EDGE('',*,*,#45757,.F.); #60542=ORIENTED_EDGE('',*,*,#45760,.F.); #60543=ORIENTED_EDGE('',*,*,#45763,.F.); #60544=ORIENTED_EDGE('',*,*,#45766,.F.); #60545=ORIENTED_EDGE('',*,*,#45769,.F.); #60546=ORIENTED_EDGE('',*,*,#45772,.F.); #60547=ORIENTED_EDGE('',*,*,#45775,.F.); #60548=ORIENTED_EDGE('',*,*,#45778,.F.); #60549=ORIENTED_EDGE('',*,*,#45781,.F.); #60550=ORIENTED_EDGE('',*,*,#45784,.F.); #60551=ORIENTED_EDGE('',*,*,#45787,.F.); #60552=ORIENTED_EDGE('',*,*,#45790,.F.); #60553=ORIENTED_EDGE('',*,*,#45793,.F.); #60554=ORIENTED_EDGE('',*,*,#45796,.F.); #60555=ORIENTED_EDGE('',*,*,#45799,.F.); #60556=ORIENTED_EDGE('',*,*,#45802,.F.); #60557=ORIENTED_EDGE('',*,*,#45805,.F.); #60558=ORIENTED_EDGE('',*,*,#45806,.T.); #60559=ORIENTED_EDGE('',*,*,#45807,.T.); #60560=ORIENTED_EDGE('',*,*,#45808,.F.); #60561=ORIENTED_EDGE('',*,*,#45809,.F.); #60562=ORIENTED_EDGE('',*,*,#45810,.T.); #60563=ORIENTED_EDGE('',*,*,#45811,.T.); #60564=ORIENTED_EDGE('',*,*,#45806,.F.); #60565=ORIENTED_EDGE('',*,*,#45812,.F.); #60566=ORIENTED_EDGE('',*,*,#45813,.T.); #60567=ORIENTED_EDGE('',*,*,#45814,.T.); #60568=ORIENTED_EDGE('',*,*,#45810,.F.); #60569=ORIENTED_EDGE('',*,*,#45815,.F.); #60570=ORIENTED_EDGE('',*,*,#45816,.T.); #60571=ORIENTED_EDGE('',*,*,#45817,.T.); #60572=ORIENTED_EDGE('',*,*,#45813,.F.); #60573=ORIENTED_EDGE('',*,*,#45818,.F.); #60574=ORIENTED_EDGE('',*,*,#45819,.T.); #60575=ORIENTED_EDGE('',*,*,#45820,.T.); #60576=ORIENTED_EDGE('',*,*,#45816,.F.); #60577=ORIENTED_EDGE('',*,*,#45821,.F.); #60578=ORIENTED_EDGE('',*,*,#45822,.T.); #60579=ORIENTED_EDGE('',*,*,#45823,.T.); #60580=ORIENTED_EDGE('',*,*,#45819,.F.); #60581=ORIENTED_EDGE('',*,*,#45824,.F.); #60582=ORIENTED_EDGE('',*,*,#45808,.T.); #60583=ORIENTED_EDGE('',*,*,#45825,.T.); #60584=ORIENTED_EDGE('',*,*,#45822,.F.); #60585=ORIENTED_EDGE('',*,*,#45825,.F.); #60586=ORIENTED_EDGE('',*,*,#45807,.F.); #60587=ORIENTED_EDGE('',*,*,#45811,.F.); #60588=ORIENTED_EDGE('',*,*,#45814,.F.); #60589=ORIENTED_EDGE('',*,*,#45817,.F.); #60590=ORIENTED_EDGE('',*,*,#45820,.F.); #60591=ORIENTED_EDGE('',*,*,#45823,.F.); #60592=ORIENTED_EDGE('',*,*,#45826,.F.); #60593=ORIENTED_EDGE('',*,*,#45827,.T.); #60594=ORIENTED_EDGE('',*,*,#45828,.T.); #60595=ORIENTED_EDGE('',*,*,#45829,.F.); #60596=ORIENTED_EDGE('',*,*,#45830,.F.); #60597=ORIENTED_EDGE('',*,*,#45831,.T.); #60598=ORIENTED_EDGE('',*,*,#45832,.T.); #60599=ORIENTED_EDGE('',*,*,#45827,.F.); #60600=ORIENTED_EDGE('',*,*,#45833,.F.); #60601=ORIENTED_EDGE('',*,*,#45834,.T.); #60602=ORIENTED_EDGE('',*,*,#45835,.T.); #60603=ORIENTED_EDGE('',*,*,#45831,.F.); #60604=ORIENTED_EDGE('',*,*,#45836,.F.); #60605=ORIENTED_EDGE('',*,*,#45837,.T.); #60606=ORIENTED_EDGE('',*,*,#45838,.T.); #60607=ORIENTED_EDGE('',*,*,#45834,.F.); #60608=ORIENTED_EDGE('',*,*,#45839,.F.); #60609=ORIENTED_EDGE('',*,*,#45840,.T.); #60610=ORIENTED_EDGE('',*,*,#45841,.T.); #60611=ORIENTED_EDGE('',*,*,#45837,.F.); #60612=ORIENTED_EDGE('',*,*,#45842,.F.); #60613=ORIENTED_EDGE('',*,*,#45843,.T.); #60614=ORIENTED_EDGE('',*,*,#45844,.T.); #60615=ORIENTED_EDGE('',*,*,#45840,.F.); #60616=ORIENTED_EDGE('',*,*,#45845,.F.); #60617=ORIENTED_EDGE('',*,*,#45846,.T.); #60618=ORIENTED_EDGE('',*,*,#45847,.T.); #60619=ORIENTED_EDGE('',*,*,#45843,.F.); #60620=ORIENTED_EDGE('',*,*,#45848,.F.); #60621=ORIENTED_EDGE('',*,*,#45849,.T.); #60622=ORIENTED_EDGE('',*,*,#45850,.T.); #60623=ORIENTED_EDGE('',*,*,#45846,.F.); #60624=ORIENTED_EDGE('',*,*,#45851,.F.); #60625=ORIENTED_EDGE('',*,*,#45852,.T.); #60626=ORIENTED_EDGE('',*,*,#45853,.T.); #60627=ORIENTED_EDGE('',*,*,#45849,.F.); #60628=ORIENTED_EDGE('',*,*,#45854,.F.); #60629=ORIENTED_EDGE('',*,*,#45855,.T.); #60630=ORIENTED_EDGE('',*,*,#45856,.T.); #60631=ORIENTED_EDGE('',*,*,#45852,.F.); #60632=ORIENTED_EDGE('',*,*,#45857,.F.); #60633=ORIENTED_EDGE('',*,*,#45858,.T.); #60634=ORIENTED_EDGE('',*,*,#45859,.T.); #60635=ORIENTED_EDGE('',*,*,#45855,.F.); #60636=ORIENTED_EDGE('',*,*,#45860,.F.); #60637=ORIENTED_EDGE('',*,*,#45861,.T.); #60638=ORIENTED_EDGE('',*,*,#45862,.T.); #60639=ORIENTED_EDGE('',*,*,#45858,.F.); #60640=ORIENTED_EDGE('',*,*,#45863,.F.); #60641=ORIENTED_EDGE('',*,*,#45864,.T.); #60642=ORIENTED_EDGE('',*,*,#45865,.T.); #60643=ORIENTED_EDGE('',*,*,#45861,.F.); #60644=ORIENTED_EDGE('',*,*,#45866,.F.); #60645=ORIENTED_EDGE('',*,*,#45867,.T.); #60646=ORIENTED_EDGE('',*,*,#45868,.T.); #60647=ORIENTED_EDGE('',*,*,#45864,.F.); #60648=ORIENTED_EDGE('',*,*,#45869,.F.); #60649=ORIENTED_EDGE('',*,*,#45870,.T.); #60650=ORIENTED_EDGE('',*,*,#45871,.T.); #60651=ORIENTED_EDGE('',*,*,#45867,.F.); #60652=ORIENTED_EDGE('',*,*,#45872,.F.); #60653=ORIENTED_EDGE('',*,*,#45873,.T.); #60654=ORIENTED_EDGE('',*,*,#45874,.T.); #60655=ORIENTED_EDGE('',*,*,#45870,.F.); #60656=ORIENTED_EDGE('',*,*,#45875,.F.); #60657=ORIENTED_EDGE('',*,*,#45876,.T.); #60658=ORIENTED_EDGE('',*,*,#45877,.T.); #60659=ORIENTED_EDGE('',*,*,#45873,.F.); #60660=ORIENTED_EDGE('',*,*,#45878,.F.); #60661=ORIENTED_EDGE('',*,*,#45879,.T.); #60662=ORIENTED_EDGE('',*,*,#45880,.T.); #60663=ORIENTED_EDGE('',*,*,#45876,.F.); #60664=ORIENTED_EDGE('',*,*,#45881,.F.); #60665=ORIENTED_EDGE('',*,*,#45882,.T.); #60666=ORIENTED_EDGE('',*,*,#45883,.T.); #60667=ORIENTED_EDGE('',*,*,#45879,.F.); #60668=ORIENTED_EDGE('',*,*,#45884,.F.); #60669=ORIENTED_EDGE('',*,*,#45885,.T.); #60670=ORIENTED_EDGE('',*,*,#45886,.T.); #60671=ORIENTED_EDGE('',*,*,#45882,.F.); #60672=ORIENTED_EDGE('',*,*,#45887,.F.); #60673=ORIENTED_EDGE('',*,*,#45888,.T.); #60674=ORIENTED_EDGE('',*,*,#45889,.T.); #60675=ORIENTED_EDGE('',*,*,#45885,.F.); #60676=ORIENTED_EDGE('',*,*,#45890,.F.); #60677=ORIENTED_EDGE('',*,*,#45891,.T.); #60678=ORIENTED_EDGE('',*,*,#45892,.T.); #60679=ORIENTED_EDGE('',*,*,#45888,.F.); #60680=ORIENTED_EDGE('',*,*,#45893,.F.); #60681=ORIENTED_EDGE('',*,*,#45894,.T.); #60682=ORIENTED_EDGE('',*,*,#45895,.T.); #60683=ORIENTED_EDGE('',*,*,#45891,.F.); #60684=ORIENTED_EDGE('',*,*,#45896,.F.); #60685=ORIENTED_EDGE('',*,*,#45897,.T.); #60686=ORIENTED_EDGE('',*,*,#45898,.T.); #60687=ORIENTED_EDGE('',*,*,#45894,.F.); #60688=ORIENTED_EDGE('',*,*,#45899,.F.); #60689=ORIENTED_EDGE('',*,*,#45900,.T.); #60690=ORIENTED_EDGE('',*,*,#45901,.T.); #60691=ORIENTED_EDGE('',*,*,#45897,.F.); #60692=ORIENTED_EDGE('',*,*,#45902,.F.); #60693=ORIENTED_EDGE('',*,*,#45903,.T.); #60694=ORIENTED_EDGE('',*,*,#45904,.T.); #60695=ORIENTED_EDGE('',*,*,#45900,.F.); #60696=ORIENTED_EDGE('',*,*,#45905,.F.); #60697=ORIENTED_EDGE('',*,*,#45906,.T.); #60698=ORIENTED_EDGE('',*,*,#45907,.T.); #60699=ORIENTED_EDGE('',*,*,#45903,.F.); #60700=ORIENTED_EDGE('',*,*,#45908,.F.); #60701=ORIENTED_EDGE('',*,*,#45909,.T.); #60702=ORIENTED_EDGE('',*,*,#45910,.T.); #60703=ORIENTED_EDGE('',*,*,#45906,.F.); #60704=ORIENTED_EDGE('',*,*,#45911,.F.); #60705=ORIENTED_EDGE('',*,*,#45912,.T.); #60706=ORIENTED_EDGE('',*,*,#45913,.T.); #60707=ORIENTED_EDGE('',*,*,#45909,.F.); #60708=ORIENTED_EDGE('',*,*,#45914,.F.); #60709=ORIENTED_EDGE('',*,*,#45915,.T.); #60710=ORIENTED_EDGE('',*,*,#45916,.T.); #60711=ORIENTED_EDGE('',*,*,#45912,.F.); #60712=ORIENTED_EDGE('',*,*,#45917,.F.); #60713=ORIENTED_EDGE('',*,*,#45918,.T.); #60714=ORIENTED_EDGE('',*,*,#45919,.T.); #60715=ORIENTED_EDGE('',*,*,#45915,.F.); #60716=ORIENTED_EDGE('',*,*,#45920,.F.); #60717=ORIENTED_EDGE('',*,*,#45921,.T.); #60718=ORIENTED_EDGE('',*,*,#45922,.T.); #60719=ORIENTED_EDGE('',*,*,#45918,.F.); #60720=ORIENTED_EDGE('',*,*,#45923,.F.); #60721=ORIENTED_EDGE('',*,*,#45924,.T.); #60722=ORIENTED_EDGE('',*,*,#45925,.T.); #60723=ORIENTED_EDGE('',*,*,#45921,.F.); #60724=ORIENTED_EDGE('',*,*,#45926,.F.); #60725=ORIENTED_EDGE('',*,*,#45927,.T.); #60726=ORIENTED_EDGE('',*,*,#45928,.T.); #60727=ORIENTED_EDGE('',*,*,#45924,.F.); #60728=ORIENTED_EDGE('',*,*,#45929,.F.); #60729=ORIENTED_EDGE('',*,*,#45930,.T.); #60730=ORIENTED_EDGE('',*,*,#45931,.T.); #60731=ORIENTED_EDGE('',*,*,#45927,.F.); #60732=ORIENTED_EDGE('',*,*,#45932,.F.); #60733=ORIENTED_EDGE('',*,*,#45933,.T.); #60734=ORIENTED_EDGE('',*,*,#45934,.T.); #60735=ORIENTED_EDGE('',*,*,#45930,.F.); #60736=ORIENTED_EDGE('',*,*,#45935,.F.); #60737=ORIENTED_EDGE('',*,*,#45936,.T.); #60738=ORIENTED_EDGE('',*,*,#45937,.T.); #60739=ORIENTED_EDGE('',*,*,#45933,.F.); #60740=ORIENTED_EDGE('',*,*,#45938,.F.); #60741=ORIENTED_EDGE('',*,*,#45939,.T.); #60742=ORIENTED_EDGE('',*,*,#45940,.T.); #60743=ORIENTED_EDGE('',*,*,#45936,.F.); #60744=ORIENTED_EDGE('',*,*,#45941,.F.); #60745=ORIENTED_EDGE('',*,*,#45942,.T.); #60746=ORIENTED_EDGE('',*,*,#45943,.T.); #60747=ORIENTED_EDGE('',*,*,#45939,.F.); #60748=ORIENTED_EDGE('',*,*,#45944,.F.); #60749=ORIENTED_EDGE('',*,*,#45829,.T.); #60750=ORIENTED_EDGE('',*,*,#45945,.T.); #60751=ORIENTED_EDGE('',*,*,#45942,.F.); #60752=ORIENTED_EDGE('',*,*,#45945,.F.); #60753=ORIENTED_EDGE('',*,*,#45828,.F.); #60754=ORIENTED_EDGE('',*,*,#45832,.F.); #60755=ORIENTED_EDGE('',*,*,#45835,.F.); #60756=ORIENTED_EDGE('',*,*,#45838,.F.); #60757=ORIENTED_EDGE('',*,*,#45841,.F.); #60758=ORIENTED_EDGE('',*,*,#45844,.F.); #60759=ORIENTED_EDGE('',*,*,#45847,.F.); #60760=ORIENTED_EDGE('',*,*,#45850,.F.); #60761=ORIENTED_EDGE('',*,*,#45853,.F.); #60762=ORIENTED_EDGE('',*,*,#45856,.F.); #60763=ORIENTED_EDGE('',*,*,#45859,.F.); #60764=ORIENTED_EDGE('',*,*,#45862,.F.); #60765=ORIENTED_EDGE('',*,*,#45865,.F.); #60766=ORIENTED_EDGE('',*,*,#45868,.F.); #60767=ORIENTED_EDGE('',*,*,#45871,.F.); #60768=ORIENTED_EDGE('',*,*,#45874,.F.); #60769=ORIENTED_EDGE('',*,*,#45877,.F.); #60770=ORIENTED_EDGE('',*,*,#45880,.F.); #60771=ORIENTED_EDGE('',*,*,#45883,.F.); #60772=ORIENTED_EDGE('',*,*,#45886,.F.); #60773=ORIENTED_EDGE('',*,*,#45889,.F.); #60774=ORIENTED_EDGE('',*,*,#45892,.F.); #60775=ORIENTED_EDGE('',*,*,#45895,.F.); #60776=ORIENTED_EDGE('',*,*,#45898,.F.); #60777=ORIENTED_EDGE('',*,*,#45901,.F.); #60778=ORIENTED_EDGE('',*,*,#45904,.F.); #60779=ORIENTED_EDGE('',*,*,#45907,.F.); #60780=ORIENTED_EDGE('',*,*,#45910,.F.); #60781=ORIENTED_EDGE('',*,*,#45913,.F.); #60782=ORIENTED_EDGE('',*,*,#45916,.F.); #60783=ORIENTED_EDGE('',*,*,#45919,.F.); #60784=ORIENTED_EDGE('',*,*,#45922,.F.); #60785=ORIENTED_EDGE('',*,*,#45925,.F.); #60786=ORIENTED_EDGE('',*,*,#45928,.F.); #60787=ORIENTED_EDGE('',*,*,#45931,.F.); #60788=ORIENTED_EDGE('',*,*,#45934,.F.); #60789=ORIENTED_EDGE('',*,*,#45937,.F.); #60790=ORIENTED_EDGE('',*,*,#45940,.F.); #60791=ORIENTED_EDGE('',*,*,#45943,.F.); #60792=ORIENTED_EDGE('',*,*,#45946,.F.); #60793=ORIENTED_EDGE('',*,*,#45947,.T.); #60794=ORIENTED_EDGE('',*,*,#45948,.T.); #60795=ORIENTED_EDGE('',*,*,#45949,.F.); #60796=ORIENTED_EDGE('',*,*,#45950,.F.); #60797=ORIENTED_EDGE('',*,*,#45949,.T.); #60798=ORIENTED_EDGE('',*,*,#45951,.T.); #60799=ORIENTED_EDGE('',*,*,#45952,.F.); #60800=ORIENTED_EDGE('',*,*,#45953,.F.); #60801=ORIENTED_EDGE('',*,*,#45952,.T.); #60802=ORIENTED_EDGE('',*,*,#45954,.T.); #60803=ORIENTED_EDGE('',*,*,#45947,.F.); #60804=ORIENTED_EDGE('',*,*,#45955,.F.); #60805=ORIENTED_EDGE('',*,*,#45956,.T.); #60806=ORIENTED_EDGE('',*,*,#45957,.T.); #60807=ORIENTED_EDGE('',*,*,#45958,.F.); #60808=ORIENTED_EDGE('',*,*,#45959,.F.); #60809=ORIENTED_EDGE('',*,*,#45960,.T.); #60810=ORIENTED_EDGE('',*,*,#45961,.T.); #60811=ORIENTED_EDGE('',*,*,#45956,.F.); #60812=ORIENTED_EDGE('',*,*,#45962,.F.); #60813=ORIENTED_EDGE('',*,*,#45963,.T.); #60814=ORIENTED_EDGE('',*,*,#45964,.T.); #60815=ORIENTED_EDGE('',*,*,#45960,.F.); #60816=ORIENTED_EDGE('',*,*,#45965,.F.); #60817=ORIENTED_EDGE('',*,*,#45966,.T.); #60818=ORIENTED_EDGE('',*,*,#45967,.T.); #60819=ORIENTED_EDGE('',*,*,#45963,.F.); #60820=ORIENTED_EDGE('',*,*,#45968,.F.); #60821=ORIENTED_EDGE('',*,*,#45969,.T.); #60822=ORIENTED_EDGE('',*,*,#45970,.T.); #60823=ORIENTED_EDGE('',*,*,#45966,.F.); #60824=ORIENTED_EDGE('',*,*,#45971,.F.); #60825=ORIENTED_EDGE('',*,*,#45972,.T.); #60826=ORIENTED_EDGE('',*,*,#45973,.T.); #60827=ORIENTED_EDGE('',*,*,#45969,.F.); #60828=ORIENTED_EDGE('',*,*,#45974,.F.); #60829=ORIENTED_EDGE('',*,*,#45975,.T.); #60830=ORIENTED_EDGE('',*,*,#45976,.T.); #60831=ORIENTED_EDGE('',*,*,#45972,.F.); #60832=ORIENTED_EDGE('',*,*,#45977,.F.); #60833=ORIENTED_EDGE('',*,*,#45978,.T.); #60834=ORIENTED_EDGE('',*,*,#45979,.T.); #60835=ORIENTED_EDGE('',*,*,#45975,.F.); #60836=ORIENTED_EDGE('',*,*,#45980,.F.); #60837=ORIENTED_EDGE('',*,*,#45981,.T.); #60838=ORIENTED_EDGE('',*,*,#45982,.T.); #60839=ORIENTED_EDGE('',*,*,#45978,.F.); #60840=ORIENTED_EDGE('',*,*,#45983,.F.); #60841=ORIENTED_EDGE('',*,*,#45984,.T.); #60842=ORIENTED_EDGE('',*,*,#45985,.T.); #60843=ORIENTED_EDGE('',*,*,#45981,.F.); #60844=ORIENTED_EDGE('',*,*,#45986,.F.); #60845=ORIENTED_EDGE('',*,*,#45958,.T.); #60846=ORIENTED_EDGE('',*,*,#45987,.T.); #60847=ORIENTED_EDGE('',*,*,#45984,.F.); #60848=ORIENTED_EDGE('',*,*,#45987,.F.); #60849=ORIENTED_EDGE('',*,*,#45957,.F.); #60850=ORIENTED_EDGE('',*,*,#45961,.F.); #60851=ORIENTED_EDGE('',*,*,#45964,.F.); #60852=ORIENTED_EDGE('',*,*,#45967,.F.); #60853=ORIENTED_EDGE('',*,*,#45970,.F.); #60854=ORIENTED_EDGE('',*,*,#45973,.F.); #60855=ORIENTED_EDGE('',*,*,#45976,.F.); #60856=ORIENTED_EDGE('',*,*,#45979,.F.); #60857=ORIENTED_EDGE('',*,*,#45982,.F.); #60858=ORIENTED_EDGE('',*,*,#45985,.F.); #60859=ORIENTED_EDGE('',*,*,#45948,.F.); #60860=ORIENTED_EDGE('',*,*,#45954,.F.); #60861=ORIENTED_EDGE('',*,*,#45951,.F.); #60862=ORIENTED_EDGE('',*,*,#45988,.F.); #60863=ORIENTED_EDGE('',*,*,#45989,.T.); #60864=ORIENTED_EDGE('',*,*,#45990,.T.); #60865=ORIENTED_EDGE('',*,*,#45991,.F.); #60866=ORIENTED_EDGE('',*,*,#45992,.F.); #60867=ORIENTED_EDGE('',*,*,#45993,.T.); #60868=ORIENTED_EDGE('',*,*,#45994,.T.); #60869=ORIENTED_EDGE('',*,*,#45989,.F.); #60870=ORIENTED_EDGE('',*,*,#45995,.F.); #60871=ORIENTED_EDGE('',*,*,#45996,.T.); #60872=ORIENTED_EDGE('',*,*,#45997,.T.); #60873=ORIENTED_EDGE('',*,*,#45993,.F.); #60874=ORIENTED_EDGE('',*,*,#45998,.F.); #60875=ORIENTED_EDGE('',*,*,#45999,.T.); #60876=ORIENTED_EDGE('',*,*,#46000,.T.); #60877=ORIENTED_EDGE('',*,*,#45996,.F.); #60878=ORIENTED_EDGE('',*,*,#46001,.F.); #60879=ORIENTED_EDGE('',*,*,#46002,.T.); #60880=ORIENTED_EDGE('',*,*,#46003,.T.); #60881=ORIENTED_EDGE('',*,*,#45999,.F.); #60882=ORIENTED_EDGE('',*,*,#46004,.F.); #60883=ORIENTED_EDGE('',*,*,#46005,.T.); #60884=ORIENTED_EDGE('',*,*,#46006,.T.); #60885=ORIENTED_EDGE('',*,*,#46002,.F.); #60886=ORIENTED_EDGE('',*,*,#46007,.F.); #60887=ORIENTED_EDGE('',*,*,#46008,.T.); #60888=ORIENTED_EDGE('',*,*,#46009,.T.); #60889=ORIENTED_EDGE('',*,*,#46005,.F.); #60890=ORIENTED_EDGE('',*,*,#46010,.F.); #60891=ORIENTED_EDGE('',*,*,#46011,.T.); #60892=ORIENTED_EDGE('',*,*,#46012,.T.); #60893=ORIENTED_EDGE('',*,*,#46008,.F.); #60894=ORIENTED_EDGE('',*,*,#46013,.F.); #60895=ORIENTED_EDGE('',*,*,#46014,.T.); #60896=ORIENTED_EDGE('',*,*,#46015,.T.); #60897=ORIENTED_EDGE('',*,*,#46011,.F.); #60898=ORIENTED_EDGE('',*,*,#46016,.F.); #60899=ORIENTED_EDGE('',*,*,#46017,.T.); #60900=ORIENTED_EDGE('',*,*,#46018,.T.); #60901=ORIENTED_EDGE('',*,*,#46014,.F.); #60902=ORIENTED_EDGE('',*,*,#46019,.F.); #60903=ORIENTED_EDGE('',*,*,#45991,.T.); #60904=ORIENTED_EDGE('',*,*,#46020,.T.); #60905=ORIENTED_EDGE('',*,*,#46017,.F.); #60906=ORIENTED_EDGE('',*,*,#46020,.F.); #60907=ORIENTED_EDGE('',*,*,#45990,.F.); #60908=ORIENTED_EDGE('',*,*,#45994,.F.); #60909=ORIENTED_EDGE('',*,*,#45997,.F.); #60910=ORIENTED_EDGE('',*,*,#46000,.F.); #60911=ORIENTED_EDGE('',*,*,#46003,.F.); #60912=ORIENTED_EDGE('',*,*,#46006,.F.); #60913=ORIENTED_EDGE('',*,*,#46009,.F.); #60914=ORIENTED_EDGE('',*,*,#46012,.F.); #60915=ORIENTED_EDGE('',*,*,#46015,.F.); #60916=ORIENTED_EDGE('',*,*,#46018,.F.); #60917=ORIENTED_EDGE('',*,*,#46021,.F.); #60918=ORIENTED_EDGE('',*,*,#46022,.T.); #60919=ORIENTED_EDGE('',*,*,#46023,.T.); #60920=ORIENTED_EDGE('',*,*,#46024,.F.); #60921=ORIENTED_EDGE('',*,*,#46025,.F.); #60922=ORIENTED_EDGE('',*,*,#46026,.T.); #60923=ORIENTED_EDGE('',*,*,#46027,.T.); #60924=ORIENTED_EDGE('',*,*,#46022,.F.); #60925=ORIENTED_EDGE('',*,*,#46028,.F.); #60926=ORIENTED_EDGE('',*,*,#46029,.T.); #60927=ORIENTED_EDGE('',*,*,#46030,.T.); #60928=ORIENTED_EDGE('',*,*,#46026,.F.); #60929=ORIENTED_EDGE('',*,*,#46031,.F.); #60930=ORIENTED_EDGE('',*,*,#46032,.T.); #60931=ORIENTED_EDGE('',*,*,#46033,.T.); #60932=ORIENTED_EDGE('',*,*,#46029,.F.); #60933=ORIENTED_EDGE('',*,*,#46034,.F.); #60934=ORIENTED_EDGE('',*,*,#46035,.T.); #60935=ORIENTED_EDGE('',*,*,#46036,.T.); #60936=ORIENTED_EDGE('',*,*,#46032,.F.); #60937=ORIENTED_EDGE('',*,*,#46037,.F.); #60938=ORIENTED_EDGE('',*,*,#46038,.T.); #60939=ORIENTED_EDGE('',*,*,#46039,.T.); #60940=ORIENTED_EDGE('',*,*,#46035,.F.); #60941=ORIENTED_EDGE('',*,*,#46040,.F.); #60942=ORIENTED_EDGE('',*,*,#46041,.T.); #60943=ORIENTED_EDGE('',*,*,#46042,.T.); #60944=ORIENTED_EDGE('',*,*,#46038,.F.); #60945=ORIENTED_EDGE('',*,*,#46043,.F.); #60946=ORIENTED_EDGE('',*,*,#46044,.T.); #60947=ORIENTED_EDGE('',*,*,#46045,.T.); #60948=ORIENTED_EDGE('',*,*,#46041,.F.); #60949=ORIENTED_EDGE('',*,*,#46046,.F.); #60950=ORIENTED_EDGE('',*,*,#46047,.T.); #60951=ORIENTED_EDGE('',*,*,#46048,.T.); #60952=ORIENTED_EDGE('',*,*,#46044,.F.); #60953=ORIENTED_EDGE('',*,*,#46049,.F.); #60954=ORIENTED_EDGE('',*,*,#46050,.T.); #60955=ORIENTED_EDGE('',*,*,#46051,.T.); #60956=ORIENTED_EDGE('',*,*,#46047,.F.); #60957=ORIENTED_EDGE('',*,*,#46052,.F.); #60958=ORIENTED_EDGE('',*,*,#46024,.T.); #60959=ORIENTED_EDGE('',*,*,#46053,.T.); #60960=ORIENTED_EDGE('',*,*,#46050,.F.); #60961=ORIENTED_EDGE('',*,*,#46053,.F.); #60962=ORIENTED_EDGE('',*,*,#46023,.F.); #60963=ORIENTED_EDGE('',*,*,#46027,.F.); #60964=ORIENTED_EDGE('',*,*,#46030,.F.); #60965=ORIENTED_EDGE('',*,*,#46033,.F.); #60966=ORIENTED_EDGE('',*,*,#46036,.F.); #60967=ORIENTED_EDGE('',*,*,#46039,.F.); #60968=ORIENTED_EDGE('',*,*,#46042,.F.); #60969=ORIENTED_EDGE('',*,*,#46045,.F.); #60970=ORIENTED_EDGE('',*,*,#46048,.F.); #60971=ORIENTED_EDGE('',*,*,#46051,.F.); #60972=ORIENTED_EDGE('',*,*,#46054,.F.); #60973=ORIENTED_EDGE('',*,*,#46055,.T.); #60974=ORIENTED_EDGE('',*,*,#46056,.T.); #60975=ORIENTED_EDGE('',*,*,#46057,.F.); #60976=ORIENTED_EDGE('',*,*,#46058,.F.); #60977=ORIENTED_EDGE('',*,*,#46059,.T.); #60978=ORIENTED_EDGE('',*,*,#46060,.T.); #60979=ORIENTED_EDGE('',*,*,#46055,.F.); #60980=ORIENTED_EDGE('',*,*,#46061,.F.); #60981=ORIENTED_EDGE('',*,*,#46062,.T.); #60982=ORIENTED_EDGE('',*,*,#46063,.T.); #60983=ORIENTED_EDGE('',*,*,#46059,.F.); #60984=ORIENTED_EDGE('',*,*,#46064,.F.); #60985=ORIENTED_EDGE('',*,*,#46065,.T.); #60986=ORIENTED_EDGE('',*,*,#46066,.T.); #60987=ORIENTED_EDGE('',*,*,#46062,.F.); #60988=ORIENTED_EDGE('',*,*,#46067,.F.); #60989=ORIENTED_EDGE('',*,*,#46068,.T.); #60990=ORIENTED_EDGE('',*,*,#46069,.T.); #60991=ORIENTED_EDGE('',*,*,#46065,.F.); #60992=ORIENTED_EDGE('',*,*,#46070,.F.); #60993=ORIENTED_EDGE('',*,*,#46071,.T.); #60994=ORIENTED_EDGE('',*,*,#46072,.T.); #60995=ORIENTED_EDGE('',*,*,#46068,.F.); #60996=ORIENTED_EDGE('',*,*,#46073,.F.); #60997=ORIENTED_EDGE('',*,*,#46074,.T.); #60998=ORIENTED_EDGE('',*,*,#46075,.T.); #60999=ORIENTED_EDGE('',*,*,#46071,.F.); #61000=ORIENTED_EDGE('',*,*,#46076,.F.); #61001=ORIENTED_EDGE('',*,*,#46077,.T.); #61002=ORIENTED_EDGE('',*,*,#46078,.T.); #61003=ORIENTED_EDGE('',*,*,#46074,.F.); #61004=ORIENTED_EDGE('',*,*,#46079,.F.); #61005=ORIENTED_EDGE('',*,*,#46080,.T.); #61006=ORIENTED_EDGE('',*,*,#46081,.T.); #61007=ORIENTED_EDGE('',*,*,#46077,.F.); #61008=ORIENTED_EDGE('',*,*,#46082,.F.); #61009=ORIENTED_EDGE('',*,*,#46083,.T.); #61010=ORIENTED_EDGE('',*,*,#46084,.T.); #61011=ORIENTED_EDGE('',*,*,#46080,.F.); #61012=ORIENTED_EDGE('',*,*,#46085,.F.); #61013=ORIENTED_EDGE('',*,*,#46057,.T.); #61014=ORIENTED_EDGE('',*,*,#46086,.T.); #61015=ORIENTED_EDGE('',*,*,#46083,.F.); #61016=ORIENTED_EDGE('',*,*,#46086,.F.); #61017=ORIENTED_EDGE('',*,*,#46056,.F.); #61018=ORIENTED_EDGE('',*,*,#46060,.F.); #61019=ORIENTED_EDGE('',*,*,#46063,.F.); #61020=ORIENTED_EDGE('',*,*,#46066,.F.); #61021=ORIENTED_EDGE('',*,*,#46069,.F.); #61022=ORIENTED_EDGE('',*,*,#46072,.F.); #61023=ORIENTED_EDGE('',*,*,#46075,.F.); #61024=ORIENTED_EDGE('',*,*,#46078,.F.); #61025=ORIENTED_EDGE('',*,*,#46081,.F.); #61026=ORIENTED_EDGE('',*,*,#46084,.F.); #61027=ORIENTED_EDGE('',*,*,#46087,.T.); #61028=ORIENTED_EDGE('',*,*,#46088,.T.); #61029=ORIENTED_EDGE('',*,*,#46089,.F.); #61030=ORIENTED_EDGE('',*,*,#46088,.F.); #61031=ORIENTED_EDGE('',*,*,#46090,.F.); #61032=ORIENTED_EDGE('',*,*,#46091,.T.); #61033=ORIENTED_EDGE('',*,*,#46092,.T.); #61034=ORIENTED_EDGE('',*,*,#46093,.F.); #61035=ORIENTED_EDGE('',*,*,#46094,.F.); #61036=ORIENTED_EDGE('',*,*,#46093,.T.); #61037=ORIENTED_EDGE('',*,*,#46095,.T.); #61038=ORIENTED_EDGE('',*,*,#46096,.F.); #61039=ORIENTED_EDGE('',*,*,#46097,.F.); #61040=ORIENTED_EDGE('',*,*,#46096,.T.); #61041=ORIENTED_EDGE('',*,*,#46098,.T.); #61042=ORIENTED_EDGE('',*,*,#46099,.F.); #61043=ORIENTED_EDGE('',*,*,#46100,.F.); #61044=ORIENTED_EDGE('',*,*,#46099,.T.); #61045=ORIENTED_EDGE('',*,*,#46101,.T.); #61046=ORIENTED_EDGE('',*,*,#46091,.F.); #61047=ORIENTED_EDGE('',*,*,#46102,.F.); #61048=ORIENTED_EDGE('',*,*,#46103,.T.); #61049=ORIENTED_EDGE('',*,*,#46104,.T.); #61050=ORIENTED_EDGE('',*,*,#46105,.F.); #61051=ORIENTED_EDGE('',*,*,#46106,.F.); #61052=ORIENTED_EDGE('',*,*,#46105,.T.); #61053=ORIENTED_EDGE('',*,*,#46107,.T.); #61054=ORIENTED_EDGE('',*,*,#46108,.F.); #61055=ORIENTED_EDGE('',*,*,#46109,.F.); #61056=ORIENTED_EDGE('',*,*,#46108,.T.); #61057=ORIENTED_EDGE('',*,*,#46110,.T.); #61058=ORIENTED_EDGE('',*,*,#46111,.F.); #61059=ORIENTED_EDGE('',*,*,#46112,.F.); #61060=ORIENTED_EDGE('',*,*,#46111,.T.); #61061=ORIENTED_EDGE('',*,*,#46113,.T.); #61062=ORIENTED_EDGE('',*,*,#46103,.F.); #61063=ORIENTED_EDGE('',*,*,#46114,.F.); #61064=ORIENTED_EDGE('',*,*,#46115,.T.); #61065=ORIENTED_EDGE('',*,*,#46116,.T.); #61066=ORIENTED_EDGE('',*,*,#46117,.F.); #61067=ORIENTED_EDGE('',*,*,#46118,.F.); #61068=ORIENTED_EDGE('',*,*,#46117,.T.); #61069=ORIENTED_EDGE('',*,*,#46119,.T.); #61070=ORIENTED_EDGE('',*,*,#46120,.F.); #61071=ORIENTED_EDGE('',*,*,#46121,.F.); #61072=ORIENTED_EDGE('',*,*,#46120,.T.); #61073=ORIENTED_EDGE('',*,*,#46122,.T.); #61074=ORIENTED_EDGE('',*,*,#46123,.F.); #61075=ORIENTED_EDGE('',*,*,#46124,.F.); #61076=ORIENTED_EDGE('',*,*,#46123,.T.); #61077=ORIENTED_EDGE('',*,*,#46125,.T.); #61078=ORIENTED_EDGE('',*,*,#46115,.F.); #61079=ORIENTED_EDGE('',*,*,#46126,.F.); #61080=ORIENTED_EDGE('',*,*,#46127,.T.); #61081=ORIENTED_EDGE('',*,*,#46128,.T.); #61082=ORIENTED_EDGE('',*,*,#46129,.F.); #61083=ORIENTED_EDGE('',*,*,#46130,.F.); #61084=ORIENTED_EDGE('',*,*,#46129,.T.); #61085=ORIENTED_EDGE('',*,*,#46131,.T.); #61086=ORIENTED_EDGE('',*,*,#46132,.F.); #61087=ORIENTED_EDGE('',*,*,#46133,.F.); #61088=ORIENTED_EDGE('',*,*,#46132,.T.); #61089=ORIENTED_EDGE('',*,*,#46134,.T.); #61090=ORIENTED_EDGE('',*,*,#46135,.F.); #61091=ORIENTED_EDGE('',*,*,#46136,.F.); #61092=ORIENTED_EDGE('',*,*,#46135,.T.); #61093=ORIENTED_EDGE('',*,*,#46137,.T.); #61094=ORIENTED_EDGE('',*,*,#46127,.F.); #61095=ORIENTED_EDGE('',*,*,#46138,.F.); #61096=ORIENTED_EDGE('',*,*,#46139,.T.); #61097=ORIENTED_EDGE('',*,*,#46140,.T.); #61098=ORIENTED_EDGE('',*,*,#46141,.F.); #61099=ORIENTED_EDGE('',*,*,#46142,.F.); #61100=ORIENTED_EDGE('',*,*,#46141,.T.); #61101=ORIENTED_EDGE('',*,*,#46143,.T.); #61102=ORIENTED_EDGE('',*,*,#46144,.F.); #61103=ORIENTED_EDGE('',*,*,#46145,.F.); #61104=ORIENTED_EDGE('',*,*,#46144,.T.); #61105=ORIENTED_EDGE('',*,*,#46146,.T.); #61106=ORIENTED_EDGE('',*,*,#46147,.F.); #61107=ORIENTED_EDGE('',*,*,#46148,.F.); #61108=ORIENTED_EDGE('',*,*,#46147,.T.); #61109=ORIENTED_EDGE('',*,*,#46149,.T.); #61110=ORIENTED_EDGE('',*,*,#46139,.F.); #61111=ORIENTED_EDGE('',*,*,#46150,.F.); #61112=ORIENTED_EDGE('',*,*,#46151,.T.); #61113=ORIENTED_EDGE('',*,*,#46152,.T.); #61114=ORIENTED_EDGE('',*,*,#46153,.F.); #61115=ORIENTED_EDGE('',*,*,#46154,.F.); #61116=ORIENTED_EDGE('',*,*,#46153,.T.); #61117=ORIENTED_EDGE('',*,*,#46155,.T.); #61118=ORIENTED_EDGE('',*,*,#46156,.F.); #61119=ORIENTED_EDGE('',*,*,#46157,.F.); #61120=ORIENTED_EDGE('',*,*,#46156,.T.); #61121=ORIENTED_EDGE('',*,*,#46158,.T.); #61122=ORIENTED_EDGE('',*,*,#46159,.F.); #61123=ORIENTED_EDGE('',*,*,#46160,.F.); #61124=ORIENTED_EDGE('',*,*,#46159,.T.); #61125=ORIENTED_EDGE('',*,*,#46161,.T.); #61126=ORIENTED_EDGE('',*,*,#46151,.F.); #61127=ORIENTED_EDGE('',*,*,#46162,.F.); #61128=ORIENTED_EDGE('',*,*,#46163,.T.); #61129=ORIENTED_EDGE('',*,*,#46164,.T.); #61130=ORIENTED_EDGE('',*,*,#46165,.F.); #61131=ORIENTED_EDGE('',*,*,#46166,.F.); #61132=ORIENTED_EDGE('',*,*,#46165,.T.); #61133=ORIENTED_EDGE('',*,*,#46167,.T.); #61134=ORIENTED_EDGE('',*,*,#46168,.F.); #61135=ORIENTED_EDGE('',*,*,#46169,.F.); #61136=ORIENTED_EDGE('',*,*,#46168,.T.); #61137=ORIENTED_EDGE('',*,*,#46170,.T.); #61138=ORIENTED_EDGE('',*,*,#46171,.F.); #61139=ORIENTED_EDGE('',*,*,#46172,.F.); #61140=ORIENTED_EDGE('',*,*,#46171,.T.); #61141=ORIENTED_EDGE('',*,*,#46173,.T.); #61142=ORIENTED_EDGE('',*,*,#46163,.F.); #61143=ORIENTED_EDGE('',*,*,#46174,.F.); #61144=ORIENTED_EDGE('',*,*,#46175,.T.); #61145=ORIENTED_EDGE('',*,*,#46176,.T.); #61146=ORIENTED_EDGE('',*,*,#46177,.F.); #61147=ORIENTED_EDGE('',*,*,#46178,.F.); #61148=ORIENTED_EDGE('',*,*,#46177,.T.); #61149=ORIENTED_EDGE('',*,*,#46179,.T.); #61150=ORIENTED_EDGE('',*,*,#46180,.F.); #61151=ORIENTED_EDGE('',*,*,#46181,.F.); #61152=ORIENTED_EDGE('',*,*,#46180,.T.); #61153=ORIENTED_EDGE('',*,*,#46182,.T.); #61154=ORIENTED_EDGE('',*,*,#46183,.F.); #61155=ORIENTED_EDGE('',*,*,#46184,.F.); #61156=ORIENTED_EDGE('',*,*,#46183,.T.); #61157=ORIENTED_EDGE('',*,*,#46185,.T.); #61158=ORIENTED_EDGE('',*,*,#46175,.F.); #61159=ORIENTED_EDGE('',*,*,#46186,.F.); #61160=ORIENTED_EDGE('',*,*,#46187,.T.); #61161=ORIENTED_EDGE('',*,*,#46188,.T.); #61162=ORIENTED_EDGE('',*,*,#46189,.F.); #61163=ORIENTED_EDGE('',*,*,#46190,.F.); #61164=ORIENTED_EDGE('',*,*,#46189,.T.); #61165=ORIENTED_EDGE('',*,*,#46191,.T.); #61166=ORIENTED_EDGE('',*,*,#46192,.F.); #61167=ORIENTED_EDGE('',*,*,#46193,.F.); #61168=ORIENTED_EDGE('',*,*,#46192,.T.); #61169=ORIENTED_EDGE('',*,*,#46194,.T.); #61170=ORIENTED_EDGE('',*,*,#46195,.F.); #61171=ORIENTED_EDGE('',*,*,#46196,.F.); #61172=ORIENTED_EDGE('',*,*,#46195,.T.); #61173=ORIENTED_EDGE('',*,*,#46197,.T.); #61174=ORIENTED_EDGE('',*,*,#46187,.F.); #61175=ORIENTED_EDGE('',*,*,#46198,.F.); #61176=ORIENTED_EDGE('',*,*,#46199,.T.); #61177=ORIENTED_EDGE('',*,*,#46200,.T.); #61178=ORIENTED_EDGE('',*,*,#46201,.F.); #61179=ORIENTED_EDGE('',*,*,#46202,.F.); #61180=ORIENTED_EDGE('',*,*,#46201,.T.); #61181=ORIENTED_EDGE('',*,*,#46203,.T.); #61182=ORIENTED_EDGE('',*,*,#46204,.F.); #61183=ORIENTED_EDGE('',*,*,#46205,.F.); #61184=ORIENTED_EDGE('',*,*,#46204,.T.); #61185=ORIENTED_EDGE('',*,*,#46206,.T.); #61186=ORIENTED_EDGE('',*,*,#46207,.F.); #61187=ORIENTED_EDGE('',*,*,#46208,.F.); #61188=ORIENTED_EDGE('',*,*,#46207,.T.); #61189=ORIENTED_EDGE('',*,*,#46209,.T.); #61190=ORIENTED_EDGE('',*,*,#46199,.F.); #61191=ORIENTED_EDGE('',*,*,#46210,.F.); #61192=ORIENTED_EDGE('',*,*,#46211,.T.); #61193=ORIENTED_EDGE('',*,*,#46212,.T.); #61194=ORIENTED_EDGE('',*,*,#46213,.F.); #61195=ORIENTED_EDGE('',*,*,#46214,.F.); #61196=ORIENTED_EDGE('',*,*,#46213,.T.); #61197=ORIENTED_EDGE('',*,*,#46215,.T.); #61198=ORIENTED_EDGE('',*,*,#46216,.F.); #61199=ORIENTED_EDGE('',*,*,#46217,.F.); #61200=ORIENTED_EDGE('',*,*,#46216,.T.); #61201=ORIENTED_EDGE('',*,*,#46218,.T.); #61202=ORIENTED_EDGE('',*,*,#46219,.F.); #61203=ORIENTED_EDGE('',*,*,#46220,.F.); #61204=ORIENTED_EDGE('',*,*,#46219,.T.); #61205=ORIENTED_EDGE('',*,*,#46221,.T.); #61206=ORIENTED_EDGE('',*,*,#46211,.F.); #61207=ORIENTED_EDGE('',*,*,#46222,.F.); #61208=ORIENTED_EDGE('',*,*,#46223,.T.); #61209=ORIENTED_EDGE('',*,*,#46224,.T.); #61210=ORIENTED_EDGE('',*,*,#46225,.F.); #61211=ORIENTED_EDGE('',*,*,#46226,.F.); #61212=ORIENTED_EDGE('',*,*,#46225,.T.); #61213=ORIENTED_EDGE('',*,*,#46227,.T.); #61214=ORIENTED_EDGE('',*,*,#46228,.F.); #61215=ORIENTED_EDGE('',*,*,#46229,.F.); #61216=ORIENTED_EDGE('',*,*,#46228,.T.); #61217=ORIENTED_EDGE('',*,*,#46230,.T.); #61218=ORIENTED_EDGE('',*,*,#46231,.F.); #61219=ORIENTED_EDGE('',*,*,#46232,.F.); #61220=ORIENTED_EDGE('',*,*,#46231,.T.); #61221=ORIENTED_EDGE('',*,*,#46233,.T.); #61222=ORIENTED_EDGE('',*,*,#46223,.F.); #61223=ORIENTED_EDGE('',*,*,#46234,.F.); #61224=ORIENTED_EDGE('',*,*,#46235,.T.); #61225=ORIENTED_EDGE('',*,*,#46236,.T.); #61226=ORIENTED_EDGE('',*,*,#46235,.F.); #61227=ORIENTED_EDGE('',*,*,#46236,.F.); #61228=ORIENTED_EDGE('',*,*,#46087,.F.); #61229=ORIENTED_EDGE('',*,*,#46092,.F.); #61230=ORIENTED_EDGE('',*,*,#46101,.F.); #61231=ORIENTED_EDGE('',*,*,#46098,.F.); #61232=ORIENTED_EDGE('',*,*,#46095,.F.); #61233=ORIENTED_EDGE('',*,*,#46104,.F.); #61234=ORIENTED_EDGE('',*,*,#46113,.F.); #61235=ORIENTED_EDGE('',*,*,#46110,.F.); #61236=ORIENTED_EDGE('',*,*,#46107,.F.); #61237=ORIENTED_EDGE('',*,*,#46116,.F.); #61238=ORIENTED_EDGE('',*,*,#46125,.F.); #61239=ORIENTED_EDGE('',*,*,#46122,.F.); #61240=ORIENTED_EDGE('',*,*,#46119,.F.); #61241=ORIENTED_EDGE('',*,*,#46128,.F.); #61242=ORIENTED_EDGE('',*,*,#46137,.F.); #61243=ORIENTED_EDGE('',*,*,#46134,.F.); #61244=ORIENTED_EDGE('',*,*,#46131,.F.); #61245=ORIENTED_EDGE('',*,*,#46140,.F.); #61246=ORIENTED_EDGE('',*,*,#46149,.F.); #61247=ORIENTED_EDGE('',*,*,#46146,.F.); #61248=ORIENTED_EDGE('',*,*,#46143,.F.); #61249=ORIENTED_EDGE('',*,*,#46152,.F.); #61250=ORIENTED_EDGE('',*,*,#46161,.F.); #61251=ORIENTED_EDGE('',*,*,#46158,.F.); #61252=ORIENTED_EDGE('',*,*,#46155,.F.); #61253=ORIENTED_EDGE('',*,*,#46164,.F.); #61254=ORIENTED_EDGE('',*,*,#46173,.F.); #61255=ORIENTED_EDGE('',*,*,#46170,.F.); #61256=ORIENTED_EDGE('',*,*,#46167,.F.); #61257=ORIENTED_EDGE('',*,*,#46176,.F.); #61258=ORIENTED_EDGE('',*,*,#46185,.F.); #61259=ORIENTED_EDGE('',*,*,#46182,.F.); #61260=ORIENTED_EDGE('',*,*,#46179,.F.); #61261=ORIENTED_EDGE('',*,*,#46188,.F.); #61262=ORIENTED_EDGE('',*,*,#46197,.F.); #61263=ORIENTED_EDGE('',*,*,#46194,.F.); #61264=ORIENTED_EDGE('',*,*,#46191,.F.); #61265=ORIENTED_EDGE('',*,*,#46200,.F.); #61266=ORIENTED_EDGE('',*,*,#46209,.F.); #61267=ORIENTED_EDGE('',*,*,#46206,.F.); #61268=ORIENTED_EDGE('',*,*,#46203,.F.); #61269=ORIENTED_EDGE('',*,*,#46212,.F.); #61270=ORIENTED_EDGE('',*,*,#46221,.F.); #61271=ORIENTED_EDGE('',*,*,#46218,.F.); #61272=ORIENTED_EDGE('',*,*,#46215,.F.); #61273=ORIENTED_EDGE('',*,*,#46224,.F.); #61274=ORIENTED_EDGE('',*,*,#46233,.F.); #61275=ORIENTED_EDGE('',*,*,#46230,.F.); #61276=ORIENTED_EDGE('',*,*,#46227,.F.); #61277=ORIENTED_EDGE('',*,*,#46237,.F.); #61278=ORIENTED_EDGE('',*,*,#46238,.T.); #61279=ORIENTED_EDGE('',*,*,#46239,.T.); #61280=ORIENTED_EDGE('',*,*,#46240,.F.); #61281=ORIENTED_EDGE('',*,*,#46241,.F.); #61282=ORIENTED_EDGE('',*,*,#46240,.T.); #61283=ORIENTED_EDGE('',*,*,#46242,.T.); #61284=ORIENTED_EDGE('',*,*,#46243,.F.); #61285=ORIENTED_EDGE('',*,*,#46244,.F.); #61286=ORIENTED_EDGE('',*,*,#46243,.T.); #61287=ORIENTED_EDGE('',*,*,#46245,.T.); #61288=ORIENTED_EDGE('',*,*,#46246,.F.); #61289=ORIENTED_EDGE('',*,*,#46247,.F.); #61290=ORIENTED_EDGE('',*,*,#46246,.T.); #61291=ORIENTED_EDGE('',*,*,#46248,.T.); #61292=ORIENTED_EDGE('',*,*,#46249,.F.); #61293=ORIENTED_EDGE('',*,*,#46250,.F.); #61294=ORIENTED_EDGE('',*,*,#46249,.T.); #61295=ORIENTED_EDGE('',*,*,#46251,.T.); #61296=ORIENTED_EDGE('',*,*,#46252,.F.); #61297=ORIENTED_EDGE('',*,*,#46253,.F.); #61298=ORIENTED_EDGE('',*,*,#46252,.T.); #61299=ORIENTED_EDGE('',*,*,#46254,.T.); #61300=ORIENTED_EDGE('',*,*,#46255,.F.); #61301=ORIENTED_EDGE('',*,*,#46256,.F.); #61302=ORIENTED_EDGE('',*,*,#46255,.T.); #61303=ORIENTED_EDGE('',*,*,#46257,.T.); #61304=ORIENTED_EDGE('',*,*,#46258,.F.); #61305=ORIENTED_EDGE('',*,*,#46259,.F.); #61306=ORIENTED_EDGE('',*,*,#46258,.T.); #61307=ORIENTED_EDGE('',*,*,#46260,.T.); #61308=ORIENTED_EDGE('',*,*,#46261,.F.); #61309=ORIENTED_EDGE('',*,*,#46262,.F.); #61310=ORIENTED_EDGE('',*,*,#46261,.T.); #61311=ORIENTED_EDGE('',*,*,#46263,.T.); #61312=ORIENTED_EDGE('',*,*,#46264,.F.); #61313=ORIENTED_EDGE('',*,*,#46265,.F.); #61314=ORIENTED_EDGE('',*,*,#46264,.T.); #61315=ORIENTED_EDGE('',*,*,#46266,.T.); #61316=ORIENTED_EDGE('',*,*,#46267,.F.); #61317=ORIENTED_EDGE('',*,*,#46268,.F.); #61318=ORIENTED_EDGE('',*,*,#46267,.T.); #61319=ORIENTED_EDGE('',*,*,#46269,.T.); #61320=ORIENTED_EDGE('',*,*,#46270,.F.); #61321=ORIENTED_EDGE('',*,*,#46271,.F.); #61322=ORIENTED_EDGE('',*,*,#46270,.T.); #61323=ORIENTED_EDGE('',*,*,#46272,.T.); #61324=ORIENTED_EDGE('',*,*,#46273,.F.); #61325=ORIENTED_EDGE('',*,*,#46274,.F.); #61326=ORIENTED_EDGE('',*,*,#46273,.T.); #61327=ORIENTED_EDGE('',*,*,#46275,.T.); #61328=ORIENTED_EDGE('',*,*,#46276,.F.); #61329=ORIENTED_EDGE('',*,*,#46277,.F.); #61330=ORIENTED_EDGE('',*,*,#46276,.T.); #61331=ORIENTED_EDGE('',*,*,#46278,.T.); #61332=ORIENTED_EDGE('',*,*,#46279,.F.); #61333=ORIENTED_EDGE('',*,*,#46280,.F.); #61334=ORIENTED_EDGE('',*,*,#46279,.T.); #61335=ORIENTED_EDGE('',*,*,#46281,.T.); #61336=ORIENTED_EDGE('',*,*,#46282,.F.); #61337=ORIENTED_EDGE('',*,*,#46283,.F.); #61338=ORIENTED_EDGE('',*,*,#46282,.T.); #61339=ORIENTED_EDGE('',*,*,#46284,.T.); #61340=ORIENTED_EDGE('',*,*,#46285,.F.); #61341=ORIENTED_EDGE('',*,*,#46286,.F.); #61342=ORIENTED_EDGE('',*,*,#46285,.T.); #61343=ORIENTED_EDGE('',*,*,#46287,.T.); #61344=ORIENTED_EDGE('',*,*,#46288,.F.); #61345=ORIENTED_EDGE('',*,*,#46289,.F.); #61346=ORIENTED_EDGE('',*,*,#46288,.T.); #61347=ORIENTED_EDGE('',*,*,#46290,.T.); #61348=ORIENTED_EDGE('',*,*,#46291,.F.); #61349=ORIENTED_EDGE('',*,*,#46292,.F.); #61350=ORIENTED_EDGE('',*,*,#46291,.T.); #61351=ORIENTED_EDGE('',*,*,#46293,.T.); #61352=ORIENTED_EDGE('',*,*,#46294,.F.); #61353=ORIENTED_EDGE('',*,*,#46295,.F.); #61354=ORIENTED_EDGE('',*,*,#46294,.T.); #61355=ORIENTED_EDGE('',*,*,#46296,.T.); #61356=ORIENTED_EDGE('',*,*,#46238,.F.); #61357=ORIENTED_EDGE('',*,*,#46297,.F.); #61358=ORIENTED_EDGE('',*,*,#46298,.T.); #61359=ORIENTED_EDGE('',*,*,#46299,.T.); #61360=ORIENTED_EDGE('',*,*,#46300,.F.); #61361=ORIENTED_EDGE('',*,*,#46301,.F.); #61362=ORIENTED_EDGE('',*,*,#46302,.T.); #61363=ORIENTED_EDGE('',*,*,#46303,.T.); #61364=ORIENTED_EDGE('',*,*,#46298,.F.); #61365=ORIENTED_EDGE('',*,*,#46304,.F.); #61366=ORIENTED_EDGE('',*,*,#46305,.T.); #61367=ORIENTED_EDGE('',*,*,#46306,.T.); #61368=ORIENTED_EDGE('',*,*,#46302,.F.); #61369=ORIENTED_EDGE('',*,*,#46307,.F.); #61370=ORIENTED_EDGE('',*,*,#46308,.T.); #61371=ORIENTED_EDGE('',*,*,#46309,.T.); #61372=ORIENTED_EDGE('',*,*,#46305,.F.); #61373=ORIENTED_EDGE('',*,*,#46310,.F.); #61374=ORIENTED_EDGE('',*,*,#46311,.T.); #61375=ORIENTED_EDGE('',*,*,#46312,.T.); #61376=ORIENTED_EDGE('',*,*,#46308,.F.); #61377=ORIENTED_EDGE('',*,*,#46313,.F.); #61378=ORIENTED_EDGE('',*,*,#46314,.T.); #61379=ORIENTED_EDGE('',*,*,#46315,.T.); #61380=ORIENTED_EDGE('',*,*,#46311,.F.); #61381=ORIENTED_EDGE('',*,*,#46316,.F.); #61382=ORIENTED_EDGE('',*,*,#46317,.T.); #61383=ORIENTED_EDGE('',*,*,#46318,.T.); #61384=ORIENTED_EDGE('',*,*,#46314,.F.); #61385=ORIENTED_EDGE('',*,*,#46319,.F.); #61386=ORIENTED_EDGE('',*,*,#46320,.T.); #61387=ORIENTED_EDGE('',*,*,#46321,.T.); #61388=ORIENTED_EDGE('',*,*,#46317,.F.); #61389=ORIENTED_EDGE('',*,*,#46322,.F.); #61390=ORIENTED_EDGE('',*,*,#46323,.T.); #61391=ORIENTED_EDGE('',*,*,#46324,.T.); #61392=ORIENTED_EDGE('',*,*,#46320,.F.); #61393=ORIENTED_EDGE('',*,*,#46325,.F.); #61394=ORIENTED_EDGE('',*,*,#46326,.T.); #61395=ORIENTED_EDGE('',*,*,#46327,.T.); #61396=ORIENTED_EDGE('',*,*,#46323,.F.); #61397=ORIENTED_EDGE('',*,*,#46328,.F.); #61398=ORIENTED_EDGE('',*,*,#46329,.T.); #61399=ORIENTED_EDGE('',*,*,#46330,.T.); #61400=ORIENTED_EDGE('',*,*,#46326,.F.); #61401=ORIENTED_EDGE('',*,*,#46331,.F.); #61402=ORIENTED_EDGE('',*,*,#46332,.T.); #61403=ORIENTED_EDGE('',*,*,#46333,.T.); #61404=ORIENTED_EDGE('',*,*,#46329,.F.); #61405=ORIENTED_EDGE('',*,*,#46334,.F.); #61406=ORIENTED_EDGE('',*,*,#46335,.T.); #61407=ORIENTED_EDGE('',*,*,#46336,.T.); #61408=ORIENTED_EDGE('',*,*,#46332,.F.); #61409=ORIENTED_EDGE('',*,*,#46337,.F.); #61410=ORIENTED_EDGE('',*,*,#46338,.T.); #61411=ORIENTED_EDGE('',*,*,#46339,.T.); #61412=ORIENTED_EDGE('',*,*,#46335,.F.); #61413=ORIENTED_EDGE('',*,*,#46340,.F.); #61414=ORIENTED_EDGE('',*,*,#46341,.T.); #61415=ORIENTED_EDGE('',*,*,#46342,.T.); #61416=ORIENTED_EDGE('',*,*,#46338,.F.); #61417=ORIENTED_EDGE('',*,*,#46343,.F.); #61418=ORIENTED_EDGE('',*,*,#46344,.T.); #61419=ORIENTED_EDGE('',*,*,#46345,.T.); #61420=ORIENTED_EDGE('',*,*,#46341,.F.); #61421=ORIENTED_EDGE('',*,*,#46346,.F.); #61422=ORIENTED_EDGE('',*,*,#46347,.T.); #61423=ORIENTED_EDGE('',*,*,#46348,.T.); #61424=ORIENTED_EDGE('',*,*,#46344,.F.); #61425=ORIENTED_EDGE('',*,*,#46349,.F.); #61426=ORIENTED_EDGE('',*,*,#46350,.T.); #61427=ORIENTED_EDGE('',*,*,#46351,.T.); #61428=ORIENTED_EDGE('',*,*,#46347,.F.); #61429=ORIENTED_EDGE('',*,*,#46352,.F.); #61430=ORIENTED_EDGE('',*,*,#46353,.T.); #61431=ORIENTED_EDGE('',*,*,#46354,.T.); #61432=ORIENTED_EDGE('',*,*,#46350,.F.); #61433=ORIENTED_EDGE('',*,*,#46355,.F.); #61434=ORIENTED_EDGE('',*,*,#46356,.T.); #61435=ORIENTED_EDGE('',*,*,#46357,.T.); #61436=ORIENTED_EDGE('',*,*,#46353,.F.); #61437=ORIENTED_EDGE('',*,*,#46358,.F.); #61438=ORIENTED_EDGE('',*,*,#46359,.T.); #61439=ORIENTED_EDGE('',*,*,#46360,.T.); #61440=ORIENTED_EDGE('',*,*,#46356,.F.); #61441=ORIENTED_EDGE('',*,*,#46361,.F.); #61442=ORIENTED_EDGE('',*,*,#46362,.T.); #61443=ORIENTED_EDGE('',*,*,#46363,.T.); #61444=ORIENTED_EDGE('',*,*,#46359,.F.); #61445=ORIENTED_EDGE('',*,*,#46364,.F.); #61446=ORIENTED_EDGE('',*,*,#46365,.T.); #61447=ORIENTED_EDGE('',*,*,#46366,.T.); #61448=ORIENTED_EDGE('',*,*,#46362,.F.); #61449=ORIENTED_EDGE('',*,*,#46367,.F.); #61450=ORIENTED_EDGE('',*,*,#46368,.T.); #61451=ORIENTED_EDGE('',*,*,#46369,.T.); #61452=ORIENTED_EDGE('',*,*,#46365,.F.); #61453=ORIENTED_EDGE('',*,*,#46370,.F.); #61454=ORIENTED_EDGE('',*,*,#46371,.T.); #61455=ORIENTED_EDGE('',*,*,#46372,.T.); #61456=ORIENTED_EDGE('',*,*,#46368,.F.); #61457=ORIENTED_EDGE('',*,*,#46373,.F.); #61458=ORIENTED_EDGE('',*,*,#46374,.T.); #61459=ORIENTED_EDGE('',*,*,#46375,.T.); #61460=ORIENTED_EDGE('',*,*,#46371,.F.); #61461=ORIENTED_EDGE('',*,*,#46376,.F.); #61462=ORIENTED_EDGE('',*,*,#46377,.T.); #61463=ORIENTED_EDGE('',*,*,#46378,.T.); #61464=ORIENTED_EDGE('',*,*,#46374,.F.); #61465=ORIENTED_EDGE('',*,*,#46379,.F.); #61466=ORIENTED_EDGE('',*,*,#46380,.T.); #61467=ORIENTED_EDGE('',*,*,#46381,.T.); #61468=ORIENTED_EDGE('',*,*,#46377,.F.); #61469=ORIENTED_EDGE('',*,*,#46382,.F.); #61470=ORIENTED_EDGE('',*,*,#46383,.T.); #61471=ORIENTED_EDGE('',*,*,#46384,.T.); #61472=ORIENTED_EDGE('',*,*,#46380,.F.); #61473=ORIENTED_EDGE('',*,*,#46385,.F.); #61474=ORIENTED_EDGE('',*,*,#46386,.T.); #61475=ORIENTED_EDGE('',*,*,#46387,.T.); #61476=ORIENTED_EDGE('',*,*,#46383,.F.); #61477=ORIENTED_EDGE('',*,*,#46388,.F.); #61478=ORIENTED_EDGE('',*,*,#46389,.T.); #61479=ORIENTED_EDGE('',*,*,#46390,.T.); #61480=ORIENTED_EDGE('',*,*,#46386,.F.); #61481=ORIENTED_EDGE('',*,*,#46391,.F.); #61482=ORIENTED_EDGE('',*,*,#46392,.T.); #61483=ORIENTED_EDGE('',*,*,#46393,.T.); #61484=ORIENTED_EDGE('',*,*,#46389,.F.); #61485=ORIENTED_EDGE('',*,*,#46394,.F.); #61486=ORIENTED_EDGE('',*,*,#46395,.T.); #61487=ORIENTED_EDGE('',*,*,#46396,.T.); #61488=ORIENTED_EDGE('',*,*,#46392,.F.); #61489=ORIENTED_EDGE('',*,*,#46397,.F.); #61490=ORIENTED_EDGE('',*,*,#46398,.T.); #61491=ORIENTED_EDGE('',*,*,#46399,.T.); #61492=ORIENTED_EDGE('',*,*,#46395,.F.); #61493=ORIENTED_EDGE('',*,*,#46400,.F.); #61494=ORIENTED_EDGE('',*,*,#46401,.T.); #61495=ORIENTED_EDGE('',*,*,#46402,.T.); #61496=ORIENTED_EDGE('',*,*,#46398,.F.); #61497=ORIENTED_EDGE('',*,*,#46403,.F.); #61498=ORIENTED_EDGE('',*,*,#46404,.T.); #61499=ORIENTED_EDGE('',*,*,#46405,.T.); #61500=ORIENTED_EDGE('',*,*,#46401,.F.); #61501=ORIENTED_EDGE('',*,*,#46406,.F.); #61502=ORIENTED_EDGE('',*,*,#46407,.T.); #61503=ORIENTED_EDGE('',*,*,#46408,.T.); #61504=ORIENTED_EDGE('',*,*,#46404,.F.); #61505=ORIENTED_EDGE('',*,*,#46409,.F.); #61506=ORIENTED_EDGE('',*,*,#46410,.T.); #61507=ORIENTED_EDGE('',*,*,#46411,.T.); #61508=ORIENTED_EDGE('',*,*,#46407,.F.); #61509=ORIENTED_EDGE('',*,*,#46412,.F.); #61510=ORIENTED_EDGE('',*,*,#46413,.T.); #61511=ORIENTED_EDGE('',*,*,#46414,.T.); #61512=ORIENTED_EDGE('',*,*,#46410,.F.); #61513=ORIENTED_EDGE('',*,*,#46415,.F.); #61514=ORIENTED_EDGE('',*,*,#46300,.T.); #61515=ORIENTED_EDGE('',*,*,#46416,.T.); #61516=ORIENTED_EDGE('',*,*,#46413,.F.); #61517=ORIENTED_EDGE('',*,*,#46416,.F.); #61518=ORIENTED_EDGE('',*,*,#46299,.F.); #61519=ORIENTED_EDGE('',*,*,#46303,.F.); #61520=ORIENTED_EDGE('',*,*,#46306,.F.); #61521=ORIENTED_EDGE('',*,*,#46309,.F.); #61522=ORIENTED_EDGE('',*,*,#46312,.F.); #61523=ORIENTED_EDGE('',*,*,#46315,.F.); #61524=ORIENTED_EDGE('',*,*,#46318,.F.); #61525=ORIENTED_EDGE('',*,*,#46321,.F.); #61526=ORIENTED_EDGE('',*,*,#46324,.F.); #61527=ORIENTED_EDGE('',*,*,#46327,.F.); #61528=ORIENTED_EDGE('',*,*,#46330,.F.); #61529=ORIENTED_EDGE('',*,*,#46333,.F.); #61530=ORIENTED_EDGE('',*,*,#46336,.F.); #61531=ORIENTED_EDGE('',*,*,#46339,.F.); #61532=ORIENTED_EDGE('',*,*,#46342,.F.); #61533=ORIENTED_EDGE('',*,*,#46345,.F.); #61534=ORIENTED_EDGE('',*,*,#46348,.F.); #61535=ORIENTED_EDGE('',*,*,#46351,.F.); #61536=ORIENTED_EDGE('',*,*,#46354,.F.); #61537=ORIENTED_EDGE('',*,*,#46357,.F.); #61538=ORIENTED_EDGE('',*,*,#46360,.F.); #61539=ORIENTED_EDGE('',*,*,#46363,.F.); #61540=ORIENTED_EDGE('',*,*,#46366,.F.); #61541=ORIENTED_EDGE('',*,*,#46369,.F.); #61542=ORIENTED_EDGE('',*,*,#46372,.F.); #61543=ORIENTED_EDGE('',*,*,#46375,.F.); #61544=ORIENTED_EDGE('',*,*,#46378,.F.); #61545=ORIENTED_EDGE('',*,*,#46381,.F.); #61546=ORIENTED_EDGE('',*,*,#46384,.F.); #61547=ORIENTED_EDGE('',*,*,#46387,.F.); #61548=ORIENTED_EDGE('',*,*,#46390,.F.); #61549=ORIENTED_EDGE('',*,*,#46393,.F.); #61550=ORIENTED_EDGE('',*,*,#46396,.F.); #61551=ORIENTED_EDGE('',*,*,#46399,.F.); #61552=ORIENTED_EDGE('',*,*,#46402,.F.); #61553=ORIENTED_EDGE('',*,*,#46405,.F.); #61554=ORIENTED_EDGE('',*,*,#46408,.F.); #61555=ORIENTED_EDGE('',*,*,#46411,.F.); #61556=ORIENTED_EDGE('',*,*,#46414,.F.); #61557=ORIENTED_EDGE('',*,*,#46239,.F.); #61558=ORIENTED_EDGE('',*,*,#46296,.F.); #61559=ORIENTED_EDGE('',*,*,#46293,.F.); #61560=ORIENTED_EDGE('',*,*,#46290,.F.); #61561=ORIENTED_EDGE('',*,*,#46287,.F.); #61562=ORIENTED_EDGE('',*,*,#46284,.F.); #61563=ORIENTED_EDGE('',*,*,#46281,.F.); #61564=ORIENTED_EDGE('',*,*,#46278,.F.); #61565=ORIENTED_EDGE('',*,*,#46275,.F.); #61566=ORIENTED_EDGE('',*,*,#46272,.F.); #61567=ORIENTED_EDGE('',*,*,#46269,.F.); #61568=ORIENTED_EDGE('',*,*,#46266,.F.); #61569=ORIENTED_EDGE('',*,*,#46263,.F.); #61570=ORIENTED_EDGE('',*,*,#46260,.F.); #61571=ORIENTED_EDGE('',*,*,#46257,.F.); #61572=ORIENTED_EDGE('',*,*,#46254,.F.); #61573=ORIENTED_EDGE('',*,*,#46251,.F.); #61574=ORIENTED_EDGE('',*,*,#46248,.F.); #61575=ORIENTED_EDGE('',*,*,#46245,.F.); #61576=ORIENTED_EDGE('',*,*,#46242,.F.); #61577=ORIENTED_EDGE('',*,*,#46417,.F.); #61578=ORIENTED_EDGE('',*,*,#46418,.T.); #61579=ORIENTED_EDGE('',*,*,#46419,.T.); #61580=ORIENTED_EDGE('',*,*,#46420,.F.); #61581=ORIENTED_EDGE('',*,*,#46421,.F.); #61582=ORIENTED_EDGE('',*,*,#46422,.T.); #61583=ORIENTED_EDGE('',*,*,#46423,.T.); #61584=ORIENTED_EDGE('',*,*,#46418,.F.); #61585=ORIENTED_EDGE('',*,*,#46424,.F.); #61586=ORIENTED_EDGE('',*,*,#46425,.T.); #61587=ORIENTED_EDGE('',*,*,#46426,.T.); #61588=ORIENTED_EDGE('',*,*,#46422,.F.); #61589=ORIENTED_EDGE('',*,*,#46427,.F.); #61590=ORIENTED_EDGE('',*,*,#46428,.T.); #61591=ORIENTED_EDGE('',*,*,#46429,.T.); #61592=ORIENTED_EDGE('',*,*,#46425,.F.); #61593=ORIENTED_EDGE('',*,*,#46430,.F.); #61594=ORIENTED_EDGE('',*,*,#46431,.T.); #61595=ORIENTED_EDGE('',*,*,#46432,.T.); #61596=ORIENTED_EDGE('',*,*,#46428,.F.); #61597=ORIENTED_EDGE('',*,*,#46433,.F.); #61598=ORIENTED_EDGE('',*,*,#46434,.T.); #61599=ORIENTED_EDGE('',*,*,#46435,.T.); #61600=ORIENTED_EDGE('',*,*,#46431,.F.); #61601=ORIENTED_EDGE('',*,*,#46436,.F.); #61602=ORIENTED_EDGE('',*,*,#46437,.T.); #61603=ORIENTED_EDGE('',*,*,#46438,.T.); #61604=ORIENTED_EDGE('',*,*,#46434,.F.); #61605=ORIENTED_EDGE('',*,*,#46439,.F.); #61606=ORIENTED_EDGE('',*,*,#46440,.T.); #61607=ORIENTED_EDGE('',*,*,#46441,.T.); #61608=ORIENTED_EDGE('',*,*,#46437,.F.); #61609=ORIENTED_EDGE('',*,*,#46442,.F.); #61610=ORIENTED_EDGE('',*,*,#46443,.T.); #61611=ORIENTED_EDGE('',*,*,#46444,.T.); #61612=ORIENTED_EDGE('',*,*,#46440,.F.); #61613=ORIENTED_EDGE('',*,*,#46445,.F.); #61614=ORIENTED_EDGE('',*,*,#46446,.T.); #61615=ORIENTED_EDGE('',*,*,#46447,.T.); #61616=ORIENTED_EDGE('',*,*,#46443,.F.); #61617=ORIENTED_EDGE('',*,*,#46448,.F.); #61618=ORIENTED_EDGE('',*,*,#46449,.T.); #61619=ORIENTED_EDGE('',*,*,#46450,.T.); #61620=ORIENTED_EDGE('',*,*,#46446,.F.); #61621=ORIENTED_EDGE('',*,*,#46451,.F.); #61622=ORIENTED_EDGE('',*,*,#46452,.T.); #61623=ORIENTED_EDGE('',*,*,#46453,.T.); #61624=ORIENTED_EDGE('',*,*,#46449,.F.); #61625=ORIENTED_EDGE('',*,*,#46454,.F.); #61626=ORIENTED_EDGE('',*,*,#46455,.T.); #61627=ORIENTED_EDGE('',*,*,#46456,.T.); #61628=ORIENTED_EDGE('',*,*,#46452,.F.); #61629=ORIENTED_EDGE('',*,*,#46457,.F.); #61630=ORIENTED_EDGE('',*,*,#46458,.T.); #61631=ORIENTED_EDGE('',*,*,#46459,.T.); #61632=ORIENTED_EDGE('',*,*,#46455,.F.); #61633=ORIENTED_EDGE('',*,*,#46460,.F.); #61634=ORIENTED_EDGE('',*,*,#46461,.T.); #61635=ORIENTED_EDGE('',*,*,#46462,.T.); #61636=ORIENTED_EDGE('',*,*,#46458,.F.); #61637=ORIENTED_EDGE('',*,*,#46463,.F.); #61638=ORIENTED_EDGE('',*,*,#46464,.T.); #61639=ORIENTED_EDGE('',*,*,#46465,.T.); #61640=ORIENTED_EDGE('',*,*,#46461,.F.); #61641=ORIENTED_EDGE('',*,*,#46466,.F.); #61642=ORIENTED_EDGE('',*,*,#46467,.T.); #61643=ORIENTED_EDGE('',*,*,#46468,.T.); #61644=ORIENTED_EDGE('',*,*,#46464,.F.); #61645=ORIENTED_EDGE('',*,*,#46469,.F.); #61646=ORIENTED_EDGE('',*,*,#46470,.T.); #61647=ORIENTED_EDGE('',*,*,#46471,.T.); #61648=ORIENTED_EDGE('',*,*,#46467,.F.); #61649=ORIENTED_EDGE('',*,*,#46472,.F.); #61650=ORIENTED_EDGE('',*,*,#46473,.T.); #61651=ORIENTED_EDGE('',*,*,#46474,.T.); #61652=ORIENTED_EDGE('',*,*,#46470,.F.); #61653=ORIENTED_EDGE('',*,*,#46475,.F.); #61654=ORIENTED_EDGE('',*,*,#46476,.T.); #61655=ORIENTED_EDGE('',*,*,#46477,.T.); #61656=ORIENTED_EDGE('',*,*,#46473,.F.); #61657=ORIENTED_EDGE('',*,*,#46478,.F.); #61658=ORIENTED_EDGE('',*,*,#46479,.T.); #61659=ORIENTED_EDGE('',*,*,#46480,.T.); #61660=ORIENTED_EDGE('',*,*,#46476,.F.); #61661=ORIENTED_EDGE('',*,*,#46481,.F.); #61662=ORIENTED_EDGE('',*,*,#46482,.T.); #61663=ORIENTED_EDGE('',*,*,#46483,.T.); #61664=ORIENTED_EDGE('',*,*,#46479,.F.); #61665=ORIENTED_EDGE('',*,*,#46484,.F.); #61666=ORIENTED_EDGE('',*,*,#46485,.T.); #61667=ORIENTED_EDGE('',*,*,#46486,.T.); #61668=ORIENTED_EDGE('',*,*,#46482,.F.); #61669=ORIENTED_EDGE('',*,*,#46487,.F.); #61670=ORIENTED_EDGE('',*,*,#46488,.T.); #61671=ORIENTED_EDGE('',*,*,#46489,.T.); #61672=ORIENTED_EDGE('',*,*,#46485,.F.); #61673=ORIENTED_EDGE('',*,*,#46490,.F.); #61674=ORIENTED_EDGE('',*,*,#46491,.T.); #61675=ORIENTED_EDGE('',*,*,#46492,.T.); #61676=ORIENTED_EDGE('',*,*,#46488,.F.); #61677=ORIENTED_EDGE('',*,*,#46493,.F.); #61678=ORIENTED_EDGE('',*,*,#46494,.T.); #61679=ORIENTED_EDGE('',*,*,#46495,.T.); #61680=ORIENTED_EDGE('',*,*,#46491,.F.); #61681=ORIENTED_EDGE('',*,*,#46496,.F.); #61682=ORIENTED_EDGE('',*,*,#46497,.T.); #61683=ORIENTED_EDGE('',*,*,#46498,.T.); #61684=ORIENTED_EDGE('',*,*,#46494,.F.); #61685=ORIENTED_EDGE('',*,*,#46499,.F.); #61686=ORIENTED_EDGE('',*,*,#46500,.T.); #61687=ORIENTED_EDGE('',*,*,#46501,.T.); #61688=ORIENTED_EDGE('',*,*,#46497,.F.); #61689=ORIENTED_EDGE('',*,*,#46502,.F.); #61690=ORIENTED_EDGE('',*,*,#46503,.T.); #61691=ORIENTED_EDGE('',*,*,#46504,.T.); #61692=ORIENTED_EDGE('',*,*,#46500,.F.); #61693=ORIENTED_EDGE('',*,*,#46505,.F.); #61694=ORIENTED_EDGE('',*,*,#46506,.T.); #61695=ORIENTED_EDGE('',*,*,#46507,.T.); #61696=ORIENTED_EDGE('',*,*,#46503,.F.); #61697=ORIENTED_EDGE('',*,*,#46508,.F.); #61698=ORIENTED_EDGE('',*,*,#46509,.T.); #61699=ORIENTED_EDGE('',*,*,#46510,.T.); #61700=ORIENTED_EDGE('',*,*,#46506,.F.); #61701=ORIENTED_EDGE('',*,*,#46511,.F.); #61702=ORIENTED_EDGE('',*,*,#46512,.T.); #61703=ORIENTED_EDGE('',*,*,#46513,.T.); #61704=ORIENTED_EDGE('',*,*,#46509,.F.); #61705=ORIENTED_EDGE('',*,*,#46514,.F.); #61706=ORIENTED_EDGE('',*,*,#46515,.T.); #61707=ORIENTED_EDGE('',*,*,#46516,.T.); #61708=ORIENTED_EDGE('',*,*,#46512,.F.); #61709=ORIENTED_EDGE('',*,*,#46517,.F.); #61710=ORIENTED_EDGE('',*,*,#46518,.T.); #61711=ORIENTED_EDGE('',*,*,#46519,.T.); #61712=ORIENTED_EDGE('',*,*,#46515,.F.); #61713=ORIENTED_EDGE('',*,*,#46520,.F.); #61714=ORIENTED_EDGE('',*,*,#46521,.T.); #61715=ORIENTED_EDGE('',*,*,#46522,.T.); #61716=ORIENTED_EDGE('',*,*,#46518,.F.); #61717=ORIENTED_EDGE('',*,*,#46523,.F.); #61718=ORIENTED_EDGE('',*,*,#46524,.T.); #61719=ORIENTED_EDGE('',*,*,#46525,.T.); #61720=ORIENTED_EDGE('',*,*,#46521,.F.); #61721=ORIENTED_EDGE('',*,*,#46526,.F.); #61722=ORIENTED_EDGE('',*,*,#46527,.T.); #61723=ORIENTED_EDGE('',*,*,#46528,.T.); #61724=ORIENTED_EDGE('',*,*,#46524,.F.); #61725=ORIENTED_EDGE('',*,*,#46529,.F.); #61726=ORIENTED_EDGE('',*,*,#46530,.T.); #61727=ORIENTED_EDGE('',*,*,#46531,.T.); #61728=ORIENTED_EDGE('',*,*,#46527,.F.); #61729=ORIENTED_EDGE('',*,*,#46532,.F.); #61730=ORIENTED_EDGE('',*,*,#46533,.T.); #61731=ORIENTED_EDGE('',*,*,#46534,.T.); #61732=ORIENTED_EDGE('',*,*,#46530,.F.); #61733=ORIENTED_EDGE('',*,*,#46535,.F.); #61734=ORIENTED_EDGE('',*,*,#46536,.T.); #61735=ORIENTED_EDGE('',*,*,#46537,.T.); #61736=ORIENTED_EDGE('',*,*,#46533,.F.); #61737=ORIENTED_EDGE('',*,*,#46538,.F.); #61738=ORIENTED_EDGE('',*,*,#46539,.T.); #61739=ORIENTED_EDGE('',*,*,#46540,.T.); #61740=ORIENTED_EDGE('',*,*,#46536,.F.); #61741=ORIENTED_EDGE('',*,*,#46541,.F.); #61742=ORIENTED_EDGE('',*,*,#46542,.T.); #61743=ORIENTED_EDGE('',*,*,#46543,.T.); #61744=ORIENTED_EDGE('',*,*,#46539,.F.); #61745=ORIENTED_EDGE('',*,*,#46544,.F.); #61746=ORIENTED_EDGE('',*,*,#46545,.T.); #61747=ORIENTED_EDGE('',*,*,#46546,.T.); #61748=ORIENTED_EDGE('',*,*,#46542,.F.); #61749=ORIENTED_EDGE('',*,*,#46547,.F.); #61750=ORIENTED_EDGE('',*,*,#46548,.T.); #61751=ORIENTED_EDGE('',*,*,#46549,.T.); #61752=ORIENTED_EDGE('',*,*,#46545,.F.); #61753=ORIENTED_EDGE('',*,*,#46550,.F.); #61754=ORIENTED_EDGE('',*,*,#46551,.T.); #61755=ORIENTED_EDGE('',*,*,#46552,.T.); #61756=ORIENTED_EDGE('',*,*,#46548,.F.); #61757=ORIENTED_EDGE('',*,*,#46553,.F.); #61758=ORIENTED_EDGE('',*,*,#46554,.T.); #61759=ORIENTED_EDGE('',*,*,#46555,.T.); #61760=ORIENTED_EDGE('',*,*,#46551,.F.); #61761=ORIENTED_EDGE('',*,*,#46556,.F.); #61762=ORIENTED_EDGE('',*,*,#46557,.T.); #61763=ORIENTED_EDGE('',*,*,#46558,.T.); #61764=ORIENTED_EDGE('',*,*,#46554,.F.); #61765=ORIENTED_EDGE('',*,*,#46559,.F.); #61766=ORIENTED_EDGE('',*,*,#46560,.T.); #61767=ORIENTED_EDGE('',*,*,#46561,.T.); #61768=ORIENTED_EDGE('',*,*,#46557,.F.); #61769=ORIENTED_EDGE('',*,*,#46562,.F.); #61770=ORIENTED_EDGE('',*,*,#46563,.T.); #61771=ORIENTED_EDGE('',*,*,#46564,.T.); #61772=ORIENTED_EDGE('',*,*,#46560,.F.); #61773=ORIENTED_EDGE('',*,*,#46565,.F.); #61774=ORIENTED_EDGE('',*,*,#46566,.T.); #61775=ORIENTED_EDGE('',*,*,#46567,.T.); #61776=ORIENTED_EDGE('',*,*,#46563,.F.); #61777=ORIENTED_EDGE('',*,*,#46568,.F.); #61778=ORIENTED_EDGE('',*,*,#46569,.T.); #61779=ORIENTED_EDGE('',*,*,#46570,.T.); #61780=ORIENTED_EDGE('',*,*,#46566,.F.); #61781=ORIENTED_EDGE('',*,*,#46571,.F.); #61782=ORIENTED_EDGE('',*,*,#46572,.T.); #61783=ORIENTED_EDGE('',*,*,#46573,.T.); #61784=ORIENTED_EDGE('',*,*,#46569,.F.); #61785=ORIENTED_EDGE('',*,*,#46574,.F.); #61786=ORIENTED_EDGE('',*,*,#46575,.T.); #61787=ORIENTED_EDGE('',*,*,#46576,.T.); #61788=ORIENTED_EDGE('',*,*,#46572,.F.); #61789=ORIENTED_EDGE('',*,*,#46577,.F.); #61790=ORIENTED_EDGE('',*,*,#46578,.T.); #61791=ORIENTED_EDGE('',*,*,#46579,.T.); #61792=ORIENTED_EDGE('',*,*,#46575,.F.); #61793=ORIENTED_EDGE('',*,*,#46580,.F.); #61794=ORIENTED_EDGE('',*,*,#46581,.T.); #61795=ORIENTED_EDGE('',*,*,#46582,.T.); #61796=ORIENTED_EDGE('',*,*,#46578,.F.); #61797=ORIENTED_EDGE('',*,*,#46583,.F.); #61798=ORIENTED_EDGE('',*,*,#46584,.T.); #61799=ORIENTED_EDGE('',*,*,#46585,.T.); #61800=ORIENTED_EDGE('',*,*,#46581,.F.); #61801=ORIENTED_EDGE('',*,*,#46586,.F.); #61802=ORIENTED_EDGE('',*,*,#46420,.T.); #61803=ORIENTED_EDGE('',*,*,#46587,.T.); #61804=ORIENTED_EDGE('',*,*,#46584,.F.); #61805=ORIENTED_EDGE('',*,*,#46587,.F.); #61806=ORIENTED_EDGE('',*,*,#46419,.F.); #61807=ORIENTED_EDGE('',*,*,#46423,.F.); #61808=ORIENTED_EDGE('',*,*,#46426,.F.); #61809=ORIENTED_EDGE('',*,*,#46429,.F.); #61810=ORIENTED_EDGE('',*,*,#46432,.F.); #61811=ORIENTED_EDGE('',*,*,#46435,.F.); #61812=ORIENTED_EDGE('',*,*,#46438,.F.); #61813=ORIENTED_EDGE('',*,*,#46441,.F.); #61814=ORIENTED_EDGE('',*,*,#46444,.F.); #61815=ORIENTED_EDGE('',*,*,#46447,.F.); #61816=ORIENTED_EDGE('',*,*,#46450,.F.); #61817=ORIENTED_EDGE('',*,*,#46453,.F.); #61818=ORIENTED_EDGE('',*,*,#46456,.F.); #61819=ORIENTED_EDGE('',*,*,#46459,.F.); #61820=ORIENTED_EDGE('',*,*,#46462,.F.); #61821=ORIENTED_EDGE('',*,*,#46465,.F.); #61822=ORIENTED_EDGE('',*,*,#46468,.F.); #61823=ORIENTED_EDGE('',*,*,#46471,.F.); #61824=ORIENTED_EDGE('',*,*,#46474,.F.); #61825=ORIENTED_EDGE('',*,*,#46477,.F.); #61826=ORIENTED_EDGE('',*,*,#46480,.F.); #61827=ORIENTED_EDGE('',*,*,#46483,.F.); #61828=ORIENTED_EDGE('',*,*,#46486,.F.); #61829=ORIENTED_EDGE('',*,*,#46489,.F.); #61830=ORIENTED_EDGE('',*,*,#46492,.F.); #61831=ORIENTED_EDGE('',*,*,#46495,.F.); #61832=ORIENTED_EDGE('',*,*,#46498,.F.); #61833=ORIENTED_EDGE('',*,*,#46501,.F.); #61834=ORIENTED_EDGE('',*,*,#46504,.F.); #61835=ORIENTED_EDGE('',*,*,#46507,.F.); #61836=ORIENTED_EDGE('',*,*,#46510,.F.); #61837=ORIENTED_EDGE('',*,*,#46513,.F.); #61838=ORIENTED_EDGE('',*,*,#46516,.F.); #61839=ORIENTED_EDGE('',*,*,#46519,.F.); #61840=ORIENTED_EDGE('',*,*,#46522,.F.); #61841=ORIENTED_EDGE('',*,*,#46525,.F.); #61842=ORIENTED_EDGE('',*,*,#46528,.F.); #61843=ORIENTED_EDGE('',*,*,#46531,.F.); #61844=ORIENTED_EDGE('',*,*,#46534,.F.); #61845=ORIENTED_EDGE('',*,*,#46537,.F.); #61846=ORIENTED_EDGE('',*,*,#46540,.F.); #61847=ORIENTED_EDGE('',*,*,#46543,.F.); #61848=ORIENTED_EDGE('',*,*,#46546,.F.); #61849=ORIENTED_EDGE('',*,*,#46549,.F.); #61850=ORIENTED_EDGE('',*,*,#46552,.F.); #61851=ORIENTED_EDGE('',*,*,#46555,.F.); #61852=ORIENTED_EDGE('',*,*,#46558,.F.); #61853=ORIENTED_EDGE('',*,*,#46561,.F.); #61854=ORIENTED_EDGE('',*,*,#46564,.F.); #61855=ORIENTED_EDGE('',*,*,#46567,.F.); #61856=ORIENTED_EDGE('',*,*,#46570,.F.); #61857=ORIENTED_EDGE('',*,*,#46573,.F.); #61858=ORIENTED_EDGE('',*,*,#46576,.F.); #61859=ORIENTED_EDGE('',*,*,#46579,.F.); #61860=ORIENTED_EDGE('',*,*,#46582,.F.); #61861=ORIENTED_EDGE('',*,*,#46585,.F.); #61862=ORIENTED_EDGE('',*,*,#46588,.F.); #61863=ORIENTED_EDGE('',*,*,#46589,.T.); #61864=ORIENTED_EDGE('',*,*,#46590,.T.); #61865=ORIENTED_EDGE('',*,*,#46591,.F.); #61866=ORIENTED_EDGE('',*,*,#46592,.F.); #61867=ORIENTED_EDGE('',*,*,#46591,.T.); #61868=ORIENTED_EDGE('',*,*,#46593,.T.); #61869=ORIENTED_EDGE('',*,*,#46594,.F.); #61870=ORIENTED_EDGE('',*,*,#46595,.F.); #61871=ORIENTED_EDGE('',*,*,#46594,.T.); #61872=ORIENTED_EDGE('',*,*,#46596,.T.); #61873=ORIENTED_EDGE('',*,*,#46597,.F.); #61874=ORIENTED_EDGE('',*,*,#46598,.F.); #61875=ORIENTED_EDGE('',*,*,#46597,.T.); #61876=ORIENTED_EDGE('',*,*,#46599,.T.); #61877=ORIENTED_EDGE('',*,*,#46600,.F.); #61878=ORIENTED_EDGE('',*,*,#46601,.F.); #61879=ORIENTED_EDGE('',*,*,#46600,.T.); #61880=ORIENTED_EDGE('',*,*,#46602,.T.); #61881=ORIENTED_EDGE('',*,*,#46603,.F.); #61882=ORIENTED_EDGE('',*,*,#46604,.F.); #61883=ORIENTED_EDGE('',*,*,#46603,.T.); #61884=ORIENTED_EDGE('',*,*,#46605,.T.); #61885=ORIENTED_EDGE('',*,*,#46606,.F.); #61886=ORIENTED_EDGE('',*,*,#46607,.F.); #61887=ORIENTED_EDGE('',*,*,#46606,.T.); #61888=ORIENTED_EDGE('',*,*,#46608,.T.); #61889=ORIENTED_EDGE('',*,*,#46609,.F.); #61890=ORIENTED_EDGE('',*,*,#46610,.F.); #61891=ORIENTED_EDGE('',*,*,#46609,.T.); #61892=ORIENTED_EDGE('',*,*,#46611,.T.); #61893=ORIENTED_EDGE('',*,*,#46612,.F.); #61894=ORIENTED_EDGE('',*,*,#46613,.F.); #61895=ORIENTED_EDGE('',*,*,#46612,.T.); #61896=ORIENTED_EDGE('',*,*,#46614,.T.); #61897=ORIENTED_EDGE('',*,*,#46615,.F.); #61898=ORIENTED_EDGE('',*,*,#46616,.F.); #61899=ORIENTED_EDGE('',*,*,#46615,.T.); #61900=ORIENTED_EDGE('',*,*,#46617,.T.); #61901=ORIENTED_EDGE('',*,*,#46618,.F.); #61902=ORIENTED_EDGE('',*,*,#46619,.F.); #61903=ORIENTED_EDGE('',*,*,#46618,.T.); #61904=ORIENTED_EDGE('',*,*,#46620,.T.); #61905=ORIENTED_EDGE('',*,*,#46621,.F.); #61906=ORIENTED_EDGE('',*,*,#46622,.F.); #61907=ORIENTED_EDGE('',*,*,#46621,.T.); #61908=ORIENTED_EDGE('',*,*,#46623,.T.); #61909=ORIENTED_EDGE('',*,*,#46624,.F.); #61910=ORIENTED_EDGE('',*,*,#46625,.F.); #61911=ORIENTED_EDGE('',*,*,#46624,.T.); #61912=ORIENTED_EDGE('',*,*,#46626,.T.); #61913=ORIENTED_EDGE('',*,*,#46627,.F.); #61914=ORIENTED_EDGE('',*,*,#46628,.F.); #61915=ORIENTED_EDGE('',*,*,#46627,.T.); #61916=ORIENTED_EDGE('',*,*,#46629,.T.); #61917=ORIENTED_EDGE('',*,*,#46630,.F.); #61918=ORIENTED_EDGE('',*,*,#46631,.F.); #61919=ORIENTED_EDGE('',*,*,#46630,.T.); #61920=ORIENTED_EDGE('',*,*,#46632,.T.); #61921=ORIENTED_EDGE('',*,*,#46633,.F.); #61922=ORIENTED_EDGE('',*,*,#46634,.F.); #61923=ORIENTED_EDGE('',*,*,#46633,.T.); #61924=ORIENTED_EDGE('',*,*,#46635,.T.); #61925=ORIENTED_EDGE('',*,*,#46589,.F.); #61926=ORIENTED_EDGE('',*,*,#46636,.F.); #61927=ORIENTED_EDGE('',*,*,#46637,.T.); #61928=ORIENTED_EDGE('',*,*,#46638,.T.); #61929=ORIENTED_EDGE('',*,*,#46639,.F.); #61930=ORIENTED_EDGE('',*,*,#46640,.F.); #61931=ORIENTED_EDGE('',*,*,#46639,.T.); #61932=ORIENTED_EDGE('',*,*,#46641,.T.); #61933=ORIENTED_EDGE('',*,*,#46642,.F.); #61934=ORIENTED_EDGE('',*,*,#46643,.F.); #61935=ORIENTED_EDGE('',*,*,#46642,.T.); #61936=ORIENTED_EDGE('',*,*,#46644,.T.); #61937=ORIENTED_EDGE('',*,*,#46645,.F.); #61938=ORIENTED_EDGE('',*,*,#46646,.F.); #61939=ORIENTED_EDGE('',*,*,#46645,.T.); #61940=ORIENTED_EDGE('',*,*,#46647,.T.); #61941=ORIENTED_EDGE('',*,*,#46648,.F.); #61942=ORIENTED_EDGE('',*,*,#46649,.F.); #61943=ORIENTED_EDGE('',*,*,#46648,.T.); #61944=ORIENTED_EDGE('',*,*,#46650,.T.); #61945=ORIENTED_EDGE('',*,*,#46651,.F.); #61946=ORIENTED_EDGE('',*,*,#46652,.F.); #61947=ORIENTED_EDGE('',*,*,#46651,.T.); #61948=ORIENTED_EDGE('',*,*,#46653,.T.); #61949=ORIENTED_EDGE('',*,*,#46654,.F.); #61950=ORIENTED_EDGE('',*,*,#46655,.F.); #61951=ORIENTED_EDGE('',*,*,#46654,.T.); #61952=ORIENTED_EDGE('',*,*,#46656,.T.); #61953=ORIENTED_EDGE('',*,*,#46657,.F.); #61954=ORIENTED_EDGE('',*,*,#46658,.F.); #61955=ORIENTED_EDGE('',*,*,#46657,.T.); #61956=ORIENTED_EDGE('',*,*,#46659,.T.); #61957=ORIENTED_EDGE('',*,*,#46660,.F.); #61958=ORIENTED_EDGE('',*,*,#46661,.F.); #61959=ORIENTED_EDGE('',*,*,#46660,.T.); #61960=ORIENTED_EDGE('',*,*,#46662,.T.); #61961=ORIENTED_EDGE('',*,*,#46663,.F.); #61962=ORIENTED_EDGE('',*,*,#46664,.F.); #61963=ORIENTED_EDGE('',*,*,#46663,.T.); #61964=ORIENTED_EDGE('',*,*,#46665,.T.); #61965=ORIENTED_EDGE('',*,*,#46666,.F.); #61966=ORIENTED_EDGE('',*,*,#46667,.F.); #61967=ORIENTED_EDGE('',*,*,#46666,.T.); #61968=ORIENTED_EDGE('',*,*,#46668,.T.); #61969=ORIENTED_EDGE('',*,*,#46669,.F.); #61970=ORIENTED_EDGE('',*,*,#46670,.F.); #61971=ORIENTED_EDGE('',*,*,#46669,.T.); #61972=ORIENTED_EDGE('',*,*,#46671,.T.); #61973=ORIENTED_EDGE('',*,*,#46672,.F.); #61974=ORIENTED_EDGE('',*,*,#46673,.F.); #61975=ORIENTED_EDGE('',*,*,#46672,.T.); #61976=ORIENTED_EDGE('',*,*,#46674,.T.); #61977=ORIENTED_EDGE('',*,*,#46675,.F.); #61978=ORIENTED_EDGE('',*,*,#46676,.F.); #61979=ORIENTED_EDGE('',*,*,#46675,.T.); #61980=ORIENTED_EDGE('',*,*,#46677,.T.); #61981=ORIENTED_EDGE('',*,*,#46678,.F.); #61982=ORIENTED_EDGE('',*,*,#46679,.F.); #61983=ORIENTED_EDGE('',*,*,#46678,.T.); #61984=ORIENTED_EDGE('',*,*,#46680,.T.); #61985=ORIENTED_EDGE('',*,*,#46681,.F.); #61986=ORIENTED_EDGE('',*,*,#46682,.F.); #61987=ORIENTED_EDGE('',*,*,#46681,.T.); #61988=ORIENTED_EDGE('',*,*,#46683,.T.); #61989=ORIENTED_EDGE('',*,*,#46637,.F.); #61990=ORIENTED_EDGE('',*,*,#46684,.F.); #61991=ORIENTED_EDGE('',*,*,#46685,.T.); #61992=ORIENTED_EDGE('',*,*,#46686,.T.); #61993=ORIENTED_EDGE('',*,*,#46687,.F.); #61994=ORIENTED_EDGE('',*,*,#46688,.F.); #61995=ORIENTED_EDGE('',*,*,#46689,.T.); #61996=ORIENTED_EDGE('',*,*,#46690,.T.); #61997=ORIENTED_EDGE('',*,*,#46685,.F.); #61998=ORIENTED_EDGE('',*,*,#46691,.F.); #61999=ORIENTED_EDGE('',*,*,#46692,.T.); #62000=ORIENTED_EDGE('',*,*,#46693,.T.); #62001=ORIENTED_EDGE('',*,*,#46689,.F.); #62002=ORIENTED_EDGE('',*,*,#46694,.F.); #62003=ORIENTED_EDGE('',*,*,#46695,.T.); #62004=ORIENTED_EDGE('',*,*,#46696,.T.); #62005=ORIENTED_EDGE('',*,*,#46692,.F.); #62006=ORIENTED_EDGE('',*,*,#46697,.F.); #62007=ORIENTED_EDGE('',*,*,#46698,.T.); #62008=ORIENTED_EDGE('',*,*,#46699,.T.); #62009=ORIENTED_EDGE('',*,*,#46695,.F.); #62010=ORIENTED_EDGE('',*,*,#46700,.F.); #62011=ORIENTED_EDGE('',*,*,#46701,.T.); #62012=ORIENTED_EDGE('',*,*,#46702,.T.); #62013=ORIENTED_EDGE('',*,*,#46698,.F.); #62014=ORIENTED_EDGE('',*,*,#46703,.F.); #62015=ORIENTED_EDGE('',*,*,#46704,.T.); #62016=ORIENTED_EDGE('',*,*,#46705,.T.); #62017=ORIENTED_EDGE('',*,*,#46701,.F.); #62018=ORIENTED_EDGE('',*,*,#46706,.F.); #62019=ORIENTED_EDGE('',*,*,#46707,.T.); #62020=ORIENTED_EDGE('',*,*,#46708,.T.); #62021=ORIENTED_EDGE('',*,*,#46704,.F.); #62022=ORIENTED_EDGE('',*,*,#46709,.F.); #62023=ORIENTED_EDGE('',*,*,#46710,.T.); #62024=ORIENTED_EDGE('',*,*,#46711,.T.); #62025=ORIENTED_EDGE('',*,*,#46707,.F.); #62026=ORIENTED_EDGE('',*,*,#46712,.F.); #62027=ORIENTED_EDGE('',*,*,#46713,.T.); #62028=ORIENTED_EDGE('',*,*,#46714,.T.); #62029=ORIENTED_EDGE('',*,*,#46710,.F.); #62030=ORIENTED_EDGE('',*,*,#46715,.F.); #62031=ORIENTED_EDGE('',*,*,#46716,.T.); #62032=ORIENTED_EDGE('',*,*,#46717,.T.); #62033=ORIENTED_EDGE('',*,*,#46713,.F.); #62034=ORIENTED_EDGE('',*,*,#46718,.F.); #62035=ORIENTED_EDGE('',*,*,#46719,.T.); #62036=ORIENTED_EDGE('',*,*,#46720,.T.); #62037=ORIENTED_EDGE('',*,*,#46716,.F.); #62038=ORIENTED_EDGE('',*,*,#46721,.F.); #62039=ORIENTED_EDGE('',*,*,#46722,.T.); #62040=ORIENTED_EDGE('',*,*,#46723,.T.); #62041=ORIENTED_EDGE('',*,*,#46719,.F.); #62042=ORIENTED_EDGE('',*,*,#46724,.F.); #62043=ORIENTED_EDGE('',*,*,#46725,.T.); #62044=ORIENTED_EDGE('',*,*,#46726,.T.); #62045=ORIENTED_EDGE('',*,*,#46722,.F.); #62046=ORIENTED_EDGE('',*,*,#46727,.F.); #62047=ORIENTED_EDGE('',*,*,#46728,.T.); #62048=ORIENTED_EDGE('',*,*,#46729,.T.); #62049=ORIENTED_EDGE('',*,*,#46725,.F.); #62050=ORIENTED_EDGE('',*,*,#46730,.F.); #62051=ORIENTED_EDGE('',*,*,#46731,.T.); #62052=ORIENTED_EDGE('',*,*,#46732,.T.); #62053=ORIENTED_EDGE('',*,*,#46728,.F.); #62054=ORIENTED_EDGE('',*,*,#46733,.F.); #62055=ORIENTED_EDGE('',*,*,#46734,.T.); #62056=ORIENTED_EDGE('',*,*,#46735,.T.); #62057=ORIENTED_EDGE('',*,*,#46731,.F.); #62058=ORIENTED_EDGE('',*,*,#46736,.F.); #62059=ORIENTED_EDGE('',*,*,#46737,.T.); #62060=ORIENTED_EDGE('',*,*,#46738,.T.); #62061=ORIENTED_EDGE('',*,*,#46734,.F.); #62062=ORIENTED_EDGE('',*,*,#46739,.F.); #62063=ORIENTED_EDGE('',*,*,#46740,.T.); #62064=ORIENTED_EDGE('',*,*,#46741,.T.); #62065=ORIENTED_EDGE('',*,*,#46737,.F.); #62066=ORIENTED_EDGE('',*,*,#46742,.F.); #62067=ORIENTED_EDGE('',*,*,#46743,.T.); #62068=ORIENTED_EDGE('',*,*,#46744,.T.); #62069=ORIENTED_EDGE('',*,*,#46740,.F.); #62070=ORIENTED_EDGE('',*,*,#46745,.F.); #62071=ORIENTED_EDGE('',*,*,#46746,.T.); #62072=ORIENTED_EDGE('',*,*,#46747,.T.); #62073=ORIENTED_EDGE('',*,*,#46743,.F.); #62074=ORIENTED_EDGE('',*,*,#46748,.F.); #62075=ORIENTED_EDGE('',*,*,#46749,.T.); #62076=ORIENTED_EDGE('',*,*,#46750,.T.); #62077=ORIENTED_EDGE('',*,*,#46746,.F.); #62078=ORIENTED_EDGE('',*,*,#46751,.F.); #62079=ORIENTED_EDGE('',*,*,#46752,.T.); #62080=ORIENTED_EDGE('',*,*,#46753,.T.); #62081=ORIENTED_EDGE('',*,*,#46749,.F.); #62082=ORIENTED_EDGE('',*,*,#46754,.F.); #62083=ORIENTED_EDGE('',*,*,#46755,.T.); #62084=ORIENTED_EDGE('',*,*,#46756,.T.); #62085=ORIENTED_EDGE('',*,*,#46752,.F.); #62086=ORIENTED_EDGE('',*,*,#46757,.F.); #62087=ORIENTED_EDGE('',*,*,#46758,.T.); #62088=ORIENTED_EDGE('',*,*,#46759,.T.); #62089=ORIENTED_EDGE('',*,*,#46755,.F.); #62090=ORIENTED_EDGE('',*,*,#46760,.F.); #62091=ORIENTED_EDGE('',*,*,#46761,.T.); #62092=ORIENTED_EDGE('',*,*,#46762,.T.); #62093=ORIENTED_EDGE('',*,*,#46758,.F.); #62094=ORIENTED_EDGE('',*,*,#46763,.F.); #62095=ORIENTED_EDGE('',*,*,#46764,.T.); #62096=ORIENTED_EDGE('',*,*,#46765,.T.); #62097=ORIENTED_EDGE('',*,*,#46761,.F.); #62098=ORIENTED_EDGE('',*,*,#46766,.F.); #62099=ORIENTED_EDGE('',*,*,#46767,.T.); #62100=ORIENTED_EDGE('',*,*,#46768,.T.); #62101=ORIENTED_EDGE('',*,*,#46764,.F.); #62102=ORIENTED_EDGE('',*,*,#46769,.F.); #62103=ORIENTED_EDGE('',*,*,#46770,.T.); #62104=ORIENTED_EDGE('',*,*,#46771,.T.); #62105=ORIENTED_EDGE('',*,*,#46767,.F.); #62106=ORIENTED_EDGE('',*,*,#46772,.F.); #62107=ORIENTED_EDGE('',*,*,#46773,.T.); #62108=ORIENTED_EDGE('',*,*,#46774,.T.); #62109=ORIENTED_EDGE('',*,*,#46770,.F.); #62110=ORIENTED_EDGE('',*,*,#46775,.F.); #62111=ORIENTED_EDGE('',*,*,#46776,.T.); #62112=ORIENTED_EDGE('',*,*,#46777,.T.); #62113=ORIENTED_EDGE('',*,*,#46773,.F.); #62114=ORIENTED_EDGE('',*,*,#46778,.F.); #62115=ORIENTED_EDGE('',*,*,#46779,.T.); #62116=ORIENTED_EDGE('',*,*,#46780,.T.); #62117=ORIENTED_EDGE('',*,*,#46776,.F.); #62118=ORIENTED_EDGE('',*,*,#46781,.F.); #62119=ORIENTED_EDGE('',*,*,#46782,.T.); #62120=ORIENTED_EDGE('',*,*,#46783,.T.); #62121=ORIENTED_EDGE('',*,*,#46779,.F.); #62122=ORIENTED_EDGE('',*,*,#46784,.F.); #62123=ORIENTED_EDGE('',*,*,#46687,.T.); #62124=ORIENTED_EDGE('',*,*,#46785,.T.); #62125=ORIENTED_EDGE('',*,*,#46782,.F.); #62126=ORIENTED_EDGE('',*,*,#46785,.F.); #62127=ORIENTED_EDGE('',*,*,#46686,.F.); #62128=ORIENTED_EDGE('',*,*,#46690,.F.); #62129=ORIENTED_EDGE('',*,*,#46693,.F.); #62130=ORIENTED_EDGE('',*,*,#46696,.F.); #62131=ORIENTED_EDGE('',*,*,#46699,.F.); #62132=ORIENTED_EDGE('',*,*,#46702,.F.); #62133=ORIENTED_EDGE('',*,*,#46705,.F.); #62134=ORIENTED_EDGE('',*,*,#46708,.F.); #62135=ORIENTED_EDGE('',*,*,#46711,.F.); #62136=ORIENTED_EDGE('',*,*,#46714,.F.); #62137=ORIENTED_EDGE('',*,*,#46717,.F.); #62138=ORIENTED_EDGE('',*,*,#46720,.F.); #62139=ORIENTED_EDGE('',*,*,#46723,.F.); #62140=ORIENTED_EDGE('',*,*,#46726,.F.); #62141=ORIENTED_EDGE('',*,*,#46729,.F.); #62142=ORIENTED_EDGE('',*,*,#46732,.F.); #62143=ORIENTED_EDGE('',*,*,#46735,.F.); #62144=ORIENTED_EDGE('',*,*,#46738,.F.); #62145=ORIENTED_EDGE('',*,*,#46741,.F.); #62146=ORIENTED_EDGE('',*,*,#46744,.F.); #62147=ORIENTED_EDGE('',*,*,#46747,.F.); #62148=ORIENTED_EDGE('',*,*,#46750,.F.); #62149=ORIENTED_EDGE('',*,*,#46753,.F.); #62150=ORIENTED_EDGE('',*,*,#46756,.F.); #62151=ORIENTED_EDGE('',*,*,#46759,.F.); #62152=ORIENTED_EDGE('',*,*,#46762,.F.); #62153=ORIENTED_EDGE('',*,*,#46765,.F.); #62154=ORIENTED_EDGE('',*,*,#46768,.F.); #62155=ORIENTED_EDGE('',*,*,#46771,.F.); #62156=ORIENTED_EDGE('',*,*,#46774,.F.); #62157=ORIENTED_EDGE('',*,*,#46777,.F.); #62158=ORIENTED_EDGE('',*,*,#46780,.F.); #62159=ORIENTED_EDGE('',*,*,#46783,.F.); #62160=ORIENTED_EDGE('',*,*,#46590,.F.); #62161=ORIENTED_EDGE('',*,*,#46635,.F.); #62162=ORIENTED_EDGE('',*,*,#46632,.F.); #62163=ORIENTED_EDGE('',*,*,#46629,.F.); #62164=ORIENTED_EDGE('',*,*,#46626,.F.); #62165=ORIENTED_EDGE('',*,*,#46623,.F.); #62166=ORIENTED_EDGE('',*,*,#46620,.F.); #62167=ORIENTED_EDGE('',*,*,#46617,.F.); #62168=ORIENTED_EDGE('',*,*,#46614,.F.); #62169=ORIENTED_EDGE('',*,*,#46611,.F.); #62170=ORIENTED_EDGE('',*,*,#46608,.F.); #62171=ORIENTED_EDGE('',*,*,#46605,.F.); #62172=ORIENTED_EDGE('',*,*,#46602,.F.); #62173=ORIENTED_EDGE('',*,*,#46599,.F.); #62174=ORIENTED_EDGE('',*,*,#46596,.F.); #62175=ORIENTED_EDGE('',*,*,#46593,.F.); #62176=ORIENTED_EDGE('',*,*,#46638,.F.); #62177=ORIENTED_EDGE('',*,*,#46683,.F.); #62178=ORIENTED_EDGE('',*,*,#46680,.F.); #62179=ORIENTED_EDGE('',*,*,#46677,.F.); #62180=ORIENTED_EDGE('',*,*,#46674,.F.); #62181=ORIENTED_EDGE('',*,*,#46671,.F.); #62182=ORIENTED_EDGE('',*,*,#46668,.F.); #62183=ORIENTED_EDGE('',*,*,#46665,.F.); #62184=ORIENTED_EDGE('',*,*,#46662,.F.); #62185=ORIENTED_EDGE('',*,*,#46659,.F.); #62186=ORIENTED_EDGE('',*,*,#46656,.F.); #62187=ORIENTED_EDGE('',*,*,#46653,.F.); #62188=ORIENTED_EDGE('',*,*,#46650,.F.); #62189=ORIENTED_EDGE('',*,*,#46647,.F.); #62190=ORIENTED_EDGE('',*,*,#46644,.F.); #62191=ORIENTED_EDGE('',*,*,#46641,.F.); #62192=ORIENTED_EDGE('',*,*,#46786,.F.); #62193=ORIENTED_EDGE('',*,*,#46787,.T.); #62194=ORIENTED_EDGE('',*,*,#46788,.T.); #62195=ORIENTED_EDGE('',*,*,#46789,.F.); #62196=ORIENTED_EDGE('',*,*,#46790,.F.); #62197=ORIENTED_EDGE('',*,*,#46791,.T.); #62198=ORIENTED_EDGE('',*,*,#46792,.T.); #62199=ORIENTED_EDGE('',*,*,#46787,.F.); #62200=ORIENTED_EDGE('',*,*,#46793,.F.); #62201=ORIENTED_EDGE('',*,*,#46794,.T.); #62202=ORIENTED_EDGE('',*,*,#46795,.T.); #62203=ORIENTED_EDGE('',*,*,#46791,.F.); #62204=ORIENTED_EDGE('',*,*,#46796,.F.); #62205=ORIENTED_EDGE('',*,*,#46797,.T.); #62206=ORIENTED_EDGE('',*,*,#46798,.T.); #62207=ORIENTED_EDGE('',*,*,#46794,.F.); #62208=ORIENTED_EDGE('',*,*,#46799,.F.); #62209=ORIENTED_EDGE('',*,*,#46800,.T.); #62210=ORIENTED_EDGE('',*,*,#46801,.T.); #62211=ORIENTED_EDGE('',*,*,#46797,.F.); #62212=ORIENTED_EDGE('',*,*,#46802,.F.); #62213=ORIENTED_EDGE('',*,*,#46803,.T.); #62214=ORIENTED_EDGE('',*,*,#46804,.T.); #62215=ORIENTED_EDGE('',*,*,#46800,.F.); #62216=ORIENTED_EDGE('',*,*,#46805,.F.); #62217=ORIENTED_EDGE('',*,*,#46789,.T.); #62218=ORIENTED_EDGE('',*,*,#46806,.T.); #62219=ORIENTED_EDGE('',*,*,#46803,.F.); #62220=ORIENTED_EDGE('',*,*,#46806,.F.); #62221=ORIENTED_EDGE('',*,*,#46788,.F.); #62222=ORIENTED_EDGE('',*,*,#46792,.F.); #62223=ORIENTED_EDGE('',*,*,#46795,.F.); #62224=ORIENTED_EDGE('',*,*,#46798,.F.); #62225=ORIENTED_EDGE('',*,*,#46801,.F.); #62226=ORIENTED_EDGE('',*,*,#46804,.F.); #62227=ORIENTED_EDGE('',*,*,#46807,.F.); #62228=ORIENTED_EDGE('',*,*,#46808,.T.); #62229=ORIENTED_EDGE('',*,*,#46809,.T.); #62230=ORIENTED_EDGE('',*,*,#46810,.F.); #62231=ORIENTED_EDGE('',*,*,#46811,.F.); #62232=ORIENTED_EDGE('',*,*,#46810,.T.); #62233=ORIENTED_EDGE('',*,*,#46812,.T.); #62234=ORIENTED_EDGE('',*,*,#46813,.F.); #62235=ORIENTED_EDGE('',*,*,#46814,.F.); #62236=ORIENTED_EDGE('',*,*,#46813,.T.); #62237=ORIENTED_EDGE('',*,*,#46815,.T.); #62238=ORIENTED_EDGE('',*,*,#46816,.F.); #62239=ORIENTED_EDGE('',*,*,#46817,.F.); #62240=ORIENTED_EDGE('',*,*,#46816,.T.); #62241=ORIENTED_EDGE('',*,*,#46818,.T.); #62242=ORIENTED_EDGE('',*,*,#46819,.F.); #62243=ORIENTED_EDGE('',*,*,#46820,.F.); #62244=ORIENTED_EDGE('',*,*,#46819,.T.); #62245=ORIENTED_EDGE('',*,*,#46821,.T.); #62246=ORIENTED_EDGE('',*,*,#46822,.F.); #62247=ORIENTED_EDGE('',*,*,#46823,.F.); #62248=ORIENTED_EDGE('',*,*,#46822,.T.); #62249=ORIENTED_EDGE('',*,*,#46824,.T.); #62250=ORIENTED_EDGE('',*,*,#46825,.F.); #62251=ORIENTED_EDGE('',*,*,#46826,.F.); #62252=ORIENTED_EDGE('',*,*,#46825,.T.); #62253=ORIENTED_EDGE('',*,*,#46827,.T.); #62254=ORIENTED_EDGE('',*,*,#46828,.F.); #62255=ORIENTED_EDGE('',*,*,#46829,.F.); #62256=ORIENTED_EDGE('',*,*,#46828,.T.); #62257=ORIENTED_EDGE('',*,*,#46830,.T.); #62258=ORIENTED_EDGE('',*,*,#46831,.F.); #62259=ORIENTED_EDGE('',*,*,#46832,.F.); #62260=ORIENTED_EDGE('',*,*,#46831,.T.); #62261=ORIENTED_EDGE('',*,*,#46833,.T.); #62262=ORIENTED_EDGE('',*,*,#46834,.F.); #62263=ORIENTED_EDGE('',*,*,#46835,.F.); #62264=ORIENTED_EDGE('',*,*,#46834,.T.); #62265=ORIENTED_EDGE('',*,*,#46836,.T.); #62266=ORIENTED_EDGE('',*,*,#46837,.F.); #62267=ORIENTED_EDGE('',*,*,#46838,.F.); #62268=ORIENTED_EDGE('',*,*,#46837,.T.); #62269=ORIENTED_EDGE('',*,*,#46839,.T.); #62270=ORIENTED_EDGE('',*,*,#46840,.F.); #62271=ORIENTED_EDGE('',*,*,#46841,.F.); #62272=ORIENTED_EDGE('',*,*,#46840,.T.); #62273=ORIENTED_EDGE('',*,*,#46842,.T.); #62274=ORIENTED_EDGE('',*,*,#46843,.F.); #62275=ORIENTED_EDGE('',*,*,#46844,.F.); #62276=ORIENTED_EDGE('',*,*,#46843,.T.); #62277=ORIENTED_EDGE('',*,*,#46845,.T.); #62278=ORIENTED_EDGE('',*,*,#46846,.F.); #62279=ORIENTED_EDGE('',*,*,#46847,.F.); #62280=ORIENTED_EDGE('',*,*,#46846,.T.); #62281=ORIENTED_EDGE('',*,*,#46848,.T.); #62282=ORIENTED_EDGE('',*,*,#46849,.F.); #62283=ORIENTED_EDGE('',*,*,#46850,.F.); #62284=ORIENTED_EDGE('',*,*,#46849,.T.); #62285=ORIENTED_EDGE('',*,*,#46851,.T.); #62286=ORIENTED_EDGE('',*,*,#46852,.F.); #62287=ORIENTED_EDGE('',*,*,#46853,.F.); #62288=ORIENTED_EDGE('',*,*,#46852,.T.); #62289=ORIENTED_EDGE('',*,*,#46854,.T.); #62290=ORIENTED_EDGE('',*,*,#46855,.F.); #62291=ORIENTED_EDGE('',*,*,#46856,.F.); #62292=ORIENTED_EDGE('',*,*,#46855,.T.); #62293=ORIENTED_EDGE('',*,*,#46857,.T.); #62294=ORIENTED_EDGE('',*,*,#46858,.F.); #62295=ORIENTED_EDGE('',*,*,#46859,.F.); #62296=ORIENTED_EDGE('',*,*,#46858,.T.); #62297=ORIENTED_EDGE('',*,*,#46860,.T.); #62298=ORIENTED_EDGE('',*,*,#46861,.F.); #62299=ORIENTED_EDGE('',*,*,#46862,.F.); #62300=ORIENTED_EDGE('',*,*,#46861,.T.); #62301=ORIENTED_EDGE('',*,*,#46863,.T.); #62302=ORIENTED_EDGE('',*,*,#46864,.F.); #62303=ORIENTED_EDGE('',*,*,#46865,.F.); #62304=ORIENTED_EDGE('',*,*,#46864,.T.); #62305=ORIENTED_EDGE('',*,*,#46866,.T.); #62306=ORIENTED_EDGE('',*,*,#46808,.F.); #62307=ORIENTED_EDGE('',*,*,#46867,.F.); #62308=ORIENTED_EDGE('',*,*,#46868,.T.); #62309=ORIENTED_EDGE('',*,*,#46869,.T.); #62310=ORIENTED_EDGE('',*,*,#46870,.F.); #62311=ORIENTED_EDGE('',*,*,#46871,.F.); #62312=ORIENTED_EDGE('',*,*,#46872,.T.); #62313=ORIENTED_EDGE('',*,*,#46873,.T.); #62314=ORIENTED_EDGE('',*,*,#46868,.F.); #62315=ORIENTED_EDGE('',*,*,#46874,.F.); #62316=ORIENTED_EDGE('',*,*,#46875,.T.); #62317=ORIENTED_EDGE('',*,*,#46876,.T.); #62318=ORIENTED_EDGE('',*,*,#46872,.F.); #62319=ORIENTED_EDGE('',*,*,#46877,.F.); #62320=ORIENTED_EDGE('',*,*,#46878,.T.); #62321=ORIENTED_EDGE('',*,*,#46879,.T.); #62322=ORIENTED_EDGE('',*,*,#46875,.F.); #62323=ORIENTED_EDGE('',*,*,#46880,.F.); #62324=ORIENTED_EDGE('',*,*,#46881,.T.); #62325=ORIENTED_EDGE('',*,*,#46882,.T.); #62326=ORIENTED_EDGE('',*,*,#46878,.F.); #62327=ORIENTED_EDGE('',*,*,#46883,.F.); #62328=ORIENTED_EDGE('',*,*,#46884,.T.); #62329=ORIENTED_EDGE('',*,*,#46885,.T.); #62330=ORIENTED_EDGE('',*,*,#46881,.F.); #62331=ORIENTED_EDGE('',*,*,#46886,.F.); #62332=ORIENTED_EDGE('',*,*,#46887,.T.); #62333=ORIENTED_EDGE('',*,*,#46888,.T.); #62334=ORIENTED_EDGE('',*,*,#46884,.F.); #62335=ORIENTED_EDGE('',*,*,#46889,.F.); #62336=ORIENTED_EDGE('',*,*,#46890,.T.); #62337=ORIENTED_EDGE('',*,*,#46891,.T.); #62338=ORIENTED_EDGE('',*,*,#46887,.F.); #62339=ORIENTED_EDGE('',*,*,#46892,.F.); #62340=ORIENTED_EDGE('',*,*,#46893,.T.); #62341=ORIENTED_EDGE('',*,*,#46894,.T.); #62342=ORIENTED_EDGE('',*,*,#46890,.F.); #62343=ORIENTED_EDGE('',*,*,#46895,.F.); #62344=ORIENTED_EDGE('',*,*,#46896,.T.); #62345=ORIENTED_EDGE('',*,*,#46897,.T.); #62346=ORIENTED_EDGE('',*,*,#46893,.F.); #62347=ORIENTED_EDGE('',*,*,#46898,.F.); #62348=ORIENTED_EDGE('',*,*,#46899,.T.); #62349=ORIENTED_EDGE('',*,*,#46900,.T.); #62350=ORIENTED_EDGE('',*,*,#46896,.F.); #62351=ORIENTED_EDGE('',*,*,#46901,.F.); #62352=ORIENTED_EDGE('',*,*,#46902,.T.); #62353=ORIENTED_EDGE('',*,*,#46903,.T.); #62354=ORIENTED_EDGE('',*,*,#46899,.F.); #62355=ORIENTED_EDGE('',*,*,#46904,.F.); #62356=ORIENTED_EDGE('',*,*,#46905,.T.); #62357=ORIENTED_EDGE('',*,*,#46906,.T.); #62358=ORIENTED_EDGE('',*,*,#46902,.F.); #62359=ORIENTED_EDGE('',*,*,#46907,.F.); #62360=ORIENTED_EDGE('',*,*,#46908,.T.); #62361=ORIENTED_EDGE('',*,*,#46909,.T.); #62362=ORIENTED_EDGE('',*,*,#46905,.F.); #62363=ORIENTED_EDGE('',*,*,#46910,.F.); #62364=ORIENTED_EDGE('',*,*,#46911,.T.); #62365=ORIENTED_EDGE('',*,*,#46912,.T.); #62366=ORIENTED_EDGE('',*,*,#46908,.F.); #62367=ORIENTED_EDGE('',*,*,#46913,.F.); #62368=ORIENTED_EDGE('',*,*,#46914,.T.); #62369=ORIENTED_EDGE('',*,*,#46915,.T.); #62370=ORIENTED_EDGE('',*,*,#46911,.F.); #62371=ORIENTED_EDGE('',*,*,#46916,.F.); #62372=ORIENTED_EDGE('',*,*,#46917,.T.); #62373=ORIENTED_EDGE('',*,*,#46918,.T.); #62374=ORIENTED_EDGE('',*,*,#46914,.F.); #62375=ORIENTED_EDGE('',*,*,#46919,.F.); #62376=ORIENTED_EDGE('',*,*,#46920,.T.); #62377=ORIENTED_EDGE('',*,*,#46921,.T.); #62378=ORIENTED_EDGE('',*,*,#46917,.F.); #62379=ORIENTED_EDGE('',*,*,#46922,.F.); #62380=ORIENTED_EDGE('',*,*,#46923,.T.); #62381=ORIENTED_EDGE('',*,*,#46924,.T.); #62382=ORIENTED_EDGE('',*,*,#46920,.F.); #62383=ORIENTED_EDGE('',*,*,#46925,.F.); #62384=ORIENTED_EDGE('',*,*,#46926,.T.); #62385=ORIENTED_EDGE('',*,*,#46927,.T.); #62386=ORIENTED_EDGE('',*,*,#46923,.F.); #62387=ORIENTED_EDGE('',*,*,#46928,.F.); #62388=ORIENTED_EDGE('',*,*,#46929,.T.); #62389=ORIENTED_EDGE('',*,*,#46930,.T.); #62390=ORIENTED_EDGE('',*,*,#46926,.F.); #62391=ORIENTED_EDGE('',*,*,#46931,.F.); #62392=ORIENTED_EDGE('',*,*,#46932,.T.); #62393=ORIENTED_EDGE('',*,*,#46933,.T.); #62394=ORIENTED_EDGE('',*,*,#46929,.F.); #62395=ORIENTED_EDGE('',*,*,#46934,.F.); #62396=ORIENTED_EDGE('',*,*,#46935,.T.); #62397=ORIENTED_EDGE('',*,*,#46936,.T.); #62398=ORIENTED_EDGE('',*,*,#46932,.F.); #62399=ORIENTED_EDGE('',*,*,#46937,.F.); #62400=ORIENTED_EDGE('',*,*,#46938,.T.); #62401=ORIENTED_EDGE('',*,*,#46939,.T.); #62402=ORIENTED_EDGE('',*,*,#46935,.F.); #62403=ORIENTED_EDGE('',*,*,#46940,.F.); #62404=ORIENTED_EDGE('',*,*,#46941,.T.); #62405=ORIENTED_EDGE('',*,*,#46942,.T.); #62406=ORIENTED_EDGE('',*,*,#46938,.F.); #62407=ORIENTED_EDGE('',*,*,#46943,.F.); #62408=ORIENTED_EDGE('',*,*,#46944,.T.); #62409=ORIENTED_EDGE('',*,*,#46945,.T.); #62410=ORIENTED_EDGE('',*,*,#46941,.F.); #62411=ORIENTED_EDGE('',*,*,#46946,.F.); #62412=ORIENTED_EDGE('',*,*,#46947,.T.); #62413=ORIENTED_EDGE('',*,*,#46948,.T.); #62414=ORIENTED_EDGE('',*,*,#46944,.F.); #62415=ORIENTED_EDGE('',*,*,#46949,.F.); #62416=ORIENTED_EDGE('',*,*,#46950,.T.); #62417=ORIENTED_EDGE('',*,*,#46951,.T.); #62418=ORIENTED_EDGE('',*,*,#46947,.F.); #62419=ORIENTED_EDGE('',*,*,#46952,.F.); #62420=ORIENTED_EDGE('',*,*,#46953,.T.); #62421=ORIENTED_EDGE('',*,*,#46954,.T.); #62422=ORIENTED_EDGE('',*,*,#46950,.F.); #62423=ORIENTED_EDGE('',*,*,#46955,.F.); #62424=ORIENTED_EDGE('',*,*,#46956,.T.); #62425=ORIENTED_EDGE('',*,*,#46957,.T.); #62426=ORIENTED_EDGE('',*,*,#46953,.F.); #62427=ORIENTED_EDGE('',*,*,#46958,.F.); #62428=ORIENTED_EDGE('',*,*,#46959,.T.); #62429=ORIENTED_EDGE('',*,*,#46960,.T.); #62430=ORIENTED_EDGE('',*,*,#46956,.F.); #62431=ORIENTED_EDGE('',*,*,#46961,.F.); #62432=ORIENTED_EDGE('',*,*,#46962,.T.); #62433=ORIENTED_EDGE('',*,*,#46963,.T.); #62434=ORIENTED_EDGE('',*,*,#46959,.F.); #62435=ORIENTED_EDGE('',*,*,#46964,.F.); #62436=ORIENTED_EDGE('',*,*,#46965,.T.); #62437=ORIENTED_EDGE('',*,*,#46966,.T.); #62438=ORIENTED_EDGE('',*,*,#46962,.F.); #62439=ORIENTED_EDGE('',*,*,#46967,.F.); #62440=ORIENTED_EDGE('',*,*,#46968,.T.); #62441=ORIENTED_EDGE('',*,*,#46969,.T.); #62442=ORIENTED_EDGE('',*,*,#46965,.F.); #62443=ORIENTED_EDGE('',*,*,#46970,.F.); #62444=ORIENTED_EDGE('',*,*,#46971,.T.); #62445=ORIENTED_EDGE('',*,*,#46972,.T.); #62446=ORIENTED_EDGE('',*,*,#46968,.F.); #62447=ORIENTED_EDGE('',*,*,#46973,.F.); #62448=ORIENTED_EDGE('',*,*,#46974,.T.); #62449=ORIENTED_EDGE('',*,*,#46975,.T.); #62450=ORIENTED_EDGE('',*,*,#46971,.F.); #62451=ORIENTED_EDGE('',*,*,#46976,.F.); #62452=ORIENTED_EDGE('',*,*,#46977,.T.); #62453=ORIENTED_EDGE('',*,*,#46978,.T.); #62454=ORIENTED_EDGE('',*,*,#46974,.F.); #62455=ORIENTED_EDGE('',*,*,#46979,.F.); #62456=ORIENTED_EDGE('',*,*,#46980,.T.); #62457=ORIENTED_EDGE('',*,*,#46981,.T.); #62458=ORIENTED_EDGE('',*,*,#46977,.F.); #62459=ORIENTED_EDGE('',*,*,#46982,.F.); #62460=ORIENTED_EDGE('',*,*,#46983,.T.); #62461=ORIENTED_EDGE('',*,*,#46984,.T.); #62462=ORIENTED_EDGE('',*,*,#46980,.F.); #62463=ORIENTED_EDGE('',*,*,#46985,.F.); #62464=ORIENTED_EDGE('',*,*,#46870,.T.); #62465=ORIENTED_EDGE('',*,*,#46986,.T.); #62466=ORIENTED_EDGE('',*,*,#46983,.F.); #62467=ORIENTED_EDGE('',*,*,#46986,.F.); #62468=ORIENTED_EDGE('',*,*,#46869,.F.); #62469=ORIENTED_EDGE('',*,*,#46873,.F.); #62470=ORIENTED_EDGE('',*,*,#46876,.F.); #62471=ORIENTED_EDGE('',*,*,#46879,.F.); #62472=ORIENTED_EDGE('',*,*,#46882,.F.); #62473=ORIENTED_EDGE('',*,*,#46885,.F.); #62474=ORIENTED_EDGE('',*,*,#46888,.F.); #62475=ORIENTED_EDGE('',*,*,#46891,.F.); #62476=ORIENTED_EDGE('',*,*,#46894,.F.); #62477=ORIENTED_EDGE('',*,*,#46897,.F.); #62478=ORIENTED_EDGE('',*,*,#46900,.F.); #62479=ORIENTED_EDGE('',*,*,#46903,.F.); #62480=ORIENTED_EDGE('',*,*,#46906,.F.); #62481=ORIENTED_EDGE('',*,*,#46909,.F.); #62482=ORIENTED_EDGE('',*,*,#46912,.F.); #62483=ORIENTED_EDGE('',*,*,#46915,.F.); #62484=ORIENTED_EDGE('',*,*,#46918,.F.); #62485=ORIENTED_EDGE('',*,*,#46921,.F.); #62486=ORIENTED_EDGE('',*,*,#46924,.F.); #62487=ORIENTED_EDGE('',*,*,#46927,.F.); #62488=ORIENTED_EDGE('',*,*,#46930,.F.); #62489=ORIENTED_EDGE('',*,*,#46933,.F.); #62490=ORIENTED_EDGE('',*,*,#46936,.F.); #62491=ORIENTED_EDGE('',*,*,#46939,.F.); #62492=ORIENTED_EDGE('',*,*,#46942,.F.); #62493=ORIENTED_EDGE('',*,*,#46945,.F.); #62494=ORIENTED_EDGE('',*,*,#46948,.F.); #62495=ORIENTED_EDGE('',*,*,#46951,.F.); #62496=ORIENTED_EDGE('',*,*,#46954,.F.); #62497=ORIENTED_EDGE('',*,*,#46957,.F.); #62498=ORIENTED_EDGE('',*,*,#46960,.F.); #62499=ORIENTED_EDGE('',*,*,#46963,.F.); #62500=ORIENTED_EDGE('',*,*,#46966,.F.); #62501=ORIENTED_EDGE('',*,*,#46969,.F.); #62502=ORIENTED_EDGE('',*,*,#46972,.F.); #62503=ORIENTED_EDGE('',*,*,#46975,.F.); #62504=ORIENTED_EDGE('',*,*,#46978,.F.); #62505=ORIENTED_EDGE('',*,*,#46981,.F.); #62506=ORIENTED_EDGE('',*,*,#46984,.F.); #62507=ORIENTED_EDGE('',*,*,#46809,.F.); #62508=ORIENTED_EDGE('',*,*,#46866,.F.); #62509=ORIENTED_EDGE('',*,*,#46863,.F.); #62510=ORIENTED_EDGE('',*,*,#46860,.F.); #62511=ORIENTED_EDGE('',*,*,#46857,.F.); #62512=ORIENTED_EDGE('',*,*,#46854,.F.); #62513=ORIENTED_EDGE('',*,*,#46851,.F.); #62514=ORIENTED_EDGE('',*,*,#46848,.F.); #62515=ORIENTED_EDGE('',*,*,#46845,.F.); #62516=ORIENTED_EDGE('',*,*,#46842,.F.); #62517=ORIENTED_EDGE('',*,*,#46839,.F.); #62518=ORIENTED_EDGE('',*,*,#46836,.F.); #62519=ORIENTED_EDGE('',*,*,#46833,.F.); #62520=ORIENTED_EDGE('',*,*,#46830,.F.); #62521=ORIENTED_EDGE('',*,*,#46827,.F.); #62522=ORIENTED_EDGE('',*,*,#46824,.F.); #62523=ORIENTED_EDGE('',*,*,#46821,.F.); #62524=ORIENTED_EDGE('',*,*,#46818,.F.); #62525=ORIENTED_EDGE('',*,*,#46815,.F.); #62526=ORIENTED_EDGE('',*,*,#46812,.F.); #62527=ORIENTED_EDGE('',*,*,#46987,.F.); #62528=ORIENTED_EDGE('',*,*,#46988,.T.); #62529=ORIENTED_EDGE('',*,*,#46989,.T.); #62530=ORIENTED_EDGE('',*,*,#46990,.F.); #62531=ORIENTED_EDGE('',*,*,#46991,.F.); #62532=ORIENTED_EDGE('',*,*,#46992,.T.); #62533=ORIENTED_EDGE('',*,*,#46993,.T.); #62534=ORIENTED_EDGE('',*,*,#46988,.F.); #62535=ORIENTED_EDGE('',*,*,#46994,.F.); #62536=ORIENTED_EDGE('',*,*,#46995,.T.); #62537=ORIENTED_EDGE('',*,*,#46996,.T.); #62538=ORIENTED_EDGE('',*,*,#46992,.F.); #62539=ORIENTED_EDGE('',*,*,#46997,.F.); #62540=ORIENTED_EDGE('',*,*,#46998,.T.); #62541=ORIENTED_EDGE('',*,*,#46999,.T.); #62542=ORIENTED_EDGE('',*,*,#46995,.F.); #62543=ORIENTED_EDGE('',*,*,#47000,.F.); #62544=ORIENTED_EDGE('',*,*,#47001,.T.); #62545=ORIENTED_EDGE('',*,*,#47002,.T.); #62546=ORIENTED_EDGE('',*,*,#46998,.F.); #62547=ORIENTED_EDGE('',*,*,#47003,.F.); #62548=ORIENTED_EDGE('',*,*,#47004,.T.); #62549=ORIENTED_EDGE('',*,*,#47005,.T.); #62550=ORIENTED_EDGE('',*,*,#47001,.F.); #62551=ORIENTED_EDGE('',*,*,#47006,.F.); #62552=ORIENTED_EDGE('',*,*,#46990,.T.); #62553=ORIENTED_EDGE('',*,*,#47007,.T.); #62554=ORIENTED_EDGE('',*,*,#47004,.F.); #62555=ORIENTED_EDGE('',*,*,#47007,.F.); #62556=ORIENTED_EDGE('',*,*,#46989,.F.); #62557=ORIENTED_EDGE('',*,*,#46993,.F.); #62558=ORIENTED_EDGE('',*,*,#46996,.F.); #62559=ORIENTED_EDGE('',*,*,#46999,.F.); #62560=ORIENTED_EDGE('',*,*,#47002,.F.); #62561=ORIENTED_EDGE('',*,*,#47005,.F.); #62562=ORIENTED_EDGE('',*,*,#47008,.F.); #62563=ORIENTED_EDGE('',*,*,#47009,.T.); #62564=ORIENTED_EDGE('',*,*,#47010,.T.); #62565=ORIENTED_EDGE('',*,*,#47011,.F.); #62566=ORIENTED_EDGE('',*,*,#47012,.F.); #62567=ORIENTED_EDGE('',*,*,#47013,.T.); #62568=ORIENTED_EDGE('',*,*,#47014,.T.); #62569=ORIENTED_EDGE('',*,*,#47009,.F.); #62570=ORIENTED_EDGE('',*,*,#47015,.F.); #62571=ORIENTED_EDGE('',*,*,#47016,.T.); #62572=ORIENTED_EDGE('',*,*,#47017,.T.); #62573=ORIENTED_EDGE('',*,*,#47013,.F.); #62574=ORIENTED_EDGE('',*,*,#47018,.F.); #62575=ORIENTED_EDGE('',*,*,#47019,.T.); #62576=ORIENTED_EDGE('',*,*,#47020,.T.); #62577=ORIENTED_EDGE('',*,*,#47016,.F.); #62578=ORIENTED_EDGE('',*,*,#47021,.F.); #62579=ORIENTED_EDGE('',*,*,#47022,.T.); #62580=ORIENTED_EDGE('',*,*,#47023,.T.); #62581=ORIENTED_EDGE('',*,*,#47019,.F.); #62582=ORIENTED_EDGE('',*,*,#47024,.F.); #62583=ORIENTED_EDGE('',*,*,#47025,.T.); #62584=ORIENTED_EDGE('',*,*,#47026,.T.); #62585=ORIENTED_EDGE('',*,*,#47022,.F.); #62586=ORIENTED_EDGE('',*,*,#47027,.F.); #62587=ORIENTED_EDGE('',*,*,#47011,.T.); #62588=ORIENTED_EDGE('',*,*,#47028,.T.); #62589=ORIENTED_EDGE('',*,*,#47025,.F.); #62590=ORIENTED_EDGE('',*,*,#47028,.F.); #62591=ORIENTED_EDGE('',*,*,#47010,.F.); #62592=ORIENTED_EDGE('',*,*,#47014,.F.); #62593=ORIENTED_EDGE('',*,*,#47017,.F.); #62594=ORIENTED_EDGE('',*,*,#47020,.F.); #62595=ORIENTED_EDGE('',*,*,#47023,.F.); #62596=ORIENTED_EDGE('',*,*,#47026,.F.); #62597=ORIENTED_EDGE('',*,*,#47029,.F.); #62598=ORIENTED_EDGE('',*,*,#47030,.T.); #62599=ORIENTED_EDGE('',*,*,#47031,.T.); #62600=ORIENTED_EDGE('',*,*,#47032,.F.); #62601=ORIENTED_EDGE('',*,*,#47033,.F.); #62602=ORIENTED_EDGE('',*,*,#47034,.T.); #62603=ORIENTED_EDGE('',*,*,#47035,.T.); #62604=ORIENTED_EDGE('',*,*,#47030,.F.); #62605=ORIENTED_EDGE('',*,*,#47036,.F.); #62606=ORIENTED_EDGE('',*,*,#47037,.T.); #62607=ORIENTED_EDGE('',*,*,#47038,.T.); #62608=ORIENTED_EDGE('',*,*,#47034,.F.); #62609=ORIENTED_EDGE('',*,*,#47039,.F.); #62610=ORIENTED_EDGE('',*,*,#47040,.T.); #62611=ORIENTED_EDGE('',*,*,#47041,.T.); #62612=ORIENTED_EDGE('',*,*,#47037,.F.); #62613=ORIENTED_EDGE('',*,*,#47042,.F.); #62614=ORIENTED_EDGE('',*,*,#47043,.T.); #62615=ORIENTED_EDGE('',*,*,#47044,.T.); #62616=ORIENTED_EDGE('',*,*,#47040,.F.); #62617=ORIENTED_EDGE('',*,*,#47045,.F.); #62618=ORIENTED_EDGE('',*,*,#47046,.T.); #62619=ORIENTED_EDGE('',*,*,#47047,.T.); #62620=ORIENTED_EDGE('',*,*,#47043,.F.); #62621=ORIENTED_EDGE('',*,*,#47048,.F.); #62622=ORIENTED_EDGE('',*,*,#47049,.T.); #62623=ORIENTED_EDGE('',*,*,#47050,.T.); #62624=ORIENTED_EDGE('',*,*,#47046,.F.); #62625=ORIENTED_EDGE('',*,*,#47051,.F.); #62626=ORIENTED_EDGE('',*,*,#47052,.T.); #62627=ORIENTED_EDGE('',*,*,#47053,.T.); #62628=ORIENTED_EDGE('',*,*,#47049,.F.); #62629=ORIENTED_EDGE('',*,*,#47054,.F.); #62630=ORIENTED_EDGE('',*,*,#47055,.T.); #62631=ORIENTED_EDGE('',*,*,#47056,.T.); #62632=ORIENTED_EDGE('',*,*,#47052,.F.); #62633=ORIENTED_EDGE('',*,*,#47057,.F.); #62634=ORIENTED_EDGE('',*,*,#47058,.T.); #62635=ORIENTED_EDGE('',*,*,#47059,.T.); #62636=ORIENTED_EDGE('',*,*,#47055,.F.); #62637=ORIENTED_EDGE('',*,*,#47060,.F.); #62638=ORIENTED_EDGE('',*,*,#47061,.T.); #62639=ORIENTED_EDGE('',*,*,#47062,.T.); #62640=ORIENTED_EDGE('',*,*,#47058,.F.); #62641=ORIENTED_EDGE('',*,*,#47063,.F.); #62642=ORIENTED_EDGE('',*,*,#47064,.T.); #62643=ORIENTED_EDGE('',*,*,#47065,.T.); #62644=ORIENTED_EDGE('',*,*,#47061,.F.); #62645=ORIENTED_EDGE('',*,*,#47066,.F.); #62646=ORIENTED_EDGE('',*,*,#47067,.T.); #62647=ORIENTED_EDGE('',*,*,#47068,.T.); #62648=ORIENTED_EDGE('',*,*,#47064,.F.); #62649=ORIENTED_EDGE('',*,*,#47069,.F.); #62650=ORIENTED_EDGE('',*,*,#47070,.T.); #62651=ORIENTED_EDGE('',*,*,#47071,.T.); #62652=ORIENTED_EDGE('',*,*,#47067,.F.); #62653=ORIENTED_EDGE('',*,*,#47072,.F.); #62654=ORIENTED_EDGE('',*,*,#47073,.T.); #62655=ORIENTED_EDGE('',*,*,#47074,.T.); #62656=ORIENTED_EDGE('',*,*,#47070,.F.); #62657=ORIENTED_EDGE('',*,*,#47075,.F.); #62658=ORIENTED_EDGE('',*,*,#47076,.T.); #62659=ORIENTED_EDGE('',*,*,#47077,.T.); #62660=ORIENTED_EDGE('',*,*,#47073,.F.); #62661=ORIENTED_EDGE('',*,*,#47078,.F.); #62662=ORIENTED_EDGE('',*,*,#47079,.T.); #62663=ORIENTED_EDGE('',*,*,#47080,.T.); #62664=ORIENTED_EDGE('',*,*,#47076,.F.); #62665=ORIENTED_EDGE('',*,*,#47081,.F.); #62666=ORIENTED_EDGE('',*,*,#47082,.T.); #62667=ORIENTED_EDGE('',*,*,#47083,.T.); #62668=ORIENTED_EDGE('',*,*,#47079,.F.); #62669=ORIENTED_EDGE('',*,*,#47084,.F.); #62670=ORIENTED_EDGE('',*,*,#47085,.T.); #62671=ORIENTED_EDGE('',*,*,#47086,.T.); #62672=ORIENTED_EDGE('',*,*,#47082,.F.); #62673=ORIENTED_EDGE('',*,*,#47087,.F.); #62674=ORIENTED_EDGE('',*,*,#47088,.T.); #62675=ORIENTED_EDGE('',*,*,#47089,.T.); #62676=ORIENTED_EDGE('',*,*,#47085,.F.); #62677=ORIENTED_EDGE('',*,*,#47090,.F.); #62678=ORIENTED_EDGE('',*,*,#47091,.T.); #62679=ORIENTED_EDGE('',*,*,#47092,.T.); #62680=ORIENTED_EDGE('',*,*,#47088,.F.); #62681=ORIENTED_EDGE('',*,*,#47093,.F.); #62682=ORIENTED_EDGE('',*,*,#47094,.T.); #62683=ORIENTED_EDGE('',*,*,#47095,.T.); #62684=ORIENTED_EDGE('',*,*,#47091,.F.); #62685=ORIENTED_EDGE('',*,*,#47096,.F.); #62686=ORIENTED_EDGE('',*,*,#47097,.T.); #62687=ORIENTED_EDGE('',*,*,#47098,.T.); #62688=ORIENTED_EDGE('',*,*,#47094,.F.); #62689=ORIENTED_EDGE('',*,*,#47099,.F.); #62690=ORIENTED_EDGE('',*,*,#47100,.T.); #62691=ORIENTED_EDGE('',*,*,#47101,.T.); #62692=ORIENTED_EDGE('',*,*,#47097,.F.); #62693=ORIENTED_EDGE('',*,*,#47102,.F.); #62694=ORIENTED_EDGE('',*,*,#47103,.T.); #62695=ORIENTED_EDGE('',*,*,#47104,.T.); #62696=ORIENTED_EDGE('',*,*,#47100,.F.); #62697=ORIENTED_EDGE('',*,*,#47105,.F.); #62698=ORIENTED_EDGE('',*,*,#47106,.T.); #62699=ORIENTED_EDGE('',*,*,#47107,.T.); #62700=ORIENTED_EDGE('',*,*,#47103,.F.); #62701=ORIENTED_EDGE('',*,*,#47108,.F.); #62702=ORIENTED_EDGE('',*,*,#47109,.T.); #62703=ORIENTED_EDGE('',*,*,#47110,.T.); #62704=ORIENTED_EDGE('',*,*,#47106,.F.); #62705=ORIENTED_EDGE('',*,*,#47111,.F.); #62706=ORIENTED_EDGE('',*,*,#47032,.T.); #62707=ORIENTED_EDGE('',*,*,#47112,.T.); #62708=ORIENTED_EDGE('',*,*,#47109,.F.); #62709=ORIENTED_EDGE('',*,*,#47112,.F.); #62710=ORIENTED_EDGE('',*,*,#47031,.F.); #62711=ORIENTED_EDGE('',*,*,#47035,.F.); #62712=ORIENTED_EDGE('',*,*,#47038,.F.); #62713=ORIENTED_EDGE('',*,*,#47041,.F.); #62714=ORIENTED_EDGE('',*,*,#47044,.F.); #62715=ORIENTED_EDGE('',*,*,#47047,.F.); #62716=ORIENTED_EDGE('',*,*,#47050,.F.); #62717=ORIENTED_EDGE('',*,*,#47053,.F.); #62718=ORIENTED_EDGE('',*,*,#47056,.F.); #62719=ORIENTED_EDGE('',*,*,#47059,.F.); #62720=ORIENTED_EDGE('',*,*,#47062,.F.); #62721=ORIENTED_EDGE('',*,*,#47065,.F.); #62722=ORIENTED_EDGE('',*,*,#47068,.F.); #62723=ORIENTED_EDGE('',*,*,#47071,.F.); #62724=ORIENTED_EDGE('',*,*,#47074,.F.); #62725=ORIENTED_EDGE('',*,*,#47077,.F.); #62726=ORIENTED_EDGE('',*,*,#47080,.F.); #62727=ORIENTED_EDGE('',*,*,#47083,.F.); #62728=ORIENTED_EDGE('',*,*,#47086,.F.); #62729=ORIENTED_EDGE('',*,*,#47089,.F.); #62730=ORIENTED_EDGE('',*,*,#47092,.F.); #62731=ORIENTED_EDGE('',*,*,#47095,.F.); #62732=ORIENTED_EDGE('',*,*,#47098,.F.); #62733=ORIENTED_EDGE('',*,*,#47101,.F.); #62734=ORIENTED_EDGE('',*,*,#47104,.F.); #62735=ORIENTED_EDGE('',*,*,#47107,.F.); #62736=ORIENTED_EDGE('',*,*,#47110,.F.); #62737=ORIENTED_EDGE('',*,*,#47113,.F.); #62738=ORIENTED_EDGE('',*,*,#47114,.T.); #62739=ORIENTED_EDGE('',*,*,#47115,.T.); #62740=ORIENTED_EDGE('',*,*,#47116,.F.); #62741=ORIENTED_EDGE('',*,*,#47117,.F.); #62742=ORIENTED_EDGE('',*,*,#47116,.T.); #62743=ORIENTED_EDGE('',*,*,#47118,.T.); #62744=ORIENTED_EDGE('',*,*,#47119,.F.); #62745=ORIENTED_EDGE('',*,*,#47120,.F.); #62746=ORIENTED_EDGE('',*,*,#47119,.T.); #62747=ORIENTED_EDGE('',*,*,#47121,.T.); #62748=ORIENTED_EDGE('',*,*,#47122,.F.); #62749=ORIENTED_EDGE('',*,*,#47123,.F.); #62750=ORIENTED_EDGE('',*,*,#47122,.T.); #62751=ORIENTED_EDGE('',*,*,#47124,.T.); #62752=ORIENTED_EDGE('',*,*,#47125,.F.); #62753=ORIENTED_EDGE('',*,*,#47126,.F.); #62754=ORIENTED_EDGE('',*,*,#47125,.T.); #62755=ORIENTED_EDGE('',*,*,#47127,.T.); #62756=ORIENTED_EDGE('',*,*,#47128,.F.); #62757=ORIENTED_EDGE('',*,*,#47129,.F.); #62758=ORIENTED_EDGE('',*,*,#47128,.T.); #62759=ORIENTED_EDGE('',*,*,#47130,.T.); #62760=ORIENTED_EDGE('',*,*,#47131,.F.); #62761=ORIENTED_EDGE('',*,*,#47132,.F.); #62762=ORIENTED_EDGE('',*,*,#47131,.T.); #62763=ORIENTED_EDGE('',*,*,#47133,.T.); #62764=ORIENTED_EDGE('',*,*,#47134,.F.); #62765=ORIENTED_EDGE('',*,*,#47135,.F.); #62766=ORIENTED_EDGE('',*,*,#47134,.T.); #62767=ORIENTED_EDGE('',*,*,#47136,.T.); #62768=ORIENTED_EDGE('',*,*,#47137,.F.); #62769=ORIENTED_EDGE('',*,*,#47138,.F.); #62770=ORIENTED_EDGE('',*,*,#47137,.T.); #62771=ORIENTED_EDGE('',*,*,#47139,.T.); #62772=ORIENTED_EDGE('',*,*,#47140,.F.); #62773=ORIENTED_EDGE('',*,*,#47141,.F.); #62774=ORIENTED_EDGE('',*,*,#47140,.T.); #62775=ORIENTED_EDGE('',*,*,#47142,.T.); #62776=ORIENTED_EDGE('',*,*,#47143,.F.); #62777=ORIENTED_EDGE('',*,*,#47144,.F.); #62778=ORIENTED_EDGE('',*,*,#47143,.T.); #62779=ORIENTED_EDGE('',*,*,#47145,.T.); #62780=ORIENTED_EDGE('',*,*,#47146,.F.); #62781=ORIENTED_EDGE('',*,*,#47147,.F.); #62782=ORIENTED_EDGE('',*,*,#47146,.T.); #62783=ORIENTED_EDGE('',*,*,#47148,.T.); #62784=ORIENTED_EDGE('',*,*,#47149,.F.); #62785=ORIENTED_EDGE('',*,*,#47150,.F.); #62786=ORIENTED_EDGE('',*,*,#47149,.T.); #62787=ORIENTED_EDGE('',*,*,#47151,.T.); #62788=ORIENTED_EDGE('',*,*,#47152,.F.); #62789=ORIENTED_EDGE('',*,*,#47153,.F.); #62790=ORIENTED_EDGE('',*,*,#47152,.T.); #62791=ORIENTED_EDGE('',*,*,#47154,.T.); #62792=ORIENTED_EDGE('',*,*,#47155,.F.); #62793=ORIENTED_EDGE('',*,*,#47156,.F.); #62794=ORIENTED_EDGE('',*,*,#47155,.T.); #62795=ORIENTED_EDGE('',*,*,#47157,.T.); #62796=ORIENTED_EDGE('',*,*,#47158,.F.); #62797=ORIENTED_EDGE('',*,*,#47159,.F.); #62798=ORIENTED_EDGE('',*,*,#47158,.T.); #62799=ORIENTED_EDGE('',*,*,#47160,.T.); #62800=ORIENTED_EDGE('',*,*,#47114,.F.); #62801=ORIENTED_EDGE('',*,*,#47161,.F.); #62802=ORIENTED_EDGE('',*,*,#47162,.T.); #62803=ORIENTED_EDGE('',*,*,#47163,.T.); #62804=ORIENTED_EDGE('',*,*,#47164,.F.); #62805=ORIENTED_EDGE('',*,*,#47165,.F.); #62806=ORIENTED_EDGE('',*,*,#47164,.T.); #62807=ORIENTED_EDGE('',*,*,#47166,.T.); #62808=ORIENTED_EDGE('',*,*,#47167,.F.); #62809=ORIENTED_EDGE('',*,*,#47168,.F.); #62810=ORIENTED_EDGE('',*,*,#47167,.T.); #62811=ORIENTED_EDGE('',*,*,#47169,.T.); #62812=ORIENTED_EDGE('',*,*,#47170,.F.); #62813=ORIENTED_EDGE('',*,*,#47171,.F.); #62814=ORIENTED_EDGE('',*,*,#47170,.T.); #62815=ORIENTED_EDGE('',*,*,#47172,.T.); #62816=ORIENTED_EDGE('',*,*,#47173,.F.); #62817=ORIENTED_EDGE('',*,*,#47174,.F.); #62818=ORIENTED_EDGE('',*,*,#47173,.T.); #62819=ORIENTED_EDGE('',*,*,#47175,.T.); #62820=ORIENTED_EDGE('',*,*,#47176,.F.); #62821=ORIENTED_EDGE('',*,*,#47177,.F.); #62822=ORIENTED_EDGE('',*,*,#47176,.T.); #62823=ORIENTED_EDGE('',*,*,#47178,.T.); #62824=ORIENTED_EDGE('',*,*,#47179,.F.); #62825=ORIENTED_EDGE('',*,*,#47180,.F.); #62826=ORIENTED_EDGE('',*,*,#47179,.T.); #62827=ORIENTED_EDGE('',*,*,#47181,.T.); #62828=ORIENTED_EDGE('',*,*,#47182,.F.); #62829=ORIENTED_EDGE('',*,*,#47183,.F.); #62830=ORIENTED_EDGE('',*,*,#47182,.T.); #62831=ORIENTED_EDGE('',*,*,#47184,.T.); #62832=ORIENTED_EDGE('',*,*,#47185,.F.); #62833=ORIENTED_EDGE('',*,*,#47186,.F.); #62834=ORIENTED_EDGE('',*,*,#47185,.T.); #62835=ORIENTED_EDGE('',*,*,#47187,.T.); #62836=ORIENTED_EDGE('',*,*,#47188,.F.); #62837=ORIENTED_EDGE('',*,*,#47189,.F.); #62838=ORIENTED_EDGE('',*,*,#47188,.T.); #62839=ORIENTED_EDGE('',*,*,#47190,.T.); #62840=ORIENTED_EDGE('',*,*,#47191,.F.); #62841=ORIENTED_EDGE('',*,*,#47192,.F.); #62842=ORIENTED_EDGE('',*,*,#47191,.T.); #62843=ORIENTED_EDGE('',*,*,#47193,.T.); #62844=ORIENTED_EDGE('',*,*,#47194,.F.); #62845=ORIENTED_EDGE('',*,*,#47195,.F.); #62846=ORIENTED_EDGE('',*,*,#47194,.T.); #62847=ORIENTED_EDGE('',*,*,#47196,.T.); #62848=ORIENTED_EDGE('',*,*,#47197,.F.); #62849=ORIENTED_EDGE('',*,*,#47198,.F.); #62850=ORIENTED_EDGE('',*,*,#47197,.T.); #62851=ORIENTED_EDGE('',*,*,#47199,.T.); #62852=ORIENTED_EDGE('',*,*,#47200,.F.); #62853=ORIENTED_EDGE('',*,*,#47201,.F.); #62854=ORIENTED_EDGE('',*,*,#47200,.T.); #62855=ORIENTED_EDGE('',*,*,#47202,.T.); #62856=ORIENTED_EDGE('',*,*,#47203,.F.); #62857=ORIENTED_EDGE('',*,*,#47204,.F.); #62858=ORIENTED_EDGE('',*,*,#47203,.T.); #62859=ORIENTED_EDGE('',*,*,#47205,.T.); #62860=ORIENTED_EDGE('',*,*,#47206,.F.); #62861=ORIENTED_EDGE('',*,*,#47207,.F.); #62862=ORIENTED_EDGE('',*,*,#47206,.T.); #62863=ORIENTED_EDGE('',*,*,#47208,.T.); #62864=ORIENTED_EDGE('',*,*,#47162,.F.); #62865=ORIENTED_EDGE('',*,*,#47209,.F.); #62866=ORIENTED_EDGE('',*,*,#47210,.T.); #62867=ORIENTED_EDGE('',*,*,#47211,.T.); #62868=ORIENTED_EDGE('',*,*,#47212,.F.); #62869=ORIENTED_EDGE('',*,*,#47213,.F.); #62870=ORIENTED_EDGE('',*,*,#47214,.T.); #62871=ORIENTED_EDGE('',*,*,#47215,.T.); #62872=ORIENTED_EDGE('',*,*,#47210,.F.); #62873=ORIENTED_EDGE('',*,*,#47216,.F.); #62874=ORIENTED_EDGE('',*,*,#47217,.T.); #62875=ORIENTED_EDGE('',*,*,#47218,.T.); #62876=ORIENTED_EDGE('',*,*,#47214,.F.); #62877=ORIENTED_EDGE('',*,*,#47219,.F.); #62878=ORIENTED_EDGE('',*,*,#47220,.T.); #62879=ORIENTED_EDGE('',*,*,#47221,.T.); #62880=ORIENTED_EDGE('',*,*,#47217,.F.); #62881=ORIENTED_EDGE('',*,*,#47222,.F.); #62882=ORIENTED_EDGE('',*,*,#47223,.T.); #62883=ORIENTED_EDGE('',*,*,#47224,.T.); #62884=ORIENTED_EDGE('',*,*,#47220,.F.); #62885=ORIENTED_EDGE('',*,*,#47225,.F.); #62886=ORIENTED_EDGE('',*,*,#47226,.T.); #62887=ORIENTED_EDGE('',*,*,#47227,.T.); #62888=ORIENTED_EDGE('',*,*,#47223,.F.); #62889=ORIENTED_EDGE('',*,*,#47228,.F.); #62890=ORIENTED_EDGE('',*,*,#47229,.T.); #62891=ORIENTED_EDGE('',*,*,#47230,.T.); #62892=ORIENTED_EDGE('',*,*,#47226,.F.); #62893=ORIENTED_EDGE('',*,*,#47231,.F.); #62894=ORIENTED_EDGE('',*,*,#47232,.T.); #62895=ORIENTED_EDGE('',*,*,#47233,.T.); #62896=ORIENTED_EDGE('',*,*,#47229,.F.); #62897=ORIENTED_EDGE('',*,*,#47234,.F.); #62898=ORIENTED_EDGE('',*,*,#47235,.T.); #62899=ORIENTED_EDGE('',*,*,#47236,.T.); #62900=ORIENTED_EDGE('',*,*,#47232,.F.); #62901=ORIENTED_EDGE('',*,*,#47237,.F.); #62902=ORIENTED_EDGE('',*,*,#47238,.T.); #62903=ORIENTED_EDGE('',*,*,#47239,.T.); #62904=ORIENTED_EDGE('',*,*,#47235,.F.); #62905=ORIENTED_EDGE('',*,*,#47240,.F.); #62906=ORIENTED_EDGE('',*,*,#47241,.T.); #62907=ORIENTED_EDGE('',*,*,#47242,.T.); #62908=ORIENTED_EDGE('',*,*,#47238,.F.); #62909=ORIENTED_EDGE('',*,*,#47243,.F.); #62910=ORIENTED_EDGE('',*,*,#47244,.T.); #62911=ORIENTED_EDGE('',*,*,#47245,.T.); #62912=ORIENTED_EDGE('',*,*,#47241,.F.); #62913=ORIENTED_EDGE('',*,*,#47246,.F.); #62914=ORIENTED_EDGE('',*,*,#47247,.T.); #62915=ORIENTED_EDGE('',*,*,#47248,.T.); #62916=ORIENTED_EDGE('',*,*,#47244,.F.); #62917=ORIENTED_EDGE('',*,*,#47249,.F.); #62918=ORIENTED_EDGE('',*,*,#47250,.T.); #62919=ORIENTED_EDGE('',*,*,#47251,.T.); #62920=ORIENTED_EDGE('',*,*,#47247,.F.); #62921=ORIENTED_EDGE('',*,*,#47252,.F.); #62922=ORIENTED_EDGE('',*,*,#47253,.T.); #62923=ORIENTED_EDGE('',*,*,#47254,.T.); #62924=ORIENTED_EDGE('',*,*,#47250,.F.); #62925=ORIENTED_EDGE('',*,*,#47255,.F.); #62926=ORIENTED_EDGE('',*,*,#47256,.T.); #62927=ORIENTED_EDGE('',*,*,#47257,.T.); #62928=ORIENTED_EDGE('',*,*,#47253,.F.); #62929=ORIENTED_EDGE('',*,*,#47258,.F.); #62930=ORIENTED_EDGE('',*,*,#47259,.T.); #62931=ORIENTED_EDGE('',*,*,#47260,.T.); #62932=ORIENTED_EDGE('',*,*,#47256,.F.); #62933=ORIENTED_EDGE('',*,*,#47261,.F.); #62934=ORIENTED_EDGE('',*,*,#47262,.T.); #62935=ORIENTED_EDGE('',*,*,#47263,.T.); #62936=ORIENTED_EDGE('',*,*,#47259,.F.); #62937=ORIENTED_EDGE('',*,*,#47264,.F.); #62938=ORIENTED_EDGE('',*,*,#47265,.T.); #62939=ORIENTED_EDGE('',*,*,#47266,.T.); #62940=ORIENTED_EDGE('',*,*,#47262,.F.); #62941=ORIENTED_EDGE('',*,*,#47267,.F.); #62942=ORIENTED_EDGE('',*,*,#47268,.T.); #62943=ORIENTED_EDGE('',*,*,#47269,.T.); #62944=ORIENTED_EDGE('',*,*,#47265,.F.); #62945=ORIENTED_EDGE('',*,*,#47270,.F.); #62946=ORIENTED_EDGE('',*,*,#47271,.T.); #62947=ORIENTED_EDGE('',*,*,#47272,.T.); #62948=ORIENTED_EDGE('',*,*,#47268,.F.); #62949=ORIENTED_EDGE('',*,*,#47273,.F.); #62950=ORIENTED_EDGE('',*,*,#47274,.T.); #62951=ORIENTED_EDGE('',*,*,#47275,.T.); #62952=ORIENTED_EDGE('',*,*,#47271,.F.); #62953=ORIENTED_EDGE('',*,*,#47276,.F.); #62954=ORIENTED_EDGE('',*,*,#47277,.T.); #62955=ORIENTED_EDGE('',*,*,#47278,.T.); #62956=ORIENTED_EDGE('',*,*,#47274,.F.); #62957=ORIENTED_EDGE('',*,*,#47279,.F.); #62958=ORIENTED_EDGE('',*,*,#47280,.T.); #62959=ORIENTED_EDGE('',*,*,#47281,.T.); #62960=ORIENTED_EDGE('',*,*,#47277,.F.); #62961=ORIENTED_EDGE('',*,*,#47282,.F.); #62962=ORIENTED_EDGE('',*,*,#47283,.T.); #62963=ORIENTED_EDGE('',*,*,#47284,.T.); #62964=ORIENTED_EDGE('',*,*,#47280,.F.); #62965=ORIENTED_EDGE('',*,*,#47285,.F.); #62966=ORIENTED_EDGE('',*,*,#47286,.T.); #62967=ORIENTED_EDGE('',*,*,#47287,.T.); #62968=ORIENTED_EDGE('',*,*,#47283,.F.); #62969=ORIENTED_EDGE('',*,*,#47288,.F.); #62970=ORIENTED_EDGE('',*,*,#47289,.T.); #62971=ORIENTED_EDGE('',*,*,#47290,.T.); #62972=ORIENTED_EDGE('',*,*,#47286,.F.); #62973=ORIENTED_EDGE('',*,*,#47291,.F.); #62974=ORIENTED_EDGE('',*,*,#47292,.T.); #62975=ORIENTED_EDGE('',*,*,#47293,.T.); #62976=ORIENTED_EDGE('',*,*,#47289,.F.); #62977=ORIENTED_EDGE('',*,*,#47294,.F.); #62978=ORIENTED_EDGE('',*,*,#47295,.T.); #62979=ORIENTED_EDGE('',*,*,#47296,.T.); #62980=ORIENTED_EDGE('',*,*,#47292,.F.); #62981=ORIENTED_EDGE('',*,*,#47297,.F.); #62982=ORIENTED_EDGE('',*,*,#47298,.T.); #62983=ORIENTED_EDGE('',*,*,#47299,.T.); #62984=ORIENTED_EDGE('',*,*,#47295,.F.); #62985=ORIENTED_EDGE('',*,*,#47300,.F.); #62986=ORIENTED_EDGE('',*,*,#47301,.T.); #62987=ORIENTED_EDGE('',*,*,#47302,.T.); #62988=ORIENTED_EDGE('',*,*,#47298,.F.); #62989=ORIENTED_EDGE('',*,*,#47303,.F.); #62990=ORIENTED_EDGE('',*,*,#47304,.T.); #62991=ORIENTED_EDGE('',*,*,#47305,.T.); #62992=ORIENTED_EDGE('',*,*,#47301,.F.); #62993=ORIENTED_EDGE('',*,*,#47306,.F.); #62994=ORIENTED_EDGE('',*,*,#47307,.T.); #62995=ORIENTED_EDGE('',*,*,#47308,.T.); #62996=ORIENTED_EDGE('',*,*,#47304,.F.); #62997=ORIENTED_EDGE('',*,*,#47309,.F.); #62998=ORIENTED_EDGE('',*,*,#47212,.T.); #62999=ORIENTED_EDGE('',*,*,#47310,.T.); #63000=ORIENTED_EDGE('',*,*,#47307,.F.); #63001=ORIENTED_EDGE('',*,*,#47310,.F.); #63002=ORIENTED_EDGE('',*,*,#47211,.F.); #63003=ORIENTED_EDGE('',*,*,#47215,.F.); #63004=ORIENTED_EDGE('',*,*,#47218,.F.); #63005=ORIENTED_EDGE('',*,*,#47221,.F.); #63006=ORIENTED_EDGE('',*,*,#47224,.F.); #63007=ORIENTED_EDGE('',*,*,#47227,.F.); #63008=ORIENTED_EDGE('',*,*,#47230,.F.); #63009=ORIENTED_EDGE('',*,*,#47233,.F.); #63010=ORIENTED_EDGE('',*,*,#47236,.F.); #63011=ORIENTED_EDGE('',*,*,#47239,.F.); #63012=ORIENTED_EDGE('',*,*,#47242,.F.); #63013=ORIENTED_EDGE('',*,*,#47245,.F.); #63014=ORIENTED_EDGE('',*,*,#47248,.F.); #63015=ORIENTED_EDGE('',*,*,#47251,.F.); #63016=ORIENTED_EDGE('',*,*,#47254,.F.); #63017=ORIENTED_EDGE('',*,*,#47257,.F.); #63018=ORIENTED_EDGE('',*,*,#47260,.F.); #63019=ORIENTED_EDGE('',*,*,#47263,.F.); #63020=ORIENTED_EDGE('',*,*,#47266,.F.); #63021=ORIENTED_EDGE('',*,*,#47269,.F.); #63022=ORIENTED_EDGE('',*,*,#47272,.F.); #63023=ORIENTED_EDGE('',*,*,#47275,.F.); #63024=ORIENTED_EDGE('',*,*,#47278,.F.); #63025=ORIENTED_EDGE('',*,*,#47281,.F.); #63026=ORIENTED_EDGE('',*,*,#47284,.F.); #63027=ORIENTED_EDGE('',*,*,#47287,.F.); #63028=ORIENTED_EDGE('',*,*,#47290,.F.); #63029=ORIENTED_EDGE('',*,*,#47293,.F.); #63030=ORIENTED_EDGE('',*,*,#47296,.F.); #63031=ORIENTED_EDGE('',*,*,#47299,.F.); #63032=ORIENTED_EDGE('',*,*,#47302,.F.); #63033=ORIENTED_EDGE('',*,*,#47305,.F.); #63034=ORIENTED_EDGE('',*,*,#47308,.F.); #63035=ORIENTED_EDGE('',*,*,#47115,.F.); #63036=ORIENTED_EDGE('',*,*,#47160,.F.); #63037=ORIENTED_EDGE('',*,*,#47157,.F.); #63038=ORIENTED_EDGE('',*,*,#47154,.F.); #63039=ORIENTED_EDGE('',*,*,#47151,.F.); #63040=ORIENTED_EDGE('',*,*,#47148,.F.); #63041=ORIENTED_EDGE('',*,*,#47145,.F.); #63042=ORIENTED_EDGE('',*,*,#47142,.F.); #63043=ORIENTED_EDGE('',*,*,#47139,.F.); #63044=ORIENTED_EDGE('',*,*,#47136,.F.); #63045=ORIENTED_EDGE('',*,*,#47133,.F.); #63046=ORIENTED_EDGE('',*,*,#47130,.F.); #63047=ORIENTED_EDGE('',*,*,#47127,.F.); #63048=ORIENTED_EDGE('',*,*,#47124,.F.); #63049=ORIENTED_EDGE('',*,*,#47121,.F.); #63050=ORIENTED_EDGE('',*,*,#47118,.F.); #63051=ORIENTED_EDGE('',*,*,#47163,.F.); #63052=ORIENTED_EDGE('',*,*,#47208,.F.); #63053=ORIENTED_EDGE('',*,*,#47205,.F.); #63054=ORIENTED_EDGE('',*,*,#47202,.F.); #63055=ORIENTED_EDGE('',*,*,#47199,.F.); #63056=ORIENTED_EDGE('',*,*,#47196,.F.); #63057=ORIENTED_EDGE('',*,*,#47193,.F.); #63058=ORIENTED_EDGE('',*,*,#47190,.F.); #63059=ORIENTED_EDGE('',*,*,#47187,.F.); #63060=ORIENTED_EDGE('',*,*,#47184,.F.); #63061=ORIENTED_EDGE('',*,*,#47181,.F.); #63062=ORIENTED_EDGE('',*,*,#47178,.F.); #63063=ORIENTED_EDGE('',*,*,#47175,.F.); #63064=ORIENTED_EDGE('',*,*,#47172,.F.); #63065=ORIENTED_EDGE('',*,*,#47169,.F.); #63066=ORIENTED_EDGE('',*,*,#47166,.F.); #63067=ORIENTED_EDGE('',*,*,#47311,.F.); #63068=ORIENTED_EDGE('',*,*,#47312,.T.); #63069=ORIENTED_EDGE('',*,*,#47313,.T.); #63070=ORIENTED_EDGE('',*,*,#47314,.F.); #63071=ORIENTED_EDGE('',*,*,#47315,.F.); #63072=ORIENTED_EDGE('',*,*,#47316,.T.); #63073=ORIENTED_EDGE('',*,*,#47317,.T.); #63074=ORIENTED_EDGE('',*,*,#47312,.F.); #63075=ORIENTED_EDGE('',*,*,#47318,.F.); #63076=ORIENTED_EDGE('',*,*,#47319,.T.); #63077=ORIENTED_EDGE('',*,*,#47320,.T.); #63078=ORIENTED_EDGE('',*,*,#47316,.F.); #63079=ORIENTED_EDGE('',*,*,#47321,.F.); #63080=ORIENTED_EDGE('',*,*,#47322,.T.); #63081=ORIENTED_EDGE('',*,*,#47323,.T.); #63082=ORIENTED_EDGE('',*,*,#47319,.F.); #63083=ORIENTED_EDGE('',*,*,#47324,.F.); #63084=ORIENTED_EDGE('',*,*,#47325,.T.); #63085=ORIENTED_EDGE('',*,*,#47326,.T.); #63086=ORIENTED_EDGE('',*,*,#47322,.F.); #63087=ORIENTED_EDGE('',*,*,#47327,.F.); #63088=ORIENTED_EDGE('',*,*,#47328,.T.); #63089=ORIENTED_EDGE('',*,*,#47329,.T.); #63090=ORIENTED_EDGE('',*,*,#47325,.F.); #63091=ORIENTED_EDGE('',*,*,#47330,.F.); #63092=ORIENTED_EDGE('',*,*,#47314,.T.); #63093=ORIENTED_EDGE('',*,*,#47331,.T.); #63094=ORIENTED_EDGE('',*,*,#47328,.F.); #63095=ORIENTED_EDGE('',*,*,#47331,.F.); #63096=ORIENTED_EDGE('',*,*,#47313,.F.); #63097=ORIENTED_EDGE('',*,*,#47317,.F.); #63098=ORIENTED_EDGE('',*,*,#47320,.F.); #63099=ORIENTED_EDGE('',*,*,#47323,.F.); #63100=ORIENTED_EDGE('',*,*,#47326,.F.); #63101=ORIENTED_EDGE('',*,*,#47329,.F.); #63102=ORIENTED_EDGE('',*,*,#47332,.F.); #63103=ORIENTED_EDGE('',*,*,#47333,.T.); #63104=ORIENTED_EDGE('',*,*,#47334,.T.); #63105=ORIENTED_EDGE('',*,*,#47335,.F.); #63106=ORIENTED_EDGE('',*,*,#47336,.F.); #63107=ORIENTED_EDGE('',*,*,#47337,.T.); #63108=ORIENTED_EDGE('',*,*,#47338,.T.); #63109=ORIENTED_EDGE('',*,*,#47333,.F.); #63110=ORIENTED_EDGE('',*,*,#47339,.F.); #63111=ORIENTED_EDGE('',*,*,#47340,.T.); #63112=ORIENTED_EDGE('',*,*,#47341,.T.); #63113=ORIENTED_EDGE('',*,*,#47337,.F.); #63114=ORIENTED_EDGE('',*,*,#47342,.F.); #63115=ORIENTED_EDGE('',*,*,#47343,.T.); #63116=ORIENTED_EDGE('',*,*,#47344,.T.); #63117=ORIENTED_EDGE('',*,*,#47340,.F.); #63118=ORIENTED_EDGE('',*,*,#47345,.F.); #63119=ORIENTED_EDGE('',*,*,#47346,.T.); #63120=ORIENTED_EDGE('',*,*,#47347,.T.); #63121=ORIENTED_EDGE('',*,*,#47343,.F.); #63122=ORIENTED_EDGE('',*,*,#47348,.F.); #63123=ORIENTED_EDGE('',*,*,#47349,.T.); #63124=ORIENTED_EDGE('',*,*,#47350,.T.); #63125=ORIENTED_EDGE('',*,*,#47346,.F.); #63126=ORIENTED_EDGE('',*,*,#47351,.F.); #63127=ORIENTED_EDGE('',*,*,#47352,.T.); #63128=ORIENTED_EDGE('',*,*,#47353,.T.); #63129=ORIENTED_EDGE('',*,*,#47349,.F.); #63130=ORIENTED_EDGE('',*,*,#47354,.F.); #63131=ORIENTED_EDGE('',*,*,#47355,.T.); #63132=ORIENTED_EDGE('',*,*,#47356,.T.); #63133=ORIENTED_EDGE('',*,*,#47352,.F.); #63134=ORIENTED_EDGE('',*,*,#47357,.F.); #63135=ORIENTED_EDGE('',*,*,#47335,.T.); #63136=ORIENTED_EDGE('',*,*,#47358,.T.); #63137=ORIENTED_EDGE('',*,*,#47355,.F.); #63138=ORIENTED_EDGE('',*,*,#47358,.F.); #63139=ORIENTED_EDGE('',*,*,#47334,.F.); #63140=ORIENTED_EDGE('',*,*,#47338,.F.); #63141=ORIENTED_EDGE('',*,*,#47341,.F.); #63142=ORIENTED_EDGE('',*,*,#47344,.F.); #63143=ORIENTED_EDGE('',*,*,#47347,.F.); #63144=ORIENTED_EDGE('',*,*,#47350,.F.); #63145=ORIENTED_EDGE('',*,*,#47353,.F.); #63146=ORIENTED_EDGE('',*,*,#47356,.F.); #63147=ORIENTED_EDGE('',*,*,#47359,.F.); #63148=ORIENTED_EDGE('',*,*,#47360,.T.); #63149=ORIENTED_EDGE('',*,*,#47361,.T.); #63150=ORIENTED_EDGE('',*,*,#47362,.F.); #63151=ORIENTED_EDGE('',*,*,#47363,.F.); #63152=ORIENTED_EDGE('',*,*,#47364,.T.); #63153=ORIENTED_EDGE('',*,*,#47365,.T.); #63154=ORIENTED_EDGE('',*,*,#47360,.F.); #63155=ORIENTED_EDGE('',*,*,#47366,.F.); #63156=ORIENTED_EDGE('',*,*,#47367,.T.); #63157=ORIENTED_EDGE('',*,*,#47368,.T.); #63158=ORIENTED_EDGE('',*,*,#47364,.F.); #63159=ORIENTED_EDGE('',*,*,#47369,.F.); #63160=ORIENTED_EDGE('',*,*,#47370,.T.); #63161=ORIENTED_EDGE('',*,*,#47371,.T.); #63162=ORIENTED_EDGE('',*,*,#47367,.F.); #63163=ORIENTED_EDGE('',*,*,#47372,.F.); #63164=ORIENTED_EDGE('',*,*,#47373,.T.); #63165=ORIENTED_EDGE('',*,*,#47374,.T.); #63166=ORIENTED_EDGE('',*,*,#47370,.F.); #63167=ORIENTED_EDGE('',*,*,#47375,.F.); #63168=ORIENTED_EDGE('',*,*,#47376,.T.); #63169=ORIENTED_EDGE('',*,*,#47377,.T.); #63170=ORIENTED_EDGE('',*,*,#47373,.F.); #63171=ORIENTED_EDGE('',*,*,#47378,.F.); #63172=ORIENTED_EDGE('',*,*,#47379,.T.); #63173=ORIENTED_EDGE('',*,*,#47380,.T.); #63174=ORIENTED_EDGE('',*,*,#47376,.F.); #63175=ORIENTED_EDGE('',*,*,#47381,.F.); #63176=ORIENTED_EDGE('',*,*,#47382,.T.); #63177=ORIENTED_EDGE('',*,*,#47383,.T.); #63178=ORIENTED_EDGE('',*,*,#47379,.F.); #63179=ORIENTED_EDGE('',*,*,#47384,.F.); #63180=ORIENTED_EDGE('',*,*,#47385,.T.); #63181=ORIENTED_EDGE('',*,*,#47386,.T.); #63182=ORIENTED_EDGE('',*,*,#47382,.F.); #63183=ORIENTED_EDGE('',*,*,#47387,.F.); #63184=ORIENTED_EDGE('',*,*,#47362,.T.); #63185=ORIENTED_EDGE('',*,*,#47388,.T.); #63186=ORIENTED_EDGE('',*,*,#47385,.F.); #63187=ORIENTED_EDGE('',*,*,#47388,.F.); #63188=ORIENTED_EDGE('',*,*,#47361,.F.); #63189=ORIENTED_EDGE('',*,*,#47365,.F.); #63190=ORIENTED_EDGE('',*,*,#47368,.F.); #63191=ORIENTED_EDGE('',*,*,#47371,.F.); #63192=ORIENTED_EDGE('',*,*,#47374,.F.); #63193=ORIENTED_EDGE('',*,*,#47377,.F.); #63194=ORIENTED_EDGE('',*,*,#47380,.F.); #63195=ORIENTED_EDGE('',*,*,#47383,.F.); #63196=ORIENTED_EDGE('',*,*,#47386,.F.); #63197=ORIENTED_EDGE('',*,*,#47389,.F.); #63198=ORIENTED_EDGE('',*,*,#47390,.T.); #63199=ORIENTED_EDGE('',*,*,#47391,.T.); #63200=ORIENTED_EDGE('',*,*,#47392,.F.); #63201=ORIENTED_EDGE('',*,*,#47393,.F.); #63202=ORIENTED_EDGE('',*,*,#47394,.T.); #63203=ORIENTED_EDGE('',*,*,#47395,.T.); #63204=ORIENTED_EDGE('',*,*,#47390,.F.); #63205=ORIENTED_EDGE('',*,*,#47396,.F.); #63206=ORIENTED_EDGE('',*,*,#47397,.T.); #63207=ORIENTED_EDGE('',*,*,#47398,.T.); #63208=ORIENTED_EDGE('',*,*,#47394,.F.); #63209=ORIENTED_EDGE('',*,*,#47399,.F.); #63210=ORIENTED_EDGE('',*,*,#47400,.T.); #63211=ORIENTED_EDGE('',*,*,#47401,.T.); #63212=ORIENTED_EDGE('',*,*,#47397,.F.); #63213=ORIENTED_EDGE('',*,*,#47402,.F.); #63214=ORIENTED_EDGE('',*,*,#47403,.T.); #63215=ORIENTED_EDGE('',*,*,#47404,.T.); #63216=ORIENTED_EDGE('',*,*,#47400,.F.); #63217=ORIENTED_EDGE('',*,*,#47405,.F.); #63218=ORIENTED_EDGE('',*,*,#47406,.T.); #63219=ORIENTED_EDGE('',*,*,#47407,.T.); #63220=ORIENTED_EDGE('',*,*,#47403,.F.); #63221=ORIENTED_EDGE('',*,*,#47408,.F.); #63222=ORIENTED_EDGE('',*,*,#47409,.T.); #63223=ORIENTED_EDGE('',*,*,#47410,.T.); #63224=ORIENTED_EDGE('',*,*,#47406,.F.); #63225=ORIENTED_EDGE('',*,*,#47411,.F.); #63226=ORIENTED_EDGE('',*,*,#47412,.T.); #63227=ORIENTED_EDGE('',*,*,#47413,.T.); #63228=ORIENTED_EDGE('',*,*,#47409,.F.); #63229=ORIENTED_EDGE('',*,*,#47414,.F.); #63230=ORIENTED_EDGE('',*,*,#47415,.T.); #63231=ORIENTED_EDGE('',*,*,#47416,.T.); #63232=ORIENTED_EDGE('',*,*,#47412,.F.); #63233=ORIENTED_EDGE('',*,*,#47417,.F.); #63234=ORIENTED_EDGE('',*,*,#47418,.T.); #63235=ORIENTED_EDGE('',*,*,#47419,.T.); #63236=ORIENTED_EDGE('',*,*,#47415,.F.); #63237=ORIENTED_EDGE('',*,*,#47420,.F.); #63238=ORIENTED_EDGE('',*,*,#47421,.T.); #63239=ORIENTED_EDGE('',*,*,#47422,.T.); #63240=ORIENTED_EDGE('',*,*,#47418,.F.); #63241=ORIENTED_EDGE('',*,*,#47423,.F.); #63242=ORIENTED_EDGE('',*,*,#47424,.T.); #63243=ORIENTED_EDGE('',*,*,#47425,.T.); #63244=ORIENTED_EDGE('',*,*,#47421,.F.); #63245=ORIENTED_EDGE('',*,*,#47426,.F.); #63246=ORIENTED_EDGE('',*,*,#47427,.T.); #63247=ORIENTED_EDGE('',*,*,#47428,.T.); #63248=ORIENTED_EDGE('',*,*,#47424,.F.); #63249=ORIENTED_EDGE('',*,*,#47429,.F.); #63250=ORIENTED_EDGE('',*,*,#47430,.T.); #63251=ORIENTED_EDGE('',*,*,#47431,.T.); #63252=ORIENTED_EDGE('',*,*,#47427,.F.); #63253=ORIENTED_EDGE('',*,*,#47432,.F.); #63254=ORIENTED_EDGE('',*,*,#47433,.T.); #63255=ORIENTED_EDGE('',*,*,#47434,.T.); #63256=ORIENTED_EDGE('',*,*,#47430,.F.); #63257=ORIENTED_EDGE('',*,*,#47435,.F.); #63258=ORIENTED_EDGE('',*,*,#47392,.T.); #63259=ORIENTED_EDGE('',*,*,#47436,.T.); #63260=ORIENTED_EDGE('',*,*,#47433,.F.); #63261=ORIENTED_EDGE('',*,*,#47436,.F.); #63262=ORIENTED_EDGE('',*,*,#47391,.F.); #63263=ORIENTED_EDGE('',*,*,#47395,.F.); #63264=ORIENTED_EDGE('',*,*,#47398,.F.); #63265=ORIENTED_EDGE('',*,*,#47401,.F.); #63266=ORIENTED_EDGE('',*,*,#47404,.F.); #63267=ORIENTED_EDGE('',*,*,#47407,.F.); #63268=ORIENTED_EDGE('',*,*,#47410,.F.); #63269=ORIENTED_EDGE('',*,*,#47413,.F.); #63270=ORIENTED_EDGE('',*,*,#47416,.F.); #63271=ORIENTED_EDGE('',*,*,#47419,.F.); #63272=ORIENTED_EDGE('',*,*,#47422,.F.); #63273=ORIENTED_EDGE('',*,*,#47425,.F.); #63274=ORIENTED_EDGE('',*,*,#47428,.F.); #63275=ORIENTED_EDGE('',*,*,#47431,.F.); #63276=ORIENTED_EDGE('',*,*,#47434,.F.); #63277=ORIENTED_EDGE('',*,*,#47437,.F.); #63278=ORIENTED_EDGE('',*,*,#47438,.T.); #63279=ORIENTED_EDGE('',*,*,#47439,.T.); #63280=ORIENTED_EDGE('',*,*,#47440,.F.); #63281=ORIENTED_EDGE('',*,*,#47441,.F.); #63282=ORIENTED_EDGE('',*,*,#47442,.T.); #63283=ORIENTED_EDGE('',*,*,#47443,.T.); #63284=ORIENTED_EDGE('',*,*,#47438,.F.); #63285=ORIENTED_EDGE('',*,*,#47444,.F.); #63286=ORIENTED_EDGE('',*,*,#47445,.T.); #63287=ORIENTED_EDGE('',*,*,#47446,.T.); #63288=ORIENTED_EDGE('',*,*,#47442,.F.); #63289=ORIENTED_EDGE('',*,*,#47447,.F.); #63290=ORIENTED_EDGE('',*,*,#47448,.T.); #63291=ORIENTED_EDGE('',*,*,#47449,.T.); #63292=ORIENTED_EDGE('',*,*,#47445,.F.); #63293=ORIENTED_EDGE('',*,*,#47450,.F.); #63294=ORIENTED_EDGE('',*,*,#47451,.T.); #63295=ORIENTED_EDGE('',*,*,#47452,.T.); #63296=ORIENTED_EDGE('',*,*,#47448,.F.); #63297=ORIENTED_EDGE('',*,*,#47453,.F.); #63298=ORIENTED_EDGE('',*,*,#47454,.T.); #63299=ORIENTED_EDGE('',*,*,#47455,.T.); #63300=ORIENTED_EDGE('',*,*,#47451,.F.); #63301=ORIENTED_EDGE('',*,*,#47456,.F.); #63302=ORIENTED_EDGE('',*,*,#47457,.T.); #63303=ORIENTED_EDGE('',*,*,#47458,.T.); #63304=ORIENTED_EDGE('',*,*,#47454,.F.); #63305=ORIENTED_EDGE('',*,*,#47459,.F.); #63306=ORIENTED_EDGE('',*,*,#47460,.T.); #63307=ORIENTED_EDGE('',*,*,#47461,.T.); #63308=ORIENTED_EDGE('',*,*,#47457,.F.); #63309=ORIENTED_EDGE('',*,*,#47462,.F.); #63310=ORIENTED_EDGE('',*,*,#47463,.T.); #63311=ORIENTED_EDGE('',*,*,#47464,.T.); #63312=ORIENTED_EDGE('',*,*,#47460,.F.); #63313=ORIENTED_EDGE('',*,*,#47465,.F.); #63314=ORIENTED_EDGE('',*,*,#47466,.T.); #63315=ORIENTED_EDGE('',*,*,#47467,.T.); #63316=ORIENTED_EDGE('',*,*,#47463,.F.); #63317=ORIENTED_EDGE('',*,*,#47468,.F.); #63318=ORIENTED_EDGE('',*,*,#47469,.T.); #63319=ORIENTED_EDGE('',*,*,#47470,.T.); #63320=ORIENTED_EDGE('',*,*,#47466,.F.); #63321=ORIENTED_EDGE('',*,*,#47471,.F.); #63322=ORIENTED_EDGE('',*,*,#47472,.T.); #63323=ORIENTED_EDGE('',*,*,#47473,.T.); #63324=ORIENTED_EDGE('',*,*,#47469,.F.); #63325=ORIENTED_EDGE('',*,*,#47474,.F.); #63326=ORIENTED_EDGE('',*,*,#47475,.T.); #63327=ORIENTED_EDGE('',*,*,#47476,.T.); #63328=ORIENTED_EDGE('',*,*,#47472,.F.); #63329=ORIENTED_EDGE('',*,*,#47477,.F.); #63330=ORIENTED_EDGE('',*,*,#47478,.T.); #63331=ORIENTED_EDGE('',*,*,#47479,.T.); #63332=ORIENTED_EDGE('',*,*,#47475,.F.); #63333=ORIENTED_EDGE('',*,*,#47480,.F.); #63334=ORIENTED_EDGE('',*,*,#47440,.T.); #63335=ORIENTED_EDGE('',*,*,#47481,.T.); #63336=ORIENTED_EDGE('',*,*,#47478,.F.); #63337=ORIENTED_EDGE('',*,*,#47481,.F.); #63338=ORIENTED_EDGE('',*,*,#47439,.F.); #63339=ORIENTED_EDGE('',*,*,#47443,.F.); #63340=ORIENTED_EDGE('',*,*,#47446,.F.); #63341=ORIENTED_EDGE('',*,*,#47449,.F.); #63342=ORIENTED_EDGE('',*,*,#47452,.F.); #63343=ORIENTED_EDGE('',*,*,#47455,.F.); #63344=ORIENTED_EDGE('',*,*,#47458,.F.); #63345=ORIENTED_EDGE('',*,*,#47461,.F.); #63346=ORIENTED_EDGE('',*,*,#47464,.F.); #63347=ORIENTED_EDGE('',*,*,#47467,.F.); #63348=ORIENTED_EDGE('',*,*,#47470,.F.); #63349=ORIENTED_EDGE('',*,*,#47473,.F.); #63350=ORIENTED_EDGE('',*,*,#47476,.F.); #63351=ORIENTED_EDGE('',*,*,#47479,.F.); #63352=ORIENTED_EDGE('',*,*,#47482,.F.); #63353=ORIENTED_EDGE('',*,*,#47483,.T.); #63354=ORIENTED_EDGE('',*,*,#47484,.T.); #63355=ORIENTED_EDGE('',*,*,#47485,.F.); #63356=ORIENTED_EDGE('',*,*,#47486,.F.); #63357=ORIENTED_EDGE('',*,*,#47487,.T.); #63358=ORIENTED_EDGE('',*,*,#47488,.T.); #63359=ORIENTED_EDGE('',*,*,#47483,.F.); #63360=ORIENTED_EDGE('',*,*,#47489,.F.); #63361=ORIENTED_EDGE('',*,*,#47490,.T.); #63362=ORIENTED_EDGE('',*,*,#47491,.T.); #63363=ORIENTED_EDGE('',*,*,#47487,.F.); #63364=ORIENTED_EDGE('',*,*,#47492,.F.); #63365=ORIENTED_EDGE('',*,*,#47493,.T.); #63366=ORIENTED_EDGE('',*,*,#47494,.T.); #63367=ORIENTED_EDGE('',*,*,#47490,.F.); #63368=ORIENTED_EDGE('',*,*,#47495,.F.); #63369=ORIENTED_EDGE('',*,*,#47496,.T.); #63370=ORIENTED_EDGE('',*,*,#47497,.T.); #63371=ORIENTED_EDGE('',*,*,#47493,.F.); #63372=ORIENTED_EDGE('',*,*,#47498,.F.); #63373=ORIENTED_EDGE('',*,*,#47499,.T.); #63374=ORIENTED_EDGE('',*,*,#47500,.T.); #63375=ORIENTED_EDGE('',*,*,#47496,.F.); #63376=ORIENTED_EDGE('',*,*,#47501,.F.); #63377=ORIENTED_EDGE('',*,*,#47502,.T.); #63378=ORIENTED_EDGE('',*,*,#47503,.T.); #63379=ORIENTED_EDGE('',*,*,#47499,.F.); #63380=ORIENTED_EDGE('',*,*,#47504,.F.); #63381=ORIENTED_EDGE('',*,*,#47505,.T.); #63382=ORIENTED_EDGE('',*,*,#47506,.T.); #63383=ORIENTED_EDGE('',*,*,#47502,.F.); #63384=ORIENTED_EDGE('',*,*,#47507,.F.); #63385=ORIENTED_EDGE('',*,*,#47508,.T.); #63386=ORIENTED_EDGE('',*,*,#47509,.T.); #63387=ORIENTED_EDGE('',*,*,#47505,.F.); #63388=ORIENTED_EDGE('',*,*,#47510,.F.); #63389=ORIENTED_EDGE('',*,*,#47511,.T.); #63390=ORIENTED_EDGE('',*,*,#47512,.T.); #63391=ORIENTED_EDGE('',*,*,#47508,.F.); #63392=ORIENTED_EDGE('',*,*,#47513,.F.); #63393=ORIENTED_EDGE('',*,*,#47514,.T.); #63394=ORIENTED_EDGE('',*,*,#47515,.T.); #63395=ORIENTED_EDGE('',*,*,#47511,.F.); #63396=ORIENTED_EDGE('',*,*,#47516,.F.); #63397=ORIENTED_EDGE('',*,*,#47517,.T.); #63398=ORIENTED_EDGE('',*,*,#47518,.T.); #63399=ORIENTED_EDGE('',*,*,#47514,.F.); #63400=ORIENTED_EDGE('',*,*,#47519,.F.); #63401=ORIENTED_EDGE('',*,*,#47520,.T.); #63402=ORIENTED_EDGE('',*,*,#47521,.T.); #63403=ORIENTED_EDGE('',*,*,#47517,.F.); #63404=ORIENTED_EDGE('',*,*,#47522,.F.); #63405=ORIENTED_EDGE('',*,*,#47523,.T.); #63406=ORIENTED_EDGE('',*,*,#47524,.T.); #63407=ORIENTED_EDGE('',*,*,#47520,.F.); #63408=ORIENTED_EDGE('',*,*,#47525,.F.); #63409=ORIENTED_EDGE('',*,*,#47526,.T.); #63410=ORIENTED_EDGE('',*,*,#47527,.T.); #63411=ORIENTED_EDGE('',*,*,#47523,.F.); #63412=ORIENTED_EDGE('',*,*,#47528,.F.); #63413=ORIENTED_EDGE('',*,*,#47485,.T.); #63414=ORIENTED_EDGE('',*,*,#47529,.T.); #63415=ORIENTED_EDGE('',*,*,#47526,.F.); #63416=ORIENTED_EDGE('',*,*,#47529,.F.); #63417=ORIENTED_EDGE('',*,*,#47484,.F.); #63418=ORIENTED_EDGE('',*,*,#47488,.F.); #63419=ORIENTED_EDGE('',*,*,#47491,.F.); #63420=ORIENTED_EDGE('',*,*,#47494,.F.); #63421=ORIENTED_EDGE('',*,*,#47497,.F.); #63422=ORIENTED_EDGE('',*,*,#47500,.F.); #63423=ORIENTED_EDGE('',*,*,#47503,.F.); #63424=ORIENTED_EDGE('',*,*,#47506,.F.); #63425=ORIENTED_EDGE('',*,*,#47509,.F.); #63426=ORIENTED_EDGE('',*,*,#47512,.F.); #63427=ORIENTED_EDGE('',*,*,#47515,.F.); #63428=ORIENTED_EDGE('',*,*,#47518,.F.); #63429=ORIENTED_EDGE('',*,*,#47521,.F.); #63430=ORIENTED_EDGE('',*,*,#47524,.F.); #63431=ORIENTED_EDGE('',*,*,#47527,.F.); #63432=ORIENTED_EDGE('',*,*,#47530,.F.); #63433=ORIENTED_EDGE('',*,*,#47531,.T.); #63434=ORIENTED_EDGE('',*,*,#47532,.T.); #63435=ORIENTED_EDGE('',*,*,#47533,.F.); #63436=ORIENTED_EDGE('',*,*,#47534,.F.); #63437=ORIENTED_EDGE('',*,*,#47535,.T.); #63438=ORIENTED_EDGE('',*,*,#47536,.T.); #63439=ORIENTED_EDGE('',*,*,#47531,.F.); #63440=ORIENTED_EDGE('',*,*,#47537,.F.); #63441=ORIENTED_EDGE('',*,*,#47538,.T.); #63442=ORIENTED_EDGE('',*,*,#47539,.T.); #63443=ORIENTED_EDGE('',*,*,#47535,.F.); #63444=ORIENTED_EDGE('',*,*,#47540,.F.); #63445=ORIENTED_EDGE('',*,*,#47541,.T.); #63446=ORIENTED_EDGE('',*,*,#47542,.T.); #63447=ORIENTED_EDGE('',*,*,#47538,.F.); #63448=ORIENTED_EDGE('',*,*,#47543,.F.); #63449=ORIENTED_EDGE('',*,*,#47544,.T.); #63450=ORIENTED_EDGE('',*,*,#47545,.T.); #63451=ORIENTED_EDGE('',*,*,#47541,.F.); #63452=ORIENTED_EDGE('',*,*,#47546,.F.); #63453=ORIENTED_EDGE('',*,*,#47547,.T.); #63454=ORIENTED_EDGE('',*,*,#47548,.T.); #63455=ORIENTED_EDGE('',*,*,#47544,.F.); #63456=ORIENTED_EDGE('',*,*,#47549,.F.); #63457=ORIENTED_EDGE('',*,*,#47533,.T.); #63458=ORIENTED_EDGE('',*,*,#47550,.T.); #63459=ORIENTED_EDGE('',*,*,#47547,.F.); #63460=ORIENTED_EDGE('',*,*,#47550,.F.); #63461=ORIENTED_EDGE('',*,*,#47532,.F.); #63462=ORIENTED_EDGE('',*,*,#47536,.F.); #63463=ORIENTED_EDGE('',*,*,#47539,.F.); #63464=ORIENTED_EDGE('',*,*,#47542,.F.); #63465=ORIENTED_EDGE('',*,*,#47545,.F.); #63466=ORIENTED_EDGE('',*,*,#47548,.F.); #63467=ORIENTED_EDGE('',*,*,#47551,.F.); #63468=ORIENTED_EDGE('',*,*,#47552,.T.); #63469=ORIENTED_EDGE('',*,*,#47553,.T.); #63470=ORIENTED_EDGE('',*,*,#47554,.F.); #63471=ORIENTED_EDGE('',*,*,#47555,.F.); #63472=ORIENTED_EDGE('',*,*,#47556,.T.); #63473=ORIENTED_EDGE('',*,*,#47557,.T.); #63474=ORIENTED_EDGE('',*,*,#47552,.F.); #63475=ORIENTED_EDGE('',*,*,#47558,.F.); #63476=ORIENTED_EDGE('',*,*,#47559,.T.); #63477=ORIENTED_EDGE('',*,*,#47560,.T.); #63478=ORIENTED_EDGE('',*,*,#47556,.F.); #63479=ORIENTED_EDGE('',*,*,#47561,.F.); #63480=ORIENTED_EDGE('',*,*,#47562,.T.); #63481=ORIENTED_EDGE('',*,*,#47563,.T.); #63482=ORIENTED_EDGE('',*,*,#47559,.F.); #63483=ORIENTED_EDGE('',*,*,#47564,.F.); #63484=ORIENTED_EDGE('',*,*,#47565,.T.); #63485=ORIENTED_EDGE('',*,*,#47566,.T.); #63486=ORIENTED_EDGE('',*,*,#47562,.F.); #63487=ORIENTED_EDGE('',*,*,#47567,.F.); #63488=ORIENTED_EDGE('',*,*,#47568,.T.); #63489=ORIENTED_EDGE('',*,*,#47569,.T.); #63490=ORIENTED_EDGE('',*,*,#47565,.F.); #63491=ORIENTED_EDGE('',*,*,#47570,.F.); #63492=ORIENTED_EDGE('',*,*,#47571,.T.); #63493=ORIENTED_EDGE('',*,*,#47572,.T.); #63494=ORIENTED_EDGE('',*,*,#47568,.F.); #63495=ORIENTED_EDGE('',*,*,#47573,.F.); #63496=ORIENTED_EDGE('',*,*,#47574,.T.); #63497=ORIENTED_EDGE('',*,*,#47575,.T.); #63498=ORIENTED_EDGE('',*,*,#47571,.F.); #63499=ORIENTED_EDGE('',*,*,#47576,.F.); #63500=ORIENTED_EDGE('',*,*,#47577,.T.); #63501=ORIENTED_EDGE('',*,*,#47578,.T.); #63502=ORIENTED_EDGE('',*,*,#47574,.F.); #63503=ORIENTED_EDGE('',*,*,#47579,.F.); #63504=ORIENTED_EDGE('',*,*,#47580,.T.); #63505=ORIENTED_EDGE('',*,*,#47581,.T.); #63506=ORIENTED_EDGE('',*,*,#47577,.F.); #63507=ORIENTED_EDGE('',*,*,#47582,.F.); #63508=ORIENTED_EDGE('',*,*,#47583,.T.); #63509=ORIENTED_EDGE('',*,*,#47584,.T.); #63510=ORIENTED_EDGE('',*,*,#47580,.F.); #63511=ORIENTED_EDGE('',*,*,#47585,.F.); #63512=ORIENTED_EDGE('',*,*,#47554,.T.); #63513=ORIENTED_EDGE('',*,*,#47586,.T.); #63514=ORIENTED_EDGE('',*,*,#47583,.F.); #63515=ORIENTED_EDGE('',*,*,#47586,.F.); #63516=ORIENTED_EDGE('',*,*,#47553,.F.); #63517=ORIENTED_EDGE('',*,*,#47557,.F.); #63518=ORIENTED_EDGE('',*,*,#47560,.F.); #63519=ORIENTED_EDGE('',*,*,#47563,.F.); #63520=ORIENTED_EDGE('',*,*,#47566,.F.); #63521=ORIENTED_EDGE('',*,*,#47569,.F.); #63522=ORIENTED_EDGE('',*,*,#47572,.F.); #63523=ORIENTED_EDGE('',*,*,#47575,.F.); #63524=ORIENTED_EDGE('',*,*,#47578,.F.); #63525=ORIENTED_EDGE('',*,*,#47581,.F.); #63526=ORIENTED_EDGE('',*,*,#47584,.F.); #63527=ORIENTED_EDGE('',*,*,#47587,.F.); #63528=ORIENTED_EDGE('',*,*,#47588,.T.); #63529=ORIENTED_EDGE('',*,*,#47589,.T.); #63530=ORIENTED_EDGE('',*,*,#47590,.F.); #63531=ORIENTED_EDGE('',*,*,#47591,.F.); #63532=ORIENTED_EDGE('',*,*,#47592,.T.); #63533=ORIENTED_EDGE('',*,*,#47593,.T.); #63534=ORIENTED_EDGE('',*,*,#47588,.F.); #63535=ORIENTED_EDGE('',*,*,#47594,.F.); #63536=ORIENTED_EDGE('',*,*,#47595,.T.); #63537=ORIENTED_EDGE('',*,*,#47596,.T.); #63538=ORIENTED_EDGE('',*,*,#47592,.F.); #63539=ORIENTED_EDGE('',*,*,#47597,.F.); #63540=ORIENTED_EDGE('',*,*,#47598,.T.); #63541=ORIENTED_EDGE('',*,*,#47599,.T.); #63542=ORIENTED_EDGE('',*,*,#47595,.F.); #63543=ORIENTED_EDGE('',*,*,#47600,.F.); #63544=ORIENTED_EDGE('',*,*,#47601,.T.); #63545=ORIENTED_EDGE('',*,*,#47602,.T.); #63546=ORIENTED_EDGE('',*,*,#47598,.F.); #63547=ORIENTED_EDGE('',*,*,#47603,.F.); #63548=ORIENTED_EDGE('',*,*,#47604,.T.); #63549=ORIENTED_EDGE('',*,*,#47605,.T.); #63550=ORIENTED_EDGE('',*,*,#47601,.F.); #63551=ORIENTED_EDGE('',*,*,#47606,.F.); #63552=ORIENTED_EDGE('',*,*,#47607,.T.); #63553=ORIENTED_EDGE('',*,*,#47608,.T.); #63554=ORIENTED_EDGE('',*,*,#47604,.F.); #63555=ORIENTED_EDGE('',*,*,#47609,.F.); #63556=ORIENTED_EDGE('',*,*,#47610,.T.); #63557=ORIENTED_EDGE('',*,*,#47611,.T.); #63558=ORIENTED_EDGE('',*,*,#47607,.F.); #63559=ORIENTED_EDGE('',*,*,#47612,.F.); #63560=ORIENTED_EDGE('',*,*,#47613,.T.); #63561=ORIENTED_EDGE('',*,*,#47614,.T.); #63562=ORIENTED_EDGE('',*,*,#47610,.F.); #63563=ORIENTED_EDGE('',*,*,#47615,.F.); #63564=ORIENTED_EDGE('',*,*,#47616,.T.); #63565=ORIENTED_EDGE('',*,*,#47617,.T.); #63566=ORIENTED_EDGE('',*,*,#47613,.F.); #63567=ORIENTED_EDGE('',*,*,#47618,.F.); #63568=ORIENTED_EDGE('',*,*,#47619,.T.); #63569=ORIENTED_EDGE('',*,*,#47620,.T.); #63570=ORIENTED_EDGE('',*,*,#47616,.F.); #63571=ORIENTED_EDGE('',*,*,#47621,.F.); #63572=ORIENTED_EDGE('',*,*,#47590,.T.); #63573=ORIENTED_EDGE('',*,*,#47622,.T.); #63574=ORIENTED_EDGE('',*,*,#47619,.F.); #63575=ORIENTED_EDGE('',*,*,#47622,.F.); #63576=ORIENTED_EDGE('',*,*,#47589,.F.); #63577=ORIENTED_EDGE('',*,*,#47593,.F.); #63578=ORIENTED_EDGE('',*,*,#47596,.F.); #63579=ORIENTED_EDGE('',*,*,#47599,.F.); #63580=ORIENTED_EDGE('',*,*,#47602,.F.); #63581=ORIENTED_EDGE('',*,*,#47605,.F.); #63582=ORIENTED_EDGE('',*,*,#47608,.F.); #63583=ORIENTED_EDGE('',*,*,#47611,.F.); #63584=ORIENTED_EDGE('',*,*,#47614,.F.); #63585=ORIENTED_EDGE('',*,*,#47617,.F.); #63586=ORIENTED_EDGE('',*,*,#47620,.F.); #63587=ORIENTED_EDGE('',*,*,#47623,.F.); #63588=ORIENTED_EDGE('',*,*,#47624,.T.); #63589=ORIENTED_EDGE('',*,*,#47625,.T.); #63590=ORIENTED_EDGE('',*,*,#47626,.F.); #63591=ORIENTED_EDGE('',*,*,#47627,.F.); #63592=ORIENTED_EDGE('',*,*,#47626,.T.); #63593=ORIENTED_EDGE('',*,*,#47628,.T.); #63594=ORIENTED_EDGE('',*,*,#47629,.F.); #63595=ORIENTED_EDGE('',*,*,#47630,.F.); #63596=ORIENTED_EDGE('',*,*,#47629,.T.); #63597=ORIENTED_EDGE('',*,*,#47631,.T.); #63598=ORIENTED_EDGE('',*,*,#47624,.F.); #63599=ORIENTED_EDGE('',*,*,#47632,.F.); #63600=ORIENTED_EDGE('',*,*,#47633,.T.); #63601=ORIENTED_EDGE('',*,*,#47634,.T.); #63602=ORIENTED_EDGE('',*,*,#47635,.F.); #63603=ORIENTED_EDGE('',*,*,#47636,.F.); #63604=ORIENTED_EDGE('',*,*,#47637,.T.); #63605=ORIENTED_EDGE('',*,*,#47638,.T.); #63606=ORIENTED_EDGE('',*,*,#47633,.F.); #63607=ORIENTED_EDGE('',*,*,#47639,.F.); #63608=ORIENTED_EDGE('',*,*,#47640,.T.); #63609=ORIENTED_EDGE('',*,*,#47641,.T.); #63610=ORIENTED_EDGE('',*,*,#47637,.F.); #63611=ORIENTED_EDGE('',*,*,#47642,.F.); #63612=ORIENTED_EDGE('',*,*,#47643,.T.); #63613=ORIENTED_EDGE('',*,*,#47644,.T.); #63614=ORIENTED_EDGE('',*,*,#47640,.F.); #63615=ORIENTED_EDGE('',*,*,#47645,.F.); #63616=ORIENTED_EDGE('',*,*,#47646,.T.); #63617=ORIENTED_EDGE('',*,*,#47647,.T.); #63618=ORIENTED_EDGE('',*,*,#47643,.F.); #63619=ORIENTED_EDGE('',*,*,#47648,.F.); #63620=ORIENTED_EDGE('',*,*,#47649,.T.); #63621=ORIENTED_EDGE('',*,*,#47650,.T.); #63622=ORIENTED_EDGE('',*,*,#47646,.F.); #63623=ORIENTED_EDGE('',*,*,#47651,.F.); #63624=ORIENTED_EDGE('',*,*,#47652,.T.); #63625=ORIENTED_EDGE('',*,*,#47653,.T.); #63626=ORIENTED_EDGE('',*,*,#47649,.F.); #63627=ORIENTED_EDGE('',*,*,#47654,.F.); #63628=ORIENTED_EDGE('',*,*,#47635,.T.); #63629=ORIENTED_EDGE('',*,*,#47655,.T.); #63630=ORIENTED_EDGE('',*,*,#47652,.F.); #63631=ORIENTED_EDGE('',*,*,#47655,.F.); #63632=ORIENTED_EDGE('',*,*,#47634,.F.); #63633=ORIENTED_EDGE('',*,*,#47638,.F.); #63634=ORIENTED_EDGE('',*,*,#47641,.F.); #63635=ORIENTED_EDGE('',*,*,#47644,.F.); #63636=ORIENTED_EDGE('',*,*,#47647,.F.); #63637=ORIENTED_EDGE('',*,*,#47650,.F.); #63638=ORIENTED_EDGE('',*,*,#47653,.F.); #63639=ORIENTED_EDGE('',*,*,#47625,.F.); #63640=ORIENTED_EDGE('',*,*,#47631,.F.); #63641=ORIENTED_EDGE('',*,*,#47628,.F.); #63642=ORIENTED_EDGE('',*,*,#47656,.F.); #63643=ORIENTED_EDGE('',*,*,#47657,.T.); #63644=ORIENTED_EDGE('',*,*,#47658,.T.); #63645=ORIENTED_EDGE('',*,*,#47659,.F.); #63646=ORIENTED_EDGE('',*,*,#47660,.F.); #63647=ORIENTED_EDGE('',*,*,#47659,.T.); #63648=ORIENTED_EDGE('',*,*,#47661,.T.); #63649=ORIENTED_EDGE('',*,*,#47662,.F.); #63650=ORIENTED_EDGE('',*,*,#47663,.F.); #63651=ORIENTED_EDGE('',*,*,#47662,.T.); #63652=ORIENTED_EDGE('',*,*,#47664,.T.); #63653=ORIENTED_EDGE('',*,*,#47665,.F.); #63654=ORIENTED_EDGE('',*,*,#47666,.F.); #63655=ORIENTED_EDGE('',*,*,#47665,.T.); #63656=ORIENTED_EDGE('',*,*,#47667,.T.); #63657=ORIENTED_EDGE('',*,*,#47668,.F.); #63658=ORIENTED_EDGE('',*,*,#47669,.F.); #63659=ORIENTED_EDGE('',*,*,#47668,.T.); #63660=ORIENTED_EDGE('',*,*,#47670,.T.); #63661=ORIENTED_EDGE('',*,*,#47671,.F.); #63662=ORIENTED_EDGE('',*,*,#47672,.F.); #63663=ORIENTED_EDGE('',*,*,#47671,.T.); #63664=ORIENTED_EDGE('',*,*,#47673,.T.); #63665=ORIENTED_EDGE('',*,*,#47674,.F.); #63666=ORIENTED_EDGE('',*,*,#47675,.F.); #63667=ORIENTED_EDGE('',*,*,#47674,.T.); #63668=ORIENTED_EDGE('',*,*,#47676,.T.); #63669=ORIENTED_EDGE('',*,*,#47657,.F.); #63670=ORIENTED_EDGE('',*,*,#47677,.F.); #63671=ORIENTED_EDGE('',*,*,#47678,.T.); #63672=ORIENTED_EDGE('',*,*,#47679,.T.); #63673=ORIENTED_EDGE('',*,*,#47680,.F.); #63674=ORIENTED_EDGE('',*,*,#47681,.F.); #63675=ORIENTED_EDGE('',*,*,#47682,.T.); #63676=ORIENTED_EDGE('',*,*,#47683,.T.); #63677=ORIENTED_EDGE('',*,*,#47678,.F.); #63678=ORIENTED_EDGE('',*,*,#47684,.F.); #63679=ORIENTED_EDGE('',*,*,#47685,.T.); #63680=ORIENTED_EDGE('',*,*,#47686,.T.); #63681=ORIENTED_EDGE('',*,*,#47682,.F.); #63682=ORIENTED_EDGE('',*,*,#47687,.F.); #63683=ORIENTED_EDGE('',*,*,#47688,.T.); #63684=ORIENTED_EDGE('',*,*,#47689,.T.); #63685=ORIENTED_EDGE('',*,*,#47685,.F.); #63686=ORIENTED_EDGE('',*,*,#47690,.F.); #63687=ORIENTED_EDGE('',*,*,#47691,.T.); #63688=ORIENTED_EDGE('',*,*,#47692,.T.); #63689=ORIENTED_EDGE('',*,*,#47688,.F.); #63690=ORIENTED_EDGE('',*,*,#47693,.F.); #63691=ORIENTED_EDGE('',*,*,#47694,.T.); #63692=ORIENTED_EDGE('',*,*,#47695,.T.); #63693=ORIENTED_EDGE('',*,*,#47691,.F.); #63694=ORIENTED_EDGE('',*,*,#47696,.F.); #63695=ORIENTED_EDGE('',*,*,#47697,.T.); #63696=ORIENTED_EDGE('',*,*,#47698,.T.); #63697=ORIENTED_EDGE('',*,*,#47694,.F.); #63698=ORIENTED_EDGE('',*,*,#47699,.F.); #63699=ORIENTED_EDGE('',*,*,#47700,.T.); #63700=ORIENTED_EDGE('',*,*,#47701,.T.); #63701=ORIENTED_EDGE('',*,*,#47697,.F.); #63702=ORIENTED_EDGE('',*,*,#47702,.F.); #63703=ORIENTED_EDGE('',*,*,#47703,.T.); #63704=ORIENTED_EDGE('',*,*,#47704,.T.); #63705=ORIENTED_EDGE('',*,*,#47700,.F.); #63706=ORIENTED_EDGE('',*,*,#47705,.F.); #63707=ORIENTED_EDGE('',*,*,#47706,.T.); #63708=ORIENTED_EDGE('',*,*,#47707,.T.); #63709=ORIENTED_EDGE('',*,*,#47703,.F.); #63710=ORIENTED_EDGE('',*,*,#47708,.F.); #63711=ORIENTED_EDGE('',*,*,#47680,.T.); #63712=ORIENTED_EDGE('',*,*,#47709,.T.); #63713=ORIENTED_EDGE('',*,*,#47706,.F.); #63714=ORIENTED_EDGE('',*,*,#47709,.F.); #63715=ORIENTED_EDGE('',*,*,#47679,.F.); #63716=ORIENTED_EDGE('',*,*,#47683,.F.); #63717=ORIENTED_EDGE('',*,*,#47686,.F.); #63718=ORIENTED_EDGE('',*,*,#47689,.F.); #63719=ORIENTED_EDGE('',*,*,#47692,.F.); #63720=ORIENTED_EDGE('',*,*,#47695,.F.); #63721=ORIENTED_EDGE('',*,*,#47698,.F.); #63722=ORIENTED_EDGE('',*,*,#47701,.F.); #63723=ORIENTED_EDGE('',*,*,#47704,.F.); #63724=ORIENTED_EDGE('',*,*,#47707,.F.); #63725=ORIENTED_EDGE('',*,*,#47658,.F.); #63726=ORIENTED_EDGE('',*,*,#47676,.F.); #63727=ORIENTED_EDGE('',*,*,#47673,.F.); #63728=ORIENTED_EDGE('',*,*,#47670,.F.); #63729=ORIENTED_EDGE('',*,*,#47667,.F.); #63730=ORIENTED_EDGE('',*,*,#47664,.F.); #63731=ORIENTED_EDGE('',*,*,#47661,.F.); #63732=ORIENTED_EDGE('',*,*,#47710,.F.); #63733=ORIENTED_EDGE('',*,*,#47711,.T.); #63734=ORIENTED_EDGE('',*,*,#47712,.T.); #63735=ORIENTED_EDGE('',*,*,#47713,.F.); #63736=ORIENTED_EDGE('',*,*,#47714,.F.); #63737=ORIENTED_EDGE('',*,*,#47713,.T.); #63738=ORIENTED_EDGE('',*,*,#47715,.T.); #63739=ORIENTED_EDGE('',*,*,#47716,.F.); #63740=ORIENTED_EDGE('',*,*,#47717,.F.); #63741=ORIENTED_EDGE('',*,*,#47716,.T.); #63742=ORIENTED_EDGE('',*,*,#47718,.T.); #63743=ORIENTED_EDGE('',*,*,#47719,.F.); #63744=ORIENTED_EDGE('',*,*,#47720,.F.); #63745=ORIENTED_EDGE('',*,*,#47719,.T.); #63746=ORIENTED_EDGE('',*,*,#47721,.T.); #63747=ORIENTED_EDGE('',*,*,#47722,.F.); #63748=ORIENTED_EDGE('',*,*,#47723,.F.); #63749=ORIENTED_EDGE('',*,*,#47722,.T.); #63750=ORIENTED_EDGE('',*,*,#47724,.T.); #63751=ORIENTED_EDGE('',*,*,#47725,.F.); #63752=ORIENTED_EDGE('',*,*,#47726,.F.); #63753=ORIENTED_EDGE('',*,*,#47725,.T.); #63754=ORIENTED_EDGE('',*,*,#47727,.T.); #63755=ORIENTED_EDGE('',*,*,#47728,.F.); #63756=ORIENTED_EDGE('',*,*,#47729,.F.); #63757=ORIENTED_EDGE('',*,*,#47728,.T.); #63758=ORIENTED_EDGE('',*,*,#47730,.T.); #63759=ORIENTED_EDGE('',*,*,#47711,.F.); #63760=ORIENTED_EDGE('',*,*,#47731,.F.); #63761=ORIENTED_EDGE('',*,*,#47732,.T.); #63762=ORIENTED_EDGE('',*,*,#47733,.T.); #63763=ORIENTED_EDGE('',*,*,#47734,.F.); #63764=ORIENTED_EDGE('',*,*,#47735,.F.); #63765=ORIENTED_EDGE('',*,*,#47736,.T.); #63766=ORIENTED_EDGE('',*,*,#47737,.T.); #63767=ORIENTED_EDGE('',*,*,#47732,.F.); #63768=ORIENTED_EDGE('',*,*,#47738,.F.); #63769=ORIENTED_EDGE('',*,*,#47739,.T.); #63770=ORIENTED_EDGE('',*,*,#47740,.T.); #63771=ORIENTED_EDGE('',*,*,#47736,.F.); #63772=ORIENTED_EDGE('',*,*,#47741,.F.); #63773=ORIENTED_EDGE('',*,*,#47742,.T.); #63774=ORIENTED_EDGE('',*,*,#47743,.T.); #63775=ORIENTED_EDGE('',*,*,#47739,.F.); #63776=ORIENTED_EDGE('',*,*,#47744,.F.); #63777=ORIENTED_EDGE('',*,*,#47745,.T.); #63778=ORIENTED_EDGE('',*,*,#47746,.T.); #63779=ORIENTED_EDGE('',*,*,#47742,.F.); #63780=ORIENTED_EDGE('',*,*,#47747,.F.); #63781=ORIENTED_EDGE('',*,*,#47748,.T.); #63782=ORIENTED_EDGE('',*,*,#47749,.T.); #63783=ORIENTED_EDGE('',*,*,#47745,.F.); #63784=ORIENTED_EDGE('',*,*,#47750,.F.); #63785=ORIENTED_EDGE('',*,*,#47734,.T.); #63786=ORIENTED_EDGE('',*,*,#47751,.T.); #63787=ORIENTED_EDGE('',*,*,#47748,.F.); #63788=ORIENTED_EDGE('',*,*,#47751,.F.); #63789=ORIENTED_EDGE('',*,*,#47733,.F.); #63790=ORIENTED_EDGE('',*,*,#47737,.F.); #63791=ORIENTED_EDGE('',*,*,#47740,.F.); #63792=ORIENTED_EDGE('',*,*,#47743,.F.); #63793=ORIENTED_EDGE('',*,*,#47746,.F.); #63794=ORIENTED_EDGE('',*,*,#47749,.F.); #63795=ORIENTED_EDGE('',*,*,#47712,.F.); #63796=ORIENTED_EDGE('',*,*,#47730,.F.); #63797=ORIENTED_EDGE('',*,*,#47727,.F.); #63798=ORIENTED_EDGE('',*,*,#47724,.F.); #63799=ORIENTED_EDGE('',*,*,#47721,.F.); #63800=ORIENTED_EDGE('',*,*,#47718,.F.); #63801=ORIENTED_EDGE('',*,*,#47715,.F.); #63802=ORIENTED_EDGE('',*,*,#47752,.F.); #63803=ORIENTED_EDGE('',*,*,#47753,.T.); #63804=ORIENTED_EDGE('',*,*,#47754,.T.); #63805=ORIENTED_EDGE('',*,*,#47755,.F.); #63806=ORIENTED_EDGE('',*,*,#47756,.F.); #63807=ORIENTED_EDGE('',*,*,#47757,.T.); #63808=ORIENTED_EDGE('',*,*,#47758,.T.); #63809=ORIENTED_EDGE('',*,*,#47753,.F.); #63810=ORIENTED_EDGE('',*,*,#47759,.F.); #63811=ORIENTED_EDGE('',*,*,#47760,.T.); #63812=ORIENTED_EDGE('',*,*,#47761,.T.); #63813=ORIENTED_EDGE('',*,*,#47757,.F.); #63814=ORIENTED_EDGE('',*,*,#47762,.F.); #63815=ORIENTED_EDGE('',*,*,#47763,.T.); #63816=ORIENTED_EDGE('',*,*,#47764,.T.); #63817=ORIENTED_EDGE('',*,*,#47760,.F.); #63818=ORIENTED_EDGE('',*,*,#47765,.F.); #63819=ORIENTED_EDGE('',*,*,#47766,.T.); #63820=ORIENTED_EDGE('',*,*,#47767,.T.); #63821=ORIENTED_EDGE('',*,*,#47763,.F.); #63822=ORIENTED_EDGE('',*,*,#47768,.F.); #63823=ORIENTED_EDGE('',*,*,#47769,.T.); #63824=ORIENTED_EDGE('',*,*,#47770,.T.); #63825=ORIENTED_EDGE('',*,*,#47766,.F.); #63826=ORIENTED_EDGE('',*,*,#47771,.F.); #63827=ORIENTED_EDGE('',*,*,#47772,.T.); #63828=ORIENTED_EDGE('',*,*,#47773,.T.); #63829=ORIENTED_EDGE('',*,*,#47769,.F.); #63830=ORIENTED_EDGE('',*,*,#47774,.F.); #63831=ORIENTED_EDGE('',*,*,#47775,.T.); #63832=ORIENTED_EDGE('',*,*,#47776,.T.); #63833=ORIENTED_EDGE('',*,*,#47772,.F.); #63834=ORIENTED_EDGE('',*,*,#47777,.F.); #63835=ORIENTED_EDGE('',*,*,#47778,.T.); #63836=ORIENTED_EDGE('',*,*,#47779,.T.); #63837=ORIENTED_EDGE('',*,*,#47775,.F.); #63838=ORIENTED_EDGE('',*,*,#47780,.F.); #63839=ORIENTED_EDGE('',*,*,#47781,.T.); #63840=ORIENTED_EDGE('',*,*,#47782,.T.); #63841=ORIENTED_EDGE('',*,*,#47778,.F.); #63842=ORIENTED_EDGE('',*,*,#47783,.F.); #63843=ORIENTED_EDGE('',*,*,#47784,.T.); #63844=ORIENTED_EDGE('',*,*,#47785,.T.); #63845=ORIENTED_EDGE('',*,*,#47781,.F.); #63846=ORIENTED_EDGE('',*,*,#47786,.F.); #63847=ORIENTED_EDGE('',*,*,#47787,.T.); #63848=ORIENTED_EDGE('',*,*,#47788,.T.); #63849=ORIENTED_EDGE('',*,*,#47784,.F.); #63850=ORIENTED_EDGE('',*,*,#47789,.F.); #63851=ORIENTED_EDGE('',*,*,#47755,.T.); #63852=ORIENTED_EDGE('',*,*,#47790,.T.); #63853=ORIENTED_EDGE('',*,*,#47787,.F.); #63854=ORIENTED_EDGE('',*,*,#47790,.F.); #63855=ORIENTED_EDGE('',*,*,#47754,.F.); #63856=ORIENTED_EDGE('',*,*,#47758,.F.); #63857=ORIENTED_EDGE('',*,*,#47761,.F.); #63858=ORIENTED_EDGE('',*,*,#47764,.F.); #63859=ORIENTED_EDGE('',*,*,#47767,.F.); #63860=ORIENTED_EDGE('',*,*,#47770,.F.); #63861=ORIENTED_EDGE('',*,*,#47773,.F.); #63862=ORIENTED_EDGE('',*,*,#47776,.F.); #63863=ORIENTED_EDGE('',*,*,#47779,.F.); #63864=ORIENTED_EDGE('',*,*,#47782,.F.); #63865=ORIENTED_EDGE('',*,*,#47785,.F.); #63866=ORIENTED_EDGE('',*,*,#47788,.F.); #63867=ORIENTED_EDGE('',*,*,#47791,.F.); #63868=ORIENTED_EDGE('',*,*,#47792,.T.); #63869=ORIENTED_EDGE('',*,*,#47793,.T.); #63870=ORIENTED_EDGE('',*,*,#47794,.F.); #63871=ORIENTED_EDGE('',*,*,#47795,.F.); #63872=ORIENTED_EDGE('',*,*,#47796,.T.); #63873=ORIENTED_EDGE('',*,*,#47797,.T.); #63874=ORIENTED_EDGE('',*,*,#47792,.F.); #63875=ORIENTED_EDGE('',*,*,#47798,.F.); #63876=ORIENTED_EDGE('',*,*,#47799,.T.); #63877=ORIENTED_EDGE('',*,*,#47800,.T.); #63878=ORIENTED_EDGE('',*,*,#47796,.F.); #63879=ORIENTED_EDGE('',*,*,#47801,.F.); #63880=ORIENTED_EDGE('',*,*,#47802,.T.); #63881=ORIENTED_EDGE('',*,*,#47803,.T.); #63882=ORIENTED_EDGE('',*,*,#47799,.F.); #63883=ORIENTED_EDGE('',*,*,#47804,.F.); #63884=ORIENTED_EDGE('',*,*,#47805,.T.); #63885=ORIENTED_EDGE('',*,*,#47806,.T.); #63886=ORIENTED_EDGE('',*,*,#47802,.F.); #63887=ORIENTED_EDGE('',*,*,#47807,.F.); #63888=ORIENTED_EDGE('',*,*,#47808,.T.); #63889=ORIENTED_EDGE('',*,*,#47809,.T.); #63890=ORIENTED_EDGE('',*,*,#47805,.F.); #63891=ORIENTED_EDGE('',*,*,#47810,.F.); #63892=ORIENTED_EDGE('',*,*,#47811,.T.); #63893=ORIENTED_EDGE('',*,*,#47812,.T.); #63894=ORIENTED_EDGE('',*,*,#47808,.F.); #63895=ORIENTED_EDGE('',*,*,#47813,.F.); #63896=ORIENTED_EDGE('',*,*,#47814,.T.); #63897=ORIENTED_EDGE('',*,*,#47815,.T.); #63898=ORIENTED_EDGE('',*,*,#47811,.F.); #63899=ORIENTED_EDGE('',*,*,#47816,.F.); #63900=ORIENTED_EDGE('',*,*,#47817,.T.); #63901=ORIENTED_EDGE('',*,*,#47818,.T.); #63902=ORIENTED_EDGE('',*,*,#47814,.F.); #63903=ORIENTED_EDGE('',*,*,#47819,.F.); #63904=ORIENTED_EDGE('',*,*,#47820,.T.); #63905=ORIENTED_EDGE('',*,*,#47821,.T.); #63906=ORIENTED_EDGE('',*,*,#47817,.F.); #63907=ORIENTED_EDGE('',*,*,#47822,.F.); #63908=ORIENTED_EDGE('',*,*,#47823,.T.); #63909=ORIENTED_EDGE('',*,*,#47824,.T.); #63910=ORIENTED_EDGE('',*,*,#47820,.F.); #63911=ORIENTED_EDGE('',*,*,#47825,.F.); #63912=ORIENTED_EDGE('',*,*,#47826,.T.); #63913=ORIENTED_EDGE('',*,*,#47827,.T.); #63914=ORIENTED_EDGE('',*,*,#47823,.F.); #63915=ORIENTED_EDGE('',*,*,#47828,.F.); #63916=ORIENTED_EDGE('',*,*,#47829,.T.); #63917=ORIENTED_EDGE('',*,*,#47830,.T.); #63918=ORIENTED_EDGE('',*,*,#47826,.F.); #63919=ORIENTED_EDGE('',*,*,#47831,.F.); #63920=ORIENTED_EDGE('',*,*,#47832,.T.); #63921=ORIENTED_EDGE('',*,*,#47833,.T.); #63922=ORIENTED_EDGE('',*,*,#47829,.F.); #63923=ORIENTED_EDGE('',*,*,#47834,.F.); #63924=ORIENTED_EDGE('',*,*,#47835,.T.); #63925=ORIENTED_EDGE('',*,*,#47836,.T.); #63926=ORIENTED_EDGE('',*,*,#47832,.F.); #63927=ORIENTED_EDGE('',*,*,#47837,.F.); #63928=ORIENTED_EDGE('',*,*,#47838,.T.); #63929=ORIENTED_EDGE('',*,*,#47839,.T.); #63930=ORIENTED_EDGE('',*,*,#47835,.F.); #63931=ORIENTED_EDGE('',*,*,#47840,.F.); #63932=ORIENTED_EDGE('',*,*,#47841,.T.); #63933=ORIENTED_EDGE('',*,*,#47842,.T.); #63934=ORIENTED_EDGE('',*,*,#47838,.F.); #63935=ORIENTED_EDGE('',*,*,#47843,.F.); #63936=ORIENTED_EDGE('',*,*,#47844,.T.); #63937=ORIENTED_EDGE('',*,*,#47845,.T.); #63938=ORIENTED_EDGE('',*,*,#47841,.F.); #63939=ORIENTED_EDGE('',*,*,#47846,.F.); #63940=ORIENTED_EDGE('',*,*,#47847,.T.); #63941=ORIENTED_EDGE('',*,*,#47848,.T.); #63942=ORIENTED_EDGE('',*,*,#47844,.F.); #63943=ORIENTED_EDGE('',*,*,#47849,.F.); #63944=ORIENTED_EDGE('',*,*,#47850,.T.); #63945=ORIENTED_EDGE('',*,*,#47851,.T.); #63946=ORIENTED_EDGE('',*,*,#47847,.F.); #63947=ORIENTED_EDGE('',*,*,#47852,.F.); #63948=ORIENTED_EDGE('',*,*,#47794,.T.); #63949=ORIENTED_EDGE('',*,*,#47853,.T.); #63950=ORIENTED_EDGE('',*,*,#47850,.F.); #63951=ORIENTED_EDGE('',*,*,#47853,.F.); #63952=ORIENTED_EDGE('',*,*,#47793,.F.); #63953=ORIENTED_EDGE('',*,*,#47797,.F.); #63954=ORIENTED_EDGE('',*,*,#47800,.F.); #63955=ORIENTED_EDGE('',*,*,#47803,.F.); #63956=ORIENTED_EDGE('',*,*,#47806,.F.); #63957=ORIENTED_EDGE('',*,*,#47809,.F.); #63958=ORIENTED_EDGE('',*,*,#47812,.F.); #63959=ORIENTED_EDGE('',*,*,#47815,.F.); #63960=ORIENTED_EDGE('',*,*,#47818,.F.); #63961=ORIENTED_EDGE('',*,*,#47821,.F.); #63962=ORIENTED_EDGE('',*,*,#47824,.F.); #63963=ORIENTED_EDGE('',*,*,#47827,.F.); #63964=ORIENTED_EDGE('',*,*,#47830,.F.); #63965=ORIENTED_EDGE('',*,*,#47833,.F.); #63966=ORIENTED_EDGE('',*,*,#47836,.F.); #63967=ORIENTED_EDGE('',*,*,#47839,.F.); #63968=ORIENTED_EDGE('',*,*,#47842,.F.); #63969=ORIENTED_EDGE('',*,*,#47845,.F.); #63970=ORIENTED_EDGE('',*,*,#47848,.F.); #63971=ORIENTED_EDGE('',*,*,#47851,.F.); #63972=ORIENTED_EDGE('',*,*,#47854,.F.); #63973=ORIENTED_EDGE('',*,*,#47855,.T.); #63974=ORIENTED_EDGE('',*,*,#47856,.T.); #63975=ORIENTED_EDGE('',*,*,#47857,.F.); #63976=ORIENTED_EDGE('',*,*,#47858,.F.); #63977=ORIENTED_EDGE('',*,*,#47859,.T.); #63978=ORIENTED_EDGE('',*,*,#47860,.T.); #63979=ORIENTED_EDGE('',*,*,#47855,.F.); #63980=ORIENTED_EDGE('',*,*,#47861,.F.); #63981=ORIENTED_EDGE('',*,*,#47862,.T.); #63982=ORIENTED_EDGE('',*,*,#47863,.T.); #63983=ORIENTED_EDGE('',*,*,#47859,.F.); #63984=ORIENTED_EDGE('',*,*,#47864,.F.); #63985=ORIENTED_EDGE('',*,*,#47865,.T.); #63986=ORIENTED_EDGE('',*,*,#47866,.T.); #63987=ORIENTED_EDGE('',*,*,#47862,.F.); #63988=ORIENTED_EDGE('',*,*,#47867,.F.); #63989=ORIENTED_EDGE('',*,*,#47868,.T.); #63990=ORIENTED_EDGE('',*,*,#47869,.T.); #63991=ORIENTED_EDGE('',*,*,#47865,.F.); #63992=ORIENTED_EDGE('',*,*,#47870,.F.); #63993=ORIENTED_EDGE('',*,*,#47871,.T.); #63994=ORIENTED_EDGE('',*,*,#47872,.T.); #63995=ORIENTED_EDGE('',*,*,#47868,.F.); #63996=ORIENTED_EDGE('',*,*,#47873,.F.); #63997=ORIENTED_EDGE('',*,*,#47874,.T.); #63998=ORIENTED_EDGE('',*,*,#47875,.T.); #63999=ORIENTED_EDGE('',*,*,#47871,.F.); #64000=ORIENTED_EDGE('',*,*,#47876,.F.); #64001=ORIENTED_EDGE('',*,*,#47877,.T.); #64002=ORIENTED_EDGE('',*,*,#47878,.T.); #64003=ORIENTED_EDGE('',*,*,#47874,.F.); #64004=ORIENTED_EDGE('',*,*,#47879,.F.); #64005=ORIENTED_EDGE('',*,*,#47880,.T.); #64006=ORIENTED_EDGE('',*,*,#47881,.T.); #64007=ORIENTED_EDGE('',*,*,#47877,.F.); #64008=ORIENTED_EDGE('',*,*,#47882,.F.); #64009=ORIENTED_EDGE('',*,*,#47883,.T.); #64010=ORIENTED_EDGE('',*,*,#47884,.T.); #64011=ORIENTED_EDGE('',*,*,#47880,.F.); #64012=ORIENTED_EDGE('',*,*,#47885,.F.); #64013=ORIENTED_EDGE('',*,*,#47886,.T.); #64014=ORIENTED_EDGE('',*,*,#47887,.T.); #64015=ORIENTED_EDGE('',*,*,#47883,.F.); #64016=ORIENTED_EDGE('',*,*,#47888,.F.); #64017=ORIENTED_EDGE('',*,*,#47889,.T.); #64018=ORIENTED_EDGE('',*,*,#47890,.T.); #64019=ORIENTED_EDGE('',*,*,#47886,.F.); #64020=ORIENTED_EDGE('',*,*,#47891,.F.); #64021=ORIENTED_EDGE('',*,*,#47892,.T.); #64022=ORIENTED_EDGE('',*,*,#47893,.T.); #64023=ORIENTED_EDGE('',*,*,#47889,.F.); #64024=ORIENTED_EDGE('',*,*,#47894,.F.); #64025=ORIENTED_EDGE('',*,*,#47895,.T.); #64026=ORIENTED_EDGE('',*,*,#47896,.T.); #64027=ORIENTED_EDGE('',*,*,#47892,.F.); #64028=ORIENTED_EDGE('',*,*,#47897,.F.); #64029=ORIENTED_EDGE('',*,*,#47898,.T.); #64030=ORIENTED_EDGE('',*,*,#47899,.T.); #64031=ORIENTED_EDGE('',*,*,#47895,.F.); #64032=ORIENTED_EDGE('',*,*,#47900,.F.); #64033=ORIENTED_EDGE('',*,*,#47901,.T.); #64034=ORIENTED_EDGE('',*,*,#47902,.T.); #64035=ORIENTED_EDGE('',*,*,#47898,.F.); #64036=ORIENTED_EDGE('',*,*,#47903,.F.); #64037=ORIENTED_EDGE('',*,*,#47904,.T.); #64038=ORIENTED_EDGE('',*,*,#47905,.T.); #64039=ORIENTED_EDGE('',*,*,#47901,.F.); #64040=ORIENTED_EDGE('',*,*,#47906,.F.); #64041=ORIENTED_EDGE('',*,*,#47907,.T.); #64042=ORIENTED_EDGE('',*,*,#47908,.T.); #64043=ORIENTED_EDGE('',*,*,#47904,.F.); #64044=ORIENTED_EDGE('',*,*,#47909,.F.); #64045=ORIENTED_EDGE('',*,*,#47910,.T.); #64046=ORIENTED_EDGE('',*,*,#47911,.T.); #64047=ORIENTED_EDGE('',*,*,#47907,.F.); #64048=ORIENTED_EDGE('',*,*,#47912,.F.); #64049=ORIENTED_EDGE('',*,*,#47857,.T.); #64050=ORIENTED_EDGE('',*,*,#47913,.T.); #64051=ORIENTED_EDGE('',*,*,#47910,.F.); #64052=ORIENTED_EDGE('',*,*,#47913,.F.); #64053=ORIENTED_EDGE('',*,*,#47856,.F.); #64054=ORIENTED_EDGE('',*,*,#47860,.F.); #64055=ORIENTED_EDGE('',*,*,#47863,.F.); #64056=ORIENTED_EDGE('',*,*,#47866,.F.); #64057=ORIENTED_EDGE('',*,*,#47869,.F.); #64058=ORIENTED_EDGE('',*,*,#47872,.F.); #64059=ORIENTED_EDGE('',*,*,#47875,.F.); #64060=ORIENTED_EDGE('',*,*,#47878,.F.); #64061=ORIENTED_EDGE('',*,*,#47881,.F.); #64062=ORIENTED_EDGE('',*,*,#47884,.F.); #64063=ORIENTED_EDGE('',*,*,#47887,.F.); #64064=ORIENTED_EDGE('',*,*,#47890,.F.); #64065=ORIENTED_EDGE('',*,*,#47893,.F.); #64066=ORIENTED_EDGE('',*,*,#47896,.F.); #64067=ORIENTED_EDGE('',*,*,#47899,.F.); #64068=ORIENTED_EDGE('',*,*,#47902,.F.); #64069=ORIENTED_EDGE('',*,*,#47905,.F.); #64070=ORIENTED_EDGE('',*,*,#47908,.F.); #64071=ORIENTED_EDGE('',*,*,#47911,.F.); #64072=ORIENTED_EDGE('',*,*,#47914,.F.); #64073=ORIENTED_EDGE('',*,*,#47915,.T.); #64074=ORIENTED_EDGE('',*,*,#47916,.T.); #64075=ORIENTED_EDGE('',*,*,#47917,.F.); #64076=ORIENTED_EDGE('',*,*,#47918,.F.); #64077=ORIENTED_EDGE('',*,*,#47917,.T.); #64078=ORIENTED_EDGE('',*,*,#47919,.T.); #64079=ORIENTED_EDGE('',*,*,#47920,.F.); #64080=ORIENTED_EDGE('',*,*,#47921,.F.); #64081=ORIENTED_EDGE('',*,*,#47920,.T.); #64082=ORIENTED_EDGE('',*,*,#47922,.T.); #64083=ORIENTED_EDGE('',*,*,#47923,.F.); #64084=ORIENTED_EDGE('',*,*,#47924,.F.); #64085=ORIENTED_EDGE('',*,*,#47923,.T.); #64086=ORIENTED_EDGE('',*,*,#47925,.T.); #64087=ORIENTED_EDGE('',*,*,#47926,.F.); #64088=ORIENTED_EDGE('',*,*,#47927,.F.); #64089=ORIENTED_EDGE('',*,*,#47926,.T.); #64090=ORIENTED_EDGE('',*,*,#47928,.T.); #64091=ORIENTED_EDGE('',*,*,#47929,.F.); #64092=ORIENTED_EDGE('',*,*,#47930,.F.); #64093=ORIENTED_EDGE('',*,*,#47929,.T.); #64094=ORIENTED_EDGE('',*,*,#47931,.T.); #64095=ORIENTED_EDGE('',*,*,#47932,.F.); #64096=ORIENTED_EDGE('',*,*,#47933,.F.); #64097=ORIENTED_EDGE('',*,*,#47932,.T.); #64098=ORIENTED_EDGE('',*,*,#47934,.T.); #64099=ORIENTED_EDGE('',*,*,#47915,.F.); #64100=ORIENTED_EDGE('',*,*,#47935,.F.); #64101=ORIENTED_EDGE('',*,*,#47936,.T.); #64102=ORIENTED_EDGE('',*,*,#47937,.T.); #64103=ORIENTED_EDGE('',*,*,#47938,.F.); #64104=ORIENTED_EDGE('',*,*,#47939,.F.); #64105=ORIENTED_EDGE('',*,*,#47940,.T.); #64106=ORIENTED_EDGE('',*,*,#47941,.T.); #64107=ORIENTED_EDGE('',*,*,#47936,.F.); #64108=ORIENTED_EDGE('',*,*,#47942,.F.); #64109=ORIENTED_EDGE('',*,*,#47943,.T.); #64110=ORIENTED_EDGE('',*,*,#47944,.T.); #64111=ORIENTED_EDGE('',*,*,#47940,.F.); #64112=ORIENTED_EDGE('',*,*,#47945,.F.); #64113=ORIENTED_EDGE('',*,*,#47946,.T.); #64114=ORIENTED_EDGE('',*,*,#47947,.T.); #64115=ORIENTED_EDGE('',*,*,#47943,.F.); #64116=ORIENTED_EDGE('',*,*,#47948,.F.); #64117=ORIENTED_EDGE('',*,*,#47949,.T.); #64118=ORIENTED_EDGE('',*,*,#47950,.T.); #64119=ORIENTED_EDGE('',*,*,#47946,.F.); #64120=ORIENTED_EDGE('',*,*,#47951,.F.); #64121=ORIENTED_EDGE('',*,*,#47952,.T.); #64122=ORIENTED_EDGE('',*,*,#47953,.T.); #64123=ORIENTED_EDGE('',*,*,#47949,.F.); #64124=ORIENTED_EDGE('',*,*,#47954,.F.); #64125=ORIENTED_EDGE('',*,*,#47955,.T.); #64126=ORIENTED_EDGE('',*,*,#47956,.T.); #64127=ORIENTED_EDGE('',*,*,#47952,.F.); #64128=ORIENTED_EDGE('',*,*,#47957,.F.); #64129=ORIENTED_EDGE('',*,*,#47958,.T.); #64130=ORIENTED_EDGE('',*,*,#47959,.T.); #64131=ORIENTED_EDGE('',*,*,#47955,.F.); #64132=ORIENTED_EDGE('',*,*,#47960,.F.); #64133=ORIENTED_EDGE('',*,*,#47938,.T.); #64134=ORIENTED_EDGE('',*,*,#47961,.T.); #64135=ORIENTED_EDGE('',*,*,#47958,.F.); #64136=ORIENTED_EDGE('',*,*,#47961,.F.); #64137=ORIENTED_EDGE('',*,*,#47937,.F.); #64138=ORIENTED_EDGE('',*,*,#47941,.F.); #64139=ORIENTED_EDGE('',*,*,#47944,.F.); #64140=ORIENTED_EDGE('',*,*,#47947,.F.); #64141=ORIENTED_EDGE('',*,*,#47950,.F.); #64142=ORIENTED_EDGE('',*,*,#47953,.F.); #64143=ORIENTED_EDGE('',*,*,#47956,.F.); #64144=ORIENTED_EDGE('',*,*,#47959,.F.); #64145=ORIENTED_EDGE('',*,*,#47916,.F.); #64146=ORIENTED_EDGE('',*,*,#47934,.F.); #64147=ORIENTED_EDGE('',*,*,#47931,.F.); #64148=ORIENTED_EDGE('',*,*,#47928,.F.); #64149=ORIENTED_EDGE('',*,*,#47925,.F.); #64150=ORIENTED_EDGE('',*,*,#47922,.F.); #64151=ORIENTED_EDGE('',*,*,#47919,.F.); #64152=ORIENTED_EDGE('',*,*,#47962,.F.); #64153=ORIENTED_EDGE('',*,*,#47963,.T.); #64154=ORIENTED_EDGE('',*,*,#47964,.T.); #64155=ORIENTED_EDGE('',*,*,#47965,.F.); #64156=ORIENTED_EDGE('',*,*,#47966,.F.); #64157=ORIENTED_EDGE('',*,*,#47965,.T.); #64158=ORIENTED_EDGE('',*,*,#47967,.T.); #64159=ORIENTED_EDGE('',*,*,#47968,.F.); #64160=ORIENTED_EDGE('',*,*,#47969,.F.); #64161=ORIENTED_EDGE('',*,*,#47968,.T.); #64162=ORIENTED_EDGE('',*,*,#47970,.T.); #64163=ORIENTED_EDGE('',*,*,#47963,.F.); #64164=ORIENTED_EDGE('',*,*,#47971,.F.); #64165=ORIENTED_EDGE('',*,*,#47972,.T.); #64166=ORIENTED_EDGE('',*,*,#47973,.T.); #64167=ORIENTED_EDGE('',*,*,#47974,.F.); #64168=ORIENTED_EDGE('',*,*,#47975,.F.); #64169=ORIENTED_EDGE('',*,*,#47976,.T.); #64170=ORIENTED_EDGE('',*,*,#47977,.T.); #64171=ORIENTED_EDGE('',*,*,#47972,.F.); #64172=ORIENTED_EDGE('',*,*,#47978,.F.); #64173=ORIENTED_EDGE('',*,*,#47979,.T.); #64174=ORIENTED_EDGE('',*,*,#47980,.T.); #64175=ORIENTED_EDGE('',*,*,#47976,.F.); #64176=ORIENTED_EDGE('',*,*,#47981,.F.); #64177=ORIENTED_EDGE('',*,*,#47982,.T.); #64178=ORIENTED_EDGE('',*,*,#47983,.T.); #64179=ORIENTED_EDGE('',*,*,#47979,.F.); #64180=ORIENTED_EDGE('',*,*,#47984,.F.); #64181=ORIENTED_EDGE('',*,*,#47985,.T.); #64182=ORIENTED_EDGE('',*,*,#47986,.T.); #64183=ORIENTED_EDGE('',*,*,#47982,.F.); #64184=ORIENTED_EDGE('',*,*,#47987,.F.); #64185=ORIENTED_EDGE('',*,*,#47988,.T.); #64186=ORIENTED_EDGE('',*,*,#47989,.T.); #64187=ORIENTED_EDGE('',*,*,#47985,.F.); #64188=ORIENTED_EDGE('',*,*,#47990,.F.); #64189=ORIENTED_EDGE('',*,*,#47991,.T.); #64190=ORIENTED_EDGE('',*,*,#47992,.T.); #64191=ORIENTED_EDGE('',*,*,#47988,.F.); #64192=ORIENTED_EDGE('',*,*,#47993,.F.); #64193=ORIENTED_EDGE('',*,*,#47974,.T.); #64194=ORIENTED_EDGE('',*,*,#47994,.T.); #64195=ORIENTED_EDGE('',*,*,#47991,.F.); #64196=ORIENTED_EDGE('',*,*,#47994,.F.); #64197=ORIENTED_EDGE('',*,*,#47973,.F.); #64198=ORIENTED_EDGE('',*,*,#47977,.F.); #64199=ORIENTED_EDGE('',*,*,#47980,.F.); #64200=ORIENTED_EDGE('',*,*,#47983,.F.); #64201=ORIENTED_EDGE('',*,*,#47986,.F.); #64202=ORIENTED_EDGE('',*,*,#47989,.F.); #64203=ORIENTED_EDGE('',*,*,#47992,.F.); #64204=ORIENTED_EDGE('',*,*,#47964,.F.); #64205=ORIENTED_EDGE('',*,*,#47970,.F.); #64206=ORIENTED_EDGE('',*,*,#47967,.F.); #64207=ORIENTED_EDGE('',*,*,#47995,.F.); #64208=ORIENTED_EDGE('',*,*,#47996,.T.); #64209=ORIENTED_EDGE('',*,*,#47997,.T.); #64210=ORIENTED_EDGE('',*,*,#47998,.F.); #64211=ORIENTED_EDGE('',*,*,#47999,.F.); #64212=ORIENTED_EDGE('',*,*,#48000,.T.); #64213=ORIENTED_EDGE('',*,*,#48001,.T.); #64214=ORIENTED_EDGE('',*,*,#47996,.F.); #64215=ORIENTED_EDGE('',*,*,#48002,.F.); #64216=ORIENTED_EDGE('',*,*,#48003,.T.); #64217=ORIENTED_EDGE('',*,*,#48004,.T.); #64218=ORIENTED_EDGE('',*,*,#48000,.F.); #64219=ORIENTED_EDGE('',*,*,#48005,.F.); #64220=ORIENTED_EDGE('',*,*,#48006,.T.); #64221=ORIENTED_EDGE('',*,*,#48007,.T.); #64222=ORIENTED_EDGE('',*,*,#48003,.F.); #64223=ORIENTED_EDGE('',*,*,#48008,.F.); #64224=ORIENTED_EDGE('',*,*,#48009,.T.); #64225=ORIENTED_EDGE('',*,*,#48010,.T.); #64226=ORIENTED_EDGE('',*,*,#48006,.F.); #64227=ORIENTED_EDGE('',*,*,#48011,.F.); #64228=ORIENTED_EDGE('',*,*,#48012,.T.); #64229=ORIENTED_EDGE('',*,*,#48013,.T.); #64230=ORIENTED_EDGE('',*,*,#48009,.F.); #64231=ORIENTED_EDGE('',*,*,#48014,.F.); #64232=ORIENTED_EDGE('',*,*,#48015,.T.); #64233=ORIENTED_EDGE('',*,*,#48016,.T.); #64234=ORIENTED_EDGE('',*,*,#48012,.F.); #64235=ORIENTED_EDGE('',*,*,#48017,.F.); #64236=ORIENTED_EDGE('',*,*,#47998,.T.); #64237=ORIENTED_EDGE('',*,*,#48018,.T.); #64238=ORIENTED_EDGE('',*,*,#48015,.F.); #64239=ORIENTED_EDGE('',*,*,#48018,.F.); #64240=ORIENTED_EDGE('',*,*,#47997,.F.); #64241=ORIENTED_EDGE('',*,*,#48001,.F.); #64242=ORIENTED_EDGE('',*,*,#48004,.F.); #64243=ORIENTED_EDGE('',*,*,#48007,.F.); #64244=ORIENTED_EDGE('',*,*,#48010,.F.); #64245=ORIENTED_EDGE('',*,*,#48013,.F.); #64246=ORIENTED_EDGE('',*,*,#48016,.F.); #64247=ORIENTED_EDGE('',*,*,#48019,.F.); #64248=ORIENTED_EDGE('',*,*,#48020,.T.); #64249=ORIENTED_EDGE('',*,*,#48021,.T.); #64250=ORIENTED_EDGE('',*,*,#48022,.F.); #64251=ORIENTED_EDGE('',*,*,#48023,.F.); #64252=ORIENTED_EDGE('',*,*,#48022,.T.); #64253=ORIENTED_EDGE('',*,*,#48024,.T.); #64254=ORIENTED_EDGE('',*,*,#48025,.F.); #64255=ORIENTED_EDGE('',*,*,#48026,.F.); #64256=ORIENTED_EDGE('',*,*,#48025,.T.); #64257=ORIENTED_EDGE('',*,*,#48027,.T.); #64258=ORIENTED_EDGE('',*,*,#48028,.F.); #64259=ORIENTED_EDGE('',*,*,#48029,.F.); #64260=ORIENTED_EDGE('',*,*,#48028,.T.); #64261=ORIENTED_EDGE('',*,*,#48030,.T.); #64262=ORIENTED_EDGE('',*,*,#48031,.F.); #64263=ORIENTED_EDGE('',*,*,#48032,.F.); #64264=ORIENTED_EDGE('',*,*,#48031,.T.); #64265=ORIENTED_EDGE('',*,*,#48033,.T.); #64266=ORIENTED_EDGE('',*,*,#48034,.F.); #64267=ORIENTED_EDGE('',*,*,#48035,.F.); #64268=ORIENTED_EDGE('',*,*,#48034,.T.); #64269=ORIENTED_EDGE('',*,*,#48036,.T.); #64270=ORIENTED_EDGE('',*,*,#48037,.F.); #64271=ORIENTED_EDGE('',*,*,#48038,.F.); #64272=ORIENTED_EDGE('',*,*,#48037,.T.); #64273=ORIENTED_EDGE('',*,*,#48039,.T.); #64274=ORIENTED_EDGE('',*,*,#48020,.F.); #64275=ORIENTED_EDGE('',*,*,#48040,.F.); #64276=ORIENTED_EDGE('',*,*,#48041,.T.); #64277=ORIENTED_EDGE('',*,*,#48042,.T.); #64278=ORIENTED_EDGE('',*,*,#48043,.F.); #64279=ORIENTED_EDGE('',*,*,#48044,.F.); #64280=ORIENTED_EDGE('',*,*,#48045,.T.); #64281=ORIENTED_EDGE('',*,*,#48046,.T.); #64282=ORIENTED_EDGE('',*,*,#48041,.F.); #64283=ORIENTED_EDGE('',*,*,#48047,.F.); #64284=ORIENTED_EDGE('',*,*,#48048,.T.); #64285=ORIENTED_EDGE('',*,*,#48049,.T.); #64286=ORIENTED_EDGE('',*,*,#48045,.F.); #64287=ORIENTED_EDGE('',*,*,#48050,.F.); #64288=ORIENTED_EDGE('',*,*,#48051,.T.); #64289=ORIENTED_EDGE('',*,*,#48052,.T.); #64290=ORIENTED_EDGE('',*,*,#48048,.F.); #64291=ORIENTED_EDGE('',*,*,#48053,.F.); #64292=ORIENTED_EDGE('',*,*,#48054,.T.); #64293=ORIENTED_EDGE('',*,*,#48055,.T.); #64294=ORIENTED_EDGE('',*,*,#48051,.F.); #64295=ORIENTED_EDGE('',*,*,#48056,.F.); #64296=ORIENTED_EDGE('',*,*,#48057,.T.); #64297=ORIENTED_EDGE('',*,*,#48058,.T.); #64298=ORIENTED_EDGE('',*,*,#48054,.F.); #64299=ORIENTED_EDGE('',*,*,#48059,.F.); #64300=ORIENTED_EDGE('',*,*,#48060,.T.); #64301=ORIENTED_EDGE('',*,*,#48061,.T.); #64302=ORIENTED_EDGE('',*,*,#48057,.F.); #64303=ORIENTED_EDGE('',*,*,#48062,.F.); #64304=ORIENTED_EDGE('',*,*,#48063,.T.); #64305=ORIENTED_EDGE('',*,*,#48064,.T.); #64306=ORIENTED_EDGE('',*,*,#48060,.F.); #64307=ORIENTED_EDGE('',*,*,#48065,.F.); #64308=ORIENTED_EDGE('',*,*,#48043,.T.); #64309=ORIENTED_EDGE('',*,*,#48066,.T.); #64310=ORIENTED_EDGE('',*,*,#48063,.F.); #64311=ORIENTED_EDGE('',*,*,#48066,.F.); #64312=ORIENTED_EDGE('',*,*,#48042,.F.); #64313=ORIENTED_EDGE('',*,*,#48046,.F.); #64314=ORIENTED_EDGE('',*,*,#48049,.F.); #64315=ORIENTED_EDGE('',*,*,#48052,.F.); #64316=ORIENTED_EDGE('',*,*,#48055,.F.); #64317=ORIENTED_EDGE('',*,*,#48058,.F.); #64318=ORIENTED_EDGE('',*,*,#48061,.F.); #64319=ORIENTED_EDGE('',*,*,#48064,.F.); #64320=ORIENTED_EDGE('',*,*,#48021,.F.); #64321=ORIENTED_EDGE('',*,*,#48039,.F.); #64322=ORIENTED_EDGE('',*,*,#48036,.F.); #64323=ORIENTED_EDGE('',*,*,#48033,.F.); #64324=ORIENTED_EDGE('',*,*,#48030,.F.); #64325=ORIENTED_EDGE('',*,*,#48027,.F.); #64326=ORIENTED_EDGE('',*,*,#48024,.F.); #64327=ORIENTED_EDGE('',*,*,#48067,.F.); #64328=ORIENTED_EDGE('',*,*,#48068,.T.); #64329=ORIENTED_EDGE('',*,*,#48069,.T.); #64330=ORIENTED_EDGE('',*,*,#48070,.F.); #64331=ORIENTED_EDGE('',*,*,#48071,.F.); #64332=ORIENTED_EDGE('',*,*,#48072,.T.); #64333=ORIENTED_EDGE('',*,*,#48073,.T.); #64334=ORIENTED_EDGE('',*,*,#48068,.F.); #64335=ORIENTED_EDGE('',*,*,#48074,.F.); #64336=ORIENTED_EDGE('',*,*,#48075,.T.); #64337=ORIENTED_EDGE('',*,*,#48076,.T.); #64338=ORIENTED_EDGE('',*,*,#48072,.F.); #64339=ORIENTED_EDGE('',*,*,#48077,.F.); #64340=ORIENTED_EDGE('',*,*,#48078,.T.); #64341=ORIENTED_EDGE('',*,*,#48079,.T.); #64342=ORIENTED_EDGE('',*,*,#48075,.F.); #64343=ORIENTED_EDGE('',*,*,#48080,.F.); #64344=ORIENTED_EDGE('',*,*,#48081,.T.); #64345=ORIENTED_EDGE('',*,*,#48082,.T.); #64346=ORIENTED_EDGE('',*,*,#48078,.F.); #64347=ORIENTED_EDGE('',*,*,#48083,.F.); #64348=ORIENTED_EDGE('',*,*,#48084,.T.); #64349=ORIENTED_EDGE('',*,*,#48085,.T.); #64350=ORIENTED_EDGE('',*,*,#48081,.F.); #64351=ORIENTED_EDGE('',*,*,#48086,.F.); #64352=ORIENTED_EDGE('',*,*,#48087,.T.); #64353=ORIENTED_EDGE('',*,*,#48088,.T.); #64354=ORIENTED_EDGE('',*,*,#48084,.F.); #64355=ORIENTED_EDGE('',*,*,#48089,.F.); #64356=ORIENTED_EDGE('',*,*,#48090,.T.); #64357=ORIENTED_EDGE('',*,*,#48091,.T.); #64358=ORIENTED_EDGE('',*,*,#48087,.F.); #64359=ORIENTED_EDGE('',*,*,#48092,.F.); #64360=ORIENTED_EDGE('',*,*,#48093,.T.); #64361=ORIENTED_EDGE('',*,*,#48094,.T.); #64362=ORIENTED_EDGE('',*,*,#48090,.F.); #64363=ORIENTED_EDGE('',*,*,#48095,.F.); #64364=ORIENTED_EDGE('',*,*,#48096,.T.); #64365=ORIENTED_EDGE('',*,*,#48097,.T.); #64366=ORIENTED_EDGE('',*,*,#48093,.F.); #64367=ORIENTED_EDGE('',*,*,#48098,.F.); #64368=ORIENTED_EDGE('',*,*,#48099,.T.); #64369=ORIENTED_EDGE('',*,*,#48100,.T.); #64370=ORIENTED_EDGE('',*,*,#48096,.F.); #64371=ORIENTED_EDGE('',*,*,#48101,.F.); #64372=ORIENTED_EDGE('',*,*,#48070,.T.); #64373=ORIENTED_EDGE('',*,*,#48102,.T.); #64374=ORIENTED_EDGE('',*,*,#48099,.F.); #64375=ORIENTED_EDGE('',*,*,#48102,.F.); #64376=ORIENTED_EDGE('',*,*,#48069,.F.); #64377=ORIENTED_EDGE('',*,*,#48073,.F.); #64378=ORIENTED_EDGE('',*,*,#48076,.F.); #64379=ORIENTED_EDGE('',*,*,#48079,.F.); #64380=ORIENTED_EDGE('',*,*,#48082,.F.); #64381=ORIENTED_EDGE('',*,*,#48085,.F.); #64382=ORIENTED_EDGE('',*,*,#48088,.F.); #64383=ORIENTED_EDGE('',*,*,#48091,.F.); #64384=ORIENTED_EDGE('',*,*,#48094,.F.); #64385=ORIENTED_EDGE('',*,*,#48097,.F.); #64386=ORIENTED_EDGE('',*,*,#48100,.F.); #64387=ORIENTED_EDGE('',*,*,#48103,.F.); #64388=ORIENTED_EDGE('',*,*,#48104,.T.); #64389=ORIENTED_EDGE('',*,*,#48105,.T.); #64390=ORIENTED_EDGE('',*,*,#48106,.F.); #64391=ORIENTED_EDGE('',*,*,#48107,.F.); #64392=ORIENTED_EDGE('',*,*,#48106,.T.); #64393=ORIENTED_EDGE('',*,*,#48108,.T.); #64394=ORIENTED_EDGE('',*,*,#48109,.F.); #64395=ORIENTED_EDGE('',*,*,#48110,.F.); #64396=ORIENTED_EDGE('',*,*,#48109,.T.); #64397=ORIENTED_EDGE('',*,*,#48111,.T.); #64398=ORIENTED_EDGE('',*,*,#48112,.F.); #64399=ORIENTED_EDGE('',*,*,#48113,.F.); #64400=ORIENTED_EDGE('',*,*,#48112,.T.); #64401=ORIENTED_EDGE('',*,*,#48114,.T.); #64402=ORIENTED_EDGE('',*,*,#48115,.F.); #64403=ORIENTED_EDGE('',*,*,#48116,.F.); #64404=ORIENTED_EDGE('',*,*,#48115,.T.); #64405=ORIENTED_EDGE('',*,*,#48117,.T.); #64406=ORIENTED_EDGE('',*,*,#48118,.F.); #64407=ORIENTED_EDGE('',*,*,#48119,.F.); #64408=ORIENTED_EDGE('',*,*,#48118,.T.); #64409=ORIENTED_EDGE('',*,*,#48120,.T.); #64410=ORIENTED_EDGE('',*,*,#48121,.F.); #64411=ORIENTED_EDGE('',*,*,#48122,.F.); #64412=ORIENTED_EDGE('',*,*,#48121,.T.); #64413=ORIENTED_EDGE('',*,*,#48123,.T.); #64414=ORIENTED_EDGE('',*,*,#48104,.F.); #64415=ORIENTED_EDGE('',*,*,#48124,.F.); #64416=ORIENTED_EDGE('',*,*,#48125,.T.); #64417=ORIENTED_EDGE('',*,*,#48126,.T.); #64418=ORIENTED_EDGE('',*,*,#48127,.F.); #64419=ORIENTED_EDGE('',*,*,#48128,.F.); #64420=ORIENTED_EDGE('',*,*,#48129,.T.); #64421=ORIENTED_EDGE('',*,*,#48130,.T.); #64422=ORIENTED_EDGE('',*,*,#48125,.F.); #64423=ORIENTED_EDGE('',*,*,#48131,.F.); #64424=ORIENTED_EDGE('',*,*,#48132,.T.); #64425=ORIENTED_EDGE('',*,*,#48133,.T.); #64426=ORIENTED_EDGE('',*,*,#48129,.F.); #64427=ORIENTED_EDGE('',*,*,#48134,.F.); #64428=ORIENTED_EDGE('',*,*,#48135,.T.); #64429=ORIENTED_EDGE('',*,*,#48136,.T.); #64430=ORIENTED_EDGE('',*,*,#48132,.F.); #64431=ORIENTED_EDGE('',*,*,#48137,.F.); #64432=ORIENTED_EDGE('',*,*,#48138,.T.); #64433=ORIENTED_EDGE('',*,*,#48139,.T.); #64434=ORIENTED_EDGE('',*,*,#48135,.F.); #64435=ORIENTED_EDGE('',*,*,#48140,.F.); #64436=ORIENTED_EDGE('',*,*,#48141,.T.); #64437=ORIENTED_EDGE('',*,*,#48142,.T.); #64438=ORIENTED_EDGE('',*,*,#48138,.F.); #64439=ORIENTED_EDGE('',*,*,#48143,.F.); #64440=ORIENTED_EDGE('',*,*,#48127,.T.); #64441=ORIENTED_EDGE('',*,*,#48144,.T.); #64442=ORIENTED_EDGE('',*,*,#48141,.F.); #64443=ORIENTED_EDGE('',*,*,#48144,.F.); #64444=ORIENTED_EDGE('',*,*,#48126,.F.); #64445=ORIENTED_EDGE('',*,*,#48130,.F.); #64446=ORIENTED_EDGE('',*,*,#48133,.F.); #64447=ORIENTED_EDGE('',*,*,#48136,.F.); #64448=ORIENTED_EDGE('',*,*,#48139,.F.); #64449=ORIENTED_EDGE('',*,*,#48142,.F.); #64450=ORIENTED_EDGE('',*,*,#48105,.F.); #64451=ORIENTED_EDGE('',*,*,#48123,.F.); #64452=ORIENTED_EDGE('',*,*,#48120,.F.); #64453=ORIENTED_EDGE('',*,*,#48117,.F.); #64454=ORIENTED_EDGE('',*,*,#48114,.F.); #64455=ORIENTED_EDGE('',*,*,#48111,.F.); #64456=ORIENTED_EDGE('',*,*,#48108,.F.); #64457=ORIENTED_EDGE('',*,*,#48145,.F.); #64458=ORIENTED_EDGE('',*,*,#48146,.T.); #64459=ORIENTED_EDGE('',*,*,#48147,.T.); #64460=ORIENTED_EDGE('',*,*,#48148,.F.); #64461=ORIENTED_EDGE('',*,*,#48149,.F.); #64462=ORIENTED_EDGE('',*,*,#48150,.T.); #64463=ORIENTED_EDGE('',*,*,#48151,.T.); #64464=ORIENTED_EDGE('',*,*,#48146,.F.); #64465=ORIENTED_EDGE('',*,*,#48152,.F.); #64466=ORIENTED_EDGE('',*,*,#48153,.T.); #64467=ORIENTED_EDGE('',*,*,#48154,.T.); #64468=ORIENTED_EDGE('',*,*,#48150,.F.); #64469=ORIENTED_EDGE('',*,*,#48155,.F.); #64470=ORIENTED_EDGE('',*,*,#48148,.T.); #64471=ORIENTED_EDGE('',*,*,#48156,.T.); #64472=ORIENTED_EDGE('',*,*,#48153,.F.); #64473=ORIENTED_EDGE('',*,*,#48156,.F.); #64474=ORIENTED_EDGE('',*,*,#48147,.F.); #64475=ORIENTED_EDGE('',*,*,#48151,.F.); #64476=ORIENTED_EDGE('',*,*,#48154,.F.); #64477=ORIENTED_EDGE('',*,*,#48157,.F.); #64478=ORIENTED_EDGE('',*,*,#48158,.F.); #64479=ORIENTED_EDGE('',*,*,#48159,.F.); #64480=ORIENTED_EDGE('',*,*,#48160,.F.); #64481=ORIENTED_EDGE('',*,*,#48161,.F.); #64482=ORIENTED_EDGE('',*,*,#48162,.F.); #64483=ORIENTED_EDGE('',*,*,#48163,.F.); #64484=ORIENTED_EDGE('',*,*,#48164,.F.); #64485=ORIENTED_EDGE('',*,*,#48165,.F.); #64486=ORIENTED_EDGE('',*,*,#48166,.F.); #64487=ORIENTED_EDGE('',*,*,#48167,.F.); #64488=ORIENTED_EDGE('',*,*,#48168,.F.); #64489=ORIENTED_EDGE('',*,*,#48169,.F.); #64490=ORIENTED_EDGE('',*,*,#48170,.F.); #64491=ORIENTED_EDGE('',*,*,#48171,.F.); #64492=ORIENTED_EDGE('',*,*,#48172,.F.); #64493=ORIENTED_EDGE('',*,*,#48173,.F.); #64494=ORIENTED_EDGE('',*,*,#48174,.F.); #64495=ORIENTED_EDGE('',*,*,#48175,.F.); #64496=ORIENTED_EDGE('',*,*,#48176,.F.); #64497=ORIENTED_EDGE('',*,*,#48177,.F.); #64498=ORIENTED_EDGE('',*,*,#48178,.F.); #64499=ORIENTED_EDGE('',*,*,#48179,.F.); #64500=ORIENTED_EDGE('',*,*,#48180,.F.); #64501=ORIENTED_EDGE('',*,*,#48181,.F.); #64502=ORIENTED_EDGE('',*,*,#48182,.F.); #64503=ORIENTED_EDGE('',*,*,#48183,.F.); #64504=ORIENTED_EDGE('',*,*,#48184,.F.); #64505=ORIENTED_EDGE('',*,*,#48185,.F.); #64506=ORIENTED_EDGE('',*,*,#48186,.F.); #64507=ORIENTED_EDGE('',*,*,#48187,.F.); #64508=ORIENTED_EDGE('',*,*,#48188,.F.); #64509=ORIENTED_EDGE('',*,*,#48189,.F.); #64510=ORIENTED_EDGE('',*,*,#48190,.F.); #64511=ORIENTED_EDGE('',*,*,#48191,.F.); #64512=ORIENTED_EDGE('',*,*,#48192,.F.); #64513=ORIENTED_EDGE('',*,*,#48193,.F.); #64514=ORIENTED_EDGE('',*,*,#48194,.F.); #64515=ORIENTED_EDGE('',*,*,#48195,.F.); #64516=ORIENTED_EDGE('',*,*,#48196,.F.); #64517=ORIENTED_EDGE('',*,*,#48197,.F.); #64518=ORIENTED_EDGE('',*,*,#48198,.F.); #64519=ORIENTED_EDGE('',*,*,#48199,.F.); #64520=ORIENTED_EDGE('',*,*,#48200,.F.); #64521=ORIENTED_EDGE('',*,*,#48201,.F.); #64522=ORIENTED_EDGE('',*,*,#48202,.F.); #64523=ORIENTED_EDGE('',*,*,#48203,.F.); #64524=ORIENTED_EDGE('',*,*,#48204,.F.); #64525=ORIENTED_EDGE('',*,*,#48205,.F.); #64526=ORIENTED_EDGE('',*,*,#48206,.F.); #64527=ORIENTED_EDGE('',*,*,#48207,.F.); #64528=ORIENTED_EDGE('',*,*,#48208,.F.); #64529=ORIENTED_EDGE('',*,*,#48209,.F.); #64530=ORIENTED_EDGE('',*,*,#48210,.F.); #64531=ORIENTED_EDGE('',*,*,#48211,.F.); #64532=ORIENTED_EDGE('',*,*,#48212,.F.); #64533=ORIENTED_EDGE('',*,*,#48213,.F.); #64534=ORIENTED_EDGE('',*,*,#48214,.F.); #64535=ORIENTED_EDGE('',*,*,#48215,.F.); #64536=ORIENTED_EDGE('',*,*,#48216,.F.); #64537=ORIENTED_EDGE('',*,*,#44830,.T.); #64538=ORIENTED_EDGE('',*,*,#44858,.T.); #64539=ORIENTED_EDGE('',*,*,#44855,.T.); #64540=ORIENTED_EDGE('',*,*,#44852,.T.); #64541=ORIENTED_EDGE('',*,*,#44849,.T.); #64542=ORIENTED_EDGE('',*,*,#44846,.T.); #64543=ORIENTED_EDGE('',*,*,#44843,.T.); #64544=ORIENTED_EDGE('',*,*,#44840,.T.); #64545=ORIENTED_EDGE('',*,*,#44837,.T.); #64546=ORIENTED_EDGE('',*,*,#44834,.T.); #64547=ORIENTED_EDGE('',*,*,#44860,.T.); #64548=ORIENTED_EDGE('',*,*,#44870,.T.); #64549=ORIENTED_EDGE('',*,*,#44867,.T.); #64550=ORIENTED_EDGE('',*,*,#44864,.T.); #64551=ORIENTED_EDGE('',*,*,#44872,.T.); #64552=ORIENTED_EDGE('',*,*,#44882,.T.); #64553=ORIENTED_EDGE('',*,*,#44879,.T.); #64554=ORIENTED_EDGE('',*,*,#44876,.T.); #64555=ORIENTED_EDGE('',*,*,#44902,.T.); #64556=ORIENTED_EDGE('',*,*,#44924,.T.); #64557=ORIENTED_EDGE('',*,*,#44921,.T.); #64558=ORIENTED_EDGE('',*,*,#44918,.T.); #64559=ORIENTED_EDGE('',*,*,#44915,.T.); #64560=ORIENTED_EDGE('',*,*,#44912,.T.); #64561=ORIENTED_EDGE('',*,*,#44909,.T.); #64562=ORIENTED_EDGE('',*,*,#44906,.T.); #64563=ORIENTED_EDGE('',*,*,#44926,.T.); #64564=ORIENTED_EDGE('',*,*,#44936,.T.); #64565=ORIENTED_EDGE('',*,*,#44933,.T.); #64566=ORIENTED_EDGE('',*,*,#44930,.T.); #64567=ORIENTED_EDGE('',*,*,#44938,.T.); #64568=ORIENTED_EDGE('',*,*,#44966,.T.); #64569=ORIENTED_EDGE('',*,*,#44963,.T.); #64570=ORIENTED_EDGE('',*,*,#44960,.T.); #64571=ORIENTED_EDGE('',*,*,#44957,.T.); #64572=ORIENTED_EDGE('',*,*,#44954,.T.); #64573=ORIENTED_EDGE('',*,*,#44951,.T.); #64574=ORIENTED_EDGE('',*,*,#44948,.T.); #64575=ORIENTED_EDGE('',*,*,#44945,.T.); #64576=ORIENTED_EDGE('',*,*,#44942,.T.); #64577=ORIENTED_EDGE('',*,*,#44968,.T.); #64578=ORIENTED_EDGE('',*,*,#45002,.T.); #64579=ORIENTED_EDGE('',*,*,#44999,.T.); #64580=ORIENTED_EDGE('',*,*,#44996,.T.); #64581=ORIENTED_EDGE('',*,*,#44993,.T.); #64582=ORIENTED_EDGE('',*,*,#44990,.T.); #64583=ORIENTED_EDGE('',*,*,#44987,.T.); #64584=ORIENTED_EDGE('',*,*,#44984,.T.); #64585=ORIENTED_EDGE('',*,*,#44981,.T.); #64586=ORIENTED_EDGE('',*,*,#44978,.T.); #64587=ORIENTED_EDGE('',*,*,#44975,.T.); #64588=ORIENTED_EDGE('',*,*,#44972,.T.); #64589=ORIENTED_EDGE('',*,*,#45025,.T.); #64590=ORIENTED_EDGE('',*,*,#45056,.T.); #64591=ORIENTED_EDGE('',*,*,#45053,.T.); #64592=ORIENTED_EDGE('',*,*,#45050,.T.); #64593=ORIENTED_EDGE('',*,*,#45047,.T.); #64594=ORIENTED_EDGE('',*,*,#45044,.T.); #64595=ORIENTED_EDGE('',*,*,#45041,.T.); #64596=ORIENTED_EDGE('',*,*,#45038,.T.); #64597=ORIENTED_EDGE('',*,*,#45035,.T.); #64598=ORIENTED_EDGE('',*,*,#45032,.T.); #64599=ORIENTED_EDGE('',*,*,#45029,.T.); #64600=ORIENTED_EDGE('',*,*,#45100,.T.); #64601=ORIENTED_EDGE('',*,*,#45128,.T.); #64602=ORIENTED_EDGE('',*,*,#45125,.T.); #64603=ORIENTED_EDGE('',*,*,#45122,.T.); #64604=ORIENTED_EDGE('',*,*,#45119,.T.); #64605=ORIENTED_EDGE('',*,*,#45116,.T.); #64606=ORIENTED_EDGE('',*,*,#45113,.T.); #64607=ORIENTED_EDGE('',*,*,#45110,.T.); #64608=ORIENTED_EDGE('',*,*,#45107,.T.); #64609=ORIENTED_EDGE('',*,*,#45104,.T.); #64610=ORIENTED_EDGE('',*,*,#45130,.T.); #64611=ORIENTED_EDGE('',*,*,#45152,.T.); #64612=ORIENTED_EDGE('',*,*,#45149,.T.); #64613=ORIENTED_EDGE('',*,*,#45146,.T.); #64614=ORIENTED_EDGE('',*,*,#45143,.T.); #64615=ORIENTED_EDGE('',*,*,#45140,.T.); #64616=ORIENTED_EDGE('',*,*,#45137,.T.); #64617=ORIENTED_EDGE('',*,*,#45134,.T.); #64618=ORIENTED_EDGE('',*,*,#45154,.T.); #64619=ORIENTED_EDGE('',*,*,#45179,.T.); #64620=ORIENTED_EDGE('',*,*,#45176,.T.); #64621=ORIENTED_EDGE('',*,*,#45173,.T.); #64622=ORIENTED_EDGE('',*,*,#45170,.T.); #64623=ORIENTED_EDGE('',*,*,#45167,.T.); #64624=ORIENTED_EDGE('',*,*,#45164,.T.); #64625=ORIENTED_EDGE('',*,*,#45161,.T.); #64626=ORIENTED_EDGE('',*,*,#45158,.T.); #64627=ORIENTED_EDGE('',*,*,#45181,.T.); #64628=ORIENTED_EDGE('',*,*,#45218,.T.); #64629=ORIENTED_EDGE('',*,*,#45215,.T.); #64630=ORIENTED_EDGE('',*,*,#45212,.T.); #64631=ORIENTED_EDGE('',*,*,#45209,.T.); #64632=ORIENTED_EDGE('',*,*,#45206,.T.); #64633=ORIENTED_EDGE('',*,*,#45203,.T.); #64634=ORIENTED_EDGE('',*,*,#45200,.T.); #64635=ORIENTED_EDGE('',*,*,#45197,.T.); #64636=ORIENTED_EDGE('',*,*,#45194,.T.); #64637=ORIENTED_EDGE('',*,*,#45191,.T.); #64638=ORIENTED_EDGE('',*,*,#45188,.T.); #64639=ORIENTED_EDGE('',*,*,#45185,.T.); #64640=ORIENTED_EDGE('',*,*,#45241,.T.); #64641=ORIENTED_EDGE('',*,*,#45260,.T.); #64642=ORIENTED_EDGE('',*,*,#45257,.T.); #64643=ORIENTED_EDGE('',*,*,#45254,.T.); #64644=ORIENTED_EDGE('',*,*,#45251,.T.); #64645=ORIENTED_EDGE('',*,*,#45248,.T.); #64646=ORIENTED_EDGE('',*,*,#45245,.T.); #64647=ORIENTED_EDGE('',*,*,#45262,.T.); #64648=ORIENTED_EDGE('',*,*,#45296,.T.); #64649=ORIENTED_EDGE('',*,*,#45293,.T.); #64650=ORIENTED_EDGE('',*,*,#45290,.T.); #64651=ORIENTED_EDGE('',*,*,#45287,.T.); #64652=ORIENTED_EDGE('',*,*,#45284,.T.); #64653=ORIENTED_EDGE('',*,*,#45281,.T.); #64654=ORIENTED_EDGE('',*,*,#45278,.T.); #64655=ORIENTED_EDGE('',*,*,#45275,.T.); #64656=ORIENTED_EDGE('',*,*,#45272,.T.); #64657=ORIENTED_EDGE('',*,*,#45269,.T.); #64658=ORIENTED_EDGE('',*,*,#45266,.T.); #64659=ORIENTED_EDGE('',*,*,#45298,.T.); #64660=ORIENTED_EDGE('',*,*,#45317,.T.); #64661=ORIENTED_EDGE('',*,*,#45314,.T.); #64662=ORIENTED_EDGE('',*,*,#45311,.T.); #64663=ORIENTED_EDGE('',*,*,#45308,.T.); #64664=ORIENTED_EDGE('',*,*,#45305,.T.); #64665=ORIENTED_EDGE('',*,*,#45302,.T.); #64666=ORIENTED_EDGE('',*,*,#45319,.T.); #64667=ORIENTED_EDGE('',*,*,#45362,.T.); #64668=ORIENTED_EDGE('',*,*,#45359,.T.); #64669=ORIENTED_EDGE('',*,*,#45356,.T.); #64670=ORIENTED_EDGE('',*,*,#45353,.T.); #64671=ORIENTED_EDGE('',*,*,#45350,.T.); #64672=ORIENTED_EDGE('',*,*,#45347,.T.); #64673=ORIENTED_EDGE('',*,*,#45344,.T.); #64674=ORIENTED_EDGE('',*,*,#45341,.T.); #64675=ORIENTED_EDGE('',*,*,#45338,.T.); #64676=ORIENTED_EDGE('',*,*,#45335,.T.); #64677=ORIENTED_EDGE('',*,*,#45332,.T.); #64678=ORIENTED_EDGE('',*,*,#45329,.T.); #64679=ORIENTED_EDGE('',*,*,#45326,.T.); #64680=ORIENTED_EDGE('',*,*,#45323,.T.); #64681=ORIENTED_EDGE('',*,*,#45364,.T.); #64682=ORIENTED_EDGE('',*,*,#45374,.T.); #64683=ORIENTED_EDGE('',*,*,#45371,.T.); #64684=ORIENTED_EDGE('',*,*,#45368,.T.); #64685=ORIENTED_EDGE('',*,*,#45388,.T.); #64686=ORIENTED_EDGE('',*,*,#45422,.T.); #64687=ORIENTED_EDGE('',*,*,#45419,.T.); #64688=ORIENTED_EDGE('',*,*,#45416,.T.); #64689=ORIENTED_EDGE('',*,*,#45413,.T.); #64690=ORIENTED_EDGE('',*,*,#45410,.T.); #64691=ORIENTED_EDGE('',*,*,#45407,.T.); #64692=ORIENTED_EDGE('',*,*,#45404,.T.); #64693=ORIENTED_EDGE('',*,*,#45401,.T.); #64694=ORIENTED_EDGE('',*,*,#45398,.T.); #64695=ORIENTED_EDGE('',*,*,#45395,.T.); #64696=ORIENTED_EDGE('',*,*,#45392,.T.); #64697=ORIENTED_EDGE('',*,*,#45439,.T.); #64698=ORIENTED_EDGE('',*,*,#45461,.T.); #64699=ORIENTED_EDGE('',*,*,#45458,.T.); #64700=ORIENTED_EDGE('',*,*,#45455,.T.); #64701=ORIENTED_EDGE('',*,*,#45452,.T.); #64702=ORIENTED_EDGE('',*,*,#45449,.T.); #64703=ORIENTED_EDGE('',*,*,#45446,.T.); #64704=ORIENTED_EDGE('',*,*,#45443,.T.); #64705=ORIENTED_EDGE('',*,*,#45481,.T.); #64706=ORIENTED_EDGE('',*,*,#45503,.T.); #64707=ORIENTED_EDGE('',*,*,#45500,.T.); #64708=ORIENTED_EDGE('',*,*,#45497,.T.); #64709=ORIENTED_EDGE('',*,*,#45494,.T.); #64710=ORIENTED_EDGE('',*,*,#45491,.T.); #64711=ORIENTED_EDGE('',*,*,#45488,.T.); #64712=ORIENTED_EDGE('',*,*,#45485,.T.); #64713=ORIENTED_EDGE('',*,*,#45988,.T.); #64714=ORIENTED_EDGE('',*,*,#46019,.T.); #64715=ORIENTED_EDGE('',*,*,#46016,.T.); #64716=ORIENTED_EDGE('',*,*,#46013,.T.); #64717=ORIENTED_EDGE('',*,*,#46010,.T.); #64718=ORIENTED_EDGE('',*,*,#46007,.T.); #64719=ORIENTED_EDGE('',*,*,#46004,.T.); #64720=ORIENTED_EDGE('',*,*,#46001,.T.); #64721=ORIENTED_EDGE('',*,*,#45998,.T.); #64722=ORIENTED_EDGE('',*,*,#45995,.T.); #64723=ORIENTED_EDGE('',*,*,#45992,.T.); #64724=ORIENTED_EDGE('',*,*,#46021,.T.); #64725=ORIENTED_EDGE('',*,*,#46052,.T.); #64726=ORIENTED_EDGE('',*,*,#46049,.T.); #64727=ORIENTED_EDGE('',*,*,#46046,.T.); #64728=ORIENTED_EDGE('',*,*,#46043,.T.); #64729=ORIENTED_EDGE('',*,*,#46040,.T.); #64730=ORIENTED_EDGE('',*,*,#46037,.T.); #64731=ORIENTED_EDGE('',*,*,#46034,.T.); #64732=ORIENTED_EDGE('',*,*,#46031,.T.); #64733=ORIENTED_EDGE('',*,*,#46028,.T.); #64734=ORIENTED_EDGE('',*,*,#46025,.T.); #64735=ORIENTED_EDGE('',*,*,#46054,.T.); #64736=ORIENTED_EDGE('',*,*,#46085,.T.); #64737=ORIENTED_EDGE('',*,*,#46082,.T.); #64738=ORIENTED_EDGE('',*,*,#46079,.T.); #64739=ORIENTED_EDGE('',*,*,#46076,.T.); #64740=ORIENTED_EDGE('',*,*,#46073,.T.); #64741=ORIENTED_EDGE('',*,*,#46070,.T.); #64742=ORIENTED_EDGE('',*,*,#46067,.T.); #64743=ORIENTED_EDGE('',*,*,#46064,.T.); #64744=ORIENTED_EDGE('',*,*,#46061,.T.); #64745=ORIENTED_EDGE('',*,*,#46058,.T.); #64746=ORIENTED_EDGE('',*,*,#46234,.T.); #64747=ORIENTED_EDGE('',*,*,#47311,.T.); #64748=ORIENTED_EDGE('',*,*,#47330,.T.); #64749=ORIENTED_EDGE('',*,*,#47327,.T.); #64750=ORIENTED_EDGE('',*,*,#47324,.T.); #64751=ORIENTED_EDGE('',*,*,#47321,.T.); #64752=ORIENTED_EDGE('',*,*,#47318,.T.); #64753=ORIENTED_EDGE('',*,*,#47315,.T.); #64754=ORIENTED_EDGE('',*,*,#47332,.T.); #64755=ORIENTED_EDGE('',*,*,#47357,.T.); #64756=ORIENTED_EDGE('',*,*,#47354,.T.); #64757=ORIENTED_EDGE('',*,*,#47351,.T.); #64758=ORIENTED_EDGE('',*,*,#47348,.T.); #64759=ORIENTED_EDGE('',*,*,#47345,.T.); #64760=ORIENTED_EDGE('',*,*,#47342,.T.); #64761=ORIENTED_EDGE('',*,*,#47339,.T.); #64762=ORIENTED_EDGE('',*,*,#47336,.T.); #64763=ORIENTED_EDGE('',*,*,#47359,.T.); #64764=ORIENTED_EDGE('',*,*,#47387,.T.); #64765=ORIENTED_EDGE('',*,*,#47384,.T.); #64766=ORIENTED_EDGE('',*,*,#47381,.T.); #64767=ORIENTED_EDGE('',*,*,#47378,.T.); #64768=ORIENTED_EDGE('',*,*,#47375,.T.); #64769=ORIENTED_EDGE('',*,*,#47372,.T.); #64770=ORIENTED_EDGE('',*,*,#47369,.T.); #64771=ORIENTED_EDGE('',*,*,#47366,.T.); #64772=ORIENTED_EDGE('',*,*,#47363,.T.); #64773=ORIENTED_EDGE('',*,*,#47389,.T.); #64774=ORIENTED_EDGE('',*,*,#47435,.T.); #64775=ORIENTED_EDGE('',*,*,#47432,.T.); #64776=ORIENTED_EDGE('',*,*,#47429,.T.); #64777=ORIENTED_EDGE('',*,*,#47426,.T.); #64778=ORIENTED_EDGE('',*,*,#47423,.T.); #64779=ORIENTED_EDGE('',*,*,#47420,.T.); #64780=ORIENTED_EDGE('',*,*,#47417,.T.); #64781=ORIENTED_EDGE('',*,*,#47414,.T.); #64782=ORIENTED_EDGE('',*,*,#47411,.T.); #64783=ORIENTED_EDGE('',*,*,#47408,.T.); #64784=ORIENTED_EDGE('',*,*,#47405,.T.); #64785=ORIENTED_EDGE('',*,*,#47402,.T.); #64786=ORIENTED_EDGE('',*,*,#47399,.T.); #64787=ORIENTED_EDGE('',*,*,#47396,.T.); #64788=ORIENTED_EDGE('',*,*,#47393,.T.); #64789=ORIENTED_EDGE('',*,*,#47437,.T.); #64790=ORIENTED_EDGE('',*,*,#47480,.T.); #64791=ORIENTED_EDGE('',*,*,#47477,.T.); #64792=ORIENTED_EDGE('',*,*,#47474,.T.); #64793=ORIENTED_EDGE('',*,*,#47471,.T.); #64794=ORIENTED_EDGE('',*,*,#47468,.T.); #64795=ORIENTED_EDGE('',*,*,#47465,.T.); #64796=ORIENTED_EDGE('',*,*,#47462,.T.); #64797=ORIENTED_EDGE('',*,*,#47459,.T.); #64798=ORIENTED_EDGE('',*,*,#47456,.T.); #64799=ORIENTED_EDGE('',*,*,#47453,.T.); #64800=ORIENTED_EDGE('',*,*,#47450,.T.); #64801=ORIENTED_EDGE('',*,*,#47447,.T.); #64802=ORIENTED_EDGE('',*,*,#47444,.T.); #64803=ORIENTED_EDGE('',*,*,#47441,.T.); #64804=ORIENTED_EDGE('',*,*,#47482,.T.); #64805=ORIENTED_EDGE('',*,*,#47528,.T.); #64806=ORIENTED_EDGE('',*,*,#47525,.T.); #64807=ORIENTED_EDGE('',*,*,#47522,.T.); #64808=ORIENTED_EDGE('',*,*,#47519,.T.); #64809=ORIENTED_EDGE('',*,*,#47516,.T.); #64810=ORIENTED_EDGE('',*,*,#47513,.T.); #64811=ORIENTED_EDGE('',*,*,#47510,.T.); #64812=ORIENTED_EDGE('',*,*,#47507,.T.); #64813=ORIENTED_EDGE('',*,*,#47504,.T.); #64814=ORIENTED_EDGE('',*,*,#47501,.T.); #64815=ORIENTED_EDGE('',*,*,#47498,.T.); #64816=ORIENTED_EDGE('',*,*,#47495,.T.); #64817=ORIENTED_EDGE('',*,*,#47492,.T.); #64818=ORIENTED_EDGE('',*,*,#47489,.T.); #64819=ORIENTED_EDGE('',*,*,#47486,.T.); #64820=ORIENTED_EDGE('',*,*,#47530,.T.); #64821=ORIENTED_EDGE('',*,*,#47549,.T.); #64822=ORIENTED_EDGE('',*,*,#47546,.T.); #64823=ORIENTED_EDGE('',*,*,#47543,.T.); #64824=ORIENTED_EDGE('',*,*,#47540,.T.); #64825=ORIENTED_EDGE('',*,*,#47537,.T.); #64826=ORIENTED_EDGE('',*,*,#47534,.T.); #64827=ORIENTED_EDGE('',*,*,#47551,.T.); #64828=ORIENTED_EDGE('',*,*,#47585,.T.); #64829=ORIENTED_EDGE('',*,*,#47582,.T.); #64830=ORIENTED_EDGE('',*,*,#47579,.T.); #64831=ORIENTED_EDGE('',*,*,#47576,.T.); #64832=ORIENTED_EDGE('',*,*,#47573,.T.); #64833=ORIENTED_EDGE('',*,*,#47570,.T.); #64834=ORIENTED_EDGE('',*,*,#47567,.T.); #64835=ORIENTED_EDGE('',*,*,#47564,.T.); #64836=ORIENTED_EDGE('',*,*,#47561,.T.); #64837=ORIENTED_EDGE('',*,*,#47558,.T.); #64838=ORIENTED_EDGE('',*,*,#47555,.T.); #64839=ORIENTED_EDGE('',*,*,#47587,.T.); #64840=ORIENTED_EDGE('',*,*,#47621,.T.); #64841=ORIENTED_EDGE('',*,*,#47618,.T.); #64842=ORIENTED_EDGE('',*,*,#47615,.T.); #64843=ORIENTED_EDGE('',*,*,#47612,.T.); #64844=ORIENTED_EDGE('',*,*,#47609,.T.); #64845=ORIENTED_EDGE('',*,*,#47606,.T.); #64846=ORIENTED_EDGE('',*,*,#47603,.T.); #64847=ORIENTED_EDGE('',*,*,#47600,.T.); #64848=ORIENTED_EDGE('',*,*,#47597,.T.); #64849=ORIENTED_EDGE('',*,*,#47594,.T.); #64850=ORIENTED_EDGE('',*,*,#47591,.T.); #64851=ORIENTED_EDGE('',*,*,#47632,.T.); #64852=ORIENTED_EDGE('',*,*,#47654,.T.); #64853=ORIENTED_EDGE('',*,*,#47651,.T.); #64854=ORIENTED_EDGE('',*,*,#47648,.T.); #64855=ORIENTED_EDGE('',*,*,#47645,.T.); #64856=ORIENTED_EDGE('',*,*,#47642,.T.); #64857=ORIENTED_EDGE('',*,*,#47639,.T.); #64858=ORIENTED_EDGE('',*,*,#47636,.T.); #64859=ORIENTED_EDGE('',*,*,#47677,.T.); #64860=ORIENTED_EDGE('',*,*,#47708,.T.); #64861=ORIENTED_EDGE('',*,*,#47705,.T.); #64862=ORIENTED_EDGE('',*,*,#47702,.T.); #64863=ORIENTED_EDGE('',*,*,#47699,.T.); #64864=ORIENTED_EDGE('',*,*,#47696,.T.); #64865=ORIENTED_EDGE('',*,*,#47693,.T.); #64866=ORIENTED_EDGE('',*,*,#47690,.T.); #64867=ORIENTED_EDGE('',*,*,#47687,.T.); #64868=ORIENTED_EDGE('',*,*,#47684,.T.); #64869=ORIENTED_EDGE('',*,*,#47681,.T.); #64870=ORIENTED_EDGE('',*,*,#47731,.T.); #64871=ORIENTED_EDGE('',*,*,#47750,.T.); #64872=ORIENTED_EDGE('',*,*,#47747,.T.); #64873=ORIENTED_EDGE('',*,*,#47744,.T.); #64874=ORIENTED_EDGE('',*,*,#47741,.T.); #64875=ORIENTED_EDGE('',*,*,#47738,.T.); #64876=ORIENTED_EDGE('',*,*,#47735,.T.); #64877=ORIENTED_EDGE('',*,*,#47752,.T.); #64878=ORIENTED_EDGE('',*,*,#47789,.T.); #64879=ORIENTED_EDGE('',*,*,#47786,.T.); #64880=ORIENTED_EDGE('',*,*,#47783,.T.); #64881=ORIENTED_EDGE('',*,*,#47780,.T.); #64882=ORIENTED_EDGE('',*,*,#47777,.T.); #64883=ORIENTED_EDGE('',*,*,#47774,.T.); #64884=ORIENTED_EDGE('',*,*,#47771,.T.); #64885=ORIENTED_EDGE('',*,*,#47768,.T.); #64886=ORIENTED_EDGE('',*,*,#47765,.T.); #64887=ORIENTED_EDGE('',*,*,#47762,.T.); #64888=ORIENTED_EDGE('',*,*,#47759,.T.); #64889=ORIENTED_EDGE('',*,*,#47756,.T.); #64890=ORIENTED_EDGE('',*,*,#47791,.T.); #64891=ORIENTED_EDGE('',*,*,#47852,.T.); #64892=ORIENTED_EDGE('',*,*,#47849,.T.); #64893=ORIENTED_EDGE('',*,*,#47846,.T.); #64894=ORIENTED_EDGE('',*,*,#47843,.T.); #64895=ORIENTED_EDGE('',*,*,#47840,.T.); #64896=ORIENTED_EDGE('',*,*,#47837,.T.); #64897=ORIENTED_EDGE('',*,*,#47834,.T.); #64898=ORIENTED_EDGE('',*,*,#47831,.T.); #64899=ORIENTED_EDGE('',*,*,#47828,.T.); #64900=ORIENTED_EDGE('',*,*,#47825,.T.); #64901=ORIENTED_EDGE('',*,*,#47822,.T.); #64902=ORIENTED_EDGE('',*,*,#47819,.T.); #64903=ORIENTED_EDGE('',*,*,#47816,.T.); #64904=ORIENTED_EDGE('',*,*,#47813,.T.); #64905=ORIENTED_EDGE('',*,*,#47810,.T.); #64906=ORIENTED_EDGE('',*,*,#47807,.T.); #64907=ORIENTED_EDGE('',*,*,#47804,.T.); #64908=ORIENTED_EDGE('',*,*,#47801,.T.); #64909=ORIENTED_EDGE('',*,*,#47798,.T.); #64910=ORIENTED_EDGE('',*,*,#47795,.T.); #64911=ORIENTED_EDGE('',*,*,#47854,.T.); #64912=ORIENTED_EDGE('',*,*,#47912,.T.); #64913=ORIENTED_EDGE('',*,*,#47909,.T.); #64914=ORIENTED_EDGE('',*,*,#47906,.T.); #64915=ORIENTED_EDGE('',*,*,#47903,.T.); #64916=ORIENTED_EDGE('',*,*,#47900,.T.); #64917=ORIENTED_EDGE('',*,*,#47897,.T.); #64918=ORIENTED_EDGE('',*,*,#47894,.T.); #64919=ORIENTED_EDGE('',*,*,#47891,.T.); #64920=ORIENTED_EDGE('',*,*,#47888,.T.); #64921=ORIENTED_EDGE('',*,*,#47885,.T.); #64922=ORIENTED_EDGE('',*,*,#47882,.T.); #64923=ORIENTED_EDGE('',*,*,#47879,.T.); #64924=ORIENTED_EDGE('',*,*,#47876,.T.); #64925=ORIENTED_EDGE('',*,*,#47873,.T.); #64926=ORIENTED_EDGE('',*,*,#47870,.T.); #64927=ORIENTED_EDGE('',*,*,#47867,.T.); #64928=ORIENTED_EDGE('',*,*,#47864,.T.); #64929=ORIENTED_EDGE('',*,*,#47861,.T.); #64930=ORIENTED_EDGE('',*,*,#47858,.T.); #64931=ORIENTED_EDGE('',*,*,#47935,.T.); #64932=ORIENTED_EDGE('',*,*,#47960,.T.); #64933=ORIENTED_EDGE('',*,*,#47957,.T.); #64934=ORIENTED_EDGE('',*,*,#47954,.T.); #64935=ORIENTED_EDGE('',*,*,#47951,.T.); #64936=ORIENTED_EDGE('',*,*,#47948,.T.); #64937=ORIENTED_EDGE('',*,*,#47945,.T.); #64938=ORIENTED_EDGE('',*,*,#47942,.T.); #64939=ORIENTED_EDGE('',*,*,#47939,.T.); #64940=ORIENTED_EDGE('',*,*,#47971,.T.); #64941=ORIENTED_EDGE('',*,*,#47993,.T.); #64942=ORIENTED_EDGE('',*,*,#47990,.T.); #64943=ORIENTED_EDGE('',*,*,#47987,.T.); #64944=ORIENTED_EDGE('',*,*,#47984,.T.); #64945=ORIENTED_EDGE('',*,*,#47981,.T.); #64946=ORIENTED_EDGE('',*,*,#47978,.T.); #64947=ORIENTED_EDGE('',*,*,#47975,.T.); #64948=ORIENTED_EDGE('',*,*,#47995,.T.); #64949=ORIENTED_EDGE('',*,*,#48017,.T.); #64950=ORIENTED_EDGE('',*,*,#48014,.T.); #64951=ORIENTED_EDGE('',*,*,#48011,.T.); #64952=ORIENTED_EDGE('',*,*,#48008,.T.); #64953=ORIENTED_EDGE('',*,*,#48005,.T.); #64954=ORIENTED_EDGE('',*,*,#48002,.T.); #64955=ORIENTED_EDGE('',*,*,#47999,.T.); #64956=ORIENTED_EDGE('',*,*,#48040,.T.); #64957=ORIENTED_EDGE('',*,*,#48065,.T.); #64958=ORIENTED_EDGE('',*,*,#48062,.T.); #64959=ORIENTED_EDGE('',*,*,#48059,.T.); #64960=ORIENTED_EDGE('',*,*,#48056,.T.); #64961=ORIENTED_EDGE('',*,*,#48053,.T.); #64962=ORIENTED_EDGE('',*,*,#48050,.T.); #64963=ORIENTED_EDGE('',*,*,#48047,.T.); #64964=ORIENTED_EDGE('',*,*,#48044,.T.); #64965=ORIENTED_EDGE('',*,*,#48067,.T.); #64966=ORIENTED_EDGE('',*,*,#48101,.T.); #64967=ORIENTED_EDGE('',*,*,#48098,.T.); #64968=ORIENTED_EDGE('',*,*,#48095,.T.); #64969=ORIENTED_EDGE('',*,*,#48092,.T.); #64970=ORIENTED_EDGE('',*,*,#48089,.T.); #64971=ORIENTED_EDGE('',*,*,#48086,.T.); #64972=ORIENTED_EDGE('',*,*,#48083,.T.); #64973=ORIENTED_EDGE('',*,*,#48080,.T.); #64974=ORIENTED_EDGE('',*,*,#48077,.T.); #64975=ORIENTED_EDGE('',*,*,#48074,.T.); #64976=ORIENTED_EDGE('',*,*,#48071,.T.); #64977=ORIENTED_EDGE('',*,*,#48124,.T.); #64978=ORIENTED_EDGE('',*,*,#48143,.T.); #64979=ORIENTED_EDGE('',*,*,#48140,.T.); #64980=ORIENTED_EDGE('',*,*,#48137,.T.); #64981=ORIENTED_EDGE('',*,*,#48134,.T.); #64982=ORIENTED_EDGE('',*,*,#48131,.T.); #64983=ORIENTED_EDGE('',*,*,#48128,.T.); #64984=ORIENTED_EDGE('',*,*,#48145,.T.); #64985=ORIENTED_EDGE('',*,*,#48155,.T.); #64986=ORIENTED_EDGE('',*,*,#48152,.T.); #64987=ORIENTED_EDGE('',*,*,#48149,.T.); #64988=ORIENTED_EDGE('',*,*,#48103,.T.); #64989=ORIENTED_EDGE('',*,*,#48107,.T.); #64990=ORIENTED_EDGE('',*,*,#48110,.T.); #64991=ORIENTED_EDGE('',*,*,#48113,.T.); #64992=ORIENTED_EDGE('',*,*,#48116,.T.); #64993=ORIENTED_EDGE('',*,*,#48119,.T.); #64994=ORIENTED_EDGE('',*,*,#48122,.T.); #64995=ORIENTED_EDGE('',*,*,#48019,.T.); #64996=ORIENTED_EDGE('',*,*,#48023,.T.); #64997=ORIENTED_EDGE('',*,*,#48026,.T.); #64998=ORIENTED_EDGE('',*,*,#48029,.T.); #64999=ORIENTED_EDGE('',*,*,#48032,.T.); #65000=ORIENTED_EDGE('',*,*,#48035,.T.); #65001=ORIENTED_EDGE('',*,*,#48038,.T.); #65002=ORIENTED_EDGE('',*,*,#47962,.T.); #65003=ORIENTED_EDGE('',*,*,#47966,.T.); #65004=ORIENTED_EDGE('',*,*,#47969,.T.); #65005=ORIENTED_EDGE('',*,*,#47914,.T.); #65006=ORIENTED_EDGE('',*,*,#47918,.T.); #65007=ORIENTED_EDGE('',*,*,#47921,.T.); #65008=ORIENTED_EDGE('',*,*,#47924,.T.); #65009=ORIENTED_EDGE('',*,*,#47927,.T.); #65010=ORIENTED_EDGE('',*,*,#47930,.T.); #65011=ORIENTED_EDGE('',*,*,#47933,.T.); #65012=ORIENTED_EDGE('',*,*,#47710,.T.); #65013=ORIENTED_EDGE('',*,*,#47714,.T.); #65014=ORIENTED_EDGE('',*,*,#47717,.T.); #65015=ORIENTED_EDGE('',*,*,#47720,.T.); #65016=ORIENTED_EDGE('',*,*,#47723,.T.); #65017=ORIENTED_EDGE('',*,*,#47726,.T.); #65018=ORIENTED_EDGE('',*,*,#47729,.T.); #65019=ORIENTED_EDGE('',*,*,#47656,.T.); #65020=ORIENTED_EDGE('',*,*,#47660,.T.); #65021=ORIENTED_EDGE('',*,*,#47663,.T.); #65022=ORIENTED_EDGE('',*,*,#47666,.T.); #65023=ORIENTED_EDGE('',*,*,#47669,.T.); #65024=ORIENTED_EDGE('',*,*,#47672,.T.); #65025=ORIENTED_EDGE('',*,*,#47675,.T.); #65026=ORIENTED_EDGE('',*,*,#46089,.T.); #65027=ORIENTED_EDGE('',*,*,#45505,.T.); #65028=ORIENTED_EDGE('',*,*,#45524,.T.); #65029=ORIENTED_EDGE('',*,*,#45521,.T.); #65030=ORIENTED_EDGE('',*,*,#45518,.T.); #65031=ORIENTED_EDGE('',*,*,#45515,.T.); #65032=ORIENTED_EDGE('',*,*,#45512,.T.); #65033=ORIENTED_EDGE('',*,*,#45509,.T.); #65034=ORIENTED_EDGE('',*,*,#45526,.T.); #65035=ORIENTED_EDGE('',*,*,#45545,.T.); #65036=ORIENTED_EDGE('',*,*,#45542,.T.); #65037=ORIENTED_EDGE('',*,*,#45539,.T.); #65038=ORIENTED_EDGE('',*,*,#45536,.T.); #65039=ORIENTED_EDGE('',*,*,#45533,.T.); #65040=ORIENTED_EDGE('',*,*,#45530,.T.); #65041=ORIENTED_EDGE('',*,*,#47209,.T.); #65042=ORIENTED_EDGE('',*,*,#47309,.T.); #65043=ORIENTED_EDGE('',*,*,#47306,.T.); #65044=ORIENTED_EDGE('',*,*,#47303,.T.); #65045=ORIENTED_EDGE('',*,*,#47300,.T.); #65046=ORIENTED_EDGE('',*,*,#47297,.T.); #65047=ORIENTED_EDGE('',*,*,#47294,.T.); #65048=ORIENTED_EDGE('',*,*,#47291,.T.); #65049=ORIENTED_EDGE('',*,*,#47288,.T.); #65050=ORIENTED_EDGE('',*,*,#47285,.T.); #65051=ORIENTED_EDGE('',*,*,#47282,.T.); #65052=ORIENTED_EDGE('',*,*,#47279,.T.); #65053=ORIENTED_EDGE('',*,*,#47276,.T.); #65054=ORIENTED_EDGE('',*,*,#47273,.T.); #65055=ORIENTED_EDGE('',*,*,#47270,.T.); #65056=ORIENTED_EDGE('',*,*,#47267,.T.); #65057=ORIENTED_EDGE('',*,*,#47264,.T.); #65058=ORIENTED_EDGE('',*,*,#47261,.T.); #65059=ORIENTED_EDGE('',*,*,#47258,.T.); #65060=ORIENTED_EDGE('',*,*,#47255,.T.); #65061=ORIENTED_EDGE('',*,*,#47252,.T.); #65062=ORIENTED_EDGE('',*,*,#47249,.T.); #65063=ORIENTED_EDGE('',*,*,#47246,.T.); #65064=ORIENTED_EDGE('',*,*,#47243,.T.); #65065=ORIENTED_EDGE('',*,*,#47240,.T.); #65066=ORIENTED_EDGE('',*,*,#47237,.T.); #65067=ORIENTED_EDGE('',*,*,#47234,.T.); #65068=ORIENTED_EDGE('',*,*,#47231,.T.); #65069=ORIENTED_EDGE('',*,*,#47228,.T.); #65070=ORIENTED_EDGE('',*,*,#47225,.T.); #65071=ORIENTED_EDGE('',*,*,#47222,.T.); #65072=ORIENTED_EDGE('',*,*,#47219,.T.); #65073=ORIENTED_EDGE('',*,*,#47216,.T.); #65074=ORIENTED_EDGE('',*,*,#47213,.T.); #65075=ORIENTED_EDGE('',*,*,#47161,.T.); #65076=ORIENTED_EDGE('',*,*,#47165,.T.); #65077=ORIENTED_EDGE('',*,*,#47168,.T.); #65078=ORIENTED_EDGE('',*,*,#47171,.T.); #65079=ORIENTED_EDGE('',*,*,#47174,.T.); #65080=ORIENTED_EDGE('',*,*,#47177,.T.); #65081=ORIENTED_EDGE('',*,*,#47180,.T.); #65082=ORIENTED_EDGE('',*,*,#47183,.T.); #65083=ORIENTED_EDGE('',*,*,#47186,.T.); #65084=ORIENTED_EDGE('',*,*,#47189,.T.); #65085=ORIENTED_EDGE('',*,*,#47192,.T.); #65086=ORIENTED_EDGE('',*,*,#47195,.T.); #65087=ORIENTED_EDGE('',*,*,#47198,.T.); #65088=ORIENTED_EDGE('',*,*,#47201,.T.); #65089=ORIENTED_EDGE('',*,*,#47204,.T.); #65090=ORIENTED_EDGE('',*,*,#47207,.T.); #65091=ORIENTED_EDGE('',*,*,#46126,.T.); #65092=ORIENTED_EDGE('',*,*,#46130,.T.); #65093=ORIENTED_EDGE('',*,*,#46133,.T.); #65094=ORIENTED_EDGE('',*,*,#46136,.T.); #65095=ORIENTED_EDGE('',*,*,#46867,.T.); #65096=ORIENTED_EDGE('',*,*,#46985,.T.); #65097=ORIENTED_EDGE('',*,*,#46982,.T.); #65098=ORIENTED_EDGE('',*,*,#46979,.T.); #65099=ORIENTED_EDGE('',*,*,#46976,.T.); #65100=ORIENTED_EDGE('',*,*,#46973,.T.); #65101=ORIENTED_EDGE('',*,*,#46970,.T.); #65102=ORIENTED_EDGE('',*,*,#46967,.T.); #65103=ORIENTED_EDGE('',*,*,#46964,.T.); #65104=ORIENTED_EDGE('',*,*,#46961,.T.); #65105=ORIENTED_EDGE('',*,*,#46958,.T.); #65106=ORIENTED_EDGE('',*,*,#46955,.T.); #65107=ORIENTED_EDGE('',*,*,#46952,.T.); #65108=ORIENTED_EDGE('',*,*,#46949,.T.); #65109=ORIENTED_EDGE('',*,*,#46946,.T.); #65110=ORIENTED_EDGE('',*,*,#46943,.T.); #65111=ORIENTED_EDGE('',*,*,#46940,.T.); #65112=ORIENTED_EDGE('',*,*,#46937,.T.); #65113=ORIENTED_EDGE('',*,*,#46934,.T.); #65114=ORIENTED_EDGE('',*,*,#46931,.T.); #65115=ORIENTED_EDGE('',*,*,#46928,.T.); #65116=ORIENTED_EDGE('',*,*,#46925,.T.); #65117=ORIENTED_EDGE('',*,*,#46922,.T.); #65118=ORIENTED_EDGE('',*,*,#46919,.T.); #65119=ORIENTED_EDGE('',*,*,#46916,.T.); #65120=ORIENTED_EDGE('',*,*,#46913,.T.); #65121=ORIENTED_EDGE('',*,*,#46910,.T.); #65122=ORIENTED_EDGE('',*,*,#46907,.T.); #65123=ORIENTED_EDGE('',*,*,#46904,.T.); #65124=ORIENTED_EDGE('',*,*,#46901,.T.); #65125=ORIENTED_EDGE('',*,*,#46898,.T.); #65126=ORIENTED_EDGE('',*,*,#46895,.T.); #65127=ORIENTED_EDGE('',*,*,#46892,.T.); #65128=ORIENTED_EDGE('',*,*,#46889,.T.); #65129=ORIENTED_EDGE('',*,*,#46886,.T.); #65130=ORIENTED_EDGE('',*,*,#46883,.T.); #65131=ORIENTED_EDGE('',*,*,#46880,.T.); #65132=ORIENTED_EDGE('',*,*,#46877,.T.); #65133=ORIENTED_EDGE('',*,*,#46874,.T.); #65134=ORIENTED_EDGE('',*,*,#46871,.T.); #65135=ORIENTED_EDGE('',*,*,#46174,.T.); #65136=ORIENTED_EDGE('',*,*,#46178,.T.); #65137=ORIENTED_EDGE('',*,*,#46181,.T.); #65138=ORIENTED_EDGE('',*,*,#46184,.T.); #65139=ORIENTED_EDGE('',*,*,#46684,.T.); #65140=ORIENTED_EDGE('',*,*,#46784,.T.); #65141=ORIENTED_EDGE('',*,*,#46781,.T.); #65142=ORIENTED_EDGE('',*,*,#46778,.T.); #65143=ORIENTED_EDGE('',*,*,#46775,.T.); #65144=ORIENTED_EDGE('',*,*,#46772,.T.); #65145=ORIENTED_EDGE('',*,*,#46769,.T.); #65146=ORIENTED_EDGE('',*,*,#46766,.T.); #65147=ORIENTED_EDGE('',*,*,#46763,.T.); #65148=ORIENTED_EDGE('',*,*,#46760,.T.); #65149=ORIENTED_EDGE('',*,*,#46757,.T.); #65150=ORIENTED_EDGE('',*,*,#46754,.T.); #65151=ORIENTED_EDGE('',*,*,#46751,.T.); #65152=ORIENTED_EDGE('',*,*,#46748,.T.); #65153=ORIENTED_EDGE('',*,*,#46745,.T.); #65154=ORIENTED_EDGE('',*,*,#46742,.T.); #65155=ORIENTED_EDGE('',*,*,#46739,.T.); #65156=ORIENTED_EDGE('',*,*,#46736,.T.); #65157=ORIENTED_EDGE('',*,*,#46733,.T.); #65158=ORIENTED_EDGE('',*,*,#46730,.T.); #65159=ORIENTED_EDGE('',*,*,#46727,.T.); #65160=ORIENTED_EDGE('',*,*,#46724,.T.); #65161=ORIENTED_EDGE('',*,*,#46721,.T.); #65162=ORIENTED_EDGE('',*,*,#46718,.T.); #65163=ORIENTED_EDGE('',*,*,#46715,.T.); #65164=ORIENTED_EDGE('',*,*,#46712,.T.); #65165=ORIENTED_EDGE('',*,*,#46709,.T.); #65166=ORIENTED_EDGE('',*,*,#46706,.T.); #65167=ORIENTED_EDGE('',*,*,#46703,.T.); #65168=ORIENTED_EDGE('',*,*,#46700,.T.); #65169=ORIENTED_EDGE('',*,*,#46697,.T.); #65170=ORIENTED_EDGE('',*,*,#46694,.T.); #65171=ORIENTED_EDGE('',*,*,#46691,.T.); #65172=ORIENTED_EDGE('',*,*,#46688,.T.); #65173=ORIENTED_EDGE('',*,*,#46636,.T.); #65174=ORIENTED_EDGE('',*,*,#46640,.T.); #65175=ORIENTED_EDGE('',*,*,#46643,.T.); #65176=ORIENTED_EDGE('',*,*,#46646,.T.); #65177=ORIENTED_EDGE('',*,*,#46649,.T.); #65178=ORIENTED_EDGE('',*,*,#46652,.T.); #65179=ORIENTED_EDGE('',*,*,#46655,.T.); #65180=ORIENTED_EDGE('',*,*,#46658,.T.); #65181=ORIENTED_EDGE('',*,*,#46661,.T.); #65182=ORIENTED_EDGE('',*,*,#46664,.T.); #65183=ORIENTED_EDGE('',*,*,#46667,.T.); #65184=ORIENTED_EDGE('',*,*,#46670,.T.); #65185=ORIENTED_EDGE('',*,*,#46673,.T.); #65186=ORIENTED_EDGE('',*,*,#46676,.T.); #65187=ORIENTED_EDGE('',*,*,#46679,.T.); #65188=ORIENTED_EDGE('',*,*,#46682,.T.); #65189=ORIENTED_EDGE('',*,*,#46222,.T.); #65190=ORIENTED_EDGE('',*,*,#46226,.T.); #65191=ORIENTED_EDGE('',*,*,#46229,.T.); #65192=ORIENTED_EDGE('',*,*,#46232,.T.); #65193=ORIENTED_EDGE('',*,*,#46297,.T.); #65194=ORIENTED_EDGE('',*,*,#46415,.T.); #65195=ORIENTED_EDGE('',*,*,#46412,.T.); #65196=ORIENTED_EDGE('',*,*,#46409,.T.); #65197=ORIENTED_EDGE('',*,*,#46406,.T.); #65198=ORIENTED_EDGE('',*,*,#46403,.T.); #65199=ORIENTED_EDGE('',*,*,#46400,.T.); #65200=ORIENTED_EDGE('',*,*,#46397,.T.); #65201=ORIENTED_EDGE('',*,*,#46394,.T.); #65202=ORIENTED_EDGE('',*,*,#46391,.T.); #65203=ORIENTED_EDGE('',*,*,#46388,.T.); #65204=ORIENTED_EDGE('',*,*,#46385,.T.); #65205=ORIENTED_EDGE('',*,*,#46382,.T.); #65206=ORIENTED_EDGE('',*,*,#46379,.T.); #65207=ORIENTED_EDGE('',*,*,#46376,.T.); #65208=ORIENTED_EDGE('',*,*,#46373,.T.); #65209=ORIENTED_EDGE('',*,*,#46370,.T.); #65210=ORIENTED_EDGE('',*,*,#46367,.T.); #65211=ORIENTED_EDGE('',*,*,#46364,.T.); #65212=ORIENTED_EDGE('',*,*,#46361,.T.); #65213=ORIENTED_EDGE('',*,*,#46358,.T.); #65214=ORIENTED_EDGE('',*,*,#46355,.T.); #65215=ORIENTED_EDGE('',*,*,#46352,.T.); #65216=ORIENTED_EDGE('',*,*,#46349,.T.); #65217=ORIENTED_EDGE('',*,*,#46346,.T.); #65218=ORIENTED_EDGE('',*,*,#46343,.T.); #65219=ORIENTED_EDGE('',*,*,#46340,.T.); #65220=ORIENTED_EDGE('',*,*,#46337,.T.); #65221=ORIENTED_EDGE('',*,*,#46334,.T.); #65222=ORIENTED_EDGE('',*,*,#46331,.T.); #65223=ORIENTED_EDGE('',*,*,#46328,.T.); #65224=ORIENTED_EDGE('',*,*,#46325,.T.); #65225=ORIENTED_EDGE('',*,*,#46322,.T.); #65226=ORIENTED_EDGE('',*,*,#46319,.T.); #65227=ORIENTED_EDGE('',*,*,#46316,.T.); #65228=ORIENTED_EDGE('',*,*,#46313,.T.); #65229=ORIENTED_EDGE('',*,*,#46310,.T.); #65230=ORIENTED_EDGE('',*,*,#46307,.T.); #65231=ORIENTED_EDGE('',*,*,#46304,.T.); #65232=ORIENTED_EDGE('',*,*,#46301,.T.); #65233=ORIENTED_EDGE('',*,*,#47623,.T.); #65234=ORIENTED_EDGE('',*,*,#47627,.T.); #65235=ORIENTED_EDGE('',*,*,#47630,.T.); #65236=ORIENTED_EDGE('',*,*,#46237,.T.); #65237=ORIENTED_EDGE('',*,*,#46241,.T.); #65238=ORIENTED_EDGE('',*,*,#46244,.T.); #65239=ORIENTED_EDGE('',*,*,#46247,.T.); #65240=ORIENTED_EDGE('',*,*,#46250,.T.); #65241=ORIENTED_EDGE('',*,*,#46253,.T.); #65242=ORIENTED_EDGE('',*,*,#46256,.T.); #65243=ORIENTED_EDGE('',*,*,#46259,.T.); #65244=ORIENTED_EDGE('',*,*,#46262,.T.); #65245=ORIENTED_EDGE('',*,*,#46265,.T.); #65246=ORIENTED_EDGE('',*,*,#46268,.T.); #65247=ORIENTED_EDGE('',*,*,#46271,.T.); #65248=ORIENTED_EDGE('',*,*,#46274,.T.); #65249=ORIENTED_EDGE('',*,*,#46277,.T.); #65250=ORIENTED_EDGE('',*,*,#46280,.T.); #65251=ORIENTED_EDGE('',*,*,#46283,.T.); #65252=ORIENTED_EDGE('',*,*,#46286,.T.); #65253=ORIENTED_EDGE('',*,*,#46289,.T.); #65254=ORIENTED_EDGE('',*,*,#46292,.T.); #65255=ORIENTED_EDGE('',*,*,#46295,.T.); #65256=ORIENTED_EDGE('',*,*,#46210,.T.); #65257=ORIENTED_EDGE('',*,*,#46214,.T.); #65258=ORIENTED_EDGE('',*,*,#46217,.T.); #65259=ORIENTED_EDGE('',*,*,#46220,.T.); #65260=ORIENTED_EDGE('',*,*,#46417,.T.); #65261=ORIENTED_EDGE('',*,*,#46586,.T.); #65262=ORIENTED_EDGE('',*,*,#46583,.T.); #65263=ORIENTED_EDGE('',*,*,#46580,.T.); #65264=ORIENTED_EDGE('',*,*,#46577,.T.); #65265=ORIENTED_EDGE('',*,*,#46574,.T.); #65266=ORIENTED_EDGE('',*,*,#46571,.T.); #65267=ORIENTED_EDGE('',*,*,#46568,.T.); #65268=ORIENTED_EDGE('',*,*,#46565,.T.); #65269=ORIENTED_EDGE('',*,*,#46562,.T.); #65270=ORIENTED_EDGE('',*,*,#46559,.T.); #65271=ORIENTED_EDGE('',*,*,#46556,.T.); #65272=ORIENTED_EDGE('',*,*,#46553,.T.); #65273=ORIENTED_EDGE('',*,*,#46550,.T.); #65274=ORIENTED_EDGE('',*,*,#46547,.T.); #65275=ORIENTED_EDGE('',*,*,#46544,.T.); #65276=ORIENTED_EDGE('',*,*,#46541,.T.); #65277=ORIENTED_EDGE('',*,*,#46538,.T.); #65278=ORIENTED_EDGE('',*,*,#46535,.T.); #65279=ORIENTED_EDGE('',*,*,#46532,.T.); #65280=ORIENTED_EDGE('',*,*,#46529,.T.); #65281=ORIENTED_EDGE('',*,*,#46526,.T.); #65282=ORIENTED_EDGE('',*,*,#46523,.T.); #65283=ORIENTED_EDGE('',*,*,#46520,.T.); #65284=ORIENTED_EDGE('',*,*,#46517,.T.); #65285=ORIENTED_EDGE('',*,*,#46514,.T.); #65286=ORIENTED_EDGE('',*,*,#46511,.T.); #65287=ORIENTED_EDGE('',*,*,#46508,.T.); #65288=ORIENTED_EDGE('',*,*,#46505,.T.); #65289=ORIENTED_EDGE('',*,*,#46502,.T.); #65290=ORIENTED_EDGE('',*,*,#46499,.T.); #65291=ORIENTED_EDGE('',*,*,#46496,.T.); #65292=ORIENTED_EDGE('',*,*,#46493,.T.); #65293=ORIENTED_EDGE('',*,*,#46490,.T.); #65294=ORIENTED_EDGE('',*,*,#46487,.T.); #65295=ORIENTED_EDGE('',*,*,#46484,.T.); #65296=ORIENTED_EDGE('',*,*,#46481,.T.); #65297=ORIENTED_EDGE('',*,*,#46478,.T.); #65298=ORIENTED_EDGE('',*,*,#46475,.T.); #65299=ORIENTED_EDGE('',*,*,#46472,.T.); #65300=ORIENTED_EDGE('',*,*,#46469,.T.); #65301=ORIENTED_EDGE('',*,*,#46466,.T.); #65302=ORIENTED_EDGE('',*,*,#46463,.T.); #65303=ORIENTED_EDGE('',*,*,#46460,.T.); #65304=ORIENTED_EDGE('',*,*,#46457,.T.); #65305=ORIENTED_EDGE('',*,*,#46454,.T.); #65306=ORIENTED_EDGE('',*,*,#46451,.T.); #65307=ORIENTED_EDGE('',*,*,#46448,.T.); #65308=ORIENTED_EDGE('',*,*,#46445,.T.); #65309=ORIENTED_EDGE('',*,*,#46442,.T.); #65310=ORIENTED_EDGE('',*,*,#46439,.T.); #65311=ORIENTED_EDGE('',*,*,#46436,.T.); #65312=ORIENTED_EDGE('',*,*,#46433,.T.); #65313=ORIENTED_EDGE('',*,*,#46430,.T.); #65314=ORIENTED_EDGE('',*,*,#46427,.T.); #65315=ORIENTED_EDGE('',*,*,#46424,.T.); #65316=ORIENTED_EDGE('',*,*,#46421,.T.); #65317=ORIENTED_EDGE('',*,*,#46198,.T.); #65318=ORIENTED_EDGE('',*,*,#46202,.T.); #65319=ORIENTED_EDGE('',*,*,#46205,.T.); #65320=ORIENTED_EDGE('',*,*,#46208,.T.); #65321=ORIENTED_EDGE('',*,*,#45955,.T.); #65322=ORIENTED_EDGE('',*,*,#45986,.T.); #65323=ORIENTED_EDGE('',*,*,#45983,.T.); #65324=ORIENTED_EDGE('',*,*,#45980,.T.); #65325=ORIENTED_EDGE('',*,*,#45977,.T.); #65326=ORIENTED_EDGE('',*,*,#45974,.T.); #65327=ORIENTED_EDGE('',*,*,#45971,.T.); #65328=ORIENTED_EDGE('',*,*,#45968,.T.); #65329=ORIENTED_EDGE('',*,*,#45965,.T.); #65330=ORIENTED_EDGE('',*,*,#45962,.T.); #65331=ORIENTED_EDGE('',*,*,#45959,.T.); #65332=ORIENTED_EDGE('',*,*,#46186,.T.); #65333=ORIENTED_EDGE('',*,*,#46190,.T.); #65334=ORIENTED_EDGE('',*,*,#46193,.T.); #65335=ORIENTED_EDGE('',*,*,#46196,.T.); #65336=ORIENTED_EDGE('',*,*,#45826,.T.); #65337=ORIENTED_EDGE('',*,*,#45944,.T.); #65338=ORIENTED_EDGE('',*,*,#45941,.T.); #65339=ORIENTED_EDGE('',*,*,#45938,.T.); #65340=ORIENTED_EDGE('',*,*,#45935,.T.); #65341=ORIENTED_EDGE('',*,*,#45932,.T.); #65342=ORIENTED_EDGE('',*,*,#45929,.T.); #65343=ORIENTED_EDGE('',*,*,#45926,.T.); #65344=ORIENTED_EDGE('',*,*,#45923,.T.); #65345=ORIENTED_EDGE('',*,*,#45920,.T.); #65346=ORIENTED_EDGE('',*,*,#45917,.T.); #65347=ORIENTED_EDGE('',*,*,#45914,.T.); #65348=ORIENTED_EDGE('',*,*,#45911,.T.); #65349=ORIENTED_EDGE('',*,*,#45908,.T.); #65350=ORIENTED_EDGE('',*,*,#45905,.T.); #65351=ORIENTED_EDGE('',*,*,#45902,.T.); #65352=ORIENTED_EDGE('',*,*,#45899,.T.); #65353=ORIENTED_EDGE('',*,*,#45896,.T.); #65354=ORIENTED_EDGE('',*,*,#45893,.T.); #65355=ORIENTED_EDGE('',*,*,#45890,.T.); #65356=ORIENTED_EDGE('',*,*,#45887,.T.); #65357=ORIENTED_EDGE('',*,*,#45884,.T.); #65358=ORIENTED_EDGE('',*,*,#45881,.T.); #65359=ORIENTED_EDGE('',*,*,#45878,.T.); #65360=ORIENTED_EDGE('',*,*,#45875,.T.); #65361=ORIENTED_EDGE('',*,*,#45872,.T.); #65362=ORIENTED_EDGE('',*,*,#45869,.T.); #65363=ORIENTED_EDGE('',*,*,#45866,.T.); #65364=ORIENTED_EDGE('',*,*,#45863,.T.); #65365=ORIENTED_EDGE('',*,*,#45860,.T.); #65366=ORIENTED_EDGE('',*,*,#45857,.T.); #65367=ORIENTED_EDGE('',*,*,#45854,.T.); #65368=ORIENTED_EDGE('',*,*,#45851,.T.); #65369=ORIENTED_EDGE('',*,*,#45848,.T.); #65370=ORIENTED_EDGE('',*,*,#45845,.T.); #65371=ORIENTED_EDGE('',*,*,#45842,.T.); #65372=ORIENTED_EDGE('',*,*,#45839,.T.); #65373=ORIENTED_EDGE('',*,*,#45836,.T.); #65374=ORIENTED_EDGE('',*,*,#45833,.T.); #65375=ORIENTED_EDGE('',*,*,#45830,.T.); #65376=ORIENTED_EDGE('',*,*,#46588,.T.); #65377=ORIENTED_EDGE('',*,*,#46592,.T.); #65378=ORIENTED_EDGE('',*,*,#46595,.T.); #65379=ORIENTED_EDGE('',*,*,#46598,.T.); #65380=ORIENTED_EDGE('',*,*,#46601,.T.); #65381=ORIENTED_EDGE('',*,*,#46604,.T.); #65382=ORIENTED_EDGE('',*,*,#46607,.T.); #65383=ORIENTED_EDGE('',*,*,#46610,.T.); #65384=ORIENTED_EDGE('',*,*,#46613,.T.); #65385=ORIENTED_EDGE('',*,*,#46616,.T.); #65386=ORIENTED_EDGE('',*,*,#46619,.T.); #65387=ORIENTED_EDGE('',*,*,#46622,.T.); #65388=ORIENTED_EDGE('',*,*,#46625,.T.); #65389=ORIENTED_EDGE('',*,*,#46628,.T.); #65390=ORIENTED_EDGE('',*,*,#46631,.T.); #65391=ORIENTED_EDGE('',*,*,#46634,.T.); #65392=ORIENTED_EDGE('',*,*,#46162,.T.); #65393=ORIENTED_EDGE('',*,*,#46166,.T.); #65394=ORIENTED_EDGE('',*,*,#46169,.T.); #65395=ORIENTED_EDGE('',*,*,#46172,.T.); #65396=ORIENTED_EDGE('',*,*,#45805,.T.); #65397=ORIENTED_EDGE('',*,*,#45824,.T.); #65398=ORIENTED_EDGE('',*,*,#45821,.T.); #65399=ORIENTED_EDGE('',*,*,#45818,.T.); #65400=ORIENTED_EDGE('',*,*,#45815,.T.); #65401=ORIENTED_EDGE('',*,*,#45812,.T.); #65402=ORIENTED_EDGE('',*,*,#45809,.T.); #65403=ORIENTED_EDGE('',*,*,#46150,.T.); #65404=ORIENTED_EDGE('',*,*,#46154,.T.); #65405=ORIENTED_EDGE('',*,*,#46157,.T.); #65406=ORIENTED_EDGE('',*,*,#46160,.T.); #65407=ORIENTED_EDGE('',*,*,#46786,.T.); #65408=ORIENTED_EDGE('',*,*,#46805,.T.); #65409=ORIENTED_EDGE('',*,*,#46802,.T.); #65410=ORIENTED_EDGE('',*,*,#46799,.T.); #65411=ORIENTED_EDGE('',*,*,#46796,.T.); #65412=ORIENTED_EDGE('',*,*,#46793,.T.); #65413=ORIENTED_EDGE('',*,*,#46790,.T.); #65414=ORIENTED_EDGE('',*,*,#46138,.T.); #65415=ORIENTED_EDGE('',*,*,#46142,.T.); #65416=ORIENTED_EDGE('',*,*,#46145,.T.); #65417=ORIENTED_EDGE('',*,*,#46148,.T.); #65418=ORIENTED_EDGE('',*,*,#45721,.T.); #65419=ORIENTED_EDGE('',*,*,#45803,.T.); #65420=ORIENTED_EDGE('',*,*,#45800,.T.); #65421=ORIENTED_EDGE('',*,*,#45797,.T.); #65422=ORIENTED_EDGE('',*,*,#45794,.T.); #65423=ORIENTED_EDGE('',*,*,#45791,.T.); #65424=ORIENTED_EDGE('',*,*,#45788,.T.); #65425=ORIENTED_EDGE('',*,*,#45785,.T.); #65426=ORIENTED_EDGE('',*,*,#45782,.T.); #65427=ORIENTED_EDGE('',*,*,#45779,.T.); #65428=ORIENTED_EDGE('',*,*,#45776,.T.); #65429=ORIENTED_EDGE('',*,*,#45773,.T.); #65430=ORIENTED_EDGE('',*,*,#45770,.T.); #65431=ORIENTED_EDGE('',*,*,#45767,.T.); #65432=ORIENTED_EDGE('',*,*,#45764,.T.); #65433=ORIENTED_EDGE('',*,*,#45761,.T.); #65434=ORIENTED_EDGE('',*,*,#45758,.T.); #65435=ORIENTED_EDGE('',*,*,#45755,.T.); #65436=ORIENTED_EDGE('',*,*,#45752,.T.); #65437=ORIENTED_EDGE('',*,*,#45749,.T.); #65438=ORIENTED_EDGE('',*,*,#45746,.T.); #65439=ORIENTED_EDGE('',*,*,#45743,.T.); #65440=ORIENTED_EDGE('',*,*,#45740,.T.); #65441=ORIENTED_EDGE('',*,*,#45737,.T.); #65442=ORIENTED_EDGE('',*,*,#45734,.T.); #65443=ORIENTED_EDGE('',*,*,#45731,.T.); #65444=ORIENTED_EDGE('',*,*,#45728,.T.); #65445=ORIENTED_EDGE('',*,*,#45725,.T.); #65446=ORIENTED_EDGE('',*,*,#46807,.T.); #65447=ORIENTED_EDGE('',*,*,#46811,.T.); #65448=ORIENTED_EDGE('',*,*,#46814,.T.); #65449=ORIENTED_EDGE('',*,*,#46817,.T.); #65450=ORIENTED_EDGE('',*,*,#46820,.T.); #65451=ORIENTED_EDGE('',*,*,#46823,.T.); #65452=ORIENTED_EDGE('',*,*,#46826,.T.); #65453=ORIENTED_EDGE('',*,*,#46829,.T.); #65454=ORIENTED_EDGE('',*,*,#46832,.T.); #65455=ORIENTED_EDGE('',*,*,#46835,.T.); #65456=ORIENTED_EDGE('',*,*,#46838,.T.); #65457=ORIENTED_EDGE('',*,*,#46841,.T.); #65458=ORIENTED_EDGE('',*,*,#46844,.T.); #65459=ORIENTED_EDGE('',*,*,#46847,.T.); #65460=ORIENTED_EDGE('',*,*,#46850,.T.); #65461=ORIENTED_EDGE('',*,*,#46853,.T.); #65462=ORIENTED_EDGE('',*,*,#46856,.T.); #65463=ORIENTED_EDGE('',*,*,#46859,.T.); #65464=ORIENTED_EDGE('',*,*,#46862,.T.); #65465=ORIENTED_EDGE('',*,*,#46865,.T.); #65466=ORIENTED_EDGE('',*,*,#46114,.T.); #65467=ORIENTED_EDGE('',*,*,#46118,.T.); #65468=ORIENTED_EDGE('',*,*,#46121,.T.); #65469=ORIENTED_EDGE('',*,*,#46124,.T.); #65470=ORIENTED_EDGE('',*,*,#46987,.T.); #65471=ORIENTED_EDGE('',*,*,#47006,.T.); #65472=ORIENTED_EDGE('',*,*,#47003,.T.); #65473=ORIENTED_EDGE('',*,*,#47000,.T.); #65474=ORIENTED_EDGE('',*,*,#46997,.T.); #65475=ORIENTED_EDGE('',*,*,#46994,.T.); #65476=ORIENTED_EDGE('',*,*,#46991,.T.); #65477=ORIENTED_EDGE('',*,*,#45700,.T.); #65478=ORIENTED_EDGE('',*,*,#45719,.T.); #65479=ORIENTED_EDGE('',*,*,#45716,.T.); #65480=ORIENTED_EDGE('',*,*,#45713,.T.); #65481=ORIENTED_EDGE('',*,*,#45710,.T.); #65482=ORIENTED_EDGE('',*,*,#45707,.T.); #65483=ORIENTED_EDGE('',*,*,#45704,.T.); #65484=ORIENTED_EDGE('',*,*,#46102,.T.); #65485=ORIENTED_EDGE('',*,*,#46106,.T.); #65486=ORIENTED_EDGE('',*,*,#46109,.T.); #65487=ORIENTED_EDGE('',*,*,#46112,.T.); #65488=ORIENTED_EDGE('',*,*,#45622,.T.); #65489=ORIENTED_EDGE('',*,*,#45698,.T.); #65490=ORIENTED_EDGE('',*,*,#45695,.T.); #65491=ORIENTED_EDGE('',*,*,#45692,.T.); #65492=ORIENTED_EDGE('',*,*,#45689,.T.); #65493=ORIENTED_EDGE('',*,*,#45686,.T.); #65494=ORIENTED_EDGE('',*,*,#45683,.T.); #65495=ORIENTED_EDGE('',*,*,#45680,.T.); #65496=ORIENTED_EDGE('',*,*,#45677,.T.); #65497=ORIENTED_EDGE('',*,*,#45674,.T.); #65498=ORIENTED_EDGE('',*,*,#45671,.T.); #65499=ORIENTED_EDGE('',*,*,#45668,.T.); #65500=ORIENTED_EDGE('',*,*,#45665,.T.); #65501=ORIENTED_EDGE('',*,*,#45662,.T.); #65502=ORIENTED_EDGE('',*,*,#45659,.T.); #65503=ORIENTED_EDGE('',*,*,#45656,.T.); #65504=ORIENTED_EDGE('',*,*,#45653,.T.); #65505=ORIENTED_EDGE('',*,*,#45650,.T.); #65506=ORIENTED_EDGE('',*,*,#45647,.T.); #65507=ORIENTED_EDGE('',*,*,#45644,.T.); #65508=ORIENTED_EDGE('',*,*,#45641,.T.); #65509=ORIENTED_EDGE('',*,*,#45638,.T.); #65510=ORIENTED_EDGE('',*,*,#45635,.T.); #65511=ORIENTED_EDGE('',*,*,#45632,.T.); #65512=ORIENTED_EDGE('',*,*,#45629,.T.); #65513=ORIENTED_EDGE('',*,*,#45626,.T.); #65514=ORIENTED_EDGE('',*,*,#47008,.T.); #65515=ORIENTED_EDGE('',*,*,#47027,.T.); #65516=ORIENTED_EDGE('',*,*,#47024,.T.); #65517=ORIENTED_EDGE('',*,*,#47021,.T.); #65518=ORIENTED_EDGE('',*,*,#47018,.T.); #65519=ORIENTED_EDGE('',*,*,#47015,.T.); #65520=ORIENTED_EDGE('',*,*,#47012,.T.); #65521=ORIENTED_EDGE('',*,*,#46090,.T.); #65522=ORIENTED_EDGE('',*,*,#46094,.T.); #65523=ORIENTED_EDGE('',*,*,#46097,.T.); #65524=ORIENTED_EDGE('',*,*,#46100,.T.); #65525=ORIENTED_EDGE('',*,*,#47029,.T.); #65526=ORIENTED_EDGE('',*,*,#47111,.T.); #65527=ORIENTED_EDGE('',*,*,#47108,.T.); #65528=ORIENTED_EDGE('',*,*,#47105,.T.); #65529=ORIENTED_EDGE('',*,*,#47102,.T.); #65530=ORIENTED_EDGE('',*,*,#47099,.T.); #65531=ORIENTED_EDGE('',*,*,#47096,.T.); #65532=ORIENTED_EDGE('',*,*,#47093,.T.); #65533=ORIENTED_EDGE('',*,*,#47090,.T.); #65534=ORIENTED_EDGE('',*,*,#47087,.T.); #65535=ORIENTED_EDGE('',*,*,#47084,.T.); #65536=ORIENTED_EDGE('',*,*,#47081,.T.); #65537=ORIENTED_EDGE('',*,*,#47078,.T.); #65538=ORIENTED_EDGE('',*,*,#47075,.T.); #65539=ORIENTED_EDGE('',*,*,#47072,.T.); #65540=ORIENTED_EDGE('',*,*,#47069,.T.); #65541=ORIENTED_EDGE('',*,*,#47066,.T.); #65542=ORIENTED_EDGE('',*,*,#47063,.T.); #65543=ORIENTED_EDGE('',*,*,#47060,.T.); #65544=ORIENTED_EDGE('',*,*,#47057,.T.); #65545=ORIENTED_EDGE('',*,*,#47054,.T.); #65546=ORIENTED_EDGE('',*,*,#47051,.T.); #65547=ORIENTED_EDGE('',*,*,#47048,.T.); #65548=ORIENTED_EDGE('',*,*,#47045,.T.); #65549=ORIENTED_EDGE('',*,*,#47042,.T.); #65550=ORIENTED_EDGE('',*,*,#47039,.T.); #65551=ORIENTED_EDGE('',*,*,#47036,.T.); #65552=ORIENTED_EDGE('',*,*,#47033,.T.); #65553=ORIENTED_EDGE('',*,*,#45547,.T.); #65554=ORIENTED_EDGE('',*,*,#45566,.T.); #65555=ORIENTED_EDGE('',*,*,#45563,.T.); #65556=ORIENTED_EDGE('',*,*,#45560,.T.); #65557=ORIENTED_EDGE('',*,*,#45557,.T.); #65558=ORIENTED_EDGE('',*,*,#45554,.T.); #65559=ORIENTED_EDGE('',*,*,#45551,.T.); #65560=ORIENTED_EDGE('',*,*,#47113,.T.); #65561=ORIENTED_EDGE('',*,*,#47117,.T.); #65562=ORIENTED_EDGE('',*,*,#47120,.T.); #65563=ORIENTED_EDGE('',*,*,#47123,.T.); #65564=ORIENTED_EDGE('',*,*,#47126,.T.); #65565=ORIENTED_EDGE('',*,*,#47129,.T.); #65566=ORIENTED_EDGE('',*,*,#47132,.T.); #65567=ORIENTED_EDGE('',*,*,#47135,.T.); #65568=ORIENTED_EDGE('',*,*,#47138,.T.); #65569=ORIENTED_EDGE('',*,*,#47141,.T.); #65570=ORIENTED_EDGE('',*,*,#47144,.T.); #65571=ORIENTED_EDGE('',*,*,#47147,.T.); #65572=ORIENTED_EDGE('',*,*,#47150,.T.); #65573=ORIENTED_EDGE('',*,*,#47153,.T.); #65574=ORIENTED_EDGE('',*,*,#47156,.T.); #65575=ORIENTED_EDGE('',*,*,#47159,.T.); #65576=ORIENTED_EDGE('',*,*,#45946,.T.); #65577=ORIENTED_EDGE('',*,*,#45950,.T.); #65578=ORIENTED_EDGE('',*,*,#45953,.T.); #65579=ORIENTED_EDGE('',*,*,#45568,.T.); #65580=ORIENTED_EDGE('',*,*,#45572,.T.); #65581=ORIENTED_EDGE('',*,*,#45575,.T.); #65582=ORIENTED_EDGE('',*,*,#45578,.T.); #65583=ORIENTED_EDGE('',*,*,#45581,.T.); #65584=ORIENTED_EDGE('',*,*,#45584,.T.); #65585=ORIENTED_EDGE('',*,*,#45587,.T.); #65586=ORIENTED_EDGE('',*,*,#45590,.T.); #65587=ORIENTED_EDGE('',*,*,#45593,.T.); #65588=ORIENTED_EDGE('',*,*,#45596,.T.); #65589=ORIENTED_EDGE('',*,*,#45599,.T.); #65590=ORIENTED_EDGE('',*,*,#45602,.T.); #65591=ORIENTED_EDGE('',*,*,#45605,.T.); #65592=ORIENTED_EDGE('',*,*,#45608,.T.); #65593=ORIENTED_EDGE('',*,*,#45611,.T.); #65594=ORIENTED_EDGE('',*,*,#45614,.T.); #65595=ORIENTED_EDGE('',*,*,#45617,.T.); #65596=ORIENTED_EDGE('',*,*,#45620,.T.); #65597=ORIENTED_EDGE('',*,*,#45463,.T.); #65598=ORIENTED_EDGE('',*,*,#45467,.T.); #65599=ORIENTED_EDGE('',*,*,#45470,.T.); #65600=ORIENTED_EDGE('',*,*,#45473,.T.); #65601=ORIENTED_EDGE('',*,*,#45476,.T.); #65602=ORIENTED_EDGE('',*,*,#45479,.T.); #65603=ORIENTED_EDGE('',*,*,#45424,.T.); #65604=ORIENTED_EDGE('',*,*,#45428,.T.); #65605=ORIENTED_EDGE('',*,*,#45431,.T.); #65606=ORIENTED_EDGE('',*,*,#45434,.T.); #65607=ORIENTED_EDGE('',*,*,#45437,.T.); #65608=ORIENTED_EDGE('',*,*,#45376,.T.); #65609=ORIENTED_EDGE('',*,*,#45380,.T.); #65610=ORIENTED_EDGE('',*,*,#45383,.T.); #65611=ORIENTED_EDGE('',*,*,#45386,.T.); #65612=ORIENTED_EDGE('',*,*,#45220,.T.); #65613=ORIENTED_EDGE('',*,*,#45224,.T.); #65614=ORIENTED_EDGE('',*,*,#45227,.T.); #65615=ORIENTED_EDGE('',*,*,#45230,.T.); #65616=ORIENTED_EDGE('',*,*,#45233,.T.); #65617=ORIENTED_EDGE('',*,*,#45236,.T.); #65618=ORIENTED_EDGE('',*,*,#45239,.T.); #65619=ORIENTED_EDGE('',*,*,#45079,.T.); #65620=ORIENTED_EDGE('',*,*,#45083,.T.); #65621=ORIENTED_EDGE('',*,*,#45086,.T.); #65622=ORIENTED_EDGE('',*,*,#45089,.T.); #65623=ORIENTED_EDGE('',*,*,#45092,.T.); #65624=ORIENTED_EDGE('',*,*,#45095,.T.); #65625=ORIENTED_EDGE('',*,*,#45098,.T.); #65626=ORIENTED_EDGE('',*,*,#45058,.T.); #65627=ORIENTED_EDGE('',*,*,#45062,.T.); #65628=ORIENTED_EDGE('',*,*,#45065,.T.); #65629=ORIENTED_EDGE('',*,*,#45068,.T.); #65630=ORIENTED_EDGE('',*,*,#45071,.T.); #65631=ORIENTED_EDGE('',*,*,#45074,.T.); #65632=ORIENTED_EDGE('',*,*,#45077,.T.); #65633=ORIENTED_EDGE('',*,*,#45004,.T.); #65634=ORIENTED_EDGE('',*,*,#45008,.T.); #65635=ORIENTED_EDGE('',*,*,#45011,.T.); #65636=ORIENTED_EDGE('',*,*,#45014,.T.); #65637=ORIENTED_EDGE('',*,*,#45017,.T.); #65638=ORIENTED_EDGE('',*,*,#45020,.T.); #65639=ORIENTED_EDGE('',*,*,#45023,.T.); #65640=ORIENTED_EDGE('',*,*,#48217,.T.); #65641=ORIENTED_EDGE('',*,*,#48218,.T.); #65642=ORIENTED_EDGE('',*,*,#44651,.T.); #65643=ORIENTED_EDGE('',*,*,#44652,.T.); #65644=ORIENTED_EDGE('',*,*,#48218,.F.); #65645=ORIENTED_EDGE('',*,*,#48219,.F.); #65646=ORIENTED_EDGE('',*,*,#48220,.F.); #65647=ORIENTED_EDGE('',*,*,#48221,.F.); #65648=ORIENTED_EDGE('',*,*,#48222,.F.); #65649=ORIENTED_EDGE('',*,*,#48223,.F.); #65650=ORIENTED_EDGE('',*,*,#48224,.F.); #65651=ORIENTED_EDGE('',*,*,#48225,.F.); #65652=ORIENTED_EDGE('',*,*,#48226,.F.); #65653=ORIENTED_EDGE('',*,*,#48227,.F.); #65654=ORIENTED_EDGE('',*,*,#48228,.F.); #65655=ORIENTED_EDGE('',*,*,#48229,.F.); #65656=ORIENTED_EDGE('',*,*,#48230,.F.); #65657=ORIENTED_EDGE('',*,*,#48231,.F.); #65658=ORIENTED_EDGE('',*,*,#48232,.T.); #65659=ORIENTED_EDGE('',*,*,#48233,.F.); #65660=ORIENTED_EDGE('',*,*,#48234,.F.); #65661=ORIENTED_EDGE('',*,*,#43868,.T.); #65662=ORIENTED_EDGE('',*,*,#48217,.F.); #65663=ORIENTED_EDGE('',*,*,#48235,.T.); #65664=ORIENTED_EDGE('',*,*,#48236,.T.); #65665=ORIENTED_EDGE('',*,*,#48237,.T.); #65666=ORIENTED_EDGE('',*,*,#48238,.T.); #65667=ORIENTED_EDGE('',*,*,#48219,.T.); #65668=ORIENTED_EDGE('',*,*,#48235,.F.); #65669=ORIENTED_EDGE('',*,*,#48239,.T.); #65670=ORIENTED_EDGE('',*,*,#48240,.T.); #65671=ORIENTED_EDGE('',*,*,#48220,.T.); #65672=ORIENTED_EDGE('',*,*,#48238,.F.); #65673=ORIENTED_EDGE('',*,*,#48241,.T.); #65674=ORIENTED_EDGE('',*,*,#48242,.T.); #65675=ORIENTED_EDGE('',*,*,#48221,.T.); #65676=ORIENTED_EDGE('',*,*,#48240,.F.); #65677=ORIENTED_EDGE('',*,*,#48243,.T.); #65678=ORIENTED_EDGE('',*,*,#48244,.T.); #65679=ORIENTED_EDGE('',*,*,#48245,.T.); #65680=ORIENTED_EDGE('',*,*,#48246,.T.); #65681=ORIENTED_EDGE('',*,*,#48223,.T.); #65682=ORIENTED_EDGE('',*,*,#48247,.T.); #65683=ORIENTED_EDGE('',*,*,#48224,.T.); #65684=ORIENTED_EDGE('',*,*,#48246,.F.); #65685=ORIENTED_EDGE('',*,*,#48248,.T.); #65686=ORIENTED_EDGE('',*,*,#48249,.T.); #65687=ORIENTED_EDGE('',*,*,#48225,.T.); #65688=ORIENTED_EDGE('',*,*,#48247,.F.); #65689=ORIENTED_EDGE('',*,*,#48250,.T.); #65690=ORIENTED_EDGE('',*,*,#48251,.T.); #65691=ORIENTED_EDGE('',*,*,#48226,.T.); #65692=ORIENTED_EDGE('',*,*,#48249,.F.); #65693=ORIENTED_EDGE('',*,*,#48252,.T.); #65694=ORIENTED_EDGE('',*,*,#48253,.T.); #65695=ORIENTED_EDGE('',*,*,#48227,.T.); #65696=ORIENTED_EDGE('',*,*,#48251,.F.); #65697=ORIENTED_EDGE('',*,*,#48254,.T.); #65698=ORIENTED_EDGE('',*,*,#48244,.F.); #65699=ORIENTED_EDGE('',*,*,#48222,.T.); #65700=ORIENTED_EDGE('',*,*,#48242,.F.); #65701=ORIENTED_EDGE('',*,*,#48255,.T.); #65702=ORIENTED_EDGE('',*,*,#48256,.T.); #65703=ORIENTED_EDGE('',*,*,#48257,.T.); #65704=ORIENTED_EDGE('',*,*,#48258,.T.); #65705=ORIENTED_EDGE('',*,*,#48230,.T.); #65706=ORIENTED_EDGE('',*,*,#48258,.F.); #65707=ORIENTED_EDGE('',*,*,#48259,.T.); #65708=ORIENTED_EDGE('',*,*,#48260,.T.); #65709=ORIENTED_EDGE('',*,*,#48231,.T.); #65710=ORIENTED_EDGE('',*,*,#48260,.F.); #65711=ORIENTED_EDGE('',*,*,#48261,.T.); #65712=ORIENTED_EDGE('',*,*,#48262,.T.); #65713=ORIENTED_EDGE('',*,*,#48256,.F.); #65714=ORIENTED_EDGE('',*,*,#48229,.T.); #65715=ORIENTED_EDGE('',*,*,#48263,.T.); #65716=ORIENTED_EDGE('',*,*,#48264,.T.); #65717=ORIENTED_EDGE('',*,*,#48263,.F.); #65718=ORIENTED_EDGE('',*,*,#48228,.T.); #65719=ORIENTED_EDGE('',*,*,#48253,.F.); #65720=ORIENTED_EDGE('',*,*,#48265,.T.); #65721=ORIENTED_EDGE('',*,*,#48239,.F.); #65722=ORIENTED_EDGE('',*,*,#48237,.F.); #65723=ORIENTED_EDGE('',*,*,#48266,.T.); #65724=ORIENTED_EDGE('',*,*,#48267,.F.); #65725=ORIENTED_EDGE('',*,*,#48236,.F.); #65726=ORIENTED_EDGE('',*,*,#48234,.T.); #65727=ORIENTED_EDGE('',*,*,#48233,.T.); #65728=ORIENTED_EDGE('',*,*,#48232,.F.); #65729=ORIENTED_EDGE('',*,*,#48262,.F.); #65730=ORIENTED_EDGE('',*,*,#48268,.F.); #65731=ORIENTED_EDGE('',*,*,#48269,.T.); #65732=ORIENTED_EDGE('',*,*,#48270,.F.); #65733=ORIENTED_EDGE('',*,*,#48264,.F.); #65734=ORIENTED_EDGE('',*,*,#48271,.T.); #65735=ORIENTED_EDGE('',*,*,#48272,.T.); #65736=ORIENTED_EDGE('',*,*,#48273,.T.); #65737=ORIENTED_EDGE('',*,*,#48265,.F.); #65738=ORIENTED_EDGE('',*,*,#48274,.T.); #65739=ORIENTED_EDGE('',*,*,#48275,.T.); #65740=ORIENTED_EDGE('',*,*,#48276,.T.); #65741=ORIENTED_EDGE('',*,*,#48271,.F.); #65742=ORIENTED_EDGE('',*,*,#48277,.T.); #65743=ORIENTED_EDGE('',*,*,#48278,.T.); #65744=ORIENTED_EDGE('',*,*,#48275,.F.); #65745=ORIENTED_EDGE('',*,*,#48279,.T.); #65746=ORIENTED_EDGE('',*,*,#48280,.T.); #65747=ORIENTED_EDGE('',*,*,#48281,.T.); #65748=ORIENTED_EDGE('',*,*,#48277,.F.); #65749=ORIENTED_EDGE('',*,*,#48282,.T.); #65750=ORIENTED_EDGE('',*,*,#48283,.T.); #65751=ORIENTED_EDGE('',*,*,#48284,.T.); #65752=ORIENTED_EDGE('',*,*,#48280,.F.); #65753=ORIENTED_EDGE('',*,*,#48285,.T.); #65754=ORIENTED_EDGE('',*,*,#48255,.F.); #65755=ORIENTED_EDGE('',*,*,#48286,.T.); #65756=ORIENTED_EDGE('',*,*,#48287,.T.); #65757=ORIENTED_EDGE('',*,*,#48283,.F.); #65758=ORIENTED_EDGE('',*,*,#48288,.T.); #65759=ORIENTED_EDGE('',*,*,#48243,.F.); #65760=ORIENTED_EDGE('',*,*,#48289,.T.); #65761=ORIENTED_EDGE('',*,*,#48290,.T.); #65762=ORIENTED_EDGE('',*,*,#48286,.F.); #65763=ORIENTED_EDGE('',*,*,#48257,.F.); #65764=ORIENTED_EDGE('',*,*,#48273,.F.); #65765=ORIENTED_EDGE('',*,*,#48291,.T.); #65766=ORIENTED_EDGE('',*,*,#48292,.T.); #65767=ORIENTED_EDGE('',*,*,#48259,.F.); #65768=ORIENTED_EDGE('',*,*,#48292,.F.); #65769=ORIENTED_EDGE('',*,*,#48293,.T.); #65770=ORIENTED_EDGE('',*,*,#48261,.F.); #65771=ORIENTED_EDGE('',*,*,#48241,.F.); #65772=ORIENTED_EDGE('',*,*,#48267,.T.); #65773=ORIENTED_EDGE('',*,*,#48294,.T.); #65774=ORIENTED_EDGE('',*,*,#48289,.F.); #65775=ORIENTED_EDGE('',*,*,#48245,.F.); #65776=ORIENTED_EDGE('',*,*,#48288,.F.); #65777=ORIENTED_EDGE('',*,*,#48295,.F.); #65778=ORIENTED_EDGE('',*,*,#48248,.F.); #65779=ORIENTED_EDGE('',*,*,#48295,.T.); #65780=ORIENTED_EDGE('',*,*,#48285,.F.); #65781=ORIENTED_EDGE('',*,*,#48296,.F.); #65782=ORIENTED_EDGE('',*,*,#48250,.F.); #65783=ORIENTED_EDGE('',*,*,#48296,.T.); #65784=ORIENTED_EDGE('',*,*,#48282,.F.); #65785=ORIENTED_EDGE('',*,*,#48297,.F.); #65786=ORIENTED_EDGE('',*,*,#48252,.F.); #65787=ORIENTED_EDGE('',*,*,#48297,.T.); #65788=ORIENTED_EDGE('',*,*,#48279,.F.); #65789=ORIENTED_EDGE('',*,*,#48298,.F.); #65790=ORIENTED_EDGE('',*,*,#48254,.F.); #65791=ORIENTED_EDGE('',*,*,#48298,.T.); #65792=ORIENTED_EDGE('',*,*,#48274,.F.); #65793=ORIENTED_EDGE('',*,*,#48299,.T.); #65794=ORIENTED_EDGE('',*,*,#48300,.T.); #65795=ORIENTED_EDGE('',*,*,#48301,.T.); #65796=ORIENTED_EDGE('',*,*,#43903,.T.); #65797=ORIENTED_EDGE('',*,*,#48301,.F.); #65798=ORIENTED_EDGE('',*,*,#48302,.T.); #65799=ORIENTED_EDGE('',*,*,#48303,.T.); #65800=ORIENTED_EDGE('',*,*,#43901,.T.); #65801=ORIENTED_EDGE('',*,*,#48303,.F.); #65802=ORIENTED_EDGE('',*,*,#43937,.T.); #65803=ORIENTED_EDGE('',*,*,#48304,.T.); #65804=ORIENTED_EDGE('',*,*,#43899,.T.); #65805=ORIENTED_EDGE('',*,*,#48304,.F.); #65806=ORIENTED_EDGE('',*,*,#43940,.T.); #65807=ORIENTED_EDGE('',*,*,#48305,.T.); #65808=ORIENTED_EDGE('',*,*,#43896,.T.); #65809=ORIENTED_EDGE('',*,*,#48305,.F.); #65810=ORIENTED_EDGE('',*,*,#43942,.T.); #65811=ORIENTED_EDGE('',*,*,#48306,.T.); #65812=ORIENTED_EDGE('',*,*,#48307,.T.); #65813=ORIENTED_EDGE('',*,*,#48306,.F.); #65814=ORIENTED_EDGE('',*,*,#43944,.T.); #65815=ORIENTED_EDGE('',*,*,#48308,.T.); #65816=ORIENTED_EDGE('',*,*,#48309,.T.); #65817=ORIENTED_EDGE('',*,*,#48308,.F.); #65818=ORIENTED_EDGE('',*,*,#48310,.T.); #65819=ORIENTED_EDGE('',*,*,#48311,.T.); #65820=ORIENTED_EDGE('',*,*,#48312,.T.); #65821=ORIENTED_EDGE('',*,*,#48311,.F.); #65822=ORIENTED_EDGE('',*,*,#48313,.T.); #65823=ORIENTED_EDGE('',*,*,#48299,.F.); #65824=ORIENTED_EDGE('',*,*,#48314,.T.); #65825=ORIENTED_EDGE('',*,*,#43927,.F.); #65826=ORIENTED_EDGE('',*,*,#43938,.T.); #65827=ORIENTED_EDGE('',*,*,#48302,.F.); #65828=ORIENTED_EDGE('',*,*,#48315,.F.); #65829=ORIENTED_EDGE('',*,*,#43930,.F.); #65830=ORIENTED_EDGE('',*,*,#48315,.T.); #65831=ORIENTED_EDGE('',*,*,#48300,.F.); #65832=ORIENTED_EDGE('',*,*,#48316,.F.); #65833=ORIENTED_EDGE('',*,*,#43889,.F.); #65834=ORIENTED_EDGE('',*,*,#48317,.F.); #65835=ORIENTED_EDGE('',*,*,#48309,.F.); #65836=ORIENTED_EDGE('',*,*,#48318,.T.); #65837=ORIENTED_EDGE('',*,*,#43892,.F.); #65838=ORIENTED_EDGE('',*,*,#48318,.F.); #65839=ORIENTED_EDGE('',*,*,#48312,.F.); #65840=ORIENTED_EDGE('',*,*,#48319,.T.); #65841=ORIENTED_EDGE('',*,*,#43894,.F.); #65842=ORIENTED_EDGE('',*,*,#48319,.F.); #65843=ORIENTED_EDGE('',*,*,#48314,.F.); #65844=ORIENTED_EDGE('',*,*,#43902,.T.); #65845=ORIENTED_EDGE('',*,*,#48320,.T.); #65846=ORIENTED_EDGE('',*,*,#48321,.T.); #65847=ORIENTED_EDGE('',*,*,#48322,.T.); #65848=ORIENTED_EDGE('',*,*,#48323,.T.); #65849=ORIENTED_EDGE('',*,*,#48324,.T.); #65850=ORIENTED_EDGE('',*,*,#48325,.T.); #65851=ORIENTED_EDGE('',*,*,#48326,.T.); #65852=ORIENTED_EDGE('',*,*,#48327,.T.); #65853=ORIENTED_EDGE('',*,*,#48328,.T.); #65854=ORIENTED_EDGE('',*,*,#48329,.T.); #65855=ORIENTED_EDGE('',*,*,#48326,.F.); #65856=ORIENTED_EDGE('',*,*,#48330,.T.); #65857=ORIENTED_EDGE('',*,*,#48331,.T.); #65858=ORIENTED_EDGE('',*,*,#48332,.T.); #65859=ORIENTED_EDGE('',*,*,#48328,.F.); #65860=ORIENTED_EDGE('',*,*,#48333,.T.); #65861=ORIENTED_EDGE('',*,*,#48334,.T.); #65862=ORIENTED_EDGE('',*,*,#48335,.T.); #65863=ORIENTED_EDGE('',*,*,#48336,.T.); #65864=ORIENTED_EDGE('',*,*,#48337,.T.); #65865=ORIENTED_EDGE('',*,*,#48338,.T.); #65866=ORIENTED_EDGE('',*,*,#48339,.T.); #65867=ORIENTED_EDGE('',*,*,#48340,.T.); #65868=ORIENTED_EDGE('',*,*,#48341,.T.); #65869=ORIENTED_EDGE('',*,*,#48342,.T.); #65870=ORIENTED_EDGE('',*,*,#48343,.T.); #65871=ORIENTED_EDGE('',*,*,#48344,.T.); #65872=ORIENTED_EDGE('',*,*,#48345,.T.); #65873=ORIENTED_EDGE('',*,*,#48342,.F.); #65874=ORIENTED_EDGE('',*,*,#48346,.T.); #65875=ORIENTED_EDGE('',*,*,#48340,.F.); #65876=ORIENTED_EDGE('',*,*,#48347,.T.); #65877=ORIENTED_EDGE('',*,*,#48344,.F.); #65878=ORIENTED_EDGE('',*,*,#48348,.T.); #65879=ORIENTED_EDGE('',*,*,#48334,.F.); #65880=ORIENTED_EDGE('',*,*,#48336,.F.); #65881=ORIENTED_EDGE('',*,*,#48349,.T.); #65882=ORIENTED_EDGE('',*,*,#48324,.F.); #65883=ORIENTED_EDGE('',*,*,#48331,.F.); #65884=ORIENTED_EDGE('',*,*,#48350,.T.); #65885=ORIENTED_EDGE('',*,*,#48320,.F.); #65886=ORIENTED_EDGE('',*,*,#48322,.F.); #65887=ORIENTED_EDGE('',*,*,#48351,.T.); #65888=ORIENTED_EDGE('',*,*,#48338,.F.); #65889=ORIENTED_EDGE('',*,*,#48330,.F.); #65890=ORIENTED_EDGE('',*,*,#48352,.T.); #65891=ORIENTED_EDGE('',*,*,#48353,.F.); #65892=ORIENTED_EDGE('',*,*,#48354,.F.); #65893=ORIENTED_EDGE('',*,*,#48325,.F.); #65894=ORIENTED_EDGE('',*,*,#48355,.F.); #65895=ORIENTED_EDGE('',*,*,#48356,.F.); #65896=ORIENTED_EDGE('',*,*,#48357,.F.); #65897=ORIENTED_EDGE('',*,*,#48352,.F.); #65898=ORIENTED_EDGE('',*,*,#48349,.F.); #65899=ORIENTED_EDGE('',*,*,#48358,.T.); #65900=ORIENTED_EDGE('',*,*,#48359,.F.); #65901=ORIENTED_EDGE('',*,*,#48355,.T.); #65902=ORIENTED_EDGE('',*,*,#48335,.F.); #65903=ORIENTED_EDGE('',*,*,#48360,.F.); #65904=ORIENTED_EDGE('',*,*,#48361,.F.); #65905=ORIENTED_EDGE('',*,*,#48362,.F.); #65906=ORIENTED_EDGE('',*,*,#48358,.F.); #65907=ORIENTED_EDGE('',*,*,#48348,.F.); #65908=ORIENTED_EDGE('',*,*,#48363,.T.); #65909=ORIENTED_EDGE('',*,*,#48364,.F.); #65910=ORIENTED_EDGE('',*,*,#48360,.T.); #65911=ORIENTED_EDGE('',*,*,#48343,.F.); #65912=ORIENTED_EDGE('',*,*,#48365,.T.); #65913=ORIENTED_EDGE('',*,*,#48366,.F.); #65914=ORIENTED_EDGE('',*,*,#48363,.F.); #65915=ORIENTED_EDGE('',*,*,#48327,.F.); #65916=ORIENTED_EDGE('',*,*,#48329,.F.); #65917=ORIENTED_EDGE('',*,*,#48332,.F.); #65918=ORIENTED_EDGE('',*,*,#48323,.F.); #65919=ORIENTED_EDGE('',*,*,#48341,.F.); #65920=ORIENTED_EDGE('',*,*,#48346,.F.); #65921=ORIENTED_EDGE('',*,*,#48345,.F.); #65922=ORIENTED_EDGE('',*,*,#48337,.F.); #65923=ORIENTED_EDGE('',*,*,#48367,.T.); #65924=ORIENTED_EDGE('',*,*,#48368,.T.); #65925=ORIENTED_EDGE('',*,*,#48369,.T.); #65926=ORIENTED_EDGE('',*,*,#48370,.T.); #65927=ORIENTED_EDGE('',*,*,#48371,.T.); #65928=ORIENTED_EDGE('',*,*,#48372,.T.); #65929=ORIENTED_EDGE('',*,*,#48373,.T.); #65930=ORIENTED_EDGE('',*,*,#48374,.T.); #65931=ORIENTED_EDGE('',*,*,#48375,.T.); #65932=ORIENTED_EDGE('',*,*,#48376,.T.); #65933=ORIENTED_EDGE('',*,*,#48371,.F.); #65934=ORIENTED_EDGE('',*,*,#48377,.T.); #65935=ORIENTED_EDGE('',*,*,#48378,.T.); #65936=ORIENTED_EDGE('',*,*,#48379,.T.); #65937=ORIENTED_EDGE('',*,*,#48375,.F.); #65938=ORIENTED_EDGE('',*,*,#48380,.T.); #65939=ORIENTED_EDGE('',*,*,#48373,.F.); #65940=ORIENTED_EDGE('',*,*,#48381,.T.); #65941=ORIENTED_EDGE('',*,*,#48367,.F.); #65942=ORIENTED_EDGE('',*,*,#48382,.T.); #65943=ORIENTED_EDGE('',*,*,#48383,.T.); #65944=ORIENTED_EDGE('',*,*,#48384,.T.); #65945=ORIENTED_EDGE('',*,*,#48385,.T.); #65946=ORIENTED_EDGE('',*,*,#48384,.F.); #65947=ORIENTED_EDGE('',*,*,#48386,.T.); #65948=ORIENTED_EDGE('',*,*,#48378,.F.); #65949=ORIENTED_EDGE('',*,*,#48387,.T.); #65950=ORIENTED_EDGE('',*,*,#48388,.T.); #65951=ORIENTED_EDGE('',*,*,#48389,.T.); #65952=ORIENTED_EDGE('',*,*,#48390,.T.); #65953=ORIENTED_EDGE('',*,*,#48391,.T.); #65954=ORIENTED_EDGE('',*,*,#48392,.T.); #65955=ORIENTED_EDGE('',*,*,#48387,.F.); #65956=ORIENTED_EDGE('',*,*,#48393,.T.); #65957=ORIENTED_EDGE('',*,*,#48394,.T.); #65958=ORIENTED_EDGE('',*,*,#48395,.T.); #65959=ORIENTED_EDGE('',*,*,#48391,.F.); #65960=ORIENTED_EDGE('',*,*,#48396,.T.); #65961=ORIENTED_EDGE('',*,*,#48389,.F.); #65962=ORIENTED_EDGE('',*,*,#48397,.T.); #65963=ORIENTED_EDGE('',*,*,#48382,.F.); #65964=ORIENTED_EDGE('',*,*,#48369,.F.); #65965=ORIENTED_EDGE('',*,*,#48398,.T.); #65966=ORIENTED_EDGE('',*,*,#48394,.F.); #65967=ORIENTED_EDGE('',*,*,#48376,.F.); #65968=ORIENTED_EDGE('',*,*,#48399,.T.); #65969=ORIENTED_EDGE('',*,*,#48400,.F.); #65970=ORIENTED_EDGE('',*,*,#48401,.F.); #65971=ORIENTED_EDGE('',*,*,#48379,.F.); #65972=ORIENTED_EDGE('',*,*,#48402,.F.); #65973=ORIENTED_EDGE('',*,*,#48403,.F.); #65974=ORIENTED_EDGE('',*,*,#48399,.F.); #65975=ORIENTED_EDGE('',*,*,#48386,.F.); #65976=ORIENTED_EDGE('',*,*,#48404,.T.); #65977=ORIENTED_EDGE('',*,*,#48405,.F.); #65978=ORIENTED_EDGE('',*,*,#48402,.T.); #65979=ORIENTED_EDGE('',*,*,#48383,.F.); #65980=ORIENTED_EDGE('',*,*,#48406,.F.); #65981=ORIENTED_EDGE('',*,*,#48407,.F.); #65982=ORIENTED_EDGE('',*,*,#48408,.F.); #65983=ORIENTED_EDGE('',*,*,#48404,.F.); #65984=ORIENTED_EDGE('',*,*,#48397,.F.); #65985=ORIENTED_EDGE('',*,*,#48409,.T.); #65986=ORIENTED_EDGE('',*,*,#48410,.F.); #65987=ORIENTED_EDGE('',*,*,#48406,.T.); #65988=ORIENTED_EDGE('',*,*,#48388,.F.); #65989=ORIENTED_EDGE('',*,*,#48411,.T.); #65990=ORIENTED_EDGE('',*,*,#48412,.F.); #65991=ORIENTED_EDGE('',*,*,#48413,.F.); #65992=ORIENTED_EDGE('',*,*,#48409,.F.); #65993=ORIENTED_EDGE('',*,*,#48370,.F.); #65994=ORIENTED_EDGE('',*,*,#48396,.F.); #65995=ORIENTED_EDGE('',*,*,#48393,.F.); #65996=ORIENTED_EDGE('',*,*,#48390,.F.); #65997=ORIENTED_EDGE('',*,*,#48385,.F.); #65998=ORIENTED_EDGE('',*,*,#48380,.F.); #65999=ORIENTED_EDGE('',*,*,#48377,.F.); #66000=ORIENTED_EDGE('',*,*,#48374,.F.); #66001=ORIENTED_EDGE('',*,*,#48414,.T.); #66002=ORIENTED_EDGE('',*,*,#48415,.T.); #66003=ORIENTED_EDGE('',*,*,#48416,.T.); #66004=ORIENTED_EDGE('',*,*,#48417,.T.); #66005=ORIENTED_EDGE('',*,*,#48418,.T.); #66006=ORIENTED_EDGE('',*,*,#48419,.T.); #66007=ORIENTED_EDGE('',*,*,#48420,.T.); #66008=ORIENTED_EDGE('',*,*,#48421,.T.); #66009=ORIENTED_EDGE('',*,*,#48422,.T.); #66010=ORIENTED_EDGE('',*,*,#48423,.T.); #66011=ORIENTED_EDGE('',*,*,#48418,.F.); #66012=ORIENTED_EDGE('',*,*,#48424,.T.); #66013=ORIENTED_EDGE('',*,*,#48425,.T.); #66014=ORIENTED_EDGE('',*,*,#48426,.T.); #66015=ORIENTED_EDGE('',*,*,#48422,.F.); #66016=ORIENTED_EDGE('',*,*,#48427,.T.); #66017=ORIENTED_EDGE('',*,*,#48428,.T.); #66018=ORIENTED_EDGE('',*,*,#48429,.T.); #66019=ORIENTED_EDGE('',*,*,#48430,.T.); #66020=ORIENTED_EDGE('',*,*,#48431,.T.); #66021=ORIENTED_EDGE('',*,*,#48432,.T.); #66022=ORIENTED_EDGE('',*,*,#48433,.T.); #66023=ORIENTED_EDGE('',*,*,#48434,.T.); #66024=ORIENTED_EDGE('',*,*,#48435,.T.); #66025=ORIENTED_EDGE('',*,*,#48436,.T.); #66026=ORIENTED_EDGE('',*,*,#48437,.T.); #66027=ORIENTED_EDGE('',*,*,#48438,.T.); #66028=ORIENTED_EDGE('',*,*,#48439,.T.); #66029=ORIENTED_EDGE('',*,*,#48436,.F.); #66030=ORIENTED_EDGE('',*,*,#48440,.T.); #66031=ORIENTED_EDGE('',*,*,#48432,.F.); #66032=ORIENTED_EDGE('',*,*,#48441,.T.); #66033=ORIENTED_EDGE('',*,*,#48438,.F.); #66034=ORIENTED_EDGE('',*,*,#48442,.T.); #66035=ORIENTED_EDGE('',*,*,#48428,.F.); #66036=ORIENTED_EDGE('',*,*,#48430,.F.); #66037=ORIENTED_EDGE('',*,*,#48443,.T.); #66038=ORIENTED_EDGE('',*,*,#48420,.F.); #66039=ORIENTED_EDGE('',*,*,#48425,.F.); #66040=ORIENTED_EDGE('',*,*,#48444,.T.); #66041=ORIENTED_EDGE('',*,*,#48414,.F.); #66042=ORIENTED_EDGE('',*,*,#48416,.F.); #66043=ORIENTED_EDGE('',*,*,#48445,.T.); #66044=ORIENTED_EDGE('',*,*,#48434,.F.); #66045=ORIENTED_EDGE('',*,*,#48424,.F.); #66046=ORIENTED_EDGE('',*,*,#48446,.F.); #66047=ORIENTED_EDGE('',*,*,#48447,.F.); #66048=ORIENTED_EDGE('',*,*,#48448,.F.); #66049=ORIENTED_EDGE('',*,*,#48421,.F.); #66050=ORIENTED_EDGE('',*,*,#48449,.F.); #66051=ORIENTED_EDGE('',*,*,#48450,.F.); #66052=ORIENTED_EDGE('',*,*,#48451,.F.); #66053=ORIENTED_EDGE('',*,*,#48452,.F.); #66054=ORIENTED_EDGE('',*,*,#48453,.F.); #66055=ORIENTED_EDGE('',*,*,#48454,.F.); #66056=ORIENTED_EDGE('',*,*,#48455,.F.); #66057=ORIENTED_EDGE('',*,*,#48446,.T.); #66058=ORIENTED_EDGE('',*,*,#48443,.F.); #66059=ORIENTED_EDGE('',*,*,#48456,.T.); #66060=ORIENTED_EDGE('',*,*,#48457,.F.); #66061=ORIENTED_EDGE('',*,*,#48449,.T.); #66062=ORIENTED_EDGE('',*,*,#48429,.F.); #66063=ORIENTED_EDGE('',*,*,#48458,.F.); #66064=ORIENTED_EDGE('',*,*,#48459,.F.); #66065=ORIENTED_EDGE('',*,*,#48460,.F.); #66066=ORIENTED_EDGE('',*,*,#48461,.F.); #66067=ORIENTED_EDGE('',*,*,#48462,.F.); #66068=ORIENTED_EDGE('',*,*,#48463,.F.); #66069=ORIENTED_EDGE('',*,*,#48464,.F.); #66070=ORIENTED_EDGE('',*,*,#48465,.F.); #66071=ORIENTED_EDGE('',*,*,#48466,.F.); #66072=ORIENTED_EDGE('',*,*,#48456,.F.); #66073=ORIENTED_EDGE('',*,*,#48442,.F.); #66074=ORIENTED_EDGE('',*,*,#48467,.T.); #66075=ORIENTED_EDGE('',*,*,#48468,.T.); #66076=ORIENTED_EDGE('',*,*,#48458,.T.); #66077=ORIENTED_EDGE('',*,*,#48437,.F.); #66078=ORIENTED_EDGE('',*,*,#48469,.F.); #66079=ORIENTED_EDGE('',*,*,#48470,.F.); #66080=ORIENTED_EDGE('',*,*,#48471,.F.); #66081=ORIENTED_EDGE('',*,*,#48472,.F.); #66082=ORIENTED_EDGE('',*,*,#48473,.F.); #66083=ORIENTED_EDGE('',*,*,#48467,.F.); #66084=ORIENTED_EDGE('',*,*,#48419,.F.); #66085=ORIENTED_EDGE('',*,*,#48423,.F.); #66086=ORIENTED_EDGE('',*,*,#48426,.F.); #66087=ORIENTED_EDGE('',*,*,#48417,.F.); #66088=ORIENTED_EDGE('',*,*,#48433,.F.); #66089=ORIENTED_EDGE('',*,*,#48440,.F.); #66090=ORIENTED_EDGE('',*,*,#48439,.F.); #66091=ORIENTED_EDGE('',*,*,#48431,.F.); #66092=ORIENTED_EDGE('',*,*,#48474,.T.); #66093=ORIENTED_EDGE('',*,*,#48475,.T.); #66094=ORIENTED_EDGE('',*,*,#48476,.T.); #66095=ORIENTED_EDGE('',*,*,#48477,.T.); #66096=ORIENTED_EDGE('',*,*,#48478,.T.); #66097=ORIENTED_EDGE('',*,*,#48479,.T.); #66098=ORIENTED_EDGE('',*,*,#48480,.T.); #66099=ORIENTED_EDGE('',*,*,#48481,.T.); #66100=ORIENTED_EDGE('',*,*,#48482,.T.); #66101=ORIENTED_EDGE('',*,*,#48483,.T.); #66102=ORIENTED_EDGE('',*,*,#48478,.F.); #66103=ORIENTED_EDGE('',*,*,#48484,.T.); #66104=ORIENTED_EDGE('',*,*,#48485,.T.); #66105=ORIENTED_EDGE('',*,*,#48486,.T.); #66106=ORIENTED_EDGE('',*,*,#48482,.F.); #66107=ORIENTED_EDGE('',*,*,#48487,.T.); #66108=ORIENTED_EDGE('',*,*,#48480,.F.); #66109=ORIENTED_EDGE('',*,*,#48488,.T.); #66110=ORIENTED_EDGE('',*,*,#48474,.F.); #66111=ORIENTED_EDGE('',*,*,#48489,.T.); #66112=ORIENTED_EDGE('',*,*,#48490,.T.); #66113=ORIENTED_EDGE('',*,*,#48491,.T.); #66114=ORIENTED_EDGE('',*,*,#48492,.T.); #66115=ORIENTED_EDGE('',*,*,#48491,.F.); #66116=ORIENTED_EDGE('',*,*,#48493,.T.); #66117=ORIENTED_EDGE('',*,*,#48485,.F.); #66118=ORIENTED_EDGE('',*,*,#48494,.T.); #66119=ORIENTED_EDGE('',*,*,#48495,.T.); #66120=ORIENTED_EDGE('',*,*,#48496,.T.); #66121=ORIENTED_EDGE('',*,*,#48497,.T.); #66122=ORIENTED_EDGE('',*,*,#48498,.T.); #66123=ORIENTED_EDGE('',*,*,#48499,.T.); #66124=ORIENTED_EDGE('',*,*,#48494,.F.); #66125=ORIENTED_EDGE('',*,*,#48500,.T.); #66126=ORIENTED_EDGE('',*,*,#48501,.T.); #66127=ORIENTED_EDGE('',*,*,#48502,.T.); #66128=ORIENTED_EDGE('',*,*,#48498,.F.); #66129=ORIENTED_EDGE('',*,*,#48503,.T.); #66130=ORIENTED_EDGE('',*,*,#48496,.F.); #66131=ORIENTED_EDGE('',*,*,#48504,.T.); #66132=ORIENTED_EDGE('',*,*,#48489,.F.); #66133=ORIENTED_EDGE('',*,*,#48476,.F.); #66134=ORIENTED_EDGE('',*,*,#48505,.T.); #66135=ORIENTED_EDGE('',*,*,#48501,.F.); #66136=ORIENTED_EDGE('',*,*,#48483,.F.); #66137=ORIENTED_EDGE('',*,*,#48506,.F.); #66138=ORIENTED_EDGE('',*,*,#48507,.F.); #66139=ORIENTED_EDGE('',*,*,#48508,.F.); #66140=ORIENTED_EDGE('',*,*,#48486,.F.); #66141=ORIENTED_EDGE('',*,*,#48509,.F.); #66142=ORIENTED_EDGE('',*,*,#48510,.F.); #66143=ORIENTED_EDGE('',*,*,#48511,.F.); #66144=ORIENTED_EDGE('',*,*,#48512,.F.); #66145=ORIENTED_EDGE('',*,*,#48513,.F.); #66146=ORIENTED_EDGE('',*,*,#48514,.F.); #66147=ORIENTED_EDGE('',*,*,#48515,.F.); #66148=ORIENTED_EDGE('',*,*,#48506,.T.); #66149=ORIENTED_EDGE('',*,*,#48493,.F.); #66150=ORIENTED_EDGE('',*,*,#48516,.T.); #66151=ORIENTED_EDGE('',*,*,#48517,.F.); #66152=ORIENTED_EDGE('',*,*,#48509,.T.); #66153=ORIENTED_EDGE('',*,*,#48490,.F.); #66154=ORIENTED_EDGE('',*,*,#48518,.F.); #66155=ORIENTED_EDGE('',*,*,#48519,.F.); #66156=ORIENTED_EDGE('',*,*,#48520,.F.); #66157=ORIENTED_EDGE('',*,*,#48521,.F.); #66158=ORIENTED_EDGE('',*,*,#48522,.F.); #66159=ORIENTED_EDGE('',*,*,#48523,.F.); #66160=ORIENTED_EDGE('',*,*,#48524,.F.); #66161=ORIENTED_EDGE('',*,*,#48525,.F.); #66162=ORIENTED_EDGE('',*,*,#48526,.F.); #66163=ORIENTED_EDGE('',*,*,#48527,.F.); #66164=ORIENTED_EDGE('',*,*,#48528,.F.); #66165=ORIENTED_EDGE('',*,*,#48529,.F.); #66166=ORIENTED_EDGE('',*,*,#48516,.F.); #66167=ORIENTED_EDGE('',*,*,#48504,.F.); #66168=ORIENTED_EDGE('',*,*,#48530,.T.); #66169=ORIENTED_EDGE('',*,*,#48531,.T.); #66170=ORIENTED_EDGE('',*,*,#48518,.T.); #66171=ORIENTED_EDGE('',*,*,#48495,.F.); #66172=ORIENTED_EDGE('',*,*,#48532,.F.); #66173=ORIENTED_EDGE('',*,*,#48533,.F.); #66174=ORIENTED_EDGE('',*,*,#48534,.F.); #66175=ORIENTED_EDGE('',*,*,#48535,.F.); #66176=ORIENTED_EDGE('',*,*,#48536,.F.); #66177=ORIENTED_EDGE('',*,*,#48537,.F.); #66178=ORIENTED_EDGE('',*,*,#48530,.F.); #66179=ORIENTED_EDGE('',*,*,#48477,.F.); #66180=ORIENTED_EDGE('',*,*,#48503,.F.); #66181=ORIENTED_EDGE('',*,*,#48500,.F.); #66182=ORIENTED_EDGE('',*,*,#48497,.F.); #66183=ORIENTED_EDGE('',*,*,#48492,.F.); #66184=ORIENTED_EDGE('',*,*,#48487,.F.); #66185=ORIENTED_EDGE('',*,*,#48484,.F.); #66186=ORIENTED_EDGE('',*,*,#48481,.F.); #66187=ORIENTED_EDGE('',*,*,#48538,.T.); #66188=ORIENTED_EDGE('',*,*,#48400,.T.); #66189=ORIENTED_EDGE('',*,*,#48539,.T.); #66190=ORIENTED_EDGE('',*,*,#48160,.T.); #66191=ORIENTED_EDGE('',*,*,#48540,.T.); #66192=ORIENTED_EDGE('',*,*,#48161,.T.); #66193=ORIENTED_EDGE('',*,*,#48539,.F.); #66194=ORIENTED_EDGE('',*,*,#48403,.T.); #66195=ORIENTED_EDGE('',*,*,#48541,.T.); #66196=ORIENTED_EDGE('',*,*,#48162,.T.); #66197=ORIENTED_EDGE('',*,*,#48540,.F.); #66198=ORIENTED_EDGE('',*,*,#48405,.T.); #66199=ORIENTED_EDGE('',*,*,#48542,.T.); #66200=ORIENTED_EDGE('',*,*,#48163,.T.); #66201=ORIENTED_EDGE('',*,*,#48541,.F.); #66202=ORIENTED_EDGE('',*,*,#48408,.T.); #66203=ORIENTED_EDGE('',*,*,#48543,.T.); #66204=ORIENTED_EDGE('',*,*,#48544,.T.); #66205=ORIENTED_EDGE('',*,*,#48542,.F.); #66206=ORIENTED_EDGE('',*,*,#48407,.T.); #66207=ORIENTED_EDGE('',*,*,#48543,.F.); #66208=ORIENTED_EDGE('',*,*,#48410,.T.); #66209=ORIENTED_EDGE('',*,*,#48545,.T.); #66210=ORIENTED_EDGE('',*,*,#48546,.T.); #66211=ORIENTED_EDGE('',*,*,#48547,.T.); #66212=ORIENTED_EDGE('',*,*,#48548,.T.); #66213=ORIENTED_EDGE('',*,*,#48545,.F.); #66214=ORIENTED_EDGE('',*,*,#48413,.T.); #66215=ORIENTED_EDGE('',*,*,#48549,.T.); #66216=ORIENTED_EDGE('',*,*,#48550,.T.); #66217=ORIENTED_EDGE('',*,*,#48547,.F.); #66218=ORIENTED_EDGE('',*,*,#48412,.T.); #66219=ORIENTED_EDGE('',*,*,#48551,.T.); #66220=ORIENTED_EDGE('',*,*,#48552,.T.); #66221=ORIENTED_EDGE('',*,*,#48549,.F.); #66222=ORIENTED_EDGE('',*,*,#48553,.T.); #66223=ORIENTED_EDGE('',*,*,#48554,.T.); #66224=ORIENTED_EDGE('',*,*,#48555,.T.); #66225=ORIENTED_EDGE('',*,*,#48551,.F.); #66226=ORIENTED_EDGE('',*,*,#48556,.T.); #66227=ORIENTED_EDGE('',*,*,#48557,.T.); #66228=ORIENTED_EDGE('',*,*,#48558,.T.); #66229=ORIENTED_EDGE('',*,*,#48554,.F.); #66230=ORIENTED_EDGE('',*,*,#48559,.T.); #66231=ORIENTED_EDGE('',*,*,#48557,.F.); #66232=ORIENTED_EDGE('',*,*,#48560,.T.); #66233=ORIENTED_EDGE('',*,*,#48561,.T.); #66234=ORIENTED_EDGE('',*,*,#48562,.T.); #66235=ORIENTED_EDGE('',*,*,#48563,.T.); #66236=ORIENTED_EDGE('',*,*,#48564,.T.); #66237=ORIENTED_EDGE('',*,*,#48561,.F.); #66238=ORIENTED_EDGE('',*,*,#48565,.T.); #66239=ORIENTED_EDGE('',*,*,#48566,.T.); #66240=ORIENTED_EDGE('',*,*,#48157,.T.); #66241=ORIENTED_EDGE('',*,*,#48563,.F.); #66242=ORIENTED_EDGE('',*,*,#48567,.T.); #66243=ORIENTED_EDGE('',*,*,#48568,.T.); #66244=ORIENTED_EDGE('',*,*,#48158,.T.); #66245=ORIENTED_EDGE('',*,*,#48566,.F.); #66246=ORIENTED_EDGE('',*,*,#48569,.T.); #66247=ORIENTED_EDGE('',*,*,#48538,.F.); #66248=ORIENTED_EDGE('',*,*,#48159,.T.); #66249=ORIENTED_EDGE('',*,*,#48568,.F.); #66250=ORIENTED_EDGE('',*,*,#48570,.T.); #66251=ORIENTED_EDGE('',*,*,#48558,.F.); #66252=ORIENTED_EDGE('',*,*,#48562,.F.); #66253=ORIENTED_EDGE('',*,*,#48564,.F.); #66254=ORIENTED_EDGE('',*,*,#48216,.T.); #66255=ORIENTED_EDGE('',*,*,#48571,.T.); #66256=ORIENTED_EDGE('',*,*,#48572,.T.); #66257=ORIENTED_EDGE('',*,*,#48573,.F.); #66258=ORIENTED_EDGE('',*,*,#48574,.F.); #66259=ORIENTED_EDGE('',*,*,#48575,.F.); #66260=ORIENTED_EDGE('',*,*,#48576,.F.); #66261=ORIENTED_EDGE('',*,*,#48164,.T.); #66262=ORIENTED_EDGE('',*,*,#48544,.F.); #66263=ORIENTED_EDGE('',*,*,#48546,.F.); #66264=ORIENTED_EDGE('',*,*,#48548,.F.); #66265=ORIENTED_EDGE('',*,*,#48577,.T.); #66266=ORIENTED_EDGE('',*,*,#44884,.T.); #66267=ORIENTED_EDGE('',*,*,#44888,.T.); #66268=ORIENTED_EDGE('',*,*,#44891,.T.); #66269=ORIENTED_EDGE('',*,*,#44894,.T.); #66270=ORIENTED_EDGE('',*,*,#44897,.T.); #66271=ORIENTED_EDGE('',*,*,#44900,.T.); #66272=ORIENTED_EDGE('',*,*,#48578,.T.); #66273=ORIENTED_EDGE('',*,*,#48579,.T.); #66274=ORIENTED_EDGE('',*,*,#48580,.T.); #66275=ORIENTED_EDGE('',*,*,#48205,.T.); #66276=ORIENTED_EDGE('',*,*,#48581,.T.); #66277=ORIENTED_EDGE('',*,*,#48206,.T.); #66278=ORIENTED_EDGE('',*,*,#48580,.F.); #66279=ORIENTED_EDGE('',*,*,#48582,.T.); #66280=ORIENTED_EDGE('',*,*,#48583,.T.); #66281=ORIENTED_EDGE('',*,*,#48207,.T.); #66282=ORIENTED_EDGE('',*,*,#48581,.F.); #66283=ORIENTED_EDGE('',*,*,#48584,.T.); #66284=ORIENTED_EDGE('',*,*,#48585,.T.); #66285=ORIENTED_EDGE('',*,*,#48586,.T.); #66286=ORIENTED_EDGE('',*,*,#48583,.F.); #66287=ORIENTED_EDGE('',*,*,#48587,.T.); #66288=ORIENTED_EDGE('',*,*,#48585,.F.); #66289=ORIENTED_EDGE('',*,*,#48588,.T.); #66290=ORIENTED_EDGE('',*,*,#48589,.T.); #66291=ORIENTED_EDGE('',*,*,#48590,.T.); #66292=ORIENTED_EDGE('',*,*,#48591,.T.); #66293=ORIENTED_EDGE('',*,*,#48592,.T.); #66294=ORIENTED_EDGE('',*,*,#48589,.F.); #66295=ORIENTED_EDGE('',*,*,#48593,.T.); #66296=ORIENTED_EDGE('',*,*,#48594,.T.); #66297=ORIENTED_EDGE('',*,*,#48595,.T.); #66298=ORIENTED_EDGE('',*,*,#48591,.F.); #66299=ORIENTED_EDGE('',*,*,#48596,.T.); #66300=ORIENTED_EDGE('',*,*,#48597,.T.); #66301=ORIENTED_EDGE('',*,*,#48598,.T.); #66302=ORIENTED_EDGE('',*,*,#48594,.F.); #66303=ORIENTED_EDGE('',*,*,#48353,.T.); #66304=ORIENTED_EDGE('',*,*,#48599,.T.); #66305=ORIENTED_EDGE('',*,*,#48600,.T.); #66306=ORIENTED_EDGE('',*,*,#48597,.F.); #66307=ORIENTED_EDGE('',*,*,#48357,.T.); #66308=ORIENTED_EDGE('',*,*,#48601,.T.); #66309=ORIENTED_EDGE('',*,*,#48602,.T.); #66310=ORIENTED_EDGE('',*,*,#48599,.F.); #66311=ORIENTED_EDGE('',*,*,#48356,.T.); #66312=ORIENTED_EDGE('',*,*,#48601,.F.); #66313=ORIENTED_EDGE('',*,*,#48359,.T.); #66314=ORIENTED_EDGE('',*,*,#48603,.T.); #66315=ORIENTED_EDGE('',*,*,#48604,.T.); #66316=ORIENTED_EDGE('',*,*,#48605,.T.); #66317=ORIENTED_EDGE('',*,*,#48606,.T.); #66318=ORIENTED_EDGE('',*,*,#48603,.F.); #66319=ORIENTED_EDGE('',*,*,#48362,.T.); #66320=ORIENTED_EDGE('',*,*,#48607,.T.); #66321=ORIENTED_EDGE('',*,*,#48201,.T.); #66322=ORIENTED_EDGE('',*,*,#48605,.F.); #66323=ORIENTED_EDGE('',*,*,#48361,.T.); #66324=ORIENTED_EDGE('',*,*,#48608,.T.); #66325=ORIENTED_EDGE('',*,*,#48202,.T.); #66326=ORIENTED_EDGE('',*,*,#48607,.F.); #66327=ORIENTED_EDGE('',*,*,#48364,.T.); #66328=ORIENTED_EDGE('',*,*,#48609,.T.); #66329=ORIENTED_EDGE('',*,*,#48203,.T.); #66330=ORIENTED_EDGE('',*,*,#48608,.F.); #66331=ORIENTED_EDGE('',*,*,#48366,.T.); #66332=ORIENTED_EDGE('',*,*,#48578,.F.); #66333=ORIENTED_EDGE('',*,*,#48204,.T.); #66334=ORIENTED_EDGE('',*,*,#48609,.F.); #66335=ORIENTED_EDGE('',*,*,#48610,.T.); #66336=ORIENTED_EDGE('',*,*,#48602,.F.); #66337=ORIENTED_EDGE('',*,*,#48604,.F.); #66338=ORIENTED_EDGE('',*,*,#48606,.F.); #66339=ORIENTED_EDGE('',*,*,#48200,.T.); #66340=ORIENTED_EDGE('',*,*,#48611,.F.); #66341=ORIENTED_EDGE('',*,*,#48612,.F.); #66342=ORIENTED_EDGE('',*,*,#48613,.F.); #66343=ORIENTED_EDGE('',*,*,#48614,.F.); #66344=ORIENTED_EDGE('',*,*,#48615,.F.); #66345=ORIENTED_EDGE('',*,*,#48616,.F.); #66346=ORIENTED_EDGE('',*,*,#48208,.T.); #66347=ORIENTED_EDGE('',*,*,#48586,.F.); #66348=ORIENTED_EDGE('',*,*,#48590,.F.); #66349=ORIENTED_EDGE('',*,*,#48592,.F.); #66350=ORIENTED_EDGE('',*,*,#48617,.T.); #66351=ORIENTED_EDGE('',*,*,#48333,.F.); #66352=ORIENTED_EDGE('',*,*,#48354,.T.); #66353=ORIENTED_EDGE('',*,*,#48596,.F.); #66354=ORIENTED_EDGE('',*,*,#48593,.F.); #66355=ORIENTED_EDGE('',*,*,#48618,.F.); #66356=ORIENTED_EDGE('',*,*,#48350,.F.); #66357=ORIENTED_EDGE('',*,*,#48618,.T.); #66358=ORIENTED_EDGE('',*,*,#48588,.F.); #66359=ORIENTED_EDGE('',*,*,#48619,.T.); #66360=ORIENTED_EDGE('',*,*,#48321,.F.); #66361=ORIENTED_EDGE('',*,*,#48619,.F.); #66362=ORIENTED_EDGE('',*,*,#48587,.F.); #66363=ORIENTED_EDGE('',*,*,#48584,.F.); #66364=ORIENTED_EDGE('',*,*,#48620,.F.); #66365=ORIENTED_EDGE('',*,*,#48351,.F.); #66366=ORIENTED_EDGE('',*,*,#48620,.T.); #66367=ORIENTED_EDGE('',*,*,#48582,.F.); #66368=ORIENTED_EDGE('',*,*,#48621,.T.); #66369=ORIENTED_EDGE('',*,*,#48339,.F.); #66370=ORIENTED_EDGE('',*,*,#48621,.F.); #66371=ORIENTED_EDGE('',*,*,#48579,.F.); #66372=ORIENTED_EDGE('',*,*,#48622,.F.); #66373=ORIENTED_EDGE('',*,*,#48623,.T.); #66374=ORIENTED_EDGE('',*,*,#48624,.T.); #66375=ORIENTED_EDGE('',*,*,#48625,.T.); #66376=ORIENTED_EDGE('',*,*,#48626,.T.); #66377=ORIENTED_EDGE('',*,*,#48627,.T.); #66378=ORIENTED_EDGE('',*,*,#48628,.T.); #66379=ORIENTED_EDGE('',*,*,#48626,.F.); #66380=ORIENTED_EDGE('',*,*,#48629,.T.); #66381=ORIENTED_EDGE('',*,*,#48630,.T.); #66382=ORIENTED_EDGE('',*,*,#48631,.T.); #66383=ORIENTED_EDGE('',*,*,#48632,.T.); #66384=ORIENTED_EDGE('',*,*,#48534,.T.); #66385=ORIENTED_EDGE('',*,*,#48632,.F.); #66386=ORIENTED_EDGE('',*,*,#48633,.T.); #66387=ORIENTED_EDGE('',*,*,#48634,.T.); #66388=ORIENTED_EDGE('',*,*,#48635,.T.); #66389=ORIENTED_EDGE('',*,*,#48636,.T.); #66390=ORIENTED_EDGE('',*,*,#48637,.T.); #66391=ORIENTED_EDGE('',*,*,#48638,.T.); #66392=ORIENTED_EDGE('',*,*,#48637,.F.); #66393=ORIENTED_EDGE('',*,*,#48639,.T.); #66394=ORIENTED_EDGE('',*,*,#48640,.T.); #66395=ORIENTED_EDGE('',*,*,#48533,.T.); #66396=ORIENTED_EDGE('',*,*,#48641,.T.); #66397=ORIENTED_EDGE('',*,*,#48642,.T.); #66398=ORIENTED_EDGE('',*,*,#48643,.T.); #66399=ORIENTED_EDGE('',*,*,#48644,.T.); #66400=ORIENTED_EDGE('',*,*,#48643,.F.); #66401=ORIENTED_EDGE('',*,*,#48645,.T.); #66402=ORIENTED_EDGE('',*,*,#48646,.T.); #66403=ORIENTED_EDGE('',*,*,#48647,.T.); #66404=ORIENTED_EDGE('',*,*,#48646,.F.); #66405=ORIENTED_EDGE('',*,*,#48648,.T.); #66406=ORIENTED_EDGE('',*,*,#48635,.F.); #66407=ORIENTED_EDGE('',*,*,#48640,.F.); #66408=ORIENTED_EDGE('',*,*,#48649,.T.); #66409=ORIENTED_EDGE('',*,*,#48630,.F.); #66410=ORIENTED_EDGE('',*,*,#48641,.F.); #66411=ORIENTED_EDGE('',*,*,#48627,.F.); #66412=ORIENTED_EDGE('',*,*,#48650,.T.); #66413=ORIENTED_EDGE('',*,*,#48634,.F.); #66414=ORIENTED_EDGE('',*,*,#48651,.T.); #66415=ORIENTED_EDGE('',*,*,#48652,.F.); #66416=ORIENTED_EDGE('',*,*,#48535,.T.); #66417=ORIENTED_EDGE('',*,*,#48633,.F.); #66418=ORIENTED_EDGE('',*,*,#48653,.T.); #66419=ORIENTED_EDGE('',*,*,#48654,.T.); #66420=ORIENTED_EDGE('',*,*,#48655,.T.); #66421=ORIENTED_EDGE('',*,*,#48639,.F.); #66422=ORIENTED_EDGE('',*,*,#48636,.F.); #66423=ORIENTED_EDGE('',*,*,#48656,.F.); #66424=ORIENTED_EDGE('',*,*,#48649,.F.); #66425=ORIENTED_EDGE('',*,*,#48656,.T.); #66426=ORIENTED_EDGE('',*,*,#48648,.F.); #66427=ORIENTED_EDGE('',*,*,#48657,.T.); #66428=ORIENTED_EDGE('',*,*,#48631,.F.); #66429=ORIENTED_EDGE('',*,*,#48657,.F.); #66430=ORIENTED_EDGE('',*,*,#48645,.F.); #66431=ORIENTED_EDGE('',*,*,#48642,.F.); #66432=ORIENTED_EDGE('',*,*,#48658,.F.); #66433=ORIENTED_EDGE('',*,*,#48659,.F.); #66434=ORIENTED_EDGE('',*,*,#48653,.F.); #66435=ORIENTED_EDGE('',*,*,#48660,.T.); #66436=ORIENTED_EDGE('',*,*,#48470,.T.); #66437=ORIENTED_EDGE('',*,*,#48661,.T.); #66438=ORIENTED_EDGE('',*,*,#48662,.T.); #66439=ORIENTED_EDGE('',*,*,#48663,.T.); #66440=ORIENTED_EDGE('',*,*,#48664,.T.); #66441=ORIENTED_EDGE('',*,*,#48661,.F.); #66442=ORIENTED_EDGE('',*,*,#48665,.T.); #66443=ORIENTED_EDGE('',*,*,#48666,.T.); #66444=ORIENTED_EDGE('',*,*,#48667,.T.); #66445=ORIENTED_EDGE('',*,*,#48668,.T.); #66446=ORIENTED_EDGE('',*,*,#48669,.T.); #66447=ORIENTED_EDGE('',*,*,#48670,.T.); #66448=ORIENTED_EDGE('',*,*,#48671,.T.); #66449=ORIENTED_EDGE('',*,*,#48666,.F.); #66450=ORIENTED_EDGE('',*,*,#48672,.T.); #66451=ORIENTED_EDGE('',*,*,#48668,.F.); #66452=ORIENTED_EDGE('',*,*,#48673,.T.); #66453=ORIENTED_EDGE('',*,*,#48663,.F.); #66454=ORIENTED_EDGE('',*,*,#48674,.T.); #66455=ORIENTED_EDGE('',*,*,#48675,.T.); #66456=ORIENTED_EDGE('',*,*,#48676,.T.); #66457=ORIENTED_EDGE('',*,*,#48677,.T.); #66458=ORIENTED_EDGE('',*,*,#48674,.F.); #66459=ORIENTED_EDGE('',*,*,#48678,.T.); #66460=ORIENTED_EDGE('',*,*,#48679,.T.); #66461=ORIENTED_EDGE('',*,*,#48676,.F.); #66462=ORIENTED_EDGE('',*,*,#48680,.T.); #66463=ORIENTED_EDGE('',*,*,#48670,.F.); #66464=ORIENTED_EDGE('',*,*,#48681,.T.); #66465=ORIENTED_EDGE('',*,*,#48682,.T.); #66466=ORIENTED_EDGE('',*,*,#48472,.T.); #66467=ORIENTED_EDGE('',*,*,#48683,.T.); #66468=ORIENTED_EDGE('',*,*,#48684,.T.); #66469=ORIENTED_EDGE('',*,*,#48681,.F.); #66470=ORIENTED_EDGE('',*,*,#48471,.T.); #66471=ORIENTED_EDGE('',*,*,#48683,.F.); #66472=ORIENTED_EDGE('',*,*,#48660,.F.); #66473=ORIENTED_EDGE('',*,*,#48685,.T.); #66474=ORIENTED_EDGE('',*,*,#48679,.F.); #66475=ORIENTED_EDGE('',*,*,#48686,.T.); #66476=ORIENTED_EDGE('',*,*,#48687,.T.); #66477=ORIENTED_EDGE('',*,*,#48688,.T.); #66478=ORIENTED_EDGE('',*,*,#48678,.F.); #66479=ORIENTED_EDGE('',*,*,#48689,.T.); #66480=ORIENTED_EDGE('',*,*,#48690,.T.); #66481=ORIENTED_EDGE('',*,*,#48691,.T.); #66482=ORIENTED_EDGE('',*,*,#48435,.F.); #66483=ORIENTED_EDGE('',*,*,#48692,.F.); #66484=ORIENTED_EDGE('',*,*,#48693,.F.); #66485=ORIENTED_EDGE('',*,*,#48694,.F.); #66486=ORIENTED_EDGE('',*,*,#48689,.F.); #66487=ORIENTED_EDGE('',*,*,#48677,.F.); #66488=ORIENTED_EDGE('',*,*,#48672,.F.); #66489=ORIENTED_EDGE('',*,*,#48695,.T.); #66490=ORIENTED_EDGE('',*,*,#48441,.F.); #66491=ORIENTED_EDGE('',*,*,#48695,.F.); #66492=ORIENTED_EDGE('',*,*,#48669,.F.); #66493=ORIENTED_EDGE('',*,*,#48665,.F.); #66494=ORIENTED_EDGE('',*,*,#48469,.T.); #66495=ORIENTED_EDGE('',*,*,#48682,.F.); #66496=ORIENTED_EDGE('',*,*,#48696,.T.); #66497=ORIENTED_EDGE('',*,*,#48697,.T.); #66498=ORIENTED_EDGE('',*,*,#48698,.T.); #66499=ORIENTED_EDGE('',*,*,#48699,.T.); #66500=ORIENTED_EDGE('',*,*,#48700,.T.); #66501=ORIENTED_EDGE('',*,*,#48701,.T.); #66502=ORIENTED_EDGE('',*,*,#48702,.T.); #66503=ORIENTED_EDGE('',*,*,#48701,.F.); #66504=ORIENTED_EDGE('',*,*,#48703,.T.); #66505=ORIENTED_EDGE('',*,*,#48704,.T.); #66506=ORIENTED_EDGE('',*,*,#48705,.T.); #66507=ORIENTED_EDGE('',*,*,#48685,.F.); #66508=ORIENTED_EDGE('',*,*,#48706,.T.); #66509=ORIENTED_EDGE('',*,*,#48704,.F.); #66510=ORIENTED_EDGE('',*,*,#48707,.T.); #66511=ORIENTED_EDGE('',*,*,#48708,.T.); #66512=ORIENTED_EDGE('',*,*,#48709,.T.); #66513=ORIENTED_EDGE('',*,*,#48699,.F.); #66514=ORIENTED_EDGE('',*,*,#48710,.T.); #66515=ORIENTED_EDGE('',*,*,#48711,.T.); #66516=ORIENTED_EDGE('',*,*,#48712,.T.); #66517=ORIENTED_EDGE('',*,*,#48713,.T.); #66518=ORIENTED_EDGE('',*,*,#48714,.T.); #66519=ORIENTED_EDGE('',*,*,#48715,.T.); #66520=ORIENTED_EDGE('',*,*,#48711,.F.); #66521=ORIENTED_EDGE('',*,*,#48716,.T.); #66522=ORIENTED_EDGE('',*,*,#48714,.F.); #66523=ORIENTED_EDGE('',*,*,#48717,.T.); #66524=ORIENTED_EDGE('',*,*,#48708,.F.); #66525=ORIENTED_EDGE('',*,*,#48718,.T.); #66526=ORIENTED_EDGE('',*,*,#48684,.F.); #66527=ORIENTED_EDGE('',*,*,#48707,.F.); #66528=ORIENTED_EDGE('',*,*,#48703,.F.); #66529=ORIENTED_EDGE('',*,*,#48719,.F.); #66530=ORIENTED_EDGE('',*,*,#48696,.F.); #66531=ORIENTED_EDGE('',*,*,#48700,.F.); #66532=ORIENTED_EDGE('',*,*,#48709,.F.); #66533=ORIENTED_EDGE('',*,*,#48720,.T.); #66534=ORIENTED_EDGE('',*,*,#48697,.F.); #66535=ORIENTED_EDGE('',*,*,#48719,.T.); #66536=ORIENTED_EDGE('',*,*,#48717,.F.); #66537=ORIENTED_EDGE('',*,*,#48721,.F.); #66538=ORIENTED_EDGE('',*,*,#48722,.F.); #66539=ORIENTED_EDGE('',*,*,#48723,.F.); #66540=ORIENTED_EDGE('',*,*,#48720,.F.); #66541=ORIENTED_EDGE('',*,*,#48716,.F.); #66542=ORIENTED_EDGE('',*,*,#48713,.F.); #66543=ORIENTED_EDGE('',*,*,#48724,.T.); #66544=ORIENTED_EDGE('',*,*,#48725,.F.); #66545=ORIENTED_EDGE('',*,*,#48721,.T.); #66546=ORIENTED_EDGE('',*,*,#48712,.F.); #66547=ORIENTED_EDGE('',*,*,#48726,.T.); #66548=ORIENTED_EDGE('',*,*,#48727,.T.); #66549=ORIENTED_EDGE('',*,*,#48728,.T.); #66550=ORIENTED_EDGE('',*,*,#48729,.F.); #66551=ORIENTED_EDGE('',*,*,#48730,.T.); #66552=ORIENTED_EDGE('',*,*,#48731,.T.); #66553=ORIENTED_EDGE('',*,*,#48732,.T.); #66554=ORIENTED_EDGE('',*,*,#48733,.T.); #66555=ORIENTED_EDGE('',*,*,#48734,.T.); #66556=ORIENTED_EDGE('',*,*,#48730,.F.); #66557=ORIENTED_EDGE('',*,*,#48735,.T.); #66558=ORIENTED_EDGE('',*,*,#48736,.T.); #66559=ORIENTED_EDGE('',*,*,#48737,.T.); #66560=ORIENTED_EDGE('',*,*,#48738,.T.); #66561=ORIENTED_EDGE('',*,*,#48739,.T.); #66562=ORIENTED_EDGE('',*,*,#48740,.T.); #66563=ORIENTED_EDGE('',*,*,#48741,.T.); #66564=ORIENTED_EDGE('',*,*,#48736,.F.); #66565=ORIENTED_EDGE('',*,*,#48742,.T.); #66566=ORIENTED_EDGE('',*,*,#48650,.F.); #66567=ORIENTED_EDGE('',*,*,#48743,.T.); #66568=ORIENTED_EDGE('',*,*,#48740,.F.); #66569=ORIENTED_EDGE('',*,*,#48658,.T.); #66570=ORIENTED_EDGE('',*,*,#48744,.T.); #66571=ORIENTED_EDGE('',*,*,#48745,.T.); #66572=ORIENTED_EDGE('',*,*,#48738,.F.); #66573=ORIENTED_EDGE('',*,*,#48746,.T.); #66574=ORIENTED_EDGE('',*,*,#48744,.F.); #66575=ORIENTED_EDGE('',*,*,#48747,.T.); #66576=ORIENTED_EDGE('',*,*,#48733,.F.); #66577=ORIENTED_EDGE('',*,*,#48748,.T.); #66578=ORIENTED_EDGE('',*,*,#48749,.F.); #66579=ORIENTED_EDGE('',*,*,#48745,.F.); #66580=ORIENTED_EDGE('',*,*,#48748,.F.); #66581=ORIENTED_EDGE('',*,*,#48735,.F.); #66582=ORIENTED_EDGE('',*,*,#48750,.F.); #66583=ORIENTED_EDGE('',*,*,#48751,.F.); #66584=ORIENTED_EDGE('',*,*,#48752,.T.); #66585=ORIENTED_EDGE('',*,*,#48753,.F.); #66586=ORIENTED_EDGE('',*,*,#48754,.F.); #66587=ORIENTED_EDGE('',*,*,#48755,.F.); #66588=ORIENTED_EDGE('',*,*,#48756,.F.); #66589=ORIENTED_EDGE('',*,*,#48757,.F.); #66590=ORIENTED_EDGE('',*,*,#48758,.F.); #66591=ORIENTED_EDGE('',*,*,#48759,.F.); #66592=ORIENTED_EDGE('',*,*,#48760,.F.); #66593=ORIENTED_EDGE('',*,*,#48761,.F.); #66594=ORIENTED_EDGE('',*,*,#48732,.F.); #66595=ORIENTED_EDGE('',*,*,#48762,.F.); #66596=ORIENTED_EDGE('',*,*,#48763,.T.); #66597=ORIENTED_EDGE('',*,*,#48764,.T.); #66598=ORIENTED_EDGE('',*,*,#48750,.T.); #66599=ORIENTED_EDGE('',*,*,#48731,.F.); #66600=ORIENTED_EDGE('',*,*,#48734,.F.); #66601=ORIENTED_EDGE('',*,*,#48765,.T.); #66602=ORIENTED_EDGE('',*,*,#48766,.F.); #66603=ORIENTED_EDGE('',*,*,#48767,.T.); #66604=ORIENTED_EDGE('',*,*,#48747,.F.); #66605=ORIENTED_EDGE('',*,*,#48768,.F.); #66606=ORIENTED_EDGE('',*,*,#48769,.F.); #66607=ORIENTED_EDGE('',*,*,#48770,.F.); #66608=ORIENTED_EDGE('',*,*,#48765,.F.); #66609=ORIENTED_EDGE('',*,*,#48746,.F.); #66610=ORIENTED_EDGE('',*,*,#48737,.F.); #66611=ORIENTED_EDGE('',*,*,#48771,.T.); #66612=ORIENTED_EDGE('',*,*,#48772,.T.); #66613=ORIENTED_EDGE('',*,*,#48768,.T.); #66614=ORIENTED_EDGE('',*,*,#48773,.T.); #66615=ORIENTED_EDGE('',*,*,#48774,.T.); #66616=ORIENTED_EDGE('',*,*,#48687,.F.); #66617=ORIENTED_EDGE('',*,*,#48775,.T.); #66618=ORIENTED_EDGE('',*,*,#48776,.T.); #66619=ORIENTED_EDGE('',*,*,#48777,.T.); #66620=ORIENTED_EDGE('',*,*,#48778,.T.); #66621=ORIENTED_EDGE('',*,*,#48776,.F.); #66622=ORIENTED_EDGE('',*,*,#48779,.T.); #66623=ORIENTED_EDGE('',*,*,#48773,.F.); #66624=ORIENTED_EDGE('',*,*,#48780,.T.); #66625=ORIENTED_EDGE('',*,*,#48675,.F.); #66626=ORIENTED_EDGE('',*,*,#48688,.F.); #66627=ORIENTED_EDGE('',*,*,#48781,.F.); #66628=ORIENTED_EDGE('',*,*,#48706,.F.); #66629=ORIENTED_EDGE('',*,*,#48662,.F.); #66630=ORIENTED_EDGE('',*,*,#48664,.F.); #66631=ORIENTED_EDGE('',*,*,#48782,.F.); #66632=ORIENTED_EDGE('',*,*,#48705,.F.); #66633=ORIENTED_EDGE('',*,*,#48781,.T.); #66634=ORIENTED_EDGE('',*,*,#48774,.F.); #66635=ORIENTED_EDGE('',*,*,#48783,.T.); #66636=ORIENTED_EDGE('',*,*,#48702,.F.); #66637=ORIENTED_EDGE('',*,*,#48779,.F.); #66638=ORIENTED_EDGE('',*,*,#48778,.F.); #66639=ORIENTED_EDGE('',*,*,#48784,.F.); #66640=ORIENTED_EDGE('',*,*,#48785,.F.); #66641=ORIENTED_EDGE('',*,*,#48786,.F.); #66642=ORIENTED_EDGE('',*,*,#48787,.F.); #66643=ORIENTED_EDGE('',*,*,#48788,.T.); #66644=ORIENTED_EDGE('',*,*,#48789,.F.); #66645=ORIENTED_EDGE('',*,*,#48790,.F.); #66646=ORIENTED_EDGE('',*,*,#48791,.F.); #66647=ORIENTED_EDGE('',*,*,#48792,.F.); #66648=ORIENTED_EDGE('',*,*,#48726,.F.); #66649=ORIENTED_EDGE('',*,*,#48715,.F.); #66650=ORIENTED_EDGE('',*,*,#48718,.F.); #66651=ORIENTED_EDGE('',*,*,#48710,.F.); #66652=ORIENTED_EDGE('',*,*,#48783,.F.); #66653=ORIENTED_EDGE('',*,*,#48694,.T.); #66654=ORIENTED_EDGE('',*,*,#48793,.T.); #66655=ORIENTED_EDGE('',*,*,#48794,.T.); #66656=ORIENTED_EDGE('',*,*,#48690,.F.); #66657=ORIENTED_EDGE('',*,*,#48794,.F.); #66658=ORIENTED_EDGE('',*,*,#48795,.T.); #66659=ORIENTED_EDGE('',*,*,#48796,.F.); #66660=ORIENTED_EDGE('',*,*,#48797,.T.); #66661=ORIENTED_EDGE('',*,*,#48760,.T.); #66662=ORIENTED_EDGE('',*,*,#48798,.T.); #66663=ORIENTED_EDGE('',*,*,#48799,.T.); #66664=ORIENTED_EDGE('',*,*,#48654,.F.); #66665=ORIENTED_EDGE('',*,*,#48800,.T.); #66666=ORIENTED_EDGE('',*,*,#48801,.T.); #66667=ORIENTED_EDGE('',*,*,#48802,.T.); #66668=ORIENTED_EDGE('',*,*,#48801,.F.); #66669=ORIENTED_EDGE('',*,*,#48761,.T.); #66670=ORIENTED_EDGE('',*,*,#48799,.F.); #66671=ORIENTED_EDGE('',*,*,#48803,.T.); #66672=ORIENTED_EDGE('',*,*,#48803,.F.); #66673=ORIENTED_EDGE('',*,*,#48804,.T.); #66674=ORIENTED_EDGE('',*,*,#48805,.F.); #66675=ORIENTED_EDGE('',*,*,#48806,.T.); #66676=ORIENTED_EDGE('',*,*,#48739,.F.); #66677=ORIENTED_EDGE('',*,*,#48749,.T.); #66678=ORIENTED_EDGE('',*,*,#48800,.F.); #66679=ORIENTED_EDGE('',*,*,#48659,.T.); #66680=ORIENTED_EDGE('',*,*,#48742,.F.); #66681=ORIENTED_EDGE('',*,*,#48652,.T.); #66682=ORIENTED_EDGE('',*,*,#48807,.T.); #66683=ORIENTED_EDGE('',*,*,#48536,.T.); #66684=ORIENTED_EDGE('',*,*,#48807,.F.); #66685=ORIENTED_EDGE('',*,*,#48808,.T.); #66686=ORIENTED_EDGE('',*,*,#48805,.T.); #66687=ORIENTED_EDGE('',*,*,#48809,.T.); #66688=ORIENTED_EDGE('',*,*,#48810,.T.); #66689=ORIENTED_EDGE('',*,*,#48453,.T.); #66690=ORIENTED_EDGE('',*,*,#48811,.T.); #66691=ORIENTED_EDGE('',*,*,#48812,.T.); #66692=ORIENTED_EDGE('',*,*,#48813,.T.); #66693=ORIENTED_EDGE('',*,*,#48814,.T.); #66694=ORIENTED_EDGE('',*,*,#48815,.T.); #66695=ORIENTED_EDGE('',*,*,#48816,.T.); #66696=ORIENTED_EDGE('',*,*,#48817,.T.); #66697=ORIENTED_EDGE('',*,*,#48818,.T.); #66698=ORIENTED_EDGE('',*,*,#48819,.T.); #66699=ORIENTED_EDGE('',*,*,#48816,.F.); #66700=ORIENTED_EDGE('',*,*,#48820,.T.); #66701=ORIENTED_EDGE('',*,*,#48813,.F.); #66702=ORIENTED_EDGE('',*,*,#48821,.T.); #66703=ORIENTED_EDGE('',*,*,#48822,.T.); #66704=ORIENTED_EDGE('',*,*,#48823,.T.); #66705=ORIENTED_EDGE('',*,*,#48824,.T.); #66706=ORIENTED_EDGE('',*,*,#48825,.T.); #66707=ORIENTED_EDGE('',*,*,#48826,.T.); #66708=ORIENTED_EDGE('',*,*,#48827,.T.); #66709=ORIENTED_EDGE('',*,*,#48822,.F.); #66710=ORIENTED_EDGE('',*,*,#48828,.T.); #66711=ORIENTED_EDGE('',*,*,#48829,.T.); #66712=ORIENTED_EDGE('',*,*,#48830,.T.); #66713=ORIENTED_EDGE('',*,*,#48826,.F.); #66714=ORIENTED_EDGE('',*,*,#48447,.T.); #66715=ORIENTED_EDGE('',*,*,#48831,.T.); #66716=ORIENTED_EDGE('',*,*,#48832,.T.); #66717=ORIENTED_EDGE('',*,*,#48829,.F.); #66718=ORIENTED_EDGE('',*,*,#48455,.T.); #66719=ORIENTED_EDGE('',*,*,#48824,.F.); #66720=ORIENTED_EDGE('',*,*,#48833,.T.); #66721=ORIENTED_EDGE('',*,*,#48818,.F.); #66722=ORIENTED_EDGE('',*,*,#48834,.T.); #66723=ORIENTED_EDGE('',*,*,#48835,.T.); #66724=ORIENTED_EDGE('',*,*,#48836,.T.); #66725=ORIENTED_EDGE('',*,*,#48454,.T.); #66726=ORIENTED_EDGE('',*,*,#48836,.F.); #66727=ORIENTED_EDGE('',*,*,#48837,.T.); #66728=ORIENTED_EDGE('',*,*,#48831,.F.); #66729=ORIENTED_EDGE('',*,*,#48834,.F.); #66730=ORIENTED_EDGE('',*,*,#48810,.F.); #66731=ORIENTED_EDGE('',*,*,#48838,.T.); #66732=ORIENTED_EDGE('',*,*,#48451,.T.); #66733=ORIENTED_EDGE('',*,*,#48839,.T.); #66734=ORIENTED_EDGE('',*,*,#48840,.T.); #66735=ORIENTED_EDGE('',*,*,#48840,.F.); #66736=ORIENTED_EDGE('',*,*,#48841,.T.); #66737=ORIENTED_EDGE('',*,*,#48811,.F.); #66738=ORIENTED_EDGE('',*,*,#48452,.T.); #66739=ORIENTED_EDGE('',*,*,#48819,.F.); #66740=ORIENTED_EDGE('',*,*,#48842,.F.); #66741=ORIENTED_EDGE('',*,*,#48843,.F.); #66742=ORIENTED_EDGE('',*,*,#48844,.F.); #66743=ORIENTED_EDGE('',*,*,#48833,.F.); #66744=ORIENTED_EDGE('',*,*,#48845,.T.); #66745=ORIENTED_EDGE('',*,*,#48846,.T.); #66746=ORIENTED_EDGE('',*,*,#48842,.T.); #66747=ORIENTED_EDGE('',*,*,#48823,.F.); #66748=ORIENTED_EDGE('',*,*,#48847,.T.); #66749=ORIENTED_EDGE('',*,*,#48848,.F.); #66750=ORIENTED_EDGE('',*,*,#48845,.F.); #66751=ORIENTED_EDGE('',*,*,#48827,.F.); #66752=ORIENTED_EDGE('',*,*,#48830,.F.); #66753=ORIENTED_EDGE('',*,*,#48832,.F.); #66754=ORIENTED_EDGE('',*,*,#48849,.F.); #66755=ORIENTED_EDGE('',*,*,#48850,.F.); #66756=ORIENTED_EDGE('',*,*,#48851,.F.); #66757=ORIENTED_EDGE('',*,*,#48847,.F.); #66758=ORIENTED_EDGE('',*,*,#48837,.F.); #66759=ORIENTED_EDGE('',*,*,#48852,.T.); #66760=ORIENTED_EDGE('',*,*,#48853,.F.); #66761=ORIENTED_EDGE('',*,*,#48849,.T.); #66762=ORIENTED_EDGE('',*,*,#48835,.F.); #66763=ORIENTED_EDGE('',*,*,#48854,.F.); #66764=ORIENTED_EDGE('',*,*,#48852,.F.); #66765=ORIENTED_EDGE('',*,*,#48838,.F.); #66766=ORIENTED_EDGE('',*,*,#48855,.T.); #66767=ORIENTED_EDGE('',*,*,#48856,.T.); #66768=ORIENTED_EDGE('',*,*,#48854,.T.); #66769=ORIENTED_EDGE('',*,*,#48812,.F.); #66770=ORIENTED_EDGE('',*,*,#48857,.F.); #66771=ORIENTED_EDGE('',*,*,#48858,.F.); #66772=ORIENTED_EDGE('',*,*,#48859,.F.); #66773=ORIENTED_EDGE('',*,*,#48855,.F.); #66774=ORIENTED_EDGE('',*,*,#48841,.F.); #66775=ORIENTED_EDGE('',*,*,#44050,.T.); #66776=ORIENTED_EDGE('',*,*,#48860,.F.); #66777=ORIENTED_EDGE('',*,*,#48857,.T.); #66778=ORIENTED_EDGE('',*,*,#48861,.T.); #66779=ORIENTED_EDGE('',*,*,#48862,.T.); #66780=ORIENTED_EDGE('',*,*,#48863,.T.); #66781=ORIENTED_EDGE('',*,*,#48864,.T.); #66782=ORIENTED_EDGE('',*,*,#48865,.T.); #66783=ORIENTED_EDGE('',*,*,#48866,.T.); #66784=ORIENTED_EDGE('',*,*,#48867,.T.); #66785=ORIENTED_EDGE('',*,*,#48868,.T.); #66786=ORIENTED_EDGE('',*,*,#48869,.T.); #66787=ORIENTED_EDGE('',*,*,#48870,.T.); #66788=ORIENTED_EDGE('',*,*,#48871,.T.); #66789=ORIENTED_EDGE('',*,*,#48868,.F.); #66790=ORIENTED_EDGE('',*,*,#48872,.T.); #66791=ORIENTED_EDGE('',*,*,#48865,.F.); #66792=ORIENTED_EDGE('',*,*,#48873,.T.); #66793=ORIENTED_EDGE('',*,*,#48870,.F.); #66794=ORIENTED_EDGE('',*,*,#48863,.F.); #66795=ORIENTED_EDGE('',*,*,#48874,.T.); #66796=ORIENTED_EDGE('',*,*,#48875,.T.); #66797=ORIENTED_EDGE('',*,*,#48876,.T.); #66798=ORIENTED_EDGE('',*,*,#48877,.T.); #66799=ORIENTED_EDGE('',*,*,#48878,.T.); #66800=ORIENTED_EDGE('',*,*,#48879,.T.); #66801=ORIENTED_EDGE('',*,*,#48880,.T.); #66802=ORIENTED_EDGE('',*,*,#48875,.F.); #66803=ORIENTED_EDGE('',*,*,#48507,.T.); #66804=ORIENTED_EDGE('',*,*,#48881,.T.); #66805=ORIENTED_EDGE('',*,*,#48882,.T.); #66806=ORIENTED_EDGE('',*,*,#48879,.F.); #66807=ORIENTED_EDGE('',*,*,#48515,.T.); #66808=ORIENTED_EDGE('',*,*,#48883,.T.); #66809=ORIENTED_EDGE('',*,*,#48884,.T.); #66810=ORIENTED_EDGE('',*,*,#48881,.F.); #66811=ORIENTED_EDGE('',*,*,#48514,.T.); #66812=ORIENTED_EDGE('',*,*,#48877,.F.); #66813=ORIENTED_EDGE('',*,*,#48885,.T.); #66814=ORIENTED_EDGE('',*,*,#48861,.F.); #66815=ORIENTED_EDGE('',*,*,#48886,.T.); #66816=ORIENTED_EDGE('',*,*,#48513,.T.); #66817=ORIENTED_EDGE('',*,*,#48887,.T.); #66818=ORIENTED_EDGE('',*,*,#48888,.T.); #66819=ORIENTED_EDGE('',*,*,#48886,.F.); #66820=ORIENTED_EDGE('',*,*,#48889,.T.); #66821=ORIENTED_EDGE('',*,*,#48883,.F.); #66822=ORIENTED_EDGE('',*,*,#48511,.T.); #66823=ORIENTED_EDGE('',*,*,#48890,.T.); #66824=ORIENTED_EDGE('',*,*,#48891,.T.); #66825=ORIENTED_EDGE('',*,*,#48891,.F.); #66826=ORIENTED_EDGE('',*,*,#48892,.T.); #66827=ORIENTED_EDGE('',*,*,#48887,.F.); #66828=ORIENTED_EDGE('',*,*,#48512,.T.); #66829=ORIENTED_EDGE('',*,*,#48872,.F.); #66830=ORIENTED_EDGE('',*,*,#48893,.T.); #66831=ORIENTED_EDGE('',*,*,#48894,.T.); #66832=ORIENTED_EDGE('',*,*,#44074,.T.); #66833=ORIENTED_EDGE('',*,*,#48871,.F.); #66834=ORIENTED_EDGE('',*,*,#48895,.F.); #66835=ORIENTED_EDGE('',*,*,#48896,.F.); #66836=ORIENTED_EDGE('',*,*,#48897,.F.); #66837=ORIENTED_EDGE('',*,*,#48893,.F.); #66838=ORIENTED_EDGE('',*,*,#48874,.F.); #66839=ORIENTED_EDGE('',*,*,#48898,.T.); #66840=ORIENTED_EDGE('',*,*,#48899,.T.); #66841=ORIENTED_EDGE('',*,*,#48895,.T.); #66842=ORIENTED_EDGE('',*,*,#48862,.F.); #66843=ORIENTED_EDGE('',*,*,#48900,.F.); #66844=ORIENTED_EDGE('',*,*,#48898,.F.); #66845=ORIENTED_EDGE('',*,*,#48885,.F.); #66846=ORIENTED_EDGE('',*,*,#48901,.T.); #66847=ORIENTED_EDGE('',*,*,#48902,.F.); #66848=ORIENTED_EDGE('',*,*,#48900,.T.); #66849=ORIENTED_EDGE('',*,*,#48876,.F.); #66850=ORIENTED_EDGE('',*,*,#48880,.F.); #66851=ORIENTED_EDGE('',*,*,#48882,.F.); #66852=ORIENTED_EDGE('',*,*,#48903,.T.); #66853=ORIENTED_EDGE('',*,*,#48904,.F.); #66854=ORIENTED_EDGE('',*,*,#48905,.F.); #66855=ORIENTED_EDGE('',*,*,#48901,.F.); #66856=ORIENTED_EDGE('',*,*,#48884,.F.); #66857=ORIENTED_EDGE('',*,*,#48906,.F.); #66858=ORIENTED_EDGE('',*,*,#48907,.F.); #66859=ORIENTED_EDGE('',*,*,#48903,.F.); #66860=ORIENTED_EDGE('',*,*,#48889,.F.); #66861=ORIENTED_EDGE('',*,*,#48908,.T.); #66862=ORIENTED_EDGE('',*,*,#48909,.T.); #66863=ORIENTED_EDGE('',*,*,#48906,.T.); #66864=ORIENTED_EDGE('',*,*,#48888,.F.); #66865=ORIENTED_EDGE('',*,*,#48910,.F.); #66866=ORIENTED_EDGE('',*,*,#48911,.F.); #66867=ORIENTED_EDGE('',*,*,#48908,.F.); #66868=ORIENTED_EDGE('',*,*,#48892,.F.); #66869=ORIENTED_EDGE('',*,*,#44079,.T.); #66870=ORIENTED_EDGE('',*,*,#48912,.F.); #66871=ORIENTED_EDGE('',*,*,#48910,.T.); #66872=ORIENTED_EDGE('',*,*,#48381,.F.); #66873=ORIENTED_EDGE('',*,*,#48913,.T.); #66874=ORIENTED_EDGE('',*,*,#48569,.F.); #66875=ORIENTED_EDGE('',*,*,#48914,.T.); #66876=ORIENTED_EDGE('',*,*,#48368,.F.); #66877=ORIENTED_EDGE('',*,*,#48914,.F.); #66878=ORIENTED_EDGE('',*,*,#48567,.F.); #66879=ORIENTED_EDGE('',*,*,#48565,.F.); #66880=ORIENTED_EDGE('',*,*,#48915,.F.); #66881=ORIENTED_EDGE('',*,*,#48398,.F.); #66882=ORIENTED_EDGE('',*,*,#48915,.T.); #66883=ORIENTED_EDGE('',*,*,#48560,.F.); #66884=ORIENTED_EDGE('',*,*,#48916,.T.); #66885=ORIENTED_EDGE('',*,*,#48917,.T.); #66886=ORIENTED_EDGE('',*,*,#48918,.T.); #66887=ORIENTED_EDGE('',*,*,#48919,.T.); #66888=ORIENTED_EDGE('',*,*,#48920,.T.); #66889=ORIENTED_EDGE('',*,*,#48921,.T.); #66890=ORIENTED_EDGE('',*,*,#48917,.F.); #66891=ORIENTED_EDGE('',*,*,#48922,.T.); #66892=ORIENTED_EDGE('',*,*,#48920,.F.); #66893=ORIENTED_EDGE('',*,*,#48923,.T.); #66894=ORIENTED_EDGE('',*,*,#48924,.T.); #66895=ORIENTED_EDGE('',*,*,#48925,.T.); #66896=ORIENTED_EDGE('',*,*,#48926,.T.); #66897=ORIENTED_EDGE('',*,*,#48927,.T.); #66898=ORIENTED_EDGE('',*,*,#48924,.F.); #66899=ORIENTED_EDGE('',*,*,#43991,.T.); #66900=ORIENTED_EDGE('',*,*,#48928,.T.); #66901=ORIENTED_EDGE('',*,*,#48929,.T.); #66902=ORIENTED_EDGE('',*,*,#48926,.F.); #66903=ORIENTED_EDGE('',*,*,#43990,.T.); #66904=ORIENTED_EDGE('',*,*,#48930,.T.); #66905=ORIENTED_EDGE('',*,*,#48931,.T.); #66906=ORIENTED_EDGE('',*,*,#48928,.F.); #66907=ORIENTED_EDGE('',*,*,#43989,.T.); #66908=ORIENTED_EDGE('',*,*,#48932,.T.); #66909=ORIENTED_EDGE('',*,*,#48933,.T.); #66910=ORIENTED_EDGE('',*,*,#48930,.F.); #66911=ORIENTED_EDGE('',*,*,#43988,.T.); #66912=ORIENTED_EDGE('',*,*,#48934,.T.); #66913=ORIENTED_EDGE('',*,*,#48935,.T.); #66914=ORIENTED_EDGE('',*,*,#48932,.F.); #66915=ORIENTED_EDGE('',*,*,#43987,.T.); #66916=ORIENTED_EDGE('',*,*,#48936,.T.); #66917=ORIENTED_EDGE('',*,*,#48937,.T.); #66918=ORIENTED_EDGE('',*,*,#48934,.F.); #66919=ORIENTED_EDGE('',*,*,#43986,.T.); #66920=ORIENTED_EDGE('',*,*,#48923,.F.); #66921=ORIENTED_EDGE('',*,*,#48938,.T.); #66922=ORIENTED_EDGE('',*,*,#48939,.F.); #66923=ORIENTED_EDGE('',*,*,#43992,.T.); #66924=ORIENTED_EDGE('',*,*,#48922,.F.); #66925=ORIENTED_EDGE('',*,*,#48919,.F.); #66926=ORIENTED_EDGE('',*,*,#48940,.T.); #66927=ORIENTED_EDGE('',*,*,#48941,.F.); #66928=ORIENTED_EDGE('',*,*,#48942,.F.); #66929=ORIENTED_EDGE('',*,*,#48938,.F.); #66930=ORIENTED_EDGE('',*,*,#48918,.F.); #66931=ORIENTED_EDGE('',*,*,#48943,.T.); #66932=ORIENTED_EDGE('',*,*,#48944,.F.); #66933=ORIENTED_EDGE('',*,*,#48945,.T.); #66934=ORIENTED_EDGE('',*,*,#48946,.T.); #66935=ORIENTED_EDGE('',*,*,#44036,.T.); #66936=ORIENTED_EDGE('',*,*,#48947,.T.); #66937=ORIENTED_EDGE('',*,*,#48948,.T.); #66938=ORIENTED_EDGE('',*,*,#48949,.T.); #66939=ORIENTED_EDGE('',*,*,#48950,.T.); #66940=ORIENTED_EDGE('',*,*,#48947,.F.); #66941=ORIENTED_EDGE('',*,*,#44035,.T.); #66942=ORIENTED_EDGE('',*,*,#48951,.T.); #66943=ORIENTED_EDGE('',*,*,#48952,.T.); #66944=ORIENTED_EDGE('',*,*,#48949,.F.); #66945=ORIENTED_EDGE('',*,*,#44034,.T.); #66946=ORIENTED_EDGE('',*,*,#48953,.T.); #66947=ORIENTED_EDGE('',*,*,#48954,.T.); #66948=ORIENTED_EDGE('',*,*,#48951,.F.); #66949=ORIENTED_EDGE('',*,*,#44033,.T.); #66950=ORIENTED_EDGE('',*,*,#48955,.T.); #66951=ORIENTED_EDGE('',*,*,#48956,.T.); #66952=ORIENTED_EDGE('',*,*,#48953,.F.); #66953=ORIENTED_EDGE('',*,*,#44032,.T.); #66954=ORIENTED_EDGE('',*,*,#48957,.T.); #66955=ORIENTED_EDGE('',*,*,#48958,.T.); #66956=ORIENTED_EDGE('',*,*,#48955,.F.); #66957=ORIENTED_EDGE('',*,*,#44031,.T.); #66958=ORIENTED_EDGE('',*,*,#48957,.F.); #66959=ORIENTED_EDGE('',*,*,#48959,.T.); #66960=ORIENTED_EDGE('',*,*,#48960,.T.); #66961=ORIENTED_EDGE('',*,*,#48961,.T.); #66962=ORIENTED_EDGE('',*,*,#48960,.F.); #66963=ORIENTED_EDGE('',*,*,#48962,.T.); #66964=ORIENTED_EDGE('',*,*,#48963,.T.); #66965=ORIENTED_EDGE('',*,*,#48964,.T.); #66966=ORIENTED_EDGE('',*,*,#48965,.T.); #66967=ORIENTED_EDGE('',*,*,#48966,.T.); #66968=ORIENTED_EDGE('',*,*,#48963,.F.); #66969=ORIENTED_EDGE('',*,*,#48965,.F.); #66970=ORIENTED_EDGE('',*,*,#48962,.F.); #66971=ORIENTED_EDGE('',*,*,#48967,.F.); #66972=ORIENTED_EDGE('',*,*,#48968,.F.); #66973=ORIENTED_EDGE('',*,*,#48969,.F.); #66974=ORIENTED_EDGE('',*,*,#48970,.T.); #66975=ORIENTED_EDGE('',*,*,#48959,.F.); #66976=ORIENTED_EDGE('',*,*,#44030,.T.); #66977=ORIENTED_EDGE('',*,*,#48971,.F.); #66978=ORIENTED_EDGE('',*,*,#48967,.T.); #66979=ORIENTED_EDGE('',*,*,#48972,.T.); #66980=ORIENTED_EDGE('',*,*,#48973,.T.); #66981=ORIENTED_EDGE('',*,*,#48974,.T.); #66982=ORIENTED_EDGE('',*,*,#48975,.T.); #66983=ORIENTED_EDGE('',*,*,#48976,.T.); #66984=ORIENTED_EDGE('',*,*,#48972,.F.); #66985=ORIENTED_EDGE('',*,*,#48977,.T.); #66986=ORIENTED_EDGE('',*,*,#48978,.T.); #66987=ORIENTED_EDGE('',*,*,#48979,.T.); #66988=ORIENTED_EDGE('',*,*,#48980,.T.); #66989=ORIENTED_EDGE('',*,*,#48981,.T.); #66990=ORIENTED_EDGE('',*,*,#48978,.F.); #66991=ORIENTED_EDGE('',*,*,#48982,.T.); #66992=ORIENTED_EDGE('',*,*,#48983,.T.); #66993=ORIENTED_EDGE('',*,*,#48984,.T.); #66994=ORIENTED_EDGE('',*,*,#48985,.T.); #66995=ORIENTED_EDGE('',*,*,#48986,.T.); #66996=ORIENTED_EDGE('',*,*,#48983,.F.); #66997=ORIENTED_EDGE('',*,*,#44016,.T.); #66998=ORIENTED_EDGE('',*,*,#48987,.T.); #66999=ORIENTED_EDGE('',*,*,#48988,.T.); #67000=ORIENTED_EDGE('',*,*,#48985,.F.); #67001=ORIENTED_EDGE('',*,*,#44015,.T.); #67002=ORIENTED_EDGE('',*,*,#48989,.T.); #67003=ORIENTED_EDGE('',*,*,#48990,.T.); #67004=ORIENTED_EDGE('',*,*,#48987,.F.); #67005=ORIENTED_EDGE('',*,*,#44014,.T.); #67006=ORIENTED_EDGE('',*,*,#48991,.T.); #67007=ORIENTED_EDGE('',*,*,#48992,.T.); #67008=ORIENTED_EDGE('',*,*,#48989,.F.); #67009=ORIENTED_EDGE('',*,*,#44013,.T.); #67010=ORIENTED_EDGE('',*,*,#48993,.T.); #67011=ORIENTED_EDGE('',*,*,#48994,.T.); #67012=ORIENTED_EDGE('',*,*,#48991,.F.); #67013=ORIENTED_EDGE('',*,*,#44012,.T.); #67014=ORIENTED_EDGE('',*,*,#48993,.F.); #67015=ORIENTED_EDGE('',*,*,#48995,.T.); #67016=ORIENTED_EDGE('',*,*,#48996,.T.); #67017=ORIENTED_EDGE('',*,*,#48997,.T.); #67018=ORIENTED_EDGE('',*,*,#48996,.F.); #67019=ORIENTED_EDGE('',*,*,#48998,.T.); #67020=ORIENTED_EDGE('',*,*,#48999,.T.); #67021=ORIENTED_EDGE('',*,*,#49000,.T.); #67022=ORIENTED_EDGE('',*,*,#48980,.F.); #67023=ORIENTED_EDGE('',*,*,#49001,.T.); #67024=ORIENTED_EDGE('',*,*,#48975,.F.); #67025=ORIENTED_EDGE('',*,*,#49002,.T.); #67026=ORIENTED_EDGE('',*,*,#49003,.T.); #67027=ORIENTED_EDGE('',*,*,#49004,.T.); #67028=ORIENTED_EDGE('',*,*,#49005,.T.); #67029=ORIENTED_EDGE('',*,*,#49006,.T.); #67030=ORIENTED_EDGE('',*,*,#49005,.F.); #67031=ORIENTED_EDGE('',*,*,#49007,.T.); #67032=ORIENTED_EDGE('',*,*,#49008,.T.); #67033=ORIENTED_EDGE('',*,*,#49003,.F.); #67034=ORIENTED_EDGE('',*,*,#49009,.T.); #67035=ORIENTED_EDGE('',*,*,#48999,.F.); #67036=ORIENTED_EDGE('',*,*,#49010,.T.); #67037=ORIENTED_EDGE('',*,*,#49001,.F.); #67038=ORIENTED_EDGE('',*,*,#49011,.T.); #67039=ORIENTED_EDGE('',*,*,#49012,.T.); #67040=ORIENTED_EDGE('',*,*,#49013,.T.); #67041=ORIENTED_EDGE('',*,*,#49014,.T.); #67042=ORIENTED_EDGE('',*,*,#48979,.F.); #67043=ORIENTED_EDGE('',*,*,#48984,.F.); #67044=ORIENTED_EDGE('',*,*,#48986,.F.); #67045=ORIENTED_EDGE('',*,*,#49015,.F.); #67046=ORIENTED_EDGE('',*,*,#49016,.F.); #67047=ORIENTED_EDGE('',*,*,#49017,.F.); #67048=ORIENTED_EDGE('',*,*,#49018,.F.); #67049=ORIENTED_EDGE('',*,*,#49011,.F.); #67050=ORIENTED_EDGE('',*,*,#48988,.F.); #67051=ORIENTED_EDGE('',*,*,#49019,.T.); #67052=ORIENTED_EDGE('',*,*,#49020,.F.); #67053=ORIENTED_EDGE('',*,*,#49015,.T.); #67054=ORIENTED_EDGE('',*,*,#48990,.F.); #67055=ORIENTED_EDGE('',*,*,#49021,.F.); #67056=ORIENTED_EDGE('',*,*,#49022,.F.); #67057=ORIENTED_EDGE('',*,*,#49019,.F.); #67058=ORIENTED_EDGE('',*,*,#48992,.F.); #67059=ORIENTED_EDGE('',*,*,#49023,.T.); #67060=ORIENTED_EDGE('',*,*,#49024,.F.); #67061=ORIENTED_EDGE('',*,*,#49021,.T.); #67062=ORIENTED_EDGE('',*,*,#48994,.F.); #67063=ORIENTED_EDGE('',*,*,#48997,.F.); #67064=ORIENTED_EDGE('',*,*,#49000,.F.); #67065=ORIENTED_EDGE('',*,*,#49025,.F.); #67066=ORIENTED_EDGE('',*,*,#49026,.F.); #67067=ORIENTED_EDGE('',*,*,#49027,.F.); #67068=ORIENTED_EDGE('',*,*,#49028,.F.); #67069=ORIENTED_EDGE('',*,*,#49023,.F.); #67070=ORIENTED_EDGE('',*,*,#49009,.F.); #67071=ORIENTED_EDGE('',*,*,#49029,.T.); #67072=ORIENTED_EDGE('',*,*,#49030,.T.); #67073=ORIENTED_EDGE('',*,*,#49031,.F.); #67074=ORIENTED_EDGE('',*,*,#49025,.T.); #67075=ORIENTED_EDGE('',*,*,#49006,.F.); #67076=ORIENTED_EDGE('',*,*,#49032,.T.); #67077=ORIENTED_EDGE('',*,*,#49033,.T.); #67078=ORIENTED_EDGE('',*,*,#49029,.F.); #67079=ORIENTED_EDGE('',*,*,#49008,.F.); #67080=ORIENTED_EDGE('',*,*,#48180,.T.); #67081=ORIENTED_EDGE('',*,*,#49032,.F.); #67082=ORIENTED_EDGE('',*,*,#49034,.T.); #67083=ORIENTED_EDGE('',*,*,#49035,.T.); #67084=ORIENTED_EDGE('',*,*,#49036,.T.); #67085=ORIENTED_EDGE('',*,*,#49037,.T.); #67086=ORIENTED_EDGE('',*,*,#49038,.T.); #67087=ORIENTED_EDGE('',*,*,#49034,.F.); #67088=ORIENTED_EDGE('',*,*,#49039,.T.); #67089=ORIENTED_EDGE('',*,*,#49040,.T.); #67090=ORIENTED_EDGE('',*,*,#49041,.T.); #67091=ORIENTED_EDGE('',*,*,#49042,.T.); #67092=ORIENTED_EDGE('',*,*,#49043,.T.); #67093=ORIENTED_EDGE('',*,*,#49040,.F.); #67094=ORIENTED_EDGE('',*,*,#49044,.T.); #67095=ORIENTED_EDGE('',*,*,#49045,.T.); #67096=ORIENTED_EDGE('',*,*,#49046,.T.); #67097=ORIENTED_EDGE('',*,*,#49047,.T.); #67098=ORIENTED_EDGE('',*,*,#49048,.T.); #67099=ORIENTED_EDGE('',*,*,#49045,.F.); #67100=ORIENTED_EDGE('',*,*,#44010,.T.); #67101=ORIENTED_EDGE('',*,*,#49049,.T.); #67102=ORIENTED_EDGE('',*,*,#49050,.T.); #67103=ORIENTED_EDGE('',*,*,#49047,.F.); #67104=ORIENTED_EDGE('',*,*,#44009,.T.); #67105=ORIENTED_EDGE('',*,*,#49051,.T.); #67106=ORIENTED_EDGE('',*,*,#49052,.T.); #67107=ORIENTED_EDGE('',*,*,#49049,.F.); #67108=ORIENTED_EDGE('',*,*,#44008,.T.); #67109=ORIENTED_EDGE('',*,*,#49053,.T.); #67110=ORIENTED_EDGE('',*,*,#49054,.T.); #67111=ORIENTED_EDGE('',*,*,#49051,.F.); #67112=ORIENTED_EDGE('',*,*,#44007,.T.); #67113=ORIENTED_EDGE('',*,*,#49055,.T.); #67114=ORIENTED_EDGE('',*,*,#49056,.T.); #67115=ORIENTED_EDGE('',*,*,#49053,.F.); #67116=ORIENTED_EDGE('',*,*,#44006,.T.); #67117=ORIENTED_EDGE('',*,*,#49055,.F.); #67118=ORIENTED_EDGE('',*,*,#49057,.T.); #67119=ORIENTED_EDGE('',*,*,#49058,.T.); #67120=ORIENTED_EDGE('',*,*,#49059,.T.); #67121=ORIENTED_EDGE('',*,*,#49058,.F.); #67122=ORIENTED_EDGE('',*,*,#49060,.T.); #67123=ORIENTED_EDGE('',*,*,#49061,.T.); #67124=ORIENTED_EDGE('',*,*,#49062,.T.); #67125=ORIENTED_EDGE('',*,*,#49042,.F.); #67126=ORIENTED_EDGE('',*,*,#49063,.T.); #67127=ORIENTED_EDGE('',*,*,#49037,.F.); #67128=ORIENTED_EDGE('',*,*,#49064,.T.); #67129=ORIENTED_EDGE('',*,*,#49065,.T.); #67130=ORIENTED_EDGE('',*,*,#49066,.T.); #67131=ORIENTED_EDGE('',*,*,#49067,.T.); #67132=ORIENTED_EDGE('',*,*,#49068,.T.); #67133=ORIENTED_EDGE('',*,*,#49067,.F.); #67134=ORIENTED_EDGE('',*,*,#49069,.T.); #67135=ORIENTED_EDGE('',*,*,#49070,.T.); #67136=ORIENTED_EDGE('',*,*,#49065,.F.); #67137=ORIENTED_EDGE('',*,*,#49071,.T.); #67138=ORIENTED_EDGE('',*,*,#49061,.F.); #67139=ORIENTED_EDGE('',*,*,#49072,.T.); #67140=ORIENTED_EDGE('',*,*,#49063,.F.); #67141=ORIENTED_EDGE('',*,*,#49073,.T.); #67142=ORIENTED_EDGE('',*,*,#49074,.T.); #67143=ORIENTED_EDGE('',*,*,#49075,.T.); #67144=ORIENTED_EDGE('',*,*,#49076,.T.); #67145=ORIENTED_EDGE('',*,*,#49041,.F.); #67146=ORIENTED_EDGE('',*,*,#49046,.F.); #67147=ORIENTED_EDGE('',*,*,#49048,.F.); #67148=ORIENTED_EDGE('',*,*,#49077,.F.); #67149=ORIENTED_EDGE('',*,*,#49078,.F.); #67150=ORIENTED_EDGE('',*,*,#49079,.F.); #67151=ORIENTED_EDGE('',*,*,#49080,.F.); #67152=ORIENTED_EDGE('',*,*,#49073,.F.); #67153=ORIENTED_EDGE('',*,*,#49050,.F.); #67154=ORIENTED_EDGE('',*,*,#49081,.T.); #67155=ORIENTED_EDGE('',*,*,#49082,.F.); #67156=ORIENTED_EDGE('',*,*,#49077,.T.); #67157=ORIENTED_EDGE('',*,*,#49052,.F.); #67158=ORIENTED_EDGE('',*,*,#49083,.F.); #67159=ORIENTED_EDGE('',*,*,#49084,.F.); #67160=ORIENTED_EDGE('',*,*,#49081,.F.); #67161=ORIENTED_EDGE('',*,*,#49054,.F.); #67162=ORIENTED_EDGE('',*,*,#49085,.T.); #67163=ORIENTED_EDGE('',*,*,#49086,.F.); #67164=ORIENTED_EDGE('',*,*,#49083,.T.); #67165=ORIENTED_EDGE('',*,*,#49056,.F.); #67166=ORIENTED_EDGE('',*,*,#49059,.F.); #67167=ORIENTED_EDGE('',*,*,#49062,.F.); #67168=ORIENTED_EDGE('',*,*,#49087,.F.); #67169=ORIENTED_EDGE('',*,*,#49088,.F.); #67170=ORIENTED_EDGE('',*,*,#49089,.F.); #67171=ORIENTED_EDGE('',*,*,#49090,.F.); #67172=ORIENTED_EDGE('',*,*,#49085,.F.); #67173=ORIENTED_EDGE('',*,*,#49071,.F.); #67174=ORIENTED_EDGE('',*,*,#49091,.T.); #67175=ORIENTED_EDGE('',*,*,#49092,.T.); #67176=ORIENTED_EDGE('',*,*,#49093,.F.); #67177=ORIENTED_EDGE('',*,*,#49087,.T.); #67178=ORIENTED_EDGE('',*,*,#49068,.F.); #67179=ORIENTED_EDGE('',*,*,#49094,.T.); #67180=ORIENTED_EDGE('',*,*,#49095,.T.); #67181=ORIENTED_EDGE('',*,*,#49091,.F.); #67182=ORIENTED_EDGE('',*,*,#49070,.F.); #67183=ORIENTED_EDGE('',*,*,#48186,.T.); #67184=ORIENTED_EDGE('',*,*,#49094,.F.); #67185=ORIENTED_EDGE('',*,*,#48505,.F.); #67186=ORIENTED_EDGE('',*,*,#49096,.T.); #67187=ORIENTED_EDGE('',*,*,#49097,.T.); #67188=ORIENTED_EDGE('',*,*,#49098,.T.); #67189=ORIENTED_EDGE('',*,*,#49099,.T.); #67190=ORIENTED_EDGE('',*,*,#49100,.T.); #67191=ORIENTED_EDGE('',*,*,#49097,.F.); #67192=ORIENTED_EDGE('',*,*,#49101,.T.); #67193=ORIENTED_EDGE('',*,*,#48766,.T.); #67194=ORIENTED_EDGE('',*,*,#48770,.T.); #67195=ORIENTED_EDGE('',*,*,#49099,.F.); #67196=ORIENTED_EDGE('',*,*,#49102,.T.); #67197=ORIENTED_EDGE('',*,*,#48767,.F.); #67198=ORIENTED_EDGE('',*,*,#49103,.T.); #67199=ORIENTED_EDGE('',*,*,#49104,.F.); #67200=ORIENTED_EDGE('',*,*,#48762,.T.); #67201=ORIENTED_EDGE('',*,*,#48475,.F.); #67202=ORIENTED_EDGE('',*,*,#49105,.F.); #67203=ORIENTED_EDGE('',*,*,#49106,.F.); #67204=ORIENTED_EDGE('',*,*,#49107,.F.); #67205=ORIENTED_EDGE('',*,*,#49108,.F.); #67206=ORIENTED_EDGE('',*,*,#49109,.F.); #67207=ORIENTED_EDGE('',*,*,#49110,.F.); #67208=ORIENTED_EDGE('',*,*,#49103,.F.); #67209=ORIENTED_EDGE('',*,*,#49102,.F.); #67210=ORIENTED_EDGE('',*,*,#49101,.F.); #67211=ORIENTED_EDGE('',*,*,#49096,.F.); #67212=ORIENTED_EDGE('',*,*,#48625,.F.); #67213=ORIENTED_EDGE('',*,*,#49111,.T.); #67214=ORIENTED_EDGE('',*,*,#48772,.F.); #67215=ORIENTED_EDGE('',*,*,#49112,.T.); #67216=ORIENTED_EDGE('',*,*,#48629,.F.); #67217=ORIENTED_EDGE('',*,*,#49112,.F.); #67218=ORIENTED_EDGE('',*,*,#48771,.F.); #67219=ORIENTED_EDGE('',*,*,#48741,.F.); #67220=ORIENTED_EDGE('',*,*,#48743,.F.); #67221=ORIENTED_EDGE('',*,*,#49113,.T.); #67222=ORIENTED_EDGE('',*,*,#48459,.T.); #67223=ORIENTED_EDGE('',*,*,#48468,.F.); #67224=ORIENTED_EDGE('',*,*,#49114,.T.); #67225=ORIENTED_EDGE('',*,*,#48725,.T.); #67226=ORIENTED_EDGE('',*,*,#48460,.T.); #67227=ORIENTED_EDGE('',*,*,#49113,.F.); #67228=ORIENTED_EDGE('',*,*,#48722,.T.); #67229=ORIENTED_EDGE('',*,*,#48698,.F.); #67230=ORIENTED_EDGE('',*,*,#48723,.T.); #67231=ORIENTED_EDGE('',*,*,#49114,.F.); #67232=ORIENTED_EDGE('',*,*,#48473,.T.); #67233=ORIENTED_EDGE('',*,*,#48780,.F.); #67234=ORIENTED_EDGE('',*,*,#49115,.T.); #67235=ORIENTED_EDGE('',*,*,#48796,.T.); #67236=ORIENTED_EDGE('',*,*,#49116,.T.); #67237=ORIENTED_EDGE('',*,*,#48775,.F.); #67238=ORIENTED_EDGE('',*,*,#48686,.F.); #67239=ORIENTED_EDGE('',*,*,#48691,.F.); #67240=ORIENTED_EDGE('',*,*,#48797,.F.); #67241=ORIENTED_EDGE('',*,*,#49115,.F.); #67242=ORIENTED_EDGE('',*,*,#48488,.F.); #67243=ORIENTED_EDGE('',*,*,#49117,.T.); #67244=ORIENTED_EDGE('',*,*,#49118,.T.); #67245=ORIENTED_EDGE('',*,*,#49105,.T.); #67246=ORIENTED_EDGE('',*,*,#49119,.T.); #67247=ORIENTED_EDGE('',*,*,#49106,.T.); #67248=ORIENTED_EDGE('',*,*,#49118,.F.); #67249=ORIENTED_EDGE('',*,*,#49120,.T.); #67250=ORIENTED_EDGE('',*,*,#49121,.T.); #67251=ORIENTED_EDGE('',*,*,#49107,.T.); #67252=ORIENTED_EDGE('',*,*,#49119,.F.); #67253=ORIENTED_EDGE('',*,*,#44072,.T.); #67254=ORIENTED_EDGE('',*,*,#49121,.F.); #67255=ORIENTED_EDGE('',*,*,#44071,.T.); #67256=ORIENTED_EDGE('',*,*,#49122,.T.); #67257=ORIENTED_EDGE('',*,*,#49123,.T.); #67258=ORIENTED_EDGE('',*,*,#49124,.T.); #67259=ORIENTED_EDGE('',*,*,#49125,.T.); #67260=ORIENTED_EDGE('',*,*,#49122,.F.); #67261=ORIENTED_EDGE('',*,*,#44070,.T.); #67262=ORIENTED_EDGE('',*,*,#49126,.T.); #67263=ORIENTED_EDGE('',*,*,#49127,.T.); #67264=ORIENTED_EDGE('',*,*,#49124,.F.); #67265=ORIENTED_EDGE('',*,*,#44069,.T.); #67266=ORIENTED_EDGE('',*,*,#49128,.T.); #67267=ORIENTED_EDGE('',*,*,#49129,.T.); #67268=ORIENTED_EDGE('',*,*,#49126,.F.); #67269=ORIENTED_EDGE('',*,*,#44068,.T.); #67270=ORIENTED_EDGE('',*,*,#49130,.T.); #67271=ORIENTED_EDGE('',*,*,#49131,.T.); #67272=ORIENTED_EDGE('',*,*,#49128,.F.); #67273=ORIENTED_EDGE('',*,*,#44067,.T.); #67274=ORIENTED_EDGE('',*,*,#49120,.F.); #67275=ORIENTED_EDGE('',*,*,#49132,.T.); #67276=ORIENTED_EDGE('',*,*,#48866,.F.); #67277=ORIENTED_EDGE('',*,*,#44073,.T.); #67278=ORIENTED_EDGE('',*,*,#48479,.F.); #67279=ORIENTED_EDGE('',*,*,#48508,.T.); #67280=ORIENTED_EDGE('',*,*,#48878,.F.); #67281=ORIENTED_EDGE('',*,*,#48864,.F.); #67282=ORIENTED_EDGE('',*,*,#48869,.F.); #67283=ORIENTED_EDGE('',*,*,#48873,.F.); #67284=ORIENTED_EDGE('',*,*,#48867,.F.); #67285=ORIENTED_EDGE('',*,*,#49132,.F.); #67286=ORIENTED_EDGE('',*,*,#49117,.F.); #67287=ORIENTED_EDGE('',*,*,#48806,.F.); #67288=ORIENTED_EDGE('',*,*,#48808,.F.); #67289=ORIENTED_EDGE('',*,*,#48651,.F.); #67290=ORIENTED_EDGE('',*,*,#48655,.F.); #67291=ORIENTED_EDGE('',*,*,#48802,.F.); #67292=ORIENTED_EDGE('',*,*,#48894,.F.); #67293=ORIENTED_EDGE('',*,*,#49133,.T.); #67294=ORIENTED_EDGE('',*,*,#49134,.T.); #67295=ORIENTED_EDGE('',*,*,#44075,.T.); #67296=ORIENTED_EDGE('',*,*,#49135,.T.); #67297=ORIENTED_EDGE('',*,*,#44076,.T.); #67298=ORIENTED_EDGE('',*,*,#49134,.F.); #67299=ORIENTED_EDGE('',*,*,#49136,.T.); #67300=ORIENTED_EDGE('',*,*,#49137,.T.); #67301=ORIENTED_EDGE('',*,*,#44077,.T.); #67302=ORIENTED_EDGE('',*,*,#49135,.F.); #67303=ORIENTED_EDGE('',*,*,#49138,.T.); #67304=ORIENTED_EDGE('',*,*,#49137,.F.); #67305=ORIENTED_EDGE('',*,*,#49139,.T.); #67306=ORIENTED_EDGE('',*,*,#48912,.T.); #67307=ORIENTED_EDGE('',*,*,#44078,.T.); #67308=ORIENTED_EDGE('',*,*,#49139,.F.); #67309=ORIENTED_EDGE('',*,*,#49140,.T.); #67310=ORIENTED_EDGE('',*,*,#49141,.F.); #67311=ORIENTED_EDGE('',*,*,#48911,.T.); #67312=ORIENTED_EDGE('',*,*,#49138,.F.); #67313=ORIENTED_EDGE('',*,*,#49142,.T.); #67314=ORIENTED_EDGE('',*,*,#49143,.F.); #67315=ORIENTED_EDGE('',*,*,#49140,.F.); #67316=ORIENTED_EDGE('',*,*,#49136,.F.); #67317=ORIENTED_EDGE('',*,*,#49144,.F.); #67318=ORIENTED_EDGE('',*,*,#49145,.F.); #67319=ORIENTED_EDGE('',*,*,#49142,.F.); #67320=ORIENTED_EDGE('',*,*,#49133,.F.); #67321=ORIENTED_EDGE('',*,*,#48897,.T.); #67322=ORIENTED_EDGE('',*,*,#49146,.F.); #67323=ORIENTED_EDGE('',*,*,#49144,.T.); #67324=ORIENTED_EDGE('',*,*,#49147,.T.); #67325=ORIENTED_EDGE('',*,*,#48519,.T.); #67326=ORIENTED_EDGE('',*,*,#48531,.F.); #67327=ORIENTED_EDGE('',*,*,#49148,.T.); #67328=ORIENTED_EDGE('',*,*,#49149,.T.); #67329=ORIENTED_EDGE('',*,*,#48520,.T.); #67330=ORIENTED_EDGE('',*,*,#49147,.F.); #67331=ORIENTED_EDGE('',*,*,#49150,.T.); #67332=ORIENTED_EDGE('',*,*,#49149,.F.); #67333=ORIENTED_EDGE('',*,*,#49151,.T.); #67334=ORIENTED_EDGE('',*,*,#49152,.T.); #67335=ORIENTED_EDGE('',*,*,#48521,.T.); #67336=ORIENTED_EDGE('',*,*,#49153,.T.); #67337=ORIENTED_EDGE('',*,*,#49154,.T.); #67338=ORIENTED_EDGE('',*,*,#49152,.F.); #67339=ORIENTED_EDGE('',*,*,#48798,.F.); #67340=ORIENTED_EDGE('',*,*,#48759,.T.); #67341=ORIENTED_EDGE('',*,*,#49153,.F.); #67342=ORIENTED_EDGE('',*,*,#49151,.F.); #67343=ORIENTED_EDGE('',*,*,#49155,.T.); #67344=ORIENTED_EDGE('',*,*,#48804,.F.); #67345=ORIENTED_EDGE('',*,*,#49155,.F.); #67346=ORIENTED_EDGE('',*,*,#49150,.F.); #67347=ORIENTED_EDGE('',*,*,#49156,.F.); #67348=ORIENTED_EDGE('',*,*,#48809,.F.); #67349=ORIENTED_EDGE('',*,*,#49156,.T.); #67350=ORIENTED_EDGE('',*,*,#49148,.F.); #67351=ORIENTED_EDGE('',*,*,#48537,.T.); #67352=ORIENTED_EDGE('',*,*,#49157,.T.); #67353=ORIENTED_EDGE('',*,*,#44086,.T.); #67354=ORIENTED_EDGE('',*,*,#49158,.T.); #67355=ORIENTED_EDGE('',*,*,#49159,.T.); #67356=ORIENTED_EDGE('',*,*,#49160,.T.); #67357=ORIENTED_EDGE('',*,*,#49161,.T.); #67358=ORIENTED_EDGE('',*,*,#49158,.F.); #67359=ORIENTED_EDGE('',*,*,#44085,.T.); #67360=ORIENTED_EDGE('',*,*,#49162,.T.); #67361=ORIENTED_EDGE('',*,*,#49163,.T.); #67362=ORIENTED_EDGE('',*,*,#49160,.F.); #67363=ORIENTED_EDGE('',*,*,#44084,.T.); #67364=ORIENTED_EDGE('',*,*,#49164,.T.); #67365=ORIENTED_EDGE('',*,*,#49165,.T.); #67366=ORIENTED_EDGE('',*,*,#49162,.F.); #67367=ORIENTED_EDGE('',*,*,#44083,.T.); #67368=ORIENTED_EDGE('',*,*,#49166,.T.); #67369=ORIENTED_EDGE('',*,*,#49167,.T.); #67370=ORIENTED_EDGE('',*,*,#49164,.F.); #67371=ORIENTED_EDGE('',*,*,#44082,.T.); #67372=ORIENTED_EDGE('',*,*,#49168,.T.); #67373=ORIENTED_EDGE('',*,*,#48528,.T.); #67374=ORIENTED_EDGE('',*,*,#49166,.F.); #67375=ORIENTED_EDGE('',*,*,#44081,.T.); #67376=ORIENTED_EDGE('',*,*,#48517,.T.); #67377=ORIENTED_EDGE('',*,*,#48529,.T.); #67378=ORIENTED_EDGE('',*,*,#49168,.F.); #67379=ORIENTED_EDGE('',*,*,#49169,.T.); #67380=ORIENTED_EDGE('',*,*,#48890,.F.); #67381=ORIENTED_EDGE('',*,*,#48510,.T.); #67382=ORIENTED_EDGE('',*,*,#49169,.F.); #67383=ORIENTED_EDGE('',*,*,#44080,.T.); #67384=ORIENTED_EDGE('',*,*,#49170,.T.); #67385=ORIENTED_EDGE('',*,*,#49171,.T.); #67386=ORIENTED_EDGE('',*,*,#49172,.T.); #67387=ORIENTED_EDGE('',*,*,#49173,.T.); #67388=ORIENTED_EDGE('',*,*,#49174,.T.); #67389=ORIENTED_EDGE('',*,*,#49170,.F.); #67390=ORIENTED_EDGE('',*,*,#49175,.T.); #67391=ORIENTED_EDGE('',*,*,#49176,.T.); #67392=ORIENTED_EDGE('',*,*,#49177,.T.); #67393=ORIENTED_EDGE('',*,*,#49178,.T.); #67394=ORIENTED_EDGE('',*,*,#49179,.T.); #67395=ORIENTED_EDGE('',*,*,#49176,.F.); #67396=ORIENTED_EDGE('',*,*,#49180,.T.); #67397=ORIENTED_EDGE('',*,*,#49181,.T.); #67398=ORIENTED_EDGE('',*,*,#49182,.T.); #67399=ORIENTED_EDGE('',*,*,#49183,.T.); #67400=ORIENTED_EDGE('',*,*,#49184,.T.); #67401=ORIENTED_EDGE('',*,*,#49181,.F.); #67402=ORIENTED_EDGE('',*,*,#43998,.T.); #67403=ORIENTED_EDGE('',*,*,#49185,.T.); #67404=ORIENTED_EDGE('',*,*,#49186,.T.); #67405=ORIENTED_EDGE('',*,*,#49183,.F.); #67406=ORIENTED_EDGE('',*,*,#43997,.T.); #67407=ORIENTED_EDGE('',*,*,#49187,.T.); #67408=ORIENTED_EDGE('',*,*,#49188,.T.); #67409=ORIENTED_EDGE('',*,*,#49185,.F.); #67410=ORIENTED_EDGE('',*,*,#43996,.T.); #67411=ORIENTED_EDGE('',*,*,#49189,.T.); #67412=ORIENTED_EDGE('',*,*,#49190,.T.); #67413=ORIENTED_EDGE('',*,*,#49187,.F.); #67414=ORIENTED_EDGE('',*,*,#43995,.T.); #67415=ORIENTED_EDGE('',*,*,#49191,.T.); #67416=ORIENTED_EDGE('',*,*,#49192,.T.); #67417=ORIENTED_EDGE('',*,*,#49189,.F.); #67418=ORIENTED_EDGE('',*,*,#43994,.T.); #67419=ORIENTED_EDGE('',*,*,#49193,.T.); #67420=ORIENTED_EDGE('',*,*,#49194,.T.); #67421=ORIENTED_EDGE('',*,*,#49191,.F.); #67422=ORIENTED_EDGE('',*,*,#43993,.T.); #67423=ORIENTED_EDGE('',*,*,#49193,.F.); #67424=ORIENTED_EDGE('',*,*,#48939,.T.); #67425=ORIENTED_EDGE('',*,*,#49195,.T.); #67426=ORIENTED_EDGE('',*,*,#49196,.T.); #67427=ORIENTED_EDGE('',*,*,#49195,.F.); #67428=ORIENTED_EDGE('',*,*,#48942,.T.); #67429=ORIENTED_EDGE('',*,*,#49197,.T.); #67430=ORIENTED_EDGE('',*,*,#49198,.T.); #67431=ORIENTED_EDGE('',*,*,#48941,.T.); #67432=ORIENTED_EDGE('',*,*,#49199,.T.); #67433=ORIENTED_EDGE('',*,*,#49197,.F.); #67434=ORIENTED_EDGE('',*,*,#49178,.F.); #67435=ORIENTED_EDGE('',*,*,#49200,.T.); #67436=ORIENTED_EDGE('',*,*,#49173,.F.); #67437=ORIENTED_EDGE('',*,*,#49201,.T.); #67438=ORIENTED_EDGE('',*,*,#49180,.F.); #67439=ORIENTED_EDGE('',*,*,#49202,.F.); #67440=ORIENTED_EDGE('',*,*,#49203,.F.); #67441=ORIENTED_EDGE('',*,*,#43999,.T.); #67442=ORIENTED_EDGE('',*,*,#49179,.F.); #67443=ORIENTED_EDGE('',*,*,#49201,.F.); #67444=ORIENTED_EDGE('',*,*,#49175,.F.); #67445=ORIENTED_EDGE('',*,*,#49172,.F.); #67446=ORIENTED_EDGE('',*,*,#48195,.T.); #67447=ORIENTED_EDGE('',*,*,#48194,.T.); #67448=ORIENTED_EDGE('',*,*,#48193,.T.); #67449=ORIENTED_EDGE('',*,*,#49204,.F.); #67450=ORIENTED_EDGE('',*,*,#49205,.F.); #67451=ORIENTED_EDGE('',*,*,#49206,.F.); #67452=ORIENTED_EDGE('',*,*,#49207,.F.); #67453=ORIENTED_EDGE('',*,*,#49202,.T.); #67454=ORIENTED_EDGE('',*,*,#49171,.F.); #67455=ORIENTED_EDGE('',*,*,#49208,.F.); #67456=ORIENTED_EDGE('',*,*,#48196,.T.); #67457=ORIENTED_EDGE('',*,*,#49174,.F.); #67458=ORIENTED_EDGE('',*,*,#49209,.F.); #67459=ORIENTED_EDGE('',*,*,#49210,.T.); #67460=ORIENTED_EDGE('',*,*,#49208,.T.); #67461=ORIENTED_EDGE('',*,*,#49200,.F.); #67462=ORIENTED_EDGE('',*,*,#49211,.T.); #67463=ORIENTED_EDGE('',*,*,#49212,.T.); #67464=ORIENTED_EDGE('',*,*,#49213,.T.); #67465=ORIENTED_EDGE('',*,*,#49209,.T.); #67466=ORIENTED_EDGE('',*,*,#49214,.T.); #67467=ORIENTED_EDGE('',*,*,#49215,.T.); #67468=ORIENTED_EDGE('',*,*,#49216,.T.); #67469=ORIENTED_EDGE('',*,*,#49217,.T.); #67470=ORIENTED_EDGE('',*,*,#49218,.T.); #67471=ORIENTED_EDGE('',*,*,#49214,.F.); #67472=ORIENTED_EDGE('',*,*,#49219,.T.); #67473=ORIENTED_EDGE('',*,*,#49220,.T.); #67474=ORIENTED_EDGE('',*,*,#49221,.T.); #67475=ORIENTED_EDGE('',*,*,#49222,.T.); #67476=ORIENTED_EDGE('',*,*,#49223,.T.); #67477=ORIENTED_EDGE('',*,*,#49220,.F.); #67478=ORIENTED_EDGE('',*,*,#49224,.T.); #67479=ORIENTED_EDGE('',*,*,#49225,.T.); #67480=ORIENTED_EDGE('',*,*,#49226,.T.); #67481=ORIENTED_EDGE('',*,*,#49227,.T.); #67482=ORIENTED_EDGE('',*,*,#49228,.T.); #67483=ORIENTED_EDGE('',*,*,#49225,.F.); #67484=ORIENTED_EDGE('',*,*,#44004,.T.); #67485=ORIENTED_EDGE('',*,*,#49229,.T.); #67486=ORIENTED_EDGE('',*,*,#49230,.T.); #67487=ORIENTED_EDGE('',*,*,#49227,.F.); #67488=ORIENTED_EDGE('',*,*,#44003,.T.); #67489=ORIENTED_EDGE('',*,*,#49231,.T.); #67490=ORIENTED_EDGE('',*,*,#49232,.T.); #67491=ORIENTED_EDGE('',*,*,#49229,.F.); #67492=ORIENTED_EDGE('',*,*,#44002,.T.); #67493=ORIENTED_EDGE('',*,*,#49233,.T.); #67494=ORIENTED_EDGE('',*,*,#49234,.T.); #67495=ORIENTED_EDGE('',*,*,#49231,.F.); #67496=ORIENTED_EDGE('',*,*,#44001,.T.); #67497=ORIENTED_EDGE('',*,*,#49235,.T.); #67498=ORIENTED_EDGE('',*,*,#49236,.T.); #67499=ORIENTED_EDGE('',*,*,#49233,.F.); #67500=ORIENTED_EDGE('',*,*,#44000,.T.); #67501=ORIENTED_EDGE('',*,*,#49235,.F.); #67502=ORIENTED_EDGE('',*,*,#49203,.T.); #67503=ORIENTED_EDGE('',*,*,#49237,.T.); #67504=ORIENTED_EDGE('',*,*,#49238,.T.); #67505=ORIENTED_EDGE('',*,*,#49237,.F.); #67506=ORIENTED_EDGE('',*,*,#49207,.T.); #67507=ORIENTED_EDGE('',*,*,#49239,.T.); #67508=ORIENTED_EDGE('',*,*,#49240,.T.); #67509=ORIENTED_EDGE('',*,*,#49222,.F.); #67510=ORIENTED_EDGE('',*,*,#49241,.T.); #67511=ORIENTED_EDGE('',*,*,#49217,.F.); #67512=ORIENTED_EDGE('',*,*,#49242,.T.); #67513=ORIENTED_EDGE('',*,*,#49243,.T.); #67514=ORIENTED_EDGE('',*,*,#49205,.T.); #67515=ORIENTED_EDGE('',*,*,#49244,.T.); #67516=ORIENTED_EDGE('',*,*,#49245,.T.); #67517=ORIENTED_EDGE('',*,*,#49244,.F.); #67518=ORIENTED_EDGE('',*,*,#49204,.T.); #67519=ORIENTED_EDGE('',*,*,#49246,.T.); #67520=ORIENTED_EDGE('',*,*,#49243,.F.); #67521=ORIENTED_EDGE('',*,*,#49247,.T.); #67522=ORIENTED_EDGE('',*,*,#49239,.F.); #67523=ORIENTED_EDGE('',*,*,#49206,.T.); #67524=ORIENTED_EDGE('',*,*,#49241,.F.); #67525=ORIENTED_EDGE('',*,*,#49248,.T.); #67526=ORIENTED_EDGE('',*,*,#49249,.T.); #67527=ORIENTED_EDGE('',*,*,#49250,.T.); #67528=ORIENTED_EDGE('',*,*,#49251,.T.); #67529=ORIENTED_EDGE('',*,*,#49221,.F.); #67530=ORIENTED_EDGE('',*,*,#49226,.F.); #67531=ORIENTED_EDGE('',*,*,#49228,.F.); #67532=ORIENTED_EDGE('',*,*,#49252,.F.); #67533=ORIENTED_EDGE('',*,*,#49253,.F.); #67534=ORIENTED_EDGE('',*,*,#49254,.F.); #67535=ORIENTED_EDGE('',*,*,#49255,.F.); #67536=ORIENTED_EDGE('',*,*,#49248,.F.); #67537=ORIENTED_EDGE('',*,*,#49230,.F.); #67538=ORIENTED_EDGE('',*,*,#49256,.T.); #67539=ORIENTED_EDGE('',*,*,#49257,.F.); #67540=ORIENTED_EDGE('',*,*,#49252,.T.); #67541=ORIENTED_EDGE('',*,*,#49232,.F.); #67542=ORIENTED_EDGE('',*,*,#49258,.F.); #67543=ORIENTED_EDGE('',*,*,#49259,.F.); #67544=ORIENTED_EDGE('',*,*,#49256,.F.); #67545=ORIENTED_EDGE('',*,*,#49234,.F.); #67546=ORIENTED_EDGE('',*,*,#49260,.T.); #67547=ORIENTED_EDGE('',*,*,#49261,.F.); #67548=ORIENTED_EDGE('',*,*,#49258,.T.); #67549=ORIENTED_EDGE('',*,*,#49236,.F.); #67550=ORIENTED_EDGE('',*,*,#49238,.F.); #67551=ORIENTED_EDGE('',*,*,#49240,.F.); #67552=ORIENTED_EDGE('',*,*,#49262,.F.); #67553=ORIENTED_EDGE('',*,*,#49263,.F.); #67554=ORIENTED_EDGE('',*,*,#49264,.F.); #67555=ORIENTED_EDGE('',*,*,#49260,.F.); #67556=ORIENTED_EDGE('',*,*,#49247,.F.); #67557=ORIENTED_EDGE('',*,*,#49265,.T.); #67558=ORIENTED_EDGE('',*,*,#49266,.T.); #67559=ORIENTED_EDGE('',*,*,#49267,.F.); #67560=ORIENTED_EDGE('',*,*,#49262,.T.); #67561=ORIENTED_EDGE('',*,*,#49245,.F.); #67562=ORIENTED_EDGE('',*,*,#49268,.T.); #67563=ORIENTED_EDGE('',*,*,#49269,.T.); #67564=ORIENTED_EDGE('',*,*,#49265,.F.); #67565=ORIENTED_EDGE('',*,*,#49246,.F.); #67566=ORIENTED_EDGE('',*,*,#48192,.T.); #67567=ORIENTED_EDGE('',*,*,#49268,.F.); #67568=ORIENTED_EDGE('',*,*,#49270,.T.); #67569=ORIENTED_EDGE('',*,*,#49271,.T.); #67570=ORIENTED_EDGE('',*,*,#49272,.T.); #67571=ORIENTED_EDGE('',*,*,#49273,.T.); #67572=ORIENTED_EDGE('',*,*,#49274,.T.); #67573=ORIENTED_EDGE('',*,*,#49270,.F.); #67574=ORIENTED_EDGE('',*,*,#49275,.T.); #67575=ORIENTED_EDGE('',*,*,#49276,.T.); #67576=ORIENTED_EDGE('',*,*,#49277,.T.); #67577=ORIENTED_EDGE('',*,*,#49278,.T.); #67578=ORIENTED_EDGE('',*,*,#49279,.T.); #67579=ORIENTED_EDGE('',*,*,#49276,.F.); #67580=ORIENTED_EDGE('',*,*,#49280,.T.); #67581=ORIENTED_EDGE('',*,*,#49281,.T.); #67582=ORIENTED_EDGE('',*,*,#49282,.T.); #67583=ORIENTED_EDGE('',*,*,#49283,.T.); #67584=ORIENTED_EDGE('',*,*,#49284,.T.); #67585=ORIENTED_EDGE('',*,*,#49281,.F.); #67586=ORIENTED_EDGE('',*,*,#44022,.T.); #67587=ORIENTED_EDGE('',*,*,#49285,.T.); #67588=ORIENTED_EDGE('',*,*,#49286,.T.); #67589=ORIENTED_EDGE('',*,*,#49283,.F.); #67590=ORIENTED_EDGE('',*,*,#44021,.T.); #67591=ORIENTED_EDGE('',*,*,#49287,.T.); #67592=ORIENTED_EDGE('',*,*,#49288,.T.); #67593=ORIENTED_EDGE('',*,*,#49285,.F.); #67594=ORIENTED_EDGE('',*,*,#44020,.T.); #67595=ORIENTED_EDGE('',*,*,#49289,.T.); #67596=ORIENTED_EDGE('',*,*,#49290,.T.); #67597=ORIENTED_EDGE('',*,*,#49287,.F.); #67598=ORIENTED_EDGE('',*,*,#44019,.T.); #67599=ORIENTED_EDGE('',*,*,#49291,.T.); #67600=ORIENTED_EDGE('',*,*,#49292,.T.); #67601=ORIENTED_EDGE('',*,*,#49289,.F.); #67602=ORIENTED_EDGE('',*,*,#44018,.T.); #67603=ORIENTED_EDGE('',*,*,#49291,.F.); #67604=ORIENTED_EDGE('',*,*,#49293,.T.); #67605=ORIENTED_EDGE('',*,*,#49294,.T.); #67606=ORIENTED_EDGE('',*,*,#49295,.T.); #67607=ORIENTED_EDGE('',*,*,#49294,.F.); #67608=ORIENTED_EDGE('',*,*,#49296,.T.); #67609=ORIENTED_EDGE('',*,*,#49297,.T.); #67610=ORIENTED_EDGE('',*,*,#49298,.T.); #67611=ORIENTED_EDGE('',*,*,#49278,.F.); #67612=ORIENTED_EDGE('',*,*,#49299,.T.); #67613=ORIENTED_EDGE('',*,*,#49273,.F.); #67614=ORIENTED_EDGE('',*,*,#49300,.T.); #67615=ORIENTED_EDGE('',*,*,#49301,.T.); #67616=ORIENTED_EDGE('',*,*,#49302,.T.); #67617=ORIENTED_EDGE('',*,*,#49303,.T.); #67618=ORIENTED_EDGE('',*,*,#49304,.T.); #67619=ORIENTED_EDGE('',*,*,#49303,.F.); #67620=ORIENTED_EDGE('',*,*,#49305,.T.); #67621=ORIENTED_EDGE('',*,*,#49306,.T.); #67622=ORIENTED_EDGE('',*,*,#49301,.F.); #67623=ORIENTED_EDGE('',*,*,#49307,.T.); #67624=ORIENTED_EDGE('',*,*,#49297,.F.); #67625=ORIENTED_EDGE('',*,*,#49308,.T.); #67626=ORIENTED_EDGE('',*,*,#49299,.F.); #67627=ORIENTED_EDGE('',*,*,#49309,.T.); #67628=ORIENTED_EDGE('',*,*,#49310,.T.); #67629=ORIENTED_EDGE('',*,*,#49311,.T.); #67630=ORIENTED_EDGE('',*,*,#49312,.T.); #67631=ORIENTED_EDGE('',*,*,#49277,.F.); #67632=ORIENTED_EDGE('',*,*,#49282,.F.); #67633=ORIENTED_EDGE('',*,*,#49284,.F.); #67634=ORIENTED_EDGE('',*,*,#49313,.F.); #67635=ORIENTED_EDGE('',*,*,#49314,.F.); #67636=ORIENTED_EDGE('',*,*,#49315,.F.); #67637=ORIENTED_EDGE('',*,*,#49309,.F.); #67638=ORIENTED_EDGE('',*,*,#49286,.F.); #67639=ORIENTED_EDGE('',*,*,#49316,.T.); #67640=ORIENTED_EDGE('',*,*,#49317,.F.); #67641=ORIENTED_EDGE('',*,*,#49313,.T.); #67642=ORIENTED_EDGE('',*,*,#49288,.F.); #67643=ORIENTED_EDGE('',*,*,#49318,.F.); #67644=ORIENTED_EDGE('',*,*,#49319,.F.); #67645=ORIENTED_EDGE('',*,*,#49316,.F.); #67646=ORIENTED_EDGE('',*,*,#49290,.F.); #67647=ORIENTED_EDGE('',*,*,#49320,.T.); #67648=ORIENTED_EDGE('',*,*,#49321,.F.); #67649=ORIENTED_EDGE('',*,*,#49318,.T.); #67650=ORIENTED_EDGE('',*,*,#49292,.F.); #67651=ORIENTED_EDGE('',*,*,#49295,.F.); #67652=ORIENTED_EDGE('',*,*,#49298,.F.); #67653=ORIENTED_EDGE('',*,*,#49322,.F.); #67654=ORIENTED_EDGE('',*,*,#49323,.F.); #67655=ORIENTED_EDGE('',*,*,#49324,.F.); #67656=ORIENTED_EDGE('',*,*,#49325,.F.); #67657=ORIENTED_EDGE('',*,*,#49320,.F.); #67658=ORIENTED_EDGE('',*,*,#49307,.F.); #67659=ORIENTED_EDGE('',*,*,#49326,.T.); #67660=ORIENTED_EDGE('',*,*,#49327,.T.); #67661=ORIENTED_EDGE('',*,*,#49328,.F.); #67662=ORIENTED_EDGE('',*,*,#49322,.T.); #67663=ORIENTED_EDGE('',*,*,#49304,.F.); #67664=ORIENTED_EDGE('',*,*,#49329,.T.); #67665=ORIENTED_EDGE('',*,*,#49330,.T.); #67666=ORIENTED_EDGE('',*,*,#49326,.F.); #67667=ORIENTED_EDGE('',*,*,#49306,.F.); #67668=ORIENTED_EDGE('',*,*,#48174,.T.); #67669=ORIENTED_EDGE('',*,*,#49329,.F.); #67670=ORIENTED_EDGE('',*,*,#49331,.T.); #67671=ORIENTED_EDGE('',*,*,#49332,.T.); #67672=ORIENTED_EDGE('',*,*,#49333,.T.); #67673=ORIENTED_EDGE('',*,*,#49334,.T.); #67674=ORIENTED_EDGE('',*,*,#49335,.T.); #67675=ORIENTED_EDGE('',*,*,#49331,.F.); #67676=ORIENTED_EDGE('',*,*,#49336,.T.); #67677=ORIENTED_EDGE('',*,*,#49337,.T.); #67678=ORIENTED_EDGE('',*,*,#49338,.T.); #67679=ORIENTED_EDGE('',*,*,#49339,.T.); #67680=ORIENTED_EDGE('',*,*,#49340,.T.); #67681=ORIENTED_EDGE('',*,*,#49341,.T.); #67682=ORIENTED_EDGE('',*,*,#49342,.T.); #67683=ORIENTED_EDGE('',*,*,#49337,.F.); #67684=ORIENTED_EDGE('',*,*,#49343,.T.); #67685=ORIENTED_EDGE('',*,*,#49344,.T.); #67686=ORIENTED_EDGE('',*,*,#44024,.T.); #67687=ORIENTED_EDGE('',*,*,#49341,.F.); #67688=ORIENTED_EDGE('',*,*,#49345,.T.); #67689=ORIENTED_EDGE('',*,*,#49344,.F.); #67690=ORIENTED_EDGE('',*,*,#49346,.T.); #67691=ORIENTED_EDGE('',*,*,#49347,.T.); #67692=ORIENTED_EDGE('',*,*,#44025,.T.); #67693=ORIENTED_EDGE('',*,*,#49348,.T.); #67694=ORIENTED_EDGE('',*,*,#44026,.T.); #67695=ORIENTED_EDGE('',*,*,#49347,.F.); #67696=ORIENTED_EDGE('',*,*,#49349,.T.); #67697=ORIENTED_EDGE('',*,*,#49350,.T.); #67698=ORIENTED_EDGE('',*,*,#44027,.T.); #67699=ORIENTED_EDGE('',*,*,#49348,.F.); #67700=ORIENTED_EDGE('',*,*,#49351,.T.); #67701=ORIENTED_EDGE('',*,*,#49350,.F.); #67702=ORIENTED_EDGE('',*,*,#49352,.T.); #67703=ORIENTED_EDGE('',*,*,#49353,.T.); #67704=ORIENTED_EDGE('',*,*,#44028,.T.); #67705=ORIENTED_EDGE('',*,*,#49354,.T.); #67706=ORIENTED_EDGE('',*,*,#44029,.T.); #67707=ORIENTED_EDGE('',*,*,#49353,.F.); #67708=ORIENTED_EDGE('',*,*,#49355,.T.); #67709=ORIENTED_EDGE('',*,*,#49356,.T.); #67710=ORIENTED_EDGE('',*,*,#48971,.T.); #67711=ORIENTED_EDGE('',*,*,#49354,.F.); #67712=ORIENTED_EDGE('',*,*,#49357,.T.); #67713=ORIENTED_EDGE('',*,*,#49356,.F.); #67714=ORIENTED_EDGE('',*,*,#49358,.T.); #67715=ORIENTED_EDGE('',*,*,#49359,.T.); #67716=ORIENTED_EDGE('',*,*,#48968,.T.); #67717=ORIENTED_EDGE('',*,*,#49359,.F.); #67718=ORIENTED_EDGE('',*,*,#49360,.T.); #67719=ORIENTED_EDGE('',*,*,#48969,.T.); #67720=ORIENTED_EDGE('',*,*,#49339,.F.); #67721=ORIENTED_EDGE('',*,*,#49361,.T.); #67722=ORIENTED_EDGE('',*,*,#49334,.F.); #67723=ORIENTED_EDGE('',*,*,#49362,.T.); #67724=ORIENTED_EDGE('',*,*,#49352,.F.); #67725=ORIENTED_EDGE('',*,*,#49363,.T.); #67726=ORIENTED_EDGE('',*,*,#49364,.F.); #67727=ORIENTED_EDGE('',*,*,#49365,.T.); #67728=ORIENTED_EDGE('',*,*,#49351,.F.); #67729=ORIENTED_EDGE('',*,*,#49366,.T.); #67730=ORIENTED_EDGE('',*,*,#49367,.F.); #67731=ORIENTED_EDGE('',*,*,#49363,.F.); #67732=ORIENTED_EDGE('',*,*,#49349,.F.); #67733=ORIENTED_EDGE('',*,*,#49368,.F.); #67734=ORIENTED_EDGE('',*,*,#49369,.F.); #67735=ORIENTED_EDGE('',*,*,#49366,.F.); #67736=ORIENTED_EDGE('',*,*,#49346,.F.); #67737=ORIENTED_EDGE('',*,*,#49370,.T.); #67738=ORIENTED_EDGE('',*,*,#49371,.F.); #67739=ORIENTED_EDGE('',*,*,#49368,.T.); #67740=ORIENTED_EDGE('',*,*,#49345,.F.); #67741=ORIENTED_EDGE('',*,*,#49343,.F.); #67742=ORIENTED_EDGE('',*,*,#49340,.F.); #67743=ORIENTED_EDGE('',*,*,#49372,.F.); #67744=ORIENTED_EDGE('',*,*,#49373,.F.); #67745=ORIENTED_EDGE('',*,*,#49374,.F.); #67746=ORIENTED_EDGE('',*,*,#49370,.F.); #67747=ORIENTED_EDGE('',*,*,#49362,.F.); #67748=ORIENTED_EDGE('',*,*,#49375,.T.); #67749=ORIENTED_EDGE('',*,*,#49376,.T.); #67750=ORIENTED_EDGE('',*,*,#49377,.F.); #67751=ORIENTED_EDGE('',*,*,#49372,.T.); #67752=ORIENTED_EDGE('',*,*,#49336,.F.); #67753=ORIENTED_EDGE('',*,*,#49378,.T.); #67754=ORIENTED_EDGE('',*,*,#49379,.T.); #67755=ORIENTED_EDGE('',*,*,#49375,.F.); #67756=ORIENTED_EDGE('',*,*,#49333,.F.); #67757=ORIENTED_EDGE('',*,*,#48168,.T.); #67758=ORIENTED_EDGE('',*,*,#49378,.F.); #67759=ORIENTED_EDGE('',*,*,#49272,.F.); #67760=ORIENTED_EDGE('',*,*,#48171,.T.); #67761=ORIENTED_EDGE('',*,*,#48170,.T.); #67762=ORIENTED_EDGE('',*,*,#48169,.T.); #67763=ORIENTED_EDGE('',*,*,#49332,.F.); #67764=ORIENTED_EDGE('',*,*,#49335,.F.); #67765=ORIENTED_EDGE('',*,*,#49361,.F.); #67766=ORIENTED_EDGE('',*,*,#49338,.F.); #67767=ORIENTED_EDGE('',*,*,#49380,.T.); #67768=ORIENTED_EDGE('',*,*,#49279,.F.); #67769=ORIENTED_EDGE('',*,*,#49300,.F.); #67770=ORIENTED_EDGE('',*,*,#49275,.F.); #67771=ORIENTED_EDGE('',*,*,#48445,.F.); #67772=ORIENTED_EDGE('',*,*,#49381,.T.); #67773=ORIENTED_EDGE('',*,*,#49382,.T.); #67774=ORIENTED_EDGE('',*,*,#48692,.T.); #67775=ORIENTED_EDGE('',*,*,#49383,.T.); #67776=ORIENTED_EDGE('',*,*,#49384,.T.); #67777=ORIENTED_EDGE('',*,*,#49382,.F.); #67778=ORIENTED_EDGE('',*,*,#49385,.T.); #67779=ORIENTED_EDGE('',*,*,#49386,.T.); #67780=ORIENTED_EDGE('',*,*,#49387,.T.); #67781=ORIENTED_EDGE('',*,*,#49383,.F.); #67782=ORIENTED_EDGE('',*,*,#49388,.T.); #67783=ORIENTED_EDGE('',*,*,#49389,.T.); #67784=ORIENTED_EDGE('',*,*,#49390,.T.); #67785=ORIENTED_EDGE('',*,*,#49386,.F.); #67786=ORIENTED_EDGE('',*,*,#49391,.T.); #67787=ORIENTED_EDGE('',*,*,#49389,.F.); #67788=ORIENTED_EDGE('',*,*,#49392,.T.); #67789=ORIENTED_EDGE('',*,*,#49393,.T.); #67790=ORIENTED_EDGE('',*,*,#49392,.F.); #67791=ORIENTED_EDGE('',*,*,#49394,.T.); #67792=ORIENTED_EDGE('',*,*,#49395,.T.); #67793=ORIENTED_EDGE('',*,*,#48785,.T.); #67794=ORIENTED_EDGE('',*,*,#48415,.F.); #67795=ORIENTED_EDGE('',*,*,#49396,.F.); #67796=ORIENTED_EDGE('',*,*,#49397,.F.); #67797=ORIENTED_EDGE('',*,*,#49398,.F.); #67798=ORIENTED_EDGE('',*,*,#49399,.F.); #67799=ORIENTED_EDGE('',*,*,#49400,.F.); #67800=ORIENTED_EDGE('',*,*,#49401,.F.); #67801=ORIENTED_EDGE('',*,*,#49402,.F.); #67802=ORIENTED_EDGE('',*,*,#49403,.F.); #67803=ORIENTED_EDGE('',*,*,#49394,.F.); #67804=ORIENTED_EDGE('',*,*,#49391,.F.); #67805=ORIENTED_EDGE('',*,*,#49388,.F.); #67806=ORIENTED_EDGE('',*,*,#49385,.F.); #67807=ORIENTED_EDGE('',*,*,#49381,.F.); #67808=ORIENTED_EDGE('',*,*,#49404,.T.); #67809=ORIENTED_EDGE('',*,*,#49405,.T.); #67810=ORIENTED_EDGE('',*,*,#49406,.T.); #67811=ORIENTED_EDGE('',*,*,#44039,.T.); #67812=ORIENTED_EDGE('',*,*,#49406,.F.); #67813=ORIENTED_EDGE('',*,*,#49407,.T.); #67814=ORIENTED_EDGE('',*,*,#49408,.T.); #67815=ORIENTED_EDGE('',*,*,#44040,.T.); #67816=ORIENTED_EDGE('',*,*,#49409,.T.); #67817=ORIENTED_EDGE('',*,*,#44041,.T.); #67818=ORIENTED_EDGE('',*,*,#49408,.F.); #67819=ORIENTED_EDGE('',*,*,#49410,.T.); #67820=ORIENTED_EDGE('',*,*,#49409,.F.); #67821=ORIENTED_EDGE('',*,*,#49411,.T.); #67822=ORIENTED_EDGE('',*,*,#49412,.T.); #67823=ORIENTED_EDGE('',*,*,#44042,.T.); #67824=ORIENTED_EDGE('',*,*,#49413,.T.); #67825=ORIENTED_EDGE('',*,*,#44043,.T.); #67826=ORIENTED_EDGE('',*,*,#49412,.F.); #67827=ORIENTED_EDGE('',*,*,#49398,.T.); #67828=ORIENTED_EDGE('',*,*,#49414,.T.); #67829=ORIENTED_EDGE('',*,*,#49415,.T.); #67830=ORIENTED_EDGE('',*,*,#49413,.F.); #67831=ORIENTED_EDGE('',*,*,#49397,.T.); #67832=ORIENTED_EDGE('',*,*,#48444,.F.); #67833=ORIENTED_EDGE('',*,*,#49416,.T.); #67834=ORIENTED_EDGE('',*,*,#49414,.F.); #67835=ORIENTED_EDGE('',*,*,#49396,.T.); #67836=ORIENTED_EDGE('',*,*,#49417,.T.); #67837=ORIENTED_EDGE('',*,*,#49418,.T.); #67838=ORIENTED_EDGE('',*,*,#49404,.F.); #67839=ORIENTED_EDGE('',*,*,#44038,.T.); #67840=ORIENTED_EDGE('',*,*,#49415,.F.); #67841=ORIENTED_EDGE('',*,*,#49419,.T.); #67842=ORIENTED_EDGE('',*,*,#48814,.F.); #67843=ORIENTED_EDGE('',*,*,#44044,.T.); #67844=ORIENTED_EDGE('',*,*,#48427,.F.); #67845=ORIENTED_EDGE('',*,*,#48448,.T.); #67846=ORIENTED_EDGE('',*,*,#48828,.F.); #67847=ORIENTED_EDGE('',*,*,#48825,.F.); #67848=ORIENTED_EDGE('',*,*,#48817,.F.); #67849=ORIENTED_EDGE('',*,*,#48821,.F.); #67850=ORIENTED_EDGE('',*,*,#48815,.F.); #67851=ORIENTED_EDGE('',*,*,#49419,.F.); #67852=ORIENTED_EDGE('',*,*,#49416,.F.); #67853=ORIENTED_EDGE('',*,*,#49411,.F.); #67854=ORIENTED_EDGE('',*,*,#49420,.T.); #67855=ORIENTED_EDGE('',*,*,#49421,.F.); #67856=ORIENTED_EDGE('',*,*,#49399,.T.); #67857=ORIENTED_EDGE('',*,*,#49410,.F.); #67858=ORIENTED_EDGE('',*,*,#49422,.F.); #67859=ORIENTED_EDGE('',*,*,#49423,.F.); #67860=ORIENTED_EDGE('',*,*,#49420,.F.); #67861=ORIENTED_EDGE('',*,*,#49407,.F.); #67862=ORIENTED_EDGE('',*,*,#49424,.T.); #67863=ORIENTED_EDGE('',*,*,#49425,.F.); #67864=ORIENTED_EDGE('',*,*,#49422,.T.); #67865=ORIENTED_EDGE('',*,*,#49405,.F.); #67866=ORIENTED_EDGE('',*,*,#49426,.F.); #67867=ORIENTED_EDGE('',*,*,#49427,.F.); #67868=ORIENTED_EDGE('',*,*,#49428,.F.); #67869=ORIENTED_EDGE('',*,*,#49424,.F.); #67870=ORIENTED_EDGE('',*,*,#49429,.T.); #67871=ORIENTED_EDGE('',*,*,#44065,.T.); #67872=ORIENTED_EDGE('',*,*,#49430,.T.); #67873=ORIENTED_EDGE('',*,*,#49431,.T.); #67874=ORIENTED_EDGE('',*,*,#49432,.T.); #67875=ORIENTED_EDGE('',*,*,#49433,.T.); #67876=ORIENTED_EDGE('',*,*,#49430,.F.); #67877=ORIENTED_EDGE('',*,*,#44064,.T.); #67878=ORIENTED_EDGE('',*,*,#49434,.T.); #67879=ORIENTED_EDGE('',*,*,#49435,.T.); #67880=ORIENTED_EDGE('',*,*,#49432,.F.); #67881=ORIENTED_EDGE('',*,*,#44063,.T.); #67882=ORIENTED_EDGE('',*,*,#49436,.T.); #67883=ORIENTED_EDGE('',*,*,#49437,.T.); #67884=ORIENTED_EDGE('',*,*,#49434,.F.); #67885=ORIENTED_EDGE('',*,*,#44062,.T.); #67886=ORIENTED_EDGE('',*,*,#49438,.T.); #67887=ORIENTED_EDGE('',*,*,#49439,.T.); #67888=ORIENTED_EDGE('',*,*,#49436,.F.); #67889=ORIENTED_EDGE('',*,*,#44061,.T.); #67890=ORIENTED_EDGE('',*,*,#49440,.T.); #67891=ORIENTED_EDGE('',*,*,#49441,.T.); #67892=ORIENTED_EDGE('',*,*,#49438,.F.); #67893=ORIENTED_EDGE('',*,*,#44060,.T.); #67894=ORIENTED_EDGE('',*,*,#49442,.T.); #67895=ORIENTED_EDGE('',*,*,#49443,.T.); #67896=ORIENTED_EDGE('',*,*,#49440,.F.); #67897=ORIENTED_EDGE('',*,*,#44059,.T.); #67898=ORIENTED_EDGE('',*,*,#49444,.T.); #67899=ORIENTED_EDGE('',*,*,#44057,.T.); #67900=ORIENTED_EDGE('',*,*,#49445,.T.); #67901=ORIENTED_EDGE('',*,*,#49446,.T.); #67902=ORIENTED_EDGE('',*,*,#49447,.T.); #67903=ORIENTED_EDGE('',*,*,#49448,.T.); #67904=ORIENTED_EDGE('',*,*,#49445,.F.); #67905=ORIENTED_EDGE('',*,*,#44056,.T.); #67906=ORIENTED_EDGE('',*,*,#49449,.T.); #67907=ORIENTED_EDGE('',*,*,#49450,.T.); #67908=ORIENTED_EDGE('',*,*,#49447,.F.); #67909=ORIENTED_EDGE('',*,*,#44055,.T.); #67910=ORIENTED_EDGE('',*,*,#49451,.T.); #67911=ORIENTED_EDGE('',*,*,#49452,.T.); #67912=ORIENTED_EDGE('',*,*,#49449,.F.); #67913=ORIENTED_EDGE('',*,*,#44054,.T.); #67914=ORIENTED_EDGE('',*,*,#49453,.T.); #67915=ORIENTED_EDGE('',*,*,#49454,.T.); #67916=ORIENTED_EDGE('',*,*,#49451,.F.); #67917=ORIENTED_EDGE('',*,*,#44053,.T.); #67918=ORIENTED_EDGE('',*,*,#49455,.T.); #67919=ORIENTED_EDGE('',*,*,#48465,.T.); #67920=ORIENTED_EDGE('',*,*,#49453,.F.); #67921=ORIENTED_EDGE('',*,*,#44052,.T.); #67922=ORIENTED_EDGE('',*,*,#48457,.T.); #67923=ORIENTED_EDGE('',*,*,#48466,.T.); #67924=ORIENTED_EDGE('',*,*,#49455,.F.); #67925=ORIENTED_EDGE('',*,*,#49456,.T.); #67926=ORIENTED_EDGE('',*,*,#49456,.F.); #67927=ORIENTED_EDGE('',*,*,#44051,.T.); #67928=ORIENTED_EDGE('',*,*,#48839,.F.); #67929=ORIENTED_EDGE('',*,*,#48450,.T.); #67930=ORIENTED_EDGE('',*,*,#48820,.F.); #67931=ORIENTED_EDGE('',*,*,#48844,.T.); #67932=ORIENTED_EDGE('',*,*,#49457,.T.); #67933=ORIENTED_EDGE('',*,*,#44045,.T.); #67934=ORIENTED_EDGE('',*,*,#49457,.F.); #67935=ORIENTED_EDGE('',*,*,#49458,.T.); #67936=ORIENTED_EDGE('',*,*,#49459,.T.); #67937=ORIENTED_EDGE('',*,*,#44046,.T.); #67938=ORIENTED_EDGE('',*,*,#49460,.T.); #67939=ORIENTED_EDGE('',*,*,#44047,.T.); #67940=ORIENTED_EDGE('',*,*,#49459,.F.); #67941=ORIENTED_EDGE('',*,*,#49461,.T.); #67942=ORIENTED_EDGE('',*,*,#49462,.T.); #67943=ORIENTED_EDGE('',*,*,#44048,.T.); #67944=ORIENTED_EDGE('',*,*,#49460,.F.); #67945=ORIENTED_EDGE('',*,*,#49463,.T.); #67946=ORIENTED_EDGE('',*,*,#49462,.F.); #67947=ORIENTED_EDGE('',*,*,#49464,.T.); #67948=ORIENTED_EDGE('',*,*,#48860,.T.); #67949=ORIENTED_EDGE('',*,*,#44049,.T.); #67950=ORIENTED_EDGE('',*,*,#49464,.F.); #67951=ORIENTED_EDGE('',*,*,#49465,.T.); #67952=ORIENTED_EDGE('',*,*,#49466,.F.); #67953=ORIENTED_EDGE('',*,*,#48858,.T.); #67954=ORIENTED_EDGE('',*,*,#49463,.F.); #67955=ORIENTED_EDGE('',*,*,#49467,.T.); #67956=ORIENTED_EDGE('',*,*,#49468,.F.); #67957=ORIENTED_EDGE('',*,*,#49465,.F.); #67958=ORIENTED_EDGE('',*,*,#49461,.F.); #67959=ORIENTED_EDGE('',*,*,#49469,.F.); #67960=ORIENTED_EDGE('',*,*,#49470,.F.); #67961=ORIENTED_EDGE('',*,*,#49467,.F.); #67962=ORIENTED_EDGE('',*,*,#49458,.F.); #67963=ORIENTED_EDGE('',*,*,#48843,.T.); #67964=ORIENTED_EDGE('',*,*,#49471,.F.); #67965=ORIENTED_EDGE('',*,*,#49469,.T.); #67966=ORIENTED_EDGE('',*,*,#49472,.T.); #67967=ORIENTED_EDGE('',*,*,#48850,.T.); #67968=ORIENTED_EDGE('',*,*,#49473,.T.); #67969=ORIENTED_EDGE('',*,*,#48859,.T.); #67970=ORIENTED_EDGE('',*,*,#48856,.F.); #67971=ORIENTED_EDGE('',*,*,#49473,.F.); #67972=ORIENTED_EDGE('',*,*,#48853,.T.); #67973=ORIENTED_EDGE('',*,*,#49474,.T.); #67974=ORIENTED_EDGE('',*,*,#49468,.T.); #67975=ORIENTED_EDGE('',*,*,#49475,.T.); #67976=ORIENTED_EDGE('',*,*,#48851,.T.); #67977=ORIENTED_EDGE('',*,*,#49476,.T.); #67978=ORIENTED_EDGE('',*,*,#48848,.T.); #67979=ORIENTED_EDGE('',*,*,#49475,.F.); #67980=ORIENTED_EDGE('',*,*,#49470,.T.); #67981=ORIENTED_EDGE('',*,*,#49474,.F.); #67982=ORIENTED_EDGE('',*,*,#49472,.F.); #67983=ORIENTED_EDGE('',*,*,#49466,.T.); #67984=ORIENTED_EDGE('',*,*,#48846,.F.); #67985=ORIENTED_EDGE('',*,*,#49476,.F.); #67986=ORIENTED_EDGE('',*,*,#49471,.T.); #67987=ORIENTED_EDGE('',*,*,#49477,.T.); #67988=ORIENTED_EDGE('',*,*,#49478,.T.); #67989=ORIENTED_EDGE('',*,*,#49479,.T.); #67990=ORIENTED_EDGE('',*,*,#49427,.T.); #67991=ORIENTED_EDGE('',*,*,#49418,.F.); #67992=ORIENTED_EDGE('',*,*,#49480,.T.); #67993=ORIENTED_EDGE('',*,*,#49477,.F.); #67994=ORIENTED_EDGE('',*,*,#49426,.T.); #67995=ORIENTED_EDGE('',*,*,#49481,.T.); #67996=ORIENTED_EDGE('',*,*,#49482,.T.); #67997=ORIENTED_EDGE('',*,*,#49483,.T.); #67998=ORIENTED_EDGE('',*,*,#49400,.T.); #67999=ORIENTED_EDGE('',*,*,#49484,.T.); #68000=ORIENTED_EDGE('',*,*,#49485,.T.); #68001=ORIENTED_EDGE('',*,*,#49395,.F.); #68002=ORIENTED_EDGE('',*,*,#49403,.T.); #68003=ORIENTED_EDGE('',*,*,#49486,.T.); #68004=ORIENTED_EDGE('',*,*,#49487,.T.); #68005=ORIENTED_EDGE('',*,*,#49484,.F.); #68006=ORIENTED_EDGE('',*,*,#49402,.T.); #68007=ORIENTED_EDGE('',*,*,#49488,.T.); #68008=ORIENTED_EDGE('',*,*,#49489,.T.); #68009=ORIENTED_EDGE('',*,*,#49486,.F.); #68010=ORIENTED_EDGE('',*,*,#49401,.T.); #68011=ORIENTED_EDGE('',*,*,#49488,.F.); #68012=ORIENTED_EDGE('',*,*,#49483,.F.); #68013=ORIENTED_EDGE('',*,*,#49490,.T.); #68014=ORIENTED_EDGE('',*,*,#49491,.T.); #68015=ORIENTED_EDGE('',*,*,#49423,.T.); #68016=ORIENTED_EDGE('',*,*,#49492,.T.); #68017=ORIENTED_EDGE('',*,*,#49493,.T.); #68018=ORIENTED_EDGE('',*,*,#49491,.F.); #68019=ORIENTED_EDGE('',*,*,#49481,.F.); #68020=ORIENTED_EDGE('',*,*,#49421,.T.); #68021=ORIENTED_EDGE('',*,*,#49494,.T.); #68022=ORIENTED_EDGE('',*,*,#49495,.T.); #68023=ORIENTED_EDGE('',*,*,#49496,.T.); #68024=ORIENTED_EDGE('',*,*,#49428,.T.); #68025=ORIENTED_EDGE('',*,*,#49496,.F.); #68026=ORIENTED_EDGE('',*,*,#49492,.F.); #68027=ORIENTED_EDGE('',*,*,#49425,.T.); #68028=ORIENTED_EDGE('',*,*,#49494,.F.); #68029=ORIENTED_EDGE('',*,*,#49479,.F.); #68030=ORIENTED_EDGE('',*,*,#49497,.T.); #68031=ORIENTED_EDGE('',*,*,#49482,.F.); #68032=ORIENTED_EDGE('',*,*,#49493,.F.); #68033=ORIENTED_EDGE('',*,*,#49495,.F.); #68034=ORIENTED_EDGE('',*,*,#49498,.F.); #68035=ORIENTED_EDGE('',*,*,#48946,.F.); #68036=ORIENTED_EDGE('',*,*,#49499,.F.); #68037=ORIENTED_EDGE('',*,*,#49500,.T.); #68038=ORIENTED_EDGE('',*,*,#49501,.T.); #68039=ORIENTED_EDGE('',*,*,#49502,.T.); #68040=ORIENTED_EDGE('',*,*,#49503,.T.); #68041=ORIENTED_EDGE('',*,*,#49504,.T.); #68042=ORIENTED_EDGE('',*,*,#49480,.F.); #68043=ORIENTED_EDGE('',*,*,#49417,.F.); #68044=ORIENTED_EDGE('',*,*,#44037,.F.); #68045=ORIENTED_EDGE('',*,*,#49505,.T.); #68046=ORIENTED_EDGE('',*,*,#49506,.T.); #68047=ORIENTED_EDGE('',*,*,#49507,.T.); #68048=ORIENTED_EDGE('',*,*,#49508,.T.); #68049=ORIENTED_EDGE('',*,*,#49509,.T.); #68050=ORIENTED_EDGE('',*,*,#49510,.T.); #68051=ORIENTED_EDGE('',*,*,#49511,.T.); #68052=ORIENTED_EDGE('',*,*,#49512,.T.); #68053=ORIENTED_EDGE('',*,*,#48966,.F.); #68054=ORIENTED_EDGE('',*,*,#49513,.T.); #68055=ORIENTED_EDGE('',*,*,#49514,.T.); #68056=ORIENTED_EDGE('',*,*,#49515,.T.); #68057=ORIENTED_EDGE('',*,*,#49514,.F.); #68058=ORIENTED_EDGE('',*,*,#49516,.T.); #68059=ORIENTED_EDGE('',*,*,#49517,.T.); #68060=ORIENTED_EDGE('',*,*,#49518,.T.); #68061=ORIENTED_EDGE('',*,*,#49519,.T.); #68062=ORIENTED_EDGE('',*,*,#49520,.T.); #68063=ORIENTED_EDGE('',*,*,#49521,.T.); #68064=ORIENTED_EDGE('',*,*,#49522,.T.); #68065=ORIENTED_EDGE('',*,*,#49519,.F.); #68066=ORIENTED_EDGE('',*,*,#49517,.F.); #68067=ORIENTED_EDGE('',*,*,#49523,.T.); #68068=ORIENTED_EDGE('',*,*,#49524,.T.); #68069=ORIENTED_EDGE('',*,*,#49525,.T.); #68070=ORIENTED_EDGE('',*,*,#49526,.T.); #68071=ORIENTED_EDGE('',*,*,#49527,.T.); #68072=ORIENTED_EDGE('',*,*,#49524,.F.); #68073=ORIENTED_EDGE('',*,*,#49521,.F.); #68074=ORIENTED_EDGE('',*,*,#49528,.T.); #68075=ORIENTED_EDGE('',*,*,#49526,.F.); #68076=ORIENTED_EDGE('',*,*,#49511,.F.); #68077=ORIENTED_EDGE('',*,*,#49529,.T.); #68078=ORIENTED_EDGE('',*,*,#49509,.F.); #68079=ORIENTED_EDGE('',*,*,#49505,.F.); #68080=ORIENTED_EDGE('',*,*,#49530,.T.); #68081=ORIENTED_EDGE('',*,*,#49531,.T.); #68082=ORIENTED_EDGE('',*,*,#49532,.T.); #68083=ORIENTED_EDGE('',*,*,#49533,.T.); #68084=ORIENTED_EDGE('',*,*,#49534,.T.); #68085=ORIENTED_EDGE('',*,*,#48948,.F.); #68086=ORIENTED_EDGE('',*,*,#49535,.T.); #68087=ORIENTED_EDGE('',*,*,#49533,.F.); #68088=ORIENTED_EDGE('',*,*,#49499,.T.); #68089=ORIENTED_EDGE('',*,*,#49531,.F.); #68090=ORIENTED_EDGE('',*,*,#49507,.F.); #68091=ORIENTED_EDGE('',*,*,#49536,.T.); #68092=ORIENTED_EDGE('',*,*,#48964,.F.); #68093=ORIENTED_EDGE('',*,*,#49515,.F.); #68094=ORIENTED_EDGE('',*,*,#49518,.F.); #68095=ORIENTED_EDGE('',*,*,#49522,.F.); #68096=ORIENTED_EDGE('',*,*,#49527,.F.); #68097=ORIENTED_EDGE('',*,*,#49537,.F.); #68098=ORIENTED_EDGE('',*,*,#48958,.F.); #68099=ORIENTED_EDGE('',*,*,#48961,.F.); #68100=ORIENTED_EDGE('',*,*,#48956,.F.); #68101=ORIENTED_EDGE('',*,*,#49537,.T.); #68102=ORIENTED_EDGE('',*,*,#49529,.F.); #68103=ORIENTED_EDGE('',*,*,#49538,.T.); #68104=ORIENTED_EDGE('',*,*,#48954,.F.); #68105=ORIENTED_EDGE('',*,*,#49538,.F.); #68106=ORIENTED_EDGE('',*,*,#49510,.F.); #68107=ORIENTED_EDGE('',*,*,#49539,.F.); #68108=ORIENTED_EDGE('',*,*,#48952,.F.); #68109=ORIENTED_EDGE('',*,*,#49539,.T.); #68110=ORIENTED_EDGE('',*,*,#49530,.F.); #68111=ORIENTED_EDGE('',*,*,#49540,.T.); #68112=ORIENTED_EDGE('',*,*,#48950,.F.); #68113=ORIENTED_EDGE('',*,*,#49540,.F.); #68114=ORIENTED_EDGE('',*,*,#49508,.F.); #68115=ORIENTED_EDGE('',*,*,#49534,.F.); #68116=ORIENTED_EDGE('',*,*,#49535,.F.); #68117=ORIENTED_EDGE('',*,*,#49532,.F.); #68118=ORIENTED_EDGE('',*,*,#49541,.F.); #68119=ORIENTED_EDGE('',*,*,#49542,.T.); #68120=ORIENTED_EDGE('',*,*,#49500,.F.); #68121=ORIENTED_EDGE('',*,*,#49377,.T.); #68122=ORIENTED_EDGE('',*,*,#49543,.T.); #68123=ORIENTED_EDGE('',*,*,#49544,.T.); #68124=ORIENTED_EDGE('',*,*,#49373,.T.); #68125=ORIENTED_EDGE('',*,*,#49545,.T.); #68126=ORIENTED_EDGE('',*,*,#49546,.T.); #68127=ORIENTED_EDGE('',*,*,#49547,.T.); #68128=ORIENTED_EDGE('',*,*,#49548,.T.); #68129=ORIENTED_EDGE('',*,*,#49549,.T.); #68130=ORIENTED_EDGE('',*,*,#49550,.T.); #68131=ORIENTED_EDGE('',*,*,#49551,.T.); #68132=ORIENTED_EDGE('',*,*,#49552,.T.); #68133=ORIENTED_EDGE('',*,*,#49360,.F.); #68134=ORIENTED_EDGE('',*,*,#49553,.T.); #68135=ORIENTED_EDGE('',*,*,#49554,.T.); #68136=ORIENTED_EDGE('',*,*,#49555,.T.); #68137=ORIENTED_EDGE('',*,*,#49556,.T.); #68138=ORIENTED_EDGE('',*,*,#49557,.T.); #68139=ORIENTED_EDGE('',*,*,#49554,.F.); #68140=ORIENTED_EDGE('',*,*,#49558,.T.); #68141=ORIENTED_EDGE('',*,*,#49556,.F.); #68142=ORIENTED_EDGE('',*,*,#49551,.F.); #68143=ORIENTED_EDGE('',*,*,#49559,.T.); #68144=ORIENTED_EDGE('',*,*,#49549,.F.); #68145=ORIENTED_EDGE('',*,*,#49547,.F.); #68146=ORIENTED_EDGE('',*,*,#49560,.T.); #68147=ORIENTED_EDGE('',*,*,#49561,.T.); #68148=ORIENTED_EDGE('',*,*,#49367,.T.); #68149=ORIENTED_EDGE('',*,*,#49562,.T.); #68150=ORIENTED_EDGE('',*,*,#49563,.T.); #68151=ORIENTED_EDGE('',*,*,#49564,.T.); #68152=ORIENTED_EDGE('',*,*,#49565,.T.); #68153=ORIENTED_EDGE('',*,*,#49562,.F.); #68154=ORIENTED_EDGE('',*,*,#49369,.T.); #68155=ORIENTED_EDGE('',*,*,#49561,.F.); #68156=ORIENTED_EDGE('',*,*,#49545,.F.); #68157=ORIENTED_EDGE('',*,*,#49364,.T.); #68158=ORIENTED_EDGE('',*,*,#49566,.T.); #68159=ORIENTED_EDGE('',*,*,#49567,.T.); #68160=ORIENTED_EDGE('',*,*,#49568,.T.); #68161=ORIENTED_EDGE('',*,*,#49374,.T.); #68162=ORIENTED_EDGE('',*,*,#49568,.F.); #68163=ORIENTED_EDGE('',*,*,#49564,.F.); #68164=ORIENTED_EDGE('',*,*,#49371,.T.); #68165=ORIENTED_EDGE('',*,*,#49566,.F.); #68166=ORIENTED_EDGE('',*,*,#49544,.F.); #68167=ORIENTED_EDGE('',*,*,#49569,.T.); #68168=ORIENTED_EDGE('',*,*,#49565,.F.); #68169=ORIENTED_EDGE('',*,*,#49567,.F.); #68170=ORIENTED_EDGE('',*,*,#49570,.F.); #68171=ORIENTED_EDGE('',*,*,#49571,.F.); #68172=ORIENTED_EDGE('',*,*,#49572,.T.); #68173=ORIENTED_EDGE('',*,*,#49569,.F.); #68174=ORIENTED_EDGE('',*,*,#49573,.T.); #68175=ORIENTED_EDGE('',*,*,#49574,.T.); #68176=ORIENTED_EDGE('',*,*,#49570,.T.); #68177=ORIENTED_EDGE('',*,*,#49543,.F.); #68178=ORIENTED_EDGE('',*,*,#49575,.F.); #68179=ORIENTED_EDGE('',*,*,#49576,.T.); #68180=ORIENTED_EDGE('',*,*,#49573,.F.); #68181=ORIENTED_EDGE('',*,*,#49376,.F.); #68182=ORIENTED_EDGE('',*,*,#49379,.F.); #68183=ORIENTED_EDGE('',*,*,#48167,.T.); #68184=ORIENTED_EDGE('',*,*,#49577,.T.); #68185=ORIENTED_EDGE('',*,*,#49575,.T.); #68186=ORIENTED_EDGE('',*,*,#49328,.T.); #68187=ORIENTED_EDGE('',*,*,#49578,.T.); #68188=ORIENTED_EDGE('',*,*,#49579,.T.); #68189=ORIENTED_EDGE('',*,*,#49323,.T.); #68190=ORIENTED_EDGE('',*,*,#49580,.T.); #68191=ORIENTED_EDGE('',*,*,#49581,.T.); #68192=ORIENTED_EDGE('',*,*,#49582,.T.); #68193=ORIENTED_EDGE('',*,*,#49314,.T.); #68194=ORIENTED_EDGE('',*,*,#49583,.T.); #68195=ORIENTED_EDGE('',*,*,#49584,.T.); #68196=ORIENTED_EDGE('',*,*,#49310,.F.); #68197=ORIENTED_EDGE('',*,*,#49315,.T.); #68198=ORIENTED_EDGE('',*,*,#49274,.F.); #68199=ORIENTED_EDGE('',*,*,#49312,.F.); #68200=ORIENTED_EDGE('',*,*,#49585,.T.); #68201=ORIENTED_EDGE('',*,*,#49586,.T.); #68202=ORIENTED_EDGE('',*,*,#49271,.F.); #68203=ORIENTED_EDGE('',*,*,#49586,.F.); #68204=ORIENTED_EDGE('',*,*,#48172,.T.); #68205=ORIENTED_EDGE('',*,*,#49583,.F.); #68206=ORIENTED_EDGE('',*,*,#49582,.F.); #68207=ORIENTED_EDGE('',*,*,#49587,.T.); #68208=ORIENTED_EDGE('',*,*,#49588,.T.); #68209=ORIENTED_EDGE('',*,*,#49319,.T.); #68210=ORIENTED_EDGE('',*,*,#49589,.T.); #68211=ORIENTED_EDGE('',*,*,#49590,.T.); #68212=ORIENTED_EDGE('',*,*,#49588,.F.); #68213=ORIENTED_EDGE('',*,*,#49580,.F.); #68214=ORIENTED_EDGE('',*,*,#49317,.T.); #68215=ORIENTED_EDGE('',*,*,#49591,.T.); #68216=ORIENTED_EDGE('',*,*,#49592,.T.); #68217=ORIENTED_EDGE('',*,*,#49593,.T.); #68218=ORIENTED_EDGE('',*,*,#49325,.T.); #68219=ORIENTED_EDGE('',*,*,#49594,.T.); #68220=ORIENTED_EDGE('',*,*,#49595,.T.); #68221=ORIENTED_EDGE('',*,*,#49591,.F.); #68222=ORIENTED_EDGE('',*,*,#49324,.T.); #68223=ORIENTED_EDGE('',*,*,#49593,.F.); #68224=ORIENTED_EDGE('',*,*,#49589,.F.); #68225=ORIENTED_EDGE('',*,*,#49321,.T.); #68226=ORIENTED_EDGE('',*,*,#49594,.F.); #68227=ORIENTED_EDGE('',*,*,#49579,.F.); #68228=ORIENTED_EDGE('',*,*,#49596,.T.); #68229=ORIENTED_EDGE('',*,*,#49581,.F.); #68230=ORIENTED_EDGE('',*,*,#49590,.F.); #68231=ORIENTED_EDGE('',*,*,#49592,.F.); #68232=ORIENTED_EDGE('',*,*,#49597,.T.); #68233=ORIENTED_EDGE('',*,*,#49598,.F.); #68234=ORIENTED_EDGE('',*,*,#49595,.F.); #68235=ORIENTED_EDGE('',*,*,#49599,.F.); #68236=ORIENTED_EDGE('',*,*,#49597,.F.); #68237=ORIENTED_EDGE('',*,*,#49596,.F.); #68238=ORIENTED_EDGE('',*,*,#49600,.T.); #68239=ORIENTED_EDGE('',*,*,#49601,.T.); #68240=ORIENTED_EDGE('',*,*,#49599,.T.); #68241=ORIENTED_EDGE('',*,*,#49602,.T.); #68242=ORIENTED_EDGE('',*,*,#49012,.F.); #68243=ORIENTED_EDGE('',*,*,#49018,.T.); #68244=ORIENTED_EDGE('',*,*,#49603,.T.); #68245=ORIENTED_EDGE('',*,*,#49604,.F.); #68246=ORIENTED_EDGE('',*,*,#49017,.T.); #68247=ORIENTED_EDGE('',*,*,#49605,.T.); #68248=ORIENTED_EDGE('',*,*,#49606,.T.); #68249=ORIENTED_EDGE('',*,*,#49603,.F.); #68250=ORIENTED_EDGE('',*,*,#49607,.T.); #68251=ORIENTED_EDGE('',*,*,#49608,.T.); #68252=ORIENTED_EDGE('',*,*,#49605,.F.); #68253=ORIENTED_EDGE('',*,*,#49016,.T.); #68254=ORIENTED_EDGE('',*,*,#49609,.T.); #68255=ORIENTED_EDGE('',*,*,#49022,.T.); #68256=ORIENTED_EDGE('',*,*,#49610,.T.); #68257=ORIENTED_EDGE('',*,*,#49611,.T.); #68258=ORIENTED_EDGE('',*,*,#49609,.F.); #68259=ORIENTED_EDGE('',*,*,#49607,.F.); #68260=ORIENTED_EDGE('',*,*,#49020,.T.); #68261=ORIENTED_EDGE('',*,*,#49612,.T.); #68262=ORIENTED_EDGE('',*,*,#49613,.T.); #68263=ORIENTED_EDGE('',*,*,#49614,.T.); #68264=ORIENTED_EDGE('',*,*,#49028,.T.); #68265=ORIENTED_EDGE('',*,*,#49615,.T.); #68266=ORIENTED_EDGE('',*,*,#49612,.F.); #68267=ORIENTED_EDGE('',*,*,#49027,.T.); #68268=ORIENTED_EDGE('',*,*,#49616,.T.); #68269=ORIENTED_EDGE('',*,*,#49026,.T.); #68270=ORIENTED_EDGE('',*,*,#49031,.T.); #68271=ORIENTED_EDGE('',*,*,#49617,.T.); #68272=ORIENTED_EDGE('',*,*,#49618,.F.); #68273=ORIENTED_EDGE('',*,*,#49616,.F.); #68274=ORIENTED_EDGE('',*,*,#49614,.F.); #68275=ORIENTED_EDGE('',*,*,#49610,.F.); #68276=ORIENTED_EDGE('',*,*,#49024,.T.); #68277=ORIENTED_EDGE('',*,*,#48976,.F.); #68278=ORIENTED_EDGE('',*,*,#49014,.F.); #68279=ORIENTED_EDGE('',*,*,#49619,.T.); #68280=ORIENTED_EDGE('',*,*,#49620,.T.); #68281=ORIENTED_EDGE('',*,*,#48973,.F.); #68282=ORIENTED_EDGE('',*,*,#49620,.F.); #68283=ORIENTED_EDGE('',*,*,#48178,.T.); #68284=ORIENTED_EDGE('',*,*,#49608,.F.); #68285=ORIENTED_EDGE('',*,*,#49611,.F.); #68286=ORIENTED_EDGE('',*,*,#49613,.F.); #68287=ORIENTED_EDGE('',*,*,#49621,.T.); #68288=ORIENTED_EDGE('',*,*,#49606,.F.); #68289=ORIENTED_EDGE('',*,*,#49621,.F.); #68290=ORIENTED_EDGE('',*,*,#49615,.F.); #68291=ORIENTED_EDGE('',*,*,#49618,.T.); #68292=ORIENTED_EDGE('',*,*,#49622,.T.); #68293=ORIENTED_EDGE('',*,*,#49604,.T.); #68294=ORIENTED_EDGE('',*,*,#49619,.F.); #68295=ORIENTED_EDGE('',*,*,#49013,.F.); #68296=ORIENTED_EDGE('',*,*,#49623,.T.); #68297=ORIENTED_EDGE('',*,*,#49030,.F.); #68298=ORIENTED_EDGE('',*,*,#49033,.F.); #68299=ORIENTED_EDGE('',*,*,#48179,.T.); #68300=ORIENTED_EDGE('',*,*,#49602,.F.); #68301=ORIENTED_EDGE('',*,*,#49622,.F.); #68302=ORIENTED_EDGE('',*,*,#49617,.F.); #68303=ORIENTED_EDGE('',*,*,#49623,.F.); #68304=ORIENTED_EDGE('',*,*,#49079,.T.); #68305=ORIENTED_EDGE('',*,*,#49624,.T.); #68306=ORIENTED_EDGE('',*,*,#49625,.T.); #68307=ORIENTED_EDGE('',*,*,#49626,.T.); #68308=ORIENTED_EDGE('',*,*,#49627,.T.); #68309=ORIENTED_EDGE('',*,*,#49628,.T.); #68310=ORIENTED_EDGE('',*,*,#49624,.F.); #68311=ORIENTED_EDGE('',*,*,#49078,.T.); #68312=ORIENTED_EDGE('',*,*,#49629,.T.); #68313=ORIENTED_EDGE('',*,*,#49074,.F.); #68314=ORIENTED_EDGE('',*,*,#49080,.T.); #68315=ORIENTED_EDGE('',*,*,#49626,.F.); #68316=ORIENTED_EDGE('',*,*,#49630,.F.); #68317=ORIENTED_EDGE('',*,*,#49631,.T.); #68318=ORIENTED_EDGE('',*,*,#49084,.T.); #68319=ORIENTED_EDGE('',*,*,#49632,.T.); #68320=ORIENTED_EDGE('',*,*,#49633,.T.); #68321=ORIENTED_EDGE('',*,*,#49631,.F.); #68322=ORIENTED_EDGE('',*,*,#49627,.F.); #68323=ORIENTED_EDGE('',*,*,#49082,.T.); #68324=ORIENTED_EDGE('',*,*,#49634,.T.); #68325=ORIENTED_EDGE('',*,*,#49635,.T.); #68326=ORIENTED_EDGE('',*,*,#49636,.T.); #68327=ORIENTED_EDGE('',*,*,#49090,.T.); #68328=ORIENTED_EDGE('',*,*,#49637,.T.); #68329=ORIENTED_EDGE('',*,*,#49634,.F.); #68330=ORIENTED_EDGE('',*,*,#49089,.T.); #68331=ORIENTED_EDGE('',*,*,#49638,.T.); #68332=ORIENTED_EDGE('',*,*,#49088,.T.); #68333=ORIENTED_EDGE('',*,*,#49093,.T.); #68334=ORIENTED_EDGE('',*,*,#49639,.T.); #68335=ORIENTED_EDGE('',*,*,#49640,.F.); #68336=ORIENTED_EDGE('',*,*,#49638,.F.); #68337=ORIENTED_EDGE('',*,*,#49636,.F.); #68338=ORIENTED_EDGE('',*,*,#49632,.F.); #68339=ORIENTED_EDGE('',*,*,#49086,.T.); #68340=ORIENTED_EDGE('',*,*,#49038,.F.); #68341=ORIENTED_EDGE('',*,*,#49076,.F.); #68342=ORIENTED_EDGE('',*,*,#49641,.T.); #68343=ORIENTED_EDGE('',*,*,#49642,.T.); #68344=ORIENTED_EDGE('',*,*,#49035,.F.); #68345=ORIENTED_EDGE('',*,*,#49642,.F.); #68346=ORIENTED_EDGE('',*,*,#48184,.T.); #68347=ORIENTED_EDGE('',*,*,#49628,.F.); #68348=ORIENTED_EDGE('',*,*,#49633,.F.); #68349=ORIENTED_EDGE('',*,*,#49635,.F.); #68350=ORIENTED_EDGE('',*,*,#49643,.T.); #68351=ORIENTED_EDGE('',*,*,#49625,.F.); #68352=ORIENTED_EDGE('',*,*,#49643,.F.); #68353=ORIENTED_EDGE('',*,*,#49637,.F.); #68354=ORIENTED_EDGE('',*,*,#49640,.T.); #68355=ORIENTED_EDGE('',*,*,#49644,.T.); #68356=ORIENTED_EDGE('',*,*,#49630,.T.); #68357=ORIENTED_EDGE('',*,*,#49641,.F.); #68358=ORIENTED_EDGE('',*,*,#49075,.F.); #68359=ORIENTED_EDGE('',*,*,#49645,.T.); #68360=ORIENTED_EDGE('',*,*,#49092,.F.); #68361=ORIENTED_EDGE('',*,*,#49095,.F.); #68362=ORIENTED_EDGE('',*,*,#48185,.T.); #68363=ORIENTED_EDGE('',*,*,#49629,.F.); #68364=ORIENTED_EDGE('',*,*,#49644,.F.); #68365=ORIENTED_EDGE('',*,*,#49639,.F.); #68366=ORIENTED_EDGE('',*,*,#49645,.F.); #68367=ORIENTED_EDGE('',*,*,#49646,.T.); #68368=ORIENTED_EDGE('',*,*,#49263,.T.); #68369=ORIENTED_EDGE('',*,*,#49267,.T.); #68370=ORIENTED_EDGE('',*,*,#49647,.T.); #68371=ORIENTED_EDGE('',*,*,#49648,.T.); #68372=ORIENTED_EDGE('',*,*,#49254,.T.); #68373=ORIENTED_EDGE('',*,*,#49649,.T.); #68374=ORIENTED_EDGE('',*,*,#49650,.T.); #68375=ORIENTED_EDGE('',*,*,#49651,.T.); #68376=ORIENTED_EDGE('',*,*,#49652,.T.); #68377=ORIENTED_EDGE('',*,*,#49649,.F.); #68378=ORIENTED_EDGE('',*,*,#49253,.T.); #68379=ORIENTED_EDGE('',*,*,#49249,.F.); #68380=ORIENTED_EDGE('',*,*,#49255,.T.); #68381=ORIENTED_EDGE('',*,*,#49653,.T.); #68382=ORIENTED_EDGE('',*,*,#49654,.T.); #68383=ORIENTED_EDGE('',*,*,#49218,.F.); #68384=ORIENTED_EDGE('',*,*,#49251,.F.); #68385=ORIENTED_EDGE('',*,*,#49655,.T.); #68386=ORIENTED_EDGE('',*,*,#49656,.T.); #68387=ORIENTED_EDGE('',*,*,#49215,.F.); #68388=ORIENTED_EDGE('',*,*,#49656,.F.); #68389=ORIENTED_EDGE('',*,*,#48190,.T.); #68390=ORIENTED_EDGE('',*,*,#49653,.F.); #68391=ORIENTED_EDGE('',*,*,#49648,.F.); #68392=ORIENTED_EDGE('',*,*,#49657,.T.); #68393=ORIENTED_EDGE('',*,*,#49658,.T.); #68394=ORIENTED_EDGE('',*,*,#49259,.T.); #68395=ORIENTED_EDGE('',*,*,#49659,.T.); #68396=ORIENTED_EDGE('',*,*,#49660,.T.); #68397=ORIENTED_EDGE('',*,*,#49658,.F.); #68398=ORIENTED_EDGE('',*,*,#49651,.F.); #68399=ORIENTED_EDGE('',*,*,#49257,.T.); #68400=ORIENTED_EDGE('',*,*,#49661,.T.); #68401=ORIENTED_EDGE('',*,*,#49662,.T.); #68402=ORIENTED_EDGE('',*,*,#49663,.T.); #68403=ORIENTED_EDGE('',*,*,#49264,.T.); #68404=ORIENTED_EDGE('',*,*,#49663,.F.); #68405=ORIENTED_EDGE('',*,*,#49659,.F.); #68406=ORIENTED_EDGE('',*,*,#49261,.T.); #68407=ORIENTED_EDGE('',*,*,#49661,.F.); #68408=ORIENTED_EDGE('',*,*,#49646,.F.); #68409=ORIENTED_EDGE('',*,*,#49664,.T.); #68410=ORIENTED_EDGE('',*,*,#49652,.F.); #68411=ORIENTED_EDGE('',*,*,#49660,.F.); #68412=ORIENTED_EDGE('',*,*,#49662,.F.); #68413=ORIENTED_EDGE('',*,*,#49665,.F.); #68414=ORIENTED_EDGE('',*,*,#49666,.T.); #68415=ORIENTED_EDGE('',*,*,#49664,.F.); #68416=ORIENTED_EDGE('',*,*,#49667,.T.); #68417=ORIENTED_EDGE('',*,*,#49668,.T.); #68418=ORIENTED_EDGE('',*,*,#49665,.T.); #68419=ORIENTED_EDGE('',*,*,#49669,.T.); #68420=ORIENTED_EDGE('',*,*,#49670,.T.); #68421=ORIENTED_EDGE('',*,*,#49671,.T.); #68422=ORIENTED_EDGE('',*,*,#49672,.T.); #68423=ORIENTED_EDGE('',*,*,#49199,.F.); #68424=ORIENTED_EDGE('',*,*,#49673,.T.); #68425=ORIENTED_EDGE('',*,*,#49669,.F.); #68426=ORIENTED_EDGE('',*,*,#49674,.T.); #68427=ORIENTED_EDGE('',*,*,#49675,.T.); #68428=ORIENTED_EDGE('',*,*,#49676,.T.); #68429=ORIENTED_EDGE('',*,*,#49677,.T.); #68430=ORIENTED_EDGE('',*,*,#49678,.T.); #68431=ORIENTED_EDGE('',*,*,#49679,.T.); #68432=ORIENTED_EDGE('',*,*,#49680,.T.); #68433=ORIENTED_EDGE('',*,*,#49681,.T.); #68434=ORIENTED_EDGE('',*,*,#49682,.T.); #68435=ORIENTED_EDGE('',*,*,#49212,.F.); #68436=ORIENTED_EDGE('',*,*,#49683,.T.); #68437=ORIENTED_EDGE('',*,*,#49684,.T.); #68438=ORIENTED_EDGE('',*,*,#49685,.T.); #68439=ORIENTED_EDGE('',*,*,#49684,.F.); #68440=ORIENTED_EDGE('',*,*,#49681,.F.); #68441=ORIENTED_EDGE('',*,*,#49686,.T.); #68442=ORIENTED_EDGE('',*,*,#49687,.T.); #68443=ORIENTED_EDGE('',*,*,#49688,.T.); #68444=ORIENTED_EDGE('',*,*,#49689,.T.); #68445=ORIENTED_EDGE('',*,*,#49690,.T.); #68446=ORIENTED_EDGE('',*,*,#49691,.T.); #68447=ORIENTED_EDGE('',*,*,#49692,.T.); #68448=ORIENTED_EDGE('',*,*,#49689,.F.); #68449=ORIENTED_EDGE('',*,*,#49693,.T.); #68450=ORIENTED_EDGE('',*,*,#49687,.F.); #68451=ORIENTED_EDGE('',*,*,#49679,.F.); #68452=ORIENTED_EDGE('',*,*,#49694,.T.); #68453=ORIENTED_EDGE('',*,*,#49695,.T.); #68454=ORIENTED_EDGE('',*,*,#49696,.T.); #68455=ORIENTED_EDGE('',*,*,#49697,.T.); #68456=ORIENTED_EDGE('',*,*,#49698,.T.); #68457=ORIENTED_EDGE('',*,*,#49697,.F.); #68458=ORIENTED_EDGE('',*,*,#49691,.F.); #68459=ORIENTED_EDGE('',*,*,#49699,.T.); #68460=ORIENTED_EDGE('',*,*,#49695,.F.); #68461=ORIENTED_EDGE('',*,*,#49675,.F.); #68462=ORIENTED_EDGE('',*,*,#49700,.T.); #68463=ORIENTED_EDGE('',*,*,#49677,.F.); #68464=ORIENTED_EDGE('',*,*,#49671,.F.); #68465=ORIENTED_EDGE('',*,*,#49701,.T.); #68466=ORIENTED_EDGE('',*,*,#49700,.F.); #68467=ORIENTED_EDGE('',*,*,#49702,.T.); #68468=ORIENTED_EDGE('',*,*,#49703,.T.); #68469=ORIENTED_EDGE('',*,*,#49704,.T.); #68470=ORIENTED_EDGE('',*,*,#49678,.F.); #68471=ORIENTED_EDGE('',*,*,#49705,.F.); #68472=ORIENTED_EDGE('',*,*,#49702,.F.); #68473=ORIENTED_EDGE('',*,*,#49701,.F.); #68474=ORIENTED_EDGE('',*,*,#49706,.T.); #68475=ORIENTED_EDGE('',*,*,#49707,.T.); #68476=ORIENTED_EDGE('',*,*,#49705,.T.); #68477=ORIENTED_EDGE('',*,*,#49670,.F.); #68478=ORIENTED_EDGE('',*,*,#49708,.F.); #68479=ORIENTED_EDGE('',*,*,#49709,.T.); #68480=ORIENTED_EDGE('',*,*,#49710,.F.); #68481=ORIENTED_EDGE('',*,*,#49711,.F.); #68482=ORIENTED_EDGE('',*,*,#49706,.F.); #68483=ORIENTED_EDGE('',*,*,#49673,.F.); #68484=ORIENTED_EDGE('',*,*,#48940,.F.); #68485=ORIENTED_EDGE('',*,*,#48945,.F.); #68486=ORIENTED_EDGE('',*,*,#49712,.T.); #68487=ORIENTED_EDGE('',*,*,#49713,.F.); #68488=ORIENTED_EDGE('',*,*,#48198,.T.); #68489=ORIENTED_EDGE('',*,*,#49714,.T.); #68490=ORIENTED_EDGE('',*,*,#49708,.T.); #68491=ORIENTED_EDGE('',*,*,#49198,.F.); #68492=ORIENTED_EDGE('',*,*,#49674,.F.); #68493=ORIENTED_EDGE('',*,*,#49672,.F.); #68494=ORIENTED_EDGE('',*,*,#49676,.F.); #68495=ORIENTED_EDGE('',*,*,#49698,.F.); #68496=ORIENTED_EDGE('',*,*,#49715,.F.); #68497=ORIENTED_EDGE('',*,*,#49194,.F.); #68498=ORIENTED_EDGE('',*,*,#49196,.F.); #68499=ORIENTED_EDGE('',*,*,#49192,.F.); #68500=ORIENTED_EDGE('',*,*,#49715,.T.); #68501=ORIENTED_EDGE('',*,*,#49699,.F.); #68502=ORIENTED_EDGE('',*,*,#49716,.T.); #68503=ORIENTED_EDGE('',*,*,#49190,.F.); #68504=ORIENTED_EDGE('',*,*,#49716,.F.); #68505=ORIENTED_EDGE('',*,*,#49693,.F.); #68506=ORIENTED_EDGE('',*,*,#49717,.F.); #68507=ORIENTED_EDGE('',*,*,#49188,.F.); #68508=ORIENTED_EDGE('',*,*,#49717,.T.); #68509=ORIENTED_EDGE('',*,*,#49688,.F.); #68510=ORIENTED_EDGE('',*,*,#49718,.F.); #68511=ORIENTED_EDGE('',*,*,#49719,.T.); #68512=ORIENTED_EDGE('',*,*,#49720,.T.); #68513=ORIENTED_EDGE('',*,*,#49721,.T.); #68514=ORIENTED_EDGE('',*,*,#49722,.T.); #68515=ORIENTED_EDGE('',*,*,#49723,.T.); #68516=ORIENTED_EDGE('',*,*,#49724,.T.); #68517=ORIENTED_EDGE('',*,*,#49725,.T.); #68518=ORIENTED_EDGE('',*,*,#49726,.T.); #68519=ORIENTED_EDGE('',*,*,#48937,.F.); #68520=ORIENTED_EDGE('',*,*,#49727,.T.); #68521=ORIENTED_EDGE('',*,*,#49728,.T.); #68522=ORIENTED_EDGE('',*,*,#49729,.T.); #68523=ORIENTED_EDGE('',*,*,#49730,.T.); #68524=ORIENTED_EDGE('',*,*,#49731,.T.); #68525=ORIENTED_EDGE('',*,*,#49728,.F.); #68526=ORIENTED_EDGE('',*,*,#49732,.T.); #68527=ORIENTED_EDGE('',*,*,#49733,.T.); #68528=ORIENTED_EDGE('',*,*,#49734,.T.); #68529=ORIENTED_EDGE('',*,*,#49735,.T.); #68530=ORIENTED_EDGE('',*,*,#49736,.T.); #68531=ORIENTED_EDGE('',*,*,#49733,.F.); #68532=ORIENTED_EDGE('',*,*,#49730,.F.); #68533=ORIENTED_EDGE('',*,*,#49737,.T.); #68534=ORIENTED_EDGE('',*,*,#49735,.F.); #68535=ORIENTED_EDGE('',*,*,#49725,.F.); #68536=ORIENTED_EDGE('',*,*,#49738,.T.); #68537=ORIENTED_EDGE('',*,*,#49723,.F.); #68538=ORIENTED_EDGE('',*,*,#49719,.F.); #68539=ORIENTED_EDGE('',*,*,#49739,.T.); #68540=ORIENTED_EDGE('',*,*,#49740,.T.); #68541=ORIENTED_EDGE('',*,*,#49741,.T.); #68542=ORIENTED_EDGE('',*,*,#49742,.T.); #68543=ORIENTED_EDGE('',*,*,#49743,.T.); #68544=ORIENTED_EDGE('',*,*,#49742,.F.); #68545=ORIENTED_EDGE('',*,*,#49721,.F.); #68546=ORIENTED_EDGE('',*,*,#49744,.T.); #68547=ORIENTED_EDGE('',*,*,#49745,.T.); #68548=ORIENTED_EDGE('',*,*,#49746,.T.); #68549=ORIENTED_EDGE('',*,*,#48944,.T.); #68550=ORIENTED_EDGE('',*,*,#49747,.T.); #68551=ORIENTED_EDGE('',*,*,#49745,.F.); #68552=ORIENTED_EDGE('',*,*,#49740,.F.); #68553=ORIENTED_EDGE('',*,*,#49748,.T.); #68554=ORIENTED_EDGE('',*,*,#48935,.F.); #68555=ORIENTED_EDGE('',*,*,#49729,.F.); #68556=ORIENTED_EDGE('',*,*,#49731,.F.); #68557=ORIENTED_EDGE('',*,*,#49736,.F.); #68558=ORIENTED_EDGE('',*,*,#49749,.F.); #68559=ORIENTED_EDGE('',*,*,#48933,.F.); #68560=ORIENTED_EDGE('',*,*,#49749,.T.); #68561=ORIENTED_EDGE('',*,*,#49738,.F.); #68562=ORIENTED_EDGE('',*,*,#49750,.T.); #68563=ORIENTED_EDGE('',*,*,#48931,.F.); #68564=ORIENTED_EDGE('',*,*,#49750,.F.); #68565=ORIENTED_EDGE('',*,*,#49724,.F.); #68566=ORIENTED_EDGE('',*,*,#49751,.F.); #68567=ORIENTED_EDGE('',*,*,#48929,.F.); #68568=ORIENTED_EDGE('',*,*,#49751,.T.); #68569=ORIENTED_EDGE('',*,*,#49739,.F.); #68570=ORIENTED_EDGE('',*,*,#49752,.T.); #68571=ORIENTED_EDGE('',*,*,#48927,.F.); #68572=ORIENTED_EDGE('',*,*,#49752,.F.); #68573=ORIENTED_EDGE('',*,*,#49722,.F.); #68574=ORIENTED_EDGE('',*,*,#49741,.F.); #68575=ORIENTED_EDGE('',*,*,#49747,.F.); #68576=ORIENTED_EDGE('',*,*,#48943,.F.); #68577=ORIENTED_EDGE('',*,*,#48921,.F.); #68578=ORIENTED_EDGE('',*,*,#48925,.F.); #68579=ORIENTED_EDGE('',*,*,#49746,.F.); #68580=ORIENTED_EDGE('',*,*,#49753,.F.); #68581=ORIENTED_EDGE('',*,*,#49754,.F.); #68582=ORIENTED_EDGE('',*,*,#49755,.F.); #68583=ORIENTED_EDGE('',*,*,#49712,.F.); #68584=ORIENTED_EDGE('',*,*,#49756,.T.); #68585=ORIENTED_EDGE('',*,*,#49757,.T.); #68586=ORIENTED_EDGE('',*,*,#49758,.T.); #68587=ORIENTED_EDGE('',*,*,#48525,.T.); #68588=ORIENTED_EDGE('',*,*,#49759,.T.); #68589=ORIENTED_EDGE('',*,*,#49760,.T.); #68590=ORIENTED_EDGE('',*,*,#49756,.F.); #68591=ORIENTED_EDGE('',*,*,#48524,.T.); #68592=ORIENTED_EDGE('',*,*,#49761,.T.); #68593=ORIENTED_EDGE('',*,*,#49762,.T.); #68594=ORIENTED_EDGE('',*,*,#49759,.F.); #68595=ORIENTED_EDGE('',*,*,#48523,.T.); #68596=ORIENTED_EDGE('',*,*,#49154,.F.); #68597=ORIENTED_EDGE('',*,*,#48758,.T.); #68598=ORIENTED_EDGE('',*,*,#49761,.F.); #68599=ORIENTED_EDGE('',*,*,#48522,.T.); #68600=ORIENTED_EDGE('',*,*,#49763,.T.); #68601=ORIENTED_EDGE('',*,*,#49764,.T.); #68602=ORIENTED_EDGE('',*,*,#49765,.T.); #68603=ORIENTED_EDGE('',*,*,#49766,.T.); #68604=ORIENTED_EDGE('',*,*,#49159,.F.); #68605=ORIENTED_EDGE('',*,*,#49767,.T.); #68606=ORIENTED_EDGE('',*,*,#49768,.T.); #68607=ORIENTED_EDGE('',*,*,#49769,.T.); #68608=ORIENTED_EDGE('',*,*,#49768,.F.); #68609=ORIENTED_EDGE('',*,*,#49770,.T.); #68610=ORIENTED_EDGE('',*,*,#49771,.T.); #68611=ORIENTED_EDGE('',*,*,#49772,.T.); #68612=ORIENTED_EDGE('',*,*,#49771,.F.); #68613=ORIENTED_EDGE('',*,*,#49765,.F.); #68614=ORIENTED_EDGE('',*,*,#49773,.T.); #68615=ORIENTED_EDGE('',*,*,#49774,.T.); #68616=ORIENTED_EDGE('',*,*,#49775,.T.); #68617=ORIENTED_EDGE('',*,*,#49776,.T.); #68618=ORIENTED_EDGE('',*,*,#49777,.T.); #68619=ORIENTED_EDGE('',*,*,#49774,.F.); #68620=ORIENTED_EDGE('',*,*,#49763,.F.); #68621=ORIENTED_EDGE('',*,*,#49778,.T.); #68622=ORIENTED_EDGE('',*,*,#49779,.T.); #68623=ORIENTED_EDGE('',*,*,#49780,.T.); #68624=ORIENTED_EDGE('',*,*,#49781,.T.); #68625=ORIENTED_EDGE('',*,*,#48526,.T.); #68626=ORIENTED_EDGE('',*,*,#49781,.F.); #68627=ORIENTED_EDGE('',*,*,#49776,.F.); #68628=ORIENTED_EDGE('',*,*,#49782,.T.); #68629=ORIENTED_EDGE('',*,*,#49779,.F.); #68630=ORIENTED_EDGE('',*,*,#49758,.F.); #68631=ORIENTED_EDGE('',*,*,#49783,.T.); #68632=ORIENTED_EDGE('',*,*,#49760,.F.); #68633=ORIENTED_EDGE('',*,*,#49784,.F.); #68634=ORIENTED_EDGE('',*,*,#49785,.F.); #68635=ORIENTED_EDGE('',*,*,#49762,.F.); #68636=ORIENTED_EDGE('',*,*,#48757,.T.); #68637=ORIENTED_EDGE('',*,*,#49786,.T.); #68638=ORIENTED_EDGE('',*,*,#49784,.T.); #68639=ORIENTED_EDGE('',*,*,#49757,.F.); #68640=ORIENTED_EDGE('',*,*,#49785,.T.); #68641=ORIENTED_EDGE('',*,*,#49787,.F.); #68642=ORIENTED_EDGE('',*,*,#49788,.F.); #68643=ORIENTED_EDGE('',*,*,#49772,.F.); #68644=ORIENTED_EDGE('',*,*,#49789,.F.); #68645=ORIENTED_EDGE('',*,*,#49167,.F.); #68646=ORIENTED_EDGE('',*,*,#48527,.T.); #68647=ORIENTED_EDGE('',*,*,#49782,.F.); #68648=ORIENTED_EDGE('',*,*,#49790,.T.); #68649=ORIENTED_EDGE('',*,*,#49165,.F.); #68650=ORIENTED_EDGE('',*,*,#49790,.F.); #68651=ORIENTED_EDGE('',*,*,#49775,.F.); #68652=ORIENTED_EDGE('',*,*,#49791,.F.); #68653=ORIENTED_EDGE('',*,*,#49792,.T.); #68654=ORIENTED_EDGE('',*,*,#48904,.T.); #68655=ORIENTED_EDGE('',*,*,#49793,.T.); #68656=ORIENTED_EDGE('',*,*,#49145,.T.); #68657=ORIENTED_EDGE('',*,*,#49794,.T.); #68658=ORIENTED_EDGE('',*,*,#49143,.T.); #68659=ORIENTED_EDGE('',*,*,#49793,.F.); #68660=ORIENTED_EDGE('',*,*,#48907,.T.); #68661=ORIENTED_EDGE('',*,*,#49795,.T.); #68662=ORIENTED_EDGE('',*,*,#48905,.T.); #68663=ORIENTED_EDGE('',*,*,#49796,.T.); #68664=ORIENTED_EDGE('',*,*,#48896,.T.); #68665=ORIENTED_EDGE('',*,*,#49795,.F.); #68666=ORIENTED_EDGE('',*,*,#48899,.F.); #68667=ORIENTED_EDGE('',*,*,#48902,.T.); #68668=ORIENTED_EDGE('',*,*,#49796,.F.); #68669=ORIENTED_EDGE('',*,*,#49792,.F.); #68670=ORIENTED_EDGE('',*,*,#49146,.T.); #68671=ORIENTED_EDGE('',*,*,#49794,.F.); #68672=ORIENTED_EDGE('',*,*,#48909,.F.); #68673=ORIENTED_EDGE('',*,*,#49141,.T.); #68674=ORIENTED_EDGE('',*,*,#49797,.T.); #68675=ORIENTED_EDGE('',*,*,#49798,.T.); #68676=ORIENTED_EDGE('',*,*,#49799,.T.); #68677=ORIENTED_EDGE('',*,*,#49800,.T.); #68678=ORIENTED_EDGE('',*,*,#49131,.F.); #68679=ORIENTED_EDGE('',*,*,#49801,.T.); #68680=ORIENTED_EDGE('',*,*,#49802,.T.); #68681=ORIENTED_EDGE('',*,*,#49803,.T.); #68682=ORIENTED_EDGE('',*,*,#49804,.T.); #68683=ORIENTED_EDGE('',*,*,#49805,.T.); #68684=ORIENTED_EDGE('',*,*,#49802,.F.); #68685=ORIENTED_EDGE('',*,*,#49806,.T.); #68686=ORIENTED_EDGE('',*,*,#49807,.T.); #68687=ORIENTED_EDGE('',*,*,#49808,.T.); #68688=ORIENTED_EDGE('',*,*,#49809,.T.); #68689=ORIENTED_EDGE('',*,*,#49810,.T.); #68690=ORIENTED_EDGE('',*,*,#49807,.F.); #68691=ORIENTED_EDGE('',*,*,#49804,.F.); #68692=ORIENTED_EDGE('',*,*,#49811,.T.); #68693=ORIENTED_EDGE('',*,*,#49809,.F.); #68694=ORIENTED_EDGE('',*,*,#49799,.F.); #68695=ORIENTED_EDGE('',*,*,#49812,.T.); #68696=ORIENTED_EDGE('',*,*,#49797,.F.); #68697=ORIENTED_EDGE('',*,*,#49813,.T.); #68698=ORIENTED_EDGE('',*,*,#49814,.T.); #68699=ORIENTED_EDGE('',*,*,#49815,.T.); #68700=ORIENTED_EDGE('',*,*,#49109,.T.); #68701=ORIENTED_EDGE('',*,*,#49813,.F.); #68702=ORIENTED_EDGE('',*,*,#49816,.T.); #68703=ORIENTED_EDGE('',*,*,#49104,.T.); #68704=ORIENTED_EDGE('',*,*,#49110,.T.); #68705=ORIENTED_EDGE('',*,*,#49817,.T.); #68706=ORIENTED_EDGE('',*,*,#49818,.T.); #68707=ORIENTED_EDGE('',*,*,#49817,.F.); #68708=ORIENTED_EDGE('',*,*,#49815,.F.); #68709=ORIENTED_EDGE('',*,*,#49819,.T.); #68710=ORIENTED_EDGE('',*,*,#49127,.F.); #68711=ORIENTED_EDGE('',*,*,#49820,.T.); #68712=ORIENTED_EDGE('',*,*,#49812,.F.); #68713=ORIENTED_EDGE('',*,*,#49821,.T.); #68714=ORIENTED_EDGE('',*,*,#49125,.F.); #68715=ORIENTED_EDGE('',*,*,#49821,.F.); #68716=ORIENTED_EDGE('',*,*,#49798,.F.); #68717=ORIENTED_EDGE('',*,*,#49822,.F.); #68718=ORIENTED_EDGE('',*,*,#49123,.F.); #68719=ORIENTED_EDGE('',*,*,#49822,.T.); #68720=ORIENTED_EDGE('',*,*,#49814,.F.); #68721=ORIENTED_EDGE('',*,*,#49108,.T.); #68722=ORIENTED_EDGE('',*,*,#49818,.F.); #68723=ORIENTED_EDGE('',*,*,#49823,.F.); #68724=ORIENTED_EDGE('',*,*,#49824,.T.); #68725=ORIENTED_EDGE('',*,*,#48763,.F.); #68726=ORIENTED_EDGE('',*,*,#49825,.T.); #68727=ORIENTED_EDGE('',*,*,#49826,.T.); #68728=ORIENTED_EDGE('',*,*,#49827,.T.); #68729=ORIENTED_EDGE('',*,*,#49828,.T.); #68730=ORIENTED_EDGE('',*,*,#49829,.T.); #68731=ORIENTED_EDGE('',*,*,#49830,.T.); #68732=ORIENTED_EDGE('',*,*,#49831,.T.); #68733=ORIENTED_EDGE('',*,*,#49832,.T.); #68734=ORIENTED_EDGE('',*,*,#49443,.F.); #68735=ORIENTED_EDGE('',*,*,#49833,.T.); #68736=ORIENTED_EDGE('',*,*,#49834,.T.); #68737=ORIENTED_EDGE('',*,*,#49835,.T.); #68738=ORIENTED_EDGE('',*,*,#49834,.F.); #68739=ORIENTED_EDGE('',*,*,#49836,.T.); #68740=ORIENTED_EDGE('',*,*,#49837,.T.); #68741=ORIENTED_EDGE('',*,*,#49838,.T.); #68742=ORIENTED_EDGE('',*,*,#49839,.T.); #68743=ORIENTED_EDGE('',*,*,#49840,.T.); #68744=ORIENTED_EDGE('',*,*,#49841,.T.); #68745=ORIENTED_EDGE('',*,*,#49842,.T.); #68746=ORIENTED_EDGE('',*,*,#49839,.F.); #68747=ORIENTED_EDGE('',*,*,#49837,.F.); #68748=ORIENTED_EDGE('',*,*,#49843,.T.); #68749=ORIENTED_EDGE('',*,*,#49841,.F.); #68750=ORIENTED_EDGE('',*,*,#49831,.F.); #68751=ORIENTED_EDGE('',*,*,#49844,.T.); #68752=ORIENTED_EDGE('',*,*,#49829,.F.); #68753=ORIENTED_EDGE('',*,*,#49825,.F.); #68754=ORIENTED_EDGE('',*,*,#49845,.T.); #68755=ORIENTED_EDGE('',*,*,#49846,.T.); #68756=ORIENTED_EDGE('',*,*,#49847,.T.); #68757=ORIENTED_EDGE('',*,*,#49848,.T.); #68758=ORIENTED_EDGE('',*,*,#49849,.T.); #68759=ORIENTED_EDGE('',*,*,#49431,.F.); #68760=ORIENTED_EDGE('',*,*,#49850,.T.); #68761=ORIENTED_EDGE('',*,*,#49846,.F.); #68762=ORIENTED_EDGE('',*,*,#49851,.T.); #68763=ORIENTED_EDGE('',*,*,#49848,.F.); #68764=ORIENTED_EDGE('',*,*,#49827,.F.); #68765=ORIENTED_EDGE('',*,*,#49852,.T.); #68766=ORIENTED_EDGE('',*,*,#49439,.F.); #68767=ORIENTED_EDGE('',*,*,#49853,.T.); #68768=ORIENTED_EDGE('',*,*,#49844,.F.); #68769=ORIENTED_EDGE('',*,*,#49854,.T.); #68770=ORIENTED_EDGE('',*,*,#49437,.F.); #68771=ORIENTED_EDGE('',*,*,#49854,.F.); #68772=ORIENTED_EDGE('',*,*,#49830,.F.); #68773=ORIENTED_EDGE('',*,*,#49855,.F.); #68774=ORIENTED_EDGE('',*,*,#49435,.F.); #68775=ORIENTED_EDGE('',*,*,#49855,.T.); #68776=ORIENTED_EDGE('',*,*,#49845,.F.); #68777=ORIENTED_EDGE('',*,*,#49856,.T.); #68778=ORIENTED_EDGE('',*,*,#49433,.F.); #68779=ORIENTED_EDGE('',*,*,#49856,.F.); #68780=ORIENTED_EDGE('',*,*,#49828,.F.); #68781=ORIENTED_EDGE('',*,*,#49847,.F.); #68782=ORIENTED_EDGE('',*,*,#49850,.F.); #68783=ORIENTED_EDGE('',*,*,#44066,.F.); #68784=ORIENTED_EDGE('',*,*,#49429,.F.); #68785=ORIENTED_EDGE('',*,*,#49851,.F.); #68786=ORIENTED_EDGE('',*,*,#49857,.T.); #68787=ORIENTED_EDGE('',*,*,#49858,.T.); #68788=ORIENTED_EDGE('',*,*,#49859,.T.); #68789=ORIENTED_EDGE('',*,*,#49860,.T.); #68790=ORIENTED_EDGE('',*,*,#49861,.T.); #68791=ORIENTED_EDGE('',*,*,#49801,.F.); #68792=ORIENTED_EDGE('',*,*,#49130,.F.); #68793=ORIENTED_EDGE('',*,*,#49849,.F.); #68794=ORIENTED_EDGE('',*,*,#49862,.F.); #68795=ORIENTED_EDGE('',*,*,#49863,.T.); #68796=ORIENTED_EDGE('',*,*,#49857,.F.); #68797=ORIENTED_EDGE('',*,*,#49864,.T.); #68798=ORIENTED_EDGE('',*,*,#49865,.T.); #68799=ORIENTED_EDGE('',*,*,#49866,.T.); #68800=ORIENTED_EDGE('',*,*,#49867,.T.); #68801=ORIENTED_EDGE('',*,*,#49868,.T.); #68802=ORIENTED_EDGE('',*,*,#49869,.T.); #68803=ORIENTED_EDGE('',*,*,#49870,.T.); #68804=ORIENTED_EDGE('',*,*,#49871,.T.); #68805=ORIENTED_EDGE('',*,*,#48724,.F.); #68806=ORIENTED_EDGE('',*,*,#48729,.T.); #68807=ORIENTED_EDGE('',*,*,#49872,.T.); #68808=ORIENTED_EDGE('',*,*,#48461,.T.); #68809=ORIENTED_EDGE('',*,*,#49872,.F.); #68810=ORIENTED_EDGE('',*,*,#49873,.T.); #68811=ORIENTED_EDGE('',*,*,#49874,.T.); #68812=ORIENTED_EDGE('',*,*,#48462,.T.); #68813=ORIENTED_EDGE('',*,*,#49875,.T.); #68814=ORIENTED_EDGE('',*,*,#49874,.F.); #68815=ORIENTED_EDGE('',*,*,#49876,.T.); #68816=ORIENTED_EDGE('',*,*,#49875,.F.); #68817=ORIENTED_EDGE('',*,*,#49877,.T.); #68818=ORIENTED_EDGE('',*,*,#49878,.T.); #68819=ORIENTED_EDGE('',*,*,#48463,.T.); #68820=ORIENTED_EDGE('',*,*,#49878,.F.); #68821=ORIENTED_EDGE('',*,*,#49870,.F.); #68822=ORIENTED_EDGE('',*,*,#49879,.T.); #68823=ORIENTED_EDGE('',*,*,#49868,.F.); #68824=ORIENTED_EDGE('',*,*,#49864,.F.); #68825=ORIENTED_EDGE('',*,*,#49880,.T.); #68826=ORIENTED_EDGE('',*,*,#49881,.T.); #68827=ORIENTED_EDGE('',*,*,#49882,.T.); #68828=ORIENTED_EDGE('',*,*,#49883,.T.); #68829=ORIENTED_EDGE('',*,*,#49884,.T.); #68830=ORIENTED_EDGE('',*,*,#49446,.F.); #68831=ORIENTED_EDGE('',*,*,#49885,.T.); #68832=ORIENTED_EDGE('',*,*,#49883,.F.); #68833=ORIENTED_EDGE('',*,*,#49886,.T.); #68834=ORIENTED_EDGE('',*,*,#49881,.F.); #68835=ORIENTED_EDGE('',*,*,#49866,.F.); #68836=ORIENTED_EDGE('',*,*,#49887,.T.); #68837=ORIENTED_EDGE('',*,*,#49454,.F.); #68838=ORIENTED_EDGE('',*,*,#48464,.T.); #68839=ORIENTED_EDGE('',*,*,#49879,.F.); #68840=ORIENTED_EDGE('',*,*,#49888,.T.); #68841=ORIENTED_EDGE('',*,*,#49452,.F.); #68842=ORIENTED_EDGE('',*,*,#49888,.F.); #68843=ORIENTED_EDGE('',*,*,#49869,.F.); #68844=ORIENTED_EDGE('',*,*,#49889,.F.); #68845=ORIENTED_EDGE('',*,*,#49450,.F.); #68846=ORIENTED_EDGE('',*,*,#49889,.T.); #68847=ORIENTED_EDGE('',*,*,#49880,.F.); #68848=ORIENTED_EDGE('',*,*,#49890,.T.); #68849=ORIENTED_EDGE('',*,*,#49448,.F.); #68850=ORIENTED_EDGE('',*,*,#49890,.F.); #68851=ORIENTED_EDGE('',*,*,#49867,.F.); #68852=ORIENTED_EDGE('',*,*,#49884,.F.); #68853=ORIENTED_EDGE('',*,*,#49885,.F.); #68854=ORIENTED_EDGE('',*,*,#49442,.F.); #68855=ORIENTED_EDGE('',*,*,#44058,.F.); #68856=ORIENTED_EDGE('',*,*,#49444,.F.); #68857=ORIENTED_EDGE('',*,*,#49886,.F.); #68858=ORIENTED_EDGE('',*,*,#49891,.T.); #68859=ORIENTED_EDGE('',*,*,#49892,.T.); #68860=ORIENTED_EDGE('',*,*,#49893,.T.); #68861=ORIENTED_EDGE('',*,*,#49858,.F.); #68862=ORIENTED_EDGE('',*,*,#49894,.T.); #68863=ORIENTED_EDGE('',*,*,#49833,.F.); #68864=ORIENTED_EDGE('',*,*,#49882,.F.); #68865=ORIENTED_EDGE('',*,*,#49895,.F.); #68866=ORIENTED_EDGE('',*,*,#49896,.T.); #68867=ORIENTED_EDGE('',*,*,#49897,.F.); #68868=ORIENTED_EDGE('',*,*,#49891,.F.); #68869=ORIENTED_EDGE('',*,*,#48777,.F.); #68870=ORIENTED_EDGE('',*,*,#49898,.T.); #68871=ORIENTED_EDGE('',*,*,#49390,.F.); #68872=ORIENTED_EDGE('',*,*,#49393,.F.); #68873=ORIENTED_EDGE('',*,*,#48784,.T.); #68874=ORIENTED_EDGE('',*,*,#49116,.F.); #68875=ORIENTED_EDGE('',*,*,#49899,.F.); #68876=ORIENTED_EDGE('',*,*,#49387,.F.); #68877=ORIENTED_EDGE('',*,*,#49898,.F.); #68878=ORIENTED_EDGE('',*,*,#49525,.F.); #68879=ORIENTED_EDGE('',*,*,#49900,.F.); #68880=ORIENTED_EDGE('',*,*,#49901,.F.); #68881=ORIENTED_EDGE('',*,*,#49506,.F.); #68882=ORIENTED_EDGE('',*,*,#49512,.F.); #68883=ORIENTED_EDGE('',*,*,#49563,.F.); #68884=ORIENTED_EDGE('',*,*,#49572,.F.); #68885=ORIENTED_EDGE('',*,*,#49902,.F.); #68886=ORIENTED_EDGE('',*,*,#49903,.F.); #68887=ORIENTED_EDGE('',*,*,#49546,.F.); #68888=ORIENTED_EDGE('',*,*,#49186,.F.); #68889=ORIENTED_EDGE('',*,*,#49718,.T.); #68890=ORIENTED_EDGE('',*,*,#49694,.F.); #68891=ORIENTED_EDGE('',*,*,#49904,.T.); #68892=ORIENTED_EDGE('',*,*,#49690,.F.); #68893=ORIENTED_EDGE('',*,*,#49905,.F.); #68894=ORIENTED_EDGE('',*,*,#49906,.F.); #68895=ORIENTED_EDGE('',*,*,#49907,.F.); #68896=ORIENTED_EDGE('',*,*,#49680,.F.); #68897=ORIENTED_EDGE('',*,*,#49163,.F.); #68898=ORIENTED_EDGE('',*,*,#49791,.T.); #68899=ORIENTED_EDGE('',*,*,#49778,.F.); #68900=ORIENTED_EDGE('',*,*,#49908,.T.); #68901=ORIENTED_EDGE('',*,*,#49780,.F.); #68902=ORIENTED_EDGE('',*,*,#49909,.F.); #68903=ORIENTED_EDGE('',*,*,#49764,.F.); #68904=ORIENTED_EDGE('',*,*,#49777,.F.); #68905=ORIENTED_EDGE('',*,*,#49808,.F.); #68906=ORIENTED_EDGE('',*,*,#49910,.F.); #68907=ORIENTED_EDGE('',*,*,#49911,.F.); #68908=ORIENTED_EDGE('',*,*,#49816,.F.); #68909=ORIENTED_EDGE('',*,*,#49800,.F.); #68910=ORIENTED_EDGE('',*,*,#49129,.F.); #68911=ORIENTED_EDGE('',*,*,#49803,.F.); #68912=ORIENTED_EDGE('',*,*,#49805,.F.); #68913=ORIENTED_EDGE('',*,*,#49810,.F.); #68914=ORIENTED_EDGE('',*,*,#49820,.F.); #68915=ORIENTED_EDGE('',*,*,#49840,.F.); #68916=ORIENTED_EDGE('',*,*,#49912,.F.); #68917=ORIENTED_EDGE('',*,*,#49913,.F.); #68918=ORIENTED_EDGE('',*,*,#49826,.F.); #68919=ORIENTED_EDGE('',*,*,#49832,.F.); #68920=ORIENTED_EDGE('',*,*,#49441,.F.); #68921=ORIENTED_EDGE('',*,*,#49835,.F.); #68922=ORIENTED_EDGE('',*,*,#49838,.F.); #68923=ORIENTED_EDGE('',*,*,#49842,.F.); #68924=ORIENTED_EDGE('',*,*,#49853,.F.); #68925=ORIENTED_EDGE('',*,*,#49877,.F.); #68926=ORIENTED_EDGE('',*,*,#49914,.F.); #68927=ORIENTED_EDGE('',*,*,#49915,.F.); #68928=ORIENTED_EDGE('',*,*,#49865,.F.); #68929=ORIENTED_EDGE('',*,*,#49871,.F.); #68930=ORIENTED_EDGE('',*,*,#49213,.F.); #68931=ORIENTED_EDGE('',*,*,#49916,.T.); #68932=ORIENTED_EDGE('',*,*,#49714,.F.); #68933=ORIENTED_EDGE('',*,*,#48197,.T.); #68934=ORIENTED_EDGE('',*,*,#49210,.F.); #68935=ORIENTED_EDGE('',*,*,#49060,.F.); #68936=ORIENTED_EDGE('',*,*,#49917,.T.); #68937=ORIENTED_EDGE('',*,*,#49223,.F.); #68938=ORIENTED_EDGE('',*,*,#49242,.F.); #68939=ORIENTED_EDGE('',*,*,#49219,.F.); #68940=ORIENTED_EDGE('',*,*,#49216,.F.); #68941=ORIENTED_EDGE('',*,*,#48189,.T.); #68942=ORIENTED_EDGE('',*,*,#48188,.T.); #68943=ORIENTED_EDGE('',*,*,#48187,.T.); #68944=ORIENTED_EDGE('',*,*,#49069,.F.); #68945=ORIENTED_EDGE('',*,*,#49066,.F.); #68946=ORIENTED_EDGE('',*,*,#49072,.F.); #68947=ORIENTED_EDGE('',*,*,#49250,.F.); #68948=ORIENTED_EDGE('',*,*,#49918,.T.); #68949=ORIENTED_EDGE('',*,*,#49266,.F.); #68950=ORIENTED_EDGE('',*,*,#49269,.F.); #68951=ORIENTED_EDGE('',*,*,#48191,.T.); #68952=ORIENTED_EDGE('',*,*,#49655,.F.); #68953=ORIENTED_EDGE('',*,*,#49311,.F.); #68954=ORIENTED_EDGE('',*,*,#49919,.T.); #68955=ORIENTED_EDGE('',*,*,#49327,.F.); #68956=ORIENTED_EDGE('',*,*,#49330,.F.); #68957=ORIENTED_EDGE('',*,*,#48173,.T.); #68958=ORIENTED_EDGE('',*,*,#49585,.F.); #68959=ORIENTED_EDGE('',*,*,#48974,.F.); #68960=ORIENTED_EDGE('',*,*,#48177,.T.); #68961=ORIENTED_EDGE('',*,*,#48176,.T.); #68962=ORIENTED_EDGE('',*,*,#48175,.T.); #68963=ORIENTED_EDGE('',*,*,#49305,.F.); #68964=ORIENTED_EDGE('',*,*,#49302,.F.); #68965=ORIENTED_EDGE('',*,*,#49308,.F.); #68966=ORIENTED_EDGE('',*,*,#49296,.F.); #68967=ORIENTED_EDGE('',*,*,#49920,.T.); #68968=ORIENTED_EDGE('',*,*,#48981,.F.); #68969=ORIENTED_EDGE('',*,*,#49002,.F.); #68970=ORIENTED_EDGE('',*,*,#48977,.F.); #68971=ORIENTED_EDGE('',*,*,#48998,.F.); #68972=ORIENTED_EDGE('',*,*,#49921,.T.); #68973=ORIENTED_EDGE('',*,*,#49043,.F.); #68974=ORIENTED_EDGE('',*,*,#49064,.F.); #68975=ORIENTED_EDGE('',*,*,#49039,.F.); #68976=ORIENTED_EDGE('',*,*,#49036,.F.); #68977=ORIENTED_EDGE('',*,*,#48183,.T.); #68978=ORIENTED_EDGE('',*,*,#48182,.T.); #68979=ORIENTED_EDGE('',*,*,#48181,.T.); #68980=ORIENTED_EDGE('',*,*,#49007,.F.); #68981=ORIENTED_EDGE('',*,*,#49004,.F.); #68982=ORIENTED_EDGE('',*,*,#49010,.F.); #68983=ORIENTED_EDGE('',*,*,#49280,.F.); #68984=ORIENTED_EDGE('',*,*,#49380,.F.); #68985=ORIENTED_EDGE('',*,*,#49342,.F.); #68986=ORIENTED_EDGE('',*,*,#44023,.T.); #68987=ORIENTED_EDGE('',*,*,#48982,.F.); #68988=ORIENTED_EDGE('',*,*,#49920,.F.); #68989=ORIENTED_EDGE('',*,*,#49293,.F.); #68990=ORIENTED_EDGE('',*,*,#44017,.T.); #68991=ORIENTED_EDGE('',*,*,#48995,.F.); #68992=ORIENTED_EDGE('',*,*,#44011,.T.); #68993=ORIENTED_EDGE('',*,*,#49044,.F.); #68994=ORIENTED_EDGE('',*,*,#49921,.F.); #68995=ORIENTED_EDGE('',*,*,#49057,.F.); #68996=ORIENTED_EDGE('',*,*,#44005,.T.); #68997=ORIENTED_EDGE('',*,*,#49224,.F.); #68998=ORIENTED_EDGE('',*,*,#49917,.F.); #68999=ORIENTED_EDGE('',*,*,#48793,.F.); #69000=ORIENTED_EDGE('',*,*,#48693,.T.); #69001=ORIENTED_EDGE('',*,*,#49384,.F.); #69002=ORIENTED_EDGE('',*,*,#49899,.T.); #69003=ORIENTED_EDGE('',*,*,#48795,.F.); #69004=ORIENTED_EDGE('',*,*,#49100,.F.); #69005=ORIENTED_EDGE('',*,*,#48769,.T.); #69006=ORIENTED_EDGE('',*,*,#49111,.F.); #69007=ORIENTED_EDGE('',*,*,#48624,.F.); #69008=ORIENTED_EDGE('',*,*,#49922,.T.); #69009=ORIENTED_EDGE('',*,*,#48502,.F.); #69010=ORIENTED_EDGE('',*,*,#49098,.F.); #69011=ORIENTED_EDGE('',*,*,#49922,.F.); #69012=ORIENTED_EDGE('',*,*,#48623,.F.); #69013=ORIENTED_EDGE('',*,*,#48628,.F.); #69014=ORIENTED_EDGE('',*,*,#48644,.F.); #69015=ORIENTED_EDGE('',*,*,#49923,.T.); #69016=ORIENTED_EDGE('',*,*,#48600,.F.); #69017=ORIENTED_EDGE('',*,*,#48617,.F.); #69018=ORIENTED_EDGE('',*,*,#48595,.F.); #69019=ORIENTED_EDGE('',*,*,#48598,.F.); #69020=ORIENTED_EDGE('',*,*,#48555,.F.); #69021=ORIENTED_EDGE('',*,*,#48577,.F.); #69022=ORIENTED_EDGE('',*,*,#48550,.F.); #69023=ORIENTED_EDGE('',*,*,#48552,.F.); #69024=ORIENTED_EDGE('',*,*,#49924,.F.); #69025=ORIENTED_EDGE('',*,*,#49925,.F.); #69026=ORIENTED_EDGE('',*,*,#49926,.F.); #69027=ORIENTED_EDGE('',*,*,#49927,.F.); #69028=ORIENTED_EDGE('',*,*,#49928,.F.); #69029=ORIENTED_EDGE('',*,*,#49929,.F.); #69030=ORIENTED_EDGE('',*,*,#49930,.F.); #69031=ORIENTED_EDGE('',*,*,#49931,.F.); #69032=ORIENTED_EDGE('',*,*,#49932,.F.); #69033=ORIENTED_EDGE('',*,*,#49933,.F.); #69034=ORIENTED_EDGE('',*,*,#49934,.F.); #69035=ORIENTED_EDGE('',*,*,#49935,.F.); #69036=ORIENTED_EDGE('',*,*,#49936,.F.); #69037=ORIENTED_EDGE('',*,*,#49929,.T.); #69038=ORIENTED_EDGE('',*,*,#49937,.T.); #69039=ORIENTED_EDGE('',*,*,#49938,.T.); #69040=ORIENTED_EDGE('',*,*,#48499,.F.); #69041=ORIENTED_EDGE('',*,*,#49923,.F.); #69042=ORIENTED_EDGE('',*,*,#48647,.F.); #69043=ORIENTED_EDGE('',*,*,#48638,.F.); #69044=ORIENTED_EDGE('',*,*,#48532,.T.); #69045=ORIENTED_EDGE('',*,*,#49939,.F.); #69046=ORIENTED_EDGE('',*,*,#49940,.F.); #69047=ORIENTED_EDGE('',*,*,#49941,.T.); #69048=ORIENTED_EDGE('',*,*,#49942,.F.); #69049=ORIENTED_EDGE('',*,*,#49943,.F.); #69050=ORIENTED_EDGE('',*,*,#49944,.F.); #69051=ORIENTED_EDGE('',*,*,#49945,.F.); #69052=ORIENTED_EDGE('',*,*,#49939,.T.); #69053=ORIENTED_EDGE('',*,*,#49946,.T.); #69054=ORIENTED_EDGE('',*,*,#49947,.T.); #69055=ORIENTED_EDGE('',*,*,#49948,.T.); #69056=ORIENTED_EDGE('',*,*,#49949,.F.); #69057=ORIENTED_EDGE('',*,*,#49947,.F.); #69058=ORIENTED_EDGE('',*,*,#49950,.F.); #69059=ORIENTED_EDGE('',*,*,#49951,.F.); #69060=ORIENTED_EDGE('',*,*,#49952,.F.); #69061=ORIENTED_EDGE('',*,*,#49953,.T.); #69062=ORIENTED_EDGE('',*,*,#49954,.F.); #69063=ORIENTED_EDGE('',*,*,#49955,.F.); #69064=ORIENTED_EDGE('',*,*,#49956,.F.); #69065=ORIENTED_EDGE('',*,*,#49957,.T.); #69066=ORIENTED_EDGE('',*,*,#49958,.F.); #69067=ORIENTED_EDGE('',*,*,#49959,.F.); #69068=ORIENTED_EDGE('',*,*,#49960,.F.); #69069=ORIENTED_EDGE('',*,*,#49954,.T.); #69070=ORIENTED_EDGE('',*,*,#49961,.F.); #69071=ORIENTED_EDGE('',*,*,#49941,.F.); #69072=ORIENTED_EDGE('',*,*,#49962,.F.); #69073=ORIENTED_EDGE('',*,*,#49962,.T.); #69074=ORIENTED_EDGE('',*,*,#49963,.T.); #69075=ORIENTED_EDGE('',*,*,#49964,.T.); #69076=ORIENTED_EDGE('',*,*,#49965,.F.); #69077=ORIENTED_EDGE('',*,*,#48680,.F.); #69078=ORIENTED_EDGE('',*,*,#48782,.T.); #69079=ORIENTED_EDGE('',*,*,#48673,.F.); #69080=ORIENTED_EDGE('',*,*,#49966,.T.); #69081=ORIENTED_EDGE('',*,*,#49967,.T.); #69082=ORIENTED_EDGE('',*,*,#49968,.F.); #69083=ORIENTED_EDGE('',*,*,#49969,.F.); #69084=ORIENTED_EDGE('',*,*,#49958,.T.); #69085=ORIENTED_EDGE('',*,*,#49957,.F.); #69086=ORIENTED_EDGE('',*,*,#49970,.F.); #69087=ORIENTED_EDGE('',*,*,#49971,.F.); #69088=ORIENTED_EDGE('',*,*,#49972,.T.); #69089=ORIENTED_EDGE('',*,*,#49973,.F.); #69090=ORIENTED_EDGE('',*,*,#49974,.T.); #69091=ORIENTED_EDGE('',*,*,#49975,.T.); #69092=ORIENTED_EDGE('',*,*,#49976,.T.); #69093=ORIENTED_EDGE('',*,*,#49977,.F.); #69094=ORIENTED_EDGE('',*,*,#49978,.F.); #69095=ORIENTED_EDGE('',*,*,#49972,.F.); #69096=ORIENTED_EDGE('',*,*,#49979,.F.); #69097=ORIENTED_EDGE('',*,*,#49980,.F.); #69098=ORIENTED_EDGE('',*,*,#49981,.F.); #69099=ORIENTED_EDGE('',*,*,#49982,.F.); #69100=ORIENTED_EDGE('',*,*,#49983,.F.); #69101=ORIENTED_EDGE('',*,*,#49984,.F.); #69102=ORIENTED_EDGE('',*,*,#49985,.T.); #69103=ORIENTED_EDGE('',*,*,#49986,.F.); #69104=ORIENTED_EDGE('',*,*,#49987,.F.); #69105=ORIENTED_EDGE('',*,*,#49988,.F.); #69106=ORIENTED_EDGE('',*,*,#49989,.F.); #69107=ORIENTED_EDGE('',*,*,#49990,.F.); #69108=ORIENTED_EDGE('',*,*,#49976,.F.); #69109=ORIENTED_EDGE('',*,*,#49991,.F.); #69110=ORIENTED_EDGE('',*,*,#49992,.T.); #69111=ORIENTED_EDGE('',*,*,#49993,.F.); #69112=ORIENTED_EDGE('',*,*,#49983,.T.); #69113=ORIENTED_EDGE('',*,*,#49994,.F.); #69114=ORIENTED_EDGE('',*,*,#49977,.T.); #69115=ORIENTED_EDGE('',*,*,#49990,.T.); #69116=ORIENTED_EDGE('',*,*,#49995,.F.); #69117=ORIENTED_EDGE('',*,*,#49654,.F.); #69118=ORIENTED_EDGE('',*,*,#49996,.F.); #69119=ORIENTED_EDGE('',*,*,#49667,.F.); #69120=ORIENTED_EDGE('',*,*,#49647,.F.); #69121=ORIENTED_EDGE('',*,*,#49918,.F.); #69122=ORIENTED_EDGE('',*,*,#49584,.F.); #69123=ORIENTED_EDGE('',*,*,#49997,.F.); #69124=ORIENTED_EDGE('',*,*,#49600,.F.); #69125=ORIENTED_EDGE('',*,*,#49578,.F.); #69126=ORIENTED_EDGE('',*,*,#49919,.F.); #69127=ORIENTED_EDGE('',*,*,#49516,.F.); #69128=ORIENTED_EDGE('',*,*,#49998,.F.); #69129=ORIENTED_EDGE('',*,*,#49999,.T.); #69130=ORIENTED_EDGE('',*,*,#50000,.F.); #69131=ORIENTED_EDGE('',*,*,#50001,.F.); #69132=ORIENTED_EDGE('',*,*,#49487,.F.); #69133=ORIENTED_EDGE('',*,*,#50002,.T.); #69134=ORIENTED_EDGE('',*,*,#50003,.F.); #69135=ORIENTED_EDGE('',*,*,#43967,.F.); #69136=ORIENTED_EDGE('',*,*,#43979,.T.); #69137=ORIENTED_EDGE('',*,*,#44100,.F.); #69138=ORIENTED_EDGE('',*,*,#44117,.F.); #69139=ORIENTED_EDGE('',*,*,#49184,.F.); #69140=ORIENTED_EDGE('',*,*,#49904,.F.); #69141=ORIENTED_EDGE('',*,*,#49682,.F.); #69142=ORIENTED_EDGE('',*,*,#49683,.F.); #69143=ORIENTED_EDGE('',*,*,#49211,.F.); #69144=ORIENTED_EDGE('',*,*,#49177,.F.); #69145=ORIENTED_EDGE('',*,*,#49182,.F.); #69146=ORIENTED_EDGE('',*,*,#49161,.F.); #69147=ORIENTED_EDGE('',*,*,#49908,.F.); #69148=ORIENTED_EDGE('',*,*,#49766,.F.); #69149=ORIENTED_EDGE('',*,*,#49770,.F.); #69150=ORIENTED_EDGE('',*,*,#49767,.F.); #69151=ORIENTED_EDGE('',*,*,#44099,.F.); #69152=ORIENTED_EDGE('',*,*,#50004,.T.); #69153=ORIENTED_EDGE('',*,*,#44154,.F.); #69154=ORIENTED_EDGE('',*,*,#50005,.F.); #69155=ORIENTED_EDGE('',*,*,#49696,.F.); #69156=ORIENTED_EDGE('',*,*,#49704,.F.); #69157=ORIENTED_EDGE('',*,*,#50006,.F.); #69158=ORIENTED_EDGE('',*,*,#49905,.T.); #69159=ORIENTED_EDGE('',*,*,#49692,.F.); #69160=ORIENTED_EDGE('',*,*,#44102,.F.); #69161=ORIENTED_EDGE('',*,*,#44110,.T.); #69162=ORIENTED_EDGE('',*,*,#44152,.F.); #69163=ORIENTED_EDGE('',*,*,#50004,.F.); #69164=ORIENTED_EDGE('',*,*,#44096,.F.); #69165=ORIENTED_EDGE('',*,*,#50005,.T.); #69166=ORIENTED_EDGE('',*,*,#44156,.F.); #69167=ORIENTED_EDGE('',*,*,#44161,.F.); #69168=ORIENTED_EDGE('',*,*,#49734,.F.); #69169=ORIENTED_EDGE('',*,*,#50007,.F.); #69170=ORIENTED_EDGE('',*,*,#50008,.F.); #69171=ORIENTED_EDGE('',*,*,#49720,.F.); #69172=ORIENTED_EDGE('',*,*,#49726,.F.); #69173=ORIENTED_EDGE('',*,*,#50009,.T.); #69174=ORIENTED_EDGE('',*,*,#50010,.F.); #69175=ORIENTED_EDGE('',*,*,#50011,.F.); #69176=ORIENTED_EDGE('',*,*,#50012,.F.); #69177=ORIENTED_EDGE('',*,*,#50013,.T.); #69178=ORIENTED_EDGE('',*,*,#50014,.F.); #69179=ORIENTED_EDGE('',*,*,#50015,.F.); #69180=ORIENTED_EDGE('',*,*,#50016,.F.); #69181=ORIENTED_EDGE('',*,*,#50017,.F.); #69182=ORIENTED_EDGE('',*,*,#50018,.F.); #69183=ORIENTED_EDGE('',*,*,#49951,.T.); #69184=ORIENTED_EDGE('',*,*,#50019,.F.); #69185=ORIENTED_EDGE('',*,*,#50020,.F.); #69186=ORIENTED_EDGE('',*,*,#50009,.F.); #69187=ORIENTED_EDGE('',*,*,#50021,.F.); #69188=ORIENTED_EDGE('',*,*,#49992,.F.); #69189=ORIENTED_EDGE('',*,*,#50022,.F.); #69190=ORIENTED_EDGE('',*,*,#50017,.T.); #69191=ORIENTED_EDGE('',*,*,#50023,.F.); #69192=ORIENTED_EDGE('',*,*,#50024,.F.); #69193=ORIENTED_EDGE('',*,*,#49964,.F.); #69194=ORIENTED_EDGE('',*,*,#50025,.F.); #69195=ORIENTED_EDGE('',*,*,#49948,.F.); #69196=ORIENTED_EDGE('',*,*,#49953,.F.); #69197=ORIENTED_EDGE('',*,*,#50026,.F.); #69198=ORIENTED_EDGE('',*,*,#50027,.T.); #69199=ORIENTED_EDGE('',*,*,#50028,.F.); #69200=ORIENTED_EDGE('',*,*,#50029,.F.); #69201=ORIENTED_EDGE('',*,*,#50027,.F.); #69202=ORIENTED_EDGE('',*,*,#50030,.F.); #69203=ORIENTED_EDGE('',*,*,#49981,.T.); #69204=ORIENTED_EDGE('',*,*,#50031,.F.); #69205=ORIENTED_EDGE('',*,*,#50032,.F.); #69206=ORIENTED_EDGE('',*,*,#44135,.F.); #69207=ORIENTED_EDGE('',*,*,#44164,.T.); #69208=ORIENTED_EDGE('',*,*,#44160,.F.); #69209=ORIENTED_EDGE('',*,*,#44143,.F.); #69210=ORIENTED_EDGE('',*,*,#43933,.F.); #69211=ORIENTED_EDGE('',*,*,#48316,.T.); #69212=ORIENTED_EDGE('',*,*,#48313,.F.); #69213=ORIENTED_EDGE('',*,*,#50033,.F.); #69214=ORIENTED_EDGE('',*,*,#43935,.F.); #69215=ORIENTED_EDGE('',*,*,#50033,.T.); #69216=ORIENTED_EDGE('',*,*,#48310,.F.); #69217=ORIENTED_EDGE('',*,*,#43943,.F.); #69218=ORIENTED_EDGE('',*,*,#43886,.F.); #69219=ORIENTED_EDGE('',*,*,#43897,.F.); #69220=ORIENTED_EDGE('',*,*,#48307,.F.); #69221=ORIENTED_EDGE('',*,*,#48317,.T.); #69222=ORIENTED_EDGE('',*,*,#48671,.F.); #69223=ORIENTED_EDGE('',*,*,#49966,.F.); #69224=ORIENTED_EDGE('',*,*,#48667,.F.); #69225=ORIENTED_EDGE('',*,*,#50034,.T.); #69226=ORIENTED_EDGE('',*,*,#50035,.T.); #69227=ORIENTED_EDGE('',*,*,#50036,.T.); #69228=ORIENTED_EDGE('',*,*,#50037,.T.); #69229=ORIENTED_EDGE('',*,*,#50037,.F.); #69230=ORIENTED_EDGE('',*,*,#50038,.T.); #69231=ORIENTED_EDGE('',*,*,#50039,.F.); #69232=ORIENTED_EDGE('',*,*,#50040,.F.); #69233=ORIENTED_EDGE('',*,*,#50036,.F.); #69234=ORIENTED_EDGE('',*,*,#50041,.F.); #69235=ORIENTED_EDGE('',*,*,#50042,.F.); #69236=ORIENTED_EDGE('',*,*,#50043,.F.); #69237=ORIENTED_EDGE('',*,*,#50044,.F.); #69238=ORIENTED_EDGE('',*,*,#50045,.F.); #69239=ORIENTED_EDGE('',*,*,#50046,.F.); #69240=ORIENTED_EDGE('',*,*,#50047,.F.); #69241=ORIENTED_EDGE('',*,*,#50048,.F.); #69242=ORIENTED_EDGE('',*,*,#50049,.F.); #69243=ORIENTED_EDGE('',*,*,#50050,.T.); #69244=ORIENTED_EDGE('',*,*,#50051,.F.); #69245=ORIENTED_EDGE('',*,*,#50052,.F.); #69246=ORIENTED_EDGE('',*,*,#50053,.F.); #69247=ORIENTED_EDGE('',*,*,#50054,.F.); #69248=ORIENTED_EDGE('',*,*,#50055,.F.); #69249=ORIENTED_EDGE('',*,*,#50056,.F.); #69250=ORIENTED_EDGE('',*,*,#50057,.F.); #69251=ORIENTED_EDGE('',*,*,#50058,.F.); #69252=ORIENTED_EDGE('',*,*,#50038,.F.); #69253=ORIENTED_EDGE('',*,*,#50059,.T.); #69254=ORIENTED_EDGE('',*,*,#50060,.F.); #69255=ORIENTED_EDGE('',*,*,#50061,.T.); #69256=ORIENTED_EDGE('',*,*,#50062,.F.); #69257=ORIENTED_EDGE('',*,*,#50063,.T.); #69258=ORIENTED_EDGE('',*,*,#50064,.F.); #69259=ORIENTED_EDGE('',*,*,#50065,.T.); #69260=ORIENTED_EDGE('',*,*,#50066,.F.); #69261=ORIENTED_EDGE('',*,*,#50067,.T.); #69262=ORIENTED_EDGE('',*,*,#50068,.T.); #69263=ORIENTED_EDGE('',*,*,#50054,.T.); #69264=ORIENTED_EDGE('',*,*,#50069,.T.); #69265=ORIENTED_EDGE('',*,*,#50068,.F.); #69266=ORIENTED_EDGE('',*,*,#50070,.F.); #69267=ORIENTED_EDGE('',*,*,#50071,.F.); #69268=ORIENTED_EDGE('',*,*,#50055,.T.); #69269=ORIENTED_EDGE('',*,*,#50072,.T.); #69270=ORIENTED_EDGE('',*,*,#50073,.T.); #69271=ORIENTED_EDGE('',*,*,#50074,.T.); #69272=ORIENTED_EDGE('',*,*,#50075,.T.); #69273=ORIENTED_EDGE('',*,*,#50075,.F.); #69274=ORIENTED_EDGE('',*,*,#50076,.T.); #69275=ORIENTED_EDGE('',*,*,#50077,.F.); #69276=ORIENTED_EDGE('',*,*,#50078,.T.); #69277=ORIENTED_EDGE('',*,*,#50074,.F.); #69278=ORIENTED_EDGE('',*,*,#50079,.F.); #69279=ORIENTED_EDGE('',*,*,#50080,.F.); #69280=ORIENTED_EDGE('',*,*,#50081,.F.); #69281=ORIENTED_EDGE('',*,*,#50082,.F.); #69282=ORIENTED_EDGE('',*,*,#50083,.F.); #69283=ORIENTED_EDGE('',*,*,#50084,.F.); #69284=ORIENTED_EDGE('',*,*,#50085,.F.); #69285=ORIENTED_EDGE('',*,*,#50086,.F.); #69286=ORIENTED_EDGE('',*,*,#50087,.F.); #69287=ORIENTED_EDGE('',*,*,#50088,.T.); #69288=ORIENTED_EDGE('',*,*,#50089,.F.); #69289=ORIENTED_EDGE('',*,*,#50090,.F.); #69290=ORIENTED_EDGE('',*,*,#50091,.F.); #69291=ORIENTED_EDGE('',*,*,#50092,.F.); #69292=ORIENTED_EDGE('',*,*,#50093,.F.); #69293=ORIENTED_EDGE('',*,*,#50094,.F.); #69294=ORIENTED_EDGE('',*,*,#50095,.F.); #69295=ORIENTED_EDGE('',*,*,#50096,.F.); #69296=ORIENTED_EDGE('',*,*,#50076,.F.); #69297=ORIENTED_EDGE('',*,*,#50097,.T.); #69298=ORIENTED_EDGE('',*,*,#50098,.T.); #69299=ORIENTED_EDGE('',*,*,#50092,.T.); #69300=ORIENTED_EDGE('',*,*,#50099,.T.); #69301=ORIENTED_EDGE('',*,*,#50098,.F.); #69302=ORIENTED_EDGE('',*,*,#50100,.T.); #69303=ORIENTED_EDGE('',*,*,#50101,.F.); #69304=ORIENTED_EDGE('',*,*,#50093,.T.); #69305=ORIENTED_EDGE('',*,*,#50102,.T.); #69306=ORIENTED_EDGE('',*,*,#50103,.T.); #69307=ORIENTED_EDGE('',*,*,#50104,.T.); #69308=ORIENTED_EDGE('',*,*,#50105,.T.); #69309=ORIENTED_EDGE('',*,*,#50106,.T.); #69310=ORIENTED_EDGE('',*,*,#50107,.T.); #69311=ORIENTED_EDGE('',*,*,#50104,.F.); #69312=ORIENTED_EDGE('',*,*,#50108,.T.); #69313=ORIENTED_EDGE('',*,*,#50109,.T.); #69314=ORIENTED_EDGE('',*,*,#50106,.F.); #69315=ORIENTED_EDGE('',*,*,#50110,.T.); #69316=ORIENTED_EDGE('',*,*,#50111,.T.); #69317=ORIENTED_EDGE('',*,*,#50112,.F.); #69318=ORIENTED_EDGE('',*,*,#50113,.T.); #69319=ORIENTED_EDGE('',*,*,#50114,.T.); #69320=ORIENTED_EDGE('',*,*,#50115,.T.); #69321=ORIENTED_EDGE('',*,*,#50116,.T.); #69322=ORIENTED_EDGE('',*,*,#50117,.T.); #69323=ORIENTED_EDGE('',*,*,#50088,.F.); #69324=ORIENTED_EDGE('',*,*,#50118,.T.); #69325=ORIENTED_EDGE('',*,*,#50111,.F.); #69326=ORIENTED_EDGE('',*,*,#50119,.T.); #69327=ORIENTED_EDGE('',*,*,#50116,.F.); #69328=ORIENTED_EDGE('',*,*,#50120,.T.); #69329=ORIENTED_EDGE('',*,*,#50121,.T.); #69330=ORIENTED_EDGE('',*,*,#50122,.F.); #69331=ORIENTED_EDGE('',*,*,#50113,.F.); #69332=ORIENTED_EDGE('',*,*,#50123,.F.); #69333=ORIENTED_EDGE('',*,*,#50124,.F.); #69334=ORIENTED_EDGE('',*,*,#50125,.F.); #69335=ORIENTED_EDGE('',*,*,#50126,.F.); #69336=ORIENTED_EDGE('',*,*,#50127,.F.); #69337=ORIENTED_EDGE('',*,*,#50128,.F.); #69338=ORIENTED_EDGE('',*,*,#50129,.T.); #69339=ORIENTED_EDGE('',*,*,#50105,.F.); #69340=ORIENTED_EDGE('',*,*,#50107,.F.); #69341=ORIENTED_EDGE('',*,*,#50130,.F.); #69342=ORIENTED_EDGE('',*,*,#50131,.F.); #69343=ORIENTED_EDGE('',*,*,#50132,.F.); #69344=ORIENTED_EDGE('',*,*,#50133,.F.); #69345=ORIENTED_EDGE('',*,*,#50134,.F.); #69346=ORIENTED_EDGE('',*,*,#50135,.F.); #69347=ORIENTED_EDGE('',*,*,#50136,.F.); #69348=ORIENTED_EDGE('',*,*,#50137,.F.); #69349=ORIENTED_EDGE('',*,*,#50138,.F.); #69350=ORIENTED_EDGE('',*,*,#50139,.F.); #69351=ORIENTED_EDGE('',*,*,#50140,.F.); #69352=ORIENTED_EDGE('',*,*,#50141,.F.); #69353=ORIENTED_EDGE('',*,*,#50142,.F.); #69354=ORIENTED_EDGE('',*,*,#50143,.F.); #69355=ORIENTED_EDGE('',*,*,#50144,.F.); #69356=ORIENTED_EDGE('',*,*,#50145,.F.); #69357=ORIENTED_EDGE('',*,*,#50146,.F.); #69358=ORIENTED_EDGE('',*,*,#50147,.F.); #69359=ORIENTED_EDGE('',*,*,#50121,.F.); #69360=ORIENTED_EDGE('',*,*,#50148,.T.); #69361=ORIENTED_EDGE('',*,*,#50149,.T.); #69362=ORIENTED_EDGE('',*,*,#50150,.T.); #69363=ORIENTED_EDGE('',*,*,#50151,.T.); #69364=ORIENTED_EDGE('',*,*,#50152,.T.); #69365=ORIENTED_EDGE('',*,*,#50153,.T.); #69366=ORIENTED_EDGE('',*,*,#50148,.F.); #69367=ORIENTED_EDGE('',*,*,#50154,.T.); #69368=ORIENTED_EDGE('',*,*,#50155,.T.); #69369=ORIENTED_EDGE('',*,*,#50156,.T.); #69370=ORIENTED_EDGE('',*,*,#50152,.F.); #69371=ORIENTED_EDGE('',*,*,#50157,.T.); #69372=ORIENTED_EDGE('',*,*,#50090,.T.); #69373=ORIENTED_EDGE('',*,*,#50158,.T.); #69374=ORIENTED_EDGE('',*,*,#50155,.F.); #69375=ORIENTED_EDGE('',*,*,#50159,.T.); #69376=ORIENTED_EDGE('',*,*,#50099,.F.); #69377=ORIENTED_EDGE('',*,*,#50091,.T.); #69378=ORIENTED_EDGE('',*,*,#50159,.F.); #69379=ORIENTED_EDGE('',*,*,#50157,.F.); #69380=ORIENTED_EDGE('',*,*,#50154,.F.); #69381=ORIENTED_EDGE('',*,*,#50151,.F.); #69382=ORIENTED_EDGE('',*,*,#50160,.T.); #69383=ORIENTED_EDGE('',*,*,#50044,.T.); #69384=ORIENTED_EDGE('',*,*,#50161,.T.); #69385=ORIENTED_EDGE('',*,*,#50162,.T.); #69386=ORIENTED_EDGE('',*,*,#50138,.T.); #69387=ORIENTED_EDGE('',*,*,#50163,.T.); #69388=ORIENTED_EDGE('',*,*,#50161,.F.); #69389=ORIENTED_EDGE('',*,*,#50043,.T.); #69390=ORIENTED_EDGE('',*,*,#50164,.F.); #69391=ORIENTED_EDGE('',*,*,#50165,.F.); #69392=ORIENTED_EDGE('',*,*,#50058,.T.); #69393=ORIENTED_EDGE('',*,*,#50166,.T.); #69394=ORIENTED_EDGE('',*,*,#50167,.T.); #69395=ORIENTED_EDGE('',*,*,#50039,.T.); #69396=ORIENTED_EDGE('',*,*,#50166,.F.); #69397=ORIENTED_EDGE('',*,*,#50057,.T.); #69398=ORIENTED_EDGE('',*,*,#50168,.F.); #69399=ORIENTED_EDGE('',*,*,#50169,.F.); #69400=ORIENTED_EDGE('',*,*,#50042,.T.); #69401=ORIENTED_EDGE('',*,*,#50170,.T.); #69402=ORIENTED_EDGE('',*,*,#50171,.T.); #69403=ORIENTED_EDGE('',*,*,#50164,.T.); #69404=ORIENTED_EDGE('',*,*,#50035,.F.); #69405=ORIENTED_EDGE('',*,*,#50172,.F.); #69406=ORIENTED_EDGE('',*,*,#50170,.F.); #69407=ORIENTED_EDGE('',*,*,#50041,.T.); #69408=ORIENTED_EDGE('',*,*,#50052,.T.); #69409=ORIENTED_EDGE('',*,*,#50173,.T.); #69410=ORIENTED_EDGE('',*,*,#50174,.T.); #69411=ORIENTED_EDGE('',*,*,#50175,.T.); #69412=ORIENTED_EDGE('',*,*,#50173,.F.); #69413=ORIENTED_EDGE('',*,*,#50051,.T.); #69414=ORIENTED_EDGE('',*,*,#50176,.F.); #69415=ORIENTED_EDGE('',*,*,#50177,.F.); #69416=ORIENTED_EDGE('',*,*,#50056,.T.); #69417=ORIENTED_EDGE('',*,*,#50071,.T.); #69418=ORIENTED_EDGE('',*,*,#50178,.T.); #69419=ORIENTED_EDGE('',*,*,#50168,.T.); #69420=ORIENTED_EDGE('',*,*,#50080,.T.); #69421=ORIENTED_EDGE('',*,*,#50179,.T.); #69422=ORIENTED_EDGE('',*,*,#50180,.T.); #69423=ORIENTED_EDGE('',*,*,#50181,.T.); #69424=ORIENTED_EDGE('',*,*,#50073,.F.); #69425=ORIENTED_EDGE('',*,*,#50182,.T.); #69426=ORIENTED_EDGE('',*,*,#50179,.F.); #69427=ORIENTED_EDGE('',*,*,#50079,.T.); #69428=ORIENTED_EDGE('',*,*,#50096,.T.); #69429=ORIENTED_EDGE('',*,*,#50183,.T.); #69430=ORIENTED_EDGE('',*,*,#50184,.T.); #69431=ORIENTED_EDGE('',*,*,#50077,.T.); #69432=ORIENTED_EDGE('',*,*,#50183,.F.); #69433=ORIENTED_EDGE('',*,*,#50095,.T.); #69434=ORIENTED_EDGE('',*,*,#50185,.F.); #69435=ORIENTED_EDGE('',*,*,#50186,.T.); #69436=ORIENTED_EDGE('',*,*,#50094,.T.); #69437=ORIENTED_EDGE('',*,*,#50101,.T.); #69438=ORIENTED_EDGE('',*,*,#50187,.T.); #69439=ORIENTED_EDGE('',*,*,#50185,.T.); #69440=ORIENTED_EDGE('',*,*,#50066,.T.); #69441=ORIENTED_EDGE('',*,*,#50188,.T.); #69442=ORIENTED_EDGE('',*,*,#50189,.T.); #69443=ORIENTED_EDGE('',*,*,#50190,.T.); #69444=ORIENTED_EDGE('',*,*,#50188,.F.); #69445=ORIENTED_EDGE('',*,*,#50065,.F.); #69446=ORIENTED_EDGE('',*,*,#50191,.F.); #69447=ORIENTED_EDGE('',*,*,#50192,.F.); #69448=ORIENTED_EDGE('',*,*,#50062,.T.); #69449=ORIENTED_EDGE('',*,*,#50193,.T.); #69450=ORIENTED_EDGE('',*,*,#50194,.T.); #69451=ORIENTED_EDGE('',*,*,#50195,.T.); #69452=ORIENTED_EDGE('',*,*,#50193,.F.); #69453=ORIENTED_EDGE('',*,*,#50061,.F.); #69454=ORIENTED_EDGE('',*,*,#50196,.F.); #69455=ORIENTED_EDGE('',*,*,#50197,.F.); #69456=ORIENTED_EDGE('',*,*,#50060,.T.); #69457=ORIENTED_EDGE('',*,*,#50198,.T.); #69458=ORIENTED_EDGE('',*,*,#50199,.T.); #69459=ORIENTED_EDGE('',*,*,#50196,.T.); #69460=ORIENTED_EDGE('',*,*,#50190,.F.); #69461=ORIENTED_EDGE('',*,*,#50200,.F.); #69462=ORIENTED_EDGE('',*,*,#50198,.F.); #69463=ORIENTED_EDGE('',*,*,#50059,.F.); #69464=ORIENTED_EDGE('',*,*,#50064,.T.); #69465=ORIENTED_EDGE('',*,*,#50201,.T.); #69466=ORIENTED_EDGE('',*,*,#50202,.T.); #69467=ORIENTED_EDGE('',*,*,#50191,.T.); #69468=ORIENTED_EDGE('',*,*,#50195,.F.); #69469=ORIENTED_EDGE('',*,*,#50203,.F.); #69470=ORIENTED_EDGE('',*,*,#50201,.F.); #69471=ORIENTED_EDGE('',*,*,#50063,.F.); #69472=ORIENTED_EDGE('',*,*,#50204,.F.); #69473=ORIENTED_EDGE('',*,*,#50140,.T.); #69474=ORIENTED_EDGE('',*,*,#50205,.T.); #69475=ORIENTED_EDGE('',*,*,#50176,.T.); #69476=ORIENTED_EDGE('',*,*,#50050,.F.); #69477=ORIENTED_EDGE('',*,*,#50206,.F.); #69478=ORIENTED_EDGE('',*,*,#50131,.T.); #69479=ORIENTED_EDGE('',*,*,#50207,.F.); #69480=ORIENTED_EDGE('',*,*,#50208,.T.); #69481=ORIENTED_EDGE('',*,*,#50209,.F.); #69482=ORIENTED_EDGE('',*,*,#50181,.F.); #69483=ORIENTED_EDGE('',*,*,#50210,.T.); #69484=ORIENTED_EDGE('',*,*,#50208,.F.); #69485=ORIENTED_EDGE('',*,*,#50211,.T.); #69486=ORIENTED_EDGE('',*,*,#50212,.T.); #69487=ORIENTED_EDGE('',*,*,#50213,.T.); #69488=ORIENTED_EDGE('',*,*,#50214,.F.); #69489=ORIENTED_EDGE('',*,*,#50081,.T.); #69490=ORIENTED_EDGE('',*,*,#50082,.T.); #69491=ORIENTED_EDGE('',*,*,#50214,.T.); #69492=ORIENTED_EDGE('',*,*,#50215,.T.); #69493=ORIENTED_EDGE('',*,*,#50126,.T.); #69494=ORIENTED_EDGE('',*,*,#50216,.T.); #69495=ORIENTED_EDGE('',*,*,#50108,.F.); #69496=ORIENTED_EDGE('',*,*,#50217,.T.); #69497=ORIENTED_EDGE('',*,*,#50153,.F.); #69498=ORIENTED_EDGE('',*,*,#50218,.T.); #69499=ORIENTED_EDGE('',*,*,#50110,.F.); #69500=ORIENTED_EDGE('',*,*,#50119,.F.); #69501=ORIENTED_EDGE('',*,*,#50218,.F.); #69502=ORIENTED_EDGE('',*,*,#50156,.F.); #69503=ORIENTED_EDGE('',*,*,#50219,.T.); #69504=ORIENTED_EDGE('',*,*,#50220,.T.); #69505=ORIENTED_EDGE('',*,*,#50221,.T.); #69506=ORIENTED_EDGE('',*,*,#50222,.T.); #69507=ORIENTED_EDGE('',*,*,#50221,.F.); #69508=ORIENTED_EDGE('',*,*,#50223,.F.); #69509=ORIENTED_EDGE('',*,*,#50224,.F.); #69510=ORIENTED_EDGE('',*,*,#50225,.F.); #69511=ORIENTED_EDGE('',*,*,#50226,.T.); #69512=ORIENTED_EDGE('',*,*,#50222,.F.); #69513=ORIENTED_EDGE('',*,*,#50226,.F.); #69514=ORIENTED_EDGE('',*,*,#50220,.F.); #69515=ORIENTED_EDGE('',*,*,#43855,.T.); #69516=ORIENTED_EDGE('',*,*,#50227,.F.); #69517=ORIENTED_EDGE('',*,*,#43843,.F.); #69518=ORIENTED_EDGE('',*,*,#50228,.F.); #69519=ORIENTED_EDGE('',*,*,#50229,.F.); #69520=ORIENTED_EDGE('',*,*,#50230,.T.); #69521=ORIENTED_EDGE('',*,*,#50231,.T.); #69522=ORIENTED_EDGE('',*,*,#50232,.T.); #69523=ORIENTED_EDGE('',*,*,#50231,.F.); #69524=ORIENTED_EDGE('',*,*,#50233,.F.); #69525=ORIENTED_EDGE('',*,*,#50234,.F.); #69526=ORIENTED_EDGE('',*,*,#50235,.T.); #69527=ORIENTED_EDGE('',*,*,#50232,.F.); #69528=ORIENTED_EDGE('',*,*,#50235,.F.); #69529=ORIENTED_EDGE('',*,*,#50236,.F.); #69530=ORIENTED_EDGE('',*,*,#50230,.F.); #69531=ORIENTED_EDGE('',*,*,#43866,.T.); #69532=ORIENTED_EDGE('',*,*,#50237,.F.); #69533=ORIENTED_EDGE('',*,*,#43858,.F.); #69534=ORIENTED_EDGE('',*,*,#50238,.F.); #69535=ORIENTED_EDGE('',*,*,#50239,.F.); #69536=ORIENTED_EDGE('',*,*,#50240,.T.); #69537=ORIENTED_EDGE('',*,*,#50241,.T.); #69538=ORIENTED_EDGE('',*,*,#50242,.T.); #69539=ORIENTED_EDGE('',*,*,#50233,.T.); #69540=ORIENTED_EDGE('',*,*,#50242,.F.); #69541=ORIENTED_EDGE('',*,*,#50243,.T.); #69542=ORIENTED_EDGE('',*,*,#50240,.F.); #69543=ORIENTED_EDGE('',*,*,#50236,.T.); #69544=ORIENTED_EDGE('',*,*,#50234,.T.); #69545=ORIENTED_EDGE('',*,*,#50241,.F.); #69546=ORIENTED_EDGE('',*,*,#50244,.F.); #69547=ORIENTED_EDGE('',*,*,#50245,.F.); #69548=ORIENTED_EDGE('',*,*,#50246,.F.); #69549=ORIENTED_EDGE('',*,*,#50247,.F.); #69550=ORIENTED_EDGE('',*,*,#50248,.F.); #69551=ORIENTED_EDGE('',*,*,#50249,.F.); #69552=ORIENTED_EDGE('',*,*,#50250,.T.); #69553=ORIENTED_EDGE('',*,*,#50251,.T.); #69554=ORIENTED_EDGE('',*,*,#50252,.T.); #69555=ORIENTED_EDGE('',*,*,#50223,.T.); #69556=ORIENTED_EDGE('',*,*,#50225,.T.); #69557=ORIENTED_EDGE('',*,*,#50252,.F.); #69558=ORIENTED_EDGE('',*,*,#50253,.T.); #69559=ORIENTED_EDGE('',*,*,#50250,.F.); #69560=ORIENTED_EDGE('',*,*,#50224,.T.); #69561=ORIENTED_EDGE('',*,*,#50251,.F.); #69562=ORIENTED_EDGE('',*,*,#50254,.T.); #69563=ORIENTED_EDGE('',*,*,#50255,.F.); #69564=ORIENTED_EDGE('',*,*,#50256,.F.); #69565=ORIENTED_EDGE('',*,*,#50257,.F.); #69566=ORIENTED_EDGE('',*,*,#50258,.F.); #69567=ORIENTED_EDGE('',*,*,#50259,.T.); #69568=ORIENTED_EDGE('',*,*,#50260,.F.); #69569=ORIENTED_EDGE('',*,*,#50261,.F.); #69570=ORIENTED_EDGE('',*,*,#50262,.F.); #69571=ORIENTED_EDGE('',*,*,#50263,.F.); #69572=ORIENTED_EDGE('',*,*,#50264,.F.); #69573=ORIENTED_EDGE('',*,*,#50263,.T.); #69574=ORIENTED_EDGE('',*,*,#50265,.F.); #69575=ORIENTED_EDGE('',*,*,#50266,.T.); #69576=ORIENTED_EDGE('',*,*,#50265,.T.); #69577=ORIENTED_EDGE('',*,*,#50262,.T.); #69578=ORIENTED_EDGE('',*,*,#50267,.F.); #69579=ORIENTED_EDGE('',*,*,#50268,.T.); #69580=ORIENTED_EDGE('',*,*,#50269,.F.); #69581=ORIENTED_EDGE('',*,*,#50270,.F.); #69582=ORIENTED_EDGE('',*,*,#50271,.F.); #69583=ORIENTED_EDGE('',*,*,#43862,.F.); #69584=ORIENTED_EDGE('',*,*,#50272,.F.); #69585=ORIENTED_EDGE('',*,*,#50273,.T.); #69586=ORIENTED_EDGE('',*,*,#50267,.T.); #69587=ORIENTED_EDGE('',*,*,#50261,.T.); #69588=ORIENTED_EDGE('',*,*,#50274,.F.); #69589=ORIENTED_EDGE('',*,*,#50266,.F.); #69590=ORIENTED_EDGE('',*,*,#50268,.F.); #69591=ORIENTED_EDGE('',*,*,#50273,.F.); #69592=ORIENTED_EDGE('',*,*,#43864,.T.); #69593=ORIENTED_EDGE('',*,*,#50275,.T.); #69594=ORIENTED_EDGE('',*,*,#50270,.T.); #69595=ORIENTED_EDGE('',*,*,#50276,.T.); #69596=ORIENTED_EDGE('',*,*,#50271,.T.); #69597=ORIENTED_EDGE('',*,*,#50275,.F.); #69598=ORIENTED_EDGE('',*,*,#43867,.F.); #69599=ORIENTED_EDGE('',*,*,#50239,.T.); #69600=ORIENTED_EDGE('',*,*,#50277,.T.); #69601=ORIENTED_EDGE('',*,*,#43863,.T.); #69602=ORIENTED_EDGE('',*,*,#50269,.T.); #69603=ORIENTED_EDGE('',*,*,#43861,.F.); #69604=ORIENTED_EDGE('',*,*,#50278,.F.); #69605=ORIENTED_EDGE('',*,*,#43859,.F.); #69606=ORIENTED_EDGE('',*,*,#50237,.T.); #69607=ORIENTED_EDGE('',*,*,#43865,.F.); #69608=ORIENTED_EDGE('',*,*,#50276,.F.); #69609=ORIENTED_EDGE('',*,*,#43860,.T.); #69610=ORIENTED_EDGE('',*,*,#50277,.F.); #69611=ORIENTED_EDGE('',*,*,#50238,.T.); #69612=ORIENTED_EDGE('',*,*,#43857,.F.); #69613=ORIENTED_EDGE('',*,*,#50278,.T.); #69614=ORIENTED_EDGE('',*,*,#50279,.F.); #69615=ORIENTED_EDGE('',*,*,#50280,.F.); #69616=ORIENTED_EDGE('',*,*,#50281,.F.); #69617=ORIENTED_EDGE('',*,*,#50282,.F.); #69618=ORIENTED_EDGE('',*,*,#50283,.F.); #69619=ORIENTED_EDGE('',*,*,#50282,.T.); #69620=ORIENTED_EDGE('',*,*,#50284,.F.); #69621=ORIENTED_EDGE('',*,*,#50285,.T.); #69622=ORIENTED_EDGE('',*,*,#50284,.T.); #69623=ORIENTED_EDGE('',*,*,#50281,.T.); #69624=ORIENTED_EDGE('',*,*,#50286,.F.); #69625=ORIENTED_EDGE('',*,*,#50287,.T.); #69626=ORIENTED_EDGE('',*,*,#50288,.F.); #69627=ORIENTED_EDGE('',*,*,#43851,.F.); #69628=ORIENTED_EDGE('',*,*,#50289,.F.); #69629=ORIENTED_EDGE('',*,*,#43847,.F.); #69630=ORIENTED_EDGE('',*,*,#50290,.F.); #69631=ORIENTED_EDGE('',*,*,#50291,.T.); #69632=ORIENTED_EDGE('',*,*,#50286,.T.); #69633=ORIENTED_EDGE('',*,*,#50280,.T.); #69634=ORIENTED_EDGE('',*,*,#50292,.F.); #69635=ORIENTED_EDGE('',*,*,#50285,.F.); #69636=ORIENTED_EDGE('',*,*,#50287,.F.); #69637=ORIENTED_EDGE('',*,*,#50291,.F.); #69638=ORIENTED_EDGE('',*,*,#43853,.T.); #69639=ORIENTED_EDGE('',*,*,#50293,.T.); #69640=ORIENTED_EDGE('',*,*,#43849,.F.); #69641=ORIENTED_EDGE('',*,*,#50294,.T.); #69642=ORIENTED_EDGE('',*,*,#50289,.T.); #69643=ORIENTED_EDGE('',*,*,#43850,.F.); #69644=ORIENTED_EDGE('',*,*,#50293,.F.); #69645=ORIENTED_EDGE('',*,*,#43856,.F.); #69646=ORIENTED_EDGE('',*,*,#50229,.T.); #69647=ORIENTED_EDGE('',*,*,#50295,.T.); #69648=ORIENTED_EDGE('',*,*,#43848,.T.); #69649=ORIENTED_EDGE('',*,*,#50288,.T.); #69650=ORIENTED_EDGE('',*,*,#43846,.F.); #69651=ORIENTED_EDGE('',*,*,#50296,.F.); #69652=ORIENTED_EDGE('',*,*,#43844,.F.); #69653=ORIENTED_EDGE('',*,*,#50227,.T.); #69654=ORIENTED_EDGE('',*,*,#43854,.F.); #69655=ORIENTED_EDGE('',*,*,#50294,.F.); #69656=ORIENTED_EDGE('',*,*,#43852,.F.); #69657=ORIENTED_EDGE('',*,*,#43845,.T.); #69658=ORIENTED_EDGE('',*,*,#50295,.F.); #69659=ORIENTED_EDGE('',*,*,#50228,.T.); #69660=ORIENTED_EDGE('',*,*,#43842,.F.); #69661=ORIENTED_EDGE('',*,*,#50296,.T.); #69662=ORIENTED_EDGE('',*,*,#50297,.F.); #69663=ORIENTED_EDGE('',*,*,#50298,.T.); #69664=ORIENTED_EDGE('',*,*,#50299,.T.); #69665=ORIENTED_EDGE('',*,*,#50300,.F.); #69666=ORIENTED_EDGE('',*,*,#50301,.F.); #69667=ORIENTED_EDGE('',*,*,#50302,.T.); #69668=ORIENTED_EDGE('',*,*,#50303,.T.); #69669=ORIENTED_EDGE('',*,*,#50298,.F.); #69670=ORIENTED_EDGE('',*,*,#50304,.F.); #69671=ORIENTED_EDGE('',*,*,#50305,.T.); #69672=ORIENTED_EDGE('',*,*,#50306,.T.); #69673=ORIENTED_EDGE('',*,*,#50302,.F.); #69674=ORIENTED_EDGE('',*,*,#50307,.F.); #69675=ORIENTED_EDGE('',*,*,#50300,.T.); #69676=ORIENTED_EDGE('',*,*,#50308,.T.); #69677=ORIENTED_EDGE('',*,*,#50305,.F.); #69678=ORIENTED_EDGE('',*,*,#50308,.F.); #69679=ORIENTED_EDGE('',*,*,#50299,.F.); #69680=ORIENTED_EDGE('',*,*,#50303,.F.); #69681=ORIENTED_EDGE('',*,*,#50306,.F.); #69682=ORIENTED_EDGE('',*,*,#50309,.F.); #69683=ORIENTED_EDGE('',*,*,#50310,.T.); #69684=ORIENTED_EDGE('',*,*,#50311,.T.); #69685=ORIENTED_EDGE('',*,*,#50312,.F.); #69686=ORIENTED_EDGE('',*,*,#50313,.F.); #69687=ORIENTED_EDGE('',*,*,#50314,.T.); #69688=ORIENTED_EDGE('',*,*,#50315,.T.); #69689=ORIENTED_EDGE('',*,*,#50310,.F.); #69690=ORIENTED_EDGE('',*,*,#50316,.F.); #69691=ORIENTED_EDGE('',*,*,#50317,.T.); #69692=ORIENTED_EDGE('',*,*,#50318,.T.); #69693=ORIENTED_EDGE('',*,*,#50314,.F.); #69694=ORIENTED_EDGE('',*,*,#50319,.F.); #69695=ORIENTED_EDGE('',*,*,#50312,.T.); #69696=ORIENTED_EDGE('',*,*,#50320,.T.); #69697=ORIENTED_EDGE('',*,*,#50317,.F.); #69698=ORIENTED_EDGE('',*,*,#50320,.F.); #69699=ORIENTED_EDGE('',*,*,#50311,.F.); #69700=ORIENTED_EDGE('',*,*,#50315,.F.); #69701=ORIENTED_EDGE('',*,*,#50318,.F.); #69702=ORIENTED_EDGE('',*,*,#50321,.F.); #69703=ORIENTED_EDGE('',*,*,#50322,.T.); #69704=ORIENTED_EDGE('',*,*,#50323,.T.); #69705=ORIENTED_EDGE('',*,*,#50324,.F.); #69706=ORIENTED_EDGE('',*,*,#50325,.F.); #69707=ORIENTED_EDGE('',*,*,#50326,.T.); #69708=ORIENTED_EDGE('',*,*,#50327,.T.); #69709=ORIENTED_EDGE('',*,*,#50322,.F.); #69710=ORIENTED_EDGE('',*,*,#50328,.F.); #69711=ORIENTED_EDGE('',*,*,#50329,.T.); #69712=ORIENTED_EDGE('',*,*,#50330,.T.); #69713=ORIENTED_EDGE('',*,*,#50326,.F.); #69714=ORIENTED_EDGE('',*,*,#50331,.F.); #69715=ORIENTED_EDGE('',*,*,#50324,.T.); #69716=ORIENTED_EDGE('',*,*,#50332,.T.); #69717=ORIENTED_EDGE('',*,*,#50329,.F.); #69718=ORIENTED_EDGE('',*,*,#50332,.F.); #69719=ORIENTED_EDGE('',*,*,#50323,.F.); #69720=ORIENTED_EDGE('',*,*,#50327,.F.); #69721=ORIENTED_EDGE('',*,*,#50330,.F.); #69722=ORIENTED_EDGE('',*,*,#50333,.F.); #69723=ORIENTED_EDGE('',*,*,#50334,.F.); #69724=ORIENTED_EDGE('',*,*,#50335,.F.); #69725=ORIENTED_EDGE('',*,*,#50336,.F.); #69726=ORIENTED_EDGE('',*,*,#50337,.T.); #69727=ORIENTED_EDGE('',*,*,#50338,.F.); #69728=ORIENTED_EDGE('',*,*,#50334,.T.); #69729=ORIENTED_EDGE('',*,*,#50339,.T.); #69730=ORIENTED_EDGE('',*,*,#50340,.F.); #69731=ORIENTED_EDGE('',*,*,#50341,.T.); #69732=ORIENTED_EDGE('',*,*,#50342,.F.); #69733=ORIENTED_EDGE('',*,*,#50343,.F.); #69734=ORIENTED_EDGE('',*,*,#50344,.F.); #69735=ORIENTED_EDGE('',*,*,#50345,.T.); #69736=ORIENTED_EDGE('',*,*,#50346,.F.); #69737=ORIENTED_EDGE('',*,*,#50347,.T.); #69738=ORIENTED_EDGE('',*,*,#50348,.T.); #69739=ORIENTED_EDGE('',*,*,#50340,.T.); #69740=ORIENTED_EDGE('',*,*,#50349,.F.); #69741=ORIENTED_EDGE('',*,*,#50350,.T.); #69742=ORIENTED_EDGE('',*,*,#50351,.F.); #69743=ORIENTED_EDGE('',*,*,#50352,.F.); #69744=ORIENTED_EDGE('',*,*,#50347,.F.); #69745=ORIENTED_EDGE('',*,*,#48294,.F.); #69746=ORIENTED_EDGE('',*,*,#50353,.F.); #69747=ORIENTED_EDGE('',*,*,#50354,.F.); #69748=ORIENTED_EDGE('',*,*,#50350,.F.); #69749=ORIENTED_EDGE('',*,*,#50355,.F.); #69750=ORIENTED_EDGE('',*,*,#50356,.F.); #69751=ORIENTED_EDGE('',*,*,#50357,.F.); #69752=ORIENTED_EDGE('',*,*,#50333,.T.); #69753=ORIENTED_EDGE('',*,*,#50358,.F.); #69754=ORIENTED_EDGE('',*,*,#50359,.F.); #69755=ORIENTED_EDGE('',*,*,#48291,.F.); #69756=ORIENTED_EDGE('',*,*,#48272,.F.); #69757=ORIENTED_EDGE('',*,*,#48276,.F.); #69758=ORIENTED_EDGE('',*,*,#48278,.F.); #69759=ORIENTED_EDGE('',*,*,#48281,.F.); #69760=ORIENTED_EDGE('',*,*,#48284,.F.); #69761=ORIENTED_EDGE('',*,*,#48287,.F.); #69762=ORIENTED_EDGE('',*,*,#48290,.F.); #69763=ORIENTED_EDGE('',*,*,#50360,.T.); #69764=ORIENTED_EDGE('',*,*,#50361,.T.); #69765=ORIENTED_EDGE('',*,*,#48614,.T.); #69766=ORIENTED_EDGE('',*,*,#50362,.T.); #69767=ORIENTED_EDGE('',*,*,#50363,.T.); #69768=ORIENTED_EDGE('',*,*,#50360,.F.); #69769=ORIENTED_EDGE('',*,*,#48613,.T.); #69770=ORIENTED_EDGE('',*,*,#50364,.T.); #69771=ORIENTED_EDGE('',*,*,#50365,.T.); #69772=ORIENTED_EDGE('',*,*,#50366,.T.); #69773=ORIENTED_EDGE('',*,*,#48611,.T.); #69774=ORIENTED_EDGE('',*,*,#49713,.T.); #69775=ORIENTED_EDGE('',*,*,#50367,.T.); #69776=ORIENTED_EDGE('',*,*,#50364,.F.); #69777=ORIENTED_EDGE('',*,*,#48199,.T.); #69778=ORIENTED_EDGE('',*,*,#48970,.F.); #69779=ORIENTED_EDGE('',*,*,#49555,.F.); #69780=ORIENTED_EDGE('',*,*,#50368,.T.); #69781=ORIENTED_EDGE('',*,*,#49577,.F.); #69782=ORIENTED_EDGE('',*,*,#48166,.T.); #69783=ORIENTED_EDGE('',*,*,#50369,.T.); #69784=ORIENTED_EDGE('',*,*,#49998,.T.); #69785=ORIENTED_EDGE('',*,*,#49513,.F.); #69786=ORIENTED_EDGE('',*,*,#50370,.T.); #69787=ORIENTED_EDGE('',*,*,#50371,.T.); #69788=ORIENTED_EDGE('',*,*,#50369,.F.); #69789=ORIENTED_EDGE('',*,*,#48165,.T.); #69790=ORIENTED_EDGE('',*,*,#50370,.F.); #69791=ORIENTED_EDGE('',*,*,#48576,.T.); #69792=ORIENTED_EDGE('',*,*,#50372,.T.); #69793=ORIENTED_EDGE('',*,*,#50373,.T.); #69794=ORIENTED_EDGE('',*,*,#50366,.F.); #69795=ORIENTED_EDGE('',*,*,#50374,.T.); #69796=ORIENTED_EDGE('',*,*,#50362,.F.); #69797=ORIENTED_EDGE('',*,*,#48612,.T.); #69798=ORIENTED_EDGE('',*,*,#50375,.T.); #69799=ORIENTED_EDGE('',*,*,#48574,.T.); #69800=ORIENTED_EDGE('',*,*,#50376,.T.); #69801=ORIENTED_EDGE('',*,*,#50377,.T.); #69802=ORIENTED_EDGE('',*,*,#50376,.F.); #69803=ORIENTED_EDGE('',*,*,#48573,.T.); #69804=ORIENTED_EDGE('',*,*,#50378,.T.); #69805=ORIENTED_EDGE('',*,*,#50375,.F.); #69806=ORIENTED_EDGE('',*,*,#50379,.T.); #69807=ORIENTED_EDGE('',*,*,#50372,.F.); #69808=ORIENTED_EDGE('',*,*,#48575,.T.); #69809=ORIENTED_EDGE('',*,*,#50374,.F.); #69810=ORIENTED_EDGE('',*,*,#50380,.T.); #69811=ORIENTED_EDGE('',*,*,#50381,.T.); #69812=ORIENTED_EDGE('',*,*,#50382,.T.); #69813=ORIENTED_EDGE('',*,*,#50383,.T.); #69814=ORIENTED_EDGE('',*,*,#50365,.F.); #69815=ORIENTED_EDGE('',*,*,#50367,.F.); #69816=ORIENTED_EDGE('',*,*,#49755,.T.); #69817=ORIENTED_EDGE('',*,*,#50384,.F.); #69818=ORIENTED_EDGE('',*,*,#50380,.F.); #69819=ORIENTED_EDGE('',*,*,#49685,.F.); #69820=ORIENTED_EDGE('',*,*,#50385,.F.); #69821=ORIENTED_EDGE('',*,*,#49709,.F.); #69822=ORIENTED_EDGE('',*,*,#49916,.F.); #69823=ORIENTED_EDGE('',*,*,#49557,.F.); #69824=ORIENTED_EDGE('',*,*,#50386,.F.); #69825=ORIENTED_EDGE('',*,*,#50387,.F.); #69826=ORIENTED_EDGE('',*,*,#50388,.F.); #69827=ORIENTED_EDGE('',*,*,#49576,.F.); #69828=ORIENTED_EDGE('',*,*,#50368,.F.); #69829=ORIENTED_EDGE('',*,*,#50371,.F.); #69830=ORIENTED_EDGE('',*,*,#50373,.F.); #69831=ORIENTED_EDGE('',*,*,#50389,.F.); #69832=ORIENTED_EDGE('',*,*,#50390,.F.); #69833=ORIENTED_EDGE('',*,*,#49999,.F.); #69834=ORIENTED_EDGE('',*,*,#50379,.F.); #69835=ORIENTED_EDGE('',*,*,#50391,.T.); #69836=ORIENTED_EDGE('',*,*,#50392,.T.); #69837=ORIENTED_EDGE('',*,*,#50393,.F.); #69838=ORIENTED_EDGE('',*,*,#50389,.T.); #69839=ORIENTED_EDGE('',*,*,#50377,.F.); #69840=ORIENTED_EDGE('',*,*,#50394,.T.); #69841=ORIENTED_EDGE('',*,*,#50395,.T.); #69842=ORIENTED_EDGE('',*,*,#50391,.F.); #69843=ORIENTED_EDGE('',*,*,#50378,.F.); #69844=ORIENTED_EDGE('',*,*,#50396,.T.); #69845=ORIENTED_EDGE('',*,*,#50394,.F.); #69846=ORIENTED_EDGE('',*,*,#50397,.T.); #69847=ORIENTED_EDGE('',*,*,#50398,.T.); #69848=ORIENTED_EDGE('',*,*,#50399,.T.); #69849=ORIENTED_EDGE('',*,*,#50018,.T.); #69850=ORIENTED_EDGE('',*,*,#50400,.T.); #69851=ORIENTED_EDGE('',*,*,#50021,.T.); #69852=ORIENTED_EDGE('',*,*,#50399,.F.); #69853=ORIENTED_EDGE('',*,*,#50401,.T.); #69854=ORIENTED_EDGE('',*,*,#50402,.T.); #69855=ORIENTED_EDGE('',*,*,#49952,.T.); #69856=ORIENTED_EDGE('',*,*,#50400,.F.); #69857=ORIENTED_EDGE('',*,*,#50403,.T.); #69858=ORIENTED_EDGE('',*,*,#50404,.T.); #69859=ORIENTED_EDGE('',*,*,#50026,.T.); #69860=ORIENTED_EDGE('',*,*,#50402,.F.); #69861=ORIENTED_EDGE('',*,*,#50405,.T.); #69862=ORIENTED_EDGE('',*,*,#50404,.F.); #69863=ORIENTED_EDGE('',*,*,#50406,.T.); #69864=ORIENTED_EDGE('',*,*,#50407,.T.); #69865=ORIENTED_EDGE('',*,*,#50030,.T.); #69866=ORIENTED_EDGE('',*,*,#50407,.F.); #69867=ORIENTED_EDGE('',*,*,#50408,.T.); #69868=ORIENTED_EDGE('',*,*,#50409,.T.); #69869=ORIENTED_EDGE('',*,*,#49982,.T.); #69870=ORIENTED_EDGE('',*,*,#50409,.F.); #69871=ORIENTED_EDGE('',*,*,#50410,.T.); #69872=ORIENTED_EDGE('',*,*,#50411,.T.); #69873=ORIENTED_EDGE('',*,*,#49991,.T.); #69874=ORIENTED_EDGE('',*,*,#50411,.F.); #69875=ORIENTED_EDGE('',*,*,#50412,.T.); #69876=ORIENTED_EDGE('',*,*,#50397,.F.); #69877=ORIENTED_EDGE('',*,*,#50022,.T.); #69878=ORIENTED_EDGE('',*,*,#50405,.F.); #69879=ORIENTED_EDGE('',*,*,#50413,.T.); #69880=ORIENTED_EDGE('',*,*,#43909,.F.); #69881=ORIENTED_EDGE('',*,*,#50414,.F.); #69882=ORIENTED_EDGE('',*,*,#50403,.F.); #69883=ORIENTED_EDGE('',*,*,#50415,.T.); #69884=ORIENTED_EDGE('',*,*,#43910,.F.); #69885=ORIENTED_EDGE('',*,*,#50413,.F.); #69886=ORIENTED_EDGE('',*,*,#50401,.F.); #69887=ORIENTED_EDGE('',*,*,#50416,.T.); #69888=ORIENTED_EDGE('',*,*,#43911,.F.); #69889=ORIENTED_EDGE('',*,*,#50415,.F.); #69890=ORIENTED_EDGE('',*,*,#50398,.F.); #69891=ORIENTED_EDGE('',*,*,#50417,.T.); #69892=ORIENTED_EDGE('',*,*,#43904,.F.); #69893=ORIENTED_EDGE('',*,*,#50416,.F.); #69894=ORIENTED_EDGE('',*,*,#50406,.F.); #69895=ORIENTED_EDGE('',*,*,#50414,.T.); #69896=ORIENTED_EDGE('',*,*,#43908,.F.); #69897=ORIENTED_EDGE('',*,*,#50418,.F.); #69898=ORIENTED_EDGE('',*,*,#50408,.F.); #69899=ORIENTED_EDGE('',*,*,#50418,.T.); #69900=ORIENTED_EDGE('',*,*,#43907,.F.); #69901=ORIENTED_EDGE('',*,*,#50419,.F.); #69902=ORIENTED_EDGE('',*,*,#50410,.F.); #69903=ORIENTED_EDGE('',*,*,#50419,.T.); #69904=ORIENTED_EDGE('',*,*,#43906,.F.); #69905=ORIENTED_EDGE('',*,*,#50420,.F.); #69906=ORIENTED_EDGE('',*,*,#50412,.F.); #69907=ORIENTED_EDGE('',*,*,#50420,.T.); #69908=ORIENTED_EDGE('',*,*,#43905,.F.); #69909=ORIENTED_EDGE('',*,*,#50417,.F.); #69910=ORIENTED_EDGE('',*,*,#50421,.T.); #69911=ORIENTED_EDGE('',*,*,#50132,.T.); #69912=ORIENTED_EDGE('',*,*,#50206,.T.); #69913=ORIENTED_EDGE('',*,*,#50422,.T.); #69914=ORIENTED_EDGE('',*,*,#50423,.T.); #69915=ORIENTED_EDGE('',*,*,#50424,.T.); #69916=ORIENTED_EDGE('',*,*,#50421,.F.); #69917=ORIENTED_EDGE('',*,*,#50425,.T.); #69918=ORIENTED_EDGE('',*,*,#50423,.F.); #69919=ORIENTED_EDGE('',*,*,#50426,.T.); #69920=ORIENTED_EDGE('',*,*,#50427,.T.); #69921=ORIENTED_EDGE('',*,*,#50426,.F.); #69922=ORIENTED_EDGE('',*,*,#50428,.T.); #69923=ORIENTED_EDGE('',*,*,#50429,.T.); #69924=ORIENTED_EDGE('',*,*,#50430,.T.); #69925=ORIENTED_EDGE('',*,*,#50072,.F.); #69926=ORIENTED_EDGE('',*,*,#50078,.F.); #69927=ORIENTED_EDGE('',*,*,#50184,.F.); #69928=ORIENTED_EDGE('',*,*,#50186,.F.); #69929=ORIENTED_EDGE('',*,*,#50187,.F.); #69930=ORIENTED_EDGE('',*,*,#50100,.F.); #69931=ORIENTED_EDGE('',*,*,#50097,.F.); #69932=ORIENTED_EDGE('',*,*,#50160,.F.); #69933=ORIENTED_EDGE('',*,*,#50150,.F.); #69934=ORIENTED_EDGE('',*,*,#50431,.F.); #69935=ORIENTED_EDGE('',*,*,#50102,.F.); #69936=ORIENTED_EDGE('',*,*,#50129,.F.); #69937=ORIENTED_EDGE('',*,*,#50120,.F.); #69938=ORIENTED_EDGE('',*,*,#50432,.F.); #69939=ORIENTED_EDGE('',*,*,#50433,.F.); #69940=ORIENTED_EDGE('',*,*,#50434,.F.); #69941=ORIENTED_EDGE('',*,*,#50435,.F.); #69942=ORIENTED_EDGE('',*,*,#50436,.F.); #69943=ORIENTED_EDGE('',*,*,#50437,.F.); #69944=ORIENTED_EDGE('',*,*,#50428,.F.); #69945=ORIENTED_EDGE('',*,*,#50425,.F.); #69946=ORIENTED_EDGE('',*,*,#50422,.F.); #69947=ORIENTED_EDGE('',*,*,#50209,.T.); #69948=ORIENTED_EDGE('',*,*,#50210,.F.); #69949=ORIENTED_EDGE('',*,*,#50180,.F.); #69950=ORIENTED_EDGE('',*,*,#50182,.F.); #69951=ORIENTED_EDGE('',*,*,#50438,.T.); #69952=ORIENTED_EDGE('',*,*,#50432,.T.); #69953=ORIENTED_EDGE('',*,*,#50122,.T.); #69954=ORIENTED_EDGE('',*,*,#50147,.T.); #69955=ORIENTED_EDGE('',*,*,#50146,.T.); #69956=ORIENTED_EDGE('',*,*,#50438,.F.); #69957=ORIENTED_EDGE('',*,*,#50439,.T.); #69958=ORIENTED_EDGE('',*,*,#50440,.T.); #69959=ORIENTED_EDGE('',*,*,#50433,.T.); #69960=ORIENTED_EDGE('',*,*,#50441,.T.); #69961=ORIENTED_EDGE('',*,*,#50442,.T.); #69962=ORIENTED_EDGE('',*,*,#50440,.F.); #69963=ORIENTED_EDGE('',*,*,#50441,.F.); #69964=ORIENTED_EDGE('',*,*,#50439,.F.); #69965=ORIENTED_EDGE('',*,*,#50145,.T.); #69966=ORIENTED_EDGE('',*,*,#50443,.T.); #69967=ORIENTED_EDGE('',*,*,#50444,.T.); #69968=ORIENTED_EDGE('',*,*,#50445,.F.); #69969=ORIENTED_EDGE('',*,*,#50123,.T.); #69970=ORIENTED_EDGE('',*,*,#50112,.T.); #69971=ORIENTED_EDGE('',*,*,#50087,.T.); #69972=ORIENTED_EDGE('',*,*,#50446,.T.); #69973=ORIENTED_EDGE('',*,*,#50447,.T.); #69974=ORIENTED_EDGE('',*,*,#50448,.T.); #69975=ORIENTED_EDGE('',*,*,#50446,.F.); #69976=ORIENTED_EDGE('',*,*,#50449,.T.); #69977=ORIENTED_EDGE('',*,*,#50449,.F.); #69978=ORIENTED_EDGE('',*,*,#50086,.T.); #69979=ORIENTED_EDGE('',*,*,#50450,.T.); #69980=ORIENTED_EDGE('',*,*,#50451,.T.); #69981=ORIENTED_EDGE('',*,*,#50083,.T.); #69982=ORIENTED_EDGE('',*,*,#50216,.F.); #69983=ORIENTED_EDGE('',*,*,#50125,.T.); #69984=ORIENTED_EDGE('',*,*,#50452,.F.); #69985=ORIENTED_EDGE('',*,*,#50453,.T.); #69986=ORIENTED_EDGE('',*,*,#50454,.F.); #69987=ORIENTED_EDGE('',*,*,#50455,.T.); #69988=ORIENTED_EDGE('',*,*,#50453,.F.); #69989=ORIENTED_EDGE('',*,*,#50456,.F.); #69990=ORIENTED_EDGE('',*,*,#50457,.F.); #69991=ORIENTED_EDGE('',*,*,#50458,.F.); #69992=ORIENTED_EDGE('',*,*,#50459,.T.); #69993=ORIENTED_EDGE('',*,*,#50456,.T.); #69994=ORIENTED_EDGE('',*,*,#50460,.T.); #69995=ORIENTED_EDGE('',*,*,#50461,.T.); #69996=ORIENTED_EDGE('',*,*,#50462,.F.); #69997=ORIENTED_EDGE('',*,*,#50463,.F.); #69998=ORIENTED_EDGE('',*,*,#50464,.F.); #69999=ORIENTED_EDGE('',*,*,#50465,.F.); #70000=ORIENTED_EDGE('',*,*,#50466,.F.); #70001=ORIENTED_EDGE('',*,*,#50467,.F.); #70002=ORIENTED_EDGE('',*,*,#50455,.F.); #70003=ORIENTED_EDGE('',*,*,#50468,.T.); #70004=ORIENTED_EDGE('',*,*,#50466,.T.); #70005=ORIENTED_EDGE('',*,*,#50084,.T.); #70006=ORIENTED_EDGE('',*,*,#50467,.T.); #70007=ORIENTED_EDGE('',*,*,#50469,.T.); #70008=ORIENTED_EDGE('',*,*,#50463,.T.); #70009=ORIENTED_EDGE('',*,*,#50470,.T.); #70010=ORIENTED_EDGE('',*,*,#50471,.F.); #70011=ORIENTED_EDGE('',*,*,#50472,.F.); #70012=ORIENTED_EDGE('',*,*,#50450,.F.); #70013=ORIENTED_EDGE('',*,*,#50473,.T.); #70014=ORIENTED_EDGE('',*,*,#50472,.T.); #70015=ORIENTED_EDGE('',*,*,#50474,.T.); #70016=ORIENTED_EDGE('',*,*,#50475,.T.); #70017=ORIENTED_EDGE('',*,*,#50465,.T.); #70018=ORIENTED_EDGE('',*,*,#50476,.T.); #70019=ORIENTED_EDGE('',*,*,#50471,.T.); #70020=ORIENTED_EDGE('',*,*,#50085,.T.); #70021=ORIENTED_EDGE('',*,*,#50477,.T.); #70022=ORIENTED_EDGE('',*,*,#50478,.T.); #70023=ORIENTED_EDGE('',*,*,#50475,.F.); #70024=ORIENTED_EDGE('',*,*,#50479,.T.); #70025=ORIENTED_EDGE('',*,*,#50461,.F.); #70026=ORIENTED_EDGE('',*,*,#50480,.T.); #70027=ORIENTED_EDGE('',*,*,#50464,.T.); #70028=ORIENTED_EDGE('',*,*,#50481,.T.); #70029=ORIENTED_EDGE('',*,*,#50429,.F.); #70030=ORIENTED_EDGE('',*,*,#50437,.T.); #70031=ORIENTED_EDGE('',*,*,#50457,.T.); #70032=ORIENTED_EDGE('',*,*,#50482,.T.); #70033=ORIENTED_EDGE('',*,*,#50462,.T.); #70034=ORIENTED_EDGE('',*,*,#50436,.T.); #70035=ORIENTED_EDGE('',*,*,#50458,.T.); #70036=ORIENTED_EDGE('',*,*,#50435,.T.); #70037=ORIENTED_EDGE('',*,*,#50483,.T.); #70038=ORIENTED_EDGE('',*,*,#50484,.T.); #70039=ORIENTED_EDGE('',*,*,#50442,.F.); #70040=ORIENTED_EDGE('',*,*,#50485,.T.); #70041=ORIENTED_EDGE('',*,*,#50483,.F.); #70042=ORIENTED_EDGE('',*,*,#50434,.T.); #70043=ORIENTED_EDGE('',*,*,#50477,.F.); #70044=ORIENTED_EDGE('',*,*,#50486,.F.); #70045=ORIENTED_EDGE('',*,*,#50487,.F.); #70046=ORIENTED_EDGE('',*,*,#50488,.F.); #70047=ORIENTED_EDGE('',*,*,#50489,.F.); #70048=ORIENTED_EDGE('',*,*,#50480,.F.); #70049=ORIENTED_EDGE('',*,*,#50460,.F.); #70050=ORIENTED_EDGE('',*,*,#50484,.F.); #70051=ORIENTED_EDGE('',*,*,#50490,.F.); #70052=ORIENTED_EDGE('',*,*,#50491,.F.); #70053=ORIENTED_EDGE('',*,*,#50492,.F.); #70054=ORIENTED_EDGE('',*,*,#50486,.T.); #70055=ORIENTED_EDGE('',*,*,#50485,.F.); #70056=ORIENTED_EDGE('',*,*,#50445,.T.); #70057=ORIENTED_EDGE('',*,*,#50444,.F.); #70058=ORIENTED_EDGE('',*,*,#50493,.T.); #70059=ORIENTED_EDGE('',*,*,#50494,.F.); #70060=ORIENTED_EDGE('',*,*,#50495,.F.); #70061=ORIENTED_EDGE('',*,*,#50490,.T.); #70062=ORIENTED_EDGE('',*,*,#50474,.F.); #70063=ORIENTED_EDGE('',*,*,#50476,.F.); #70064=ORIENTED_EDGE('',*,*,#50470,.F.); #70065=ORIENTED_EDGE('',*,*,#50482,.F.); #70066=ORIENTED_EDGE('',*,*,#50459,.F.); #70067=ORIENTED_EDGE('',*,*,#50479,.F.); #70068=ORIENTED_EDGE('',*,*,#50496,.T.); #70069=ORIENTED_EDGE('',*,*,#50497,.T.); #70070=ORIENTED_EDGE('',*,*,#50498,.T.); #70071=ORIENTED_EDGE('',*,*,#50499,.T.); #70072=ORIENTED_EDGE('',*,*,#50500,.T.); #70073=ORIENTED_EDGE('',*,*,#50501,.T.); #70074=ORIENTED_EDGE('',*,*,#50496,.F.); #70075=ORIENTED_EDGE('',*,*,#50502,.T.); #70076=ORIENTED_EDGE('',*,*,#50503,.T.); #70077=ORIENTED_EDGE('',*,*,#50504,.T.); #70078=ORIENTED_EDGE('',*,*,#50500,.F.); #70079=ORIENTED_EDGE('',*,*,#50505,.T.); #70080=ORIENTED_EDGE('',*,*,#50506,.T.); #70081=ORIENTED_EDGE('',*,*,#50507,.T.); #70082=ORIENTED_EDGE('',*,*,#50508,.T.); #70083=ORIENTED_EDGE('',*,*,#50509,.T.); #70084=ORIENTED_EDGE('',*,*,#50510,.T.); #70085=ORIENTED_EDGE('',*,*,#50511,.T.); #70086=ORIENTED_EDGE('',*,*,#50512,.T.); #70087=ORIENTED_EDGE('',*,*,#50513,.T.); #70088=ORIENTED_EDGE('',*,*,#50514,.T.); #70089=ORIENTED_EDGE('',*,*,#50515,.T.); #70090=ORIENTED_EDGE('',*,*,#50510,.F.); #70091=ORIENTED_EDGE('',*,*,#50516,.T.); #70092=ORIENTED_EDGE('',*,*,#50517,.T.); #70093=ORIENTED_EDGE('',*,*,#50518,.T.); #70094=ORIENTED_EDGE('',*,*,#50514,.F.); #70095=ORIENTED_EDGE('',*,*,#50519,.T.); #70096=ORIENTED_EDGE('',*,*,#50520,.T.); #70097=ORIENTED_EDGE('',*,*,#50521,.T.); #70098=ORIENTED_EDGE('',*,*,#50517,.F.); #70099=ORIENTED_EDGE('',*,*,#50522,.T.); #70100=ORIENTED_EDGE('',*,*,#50523,.T.); #70101=ORIENTED_EDGE('',*,*,#50524,.T.); #70102=ORIENTED_EDGE('',*,*,#50520,.F.); #70103=ORIENTED_EDGE('',*,*,#50525,.T.); #70104=ORIENTED_EDGE('',*,*,#50526,.T.); #70105=ORIENTED_EDGE('',*,*,#50527,.T.); #70106=ORIENTED_EDGE('',*,*,#50523,.F.); #70107=ORIENTED_EDGE('',*,*,#50528,.T.); #70108=ORIENTED_EDGE('',*,*,#50529,.T.); #70109=ORIENTED_EDGE('',*,*,#50530,.T.); #70110=ORIENTED_EDGE('',*,*,#50526,.F.); #70111=ORIENTED_EDGE('',*,*,#50531,.T.); #70112=ORIENTED_EDGE('',*,*,#50532,.T.); #70113=ORIENTED_EDGE('',*,*,#50533,.T.); #70114=ORIENTED_EDGE('',*,*,#50529,.F.); #70115=ORIENTED_EDGE('',*,*,#50534,.T.); #70116=ORIENTED_EDGE('',*,*,#50535,.T.); #70117=ORIENTED_EDGE('',*,*,#50536,.T.); #70118=ORIENTED_EDGE('',*,*,#50532,.F.); #70119=ORIENTED_EDGE('',*,*,#50537,.T.); #70120=ORIENTED_EDGE('',*,*,#50538,.T.); #70121=ORIENTED_EDGE('',*,*,#50539,.T.); #70122=ORIENTED_EDGE('',*,*,#50540,.T.); #70123=ORIENTED_EDGE('',*,*,#50541,.T.); #70124=ORIENTED_EDGE('',*,*,#50542,.T.); #70125=ORIENTED_EDGE('',*,*,#50543,.T.); #70126=ORIENTED_EDGE('',*,*,#50544,.T.); #70127=ORIENTED_EDGE('',*,*,#50545,.T.); #70128=ORIENTED_EDGE('',*,*,#50546,.T.); #70129=ORIENTED_EDGE('',*,*,#50542,.F.); #70130=ORIENTED_EDGE('',*,*,#50547,.T.); #70131=ORIENTED_EDGE('',*,*,#50548,.T.); #70132=ORIENTED_EDGE('',*,*,#50549,.T.); #70133=ORIENTED_EDGE('',*,*,#50545,.F.); #70134=ORIENTED_EDGE('',*,*,#50550,.T.); #70135=ORIENTED_EDGE('',*,*,#50551,.T.); #70136=ORIENTED_EDGE('',*,*,#50552,.T.); #70137=ORIENTED_EDGE('',*,*,#50553,.T.); #70138=ORIENTED_EDGE('',*,*,#50554,.T.); #70139=ORIENTED_EDGE('',*,*,#50555,.T.); #70140=ORIENTED_EDGE('',*,*,#50556,.T.); #70141=ORIENTED_EDGE('',*,*,#50553,.F.); #70142=ORIENTED_EDGE('',*,*,#50557,.T.); #70143=ORIENTED_EDGE('',*,*,#50558,.T.); #70144=ORIENTED_EDGE('',*,*,#50559,.T.); #70145=ORIENTED_EDGE('',*,*,#50555,.F.); #70146=ORIENTED_EDGE('',*,*,#50560,.T.); #70147=ORIENTED_EDGE('',*,*,#50551,.F.); #70148=ORIENTED_EDGE('',*,*,#50561,.T.); #70149=ORIENTED_EDGE('',*,*,#50548,.F.); #70150=ORIENTED_EDGE('',*,*,#50562,.T.); #70151=ORIENTED_EDGE('',*,*,#50558,.F.); #70152=ORIENTED_EDGE('',*,*,#50540,.F.); #70153=ORIENTED_EDGE('',*,*,#50563,.T.); #70154=ORIENTED_EDGE('',*,*,#50538,.F.); #70155=ORIENTED_EDGE('',*,*,#50512,.F.); #70156=ORIENTED_EDGE('',*,*,#50564,.T.); #70157=ORIENTED_EDGE('',*,*,#50535,.F.); #70158=ORIENTED_EDGE('',*,*,#50508,.F.); #70159=ORIENTED_EDGE('',*,*,#50565,.T.); #70160=ORIENTED_EDGE('',*,*,#50506,.F.); #70161=ORIENTED_EDGE('',*,*,#50498,.F.); #70162=ORIENTED_EDGE('',*,*,#50566,.T.); #70163=ORIENTED_EDGE('',*,*,#50567,.T.); #70164=ORIENTED_EDGE('',*,*,#50568,.T.); #70165=ORIENTED_EDGE('',*,*,#50503,.F.); #70166=ORIENTED_EDGE('',*,*,#50569,.T.); #70167=ORIENTED_EDGE('',*,*,#50570,.T.); #70168=ORIENTED_EDGE('',*,*,#50571,.T.); #70169=ORIENTED_EDGE('',*,*,#50572,.T.); #70170=ORIENTED_EDGE('',*,*,#50573,.T.); #70171=ORIENTED_EDGE('',*,*,#50574,.T.); #70172=ORIENTED_EDGE('',*,*,#50575,.T.); #70173=ORIENTED_EDGE('',*,*,#50572,.F.); #70174=ORIENTED_EDGE('',*,*,#50570,.F.); #70175=ORIENTED_EDGE('',*,*,#50576,.T.); #70176=ORIENTED_EDGE('',*,*,#50567,.F.); #70177=ORIENTED_EDGE('',*,*,#50577,.T.); #70178=ORIENTED_EDGE('',*,*,#50556,.F.); #70179=ORIENTED_EDGE('',*,*,#50578,.T.); #70180=ORIENTED_EDGE('',*,*,#50579,.F.); #70181=ORIENTED_EDGE('',*,*,#50580,.T.); #70182=ORIENTED_EDGE('',*,*,#50546,.F.); #70183=ORIENTED_EDGE('',*,*,#50581,.F.); #70184=ORIENTED_EDGE('',*,*,#50582,.F.); #70185=ORIENTED_EDGE('',*,*,#50554,.F.); #70186=ORIENTED_EDGE('',*,*,#50580,.F.); #70187=ORIENTED_EDGE('',*,*,#50583,.F.); #70188=ORIENTED_EDGE('',*,*,#50584,.F.); #70189=ORIENTED_EDGE('',*,*,#50585,.F.); #70190=ORIENTED_EDGE('',*,*,#50559,.F.); #70191=ORIENTED_EDGE('',*,*,#50586,.F.); #70192=ORIENTED_EDGE('',*,*,#50587,.F.); #70193=ORIENTED_EDGE('',*,*,#50578,.F.); #70194=ORIENTED_EDGE('',*,*,#50563,.F.); #70195=ORIENTED_EDGE('',*,*,#50588,.T.); #70196=ORIENTED_EDGE('',*,*,#50589,.F.); #70197=ORIENTED_EDGE('',*,*,#50586,.T.); #70198=ORIENTED_EDGE('',*,*,#50539,.F.); #70199=ORIENTED_EDGE('',*,*,#50590,.F.); #70200=ORIENTED_EDGE('',*,*,#50591,.F.); #70201=ORIENTED_EDGE('',*,*,#50588,.F.); #70202=ORIENTED_EDGE('',*,*,#50564,.F.); #70203=ORIENTED_EDGE('',*,*,#50592,.T.); #70204=ORIENTED_EDGE('',*,*,#50593,.F.); #70205=ORIENTED_EDGE('',*,*,#50590,.T.); #70206=ORIENTED_EDGE('',*,*,#50511,.F.); #70207=ORIENTED_EDGE('',*,*,#50594,.F.); #70208=ORIENTED_EDGE('',*,*,#50595,.F.); #70209=ORIENTED_EDGE('',*,*,#50592,.F.); #70210=ORIENTED_EDGE('',*,*,#50515,.F.); #70211=ORIENTED_EDGE('',*,*,#50596,.T.); #70212=ORIENTED_EDGE('',*,*,#50597,.F.); #70213=ORIENTED_EDGE('',*,*,#50594,.T.); #70214=ORIENTED_EDGE('',*,*,#50518,.F.); #70215=ORIENTED_EDGE('',*,*,#50598,.F.); #70216=ORIENTED_EDGE('',*,*,#50599,.F.); #70217=ORIENTED_EDGE('',*,*,#50596,.F.); #70218=ORIENTED_EDGE('',*,*,#50521,.F.); #70219=ORIENTED_EDGE('',*,*,#50600,.T.); #70220=ORIENTED_EDGE('',*,*,#50601,.F.); #70221=ORIENTED_EDGE('',*,*,#50598,.T.); #70222=ORIENTED_EDGE('',*,*,#50524,.F.); #70223=ORIENTED_EDGE('',*,*,#50602,.F.); #70224=ORIENTED_EDGE('',*,*,#50603,.F.); #70225=ORIENTED_EDGE('',*,*,#50600,.F.); #70226=ORIENTED_EDGE('',*,*,#50034,.F.); #70227=ORIENTED_EDGE('',*,*,#50040,.T.); #70228=ORIENTED_EDGE('',*,*,#50167,.F.); #70229=ORIENTED_EDGE('',*,*,#50169,.T.); #70230=ORIENTED_EDGE('',*,*,#50178,.F.); #70231=ORIENTED_EDGE('',*,*,#50070,.T.); #70232=ORIENTED_EDGE('',*,*,#50067,.F.); #70233=ORIENTED_EDGE('',*,*,#50604,.T.); #70234=ORIENTED_EDGE('',*,*,#50174,.F.); #70235=ORIENTED_EDGE('',*,*,#50177,.T.); #70236=ORIENTED_EDGE('',*,*,#50205,.F.); #70237=ORIENTED_EDGE('',*,*,#50139,.T.); #70238=ORIENTED_EDGE('',*,*,#50162,.F.); #70239=ORIENTED_EDGE('',*,*,#50165,.T.); #70240=ORIENTED_EDGE('',*,*,#50171,.F.); #70241=ORIENTED_EDGE('',*,*,#50172,.T.); #70242=ORIENTED_EDGE('',*,*,#50200,.T.); #70243=ORIENTED_EDGE('',*,*,#50189,.F.); #70244=ORIENTED_EDGE('',*,*,#50192,.T.); #70245=ORIENTED_EDGE('',*,*,#50202,.F.); #70246=ORIENTED_EDGE('',*,*,#50203,.T.); #70247=ORIENTED_EDGE('',*,*,#50194,.F.); #70248=ORIENTED_EDGE('',*,*,#50197,.T.); #70249=ORIENTED_EDGE('',*,*,#50199,.F.); #70250=ORIENTED_EDGE('',*,*,#50527,.F.); #70251=ORIENTED_EDGE('',*,*,#50605,.T.); #70252=ORIENTED_EDGE('',*,*,#50606,.F.); #70253=ORIENTED_EDGE('',*,*,#50602,.T.); #70254=ORIENTED_EDGE('',*,*,#50530,.F.); #70255=ORIENTED_EDGE('',*,*,#50607,.F.); #70256=ORIENTED_EDGE('',*,*,#50608,.F.); #70257=ORIENTED_EDGE('',*,*,#50605,.F.); #70258=ORIENTED_EDGE('',*,*,#50533,.F.); #70259=ORIENTED_EDGE('',*,*,#50609,.T.); #70260=ORIENTED_EDGE('',*,*,#50610,.F.); #70261=ORIENTED_EDGE('',*,*,#50607,.T.); #70262=ORIENTED_EDGE('',*,*,#50536,.F.); #70263=ORIENTED_EDGE('',*,*,#50611,.F.); #70264=ORIENTED_EDGE('',*,*,#50612,.F.); #70265=ORIENTED_EDGE('',*,*,#50609,.F.); #70266=ORIENTED_EDGE('',*,*,#50565,.F.); #70267=ORIENTED_EDGE('',*,*,#50613,.T.); #70268=ORIENTED_EDGE('',*,*,#50614,.F.); #70269=ORIENTED_EDGE('',*,*,#50611,.T.); #70270=ORIENTED_EDGE('',*,*,#50507,.F.); #70271=ORIENTED_EDGE('',*,*,#50615,.F.); #70272=ORIENTED_EDGE('',*,*,#50616,.F.); #70273=ORIENTED_EDGE('',*,*,#50613,.F.); #70274=ORIENTED_EDGE('',*,*,#50566,.F.); #70275=ORIENTED_EDGE('',*,*,#50617,.T.); #70276=ORIENTED_EDGE('',*,*,#50618,.F.); #70277=ORIENTED_EDGE('',*,*,#50615,.T.); #70278=ORIENTED_EDGE('',*,*,#50497,.F.); #70279=ORIENTED_EDGE('',*,*,#50619,.F.); #70280=ORIENTED_EDGE('',*,*,#50620,.F.); #70281=ORIENTED_EDGE('',*,*,#50617,.F.); #70282=ORIENTED_EDGE('',*,*,#50501,.F.); #70283=ORIENTED_EDGE('',*,*,#50621,.T.); #70284=ORIENTED_EDGE('',*,*,#50622,.F.); #70285=ORIENTED_EDGE('',*,*,#50619,.T.); #70286=ORIENTED_EDGE('',*,*,#50568,.F.); #70287=ORIENTED_EDGE('',*,*,#50576,.F.); #70288=ORIENTED_EDGE('',*,*,#50623,.T.); #70289=ORIENTED_EDGE('',*,*,#50624,.T.); #70290=ORIENTED_EDGE('',*,*,#50143,.T.); #70291=ORIENTED_EDGE('',*,*,#50625,.F.); #70292=ORIENTED_EDGE('',*,*,#50626,.T.); #70293=ORIENTED_EDGE('',*,*,#50573,.F.); #70294=ORIENTED_EDGE('',*,*,#50627,.F.); #70295=ORIENTED_EDGE('',*,*,#50623,.F.); #70296=ORIENTED_EDGE('',*,*,#50504,.F.); #70297=ORIENTED_EDGE('',*,*,#50626,.F.); #70298=ORIENTED_EDGE('',*,*,#50628,.F.); #70299=ORIENTED_EDGE('',*,*,#50629,.F.); #70300=ORIENTED_EDGE('',*,*,#50621,.F.); #70301=ORIENTED_EDGE('',*,*,#50575,.F.); #70302=ORIENTED_EDGE('',*,*,#50630,.T.); #70303=ORIENTED_EDGE('',*,*,#50631,.T.); #70304=ORIENTED_EDGE('',*,*,#50627,.T.); #70305=ORIENTED_EDGE('',*,*,#50574,.F.); #70306=ORIENTED_EDGE('',*,*,#50571,.F.); #70307=ORIENTED_EDGE('',*,*,#50577,.F.); #70308=ORIENTED_EDGE('',*,*,#50632,.T.); #70309=ORIENTED_EDGE('',*,*,#50633,.F.); #70310=ORIENTED_EDGE('',*,*,#50634,.T.); #70311=ORIENTED_EDGE('',*,*,#50635,.T.); #70312=ORIENTED_EDGE('',*,*,#50636,.T.); #70313=ORIENTED_EDGE('',*,*,#50637,.T.); #70314=ORIENTED_EDGE('',*,*,#50638,.T.); #70315=ORIENTED_EDGE('',*,*,#50639,.T.); #70316=ORIENTED_EDGE('',*,*,#50136,.T.); #70317=ORIENTED_EDGE('',*,*,#50636,.F.); #70318=ORIENTED_EDGE('',*,*,#50640,.T.); #70319=ORIENTED_EDGE('',*,*,#50137,.T.); #70320=ORIENTED_EDGE('',*,*,#50639,.F.); #70321=ORIENTED_EDGE('',*,*,#50045,.T.); #70322=ORIENTED_EDGE('',*,*,#50163,.F.); #70323=ORIENTED_EDGE('',*,*,#50640,.F.); #70324=ORIENTED_EDGE('',*,*,#50638,.F.); #70325=ORIENTED_EDGE('',*,*,#50584,.T.); #70326=ORIENTED_EDGE('',*,*,#50641,.F.); #70327=ORIENTED_EDGE('',*,*,#50046,.T.); #70328=ORIENTED_EDGE('',*,*,#50561,.F.); #70329=ORIENTED_EDGE('',*,*,#50585,.T.); #70330=ORIENTED_EDGE('',*,*,#50637,.F.); #70331=ORIENTED_EDGE('',*,*,#50135,.T.); #70332=ORIENTED_EDGE('',*,*,#50642,.T.); #70333=ORIENTED_EDGE('',*,*,#50581,.T.); #70334=ORIENTED_EDGE('',*,*,#50549,.F.); #70335=ORIENTED_EDGE('',*,*,#50643,.T.); #70336=ORIENTED_EDGE('',*,*,#50141,.T.); #70337=ORIENTED_EDGE('',*,*,#50204,.T.); #70338=ORIENTED_EDGE('',*,*,#50049,.T.); #70339=ORIENTED_EDGE('',*,*,#50644,.T.); #70340=ORIENTED_EDGE('',*,*,#50142,.T.); #70341=ORIENTED_EDGE('',*,*,#50643,.F.); #70342=ORIENTED_EDGE('',*,*,#50645,.T.); #70343=ORIENTED_EDGE('',*,*,#50646,.T.); #70344=ORIENTED_EDGE('',*,*,#50625,.T.); #70345=ORIENTED_EDGE('',*,*,#50644,.F.); #70346=ORIENTED_EDGE('',*,*,#50646,.F.); #70347=ORIENTED_EDGE('',*,*,#50645,.F.); #70348=ORIENTED_EDGE('',*,*,#50048,.T.); #70349=ORIENTED_EDGE('',*,*,#50647,.F.); #70350=ORIENTED_EDGE('',*,*,#50628,.T.); #70351=ORIENTED_EDGE('',*,*,#50648,.T.); #70352=ORIENTED_EDGE('',*,*,#50649,.T.); #70353=ORIENTED_EDGE('',*,*,#50650,.T.); #70354=ORIENTED_EDGE('',*,*,#50641,.T.); #70355=ORIENTED_EDGE('',*,*,#50651,.T.); #70356=ORIENTED_EDGE('',*,*,#50047,.T.); #70357=ORIENTED_EDGE('',*,*,#50650,.F.); #70358=ORIENTED_EDGE('',*,*,#50652,.T.); #70359=ORIENTED_EDGE('',*,*,#50653,.T.); #70360=ORIENTED_EDGE('',*,*,#50647,.T.); #70361=ORIENTED_EDGE('',*,*,#50651,.F.); #70362=ORIENTED_EDGE('',*,*,#50654,.T.); #70363=ORIENTED_EDGE('',*,*,#50655,.T.); #70364=ORIENTED_EDGE('',*,*,#50629,.T.); #70365=ORIENTED_EDGE('',*,*,#50653,.F.); #70366=ORIENTED_EDGE('',*,*,#50656,.T.); #70367=ORIENTED_EDGE('',*,*,#50657,.T.); #70368=ORIENTED_EDGE('',*,*,#50658,.T.); #70369=ORIENTED_EDGE('',*,*,#50648,.F.); #70370=ORIENTED_EDGE('',*,*,#50583,.T.); #70371=ORIENTED_EDGE('',*,*,#50659,.T.); #70372=ORIENTED_EDGE('',*,*,#50620,.T.); #70373=ORIENTED_EDGE('',*,*,#50660,.T.); #70374=ORIENTED_EDGE('',*,*,#50661,.T.); #70375=ORIENTED_EDGE('',*,*,#50662,.T.); #70376=ORIENTED_EDGE('',*,*,#50618,.T.); #70377=ORIENTED_EDGE('',*,*,#50659,.F.); #70378=ORIENTED_EDGE('',*,*,#50663,.T.); #70379=ORIENTED_EDGE('',*,*,#50664,.T.); #70380=ORIENTED_EDGE('',*,*,#50616,.T.); #70381=ORIENTED_EDGE('',*,*,#50662,.F.); #70382=ORIENTED_EDGE('',*,*,#50665,.T.); #70383=ORIENTED_EDGE('',*,*,#50666,.T.); #70384=ORIENTED_EDGE('',*,*,#50614,.T.); #70385=ORIENTED_EDGE('',*,*,#50664,.F.); #70386=ORIENTED_EDGE('',*,*,#50667,.T.); #70387=ORIENTED_EDGE('',*,*,#50668,.T.); #70388=ORIENTED_EDGE('',*,*,#50612,.T.); #70389=ORIENTED_EDGE('',*,*,#50666,.F.); #70390=ORIENTED_EDGE('',*,*,#50669,.T.); #70391=ORIENTED_EDGE('',*,*,#50660,.F.); #70392=ORIENTED_EDGE('',*,*,#50622,.T.); #70393=ORIENTED_EDGE('',*,*,#50655,.F.); #70394=ORIENTED_EDGE('',*,*,#50670,.T.); #70395=ORIENTED_EDGE('',*,*,#50608,.T.); #70396=ORIENTED_EDGE('',*,*,#50671,.T.); #70397=ORIENTED_EDGE('',*,*,#50672,.T.); #70398=ORIENTED_EDGE('',*,*,#50673,.T.); #70399=ORIENTED_EDGE('',*,*,#50606,.T.); #70400=ORIENTED_EDGE('',*,*,#50670,.F.); #70401=ORIENTED_EDGE('',*,*,#50674,.T.); #70402=ORIENTED_EDGE('',*,*,#50675,.T.); #70403=ORIENTED_EDGE('',*,*,#50603,.T.); #70404=ORIENTED_EDGE('',*,*,#50673,.F.); #70405=ORIENTED_EDGE('',*,*,#50676,.T.); #70406=ORIENTED_EDGE('',*,*,#50677,.T.); #70407=ORIENTED_EDGE('',*,*,#50601,.T.); #70408=ORIENTED_EDGE('',*,*,#50675,.F.); #70409=ORIENTED_EDGE('',*,*,#50678,.T.); #70410=ORIENTED_EDGE('',*,*,#50679,.T.); #70411=ORIENTED_EDGE('',*,*,#50599,.T.); #70412=ORIENTED_EDGE('',*,*,#50677,.F.); #70413=ORIENTED_EDGE('',*,*,#50680,.T.); #70414=ORIENTED_EDGE('',*,*,#50671,.F.); #70415=ORIENTED_EDGE('',*,*,#50610,.T.); #70416=ORIENTED_EDGE('',*,*,#50668,.F.); #70417=ORIENTED_EDGE('',*,*,#50681,.T.); #70418=ORIENTED_EDGE('',*,*,#50595,.T.); #70419=ORIENTED_EDGE('',*,*,#50682,.T.); #70420=ORIENTED_EDGE('',*,*,#50683,.T.); #70421=ORIENTED_EDGE('',*,*,#50684,.T.); #70422=ORIENTED_EDGE('',*,*,#50593,.T.); #70423=ORIENTED_EDGE('',*,*,#50681,.F.); #70424=ORIENTED_EDGE('',*,*,#50685,.T.); #70425=ORIENTED_EDGE('',*,*,#50686,.T.); #70426=ORIENTED_EDGE('',*,*,#50591,.T.); #70427=ORIENTED_EDGE('',*,*,#50684,.F.); #70428=ORIENTED_EDGE('',*,*,#50687,.T.); #70429=ORIENTED_EDGE('',*,*,#50688,.T.); #70430=ORIENTED_EDGE('',*,*,#50589,.T.); #70431=ORIENTED_EDGE('',*,*,#50686,.F.); #70432=ORIENTED_EDGE('',*,*,#50689,.T.); #70433=ORIENTED_EDGE('',*,*,#50690,.T.); #70434=ORIENTED_EDGE('',*,*,#50587,.T.); #70435=ORIENTED_EDGE('',*,*,#50688,.F.); #70436=ORIENTED_EDGE('',*,*,#50691,.T.); #70437=ORIENTED_EDGE('',*,*,#50682,.F.); #70438=ORIENTED_EDGE('',*,*,#50597,.T.); #70439=ORIENTED_EDGE('',*,*,#50679,.F.); #70440=ORIENTED_EDGE('',*,*,#50657,.F.); #70441=ORIENTED_EDGE('',*,*,#50579,.T.); #70442=ORIENTED_EDGE('',*,*,#50690,.F.); #70443=ORIENTED_EDGE('',*,*,#50649,.F.); #70444=ORIENTED_EDGE('',*,*,#50658,.F.); #70445=ORIENTED_EDGE('',*,*,#50691,.F.); #70446=ORIENTED_EDGE('',*,*,#50689,.F.); #70447=ORIENTED_EDGE('',*,*,#50687,.F.); #70448=ORIENTED_EDGE('',*,*,#50685,.F.); #70449=ORIENTED_EDGE('',*,*,#50683,.F.); #70450=ORIENTED_EDGE('',*,*,#50680,.F.); #70451=ORIENTED_EDGE('',*,*,#50678,.F.); #70452=ORIENTED_EDGE('',*,*,#50676,.F.); #70453=ORIENTED_EDGE('',*,*,#50674,.F.); #70454=ORIENTED_EDGE('',*,*,#50672,.F.); #70455=ORIENTED_EDGE('',*,*,#50669,.F.); #70456=ORIENTED_EDGE('',*,*,#50667,.F.); #70457=ORIENTED_EDGE('',*,*,#50665,.F.); #70458=ORIENTED_EDGE('',*,*,#50663,.F.); #70459=ORIENTED_EDGE('',*,*,#50661,.F.); #70460=ORIENTED_EDGE('',*,*,#50656,.F.); #70461=ORIENTED_EDGE('',*,*,#50654,.F.); #70462=ORIENTED_EDGE('',*,*,#50652,.F.); #70463=ORIENTED_EDGE('',*,*,#50543,.F.); #70464=ORIENTED_EDGE('',*,*,#50582,.T.); #70465=ORIENTED_EDGE('',*,*,#50692,.T.); #70466=ORIENTED_EDGE('',*,*,#50693,.T.); #70467=ORIENTED_EDGE('',*,*,#50642,.F.); #70468=ORIENTED_EDGE('',*,*,#50694,.T.); #70469=ORIENTED_EDGE('',*,*,#50692,.F.); #70470=ORIENTED_EDGE('',*,*,#50695,.F.); #70471=ORIENTED_EDGE('',*,*,#50693,.F.); #70472=ORIENTED_EDGE('',*,*,#50696,.F.); #70473=ORIENTED_EDGE('',*,*,#50697,.F.); #70474=ORIENTED_EDGE('',*,*,#50698,.F.); #70475=ORIENTED_EDGE('',*,*,#50699,.T.); #70476=ORIENTED_EDGE('',*,*,#50700,.F.); #70477=ORIENTED_EDGE('',*,*,#50694,.F.); #70478=ORIENTED_EDGE('',*,*,#50134,.T.); #70479=ORIENTED_EDGE('',*,*,#50701,.T.); #70480=ORIENTED_EDGE('',*,*,#50696,.T.); #70481=ORIENTED_EDGE('',*,*,#50631,.F.); #70482=ORIENTED_EDGE('',*,*,#50702,.T.); #70483=ORIENTED_EDGE('',*,*,#50624,.F.); #70484=ORIENTED_EDGE('',*,*,#50702,.F.); #70485=ORIENTED_EDGE('',*,*,#50703,.T.); #70486=ORIENTED_EDGE('',*,*,#50443,.F.); #70487=ORIENTED_EDGE('',*,*,#50144,.T.); #70488=ORIENTED_EDGE('',*,*,#50630,.F.); #70489=ORIENTED_EDGE('',*,*,#50635,.F.); #70490=ORIENTED_EDGE('',*,*,#50634,.F.); #70491=ORIENTED_EDGE('',*,*,#50704,.F.); #70492=ORIENTED_EDGE('',*,*,#50705,.F.); #70493=ORIENTED_EDGE('',*,*,#50493,.F.); #70494=ORIENTED_EDGE('',*,*,#50703,.F.); #70495=ORIENTED_EDGE('',*,*,#44221,.F.); #70496=ORIENTED_EDGE('',*,*,#50706,.F.); #70497=ORIENTED_EDGE('',*,*,#50707,.F.); #70498=ORIENTED_EDGE('',*,*,#50708,.F.); #70499=ORIENTED_EDGE('',*,*,#50254,.F.); #70500=ORIENTED_EDGE('',*,*,#50253,.F.); #70501=ORIENTED_EDGE('',*,*,#50259,.F.); #70502=ORIENTED_EDGE('',*,*,#50709,.F.); #70503=ORIENTED_EDGE('',*,*,#50632,.F.); #70504=ORIENTED_EDGE('',*,*,#50569,.F.); #70505=ORIENTED_EDGE('',*,*,#50505,.F.); #70506=ORIENTED_EDGE('',*,*,#50502,.F.); #70507=ORIENTED_EDGE('',*,*,#50499,.F.); #70508=ORIENTED_EDGE('',*,*,#50509,.F.); #70509=ORIENTED_EDGE('',*,*,#50537,.F.); #70510=ORIENTED_EDGE('',*,*,#50534,.F.); #70511=ORIENTED_EDGE('',*,*,#50531,.F.); #70512=ORIENTED_EDGE('',*,*,#50528,.F.); #70513=ORIENTED_EDGE('',*,*,#50525,.F.); #70514=ORIENTED_EDGE('',*,*,#50522,.F.); #70515=ORIENTED_EDGE('',*,*,#50519,.F.); #70516=ORIENTED_EDGE('',*,*,#50516,.F.); #70517=ORIENTED_EDGE('',*,*,#50513,.F.); #70518=ORIENTED_EDGE('',*,*,#50541,.F.); #70519=ORIENTED_EDGE('',*,*,#50560,.F.); #70520=ORIENTED_EDGE('',*,*,#50557,.F.); #70521=ORIENTED_EDGE('',*,*,#50552,.F.); #70522=ORIENTED_EDGE('',*,*,#50562,.F.); #70523=ORIENTED_EDGE('',*,*,#50710,.F.); #70524=ORIENTED_EDGE('',*,*,#50711,.F.); #70525=ORIENTED_EDGE('',*,*,#50244,.T.); #70526=ORIENTED_EDGE('',*,*,#50243,.F.); #70527=ORIENTED_EDGE('',*,*,#50249,.T.); #70528=ORIENTED_EDGE('',*,*,#50712,.F.); #70529=ORIENTED_EDGE('',*,*,#50713,.F.); #70530=ORIENTED_EDGE('',*,*,#50714,.F.); #70531=ORIENTED_EDGE('',*,*,#44284,.F.); #70532=ORIENTED_EDGE('',*,*,#44281,.F.); #70533=ORIENTED_EDGE('',*,*,#44260,.F.); #70534=ORIENTED_EDGE('',*,*,#50715,.F.); #70535=ORIENTED_EDGE('',*,*,#44293,.F.); #70536=ORIENTED_EDGE('',*,*,#44292,.T.); #70537=ORIENTED_EDGE('',*,*,#44203,.F.); #70538=ORIENTED_EDGE('',*,*,#44182,.T.); #70539=ORIENTED_EDGE('',*,*,#44181,.F.); #70540=ORIENTED_EDGE('',*,*,#50716,.F.); #70541=ORIENTED_EDGE('',*,*,#44303,.F.); #70542=ORIENTED_EDGE('',*,*,#44242,.F.); #70543=ORIENTED_EDGE('',*,*,#50427,.F.); #70544=ORIENTED_EDGE('',*,*,#50717,.T.); #70545=ORIENTED_EDGE('',*,*,#50718,.T.); #70546=ORIENTED_EDGE('',*,*,#50701,.F.); #70547=ORIENTED_EDGE('',*,*,#50133,.T.); #70548=ORIENTED_EDGE('',*,*,#50424,.F.); #70549=ORIENTED_EDGE('',*,*,#50491,.T.); #70550=ORIENTED_EDGE('',*,*,#50719,.T.); #70551=ORIENTED_EDGE('',*,*,#48213,.T.); #70552=ORIENTED_EDGE('',*,*,#50720,.T.); #70553=ORIENTED_EDGE('',*,*,#48212,.T.); #70554=ORIENTED_EDGE('',*,*,#50721,.T.); #70555=ORIENTED_EDGE('',*,*,#50722,.T.); #70556=ORIENTED_EDGE('',*,*,#50487,.T.); #70557=ORIENTED_EDGE('',*,*,#50492,.T.); #70558=ORIENTED_EDGE('',*,*,#50720,.F.); #70559=ORIENTED_EDGE('',*,*,#50723,.T.); #70560=ORIENTED_EDGE('',*,*,#50724,.T.); #70561=ORIENTED_EDGE('',*,*,#50725,.T.); #70562=ORIENTED_EDGE('',*,*,#50726,.T.); #70563=ORIENTED_EDGE('',*,*,#48210,.T.); #70564=ORIENTED_EDGE('',*,*,#50723,.F.); #70565=ORIENTED_EDGE('',*,*,#50727,.T.); #70566=ORIENTED_EDGE('',*,*,#48211,.T.); #70567=ORIENTED_EDGE('',*,*,#50726,.F.); #70568=ORIENTED_EDGE('',*,*,#50728,.T.); #70569=ORIENTED_EDGE('',*,*,#50721,.F.); #70570=ORIENTED_EDGE('',*,*,#50729,.T.); #70571=ORIENTED_EDGE('',*,*,#48214,.T.); #70572=ORIENTED_EDGE('',*,*,#50719,.F.); #70573=ORIENTED_EDGE('',*,*,#50495,.T.); #70574=ORIENTED_EDGE('',*,*,#50494,.T.); #70575=ORIENTED_EDGE('',*,*,#50730,.T.); #70576=ORIENTED_EDGE('',*,*,#50729,.F.); #70577=ORIENTED_EDGE('',*,*,#50124,.T.); #70578=ORIENTED_EDGE('',*,*,#50447,.F.); #70579=ORIENTED_EDGE('',*,*,#50448,.F.); #70580=ORIENTED_EDGE('',*,*,#50451,.F.); #70581=ORIENTED_EDGE('',*,*,#50473,.F.); #70582=ORIENTED_EDGE('',*,*,#50478,.F.); #70583=ORIENTED_EDGE('',*,*,#50488,.T.); #70584=ORIENTED_EDGE('',*,*,#50722,.F.); #70585=ORIENTED_EDGE('',*,*,#50728,.F.); #70586=ORIENTED_EDGE('',*,*,#50731,.F.); #70587=ORIENTED_EDGE('',*,*,#50481,.F.); #70588=ORIENTED_EDGE('',*,*,#50469,.F.); #70589=ORIENTED_EDGE('',*,*,#50468,.F.); #70590=ORIENTED_EDGE('',*,*,#50454,.T.); #70591=ORIENTED_EDGE('',*,*,#50452,.T.); #70592=ORIENTED_EDGE('',*,*,#50430,.F.); #70593=ORIENTED_EDGE('',*,*,#50731,.T.); #70594=ORIENTED_EDGE('',*,*,#50727,.F.); #70595=ORIENTED_EDGE('',*,*,#50725,.F.); #70596=ORIENTED_EDGE('',*,*,#50697,.T.); #70597=ORIENTED_EDGE('',*,*,#50718,.F.); #70598=ORIENTED_EDGE('',*,*,#50717,.F.); #70599=ORIENTED_EDGE('',*,*,#50117,.F.); #70600=ORIENTED_EDGE('',*,*,#50219,.F.); #70601=ORIENTED_EDGE('',*,*,#50158,.F.); #70602=ORIENTED_EDGE('',*,*,#50089,.T.); #70603=ORIENTED_EDGE('',*,*,#50732,.F.); #70604=ORIENTED_EDGE('',*,*,#50733,.F.); #70605=ORIENTED_EDGE('',*,*,#50734,.F.); #70606=ORIENTED_EDGE('',*,*,#50735,.F.); #70607=ORIENTED_EDGE('',*,*,#50736,.F.); #70608=ORIENTED_EDGE('',*,*,#50737,.F.); #70609=ORIENTED_EDGE('',*,*,#50738,.F.); #70610=ORIENTED_EDGE('',*,*,#50733,.T.); #70611=ORIENTED_EDGE('',*,*,#50739,.F.); #70612=ORIENTED_EDGE('',*,*,#50740,.F.); #70613=ORIENTED_EDGE('',*,*,#50741,.F.); #70614=ORIENTED_EDGE('',*,*,#50742,.F.); #70615=ORIENTED_EDGE('',*,*,#50737,.T.); #70616=ORIENTED_EDGE('',*,*,#50743,.T.); #70617=ORIENTED_EDGE('',*,*,#50744,.T.); #70618=ORIENTED_EDGE('',*,*,#50745,.T.); #70619=ORIENTED_EDGE('',*,*,#50746,.T.); #70620=ORIENTED_EDGE('',*,*,#50747,.T.); #70621=ORIENTED_EDGE('',*,*,#50748,.T.); #70622=ORIENTED_EDGE('',*,*,#50749,.T.); #70623=ORIENTED_EDGE('',*,*,#50750,.T.); #70624=ORIENTED_EDGE('',*,*,#50741,.T.); #70625=ORIENTED_EDGE('',*,*,#50751,.T.); #70626=ORIENTED_EDGE('',*,*,#50749,.F.); #70627=ORIENTED_EDGE('',*,*,#50752,.T.); #70628=ORIENTED_EDGE('',*,*,#50753,.T.); #70629=ORIENTED_EDGE('',*,*,#50754,.F.); #70630=ORIENTED_EDGE('',*,*,#50755,.T.); #70631=ORIENTED_EDGE('',*,*,#50756,.T.); #70632=ORIENTED_EDGE('',*,*,#50757,.T.); #70633=ORIENTED_EDGE('',*,*,#50758,.F.); #70634=ORIENTED_EDGE('',*,*,#50735,.T.); #70635=ORIENTED_EDGE('',*,*,#50759,.T.); #70636=ORIENTED_EDGE('',*,*,#50760,.T.); #70637=ORIENTED_EDGE('',*,*,#50114,.F.); #70638=ORIENTED_EDGE('',*,*,#50128,.T.); #70639=ORIENTED_EDGE('',*,*,#50127,.T.); #70640=ORIENTED_EDGE('',*,*,#50215,.F.); #70641=ORIENTED_EDGE('',*,*,#50213,.F.); #70642=ORIENTED_EDGE('',*,*,#50761,.T.); #70643=ORIENTED_EDGE('',*,*,#50211,.F.); #70644=ORIENTED_EDGE('',*,*,#50207,.T.); #70645=ORIENTED_EDGE('',*,*,#50130,.T.); #70646=ORIENTED_EDGE('',*,*,#50109,.F.); #70647=ORIENTED_EDGE('',*,*,#50118,.F.); #70648=ORIENTED_EDGE('',*,*,#50115,.F.); #70649=ORIENTED_EDGE('',*,*,#50760,.F.); #70650=ORIENTED_EDGE('',*,*,#50762,.T.); #70651=ORIENTED_EDGE('',*,*,#50069,.F.); #70652=ORIENTED_EDGE('',*,*,#50053,.T.); #70653=ORIENTED_EDGE('',*,*,#50175,.F.); #70654=ORIENTED_EDGE('',*,*,#50604,.F.); #70655=ORIENTED_EDGE('',*,*,#50103,.F.); #70656=ORIENTED_EDGE('',*,*,#50431,.T.); #70657=ORIENTED_EDGE('',*,*,#50149,.F.); #70658=ORIENTED_EDGE('',*,*,#50217,.F.); #70659=ORIENTED_EDGE('',*,*,#50761,.F.); #70660=ORIENTED_EDGE('',*,*,#50212,.F.); #70661=ORIENTED_EDGE('',*,*,#50019,.T.); #70662=ORIENTED_EDGE('',*,*,#49950,.T.); #70663=ORIENTED_EDGE('',*,*,#49946,.F.); #70664=ORIENTED_EDGE('',*,*,#49945,.T.); #70665=ORIENTED_EDGE('',*,*,#50763,.T.); #70666=ORIENTED_EDGE('',*,*,#50025,.T.); #70667=ORIENTED_EDGE('',*,*,#49963,.F.); #70668=ORIENTED_EDGE('',*,*,#49940,.T.); #70669=ORIENTED_EDGE('',*,*,#49949,.T.); #70670=ORIENTED_EDGE('',*,*,#50029,.T.); #70671=ORIENTED_EDGE('',*,*,#50764,.F.); #70672=ORIENTED_EDGE('',*,*,#49955,.T.); #70673=ORIENTED_EDGE('',*,*,#49965,.T.); #70674=ORIENTED_EDGE('',*,*,#50028,.T.); #70675=ORIENTED_EDGE('',*,*,#50032,.T.); #70676=ORIENTED_EDGE('',*,*,#50765,.F.); #70677=ORIENTED_EDGE('',*,*,#49956,.T.); #70678=ORIENTED_EDGE('',*,*,#50764,.T.); #70679=ORIENTED_EDGE('',*,*,#50031,.T.); #70680=ORIENTED_EDGE('',*,*,#49980,.T.); #70681=ORIENTED_EDGE('',*,*,#50766,.F.); #70682=ORIENTED_EDGE('',*,*,#49970,.T.); #70683=ORIENTED_EDGE('',*,*,#50765,.T.); #70684=ORIENTED_EDGE('',*,*,#49979,.T.); #70685=ORIENTED_EDGE('',*,*,#49975,.F.); #70686=ORIENTED_EDGE('',*,*,#49971,.T.); #70687=ORIENTED_EDGE('',*,*,#50766,.T.); #70688=ORIENTED_EDGE('',*,*,#50732,.T.); #70689=ORIENTED_EDGE('',*,*,#50762,.F.); #70690=ORIENTED_EDGE('',*,*,#50759,.F.); #70691=ORIENTED_EDGE('',*,*,#50734,.T.); #70692=ORIENTED_EDGE('',*,*,#50738,.T.); #70693=ORIENTED_EDGE('',*,*,#50742,.T.); #70694=ORIENTED_EDGE('',*,*,#50750,.F.); #70695=ORIENTED_EDGE('',*,*,#50751,.F.); #70696=ORIENTED_EDGE('',*,*,#50740,.T.); #70697=ORIENTED_EDGE('',*,*,#50736,.T.); #70698=ORIENTED_EDGE('',*,*,#50767,.T.); #70699=ORIENTED_EDGE('',*,*,#50489,.T.); #70700=ORIENTED_EDGE('',*,*,#50767,.F.); #70701=ORIENTED_EDGE('',*,*,#50739,.T.); #70702=ORIENTED_EDGE('',*,*,#49657,.F.); #70703=ORIENTED_EDGE('',*,*,#50768,.T.); #70704=ORIENTED_EDGE('',*,*,#49668,.F.); #70705=ORIENTED_EDGE('',*,*,#49996,.T.); #70706=ORIENTED_EDGE('',*,*,#50769,.F.); #70707=ORIENTED_EDGE('',*,*,#49703,.F.); #70708=ORIENTED_EDGE('',*,*,#49707,.F.); #70709=ORIENTED_EDGE('',*,*,#50769,.T.); #70710=ORIENTED_EDGE('',*,*,#49711,.T.); #70711=ORIENTED_EDGE('',*,*,#50770,.T.); #70712=ORIENTED_EDGE('',*,*,#50006,.T.); #70713=ORIENTED_EDGE('',*,*,#50771,.T.); #70714=ORIENTED_EDGE('',*,*,#49906,.T.); #70715=ORIENTED_EDGE('',*,*,#50770,.F.); #70716=ORIENTED_EDGE('',*,*,#49710,.T.); #70717=ORIENTED_EDGE('',*,*,#49686,.F.); #70718=ORIENTED_EDGE('',*,*,#49907,.T.); #70719=ORIENTED_EDGE('',*,*,#50771,.F.); #70720=ORIENTED_EDGE('',*,*,#50385,.T.); #70721=ORIENTED_EDGE('',*,*,#49587,.F.); #70722=ORIENTED_EDGE('',*,*,#49598,.T.); #70723=ORIENTED_EDGE('',*,*,#49601,.F.); #70724=ORIENTED_EDGE('',*,*,#49997,.T.); #70725=ORIENTED_EDGE('',*,*,#50772,.F.); #70726=ORIENTED_EDGE('',*,*,#50773,.F.); #70727=ORIENTED_EDGE('',*,*,#50774,.F.); #70728=ORIENTED_EDGE('',*,*,#49560,.F.); #70729=ORIENTED_EDGE('',*,*,#49903,.T.); #70730=ORIENTED_EDGE('',*,*,#50773,.T.); #70731=ORIENTED_EDGE('',*,*,#50775,.T.); #70732=ORIENTED_EDGE('',*,*,#50776,.T.); #70733=ORIENTED_EDGE('',*,*,#49571,.T.); #70734=ORIENTED_EDGE('',*,*,#49574,.F.); #70735=ORIENTED_EDGE('',*,*,#50388,.T.); #70736=ORIENTED_EDGE('',*,*,#50774,.T.); #70737=ORIENTED_EDGE('',*,*,#49902,.T.); #70738=ORIENTED_EDGE('',*,*,#50776,.F.); #70739=ORIENTED_EDGE('',*,*,#50387,.T.); #70740=ORIENTED_EDGE('',*,*,#49559,.F.); #70741=ORIENTED_EDGE('',*,*,#50777,.T.); #70742=ORIENTED_EDGE('',*,*,#50772,.T.); #70743=ORIENTED_EDGE('',*,*,#50386,.T.); #70744=ORIENTED_EDGE('',*,*,#49355,.F.); #70745=ORIENTED_EDGE('',*,*,#49365,.F.); #70746=ORIENTED_EDGE('',*,*,#49548,.F.); #70747=ORIENTED_EDGE('',*,*,#49552,.F.); #70748=ORIENTED_EDGE('',*,*,#49558,.F.); #70749=ORIENTED_EDGE('',*,*,#49553,.F.); #70750=ORIENTED_EDGE('',*,*,#49358,.F.); #70751=ORIENTED_EDGE('',*,*,#49357,.F.); #70752=ORIENTED_EDGE('',*,*,#50778,.T.); #70753=ORIENTED_EDGE('',*,*,#50779,.T.); #70754=ORIENTED_EDGE('',*,*,#50381,.F.); #70755=ORIENTED_EDGE('',*,*,#50384,.T.); #70756=ORIENTED_EDGE('',*,*,#50780,.T.); #70757=ORIENTED_EDGE('',*,*,#50781,.T.); #70758=ORIENTED_EDGE('',*,*,#50778,.F.); #70759=ORIENTED_EDGE('',*,*,#49754,.T.); #70760=ORIENTED_EDGE('',*,*,#49748,.F.); #70761=ORIENTED_EDGE('',*,*,#50782,.T.); #70762=ORIENTED_EDGE('',*,*,#50780,.F.); #70763=ORIENTED_EDGE('',*,*,#49753,.T.); #70764=ORIENTED_EDGE('',*,*,#50363,.F.); #70765=ORIENTED_EDGE('',*,*,#50383,.F.); #70766=ORIENTED_EDGE('',*,*,#50783,.T.); #70767=ORIENTED_EDGE('',*,*,#50784,.T.); #70768=ORIENTED_EDGE('',*,*,#50361,.F.); #70769=ORIENTED_EDGE('',*,*,#50784,.F.); #70770=ORIENTED_EDGE('',*,*,#50785,.T.); #70771=ORIENTED_EDGE('',*,*,#48615,.T.); #70772=ORIENTED_EDGE('',*,*,#50785,.F.); #70773=ORIENTED_EDGE('',*,*,#50786,.F.); #70774=ORIENTED_EDGE('',*,*,#50787,.F.); #70775=ORIENTED_EDGE('',*,*,#50788,.T.); #70776=ORIENTED_EDGE('',*,*,#50789,.T.); #70777=ORIENTED_EDGE('',*,*,#50790,.F.); #70778=ORIENTED_EDGE('',*,*,#50791,.F.); #70779=ORIENTED_EDGE('',*,*,#50792,.F.); #70780=ORIENTED_EDGE('',*,*,#50699,.F.); #70781=ORIENTED_EDGE('',*,*,#50783,.F.); #70782=ORIENTED_EDGE('',*,*,#50382,.F.); #70783=ORIENTED_EDGE('',*,*,#50793,.T.); #70784=ORIENTED_EDGE('',*,*,#50794,.T.); #70785=ORIENTED_EDGE('',*,*,#50786,.T.); #70786=ORIENTED_EDGE('',*,*,#50779,.F.); #70787=ORIENTED_EDGE('',*,*,#50781,.F.); #70788=ORIENTED_EDGE('',*,*,#50795,.T.); #70789=ORIENTED_EDGE('',*,*,#50793,.F.); #70790=ORIENTED_EDGE('',*,*,#49743,.F.); #70791=ORIENTED_EDGE('',*,*,#50796,.F.); #70792=ORIENTED_EDGE('',*,*,#50797,.T.); #70793=ORIENTED_EDGE('',*,*,#50798,.F.); #70794=ORIENTED_EDGE('',*,*,#50799,.F.); #70795=ORIENTED_EDGE('',*,*,#50795,.F.); #70796=ORIENTED_EDGE('',*,*,#50782,.F.); #70797=ORIENTED_EDGE('',*,*,#49523,.F.); #70798=ORIENTED_EDGE('',*,*,#50001,.T.); #70799=ORIENTED_EDGE('',*,*,#50800,.T.); #70800=ORIENTED_EDGE('',*,*,#50801,.T.); #70801=ORIENTED_EDGE('',*,*,#50802,.T.); #70802=ORIENTED_EDGE('',*,*,#50803,.T.); #70803=ORIENTED_EDGE('',*,*,#50800,.F.); #70804=ORIENTED_EDGE('',*,*,#50000,.T.); #70805=ORIENTED_EDGE('',*,*,#50393,.T.); #70806=ORIENTED_EDGE('',*,*,#50804,.T.); #70807=ORIENTED_EDGE('',*,*,#50802,.F.); #70808=ORIENTED_EDGE('',*,*,#50390,.T.); #70809=ORIENTED_EDGE('',*,*,#49520,.F.); #70810=ORIENTED_EDGE('',*,*,#50801,.F.); #70811=ORIENTED_EDGE('',*,*,#50805,.T.); #70812=ORIENTED_EDGE('',*,*,#50806,.F.); #70813=ORIENTED_EDGE('',*,*,#50807,.F.); #70814=ORIENTED_EDGE('',*,*,#49542,.F.); #70815=ORIENTED_EDGE('',*,*,#50808,.F.); #70816=ORIENTED_EDGE('',*,*,#49528,.F.); #70817=ORIENTED_EDGE('',*,*,#50808,.T.); #70818=ORIENTED_EDGE('',*,*,#50809,.T.); #70819=ORIENTED_EDGE('',*,*,#49900,.T.); #70820=ORIENTED_EDGE('',*,*,#49536,.F.); #70821=ORIENTED_EDGE('',*,*,#49901,.T.); #70822=ORIENTED_EDGE('',*,*,#50809,.F.); #70823=ORIENTED_EDGE('',*,*,#49541,.T.); #70824=ORIENTED_EDGE('',*,*,#49490,.F.); #70825=ORIENTED_EDGE('',*,*,#49498,.T.); #70826=ORIENTED_EDGE('',*,*,#49497,.F.); #70827=ORIENTED_EDGE('',*,*,#50810,.T.); #70828=ORIENTED_EDGE('',*,*,#49876,.F.); #70829=ORIENTED_EDGE('',*,*,#50811,.T.); #70830=ORIENTED_EDGE('',*,*,#50812,.T.); #70831=ORIENTED_EDGE('',*,*,#49914,.T.); #70832=ORIENTED_EDGE('',*,*,#49887,.F.); #70833=ORIENTED_EDGE('',*,*,#49915,.T.); #70834=ORIENTED_EDGE('',*,*,#50812,.F.); #70835=ORIENTED_EDGE('',*,*,#49895,.T.); #70836=ORIENTED_EDGE('',*,*,#49873,.F.); #70837=ORIENTED_EDGE('',*,*,#48728,.F.); #70838=ORIENTED_EDGE('',*,*,#49896,.F.); #70839=ORIENTED_EDGE('',*,*,#50811,.F.); #70840=ORIENTED_EDGE('',*,*,#49852,.F.); #70841=ORIENTED_EDGE('',*,*,#49913,.T.); #70842=ORIENTED_EDGE('',*,*,#50813,.T.); #70843=ORIENTED_EDGE('',*,*,#49862,.T.); #70844=ORIENTED_EDGE('',*,*,#49843,.F.); #70845=ORIENTED_EDGE('',*,*,#50814,.T.); #70846=ORIENTED_EDGE('',*,*,#50813,.F.); #70847=ORIENTED_EDGE('',*,*,#49912,.T.); #70848=ORIENTED_EDGE('',*,*,#49836,.F.); #70849=ORIENTED_EDGE('',*,*,#49894,.F.); #70850=ORIENTED_EDGE('',*,*,#49863,.F.); #70851=ORIENTED_EDGE('',*,*,#50814,.F.); #70852=ORIENTED_EDGE('',*,*,#49819,.F.); #70853=ORIENTED_EDGE('',*,*,#49911,.T.); #70854=ORIENTED_EDGE('',*,*,#50815,.T.); #70855=ORIENTED_EDGE('',*,*,#49823,.T.); #70856=ORIENTED_EDGE('',*,*,#49811,.F.); #70857=ORIENTED_EDGE('',*,*,#50816,.T.); #70858=ORIENTED_EDGE('',*,*,#50815,.F.); #70859=ORIENTED_EDGE('',*,*,#49910,.T.); #70860=ORIENTED_EDGE('',*,*,#49806,.F.); #70861=ORIENTED_EDGE('',*,*,#49861,.F.); #70862=ORIENTED_EDGE('',*,*,#50817,.F.); #70863=ORIENTED_EDGE('',*,*,#49824,.F.); #70864=ORIENTED_EDGE('',*,*,#50816,.F.); #70865=ORIENTED_EDGE('',*,*,#49783,.F.); #70866=ORIENTED_EDGE('',*,*,#49789,.T.); #70867=ORIENTED_EDGE('',*,*,#49773,.F.); #70868=ORIENTED_EDGE('',*,*,#49909,.T.); #70869=ORIENTED_EDGE('',*,*,#49744,.F.); #70870=ORIENTED_EDGE('',*,*,#50008,.T.); #70871=ORIENTED_EDGE('',*,*,#50818,.T.); #70872=ORIENTED_EDGE('',*,*,#50796,.T.); #70873=ORIENTED_EDGE('',*,*,#49737,.F.); #70874=ORIENTED_EDGE('',*,*,#50819,.T.); #70875=ORIENTED_EDGE('',*,*,#50818,.F.); #70876=ORIENTED_EDGE('',*,*,#50007,.T.); #70877=ORIENTED_EDGE('',*,*,#49732,.F.); #70878=ORIENTED_EDGE('',*,*,#50820,.F.); #70879=ORIENTED_EDGE('',*,*,#50797,.F.); #70880=ORIENTED_EDGE('',*,*,#50819,.F.); #70881=ORIENTED_EDGE('',*,*,#50821,.T.); #70882=ORIENTED_EDGE('',*,*,#50822,.T.); #70883=ORIENTED_EDGE('',*,*,#50823,.T.); #70884=ORIENTED_EDGE('',*,*,#50798,.T.); #70885=ORIENTED_EDGE('',*,*,#44087,.F.); #70886=ORIENTED_EDGE('',*,*,#49157,.F.); #70887=ORIENTED_EDGE('',*,*,#49769,.F.); #70888=ORIENTED_EDGE('',*,*,#49788,.T.); #70889=ORIENTED_EDGE('',*,*,#50824,.T.); #70890=ORIENTED_EDGE('',*,*,#50825,.T.); #70891=ORIENTED_EDGE('',*,*,#50821,.F.); #70892=ORIENTED_EDGE('',*,*,#50820,.T.); #70893=ORIENTED_EDGE('',*,*,#49727,.F.); #70894=ORIENTED_EDGE('',*,*,#48936,.F.); #70895=ORIENTED_EDGE('',*,*,#50823,.F.); #70896=ORIENTED_EDGE('',*,*,#50787,.T.); #70897=ORIENTED_EDGE('',*,*,#50794,.F.); #70898=ORIENTED_EDGE('',*,*,#50799,.T.); #70899=ORIENTED_EDGE('',*,*,#49786,.F.); #70900=ORIENTED_EDGE('',*,*,#48756,.T.); #70901=ORIENTED_EDGE('',*,*,#50824,.F.); #70902=ORIENTED_EDGE('',*,*,#49787,.T.); #70903=ORIENTED_EDGE('',*,*,#49860,.F.); #70904=ORIENTED_EDGE('',*,*,#48751,.T.); #70905=ORIENTED_EDGE('',*,*,#48764,.F.); #70906=ORIENTED_EDGE('',*,*,#50817,.T.); #70907=ORIENTED_EDGE('',*,*,#49485,.F.); #70908=ORIENTED_EDGE('',*,*,#50003,.T.); #70909=ORIENTED_EDGE('',*,*,#50826,.T.); #70910=ORIENTED_EDGE('',*,*,#48786,.T.); #70911=ORIENTED_EDGE('',*,*,#48727,.F.); #70912=ORIENTED_EDGE('',*,*,#48792,.T.); #70913=ORIENTED_EDGE('',*,*,#49892,.F.); #70914=ORIENTED_EDGE('',*,*,#49897,.T.); #70915=ORIENTED_EDGE('',*,*,#49501,.F.); #70916=ORIENTED_EDGE('',*,*,#50807,.T.); #70917=ORIENTED_EDGE('',*,*,#50827,.T.); #70918=ORIENTED_EDGE('',*,*,#50828,.T.); #70919=ORIENTED_EDGE('',*,*,#49503,.F.); #70920=ORIENTED_EDGE('',*,*,#48787,.T.); #70921=ORIENTED_EDGE('',*,*,#50826,.F.); #70922=ORIENTED_EDGE('',*,*,#50829,.T.); #70923=ORIENTED_EDGE('',*,*,#50395,.F.); #70924=ORIENTED_EDGE('',*,*,#50830,.T.); #70925=ORIENTED_EDGE('',*,*,#50831,.T.); #70926=ORIENTED_EDGE('',*,*,#50832,.T.); #70927=ORIENTED_EDGE('',*,*,#50392,.F.); #70928=ORIENTED_EDGE('',*,*,#50831,.F.); #70929=ORIENTED_EDGE('',*,*,#50833,.T.); #70930=ORIENTED_EDGE('',*,*,#50827,.F.); #70931=ORIENTED_EDGE('',*,*,#50806,.T.); #70932=ORIENTED_EDGE('',*,*,#50822,.F.); #70933=ORIENTED_EDGE('',*,*,#50825,.F.); #70934=ORIENTED_EDGE('',*,*,#48755,.T.); #70935=ORIENTED_EDGE('',*,*,#50834,.F.); #70936=ORIENTED_EDGE('',*,*,#50835,.F.); #70937=ORIENTED_EDGE('',*,*,#50836,.F.); #70938=ORIENTED_EDGE('',*,*,#50788,.F.); #70939=ORIENTED_EDGE('',*,*,#49859,.F.); #70940=ORIENTED_EDGE('',*,*,#49893,.F.); #70941=ORIENTED_EDGE('',*,*,#48791,.T.); #70942=ORIENTED_EDGE('',*,*,#50837,.F.); #70943=ORIENTED_EDGE('',*,*,#48752,.F.); #70944=ORIENTED_EDGE('',*,*,#49502,.F.); #70945=ORIENTED_EDGE('',*,*,#50828,.F.); #70946=ORIENTED_EDGE('',*,*,#50838,.T.); #70947=ORIENTED_EDGE('',*,*,#50839,.F.); #70948=ORIENTED_EDGE('',*,*,#50840,.F.); #70949=ORIENTED_EDGE('',*,*,#50841,.F.); #70950=ORIENTED_EDGE('',*,*,#48788,.F.); #70951=ORIENTED_EDGE('',*,*,#48572,.F.); #70952=ORIENTED_EDGE('',*,*,#50704,.T.); #70953=ORIENTED_EDGE('',*,*,#50842,.F.); #70954=ORIENTED_EDGE('',*,*,#50843,.F.); #70955=ORIENTED_EDGE('',*,*,#50844,.F.); #70956=ORIENTED_EDGE('',*,*,#50845,.T.); #70957=ORIENTED_EDGE('',*,*,#50838,.F.); #70958=ORIENTED_EDGE('',*,*,#50833,.F.); #70959=ORIENTED_EDGE('',*,*,#50830,.F.); #70960=ORIENTED_EDGE('',*,*,#50396,.F.); #70961=ORIENTED_EDGE('',*,*,#44302,.F.); #70962=ORIENTED_EDGE('',*,*,#44667,.T.); #70963=ORIENTED_EDGE('',*,*,#44310,.F.); #70964=ORIENTED_EDGE('',*,*,#50716,.T.); #70965=ORIENTED_EDGE('',*,*,#44300,.F.); #70966=ORIENTED_EDGE('',*,*,#50715,.T.); #70967=ORIENTED_EDGE('',*,*,#44301,.F.); #70968=ORIENTED_EDGE('',*,*,#44668,.T.); #70969=ORIENTED_EDGE('',*,*,#44283,.F.); #70970=ORIENTED_EDGE('',*,*,#44666,.T.); #70971=ORIENTED_EDGE('',*,*,#50846,.T.); #70972=ORIENTED_EDGE('',*,*,#50706,.T.); #70973=ORIENTED_EDGE('',*,*,#50846,.F.); #70974=ORIENTED_EDGE('',*,*,#44665,.T.); #70975=ORIENTED_EDGE('',*,*,#50847,.T.); #70976=ORIENTED_EDGE('',*,*,#50848,.T.); #70977=ORIENTED_EDGE('',*,*,#44291,.F.); #70978=ORIENTED_EDGE('',*,*,#50714,.T.); #70979=ORIENTED_EDGE('',*,*,#50847,.F.); #70980=ORIENTED_EDGE('',*,*,#44664,.T.); #70981=ORIENTED_EDGE('',*,*,#44662,.T.); #70982=ORIENTED_EDGE('',*,*,#50724,.F.); #70983=ORIENTED_EDGE('',*,*,#48209,.T.); #70984=ORIENTED_EDGE('',*,*,#48616,.T.); #70985=ORIENTED_EDGE('',*,*,#50698,.T.); #70986=ORIENTED_EDGE('',*,*,#50730,.F.); #70987=ORIENTED_EDGE('',*,*,#50705,.T.); #70988=ORIENTED_EDGE('',*,*,#48571,.F.); #70989=ORIENTED_EDGE('',*,*,#48215,.T.); #70990=ORIENTED_EDGE('',*,*,#50544,.F.); #70991=ORIENTED_EDGE('',*,*,#50695,.T.); #70992=ORIENTED_EDGE('',*,*,#50700,.T.); #70993=ORIENTED_EDGE('',*,*,#50849,.T.); #70994=ORIENTED_EDGE('',*,*,#50710,.T.); #70995=ORIENTED_EDGE('',*,*,#50550,.F.); #70996=ORIENTED_EDGE('',*,*,#50547,.F.); #70997=ORIENTED_EDGE('',*,*,#50850,.T.); #70998=ORIENTED_EDGE('',*,*,#50711,.T.); #70999=ORIENTED_EDGE('',*,*,#50849,.F.); #71000=ORIENTED_EDGE('',*,*,#50792,.T.); #71001=ORIENTED_EDGE('',*,*,#50245,.T.); #71002=ORIENTED_EDGE('',*,*,#50850,.F.); #71003=ORIENTED_EDGE('',*,*,#50791,.T.); #71004=ORIENTED_EDGE('',*,*,#50851,.T.); #71005=ORIENTED_EDGE('',*,*,#50852,.T.); #71006=ORIENTED_EDGE('',*,*,#50256,.T.); #71007=ORIENTED_EDGE('',*,*,#50853,.T.); #71008=ORIENTED_EDGE('',*,*,#50841,.T.); #71009=ORIENTED_EDGE('',*,*,#50854,.T.); #71010=ORIENTED_EDGE('',*,*,#50853,.F.); #71011=ORIENTED_EDGE('',*,*,#50255,.T.); #71012=ORIENTED_EDGE('',*,*,#50855,.T.); #71013=ORIENTED_EDGE('',*,*,#48789,.T.); #71014=ORIENTED_EDGE('',*,*,#50855,.F.); #71015=ORIENTED_EDGE('',*,*,#50708,.T.); #71016=ORIENTED_EDGE('',*,*,#50856,.T.); #71017=ORIENTED_EDGE('',*,*,#48790,.T.); #71018=ORIENTED_EDGE('',*,*,#50848,.F.); #71019=ORIENTED_EDGE('',*,*,#50713,.T.); #71020=ORIENTED_EDGE('',*,*,#50857,.T.); #71021=ORIENTED_EDGE('',*,*,#50837,.T.); #71022=ORIENTED_EDGE('',*,*,#50856,.F.); #71023=ORIENTED_EDGE('',*,*,#50707,.T.); #71024=ORIENTED_EDGE('',*,*,#50857,.F.); #71025=ORIENTED_EDGE('',*,*,#50712,.T.); #71026=ORIENTED_EDGE('',*,*,#50858,.T.); #71027=ORIENTED_EDGE('',*,*,#48753,.T.); #71028=ORIENTED_EDGE('',*,*,#50858,.F.); #71029=ORIENTED_EDGE('',*,*,#50248,.T.); #71030=ORIENTED_EDGE('',*,*,#50859,.T.); #71031=ORIENTED_EDGE('',*,*,#48754,.T.); #71032=ORIENTED_EDGE('',*,*,#50834,.T.); #71033=ORIENTED_EDGE('',*,*,#50859,.F.); #71034=ORIENTED_EDGE('',*,*,#50247,.T.); #71035=ORIENTED_EDGE('',*,*,#50860,.T.); #71036=ORIENTED_EDGE('',*,*,#50257,.T.); #71037=ORIENTED_EDGE('',*,*,#50854,.F.); #71038=ORIENTED_EDGE('',*,*,#50840,.T.); #71039=ORIENTED_EDGE('',*,*,#50861,.T.); #71040=ORIENTED_EDGE('',*,*,#50862,.T.); #71041=ORIENTED_EDGE('',*,*,#50863,.T.); #71042=ORIENTED_EDGE('',*,*,#50835,.T.); #71043=ORIENTED_EDGE('',*,*,#50860,.F.); #71044=ORIENTED_EDGE('',*,*,#50246,.T.); #71045=ORIENTED_EDGE('',*,*,#50852,.F.); #71046=ORIENTED_EDGE('',*,*,#50843,.T.); #71047=ORIENTED_EDGE('',*,*,#50864,.T.); #71048=ORIENTED_EDGE('',*,*,#50258,.T.); #71049=ORIENTED_EDGE('',*,*,#50862,.F.); #71050=ORIENTED_EDGE('',*,*,#50865,.T.); #71051=ORIENTED_EDGE('',*,*,#50633,.T.); #71052=ORIENTED_EDGE('',*,*,#50709,.T.); #71053=ORIENTED_EDGE('',*,*,#50864,.F.); #71054=ORIENTED_EDGE('',*,*,#50842,.T.); #71055=ORIENTED_EDGE('',*,*,#50865,.F.); #71056=ORIENTED_EDGE('',*,*,#50861,.F.); #71057=ORIENTED_EDGE('',*,*,#50839,.T.); #71058=ORIENTED_EDGE('',*,*,#50866,.F.); #71059=ORIENTED_EDGE('',*,*,#50844,.T.); #71060=ORIENTED_EDGE('',*,*,#50867,.T.); #71061=ORIENTED_EDGE('',*,*,#50868,.T.); #71062=ORIENTED_EDGE('',*,*,#50869,.T.); #71063=ORIENTED_EDGE('',*,*,#50868,.F.); #71064=ORIENTED_EDGE('',*,*,#50870,.F.); #71065=ORIENTED_EDGE('',*,*,#50871,.F.); #71066=ORIENTED_EDGE('',*,*,#50872,.T.); #71067=ORIENTED_EDGE('',*,*,#50867,.F.); #71068=ORIENTED_EDGE('',*,*,#50872,.F.); #71069=ORIENTED_EDGE('',*,*,#50873,.F.); #71070=ORIENTED_EDGE('',*,*,#50874,.F.); #71071=ORIENTED_EDGE('',*,*,#50875,.F.); #71072=ORIENTED_EDGE('',*,*,#43840,.F.); #71073=ORIENTED_EDGE('',*,*,#50876,.F.); #71074=ORIENTED_EDGE('',*,*,#50877,.F.); #71075=ORIENTED_EDGE('',*,*,#50869,.F.); #71076=ORIENTED_EDGE('',*,*,#50878,.T.); #71077=ORIENTED_EDGE('',*,*,#50879,.T.); #71078=ORIENTED_EDGE('',*,*,#50880,.T.); #71079=ORIENTED_EDGE('',*,*,#50874,.T.); #71080=ORIENTED_EDGE('',*,*,#50881,.T.); #71081=ORIENTED_EDGE('',*,*,#49927,.T.); #71082=ORIENTED_EDGE('',*,*,#50879,.F.); #71083=ORIENTED_EDGE('',*,*,#50873,.T.); #71084=ORIENTED_EDGE('',*,*,#50871,.T.); #71085=ORIENTED_EDGE('',*,*,#50870,.T.); #71086=ORIENTED_EDGE('',*,*,#50882,.T.); #71087=ORIENTED_EDGE('',*,*,#50881,.F.); #71088=ORIENTED_EDGE('',*,*,#50880,.F.); #71089=ORIENTED_EDGE('',*,*,#49926,.T.); #71090=ORIENTED_EDGE('',*,*,#50883,.T.); #71091=ORIENTED_EDGE('',*,*,#50884,.T.); #71092=ORIENTED_EDGE('',*,*,#49978,.T.); #71093=ORIENTED_EDGE('',*,*,#49994,.T.); #71094=ORIENTED_EDGE('',*,*,#49989,.T.); #71095=ORIENTED_EDGE('',*,*,#49973,.T.); #71096=ORIENTED_EDGE('',*,*,#50020,.T.); #71097=ORIENTED_EDGE('',*,*,#50763,.F.); #71098=ORIENTED_EDGE('',*,*,#50885,.T.); #71099=ORIENTED_EDGE('',*,*,#50886,.T.); #71100=ORIENTED_EDGE('',*,*,#50010,.T.); #71101=ORIENTED_EDGE('',*,*,#50011,.T.); #71102=ORIENTED_EDGE('',*,*,#50886,.F.); #71103=ORIENTED_EDGE('',*,*,#50887,.T.); #71104=ORIENTED_EDGE('',*,*,#50888,.T.); #71105=ORIENTED_EDGE('',*,*,#50012,.T.); #71106=ORIENTED_EDGE('',*,*,#50888,.F.); #71107=ORIENTED_EDGE('',*,*,#50889,.T.); #71108=ORIENTED_EDGE('',*,*,#50890,.T.); #71109=ORIENTED_EDGE('',*,*,#50890,.F.); #71110=ORIENTED_EDGE('',*,*,#50891,.T.); #71111=ORIENTED_EDGE('',*,*,#50892,.T.); #71112=ORIENTED_EDGE('',*,*,#50893,.T.); #71113=ORIENTED_EDGE('',*,*,#50892,.F.); #71114=ORIENTED_EDGE('',*,*,#50894,.T.); #71115=ORIENTED_EDGE('',*,*,#50895,.T.); #71116=ORIENTED_EDGE('',*,*,#50896,.T.); #71117=ORIENTED_EDGE('',*,*,#50897,.T.); #71118=ORIENTED_EDGE('',*,*,#50898,.T.); #71119=ORIENTED_EDGE('',*,*,#50895,.F.); #71120=ORIENTED_EDGE('',*,*,#50899,.T.); #71121=ORIENTED_EDGE('',*,*,#50900,.T.); #71122=ORIENTED_EDGE('',*,*,#50901,.T.); #71123=ORIENTED_EDGE('',*,*,#50897,.F.); #71124=ORIENTED_EDGE('',*,*,#50902,.T.); #71125=ORIENTED_EDGE('',*,*,#50014,.T.); #71126=ORIENTED_EDGE('',*,*,#50900,.F.); #71127=ORIENTED_EDGE('',*,*,#50903,.T.); #71128=ORIENTED_EDGE('',*,*,#50904,.T.); #71129=ORIENTED_EDGE('',*,*,#50015,.T.); #71130=ORIENTED_EDGE('',*,*,#50904,.F.); #71131=ORIENTED_EDGE('',*,*,#50905,.T.); #71132=ORIENTED_EDGE('',*,*,#50906,.T.); #71133=ORIENTED_EDGE('',*,*,#50016,.T.); #71134=ORIENTED_EDGE('',*,*,#50906,.F.); #71135=ORIENTED_EDGE('',*,*,#50907,.T.); #71136=ORIENTED_EDGE('',*,*,#50908,.T.); #71137=ORIENTED_EDGE('',*,*,#50023,.T.); #71138=ORIENTED_EDGE('',*,*,#50024,.T.); #71139=ORIENTED_EDGE('',*,*,#50908,.F.); #71140=ORIENTED_EDGE('',*,*,#49984,.T.); #71141=ORIENTED_EDGE('',*,*,#49995,.T.); #71142=ORIENTED_EDGE('',*,*,#49993,.T.); #71143=ORIENTED_EDGE('',*,*,#50893,.F.); #71144=ORIENTED_EDGE('',*,*,#50896,.F.); #71145=ORIENTED_EDGE('',*,*,#50898,.F.); #71146=ORIENTED_EDGE('',*,*,#50901,.F.); #71147=ORIENTED_EDGE('',*,*,#50013,.F.); #71148=ORIENTED_EDGE('',*,*,#50887,.F.); #71149=ORIENTED_EDGE('',*,*,#50885,.F.); #71150=ORIENTED_EDGE('',*,*,#49944,.T.); #71151=ORIENTED_EDGE('',*,*,#50909,.F.); #71152=ORIENTED_EDGE('',*,*,#50910,.F.); #71153=ORIENTED_EDGE('',*,*,#50911,.F.); #71154=ORIENTED_EDGE('',*,*,#50912,.F.); #71155=ORIENTED_EDGE('',*,*,#50913,.F.); #71156=ORIENTED_EDGE('',*,*,#50914,.F.); #71157=ORIENTED_EDGE('',*,*,#50915,.F.); #71158=ORIENTED_EDGE('',*,*,#50916,.F.); #71159=ORIENTED_EDGE('',*,*,#49974,.F.); #71160=ORIENTED_EDGE('',*,*,#49988,.T.); #71161=ORIENTED_EDGE('',*,*,#50917,.F.); #71162=ORIENTED_EDGE('',*,*,#49967,.F.); #71163=ORIENTED_EDGE('',*,*,#49925,.T.); #71164=ORIENTED_EDGE('',*,*,#50918,.T.); #71165=ORIENTED_EDGE('',*,*,#50919,.T.); #71166=ORIENTED_EDGE('',*,*,#50883,.F.); #71167=ORIENTED_EDGE('',*,*,#50918,.F.); #71168=ORIENTED_EDGE('',*,*,#49924,.T.); #71169=ORIENTED_EDGE('',*,*,#50920,.T.); #71170=ORIENTED_EDGE('',*,*,#50921,.T.); #71171=ORIENTED_EDGE('',*,*,#50920,.F.); #71172=ORIENTED_EDGE('',*,*,#50922,.T.); #71173=ORIENTED_EDGE('',*,*,#50923,.T.); #71174=ORIENTED_EDGE('',*,*,#50924,.T.); #71175=ORIENTED_EDGE('',*,*,#50925,.T.); #71176=ORIENTED_EDGE('',*,*,#50926,.T.); #71177=ORIENTED_EDGE('',*,*,#50927,.T.); #71178=ORIENTED_EDGE('',*,*,#50913,.T.); #71179=ORIENTED_EDGE('',*,*,#50928,.T.); #71180=ORIENTED_EDGE('',*,*,#50914,.T.); #71181=ORIENTED_EDGE('',*,*,#50927,.F.); #71182=ORIENTED_EDGE('',*,*,#50929,.T.); #71183=ORIENTED_EDGE('',*,*,#50930,.T.); #71184=ORIENTED_EDGE('',*,*,#50915,.T.); #71185=ORIENTED_EDGE('',*,*,#50928,.F.); #71186=ORIENTED_EDGE('',*,*,#50931,.T.); #71187=ORIENTED_EDGE('',*,*,#50932,.T.); #71188=ORIENTED_EDGE('',*,*,#50933,.T.); #71189=ORIENTED_EDGE('',*,*,#50930,.F.); #71190=ORIENTED_EDGE('',*,*,#50934,.T.); #71191=ORIENTED_EDGE('',*,*,#50935,.T.); #71192=ORIENTED_EDGE('',*,*,#50936,.T.); #71193=ORIENTED_EDGE('',*,*,#50932,.F.); #71194=ORIENTED_EDGE('',*,*,#50937,.T.); #71195=ORIENTED_EDGE('',*,*,#50938,.T.); #71196=ORIENTED_EDGE('',*,*,#50939,.T.); #71197=ORIENTED_EDGE('',*,*,#50935,.F.); #71198=ORIENTED_EDGE('',*,*,#50940,.T.); #71199=ORIENTED_EDGE('',*,*,#50941,.T.); #71200=ORIENTED_EDGE('',*,*,#50942,.T.); #71201=ORIENTED_EDGE('',*,*,#50938,.F.); #71202=ORIENTED_EDGE('',*,*,#50943,.T.); #71203=ORIENTED_EDGE('',*,*,#50944,.T.); #71204=ORIENTED_EDGE('',*,*,#50945,.T.); #71205=ORIENTED_EDGE('',*,*,#50941,.F.); #71206=ORIENTED_EDGE('',*,*,#50946,.T.); #71207=ORIENTED_EDGE('',*,*,#50947,.T.); #71208=ORIENTED_EDGE('',*,*,#50948,.T.); #71209=ORIENTED_EDGE('',*,*,#50944,.F.); #71210=ORIENTED_EDGE('',*,*,#50949,.T.); #71211=ORIENTED_EDGE('',*,*,#50950,.T.); #71212=ORIENTED_EDGE('',*,*,#50951,.T.); #71213=ORIENTED_EDGE('',*,*,#50947,.F.); #71214=ORIENTED_EDGE('',*,*,#50952,.T.); #71215=ORIENTED_EDGE('',*,*,#50925,.F.); #71216=ORIENTED_EDGE('',*,*,#50912,.T.); #71217=ORIENTED_EDGE('',*,*,#50923,.F.); #71218=ORIENTED_EDGE('',*,*,#50953,.T.); #71219=ORIENTED_EDGE('',*,*,#50954,.T.); #71220=ORIENTED_EDGE('',*,*,#50955,.T.); #71221=ORIENTED_EDGE('',*,*,#50956,.T.); #71222=ORIENTED_EDGE('',*,*,#50957,.T.); #71223=ORIENTED_EDGE('',*,*,#49930,.T.); #71224=ORIENTED_EDGE('',*,*,#49936,.T.); #71225=ORIENTED_EDGE('',*,*,#50958,.T.); #71226=ORIENTED_EDGE('',*,*,#50956,.F.); #71227=ORIENTED_EDGE('',*,*,#50882,.F.); #71228=ORIENTED_EDGE('',*,*,#50959,.T.); #71229=ORIENTED_EDGE('',*,*,#49937,.F.); #71230=ORIENTED_EDGE('',*,*,#49928,.T.); #71231=ORIENTED_EDGE('',*,*,#50954,.F.); #71232=ORIENTED_EDGE('',*,*,#50960,.T.); #71233=ORIENTED_EDGE('',*,*,#50950,.F.); #71234=ORIENTED_EDGE('',*,*,#50961,.T.); #71235=ORIENTED_EDGE('',*,*,#50884,.F.); #71236=ORIENTED_EDGE('',*,*,#50962,.T.); #71237=ORIENTED_EDGE('',*,*,#43837,.T.); #71238=ORIENTED_EDGE('',*,*,#43841,.T.); #71239=ORIENTED_EDGE('',*,*,#50875,.T.); #71240=ORIENTED_EDGE('',*,*,#50919,.F.); #71241=ORIENTED_EDGE('',*,*,#50963,.T.); #71242=ORIENTED_EDGE('',*,*,#50964,.F.); #71243=ORIENTED_EDGE('',*,*,#50965,.F.); #71244=ORIENTED_EDGE('',*,*,#50962,.F.); #71245=ORIENTED_EDGE('',*,*,#50921,.F.); #71246=ORIENTED_EDGE('',*,*,#50924,.F.); #71247=ORIENTED_EDGE('',*,*,#50911,.T.); #71248=ORIENTED_EDGE('',*,*,#50966,.F.); #71249=ORIENTED_EDGE('',*,*,#50963,.F.); #71250=ORIENTED_EDGE('',*,*,#50889,.F.); #71251=ORIENTED_EDGE('',*,*,#50916,.T.); #71252=ORIENTED_EDGE('',*,*,#50933,.F.); #71253=ORIENTED_EDGE('',*,*,#50967,.F.); #71254=ORIENTED_EDGE('',*,*,#50891,.F.); #71255=ORIENTED_EDGE('',*,*,#50894,.F.); #71256=ORIENTED_EDGE('',*,*,#50967,.T.); #71257=ORIENTED_EDGE('',*,*,#50936,.F.); #71258=ORIENTED_EDGE('',*,*,#50968,.T.); #71259=ORIENTED_EDGE('',*,*,#50899,.F.); #71260=ORIENTED_EDGE('',*,*,#50968,.F.); #71261=ORIENTED_EDGE('',*,*,#50939,.F.); #71262=ORIENTED_EDGE('',*,*,#50969,.F.); #71263=ORIENTED_EDGE('',*,*,#50902,.F.); #71264=ORIENTED_EDGE('',*,*,#50969,.T.); #71265=ORIENTED_EDGE('',*,*,#50942,.F.); #71266=ORIENTED_EDGE('',*,*,#50970,.F.); #71267=ORIENTED_EDGE('',*,*,#50903,.F.); #71268=ORIENTED_EDGE('',*,*,#50905,.F.); #71269=ORIENTED_EDGE('',*,*,#50970,.T.); #71270=ORIENTED_EDGE('',*,*,#50945,.F.); #71271=ORIENTED_EDGE('',*,*,#50948,.F.); #71272=ORIENTED_EDGE('',*,*,#50951,.F.); #71273=ORIENTED_EDGE('',*,*,#50960,.F.); #71274=ORIENTED_EDGE('',*,*,#50971,.T.); #71275=ORIENTED_EDGE('',*,*,#50972,.F.); #71276=ORIENTED_EDGE('',*,*,#50973,.F.); #71277=ORIENTED_EDGE('',*,*,#49985,.F.); #71278=ORIENTED_EDGE('',*,*,#50907,.F.); #71279=ORIENTED_EDGE('',*,*,#43826,.T.); #71280=ORIENTED_EDGE('',*,*,#50974,.F.); #71281=ORIENTED_EDGE('',*,*,#50876,.T.); #71282=ORIENTED_EDGE('',*,*,#43839,.F.); #71283=ORIENTED_EDGE('',*,*,#43828,.T.); #71284=ORIENTED_EDGE('',*,*,#43832,.T.); #71285=ORIENTED_EDGE('',*,*,#50975,.T.); #71286=ORIENTED_EDGE('',*,*,#50976,.T.); #71287=ORIENTED_EDGE('',*,*,#50977,.T.); #71288=ORIENTED_EDGE('',*,*,#50978,.T.); #71289=ORIENTED_EDGE('',*,*,#50979,.T.); #71290=ORIENTED_EDGE('',*,*,#50976,.F.); #71291=ORIENTED_EDGE('',*,*,#50344,.T.); #71292=ORIENTED_EDGE('',*,*,#50980,.T.); #71293=ORIENTED_EDGE('',*,*,#50981,.T.); #71294=ORIENTED_EDGE('',*,*,#50978,.F.); #71295=ORIENTED_EDGE('',*,*,#50343,.T.); #71296=ORIENTED_EDGE('',*,*,#50982,.T.); #71297=ORIENTED_EDGE('',*,*,#50972,.T.); #71298=ORIENTED_EDGE('',*,*,#50980,.F.); #71299=ORIENTED_EDGE('',*,*,#50342,.T.); #71300=ORIENTED_EDGE('',*,*,#50983,.T.); #71301=ORIENTED_EDGE('',*,*,#50973,.T.); #71302=ORIENTED_EDGE('',*,*,#50982,.F.); #71303=ORIENTED_EDGE('',*,*,#50984,.T.); #71304=ORIENTED_EDGE('',*,*,#50985,.T.); #71305=ORIENTED_EDGE('',*,*,#49986,.T.); #71306=ORIENTED_EDGE('',*,*,#50983,.F.); #71307=ORIENTED_EDGE('',*,*,#50986,.T.); #71308=ORIENTED_EDGE('',*,*,#50987,.T.); #71309=ORIENTED_EDGE('',*,*,#49987,.T.); #71310=ORIENTED_EDGE('',*,*,#50985,.F.); #71311=ORIENTED_EDGE('',*,*,#50988,.T.); #71312=ORIENTED_EDGE('',*,*,#50989,.T.); #71313=ORIENTED_EDGE('',*,*,#50917,.T.); #71314=ORIENTED_EDGE('',*,*,#50987,.F.); #71315=ORIENTED_EDGE('',*,*,#50990,.T.); #71316=ORIENTED_EDGE('',*,*,#50991,.T.); #71317=ORIENTED_EDGE('',*,*,#49968,.T.); #71318=ORIENTED_EDGE('',*,*,#50989,.F.); #71319=ORIENTED_EDGE('',*,*,#50992,.T.); #71320=ORIENTED_EDGE('',*,*,#50993,.T.); #71321=ORIENTED_EDGE('',*,*,#49969,.T.); #71322=ORIENTED_EDGE('',*,*,#50991,.F.); #71323=ORIENTED_EDGE('',*,*,#50994,.T.); #71324=ORIENTED_EDGE('',*,*,#50995,.T.); #71325=ORIENTED_EDGE('',*,*,#49959,.T.); #71326=ORIENTED_EDGE('',*,*,#50993,.F.); #71327=ORIENTED_EDGE('',*,*,#50996,.T.); #71328=ORIENTED_EDGE('',*,*,#50997,.T.); #71329=ORIENTED_EDGE('',*,*,#49960,.T.); #71330=ORIENTED_EDGE('',*,*,#50995,.F.); #71331=ORIENTED_EDGE('',*,*,#50998,.T.); #71332=ORIENTED_EDGE('',*,*,#50999,.T.); #71333=ORIENTED_EDGE('',*,*,#49961,.T.); #71334=ORIENTED_EDGE('',*,*,#50997,.F.); #71335=ORIENTED_EDGE('',*,*,#51000,.T.); #71336=ORIENTED_EDGE('',*,*,#51001,.T.); #71337=ORIENTED_EDGE('',*,*,#49942,.T.); #71338=ORIENTED_EDGE('',*,*,#50999,.F.); #71339=ORIENTED_EDGE('',*,*,#51002,.T.); #71340=ORIENTED_EDGE('',*,*,#51003,.T.); #71341=ORIENTED_EDGE('',*,*,#49943,.T.); #71342=ORIENTED_EDGE('',*,*,#51001,.F.); #71343=ORIENTED_EDGE('',*,*,#51004,.T.); #71344=ORIENTED_EDGE('',*,*,#51005,.T.); #71345=ORIENTED_EDGE('',*,*,#50909,.T.); #71346=ORIENTED_EDGE('',*,*,#51003,.F.); #71347=ORIENTED_EDGE('',*,*,#51006,.T.); #71348=ORIENTED_EDGE('',*,*,#51007,.T.); #71349=ORIENTED_EDGE('',*,*,#50910,.T.); #71350=ORIENTED_EDGE('',*,*,#51005,.F.); #71351=ORIENTED_EDGE('',*,*,#51008,.T.); #71352=ORIENTED_EDGE('',*,*,#51009,.T.); #71353=ORIENTED_EDGE('',*,*,#50966,.T.); #71354=ORIENTED_EDGE('',*,*,#51007,.F.); #71355=ORIENTED_EDGE('',*,*,#51010,.T.); #71356=ORIENTED_EDGE('',*,*,#51011,.T.); #71357=ORIENTED_EDGE('',*,*,#50964,.T.); #71358=ORIENTED_EDGE('',*,*,#51009,.F.); #71359=ORIENTED_EDGE('',*,*,#51012,.T.); #71360=ORIENTED_EDGE('',*,*,#43834,.T.); #71361=ORIENTED_EDGE('',*,*,#43838,.T.); #71362=ORIENTED_EDGE('',*,*,#50965,.T.); #71363=ORIENTED_EDGE('',*,*,#51011,.F.); #71364=ORIENTED_EDGE('',*,*,#51013,.T.); #71365=ORIENTED_EDGE('',*,*,#50959,.F.); #71366=ORIENTED_EDGE('',*,*,#50877,.T.); #71367=ORIENTED_EDGE('',*,*,#50974,.T.); #71368=ORIENTED_EDGE('',*,*,#43829,.T.); #71369=ORIENTED_EDGE('',*,*,#51014,.T.); #71370=ORIENTED_EDGE('',*,*,#50992,.F.); #71371=ORIENTED_EDGE('',*,*,#50990,.F.); #71372=ORIENTED_EDGE('',*,*,#50988,.F.); #71373=ORIENTED_EDGE('',*,*,#51015,.T.); #71374=ORIENTED_EDGE('',*,*,#51016,.F.); #71375=ORIENTED_EDGE('',*,*,#51017,.F.); #71376=ORIENTED_EDGE('',*,*,#51018,.F.); #71377=ORIENTED_EDGE('',*,*,#50341,.F.); #71378=ORIENTED_EDGE('',*,*,#51019,.F.); #71379=ORIENTED_EDGE('',*,*,#51015,.F.); #71380=ORIENTED_EDGE('',*,*,#50986,.F.); #71381=ORIENTED_EDGE('',*,*,#50984,.F.); #71382=ORIENTED_EDGE('',*,*,#50975,.F.); #71383=ORIENTED_EDGE('',*,*,#43831,.F.); #71384=ORIENTED_EDGE('',*,*,#43835,.F.); #71385=ORIENTED_EDGE('',*,*,#51013,.F.); #71386=ORIENTED_EDGE('',*,*,#51020,.T.); #71387=ORIENTED_EDGE('',*,*,#51021,.F.); #71388=ORIENTED_EDGE('',*,*,#50345,.F.); #71389=ORIENTED_EDGE('',*,*,#50356,.T.); #71390=ORIENTED_EDGE('',*,*,#51022,.T.); #71391=ORIENTED_EDGE('',*,*,#51021,.T.); #71392=ORIENTED_EDGE('',*,*,#51023,.F.); #71393=ORIENTED_EDGE('',*,*,#51024,.F.); #71394=ORIENTED_EDGE('',*,*,#51025,.T.); #71395=ORIENTED_EDGE('',*,*,#51026,.T.); #71396=ORIENTED_EDGE('',*,*,#50743,.F.); #71397=ORIENTED_EDGE('',*,*,#51027,.F.); #71398=ORIENTED_EDGE('',*,*,#50744,.F.); #71399=ORIENTED_EDGE('',*,*,#51026,.F.); #71400=ORIENTED_EDGE('',*,*,#51028,.F.); #71401=ORIENTED_EDGE('',*,*,#51029,.F.); #71402=ORIENTED_EDGE('',*,*,#50753,.F.); #71403=ORIENTED_EDGE('',*,*,#51030,.T.); #71404=ORIENTED_EDGE('',*,*,#51031,.F.); #71405=ORIENTED_EDGE('',*,*,#51032,.F.); #71406=ORIENTED_EDGE('',*,*,#51030,.F.); #71407=ORIENTED_EDGE('',*,*,#50752,.F.); #71408=ORIENTED_EDGE('',*,*,#50748,.F.); #71409=ORIENTED_EDGE('',*,*,#51033,.F.); #71410=ORIENTED_EDGE('',*,*,#51034,.F.); #71411=ORIENTED_EDGE('',*,*,#51027,.T.); #71412=ORIENTED_EDGE('',*,*,#51035,.T.); #71413=ORIENTED_EDGE('',*,*,#51036,.T.); #71414=ORIENTED_EDGE('',*,*,#50745,.F.); #71415=ORIENTED_EDGE('',*,*,#51029,.T.); #71416=ORIENTED_EDGE('',*,*,#51037,.T.); #71417=ORIENTED_EDGE('',*,*,#51038,.T.); #71418=ORIENTED_EDGE('',*,*,#50754,.T.); #71419=ORIENTED_EDGE('',*,*,#51039,.F.); #71420=ORIENTED_EDGE('',*,*,#50757,.F.); #71421=ORIENTED_EDGE('',*,*,#51040,.T.); #71422=ORIENTED_EDGE('',*,*,#51041,.F.); #71423=ORIENTED_EDGE('',*,*,#51038,.F.); #71424=ORIENTED_EDGE('',*,*,#51042,.T.); #71425=ORIENTED_EDGE('',*,*,#51043,.T.); #71426=ORIENTED_EDGE('',*,*,#50755,.F.); #71427=ORIENTED_EDGE('',*,*,#51040,.F.); #71428=ORIENTED_EDGE('',*,*,#50756,.F.); #71429=ORIENTED_EDGE('',*,*,#51043,.F.); #71430=ORIENTED_EDGE('',*,*,#51044,.F.); #71431=ORIENTED_EDGE('',*,*,#51033,.T.); #71432=ORIENTED_EDGE('',*,*,#50747,.F.); #71433=ORIENTED_EDGE('',*,*,#51045,.T.); #71434=ORIENTED_EDGE('',*,*,#51046,.F.); #71435=ORIENTED_EDGE('',*,*,#51036,.F.); #71436=ORIENTED_EDGE('',*,*,#51047,.F.); #71437=ORIENTED_EDGE('',*,*,#51045,.F.); #71438=ORIENTED_EDGE('',*,*,#50746,.F.); #71439=ORIENTED_EDGE('',*,*,#50878,.F.); #71440=ORIENTED_EDGE('',*,*,#51048,.T.); #71441=ORIENTED_EDGE('',*,*,#51034,.T.); #71442=ORIENTED_EDGE('',*,*,#51046,.T.); #71443=ORIENTED_EDGE('',*,*,#51047,.T.); #71444=ORIENTED_EDGE('',*,*,#51035,.F.); #71445=ORIENTED_EDGE('',*,*,#51028,.T.); #71446=ORIENTED_EDGE('',*,*,#51025,.F.); #71447=ORIENTED_EDGE('',*,*,#51049,.F.); #71448=ORIENTED_EDGE('',*,*,#51041,.T.); #71449=ORIENTED_EDGE('',*,*,#51044,.T.); #71450=ORIENTED_EDGE('',*,*,#51042,.F.); #71451=ORIENTED_EDGE('',*,*,#51037,.F.); #71452=ORIENTED_EDGE('',*,*,#51031,.T.); #71453=ORIENTED_EDGE('',*,*,#51032,.T.); #71454=ORIENTED_EDGE('',*,*,#51048,.F.); #71455=ORIENTED_EDGE('',*,*,#51024,.T.); #71456=ORIENTED_EDGE('',*,*,#50758,.T.); #71457=ORIENTED_EDGE('',*,*,#51039,.T.); #71458=ORIENTED_EDGE('',*,*,#51049,.T.); #71459=ORIENTED_EDGE('',*,*,#50961,.F.); #71460=ORIENTED_EDGE('',*,*,#51050,.T.); #71461=ORIENTED_EDGE('',*,*,#51051,.T.); #71462=ORIENTED_EDGE('',*,*,#49931,.T.); #71463=ORIENTED_EDGE('',*,*,#50955,.F.); #71464=ORIENTED_EDGE('',*,*,#51052,.T.); #71465=ORIENTED_EDGE('',*,*,#49932,.T.); #71466=ORIENTED_EDGE('',*,*,#51051,.F.); #71467=ORIENTED_EDGE('',*,*,#51053,.T.); #71468=ORIENTED_EDGE('',*,*,#51054,.T.); #71469=ORIENTED_EDGE('',*,*,#49933,.T.); #71470=ORIENTED_EDGE('',*,*,#51052,.F.); #71471=ORIENTED_EDGE('',*,*,#51055,.T.); #71472=ORIENTED_EDGE('',*,*,#51056,.T.); #71473=ORIENTED_EDGE('',*,*,#49934,.T.); #71474=ORIENTED_EDGE('',*,*,#51054,.F.); #71475=ORIENTED_EDGE('',*,*,#51057,.T.); #71476=ORIENTED_EDGE('',*,*,#50922,.F.); #71477=ORIENTED_EDGE('',*,*,#49935,.T.); #71478=ORIENTED_EDGE('',*,*,#51056,.F.); #71479=ORIENTED_EDGE('',*,*,#51058,.T.); #71480=ORIENTED_EDGE('',*,*,#50953,.F.); #71481=ORIENTED_EDGE('',*,*,#50926,.F.); #71482=ORIENTED_EDGE('',*,*,#51058,.F.); #71483=ORIENTED_EDGE('',*,*,#51059,.F.); #71484=ORIENTED_EDGE('',*,*,#50929,.F.); #71485=ORIENTED_EDGE('',*,*,#51059,.T.); #71486=ORIENTED_EDGE('',*,*,#51057,.F.); #71487=ORIENTED_EDGE('',*,*,#51060,.F.); #71488=ORIENTED_EDGE('',*,*,#50931,.F.); #71489=ORIENTED_EDGE('',*,*,#51060,.T.); #71490=ORIENTED_EDGE('',*,*,#51055,.F.); #71491=ORIENTED_EDGE('',*,*,#51061,.F.); #71492=ORIENTED_EDGE('',*,*,#50946,.F.); #71493=ORIENTED_EDGE('',*,*,#50943,.F.); #71494=ORIENTED_EDGE('',*,*,#50940,.F.); #71495=ORIENTED_EDGE('',*,*,#50937,.F.); #71496=ORIENTED_EDGE('',*,*,#50934,.F.); #71497=ORIENTED_EDGE('',*,*,#50949,.F.); #71498=ORIENTED_EDGE('',*,*,#51061,.T.); #71499=ORIENTED_EDGE('',*,*,#51053,.F.); #71500=ORIENTED_EDGE('',*,*,#51062,.F.); #71501=ORIENTED_EDGE('',*,*,#50952,.F.); #71502=ORIENTED_EDGE('',*,*,#51062,.T.); #71503=ORIENTED_EDGE('',*,*,#51050,.F.); #71504=ORIENTED_EDGE('',*,*,#49650,.F.); #71505=ORIENTED_EDGE('',*,*,#49666,.F.); #71506=ORIENTED_EDGE('',*,*,#50768,.F.); #71507=ORIENTED_EDGE('',*,*,#49489,.F.); #71508=ORIENTED_EDGE('',*,*,#50810,.F.); #71509=ORIENTED_EDGE('',*,*,#49478,.F.); #71510=ORIENTED_EDGE('',*,*,#49504,.F.); #71511=ORIENTED_EDGE('',*,*,#50829,.F.); #71512=ORIENTED_EDGE('',*,*,#50002,.F.); #71513=ORIENTED_EDGE('',*,*,#48270,.T.); #71514=ORIENTED_EDGE('',*,*,#48269,.F.); #71515=ORIENTED_EDGE('',*,*,#48268,.T.); #71516=ORIENTED_EDGE('',*,*,#48293,.F.); #71517=ORIENTED_EDGE('',*,*,#50359,.T.); #71518=ORIENTED_EDGE('',*,*,#51063,.T.); #71519=ORIENTED_EDGE('',*,*,#51064,.T.); #71520=ORIENTED_EDGE('',*,*,#51065,.F.); #71521=ORIENTED_EDGE('',*,*,#50353,.T.); #71522=ORIENTED_EDGE('',*,*,#48266,.F.); #71523=ORIENTED_EDGE('',*,*,#51065,.T.); #71524=ORIENTED_EDGE('',*,*,#51017,.T.); #71525=ORIENTED_EDGE('',*,*,#51066,.T.); #71526=ORIENTED_EDGE('',*,*,#50354,.T.); #71527=ORIENTED_EDGE('',*,*,#51067,.T.); #71528=ORIENTED_EDGE('',*,*,#51068,.T.); #71529=ORIENTED_EDGE('',*,*,#51063,.F.); #71530=ORIENTED_EDGE('',*,*,#50358,.T.); #71531=ORIENTED_EDGE('',*,*,#51069,.T.); #71532=ORIENTED_EDGE('',*,*,#51070,.T.); #71533=ORIENTED_EDGE('',*,*,#51067,.F.); #71534=ORIENTED_EDGE('',*,*,#50336,.T.); #71535=ORIENTED_EDGE('',*,*,#50335,.T.); #71536=ORIENTED_EDGE('',*,*,#50338,.T.); #71537=ORIENTED_EDGE('',*,*,#51071,.T.); #71538=ORIENTED_EDGE('',*,*,#51069,.F.); #71539=ORIENTED_EDGE('',*,*,#51023,.T.); #71540=ORIENTED_EDGE('',*,*,#51072,.T.); #71541=ORIENTED_EDGE('',*,*,#50339,.F.); #71542=ORIENTED_EDGE('',*,*,#50357,.T.); #71543=ORIENTED_EDGE('',*,*,#50349,.T.); #71544=ORIENTED_EDGE('',*,*,#50346,.T.); #71545=ORIENTED_EDGE('',*,*,#51022,.F.); #71546=ORIENTED_EDGE('',*,*,#50355,.T.); #71547=ORIENTED_EDGE('',*,*,#51019,.T.); #71548=ORIENTED_EDGE('',*,*,#50348,.F.); #71549=ORIENTED_EDGE('',*,*,#50352,.T.); #71550=ORIENTED_EDGE('',*,*,#51073,.T.); #71551=ORIENTED_EDGE('',*,*,#51066,.F.); #71552=ORIENTED_EDGE('',*,*,#51016,.T.); #71553=ORIENTED_EDGE('',*,*,#51073,.F.); #71554=ORIENTED_EDGE('',*,*,#50351,.T.); #71555=ORIENTED_EDGE('',*,*,#44380,.F.); #71556=ORIENTED_EDGE('',*,*,#51074,.T.); #71557=ORIENTED_EDGE('',*,*,#44560,.F.); #71558=ORIENTED_EDGE('',*,*,#44629,.T.); #71559=ORIENTED_EDGE('',*,*,#44383,.F.); #71560=ORIENTED_EDGE('',*,*,#51075,.F.); #71561=ORIENTED_EDGE('',*,*,#44557,.F.); #71562=ORIENTED_EDGE('',*,*,#51074,.F.); #71563=ORIENTED_EDGE('',*,*,#44386,.F.); #71564=ORIENTED_EDGE('',*,*,#44458,.T.); #71565=ORIENTED_EDGE('',*,*,#44554,.F.); #71566=ORIENTED_EDGE('',*,*,#51075,.T.); #71567=ORIENTED_EDGE('',*,*,#50994,.F.); #71568=ORIENTED_EDGE('',*,*,#51018,.T.); #71569=ORIENTED_EDGE('',*,*,#51064,.F.); #71570=ORIENTED_EDGE('',*,*,#51076,.F.); #71571=ORIENTED_EDGE('',*,*,#50996,.F.); #71572=ORIENTED_EDGE('',*,*,#50851,.F.); #71573=ORIENTED_EDGE('',*,*,#50790,.T.); #71574=ORIENTED_EDGE('',*,*,#51077,.T.); #71575=ORIENTED_EDGE('',*,*,#50836,.T.); #71576=ORIENTED_EDGE('',*,*,#50863,.F.); #71577=ORIENTED_EDGE('',*,*,#50845,.F.); #71578=ORIENTED_EDGE('',*,*,#50866,.T.); #71579=ORIENTED_EDGE('',*,*,#50789,.F.); #71580=ORIENTED_EDGE('',*,*,#51077,.F.); #71581=ORIENTED_EDGE('',*,*,#50998,.F.); #71582=ORIENTED_EDGE('',*,*,#51076,.T.); #71583=ORIENTED_EDGE('',*,*,#51068,.F.); #71584=ORIENTED_EDGE('',*,*,#51070,.F.); #71585=ORIENTED_EDGE('',*,*,#51078,.F.); #71586=ORIENTED_EDGE('',*,*,#51002,.F.); #71587=ORIENTED_EDGE('',*,*,#51000,.F.); #71588=ORIENTED_EDGE('',*,*,#51071,.F.); #71589=ORIENTED_EDGE('',*,*,#51079,.F.); #71590=ORIENTED_EDGE('',*,*,#51006,.F.); #71591=ORIENTED_EDGE('',*,*,#51004,.F.); #71592=ORIENTED_EDGE('',*,*,#51078,.T.); #71593=ORIENTED_EDGE('',*,*,#51079,.T.); #71594=ORIENTED_EDGE('',*,*,#50337,.F.); #71595=ORIENTED_EDGE('',*,*,#51072,.F.); #71596=ORIENTED_EDGE('',*,*,#51020,.F.); #71597=ORIENTED_EDGE('',*,*,#51012,.F.); #71598=ORIENTED_EDGE('',*,*,#51010,.F.); #71599=ORIENTED_EDGE('',*,*,#51008,.F.); #71600=ORIENTED_EDGE('',*,*,#50958,.F.); #71601=ORIENTED_EDGE('',*,*,#51080,.T.); #71602=ORIENTED_EDGE('',*,*,#50981,.F.); #71603=ORIENTED_EDGE('',*,*,#50971,.F.); #71604=ORIENTED_EDGE('',*,*,#50957,.F.); #71605=ORIENTED_EDGE('',*,*,#49938,.F.); #71606=ORIENTED_EDGE('',*,*,#51014,.F.); #71607=ORIENTED_EDGE('',*,*,#50977,.F.); #71608=ORIENTED_EDGE('',*,*,#50979,.F.); #71609=ORIENTED_EDGE('',*,*,#51080,.F.); #71610=ORIENTED_EDGE('',*,*,#49550,.F.); #71611=ORIENTED_EDGE('',*,*,#50775,.F.); #71612=ORIENTED_EDGE('',*,*,#50777,.F.); #71613=ORIENTED_EDGE('',*,*,#50804,.F.); #71614=ORIENTED_EDGE('',*,*,#50832,.F.); #71615=ORIENTED_EDGE('',*,*,#50805,.F.); #71616=ORIENTED_EDGE('',*,*,#50803,.F.); #71617=ORIENTED_EDGE('',*,*,#51081,.F.); #71618=ORIENTED_EDGE('',*,*,#51082,.F.); #71619=ORIENTED_EDGE('',*,*,#51083,.F.); #71620=ORIENTED_EDGE('',*,*,#51084,.F.); #71621=ORIENTED_EDGE('',*,*,#51085,.F.); #71622=ORIENTED_EDGE('',*,*,#51086,.F.); #71623=ORIENTED_EDGE('',*,*,#51087,.F.); #71624=ORIENTED_EDGE('',*,*,#51088,.F.); #71625=ORIENTED_EDGE('',*,*,#51089,.F.); #71626=ORIENTED_EDGE('',*,*,#51090,.F.); #71627=ORIENTED_EDGE('',*,*,#51091,.F.); #71628=ORIENTED_EDGE('',*,*,#51092,.F.); #71629=ORIENTED_EDGE('',*,*,#51093,.F.); #71630=ORIENTED_EDGE('',*,*,#51094,.F.); #71631=ORIENTED_EDGE('',*,*,#51095,.F.); #71632=ORIENTED_EDGE('',*,*,#51096,.F.); #71633=ORIENTED_EDGE('',*,*,#51097,.F.); #71634=ORIENTED_EDGE('',*,*,#51098,.F.); #71635=ORIENTED_EDGE('',*,*,#51099,.F.); #71636=ORIENTED_EDGE('',*,*,#51100,.F.); #71637=ORIENTED_EDGE('',*,*,#51101,.F.); #71638=ORIENTED_EDGE('',*,*,#51102,.F.); #71639=ORIENTED_EDGE('',*,*,#51103,.F.); #71640=ORIENTED_EDGE('',*,*,#51104,.F.); #71641=ORIENTED_EDGE('',*,*,#51105,.F.); #71642=ORIENTED_EDGE('',*,*,#51106,.F.); #71643=ORIENTED_EDGE('',*,*,#51107,.F.); #71644=ORIENTED_EDGE('',*,*,#51108,.F.); #71645=ORIENTED_EDGE('',*,*,#51109,.F.); #71646=ORIENTED_EDGE('',*,*,#51110,.F.); #71647=ORIENTED_EDGE('',*,*,#51111,.F.); #71648=ORIENTED_EDGE('',*,*,#51112,.F.); #71649=ORIENTED_EDGE('',*,*,#51113,.F.); #71650=ORIENTED_EDGE('',*,*,#51114,.F.); #71651=ORIENTED_EDGE('',*,*,#51115,.F.); #71652=ORIENTED_EDGE('',*,*,#51116,.F.); #71653=ORIENTED_EDGE('',*,*,#51117,.F.); #71654=ORIENTED_EDGE('',*,*,#51118,.F.); #71655=ORIENTED_EDGE('',*,*,#51119,.F.); #71656=ORIENTED_EDGE('',*,*,#51120,.F.); #71657=ORIENTED_EDGE('',*,*,#51121,.F.); #71658=ORIENTED_EDGE('',*,*,#51122,.F.); #71659=ORIENTED_EDGE('',*,*,#51123,.F.); #71660=ORIENTED_EDGE('',*,*,#51124,.F.); #71661=ORIENTED_EDGE('',*,*,#51125,.F.); #71662=ORIENTED_EDGE('',*,*,#51126,.F.); #71663=ORIENTED_EDGE('',*,*,#51127,.F.); #71664=ORIENTED_EDGE('',*,*,#51128,.F.); #71665=ORIENTED_EDGE('',*,*,#51129,.F.); #71666=ORIENTED_EDGE('',*,*,#51130,.F.); #71667=ORIENTED_EDGE('',*,*,#51131,.F.); #71668=ORIENTED_EDGE('',*,*,#51132,.F.); #71669=ORIENTED_EDGE('',*,*,#51133,.F.); #71670=ORIENTED_EDGE('',*,*,#51134,.F.); #71671=ORIENTED_EDGE('',*,*,#51135,.F.); #71672=ORIENTED_EDGE('',*,*,#51136,.F.); #71673=ORIENTED_EDGE('',*,*,#51137,.F.); #71674=ORIENTED_EDGE('',*,*,#51138,.F.); #71675=ORIENTED_EDGE('',*,*,#51139,.F.); #71676=ORIENTED_EDGE('',*,*,#51140,.F.); #71677=ORIENTED_EDGE('',*,*,#51141,.F.); #71678=ORIENTED_EDGE('',*,*,#51142,.F.); #71679=ORIENTED_EDGE('',*,*,#51143,.F.); #71680=ORIENTED_EDGE('',*,*,#51144,.F.); #71681=ORIENTED_EDGE('',*,*,#51095,.T.); #71682=ORIENTED_EDGE('',*,*,#51145,.F.); #71683=ORIENTED_EDGE('',*,*,#51131,.T.); #71684=ORIENTED_EDGE('',*,*,#51146,.T.); #71685=ORIENTED_EDGE('',*,*,#51094,.T.); #71686=ORIENTED_EDGE('',*,*,#51092,.T.); #71687=ORIENTED_EDGE('',*,*,#51147,.F.); #71688=ORIENTED_EDGE('',*,*,#51134,.T.); #71689=ORIENTED_EDGE('',*,*,#51132,.T.); #71690=ORIENTED_EDGE('',*,*,#51145,.T.); #71691=ORIENTED_EDGE('',*,*,#51091,.T.); #71692=ORIENTED_EDGE('',*,*,#51148,.F.); #71693=ORIENTED_EDGE('',*,*,#51135,.T.); #71694=ORIENTED_EDGE('',*,*,#51147,.T.); #71695=ORIENTED_EDGE('',*,*,#51090,.T.); #71696=ORIENTED_EDGE('',*,*,#51088,.T.); #71697=ORIENTED_EDGE('',*,*,#51149,.F.); #71698=ORIENTED_EDGE('',*,*,#51138,.T.); #71699=ORIENTED_EDGE('',*,*,#51136,.T.); #71700=ORIENTED_EDGE('',*,*,#51148,.T.); #71701=ORIENTED_EDGE('',*,*,#51087,.T.); #71702=ORIENTED_EDGE('',*,*,#51150,.F.); #71703=ORIENTED_EDGE('',*,*,#51139,.T.); #71704=ORIENTED_EDGE('',*,*,#51149,.T.); #71705=ORIENTED_EDGE('',*,*,#51110,.T.); #71706=ORIENTED_EDGE('',*,*,#51151,.F.); #71707=ORIENTED_EDGE('',*,*,#51115,.T.); #71708=ORIENTED_EDGE('',*,*,#51152,.T.); #71709=ORIENTED_EDGE('',*,*,#51082,.T.); #71710=ORIENTED_EDGE('',*,*,#51111,.T.); #71711=ORIENTED_EDGE('',*,*,#51152,.F.); #71712=ORIENTED_EDGE('',*,*,#51114,.T.); #71713=ORIENTED_EDGE('',*,*,#51142,.T.); #71714=ORIENTED_EDGE('',*,*,#51153,.T.); #71715=ORIENTED_EDGE('',*,*,#51083,.T.); #71716=ORIENTED_EDGE('',*,*,#51153,.F.); #71717=ORIENTED_EDGE('',*,*,#51141,.T.); #71718=ORIENTED_EDGE('',*,*,#51154,.T.); #71719=ORIENTED_EDGE('',*,*,#51084,.T.); #71720=ORIENTED_EDGE('',*,*,#51154,.F.); #71721=ORIENTED_EDGE('',*,*,#51144,.T.); #71722=ORIENTED_EDGE('',*,*,#51140,.T.); #71723=ORIENTED_EDGE('',*,*,#51150,.T.); #71724=ORIENTED_EDGE('',*,*,#51086,.T.); #71725=ORIENTED_EDGE('',*,*,#51096,.T.); #71726=ORIENTED_EDGE('',*,*,#51146,.F.); #71727=ORIENTED_EDGE('',*,*,#51130,.T.); #71728=ORIENTED_EDGE('',*,*,#51128,.T.); #71729=ORIENTED_EDGE('',*,*,#51155,.T.); #71730=ORIENTED_EDGE('',*,*,#51098,.T.); #71731=ORIENTED_EDGE('',*,*,#51099,.T.); #71732=ORIENTED_EDGE('',*,*,#51155,.F.); #71733=ORIENTED_EDGE('',*,*,#51127,.T.); #71734=ORIENTED_EDGE('',*,*,#51156,.T.); #71735=ORIENTED_EDGE('',*,*,#51100,.T.); #71736=ORIENTED_EDGE('',*,*,#51156,.F.); #71737=ORIENTED_EDGE('',*,*,#51126,.T.); #71738=ORIENTED_EDGE('',*,*,#51124,.T.); #71739=ORIENTED_EDGE('',*,*,#51157,.T.); #71740=ORIENTED_EDGE('',*,*,#51102,.T.); #71741=ORIENTED_EDGE('',*,*,#51103,.T.); #71742=ORIENTED_EDGE('',*,*,#51157,.F.); #71743=ORIENTED_EDGE('',*,*,#51123,.T.); #71744=ORIENTED_EDGE('',*,*,#51158,.T.); #71745=ORIENTED_EDGE('',*,*,#51104,.T.); #71746=ORIENTED_EDGE('',*,*,#51158,.F.); #71747=ORIENTED_EDGE('',*,*,#51122,.T.); #71748=ORIENTED_EDGE('',*,*,#51120,.T.); #71749=ORIENTED_EDGE('',*,*,#51159,.T.); #71750=ORIENTED_EDGE('',*,*,#51106,.T.); #71751=ORIENTED_EDGE('',*,*,#51107,.T.); #71752=ORIENTED_EDGE('',*,*,#51159,.F.); #71753=ORIENTED_EDGE('',*,*,#51119,.T.); #71754=ORIENTED_EDGE('',*,*,#51160,.T.); #71755=ORIENTED_EDGE('',*,*,#51108,.T.); #71756=ORIENTED_EDGE('',*,*,#51160,.F.); #71757=ORIENTED_EDGE('',*,*,#51118,.T.); #71758=ORIENTED_EDGE('',*,*,#51116,.T.); #71759=ORIENTED_EDGE('',*,*,#51151,.T.); #71760=ORIENTED_EDGE('',*,*,#51109,.T.); #71761=ORIENTED_EDGE('',*,*,#51112,.T.); #71762=ORIENTED_EDGE('',*,*,#51081,.T.); #71763=ORIENTED_EDGE('',*,*,#51085,.T.); #71764=ORIENTED_EDGE('',*,*,#51089,.T.); #71765=ORIENTED_EDGE('',*,*,#51093,.T.); #71766=ORIENTED_EDGE('',*,*,#51097,.T.); #71767=ORIENTED_EDGE('',*,*,#51101,.T.); #71768=ORIENTED_EDGE('',*,*,#51105,.T.); #71769=ORIENTED_EDGE('',*,*,#50292,.T.); #71770=ORIENTED_EDGE('',*,*,#50290,.T.); #71771=ORIENTED_EDGE('',*,*,#50279,.T.); #71772=ORIENTED_EDGE('',*,*,#50283,.T.); #71773=ORIENTED_EDGE('',*,*,#51113,.T.); #71774=ORIENTED_EDGE('',*,*,#51117,.T.); #71775=ORIENTED_EDGE('',*,*,#51121,.T.); #71776=ORIENTED_EDGE('',*,*,#51125,.T.); #71777=ORIENTED_EDGE('',*,*,#51129,.T.); #71778=ORIENTED_EDGE('',*,*,#51133,.T.); #71779=ORIENTED_EDGE('',*,*,#51137,.T.); #71780=ORIENTED_EDGE('',*,*,#51143,.T.); #71781=ORIENTED_EDGE('',*,*,#50297,.T.); #71782=ORIENTED_EDGE('',*,*,#50307,.T.); #71783=ORIENTED_EDGE('',*,*,#50304,.T.); #71784=ORIENTED_EDGE('',*,*,#50301,.T.); #71785=ORIENTED_EDGE('',*,*,#50309,.T.); #71786=ORIENTED_EDGE('',*,*,#50319,.T.); #71787=ORIENTED_EDGE('',*,*,#50316,.T.); #71788=ORIENTED_EDGE('',*,*,#50313,.T.); #71789=ORIENTED_EDGE('',*,*,#50321,.T.); #71790=ORIENTED_EDGE('',*,*,#50331,.T.); #71791=ORIENTED_EDGE('',*,*,#50328,.T.); #71792=ORIENTED_EDGE('',*,*,#50325,.T.); #71793=ORIENTED_EDGE('',*,*,#51161,.F.); #71794=ORIENTED_EDGE('',*,*,#51162,.T.); #71795=ORIENTED_EDGE('',*,*,#51163,.F.); #71796=ORIENTED_EDGE('',*,*,#51164,.F.); #71797=ORIENTED_EDGE('',*,*,#51165,.F.); #71798=ORIENTED_EDGE('',*,*,#51164,.T.); #71799=ORIENTED_EDGE('',*,*,#51166,.F.); #71800=ORIENTED_EDGE('',*,*,#51167,.F.); #71801=ORIENTED_EDGE('',*,*,#51168,.F.); #71802=ORIENTED_EDGE('',*,*,#51167,.T.); #71803=ORIENTED_EDGE('',*,*,#51169,.F.); #71804=ORIENTED_EDGE('',*,*,#51170,.F.); #71805=ORIENTED_EDGE('',*,*,#51171,.F.); #71806=ORIENTED_EDGE('',*,*,#51170,.T.); #71807=ORIENTED_EDGE('',*,*,#51172,.F.); #71808=ORIENTED_EDGE('',*,*,#51162,.F.); #71809=ORIENTED_EDGE('',*,*,#51172,.T.); #71810=ORIENTED_EDGE('',*,*,#51169,.T.); #71811=ORIENTED_EDGE('',*,*,#51166,.T.); #71812=ORIENTED_EDGE('',*,*,#51163,.T.); #71813=ORIENTED_EDGE('',*,*,#51173,.F.); #71814=ORIENTED_EDGE('',*,*,#51174,.T.); #71815=ORIENTED_EDGE('',*,*,#51175,.F.); #71816=ORIENTED_EDGE('',*,*,#51176,.F.); #71817=ORIENTED_EDGE('',*,*,#51177,.F.); #71818=ORIENTED_EDGE('',*,*,#51176,.T.); #71819=ORIENTED_EDGE('',*,*,#51178,.F.); #71820=ORIENTED_EDGE('',*,*,#51179,.F.); #71821=ORIENTED_EDGE('',*,*,#51180,.F.); #71822=ORIENTED_EDGE('',*,*,#51179,.T.); #71823=ORIENTED_EDGE('',*,*,#51181,.F.); #71824=ORIENTED_EDGE('',*,*,#51182,.F.); #71825=ORIENTED_EDGE('',*,*,#51183,.F.); #71826=ORIENTED_EDGE('',*,*,#51182,.T.); #71827=ORIENTED_EDGE('',*,*,#51184,.F.); #71828=ORIENTED_EDGE('',*,*,#51174,.F.); #71829=ORIENTED_EDGE('',*,*,#51184,.T.); #71830=ORIENTED_EDGE('',*,*,#51181,.T.); #71831=ORIENTED_EDGE('',*,*,#51178,.T.); #71832=ORIENTED_EDGE('',*,*,#51175,.T.); #71833=ORIENTED_EDGE('',*,*,#51185,.F.); #71834=ORIENTED_EDGE('',*,*,#51186,.T.); #71835=ORIENTED_EDGE('',*,*,#51187,.F.); #71836=ORIENTED_EDGE('',*,*,#51188,.F.); #71837=ORIENTED_EDGE('',*,*,#51189,.F.); #71838=ORIENTED_EDGE('',*,*,#51188,.T.); #71839=ORIENTED_EDGE('',*,*,#51190,.F.); #71840=ORIENTED_EDGE('',*,*,#51191,.F.); #71841=ORIENTED_EDGE('',*,*,#51192,.F.); #71842=ORIENTED_EDGE('',*,*,#51191,.T.); #71843=ORIENTED_EDGE('',*,*,#51193,.F.); #71844=ORIENTED_EDGE('',*,*,#51194,.F.); #71845=ORIENTED_EDGE('',*,*,#51195,.F.); #71846=ORIENTED_EDGE('',*,*,#51194,.T.); #71847=ORIENTED_EDGE('',*,*,#51196,.F.); #71848=ORIENTED_EDGE('',*,*,#51186,.F.); #71849=ORIENTED_EDGE('',*,*,#51196,.T.); #71850=ORIENTED_EDGE('',*,*,#51193,.T.); #71851=ORIENTED_EDGE('',*,*,#51190,.T.); #71852=ORIENTED_EDGE('',*,*,#51187,.T.); #71853=ORIENTED_EDGE('',*,*,#51197,.F.); #71854=ORIENTED_EDGE('',*,*,#51198,.F.); #71855=ORIENTED_EDGE('',*,*,#51199,.F.); #71856=ORIENTED_EDGE('',*,*,#51200,.F.); #71857=ORIENTED_EDGE('',*,*,#51201,.F.); #71858=ORIENTED_EDGE('',*,*,#51202,.F.); #71859=ORIENTED_EDGE('',*,*,#51203,.F.); #71860=ORIENTED_EDGE('',*,*,#51204,.F.); #71861=ORIENTED_EDGE('',*,*,#51205,.F.); #71862=ORIENTED_EDGE('',*,*,#51206,.F.); #71863=ORIENTED_EDGE('',*,*,#51207,.F.); #71864=ORIENTED_EDGE('',*,*,#51208,.F.); #71865=ORIENTED_EDGE('',*,*,#51209,.F.); #71866=ORIENTED_EDGE('',*,*,#51210,.F.); #71867=ORIENTED_EDGE('',*,*,#51211,.F.); #71868=ORIENTED_EDGE('',*,*,#51212,.F.); #71869=ORIENTED_EDGE('',*,*,#51213,.F.); #71870=ORIENTED_EDGE('',*,*,#51214,.F.); #71871=ORIENTED_EDGE('',*,*,#51215,.F.); #71872=ORIENTED_EDGE('',*,*,#51216,.F.); #71873=ORIENTED_EDGE('',*,*,#51217,.F.); #71874=ORIENTED_EDGE('',*,*,#51218,.F.); #71875=ORIENTED_EDGE('',*,*,#51219,.F.); #71876=ORIENTED_EDGE('',*,*,#51220,.F.); #71877=ORIENTED_EDGE('',*,*,#51221,.F.); #71878=ORIENTED_EDGE('',*,*,#51222,.F.); #71879=ORIENTED_EDGE('',*,*,#51223,.F.); #71880=ORIENTED_EDGE('',*,*,#51224,.F.); #71881=ORIENTED_EDGE('',*,*,#51225,.F.); #71882=ORIENTED_EDGE('',*,*,#51226,.F.); #71883=ORIENTED_EDGE('',*,*,#51227,.F.); #71884=ORIENTED_EDGE('',*,*,#51228,.F.); #71885=ORIENTED_EDGE('',*,*,#51229,.F.); #71886=ORIENTED_EDGE('',*,*,#51230,.F.); #71887=ORIENTED_EDGE('',*,*,#51231,.F.); #71888=ORIENTED_EDGE('',*,*,#51232,.F.); #71889=ORIENTED_EDGE('',*,*,#51233,.F.); #71890=ORIENTED_EDGE('',*,*,#51234,.F.); #71891=ORIENTED_EDGE('',*,*,#51235,.F.); #71892=ORIENTED_EDGE('',*,*,#51236,.F.); #71893=ORIENTED_EDGE('',*,*,#51237,.F.); #71894=ORIENTED_EDGE('',*,*,#51238,.F.); #71895=ORIENTED_EDGE('',*,*,#51239,.F.); #71896=ORIENTED_EDGE('',*,*,#51240,.F.); #71897=ORIENTED_EDGE('',*,*,#51241,.F.); #71898=ORIENTED_EDGE('',*,*,#51242,.F.); #71899=ORIENTED_EDGE('',*,*,#51243,.F.); #71900=ORIENTED_EDGE('',*,*,#51244,.F.); #71901=ORIENTED_EDGE('',*,*,#51245,.F.); #71902=ORIENTED_EDGE('',*,*,#51246,.F.); #71903=ORIENTED_EDGE('',*,*,#51247,.F.); #71904=ORIENTED_EDGE('',*,*,#51248,.F.); #71905=ORIENTED_EDGE('',*,*,#51249,.F.); #71906=ORIENTED_EDGE('',*,*,#51250,.F.); #71907=ORIENTED_EDGE('',*,*,#51251,.F.); #71908=ORIENTED_EDGE('',*,*,#51252,.F.); #71909=ORIENTED_EDGE('',*,*,#51253,.F.); #71910=ORIENTED_EDGE('',*,*,#51254,.F.); #71911=ORIENTED_EDGE('',*,*,#51255,.F.); #71912=ORIENTED_EDGE('',*,*,#51256,.F.); #71913=ORIENTED_EDGE('',*,*,#51257,.F.); #71914=ORIENTED_EDGE('',*,*,#51258,.F.); #71915=ORIENTED_EDGE('',*,*,#51259,.F.); #71916=ORIENTED_EDGE('',*,*,#51260,.F.); #71917=ORIENTED_EDGE('',*,*,#51207,.T.); #71918=ORIENTED_EDGE('',*,*,#51261,.F.); #71919=ORIENTED_EDGE('',*,*,#51251,.T.); #71920=ORIENTED_EDGE('',*,*,#51262,.T.); #71921=ORIENTED_EDGE('',*,*,#51210,.T.); #71922=ORIENTED_EDGE('',*,*,#51208,.T.); #71923=ORIENTED_EDGE('',*,*,#51262,.F.); #71924=ORIENTED_EDGE('',*,*,#51250,.T.); #71925=ORIENTED_EDGE('',*,*,#51248,.T.); #71926=ORIENTED_EDGE('',*,*,#51263,.T.); #71927=ORIENTED_EDGE('',*,*,#51203,.T.); #71928=ORIENTED_EDGE('',*,*,#51264,.F.); #71929=ORIENTED_EDGE('',*,*,#51255,.T.); #71930=ORIENTED_EDGE('',*,*,#51265,.T.); #71931=ORIENTED_EDGE('',*,*,#51206,.T.); #71932=ORIENTED_EDGE('',*,*,#51204,.T.); #71933=ORIENTED_EDGE('',*,*,#51265,.F.); #71934=ORIENTED_EDGE('',*,*,#51254,.T.); #71935=ORIENTED_EDGE('',*,*,#51252,.T.); #71936=ORIENTED_EDGE('',*,*,#51261,.T.); #71937=ORIENTED_EDGE('',*,*,#51198,.T.); #71938=ORIENTED_EDGE('',*,*,#51226,.T.); #71939=ORIENTED_EDGE('',*,*,#51266,.F.); #71940=ORIENTED_EDGE('',*,*,#51230,.T.); #71941=ORIENTED_EDGE('',*,*,#51259,.T.); #71942=ORIENTED_EDGE('',*,*,#51267,.T.); #71943=ORIENTED_EDGE('',*,*,#51199,.T.); #71944=ORIENTED_EDGE('',*,*,#51267,.F.); #71945=ORIENTED_EDGE('',*,*,#51258,.T.); #71946=ORIENTED_EDGE('',*,*,#51268,.T.); #71947=ORIENTED_EDGE('',*,*,#51202,.T.); #71948=ORIENTED_EDGE('',*,*,#51200,.T.); #71949=ORIENTED_EDGE('',*,*,#51268,.F.); #71950=ORIENTED_EDGE('',*,*,#51257,.T.); #71951=ORIENTED_EDGE('',*,*,#51256,.T.); #71952=ORIENTED_EDGE('',*,*,#51264,.T.); #71953=ORIENTED_EDGE('',*,*,#51225,.T.); #71954=ORIENTED_EDGE('',*,*,#51269,.F.); #71955=ORIENTED_EDGE('',*,*,#51231,.T.); #71956=ORIENTED_EDGE('',*,*,#51266,.T.); #71957=ORIENTED_EDGE('',*,*,#51224,.T.); #71958=ORIENTED_EDGE('',*,*,#51270,.F.); #71959=ORIENTED_EDGE('',*,*,#51234,.T.); #71960=ORIENTED_EDGE('',*,*,#51232,.T.); #71961=ORIENTED_EDGE('',*,*,#51269,.T.); #71962=ORIENTED_EDGE('',*,*,#51228,.T.); #71963=ORIENTED_EDGE('',*,*,#51223,.T.); #71964=ORIENTED_EDGE('',*,*,#51271,.F.); #71965=ORIENTED_EDGE('',*,*,#51235,.T.); #71966=ORIENTED_EDGE('',*,*,#51270,.T.); #71967=ORIENTED_EDGE('',*,*,#51220,.T.); #71968=ORIENTED_EDGE('',*,*,#51272,.F.); #71969=ORIENTED_EDGE('',*,*,#51238,.T.); #71970=ORIENTED_EDGE('',*,*,#51236,.T.); #71971=ORIENTED_EDGE('',*,*,#51271,.T.); #71972=ORIENTED_EDGE('',*,*,#51222,.T.); #71973=ORIENTED_EDGE('',*,*,#51219,.T.); #71974=ORIENTED_EDGE('',*,*,#51273,.F.); #71975=ORIENTED_EDGE('',*,*,#51239,.T.); #71976=ORIENTED_EDGE('',*,*,#51272,.T.); #71977=ORIENTED_EDGE('',*,*,#51216,.T.); #71978=ORIENTED_EDGE('',*,*,#51274,.F.); #71979=ORIENTED_EDGE('',*,*,#51242,.T.); #71980=ORIENTED_EDGE('',*,*,#51240,.T.); #71981=ORIENTED_EDGE('',*,*,#51273,.T.); #71982=ORIENTED_EDGE('',*,*,#51218,.T.); #71983=ORIENTED_EDGE('',*,*,#51215,.T.); #71984=ORIENTED_EDGE('',*,*,#51275,.F.); #71985=ORIENTED_EDGE('',*,*,#51243,.T.); #71986=ORIENTED_EDGE('',*,*,#51274,.T.); #71987=ORIENTED_EDGE('',*,*,#51212,.T.); #71988=ORIENTED_EDGE('',*,*,#51276,.F.); #71989=ORIENTED_EDGE('',*,*,#51246,.T.); #71990=ORIENTED_EDGE('',*,*,#51244,.T.); #71991=ORIENTED_EDGE('',*,*,#51275,.T.); #71992=ORIENTED_EDGE('',*,*,#51214,.T.); #71993=ORIENTED_EDGE('',*,*,#51211,.T.); #71994=ORIENTED_EDGE('',*,*,#51263,.F.); #71995=ORIENTED_EDGE('',*,*,#51247,.T.); #71996=ORIENTED_EDGE('',*,*,#51276,.T.); #71997=ORIENTED_EDGE('',*,*,#51197,.T.); #71998=ORIENTED_EDGE('',*,*,#51201,.T.); #71999=ORIENTED_EDGE('',*,*,#51205,.T.); #72000=ORIENTED_EDGE('',*,*,#51209,.T.); #72001=ORIENTED_EDGE('',*,*,#51213,.T.); #72002=ORIENTED_EDGE('',*,*,#51217,.T.); #72003=ORIENTED_EDGE('',*,*,#51221,.T.); #72004=ORIENTED_EDGE('',*,*,#51227,.T.); #72005=ORIENTED_EDGE('',*,*,#50274,.T.); #72006=ORIENTED_EDGE('',*,*,#50272,.T.); #72007=ORIENTED_EDGE('',*,*,#50260,.T.); #72008=ORIENTED_EDGE('',*,*,#50264,.T.); #72009=ORIENTED_EDGE('',*,*,#51260,.T.); #72010=ORIENTED_EDGE('',*,*,#51229,.T.); #72011=ORIENTED_EDGE('',*,*,#51233,.T.); #72012=ORIENTED_EDGE('',*,*,#51237,.T.); #72013=ORIENTED_EDGE('',*,*,#51241,.T.); #72014=ORIENTED_EDGE('',*,*,#51245,.T.); #72015=ORIENTED_EDGE('',*,*,#51249,.T.); #72016=ORIENTED_EDGE('',*,*,#51253,.T.); #72017=ORIENTED_EDGE('',*,*,#51161,.T.); #72018=ORIENTED_EDGE('',*,*,#51165,.T.); #72019=ORIENTED_EDGE('',*,*,#51168,.T.); #72020=ORIENTED_EDGE('',*,*,#51171,.T.); #72021=ORIENTED_EDGE('',*,*,#51173,.T.); #72022=ORIENTED_EDGE('',*,*,#51177,.T.); #72023=ORIENTED_EDGE('',*,*,#51180,.T.); #72024=ORIENTED_EDGE('',*,*,#51183,.T.); #72025=ORIENTED_EDGE('',*,*,#51185,.T.); #72026=ORIENTED_EDGE('',*,*,#51189,.T.); #72027=ORIENTED_EDGE('',*,*,#51192,.T.); #72028=ORIENTED_EDGE('',*,*,#51195,.T.); #72029=ORIENTED_EDGE('',*,*,#48347,.F.); #72030=ORIENTED_EDGE('',*,*,#48622,.T.); #72031=ORIENTED_EDGE('',*,*,#48610,.F.); #72032=ORIENTED_EDGE('',*,*,#48365,.F.); #72033=ORIENTED_EDGE('',*,*,#48392,.F.); #72034=ORIENTED_EDGE('',*,*,#51277,.T.); #72035=ORIENTED_EDGE('',*,*,#48553,.F.); #72036=ORIENTED_EDGE('',*,*,#48411,.F.); #72037=ORIENTED_EDGE('',*,*,#48395,.F.); #72038=ORIENTED_EDGE('',*,*,#48916,.F.); #72039=ORIENTED_EDGE('',*,*,#48559,.F.); #72040=ORIENTED_EDGE('',*,*,#48556,.F.); #72041=ORIENTED_EDGE('',*,*,#51277,.F.); #72042=ORIENTED_EDGE('',*,*,#48372,.F.); #72043=ORIENTED_EDGE('',*,*,#48401,.T.); #72044=ORIENTED_EDGE('',*,*,#48570,.F.); #72045=ORIENTED_EDGE('',*,*,#48913,.F.); #72046=ORIENTED_EDGE('',*,*,#51278,.F.); #72047=ORIENTED_EDGE('',*,*,#51279,.T.); #72048=ORIENTED_EDGE('',*,*,#51280,.T.); #72049=ORIENTED_EDGE('',*,*,#51281,.F.); #72050=ORIENTED_EDGE('',*,*,#51282,.F.); #72051=ORIENTED_EDGE('',*,*,#51283,.T.); #72052=ORIENTED_EDGE('',*,*,#51278,.T.); #72053=ORIENTED_EDGE('',*,*,#51284,.F.); #72054=ORIENTED_EDGE('',*,*,#51285,.F.); #72055=ORIENTED_EDGE('',*,*,#51286,.T.); #72056=ORIENTED_EDGE('',*,*,#51282,.T.); #72057=ORIENTED_EDGE('',*,*,#51287,.F.); #72058=ORIENTED_EDGE('',*,*,#51288,.F.); #72059=ORIENTED_EDGE('',*,*,#51289,.T.); #72060=ORIENTED_EDGE('',*,*,#51285,.T.); #72061=ORIENTED_EDGE('',*,*,#51290,.F.); #72062=ORIENTED_EDGE('',*,*,#51291,.F.); #72063=ORIENTED_EDGE('',*,*,#51292,.T.); #72064=ORIENTED_EDGE('',*,*,#51288,.T.); #72065=ORIENTED_EDGE('',*,*,#51293,.F.); #72066=ORIENTED_EDGE('',*,*,#51294,.F.); #72067=ORIENTED_EDGE('',*,*,#51295,.T.); #72068=ORIENTED_EDGE('',*,*,#51291,.T.); #72069=ORIENTED_EDGE('',*,*,#51296,.F.); #72070=ORIENTED_EDGE('',*,*,#51297,.F.); #72071=ORIENTED_EDGE('',*,*,#51298,.T.); #72072=ORIENTED_EDGE('',*,*,#51294,.T.); #72073=ORIENTED_EDGE('',*,*,#51299,.F.); #72074=ORIENTED_EDGE('',*,*,#51300,.F.); #72075=ORIENTED_EDGE('',*,*,#51301,.T.); #72076=ORIENTED_EDGE('',*,*,#51297,.T.); #72077=ORIENTED_EDGE('',*,*,#51302,.F.); #72078=ORIENTED_EDGE('',*,*,#51303,.F.); #72079=ORIENTED_EDGE('',*,*,#51304,.T.); #72080=ORIENTED_EDGE('',*,*,#51300,.T.); #72081=ORIENTED_EDGE('',*,*,#51305,.F.); #72082=ORIENTED_EDGE('',*,*,#51306,.F.); #72083=ORIENTED_EDGE('',*,*,#51307,.T.); #72084=ORIENTED_EDGE('',*,*,#51303,.T.); #72085=ORIENTED_EDGE('',*,*,#51308,.F.); #72086=ORIENTED_EDGE('',*,*,#51309,.F.); #72087=ORIENTED_EDGE('',*,*,#51310,.T.); #72088=ORIENTED_EDGE('',*,*,#51306,.T.); #72089=ORIENTED_EDGE('',*,*,#51311,.F.); #72090=ORIENTED_EDGE('',*,*,#51312,.F.); #72091=ORIENTED_EDGE('',*,*,#51313,.T.); #72092=ORIENTED_EDGE('',*,*,#51309,.T.); #72093=ORIENTED_EDGE('',*,*,#51314,.F.); #72094=ORIENTED_EDGE('',*,*,#51315,.F.); #72095=ORIENTED_EDGE('',*,*,#51316,.T.); #72096=ORIENTED_EDGE('',*,*,#51312,.T.); #72097=ORIENTED_EDGE('',*,*,#51317,.F.); #72098=ORIENTED_EDGE('',*,*,#51318,.F.); #72099=ORIENTED_EDGE('',*,*,#51319,.T.); #72100=ORIENTED_EDGE('',*,*,#51315,.T.); #72101=ORIENTED_EDGE('',*,*,#51320,.F.); #72102=ORIENTED_EDGE('',*,*,#51321,.F.); #72103=ORIENTED_EDGE('',*,*,#51322,.T.); #72104=ORIENTED_EDGE('',*,*,#51318,.T.); #72105=ORIENTED_EDGE('',*,*,#51323,.F.); #72106=ORIENTED_EDGE('',*,*,#51324,.F.); #72107=ORIENTED_EDGE('',*,*,#51325,.T.); #72108=ORIENTED_EDGE('',*,*,#51321,.T.); #72109=ORIENTED_EDGE('',*,*,#51326,.F.); #72110=ORIENTED_EDGE('',*,*,#51327,.F.); #72111=ORIENTED_EDGE('',*,*,#51328,.T.); #72112=ORIENTED_EDGE('',*,*,#51324,.T.); #72113=ORIENTED_EDGE('',*,*,#51329,.F.); #72114=ORIENTED_EDGE('',*,*,#51330,.F.); #72115=ORIENTED_EDGE('',*,*,#51331,.T.); #72116=ORIENTED_EDGE('',*,*,#51327,.T.); #72117=ORIENTED_EDGE('',*,*,#51332,.F.); #72118=ORIENTED_EDGE('',*,*,#51333,.F.); #72119=ORIENTED_EDGE('',*,*,#51334,.T.); #72120=ORIENTED_EDGE('',*,*,#51330,.T.); #72121=ORIENTED_EDGE('',*,*,#51335,.F.); #72122=ORIENTED_EDGE('',*,*,#51336,.F.); #72123=ORIENTED_EDGE('',*,*,#51337,.T.); #72124=ORIENTED_EDGE('',*,*,#51333,.T.); #72125=ORIENTED_EDGE('',*,*,#51338,.F.); #72126=ORIENTED_EDGE('',*,*,#51339,.F.); #72127=ORIENTED_EDGE('',*,*,#51340,.T.); #72128=ORIENTED_EDGE('',*,*,#51341,.F.); #72129=ORIENTED_EDGE('',*,*,#51342,.F.); #72130=ORIENTED_EDGE('',*,*,#51343,.T.); #72131=ORIENTED_EDGE('',*,*,#51344,.F.); #72132=ORIENTED_EDGE('',*,*,#51345,.T.); #72133=ORIENTED_EDGE('',*,*,#51346,.T.); #72134=ORIENTED_EDGE('',*,*,#51345,.F.); #72135=ORIENTED_EDGE('',*,*,#51347,.F.); #72136=ORIENTED_EDGE('',*,*,#51348,.F.); #72137=ORIENTED_EDGE('',*,*,#51349,.F.); #72138=ORIENTED_EDGE('',*,*,#51350,.T.); #72139=ORIENTED_EDGE('',*,*,#51342,.T.); #72140=ORIENTED_EDGE('',*,*,#51351,.F.); #72141=ORIENTED_EDGE('',*,*,#51352,.F.); #72142=ORIENTED_EDGE('',*,*,#51353,.T.); #72143=ORIENTED_EDGE('',*,*,#51349,.T.); #72144=ORIENTED_EDGE('',*,*,#51354,.F.); #72145=ORIENTED_EDGE('',*,*,#51355,.F.); #72146=ORIENTED_EDGE('',*,*,#51356,.T.); #72147=ORIENTED_EDGE('',*,*,#51352,.T.); #72148=ORIENTED_EDGE('',*,*,#51357,.F.); #72149=ORIENTED_EDGE('',*,*,#51358,.F.); #72150=ORIENTED_EDGE('',*,*,#51359,.T.); #72151=ORIENTED_EDGE('',*,*,#51355,.T.); #72152=ORIENTED_EDGE('',*,*,#51360,.F.); #72153=ORIENTED_EDGE('',*,*,#51361,.F.); #72154=ORIENTED_EDGE('',*,*,#51362,.T.); #72155=ORIENTED_EDGE('',*,*,#51358,.T.); #72156=ORIENTED_EDGE('',*,*,#51363,.F.); #72157=ORIENTED_EDGE('',*,*,#51364,.F.); #72158=ORIENTED_EDGE('',*,*,#51365,.T.); #72159=ORIENTED_EDGE('',*,*,#51361,.T.); #72160=ORIENTED_EDGE('',*,*,#51366,.F.); #72161=ORIENTED_EDGE('',*,*,#51367,.F.); #72162=ORIENTED_EDGE('',*,*,#51368,.T.); #72163=ORIENTED_EDGE('',*,*,#51364,.T.); #72164=ORIENTED_EDGE('',*,*,#51369,.F.); #72165=ORIENTED_EDGE('',*,*,#51370,.F.); #72166=ORIENTED_EDGE('',*,*,#51371,.T.); #72167=ORIENTED_EDGE('',*,*,#51367,.T.); #72168=ORIENTED_EDGE('',*,*,#51372,.F.); #72169=ORIENTED_EDGE('',*,*,#51373,.F.); #72170=ORIENTED_EDGE('',*,*,#51374,.T.); #72171=ORIENTED_EDGE('',*,*,#51370,.T.); #72172=ORIENTED_EDGE('',*,*,#51375,.F.); #72173=ORIENTED_EDGE('',*,*,#51376,.F.); #72174=ORIENTED_EDGE('',*,*,#51377,.T.); #72175=ORIENTED_EDGE('',*,*,#51373,.T.); #72176=ORIENTED_EDGE('',*,*,#51378,.F.); #72177=ORIENTED_EDGE('',*,*,#51379,.F.); #72178=ORIENTED_EDGE('',*,*,#51380,.T.); #72179=ORIENTED_EDGE('',*,*,#51376,.T.); #72180=ORIENTED_EDGE('',*,*,#51381,.F.); #72181=ORIENTED_EDGE('',*,*,#51382,.F.); #72182=ORIENTED_EDGE('',*,*,#51383,.T.); #72183=ORIENTED_EDGE('',*,*,#51379,.T.); #72184=ORIENTED_EDGE('',*,*,#51384,.F.); #72185=ORIENTED_EDGE('',*,*,#51385,.F.); #72186=ORIENTED_EDGE('',*,*,#51386,.T.); #72187=ORIENTED_EDGE('',*,*,#51382,.T.); #72188=ORIENTED_EDGE('',*,*,#51387,.F.); #72189=ORIENTED_EDGE('',*,*,#51388,.F.); #72190=ORIENTED_EDGE('',*,*,#51389,.T.); #72191=ORIENTED_EDGE('',*,*,#51385,.T.); #72192=ORIENTED_EDGE('',*,*,#51390,.F.); #72193=ORIENTED_EDGE('',*,*,#51391,.F.); #72194=ORIENTED_EDGE('',*,*,#51392,.T.); #72195=ORIENTED_EDGE('',*,*,#51388,.T.); #72196=ORIENTED_EDGE('',*,*,#51393,.F.); #72197=ORIENTED_EDGE('',*,*,#51394,.F.); #72198=ORIENTED_EDGE('',*,*,#51395,.T.); #72199=ORIENTED_EDGE('',*,*,#51391,.T.); #72200=ORIENTED_EDGE('',*,*,#51396,.F.); #72201=ORIENTED_EDGE('',*,*,#51397,.F.); #72202=ORIENTED_EDGE('',*,*,#51398,.T.); #72203=ORIENTED_EDGE('',*,*,#51394,.T.); #72204=ORIENTED_EDGE('',*,*,#51399,.F.); #72205=ORIENTED_EDGE('',*,*,#51400,.F.); #72206=ORIENTED_EDGE('',*,*,#51401,.T.); #72207=ORIENTED_EDGE('',*,*,#51397,.T.); #72208=ORIENTED_EDGE('',*,*,#51402,.F.); #72209=ORIENTED_EDGE('',*,*,#51403,.F.); #72210=ORIENTED_EDGE('',*,*,#51404,.T.); #72211=ORIENTED_EDGE('',*,*,#51405,.F.); #72212=ORIENTED_EDGE('',*,*,#51406,.F.); #72213=ORIENTED_EDGE('',*,*,#51407,.F.); #72214=ORIENTED_EDGE('',*,*,#51406,.T.); #72215=ORIENTED_EDGE('',*,*,#51408,.F.); #72216=ORIENTED_EDGE('',*,*,#51409,.F.); #72217=ORIENTED_EDGE('',*,*,#51410,.F.); #72218=ORIENTED_EDGE('',*,*,#51409,.T.); #72219=ORIENTED_EDGE('',*,*,#51411,.F.); #72220=ORIENTED_EDGE('',*,*,#51412,.F.); #72221=ORIENTED_EDGE('',*,*,#51413,.F.); #72222=ORIENTED_EDGE('',*,*,#51412,.T.); #72223=ORIENTED_EDGE('',*,*,#51414,.F.); #72224=ORIENTED_EDGE('',*,*,#51404,.F.); #72225=ORIENTED_EDGE('',*,*,#51414,.T.); #72226=ORIENTED_EDGE('',*,*,#51411,.T.); #72227=ORIENTED_EDGE('',*,*,#51408,.T.); #72228=ORIENTED_EDGE('',*,*,#51405,.T.); #72229=ORIENTED_EDGE('',*,*,#51415,.F.); #72230=ORIENTED_EDGE('',*,*,#51416,.T.); #72231=ORIENTED_EDGE('',*,*,#51417,.F.); #72232=ORIENTED_EDGE('',*,*,#51418,.F.); #72233=ORIENTED_EDGE('',*,*,#51419,.F.); #72234=ORIENTED_EDGE('',*,*,#51418,.T.); #72235=ORIENTED_EDGE('',*,*,#51420,.F.); #72236=ORIENTED_EDGE('',*,*,#51421,.F.); #72237=ORIENTED_EDGE('',*,*,#51422,.F.); #72238=ORIENTED_EDGE('',*,*,#51421,.T.); #72239=ORIENTED_EDGE('',*,*,#51423,.F.); #72240=ORIENTED_EDGE('',*,*,#51424,.F.); #72241=ORIENTED_EDGE('',*,*,#51425,.F.); #72242=ORIENTED_EDGE('',*,*,#51424,.T.); #72243=ORIENTED_EDGE('',*,*,#51426,.F.); #72244=ORIENTED_EDGE('',*,*,#51416,.F.); #72245=ORIENTED_EDGE('',*,*,#51426,.T.); #72246=ORIENTED_EDGE('',*,*,#51423,.T.); #72247=ORIENTED_EDGE('',*,*,#51420,.T.); #72248=ORIENTED_EDGE('',*,*,#51417,.T.); #72249=ORIENTED_EDGE('',*,*,#51427,.F.); #72250=ORIENTED_EDGE('',*,*,#51428,.T.); #72251=ORIENTED_EDGE('',*,*,#51429,.F.); #72252=ORIENTED_EDGE('',*,*,#51430,.F.); #72253=ORIENTED_EDGE('',*,*,#51431,.F.); #72254=ORIENTED_EDGE('',*,*,#51430,.T.); #72255=ORIENTED_EDGE('',*,*,#51432,.F.); #72256=ORIENTED_EDGE('',*,*,#51433,.F.); #72257=ORIENTED_EDGE('',*,*,#51434,.F.); #72258=ORIENTED_EDGE('',*,*,#51433,.T.); #72259=ORIENTED_EDGE('',*,*,#51435,.F.); #72260=ORIENTED_EDGE('',*,*,#51436,.F.); #72261=ORIENTED_EDGE('',*,*,#51437,.F.); #72262=ORIENTED_EDGE('',*,*,#51436,.T.); #72263=ORIENTED_EDGE('',*,*,#51438,.F.); #72264=ORIENTED_EDGE('',*,*,#51428,.F.); #72265=ORIENTED_EDGE('',*,*,#51438,.T.); #72266=ORIENTED_EDGE('',*,*,#51435,.T.); #72267=ORIENTED_EDGE('',*,*,#51432,.T.); #72268=ORIENTED_EDGE('',*,*,#51429,.T.); #72269=ORIENTED_EDGE('',*,*,#51439,.F.); #72270=ORIENTED_EDGE('',*,*,#51280,.F.); #72271=ORIENTED_EDGE('',*,*,#51440,.F.); #72272=ORIENTED_EDGE('',*,*,#51347,.T.); #72273=ORIENTED_EDGE('',*,*,#51344,.T.); #72274=ORIENTED_EDGE('',*,*,#51441,.T.); #72275=ORIENTED_EDGE('',*,*,#51442,.T.); #72276=ORIENTED_EDGE('',*,*,#51443,.T.); #72277=ORIENTED_EDGE('',*,*,#51444,.T.); #72278=ORIENTED_EDGE('',*,*,#51445,.T.); #72279=ORIENTED_EDGE('',*,*,#51446,.T.); #72280=ORIENTED_EDGE('',*,*,#51447,.T.); #72281=ORIENTED_EDGE('',*,*,#51448,.T.); #72282=ORIENTED_EDGE('',*,*,#51346,.F.); #72283=ORIENTED_EDGE('',*,*,#51400,.T.); #72284=ORIENTED_EDGE('',*,*,#51449,.F.); #72285=ORIENTED_EDGE('',*,*,#51450,.T.); #72286=ORIENTED_EDGE('',*,*,#51451,.T.); #72287=ORIENTED_EDGE('',*,*,#51440,.T.); #72288=ORIENTED_EDGE('',*,*,#51279,.F.); #72289=ORIENTED_EDGE('',*,*,#51283,.F.); #72290=ORIENTED_EDGE('',*,*,#51286,.F.); #72291=ORIENTED_EDGE('',*,*,#51289,.F.); #72292=ORIENTED_EDGE('',*,*,#51292,.F.); #72293=ORIENTED_EDGE('',*,*,#51295,.F.); #72294=ORIENTED_EDGE('',*,*,#51298,.F.); #72295=ORIENTED_EDGE('',*,*,#51301,.F.); #72296=ORIENTED_EDGE('',*,*,#51304,.F.); #72297=ORIENTED_EDGE('',*,*,#51307,.F.); #72298=ORIENTED_EDGE('',*,*,#51310,.F.); #72299=ORIENTED_EDGE('',*,*,#51313,.F.); #72300=ORIENTED_EDGE('',*,*,#51316,.F.); #72301=ORIENTED_EDGE('',*,*,#51319,.F.); #72302=ORIENTED_EDGE('',*,*,#51322,.F.); #72303=ORIENTED_EDGE('',*,*,#51325,.F.); #72304=ORIENTED_EDGE('',*,*,#51328,.F.); #72305=ORIENTED_EDGE('',*,*,#51331,.F.); #72306=ORIENTED_EDGE('',*,*,#51334,.F.); #72307=ORIENTED_EDGE('',*,*,#51337,.F.); #72308=ORIENTED_EDGE('',*,*,#51452,.F.); #72309=ORIENTED_EDGE('',*,*,#51340,.F.); #72310=ORIENTED_EDGE('',*,*,#51453,.F.); #72311=ORIENTED_EDGE('',*,*,#51449,.T.); #72312=ORIENTED_EDGE('',*,*,#51402,.T.); #72313=ORIENTED_EDGE('',*,*,#51399,.T.); #72314=ORIENTED_EDGE('',*,*,#51396,.T.); #72315=ORIENTED_EDGE('',*,*,#51393,.T.); #72316=ORIENTED_EDGE('',*,*,#51390,.T.); #72317=ORIENTED_EDGE('',*,*,#51387,.T.); #72318=ORIENTED_EDGE('',*,*,#51384,.T.); #72319=ORIENTED_EDGE('',*,*,#51381,.T.); #72320=ORIENTED_EDGE('',*,*,#51378,.T.); #72321=ORIENTED_EDGE('',*,*,#51375,.T.); #72322=ORIENTED_EDGE('',*,*,#51372,.T.); #72323=ORIENTED_EDGE('',*,*,#51369,.T.); #72324=ORIENTED_EDGE('',*,*,#51366,.T.); #72325=ORIENTED_EDGE('',*,*,#51363,.T.); #72326=ORIENTED_EDGE('',*,*,#51360,.T.); #72327=ORIENTED_EDGE('',*,*,#51357,.T.); #72328=ORIENTED_EDGE('',*,*,#51354,.T.); #72329=ORIENTED_EDGE('',*,*,#51351,.T.); #72330=ORIENTED_EDGE('',*,*,#51348,.T.); #72331=ORIENTED_EDGE('',*,*,#51454,.F.); #72332=ORIENTED_EDGE('',*,*,#51447,.F.); #72333=ORIENTED_EDGE('',*,*,#51455,.F.); #72334=ORIENTED_EDGE('',*,*,#51456,.F.); #72335=ORIENTED_EDGE('',*,*,#51441,.F.); #72336=ORIENTED_EDGE('',*,*,#51457,.F.); #72337=ORIENTED_EDGE('',*,*,#51458,.F.); #72338=ORIENTED_EDGE('',*,*,#51459,.F.); #72339=ORIENTED_EDGE('',*,*,#51442,.F.); #72340=ORIENTED_EDGE('',*,*,#51460,.F.); #72341=ORIENTED_EDGE('',*,*,#51461,.F.); #72342=ORIENTED_EDGE('',*,*,#51462,.F.); #72343=ORIENTED_EDGE('',*,*,#51443,.F.); #72344=ORIENTED_EDGE('',*,*,#51463,.F.); #72345=ORIENTED_EDGE('',*,*,#51464,.F.); #72346=ORIENTED_EDGE('',*,*,#51465,.F.); #72347=ORIENTED_EDGE('',*,*,#51444,.F.); #72348=ORIENTED_EDGE('',*,*,#51466,.F.); #72349=ORIENTED_EDGE('',*,*,#51467,.F.); #72350=ORIENTED_EDGE('',*,*,#51468,.F.); #72351=ORIENTED_EDGE('',*,*,#51445,.F.); #72352=ORIENTED_EDGE('',*,*,#51469,.F.); #72353=ORIENTED_EDGE('',*,*,#51470,.F.); #72354=ORIENTED_EDGE('',*,*,#51471,.F.); #72355=ORIENTED_EDGE('',*,*,#51446,.F.); #72356=ORIENTED_EDGE('',*,*,#51472,.F.); #72357=ORIENTED_EDGE('',*,*,#51473,.F.); #72358=ORIENTED_EDGE('',*,*,#51474,.F.); #72359=ORIENTED_EDGE('',*,*,#51475,.F.); #72360=ORIENTED_EDGE('',*,*,#51476,.F.); #72361=ORIENTED_EDGE('',*,*,#51448,.F.); #72362=ORIENTED_EDGE('',*,*,#51477,.F.); #72363=ORIENTED_EDGE('',*,*,#51467,.T.); #72364=ORIENTED_EDGE('',*,*,#51478,.F.); #72365=ORIENTED_EDGE('',*,*,#51479,.T.); #72366=ORIENTED_EDGE('',*,*,#51480,.F.); #72367=ORIENTED_EDGE('',*,*,#51466,.T.); #72368=ORIENTED_EDGE('',*,*,#51465,.T.); #72369=ORIENTED_EDGE('',*,*,#51481,.T.); #72370=ORIENTED_EDGE('',*,*,#51482,.T.); #72371=ORIENTED_EDGE('',*,*,#51483,.T.); #72372=ORIENTED_EDGE('',*,*,#51478,.T.); #72373=ORIENTED_EDGE('',*,*,#51464,.T.); #72374=ORIENTED_EDGE('',*,*,#51484,.F.); #72375=ORIENTED_EDGE('',*,*,#51485,.T.); #72376=ORIENTED_EDGE('',*,*,#51481,.F.); #72377=ORIENTED_EDGE('',*,*,#51463,.T.); #72378=ORIENTED_EDGE('',*,*,#51462,.T.); #72379=ORIENTED_EDGE('',*,*,#51486,.T.); #72380=ORIENTED_EDGE('',*,*,#51487,.T.); #72381=ORIENTED_EDGE('',*,*,#51488,.T.); #72382=ORIENTED_EDGE('',*,*,#51484,.T.); #72383=ORIENTED_EDGE('',*,*,#51461,.T.); #72384=ORIENTED_EDGE('',*,*,#51489,.F.); #72385=ORIENTED_EDGE('',*,*,#51490,.T.); #72386=ORIENTED_EDGE('',*,*,#51486,.F.); #72387=ORIENTED_EDGE('',*,*,#51460,.T.); #72388=ORIENTED_EDGE('',*,*,#51459,.T.); #72389=ORIENTED_EDGE('',*,*,#51491,.T.); #72390=ORIENTED_EDGE('',*,*,#51492,.T.); #72391=ORIENTED_EDGE('',*,*,#51493,.T.); #72392=ORIENTED_EDGE('',*,*,#51489,.T.); #72393=ORIENTED_EDGE('',*,*,#51458,.T.); #72394=ORIENTED_EDGE('',*,*,#51494,.F.); #72395=ORIENTED_EDGE('',*,*,#51495,.T.); #72396=ORIENTED_EDGE('',*,*,#51491,.F.); #72397=ORIENTED_EDGE('',*,*,#51457,.T.); #72398=ORIENTED_EDGE('',*,*,#51476,.T.); #72399=ORIENTED_EDGE('',*,*,#51496,.T.); #72400=ORIENTED_EDGE('',*,*,#51497,.T.); #72401=ORIENTED_EDGE('',*,*,#51498,.T.); #72402=ORIENTED_EDGE('',*,*,#51494,.T.); #72403=ORIENTED_EDGE('',*,*,#51499,.F.); #72404=ORIENTED_EDGE('',*,*,#51500,.F.); #72405=ORIENTED_EDGE('',*,*,#51501,.F.); #72406=ORIENTED_EDGE('',*,*,#51502,.F.); #72407=ORIENTED_EDGE('',*,*,#51503,.F.); #72408=ORIENTED_EDGE('',*,*,#51504,.F.); #72409=ORIENTED_EDGE('',*,*,#51479,.F.); #72410=ORIENTED_EDGE('',*,*,#51483,.F.); #72411=ORIENTED_EDGE('',*,*,#51505,.F.); #72412=ORIENTED_EDGE('',*,*,#51482,.F.); #72413=ORIENTED_EDGE('',*,*,#51485,.F.); #72414=ORIENTED_EDGE('',*,*,#51488,.F.); #72415=ORIENTED_EDGE('',*,*,#51506,.F.); #72416=ORIENTED_EDGE('',*,*,#51487,.F.); #72417=ORIENTED_EDGE('',*,*,#51490,.F.); #72418=ORIENTED_EDGE('',*,*,#51493,.F.); #72419=ORIENTED_EDGE('',*,*,#51507,.F.); #72420=ORIENTED_EDGE('',*,*,#51492,.F.); #72421=ORIENTED_EDGE('',*,*,#51495,.F.); #72422=ORIENTED_EDGE('',*,*,#51498,.F.); #72423=ORIENTED_EDGE('',*,*,#51508,.F.); #72424=ORIENTED_EDGE('',*,*,#51497,.F.); #72425=ORIENTED_EDGE('',*,*,#51509,.F.); #72426=ORIENTED_EDGE('',*,*,#51510,.F.); #72427=ORIENTED_EDGE('',*,*,#51511,.F.); #72428=ORIENTED_EDGE('',*,*,#51512,.F.); #72429=ORIENTED_EDGE('',*,*,#51513,.F.); #72430=ORIENTED_EDGE('',*,*,#51514,.F.); #72431=ORIENTED_EDGE('',*,*,#51515,.F.); #72432=ORIENTED_EDGE('',*,*,#51516,.F.); #72433=ORIENTED_EDGE('',*,*,#51517,.F.); #72434=ORIENTED_EDGE('',*,*,#51518,.F.); #72435=ORIENTED_EDGE('',*,*,#51468,.T.); #72436=ORIENTED_EDGE('',*,*,#51480,.T.); #72437=ORIENTED_EDGE('',*,*,#51504,.T.); #72438=ORIENTED_EDGE('',*,*,#51516,.T.); #72439=ORIENTED_EDGE('',*,*,#51519,.T.); #72440=ORIENTED_EDGE('',*,*,#51469,.T.); #72441=ORIENTED_EDGE('',*,*,#51473,.T.); #72442=ORIENTED_EDGE('',*,*,#51520,.F.); #72443=ORIENTED_EDGE('',*,*,#51502,.T.); #72444=ORIENTED_EDGE('',*,*,#51521,.F.); #72445=ORIENTED_EDGE('',*,*,#51456,.T.); #72446=ORIENTED_EDGE('',*,*,#51522,.F.); #72447=ORIENTED_EDGE('',*,*,#51513,.T.); #72448=ORIENTED_EDGE('',*,*,#51523,.F.); #72449=ORIENTED_EDGE('',*,*,#51475,.T.); #72450=ORIENTED_EDGE('',*,*,#51524,.F.); #72451=ORIENTED_EDGE('',*,*,#51509,.T.); #72452=ORIENTED_EDGE('',*,*,#51496,.F.); #72453=ORIENTED_EDGE('',*,*,#51470,.T.); #72454=ORIENTED_EDGE('',*,*,#51519,.F.); #72455=ORIENTED_EDGE('',*,*,#51515,.T.); #72456=ORIENTED_EDGE('',*,*,#51525,.F.); #72457=ORIENTED_EDGE('',*,*,#51471,.T.); #72458=ORIENTED_EDGE('',*,*,#51525,.T.); #72459=ORIENTED_EDGE('',*,*,#51518,.T.); #72460=ORIENTED_EDGE('',*,*,#51499,.T.); #72461=ORIENTED_EDGE('',*,*,#51520,.T.); #72462=ORIENTED_EDGE('',*,*,#51472,.T.); #72463=ORIENTED_EDGE('',*,*,#51474,.T.); #72464=ORIENTED_EDGE('',*,*,#51521,.T.); #72465=ORIENTED_EDGE('',*,*,#51501,.T.); #72466=ORIENTED_EDGE('',*,*,#51514,.T.); #72467=ORIENTED_EDGE('',*,*,#51522,.T.); #72468=ORIENTED_EDGE('',*,*,#51455,.T.); #72469=ORIENTED_EDGE('',*,*,#51454,.T.); #72470=ORIENTED_EDGE('',*,*,#51523,.T.); #72471=ORIENTED_EDGE('',*,*,#51512,.T.); #72472=ORIENTED_EDGE('',*,*,#51510,.T.); #72473=ORIENTED_EDGE('',*,*,#51524,.T.); #72474=ORIENTED_EDGE('',*,*,#51477,.T.); #72475=ORIENTED_EDGE('',*,*,#51503,.T.); #72476=ORIENTED_EDGE('',*,*,#51505,.T.); #72477=ORIENTED_EDGE('',*,*,#51506,.T.); #72478=ORIENTED_EDGE('',*,*,#51507,.T.); #72479=ORIENTED_EDGE('',*,*,#51508,.T.); #72480=ORIENTED_EDGE('',*,*,#51511,.T.); #72481=ORIENTED_EDGE('',*,*,#51500,.T.); #72482=ORIENTED_EDGE('',*,*,#51517,.T.); #72483=ORIENTED_EDGE('',*,*,#51403,.T.); #72484=ORIENTED_EDGE('',*,*,#51407,.T.); #72485=ORIENTED_EDGE('',*,*,#51410,.T.); #72486=ORIENTED_EDGE('',*,*,#51413,.T.); #72487=ORIENTED_EDGE('',*,*,#51415,.T.); #72488=ORIENTED_EDGE('',*,*,#51419,.T.); #72489=ORIENTED_EDGE('',*,*,#51422,.T.); #72490=ORIENTED_EDGE('',*,*,#51425,.T.); #72491=ORIENTED_EDGE('',*,*,#51427,.T.); #72492=ORIENTED_EDGE('',*,*,#51431,.T.); #72493=ORIENTED_EDGE('',*,*,#51434,.T.); #72494=ORIENTED_EDGE('',*,*,#51437,.T.); #72495=ORIENTED_EDGE('',*,*,#51339,.T.); #72496=ORIENTED_EDGE('',*,*,#51526,.F.); #72497=ORIENTED_EDGE('',*,*,#51527,.T.); #72498=ORIENTED_EDGE('',*,*,#51450,.F.); #72499=ORIENTED_EDGE('',*,*,#51453,.T.); #72500=ORIENTED_EDGE('',*,*,#51528,.T.); #72501=ORIENTED_EDGE('',*,*,#51529,.T.); #72502=ORIENTED_EDGE('',*,*,#51526,.T.); #72503=ORIENTED_EDGE('',*,*,#51341,.T.); #72504=ORIENTED_EDGE('',*,*,#51452,.T.); #72505=ORIENTED_EDGE('',*,*,#51336,.T.); #72506=ORIENTED_EDGE('',*,*,#51530,.F.); #72507=ORIENTED_EDGE('',*,*,#51528,.F.); #72508=ORIENTED_EDGE('',*,*,#51439,.T.); #72509=ORIENTED_EDGE('',*,*,#51343,.F.); #72510=ORIENTED_EDGE('',*,*,#51350,.F.); #72511=ORIENTED_EDGE('',*,*,#51353,.F.); #72512=ORIENTED_EDGE('',*,*,#51356,.F.); #72513=ORIENTED_EDGE('',*,*,#51359,.F.); #72514=ORIENTED_EDGE('',*,*,#51362,.F.); #72515=ORIENTED_EDGE('',*,*,#51365,.F.); #72516=ORIENTED_EDGE('',*,*,#51368,.F.); #72517=ORIENTED_EDGE('',*,*,#51371,.F.); #72518=ORIENTED_EDGE('',*,*,#51374,.F.); #72519=ORIENTED_EDGE('',*,*,#51377,.F.); #72520=ORIENTED_EDGE('',*,*,#51380,.F.); #72521=ORIENTED_EDGE('',*,*,#51383,.F.); #72522=ORIENTED_EDGE('',*,*,#51386,.F.); #72523=ORIENTED_EDGE('',*,*,#51389,.F.); #72524=ORIENTED_EDGE('',*,*,#51392,.F.); #72525=ORIENTED_EDGE('',*,*,#51395,.F.); #72526=ORIENTED_EDGE('',*,*,#51398,.F.); #72527=ORIENTED_EDGE('',*,*,#51401,.F.); #72528=ORIENTED_EDGE('',*,*,#51451,.F.); #72529=ORIENTED_EDGE('',*,*,#51527,.F.); #72530=ORIENTED_EDGE('',*,*,#51529,.F.); #72531=ORIENTED_EDGE('',*,*,#51530,.T.); #72532=ORIENTED_EDGE('',*,*,#51338,.T.); #72533=ORIENTED_EDGE('',*,*,#51335,.T.); #72534=ORIENTED_EDGE('',*,*,#51332,.T.); #72535=ORIENTED_EDGE('',*,*,#51329,.T.); #72536=ORIENTED_EDGE('',*,*,#51326,.T.); #72537=ORIENTED_EDGE('',*,*,#51323,.T.); #72538=ORIENTED_EDGE('',*,*,#51320,.T.); #72539=ORIENTED_EDGE('',*,*,#51317,.T.); #72540=ORIENTED_EDGE('',*,*,#51314,.T.); #72541=ORIENTED_EDGE('',*,*,#51311,.T.); #72542=ORIENTED_EDGE('',*,*,#51308,.T.); #72543=ORIENTED_EDGE('',*,*,#51305,.T.); #72544=ORIENTED_EDGE('',*,*,#51302,.T.); #72545=ORIENTED_EDGE('',*,*,#51299,.T.); #72546=ORIENTED_EDGE('',*,*,#51296,.T.); #72547=ORIENTED_EDGE('',*,*,#51293,.T.); #72548=ORIENTED_EDGE('',*,*,#51290,.T.); #72549=ORIENTED_EDGE('',*,*,#51287,.T.); #72550=ORIENTED_EDGE('',*,*,#51284,.T.); #72551=ORIENTED_EDGE('',*,*,#51281,.T.); #72552=ORIENTED_EDGE('',*,*,#51531,.T.); #72553=ORIENTED_EDGE('',*,*,#51532,.T.); #72554=ORIENTED_EDGE('',*,*,#51533,.T.); #72555=ORIENTED_EDGE('',*,*,#51534,.F.); #72556=ORIENTED_EDGE('',*,*,#51535,.T.); #72557=ORIENTED_EDGE('',*,*,#51536,.T.); #72558=ORIENTED_EDGE('',*,*,#51537,.T.); #72559=ORIENTED_EDGE('',*,*,#51532,.F.); #72560=ORIENTED_EDGE('',*,*,#51538,.T.); #72561=ORIENTED_EDGE('',*,*,#51539,.T.); #72562=ORIENTED_EDGE('',*,*,#51540,.T.); #72563=ORIENTED_EDGE('',*,*,#51536,.F.); #72564=ORIENTED_EDGE('',*,*,#51541,.T.); #72565=ORIENTED_EDGE('',*,*,#51539,.F.); #72566=ORIENTED_EDGE('',*,*,#51542,.T.); #72567=ORIENTED_EDGE('',*,*,#51534,.T.); #72568=ORIENTED_EDGE('',*,*,#51541,.F.); #72569=ORIENTED_EDGE('',*,*,#51543,.F.); #72570=ORIENTED_EDGE('',*,*,#51544,.F.); #72571=ORIENTED_EDGE('',*,*,#51545,.F.); #72572=ORIENTED_EDGE('',*,*,#51533,.F.); #72573=ORIENTED_EDGE('',*,*,#51546,.T.); #72574=ORIENTED_EDGE('',*,*,#51547,.F.); #72575=ORIENTED_EDGE('',*,*,#51543,.T.); #72576=ORIENTED_EDGE('',*,*,#51531,.F.); #72577=ORIENTED_EDGE('',*,*,#51542,.F.); #72578=ORIENTED_EDGE('',*,*,#51538,.F.); #72579=ORIENTED_EDGE('',*,*,#51535,.F.); #72580=ORIENTED_EDGE('',*,*,#51548,.F.); #72581=ORIENTED_EDGE('',*,*,#51549,.T.); #72582=ORIENTED_EDGE('',*,*,#51550,.T.); #72583=ORIENTED_EDGE('',*,*,#51551,.T.); #72584=ORIENTED_EDGE('',*,*,#51550,.F.); #72585=ORIENTED_EDGE('',*,*,#51552,.F.); #72586=ORIENTED_EDGE('',*,*,#51553,.F.); #72587=ORIENTED_EDGE('',*,*,#51554,.T.); #72588=ORIENTED_EDGE('',*,*,#51549,.F.); #72589=ORIENTED_EDGE('',*,*,#51554,.F.); #72590=ORIENTED_EDGE('',*,*,#51551,.F.); #72591=ORIENTED_EDGE('',*,*,#51555,.T.); #72592=ORIENTED_EDGE('',*,*,#51556,.T.); #72593=ORIENTED_EDGE('',*,*,#51557,.T.); #72594=ORIENTED_EDGE('',*,*,#51558,.T.); #72595=ORIENTED_EDGE('',*,*,#51559,.T.); #72596=ORIENTED_EDGE('',*,*,#51560,.T.); #72597=ORIENTED_EDGE('',*,*,#51561,.T.); #72598=ORIENTED_EDGE('',*,*,#51562,.T.); #72599=ORIENTED_EDGE('',*,*,#51563,.T.); #72600=ORIENTED_EDGE('',*,*,#51560,.F.); #72601=ORIENTED_EDGE('',*,*,#51564,.T.); #72602=ORIENTED_EDGE('',*,*,#51562,.F.); #72603=ORIENTED_EDGE('',*,*,#51565,.T.); #72604=ORIENTED_EDGE('',*,*,#51566,.T.); #72605=ORIENTED_EDGE('',*,*,#51567,.T.); #72606=ORIENTED_EDGE('',*,*,#51558,.F.); #72607=ORIENTED_EDGE('',*,*,#51568,.T.); #72608=ORIENTED_EDGE('',*,*,#51555,.F.); #72609=ORIENTED_EDGE('',*,*,#51569,.T.); #72610=ORIENTED_EDGE('',*,*,#51570,.T.); #72611=ORIENTED_EDGE('',*,*,#51571,.T.); #72612=ORIENTED_EDGE('',*,*,#51572,.T.); #72613=ORIENTED_EDGE('',*,*,#51572,.F.); #72614=ORIENTED_EDGE('',*,*,#51573,.T.); #72615=ORIENTED_EDGE('',*,*,#51566,.F.); #72616=ORIENTED_EDGE('',*,*,#51574,.T.); #72617=ORIENTED_EDGE('',*,*,#51568,.F.); #72618=ORIENTED_EDGE('',*,*,#51575,.T.); #72619=ORIENTED_EDGE('',*,*,#51576,.F.); #72620=ORIENTED_EDGE('',*,*,#51577,.T.); #72621=ORIENTED_EDGE('',*,*,#51578,.T.); #72622=ORIENTED_EDGE('',*,*,#51561,.F.); #72623=ORIENTED_EDGE('',*,*,#51563,.F.); #72624=ORIENTED_EDGE('',*,*,#51567,.F.); #72625=ORIENTED_EDGE('',*,*,#51579,.F.); #72626=ORIENTED_EDGE('',*,*,#51580,.F.); #72627=ORIENTED_EDGE('',*,*,#51575,.F.); #72628=ORIENTED_EDGE('',*,*,#51573,.F.); #72629=ORIENTED_EDGE('',*,*,#51581,.F.); #72630=ORIENTED_EDGE('',*,*,#51582,.T.); #72631=ORIENTED_EDGE('',*,*,#51583,.T.); #72632=ORIENTED_EDGE('',*,*,#51579,.T.); #72633=ORIENTED_EDGE('',*,*,#51571,.F.); #72634=ORIENTED_EDGE('',*,*,#51584,.T.); #72635=ORIENTED_EDGE('',*,*,#51581,.T.); #72636=ORIENTED_EDGE('',*,*,#51585,.T.); #72637=ORIENTED_EDGE('',*,*,#51586,.T.); #72638=ORIENTED_EDGE('',*,*,#51587,.T.); #72639=ORIENTED_EDGE('',*,*,#51588,.T.); #72640=ORIENTED_EDGE('',*,*,#51589,.T.); #72641=ORIENTED_EDGE('',*,*,#51585,.F.); #72642=ORIENTED_EDGE('',*,*,#51590,.T.); #72643=ORIENTED_EDGE('',*,*,#51591,.T.); #72644=ORIENTED_EDGE('',*,*,#51592,.T.); #72645=ORIENTED_EDGE('',*,*,#51593,.T.); #72646=ORIENTED_EDGE('',*,*,#51594,.T.); #72647=ORIENTED_EDGE('',*,*,#51595,.T.); #72648=ORIENTED_EDGE('',*,*,#51596,.T.); #72649=ORIENTED_EDGE('',*,*,#51591,.F.); #72650=ORIENTED_EDGE('',*,*,#51597,.T.); #72651=ORIENTED_EDGE('',*,*,#51595,.F.); #72652=ORIENTED_EDGE('',*,*,#51598,.T.); #72653=ORIENTED_EDGE('',*,*,#51599,.T.); #72654=ORIENTED_EDGE('',*,*,#51600,.T.); #72655=ORIENTED_EDGE('',*,*,#51593,.F.); #72656=ORIENTED_EDGE('',*,*,#51601,.T.); #72657=ORIENTED_EDGE('',*,*,#51588,.F.); #72658=ORIENTED_EDGE('',*,*,#51602,.T.); #72659=ORIENTED_EDGE('',*,*,#51603,.T.); #72660=ORIENTED_EDGE('',*,*,#51604,.T.); #72661=ORIENTED_EDGE('',*,*,#51605,.T.); #72662=ORIENTED_EDGE('',*,*,#51606,.T.); #72663=ORIENTED_EDGE('',*,*,#51605,.F.); #72664=ORIENTED_EDGE('',*,*,#51607,.T.); #72665=ORIENTED_EDGE('',*,*,#51608,.T.); #72666=ORIENTED_EDGE('',*,*,#51603,.F.); #72667=ORIENTED_EDGE('',*,*,#51609,.T.); #72668=ORIENTED_EDGE('',*,*,#51599,.F.); #72669=ORIENTED_EDGE('',*,*,#51610,.T.); #72670=ORIENTED_EDGE('',*,*,#51587,.F.); #72671=ORIENTED_EDGE('',*,*,#51611,.T.); #72672=ORIENTED_EDGE('',*,*,#51612,.F.); #72673=ORIENTED_EDGE('',*,*,#51557,.F.); #72674=ORIENTED_EDGE('',*,*,#51613,.F.); #72675=ORIENTED_EDGE('',*,*,#51586,.F.); #72676=ORIENTED_EDGE('',*,*,#51589,.F.); #72677=ORIENTED_EDGE('',*,*,#51601,.F.); #72678=ORIENTED_EDGE('',*,*,#51592,.F.); #72679=ORIENTED_EDGE('',*,*,#51614,.T.); #72680=ORIENTED_EDGE('',*,*,#51559,.F.); #72681=ORIENTED_EDGE('',*,*,#51569,.F.); #72682=ORIENTED_EDGE('',*,*,#51564,.F.); #72683=ORIENTED_EDGE('',*,*,#51614,.F.); #72684=ORIENTED_EDGE('',*,*,#51596,.F.); #72685=ORIENTED_EDGE('',*,*,#51615,.F.); #72686=ORIENTED_EDGE('',*,*,#51565,.F.); #72687=ORIENTED_EDGE('',*,*,#51615,.T.); #72688=ORIENTED_EDGE('',*,*,#51600,.F.); #72689=ORIENTED_EDGE('',*,*,#51609,.F.); #72690=ORIENTED_EDGE('',*,*,#51606,.F.); #72691=ORIENTED_EDGE('',*,*,#51608,.F.); #72692=ORIENTED_EDGE('',*,*,#51616,.F.); #72693=ORIENTED_EDGE('',*,*,#51570,.F.); #72694=ORIENTED_EDGE('',*,*,#51574,.F.); #72695=ORIENTED_EDGE('',*,*,#51617,.T.); #72696=ORIENTED_EDGE('',*,*,#51618,.T.); #72697=ORIENTED_EDGE('',*,*,#51619,.T.); #72698=ORIENTED_EDGE('',*,*,#51620,.T.); #72699=ORIENTED_EDGE('',*,*,#51619,.F.); #72700=ORIENTED_EDGE('',*,*,#51620,.F.); #72701=ORIENTED_EDGE('',*,*,#51621,.F.); #72702=ORIENTED_EDGE('',*,*,#51622,.F.); #72703=ORIENTED_EDGE('',*,*,#51623,.F.); #72704=ORIENTED_EDGE('',*,*,#51624,.F.); #72705=ORIENTED_EDGE('',*,*,#51617,.F.); #72706=ORIENTED_EDGE('',*,*,#51618,.F.); #72707=ORIENTED_EDGE('',*,*,#51625,.T.); #72708=ORIENTED_EDGE('',*,*,#51626,.T.); #72709=ORIENTED_EDGE('',*,*,#51625,.F.); #72710=ORIENTED_EDGE('',*,*,#51621,.T.); #72711=ORIENTED_EDGE('',*,*,#51627,.T.); #72712=ORIENTED_EDGE('',*,*,#51547,.T.); #72713=ORIENTED_EDGE('',*,*,#51628,.F.); #72714=ORIENTED_EDGE('',*,*,#51624,.T.); #72715=ORIENTED_EDGE('',*,*,#51629,.T.); #72716=ORIENTED_EDGE('',*,*,#51544,.T.); #72717=ORIENTED_EDGE('',*,*,#51627,.F.); #72718=ORIENTED_EDGE('',*,*,#51623,.T.); #72719=ORIENTED_EDGE('',*,*,#51630,.T.); #72720=ORIENTED_EDGE('',*,*,#51631,.T.); #72721=ORIENTED_EDGE('',*,*,#51629,.F.); #72722=ORIENTED_EDGE('',*,*,#51632,.T.); #72723=ORIENTED_EDGE('',*,*,#51630,.F.); #72724=ORIENTED_EDGE('',*,*,#51622,.T.); #72725=ORIENTED_EDGE('',*,*,#51628,.T.); #72726=ORIENTED_EDGE('',*,*,#51537,.F.); #72727=ORIENTED_EDGE('',*,*,#51633,.F.); #72728=ORIENTED_EDGE('',*,*,#51632,.F.); #72729=ORIENTED_EDGE('',*,*,#51546,.F.); #72730=ORIENTED_EDGE('',*,*,#51576,.T.); #72731=ORIENTED_EDGE('',*,*,#51580,.T.); #72732=ORIENTED_EDGE('',*,*,#51583,.F.); #72733=ORIENTED_EDGE('',*,*,#51634,.T.); #72734=ORIENTED_EDGE('',*,*,#51556,.F.); #72735=ORIENTED_EDGE('',*,*,#51578,.F.); #72736=ORIENTED_EDGE('',*,*,#51635,.T.); #72737=ORIENTED_EDGE('',*,*,#51613,.T.); #72738=ORIENTED_EDGE('',*,*,#51635,.F.); #72739=ORIENTED_EDGE('',*,*,#51636,.F.); #72740=ORIENTED_EDGE('',*,*,#51637,.F.); #72741=ORIENTED_EDGE('',*,*,#51638,.F.); #72742=ORIENTED_EDGE('',*,*,#51639,.F.); #72743=ORIENTED_EDGE('',*,*,#51640,.F.); #72744=ORIENTED_EDGE('',*,*,#51641,.F.); #72745=ORIENTED_EDGE('',*,*,#51642,.F.); #72746=ORIENTED_EDGE('',*,*,#51643,.F.); #72747=ORIENTED_EDGE('',*,*,#51644,.F.); #72748=ORIENTED_EDGE('',*,*,#51611,.F.); #72749=ORIENTED_EDGE('',*,*,#51645,.F.); #72750=ORIENTED_EDGE('',*,*,#51577,.F.); #72751=ORIENTED_EDGE('',*,*,#51646,.T.); #72752=ORIENTED_EDGE('',*,*,#51647,.T.); #72753=ORIENTED_EDGE('',*,*,#51636,.T.); #72754=ORIENTED_EDGE('',*,*,#51634,.F.); #72755=ORIENTED_EDGE('',*,*,#51648,.F.); #72756=ORIENTED_EDGE('',*,*,#51649,.F.); #72757=ORIENTED_EDGE('',*,*,#51646,.F.); #72758=ORIENTED_EDGE('',*,*,#51582,.F.); #72759=ORIENTED_EDGE('',*,*,#51650,.T.); #72760=ORIENTED_EDGE('',*,*,#51651,.F.); #72761=ORIENTED_EDGE('',*,*,#51648,.T.); #72762=ORIENTED_EDGE('',*,*,#51584,.F.); #72763=ORIENTED_EDGE('',*,*,#51616,.T.); #72764=ORIENTED_EDGE('',*,*,#51652,.F.); #72765=ORIENTED_EDGE('',*,*,#51653,.F.); #72766=ORIENTED_EDGE('',*,*,#51654,.F.); #72767=ORIENTED_EDGE('',*,*,#51655,.F.); #72768=ORIENTED_EDGE('',*,*,#51656,.F.); #72769=ORIENTED_EDGE('',*,*,#51657,.F.); #72770=ORIENTED_EDGE('',*,*,#51658,.F.); #72771=ORIENTED_EDGE('',*,*,#51659,.F.); #72772=ORIENTED_EDGE('',*,*,#51660,.F.); #72773=ORIENTED_EDGE('',*,*,#51650,.F.); #72774=ORIENTED_EDGE('',*,*,#51661,.F.); #72775=ORIENTED_EDGE('',*,*,#51602,.F.); #72776=ORIENTED_EDGE('',*,*,#51662,.T.); #72777=ORIENTED_EDGE('',*,*,#51663,.T.); #72778=ORIENTED_EDGE('',*,*,#51664,.T.); #72779=ORIENTED_EDGE('',*,*,#51665,.T.); #72780=ORIENTED_EDGE('',*,*,#51666,.T.); #72781=ORIENTED_EDGE('',*,*,#51667,.T.); #72782=ORIENTED_EDGE('',*,*,#51664,.F.); #72783=ORIENTED_EDGE('',*,*,#51668,.T.); #72784=ORIENTED_EDGE('',*,*,#51610,.F.); #72785=ORIENTED_EDGE('',*,*,#51669,.T.); #72786=ORIENTED_EDGE('',*,*,#51666,.F.); #72787=ORIENTED_EDGE('',*,*,#51670,.T.); #72788=ORIENTED_EDGE('',*,*,#51671,.T.); #72789=ORIENTED_EDGE('',*,*,#51590,.F.); #72790=ORIENTED_EDGE('',*,*,#51612,.T.); #72791=ORIENTED_EDGE('',*,*,#51672,.T.); #72792=ORIENTED_EDGE('',*,*,#51662,.F.); #72793=ORIENTED_EDGE('',*,*,#51604,.F.); #72794=ORIENTED_EDGE('',*,*,#51671,.F.); #72795=ORIENTED_EDGE('',*,*,#51673,.T.); #72796=ORIENTED_EDGE('',*,*,#51674,.T.); #72797=ORIENTED_EDGE('',*,*,#51607,.F.); #72798=ORIENTED_EDGE('',*,*,#51674,.F.); #72799=ORIENTED_EDGE('',*,*,#51652,.T.); #72800=ORIENTED_EDGE('',*,*,#51673,.F.); #72801=ORIENTED_EDGE('',*,*,#51670,.F.); #72802=ORIENTED_EDGE('',*,*,#51675,.T.); #72803=ORIENTED_EDGE('',*,*,#51676,.F.); #72804=ORIENTED_EDGE('',*,*,#51653,.T.); #72805=ORIENTED_EDGE('',*,*,#51668,.F.); #72806=ORIENTED_EDGE('',*,*,#51677,.F.); #72807=ORIENTED_EDGE('',*,*,#51678,.F.); #72808=ORIENTED_EDGE('',*,*,#51679,.F.); #72809=ORIENTED_EDGE('',*,*,#51680,.F.); #72810=ORIENTED_EDGE('',*,*,#51675,.F.); #72811=ORIENTED_EDGE('',*,*,#51681,.T.); #72812=ORIENTED_EDGE('',*,*,#51682,.T.); #72813=ORIENTED_EDGE('',*,*,#51656,.T.); #72814=ORIENTED_EDGE('',*,*,#51657,.T.); #72815=ORIENTED_EDGE('',*,*,#51683,.T.); #72816=ORIENTED_EDGE('',*,*,#51684,.T.); #72817=ORIENTED_EDGE('',*,*,#51685,.T.); #72818=ORIENTED_EDGE('',*,*,#51654,.T.); #72819=ORIENTED_EDGE('',*,*,#51686,.T.); #72820=ORIENTED_EDGE('',*,*,#51687,.T.); #72821=ORIENTED_EDGE('',*,*,#51688,.T.); #72822=ORIENTED_EDGE('',*,*,#51689,.T.); #72823=ORIENTED_EDGE('',*,*,#51686,.F.); #72824=ORIENTED_EDGE('',*,*,#51676,.T.); #72825=ORIENTED_EDGE('',*,*,#51690,.T.); #72826=ORIENTED_EDGE('',*,*,#51691,.T.); #72827=ORIENTED_EDGE('',*,*,#51688,.F.); #72828=ORIENTED_EDGE('',*,*,#51680,.T.); #72829=ORIENTED_EDGE('',*,*,#51690,.F.); #72830=ORIENTED_EDGE('',*,*,#51679,.T.); #72831=ORIENTED_EDGE('',*,*,#51692,.T.); #72832=ORIENTED_EDGE('',*,*,#51693,.T.); #72833=ORIENTED_EDGE('',*,*,#51694,.T.); #72834=ORIENTED_EDGE('',*,*,#51695,.T.); #72835=ORIENTED_EDGE('',*,*,#51692,.F.); #72836=ORIENTED_EDGE('',*,*,#51678,.T.); #72837=ORIENTED_EDGE('',*,*,#51696,.T.); #72838=ORIENTED_EDGE('',*,*,#51697,.T.); #72839=ORIENTED_EDGE('',*,*,#51694,.F.); #72840=ORIENTED_EDGE('',*,*,#51698,.T.); #72841=ORIENTED_EDGE('',*,*,#51699,.T.); #72842=ORIENTED_EDGE('',*,*,#51700,.T.); #72843=ORIENTED_EDGE('',*,*,#51696,.F.); #72844=ORIENTED_EDGE('',*,*,#51643,.T.); #72845=ORIENTED_EDGE('',*,*,#51685,.F.); #72846=ORIENTED_EDGE('',*,*,#51701,.T.); #72847=ORIENTED_EDGE('',*,*,#51681,.F.); #72848=ORIENTED_EDGE('',*,*,#51655,.T.); #72849=ORIENTED_EDGE('',*,*,#51641,.T.); #72850=ORIENTED_EDGE('',*,*,#51702,.T.); #72851=ORIENTED_EDGE('',*,*,#51703,.T.); #72852=ORIENTED_EDGE('',*,*,#51704,.T.); #72853=ORIENTED_EDGE('',*,*,#51705,.T.); #72854=ORIENTED_EDGE('',*,*,#51640,.T.); #72855=ORIENTED_EDGE('',*,*,#51703,.F.); #72856=ORIENTED_EDGE('',*,*,#51706,.T.); #72857=ORIENTED_EDGE('',*,*,#51699,.F.); #72858=ORIENTED_EDGE('',*,*,#51642,.T.); #72859=ORIENTED_EDGE('',*,*,#51707,.T.); #72860=ORIENTED_EDGE('',*,*,#51638,.T.); #72861=ORIENTED_EDGE('',*,*,#51708,.T.); #72862=ORIENTED_EDGE('',*,*,#51709,.T.); #72863=ORIENTED_EDGE('',*,*,#51710,.T.); #72864=ORIENTED_EDGE('',*,*,#51711,.T.); #72865=ORIENTED_EDGE('',*,*,#51708,.F.); #72866=ORIENTED_EDGE('',*,*,#51712,.T.); #72867=ORIENTED_EDGE('',*,*,#51713,.T.); #72868=ORIENTED_EDGE('',*,*,#51714,.T.); #72869=ORIENTED_EDGE('',*,*,#51710,.F.); #72870=ORIENTED_EDGE('',*,*,#51715,.T.); #72871=ORIENTED_EDGE('',*,*,#51713,.F.); #72872=ORIENTED_EDGE('',*,*,#51716,.T.); #72873=ORIENTED_EDGE('',*,*,#51717,.T.); #72874=ORIENTED_EDGE('',*,*,#51718,.T.); #72875=ORIENTED_EDGE('',*,*,#51719,.T.); #72876=ORIENTED_EDGE('',*,*,#51720,.T.); #72877=ORIENTED_EDGE('',*,*,#51717,.F.); #72878=ORIENTED_EDGE('',*,*,#51721,.T.); #72879=ORIENTED_EDGE('',*,*,#51722,.T.); #72880=ORIENTED_EDGE('',*,*,#51723,.T.); #72881=ORIENTED_EDGE('',*,*,#51719,.F.); #72882=ORIENTED_EDGE('',*,*,#51724,.T.); #72883=ORIENTED_EDGE('',*,*,#51725,.T.); #72884=ORIENTED_EDGE('',*,*,#51726,.T.); #72885=ORIENTED_EDGE('',*,*,#51722,.F.); #72886=ORIENTED_EDGE('',*,*,#51659,.T.); #72887=ORIENTED_EDGE('',*,*,#51707,.F.); #72888=ORIENTED_EDGE('',*,*,#51727,.T.); #72889=ORIENTED_EDGE('',*,*,#51704,.F.); #72890=ORIENTED_EDGE('',*,*,#51639,.T.); #72891=ORIENTED_EDGE('',*,*,#51684,.F.); #72892=ORIENTED_EDGE('',*,*,#51728,.T.); #72893=ORIENTED_EDGE('',*,*,#51725,.F.); #72894=ORIENTED_EDGE('',*,*,#51658,.T.); #72895=ORIENTED_EDGE('',*,*,#51687,.F.); #72896=ORIENTED_EDGE('',*,*,#51689,.F.); #72897=ORIENTED_EDGE('',*,*,#51691,.F.); #72898=ORIENTED_EDGE('',*,*,#51729,.F.); #72899=ORIENTED_EDGE('',*,*,#51683,.F.); #72900=ORIENTED_EDGE('',*,*,#51730,.T.); #72901=ORIENTED_EDGE('',*,*,#51731,.F.); #72902=ORIENTED_EDGE('',*,*,#51695,.F.); #72903=ORIENTED_EDGE('',*,*,#51697,.F.); #72904=ORIENTED_EDGE('',*,*,#51700,.F.); #72905=ORIENTED_EDGE('',*,*,#51732,.F.); #72906=ORIENTED_EDGE('',*,*,#51724,.F.); #72907=ORIENTED_EDGE('',*,*,#51733,.T.); #72908=ORIENTED_EDGE('',*,*,#51651,.T.); #72909=ORIENTED_EDGE('',*,*,#51660,.T.); #72910=ORIENTED_EDGE('',*,*,#51721,.F.); #72911=ORIENTED_EDGE('',*,*,#51716,.F.); #72912=ORIENTED_EDGE('',*,*,#51715,.F.); #72913=ORIENTED_EDGE('',*,*,#51734,.F.); #72914=ORIENTED_EDGE('',*,*,#51649,.T.); #72915=ORIENTED_EDGE('',*,*,#51733,.F.); #72916=ORIENTED_EDGE('',*,*,#51728,.F.); #72917=ORIENTED_EDGE('',*,*,#51731,.T.); #72918=ORIENTED_EDGE('',*,*,#51735,.T.); #72919=ORIENTED_EDGE('',*,*,#51736,.T.); #72920=ORIENTED_EDGE('',*,*,#51727,.F.); #72921=ORIENTED_EDGE('',*,*,#51737,.T.); #72922=ORIENTED_EDGE('',*,*,#51718,.F.); #72923=ORIENTED_EDGE('',*,*,#51738,.T.); #72924=ORIENTED_EDGE('',*,*,#51702,.F.); #72925=ORIENTED_EDGE('',*,*,#51739,.T.); #72926=ORIENTED_EDGE('',*,*,#51740,.F.); #72927=ORIENTED_EDGE('',*,*,#51712,.F.); #72928=ORIENTED_EDGE('',*,*,#51637,.T.); #72929=ORIENTED_EDGE('',*,*,#51647,.F.); #72930=ORIENTED_EDGE('',*,*,#51734,.T.); #72931=ORIENTED_EDGE('',*,*,#51701,.F.); #72932=ORIENTED_EDGE('',*,*,#51729,.T.); #72933=ORIENTED_EDGE('',*,*,#51693,.F.); #72934=ORIENTED_EDGE('',*,*,#51732,.T.); #72935=ORIENTED_EDGE('',*,*,#51706,.F.); #72936=ORIENTED_EDGE('',*,*,#51740,.T.); #72937=ORIENTED_EDGE('',*,*,#51741,.T.); #72938=ORIENTED_EDGE('',*,*,#51742,.T.); #72939=ORIENTED_EDGE('',*,*,#51682,.F.); #72940=ORIENTED_EDGE('',*,*,#51742,.F.); #72941=ORIENTED_EDGE('',*,*,#51743,.T.); #72942=ORIENTED_EDGE('',*,*,#51705,.F.); #72943=ORIENTED_EDGE('',*,*,#51736,.F.); #72944=ORIENTED_EDGE('',*,*,#51744,.T.); #72945=ORIENTED_EDGE('',*,*,#51726,.F.); #72946=ORIENTED_EDGE('',*,*,#51738,.F.); #72947=ORIENTED_EDGE('',*,*,#51720,.F.); #72948=ORIENTED_EDGE('',*,*,#51723,.F.); #72949=ORIENTED_EDGE('',*,*,#51714,.F.); #72950=ORIENTED_EDGE('',*,*,#51737,.F.); #72951=ORIENTED_EDGE('',*,*,#51709,.F.); #72952=ORIENTED_EDGE('',*,*,#51711,.F.); #72953=ORIENTED_EDGE('',*,*,#51645,.T.); #72954=ORIENTED_EDGE('',*,*,#51745,.T.); #72955=ORIENTED_EDGE('',*,*,#51746,.T.); #72956=ORIENTED_EDGE('',*,*,#51745,.F.); #72957=ORIENTED_EDGE('',*,*,#51746,.F.); #72958=ORIENTED_EDGE('',*,*,#51747,.T.); #72959=ORIENTED_EDGE('',*,*,#51748,.F.); #72960=ORIENTED_EDGE('',*,*,#51747,.F.); #72961=ORIENTED_EDGE('',*,*,#51661,.T.); #72962=ORIENTED_EDGE('',*,*,#51749,.T.); #72963=ORIENTED_EDGE('',*,*,#51748,.T.); #72964=ORIENTED_EDGE('',*,*,#51749,.F.); #72965=ORIENTED_EDGE('',*,*,#51750,.F.); #72966=ORIENTED_EDGE('',*,*,#51751,.T.); #72967=ORIENTED_EDGE('',*,*,#51752,.T.); #72968=ORIENTED_EDGE('',*,*,#51753,.T.); #72969=ORIENTED_EDGE('',*,*,#51751,.F.); #72970=ORIENTED_EDGE('',*,*,#51754,.T.); #72971=ORIENTED_EDGE('',*,*,#51755,.T.); #72972=ORIENTED_EDGE('',*,*,#51752,.F.); #72973=ORIENTED_EDGE('',*,*,#51753,.F.); #72974=ORIENTED_EDGE('',*,*,#51755,.F.); #72975=ORIENTED_EDGE('',*,*,#51756,.T.); #72976=ORIENTED_EDGE('',*,*,#51754,.F.); #72977=ORIENTED_EDGE('',*,*,#51757,.T.); #72978=ORIENTED_EDGE('',*,*,#51758,.T.); #72979=ORIENTED_EDGE('',*,*,#51757,.F.); #72980=ORIENTED_EDGE('',*,*,#51756,.F.); #72981=ORIENTED_EDGE('',*,*,#51626,.F.); #72982=ORIENTED_EDGE('',*,*,#51758,.F.); #72983=ORIENTED_EDGE('',*,*,#51759,.F.); #72984=ORIENTED_EDGE('',*,*,#51750,.T.); #72985=ORIENTED_EDGE('',*,*,#51759,.T.); #72986=ORIENTED_EDGE('',*,*,#51760,.T.); #72987=ORIENTED_EDGE('',*,*,#51743,.F.); #72988=ORIENTED_EDGE('',*,*,#51741,.F.); #72989=ORIENTED_EDGE('',*,*,#51739,.F.); #72990=ORIENTED_EDGE('',*,*,#51744,.F.); #72991=ORIENTED_EDGE('',*,*,#51735,.F.); #72992=ORIENTED_EDGE('',*,*,#51730,.F.); #72993=ORIENTED_EDGE('',*,*,#51760,.F.); #72994=ORIENTED_EDGE('',*,*,#51548,.T.); #72995=ORIENTED_EDGE('',*,*,#51761,.T.); #72996=ORIENTED_EDGE('',*,*,#51552,.T.); #72997=ORIENTED_EDGE('',*,*,#51553,.T.); #72998=ORIENTED_EDGE('',*,*,#51761,.F.); #72999=ORIENTED_EDGE('',*,*,#51540,.F.); #73000=ORIENTED_EDGE('',*,*,#51545,.T.); #73001=ORIENTED_EDGE('',*,*,#51631,.F.); #73002=ORIENTED_EDGE('',*,*,#51633,.T.); #73003=ORIENTED_EDGE('',*,*,#51672,.F.); #73004=ORIENTED_EDGE('',*,*,#51644,.T.); #73005=ORIENTED_EDGE('',*,*,#51698,.F.); #73006=ORIENTED_EDGE('',*,*,#51677,.T.); #73007=ORIENTED_EDGE('',*,*,#51663,.F.); #73008=ORIENTED_EDGE('',*,*,#51594,.F.); #73009=ORIENTED_EDGE('',*,*,#51665,.F.); #73010=ORIENTED_EDGE('',*,*,#51667,.F.); #73011=ORIENTED_EDGE('',*,*,#51669,.F.); #73012=ORIENTED_EDGE('',*,*,#51598,.F.); #73013=ORIENTED_EDGE('',*,*,#51597,.F.); #73014=ORIENTED_EDGE('',*,*,#51762,.T.); #73015=ORIENTED_EDGE('',*,*,#51763,.T.); #73016=ORIENTED_EDGE('',*,*,#51764,.T.); #73017=ORIENTED_EDGE('',*,*,#51765,.T.); #73018=ORIENTED_EDGE('',*,*,#51764,.F.); #73019=ORIENTED_EDGE('',*,*,#51766,.T.); #73020=ORIENTED_EDGE('',*,*,#51767,.T.); #73021=ORIENTED_EDGE('',*,*,#51768,.T.); #73022=ORIENTED_EDGE('',*,*,#51767,.F.); #73023=ORIENTED_EDGE('',*,*,#51769,.T.); #73024=ORIENTED_EDGE('',*,*,#51770,.T.); #73025=ORIENTED_EDGE('',*,*,#51771,.T.); #73026=ORIENTED_EDGE('',*,*,#51762,.F.); #73027=ORIENTED_EDGE('',*,*,#51772,.T.); #73028=ORIENTED_EDGE('',*,*,#51773,.T.); #73029=ORIENTED_EDGE('',*,*,#51774,.T.); #73030=ORIENTED_EDGE('',*,*,#51775,.T.); #73031=ORIENTED_EDGE('',*,*,#51776,.T.); #73032=ORIENTED_EDGE('',*,*,#51777,.T.); #73033=ORIENTED_EDGE('',*,*,#51778,.T.); #73034=ORIENTED_EDGE('',*,*,#51775,.F.); #73035=ORIENTED_EDGE('',*,*,#51779,.T.); #73036=ORIENTED_EDGE('',*,*,#51780,.T.); #73037=ORIENTED_EDGE('',*,*,#51781,.T.); #73038=ORIENTED_EDGE('',*,*,#51782,.T.); #73039=ORIENTED_EDGE('',*,*,#51783,.T.); #73040=ORIENTED_EDGE('',*,*,#51780,.F.); #73041=ORIENTED_EDGE('',*,*,#51784,.T.); #73042=ORIENTED_EDGE('',*,*,#51785,.T.); #73043=ORIENTED_EDGE('',*,*,#51786,.T.); #73044=ORIENTED_EDGE('',*,*,#51777,.F.); #73045=ORIENTED_EDGE('',*,*,#51787,.T.); #73046=ORIENTED_EDGE('',*,*,#51788,.T.); #73047=ORIENTED_EDGE('',*,*,#51789,.T.); #73048=ORIENTED_EDGE('',*,*,#51785,.F.); #73049=ORIENTED_EDGE('',*,*,#51790,.T.); #73050=ORIENTED_EDGE('',*,*,#51791,.T.); #73051=ORIENTED_EDGE('',*,*,#51792,.T.); #73052=ORIENTED_EDGE('',*,*,#51793,.T.); #73053=ORIENTED_EDGE('',*,*,#51794,.T.); #73054=ORIENTED_EDGE('',*,*,#51795,.T.); #73055=ORIENTED_EDGE('',*,*,#51796,.T.); #73056=ORIENTED_EDGE('',*,*,#51793,.F.); #73057=ORIENTED_EDGE('',*,*,#51797,.T.); #73058=ORIENTED_EDGE('',*,*,#51798,.T.); #73059=ORIENTED_EDGE('',*,*,#51799,.T.); #73060=ORIENTED_EDGE('',*,*,#51791,.F.); #73061=ORIENTED_EDGE('',*,*,#51800,.T.); #73062=ORIENTED_EDGE('',*,*,#51801,.T.); #73063=ORIENTED_EDGE('',*,*,#51802,.T.); #73064=ORIENTED_EDGE('',*,*,#51803,.T.); #73065=ORIENTED_EDGE('',*,*,#51804,.T.); #73066=ORIENTED_EDGE('',*,*,#51805,.T.); #73067=ORIENTED_EDGE('',*,*,#51806,.T.); #73068=ORIENTED_EDGE('',*,*,#51803,.F.); #73069=ORIENTED_EDGE('',*,*,#51807,.T.); #73070=ORIENTED_EDGE('',*,*,#51808,.T.); #73071=ORIENTED_EDGE('',*,*,#51809,.T.); #73072=ORIENTED_EDGE('',*,*,#51801,.F.); #73073=ORIENTED_EDGE('',*,*,#51810,.T.); #73074=ORIENTED_EDGE('',*,*,#51811,.T.); #73075=ORIENTED_EDGE('',*,*,#51812,.T.); #73076=ORIENTED_EDGE('',*,*,#51808,.F.); #73077=ORIENTED_EDGE('',*,*,#51813,.T.); #73078=ORIENTED_EDGE('',*,*,#51814,.T.); #73079=ORIENTED_EDGE('',*,*,#51815,.T.); #73080=ORIENTED_EDGE('',*,*,#51816,.T.); #73081=ORIENTED_EDGE('',*,*,#51817,.T.); #73082=ORIENTED_EDGE('',*,*,#51814,.F.); #73083=ORIENTED_EDGE('',*,*,#51818,.T.); #73084=ORIENTED_EDGE('',*,*,#51819,.T.); #73085=ORIENTED_EDGE('',*,*,#51820,.T.); #73086=ORIENTED_EDGE('',*,*,#51821,.T.); #73087=ORIENTED_EDGE('',*,*,#51822,.T.); #73088=ORIENTED_EDGE('',*,*,#51819,.F.); #73089=ORIENTED_EDGE('',*,*,#51823,.T.); #73090=ORIENTED_EDGE('',*,*,#51824,.T.); #73091=ORIENTED_EDGE('',*,*,#51825,.T.); #73092=ORIENTED_EDGE('',*,*,#51826,.T.); #73093=ORIENTED_EDGE('',*,*,#51827,.T.); #73094=ORIENTED_EDGE('',*,*,#51826,.F.); #73095=ORIENTED_EDGE('',*,*,#51828,.T.); #73096=ORIENTED_EDGE('',*,*,#51829,.T.); #73097=ORIENTED_EDGE('',*,*,#51830,.T.); #73098=ORIENTED_EDGE('',*,*,#51829,.F.); #73099=ORIENTED_EDGE('',*,*,#51831,.T.); #73100=ORIENTED_EDGE('',*,*,#51832,.T.); #73101=ORIENTED_EDGE('',*,*,#51833,.T.); #73102=ORIENTED_EDGE('',*,*,#51824,.F.); #73103=ORIENTED_EDGE('',*,*,#51834,.T.); #73104=ORIENTED_EDGE('',*,*,#51835,.T.); #73105=ORIENTED_EDGE('',*,*,#51836,.T.); #73106=ORIENTED_EDGE('',*,*,#51837,.T.); #73107=ORIENTED_EDGE('',*,*,#51838,.T.); #73108=ORIENTED_EDGE('',*,*,#51839,.T.); #73109=ORIENTED_EDGE('',*,*,#51840,.T.); #73110=ORIENTED_EDGE('',*,*,#51841,.T.); #73111=ORIENTED_EDGE('',*,*,#51842,.T.); #73112=ORIENTED_EDGE('',*,*,#51837,.F.); #73113=ORIENTED_EDGE('',*,*,#51843,.T.); #73114=ORIENTED_EDGE('',*,*,#51844,.T.); #73115=ORIENTED_EDGE('',*,*,#51845,.T.); #73116=ORIENTED_EDGE('',*,*,#51841,.F.); #73117=ORIENTED_EDGE('',*,*,#51846,.T.); #73118=ORIENTED_EDGE('',*,*,#51847,.T.); #73119=ORIENTED_EDGE('',*,*,#51848,.T.); #73120=ORIENTED_EDGE('',*,*,#51844,.F.); #73121=ORIENTED_EDGE('',*,*,#51849,.T.); #73122=ORIENTED_EDGE('',*,*,#51847,.F.); #73123=ORIENTED_EDGE('',*,*,#51850,.T.); #73124=ORIENTED_EDGE('',*,*,#51835,.F.); #73125=ORIENTED_EDGE('',*,*,#51851,.T.); #73126=ORIENTED_EDGE('',*,*,#51852,.T.); #73127=ORIENTED_EDGE('',*,*,#51853,.T.); #73128=ORIENTED_EDGE('',*,*,#51832,.F.); #73129=ORIENTED_EDGE('',*,*,#51854,.T.); #73130=ORIENTED_EDGE('',*,*,#51852,.F.); #73131=ORIENTED_EDGE('',*,*,#51855,.T.); #73132=ORIENTED_EDGE('',*,*,#51856,.T.); #73133=ORIENTED_EDGE('',*,*,#51857,.T.); #73134=ORIENTED_EDGE('',*,*,#51856,.F.); #73135=ORIENTED_EDGE('',*,*,#51858,.T.); #73136=ORIENTED_EDGE('',*,*,#51816,.F.); #73137=ORIENTED_EDGE('',*,*,#51859,.T.); #73138=ORIENTED_EDGE('',*,*,#51860,.T.); #73139=ORIENTED_EDGE('',*,*,#51861,.T.); #73140=ORIENTED_EDGE('',*,*,#51821,.F.); #73141=ORIENTED_EDGE('',*,*,#51862,.T.); #73142=ORIENTED_EDGE('',*,*,#51860,.F.); #73143=ORIENTED_EDGE('',*,*,#51863,.T.); #73144=ORIENTED_EDGE('',*,*,#51811,.F.); #73145=ORIENTED_EDGE('',*,*,#51864,.T.); #73146=ORIENTED_EDGE('',*,*,#51865,.T.); #73147=ORIENTED_EDGE('',*,*,#51866,.T.); #73148=ORIENTED_EDGE('',*,*,#51805,.F.); #73149=ORIENTED_EDGE('',*,*,#51867,.T.); #73150=ORIENTED_EDGE('',*,*,#51865,.F.); #73151=ORIENTED_EDGE('',*,*,#51868,.T.); #73152=ORIENTED_EDGE('',*,*,#51798,.F.); #73153=ORIENTED_EDGE('',*,*,#51869,.T.); #73154=ORIENTED_EDGE('',*,*,#51870,.T.); #73155=ORIENTED_EDGE('',*,*,#51871,.T.); #73156=ORIENTED_EDGE('',*,*,#51795,.F.); #73157=ORIENTED_EDGE('',*,*,#51872,.T.); #73158=ORIENTED_EDGE('',*,*,#51870,.F.); #73159=ORIENTED_EDGE('',*,*,#51873,.T.); #73160=ORIENTED_EDGE('',*,*,#51788,.F.); #73161=ORIENTED_EDGE('',*,*,#51874,.T.); #73162=ORIENTED_EDGE('',*,*,#51782,.F.); #73163=ORIENTED_EDGE('',*,*,#51875,.T.); #73164=ORIENTED_EDGE('',*,*,#51876,.T.); #73165=ORIENTED_EDGE('',*,*,#51877,.T.); #73166=ORIENTED_EDGE('',*,*,#51876,.F.); #73167=ORIENTED_EDGE('',*,*,#51878,.T.); #73168=ORIENTED_EDGE('',*,*,#51773,.F.); #73169=ORIENTED_EDGE('',*,*,#51879,.T.); #73170=ORIENTED_EDGE('',*,*,#51880,.T.); #73171=ORIENTED_EDGE('',*,*,#51881,.T.); #73172=ORIENTED_EDGE('',*,*,#51770,.F.); #73173=ORIENTED_EDGE('',*,*,#51882,.T.); #73174=ORIENTED_EDGE('',*,*,#51883,.T.); #73175=ORIENTED_EDGE('',*,*,#51884,.T.); #73176=ORIENTED_EDGE('',*,*,#51880,.F.); #73177=ORIENTED_EDGE('',*,*,#51885,.T.); #73178=ORIENTED_EDGE('',*,*,#51839,.F.); #73179=ORIENTED_EDGE('',*,*,#51886,.T.); #73180=ORIENTED_EDGE('',*,*,#51883,.F.); #73181=ORIENTED_EDGE('',*,*,#51887,.T.); #73182=ORIENTED_EDGE('',*,*,#51869,.F.); #73183=ORIENTED_EDGE('',*,*,#51888,.F.); #73184=ORIENTED_EDGE('',*,*,#51889,.F.); #73185=ORIENTED_EDGE('',*,*,#51890,.F.); #73186=ORIENTED_EDGE('',*,*,#51800,.F.); #73187=ORIENTED_EDGE('',*,*,#51794,.F.); #73188=ORIENTED_EDGE('',*,*,#51796,.F.); #73189=ORIENTED_EDGE('',*,*,#51871,.F.); #73190=ORIENTED_EDGE('',*,*,#51891,.T.); #73191=ORIENTED_EDGE('',*,*,#51892,.F.); #73192=ORIENTED_EDGE('',*,*,#51888,.T.); #73193=ORIENTED_EDGE('',*,*,#51874,.F.); #73194=ORIENTED_EDGE('',*,*,#51790,.F.); #73195=ORIENTED_EDGE('',*,*,#51893,.T.); #73196=ORIENTED_EDGE('',*,*,#51894,.F.); #73197=ORIENTED_EDGE('',*,*,#51891,.F.); #73198=ORIENTED_EDGE('',*,*,#51787,.F.); #73199=ORIENTED_EDGE('',*,*,#51776,.F.); #73200=ORIENTED_EDGE('',*,*,#51781,.F.); #73201=ORIENTED_EDGE('',*,*,#51783,.F.); #73202=ORIENTED_EDGE('',*,*,#51895,.F.); #73203=ORIENTED_EDGE('',*,*,#51896,.F.); #73204=ORIENTED_EDGE('',*,*,#51897,.F.); #73205=ORIENTED_EDGE('',*,*,#51893,.F.); #73206=ORIENTED_EDGE('',*,*,#51877,.F.); #73207=ORIENTED_EDGE('',*,*,#51898,.T.); #73208=ORIENTED_EDGE('',*,*,#51899,.T.); #73209=ORIENTED_EDGE('',*,*,#51900,.F.); #73210=ORIENTED_EDGE('',*,*,#51895,.T.); #73211=ORIENTED_EDGE('',*,*,#51879,.F.); #73212=ORIENTED_EDGE('',*,*,#51901,.T.); #73213=ORIENTED_EDGE('',*,*,#51902,.T.); #73214=ORIENTED_EDGE('',*,*,#51898,.F.); #73215=ORIENTED_EDGE('',*,*,#51772,.F.); #73216=ORIENTED_EDGE('',*,*,#51903,.T.); #73217=ORIENTED_EDGE('',*,*,#51904,.T.); #73218=ORIENTED_EDGE('',*,*,#51901,.F.); #73219=ORIENTED_EDGE('',*,*,#51765,.F.); #73220=ORIENTED_EDGE('',*,*,#51905,.T.); #73221=ORIENTED_EDGE('',*,*,#51906,.T.); #73222=ORIENTED_EDGE('',*,*,#51903,.F.); #73223=ORIENTED_EDGE('',*,*,#51768,.F.); #73224=ORIENTED_EDGE('',*,*,#51907,.T.); #73225=ORIENTED_EDGE('',*,*,#51908,.T.); #73226=ORIENTED_EDGE('',*,*,#51905,.F.); #73227=ORIENTED_EDGE('',*,*,#51771,.F.); #73228=ORIENTED_EDGE('',*,*,#51909,.F.); #73229=ORIENTED_EDGE('',*,*,#51910,.T.); #73230=ORIENTED_EDGE('',*,*,#51907,.F.); #73231=ORIENTED_EDGE('',*,*,#51881,.F.); #73232=ORIENTED_EDGE('',*,*,#51911,.T.); #73233=ORIENTED_EDGE('',*,*,#51912,.T.); #73234=ORIENTED_EDGE('',*,*,#51909,.T.); #73235=ORIENTED_EDGE('',*,*,#51884,.F.); #73236=ORIENTED_EDGE('',*,*,#51913,.F.); #73237=ORIENTED_EDGE('',*,*,#51911,.F.); #73238=ORIENTED_EDGE('',*,*,#51886,.F.); #73239=ORIENTED_EDGE('',*,*,#51914,.T.); #73240=ORIENTED_EDGE('',*,*,#51915,.T.); #73241=ORIENTED_EDGE('',*,*,#51916,.T.); #73242=ORIENTED_EDGE('',*,*,#51913,.T.); #73243=ORIENTED_EDGE('',*,*,#51838,.F.); #73244=ORIENTED_EDGE('',*,*,#51917,.T.); #73245=ORIENTED_EDGE('',*,*,#51918,.F.); #73246=ORIENTED_EDGE('',*,*,#51914,.F.); #73247=ORIENTED_EDGE('',*,*,#51809,.F.); #73248=ORIENTED_EDGE('',*,*,#51812,.F.); #73249=ORIENTED_EDGE('',*,*,#51919,.T.); #73250=ORIENTED_EDGE('',*,*,#51920,.T.); #73251=ORIENTED_EDGE('',*,*,#51921,.F.); #73252=ORIENTED_EDGE('',*,*,#51922,.T.); #73253=ORIENTED_EDGE('',*,*,#51792,.F.); #73254=ORIENTED_EDGE('',*,*,#51923,.T.); #73255=ORIENTED_EDGE('',*,*,#51924,.T.); #73256=ORIENTED_EDGE('',*,*,#51925,.F.); #73257=ORIENTED_EDGE('',*,*,#51807,.F.); #73258=ORIENTED_EDGE('',*,*,#51926,.T.); #73259=ORIENTED_EDGE('',*,*,#51927,.F.); #73260=ORIENTED_EDGE('',*,*,#51928,.T.); #73261=ORIENTED_EDGE('',*,*,#51863,.F.); #73262=ORIENTED_EDGE('',*,*,#51862,.F.); #73263=ORIENTED_EDGE('',*,*,#51929,.T.); #73264=ORIENTED_EDGE('',*,*,#51930,.T.); #73265=ORIENTED_EDGE('',*,*,#51931,.T.); #73266=ORIENTED_EDGE('',*,*,#51919,.F.); #73267=ORIENTED_EDGE('',*,*,#51823,.F.); #73268=ORIENTED_EDGE('',*,*,#51932,.T.); #73269=ORIENTED_EDGE('',*,*,#51929,.F.); #73270=ORIENTED_EDGE('',*,*,#51802,.F.); #73271=ORIENTED_EDGE('',*,*,#51922,.F.); #73272=ORIENTED_EDGE('',*,*,#51926,.F.); #73273=ORIENTED_EDGE('',*,*,#51818,.F.); #73274=ORIENTED_EDGE('',*,*,#51933,.T.); #73275=ORIENTED_EDGE('',*,*,#51934,.F.); #73276=ORIENTED_EDGE('',*,*,#51932,.F.); #73277=ORIENTED_EDGE('',*,*,#51817,.F.); #73278=ORIENTED_EDGE('',*,*,#51858,.F.); #73279=ORIENTED_EDGE('',*,*,#51855,.F.); #73280=ORIENTED_EDGE('',*,*,#51854,.F.); #73281=ORIENTED_EDGE('',*,*,#51831,.F.); #73282=ORIENTED_EDGE('',*,*,#51828,.F.); #73283=ORIENTED_EDGE('',*,*,#51825,.F.); #73284=ORIENTED_EDGE('',*,*,#51836,.F.); #73285=ORIENTED_EDGE('',*,*,#51850,.F.); #73286=ORIENTED_EDGE('',*,*,#51849,.F.); #73287=ORIENTED_EDGE('',*,*,#51846,.F.); #73288=ORIENTED_EDGE('',*,*,#51843,.F.); #73289=ORIENTED_EDGE('',*,*,#51840,.F.); #73290=ORIENTED_EDGE('',*,*,#51887,.F.); #73291=ORIENTED_EDGE('',*,*,#51885,.F.); #73292=ORIENTED_EDGE('',*,*,#51882,.F.); #73293=ORIENTED_EDGE('',*,*,#51769,.F.); #73294=ORIENTED_EDGE('',*,*,#51766,.F.); #73295=ORIENTED_EDGE('',*,*,#51763,.F.); #73296=ORIENTED_EDGE('',*,*,#51774,.F.); #73297=ORIENTED_EDGE('',*,*,#51878,.F.); #73298=ORIENTED_EDGE('',*,*,#51875,.F.); #73299=ORIENTED_EDGE('',*,*,#51784,.F.); #73300=ORIENTED_EDGE('',*,*,#51935,.F.); #73301=ORIENTED_EDGE('',*,*,#51936,.F.); #73302=ORIENTED_EDGE('',*,*,#51937,.F.); #73303=ORIENTED_EDGE('',*,*,#51938,.F.); #73304=ORIENTED_EDGE('',*,*,#51939,.F.); #73305=ORIENTED_EDGE('',*,*,#51940,.F.); #73306=ORIENTED_EDGE('',*,*,#51941,.F.); #73307=ORIENTED_EDGE('',*,*,#51942,.F.); #73308=ORIENTED_EDGE('',*,*,#51943,.F.); #73309=ORIENTED_EDGE('',*,*,#51944,.F.); #73310=ORIENTED_EDGE('',*,*,#51933,.F.); #73311=ORIENTED_EDGE('',*,*,#51945,.F.); #73312=ORIENTED_EDGE('',*,*,#51778,.F.); #73313=ORIENTED_EDGE('',*,*,#51946,.F.); #73314=ORIENTED_EDGE('',*,*,#51947,.T.); #73315=ORIENTED_EDGE('',*,*,#51948,.T.); #73316=ORIENTED_EDGE('',*,*,#51949,.T.); #73317=ORIENTED_EDGE('',*,*,#51950,.T.); #73318=ORIENTED_EDGE('',*,*,#51951,.T.); #73319=ORIENTED_EDGE('',*,*,#51952,.T.); #73320=ORIENTED_EDGE('',*,*,#51953,.T.); #73321=ORIENTED_EDGE('',*,*,#51950,.F.); #73322=ORIENTED_EDGE('',*,*,#51954,.T.); #73323=ORIENTED_EDGE('',*,*,#51955,.T.); #73324=ORIENTED_EDGE('',*,*,#51948,.F.); #73325=ORIENTED_EDGE('',*,*,#51956,.T.); #73326=ORIENTED_EDGE('',*,*,#51956,.F.); #73327=ORIENTED_EDGE('',*,*,#51957,.T.); #73328=ORIENTED_EDGE('',*,*,#51958,.F.); #73329=ORIENTED_EDGE('',*,*,#51953,.F.); #73330=ORIENTED_EDGE('',*,*,#51959,.F.); #73331=ORIENTED_EDGE('',*,*,#51960,.F.); #73332=ORIENTED_EDGE('',*,*,#51961,.F.); #73333=ORIENTED_EDGE('',*,*,#51962,.F.); #73334=ORIENTED_EDGE('',*,*,#51963,.T.); #73335=ORIENTED_EDGE('',*,*,#51952,.F.); #73336=ORIENTED_EDGE('',*,*,#51958,.T.); #73337=ORIENTED_EDGE('',*,*,#51964,.T.); #73338=ORIENTED_EDGE('',*,*,#51965,.T.); #73339=ORIENTED_EDGE('',*,*,#51966,.F.); #73340=ORIENTED_EDGE('',*,*,#51959,.T.); #73341=ORIENTED_EDGE('',*,*,#51967,.F.); #73342=ORIENTED_EDGE('',*,*,#51968,.T.); #73343=ORIENTED_EDGE('',*,*,#51954,.F.); #73344=ORIENTED_EDGE('',*,*,#51949,.F.); #73345=ORIENTED_EDGE('',*,*,#51955,.F.); #73346=ORIENTED_EDGE('',*,*,#51968,.F.); #73347=ORIENTED_EDGE('',*,*,#51969,.F.); #73348=ORIENTED_EDGE('',*,*,#51967,.T.); #73349=ORIENTED_EDGE('',*,*,#51970,.T.); #73350=ORIENTED_EDGE('',*,*,#51971,.T.); #73351=ORIENTED_EDGE('',*,*,#51970,.F.); #73352=ORIENTED_EDGE('',*,*,#51969,.T.); #73353=ORIENTED_EDGE('',*,*,#51971,.F.); #73354=ORIENTED_EDGE('',*,*,#51972,.F.); #73355=ORIENTED_EDGE('',*,*,#51973,.T.); #73356=ORIENTED_EDGE('',*,*,#51974,.T.); #73357=ORIENTED_EDGE('',*,*,#51975,.T.); #73358=ORIENTED_EDGE('',*,*,#51976,.T.); #73359=ORIENTED_EDGE('',*,*,#51974,.F.); #73360=ORIENTED_EDGE('',*,*,#51976,.F.); #73361=ORIENTED_EDGE('',*,*,#51977,.T.); #73362=ORIENTED_EDGE('',*,*,#51978,.F.); #73363=ORIENTED_EDGE('',*,*,#51979,.F.); #73364=ORIENTED_EDGE('',*,*,#51980,.F.); #73365=ORIENTED_EDGE('',*,*,#51977,.F.); #73366=ORIENTED_EDGE('',*,*,#51975,.F.); #73367=ORIENTED_EDGE('',*,*,#51973,.F.); #73368=ORIENTED_EDGE('',*,*,#51981,.F.); #73369=ORIENTED_EDGE('',*,*,#51982,.T.); #73370=ORIENTED_EDGE('',*,*,#51979,.T.); #73371=ORIENTED_EDGE('',*,*,#51983,.T.); #73372=ORIENTED_EDGE('',*,*,#51984,.T.); #73373=ORIENTED_EDGE('',*,*,#51985,.T.); #73374=ORIENTED_EDGE('',*,*,#51986,.T.); #73375=ORIENTED_EDGE('',*,*,#51983,.F.); #73376=ORIENTED_EDGE('',*,*,#51978,.T.); #73377=ORIENTED_EDGE('',*,*,#51980,.T.); #73378=ORIENTED_EDGE('',*,*,#51982,.F.); #73379=ORIENTED_EDGE('',*,*,#51987,.T.); #73380=ORIENTED_EDGE('',*,*,#51987,.F.); #73381=ORIENTED_EDGE('',*,*,#51988,.F.); #73382=ORIENTED_EDGE('',*,*,#51989,.F.); #73383=ORIENTED_EDGE('',*,*,#51990,.F.); #73384=ORIENTED_EDGE('',*,*,#51991,.F.); #73385=ORIENTED_EDGE('',*,*,#51992,.F.); #73386=ORIENTED_EDGE('',*,*,#51986,.F.); #73387=ORIENTED_EDGE('',*,*,#51993,.F.); #73388=ORIENTED_EDGE('',*,*,#51994,.F.); #73389=ORIENTED_EDGE('',*,*,#51985,.F.); #73390=ORIENTED_EDGE('',*,*,#51992,.T.); #73391=ORIENTED_EDGE('',*,*,#51995,.F.); #73392=ORIENTED_EDGE('',*,*,#51996,.T.); #73393=ORIENTED_EDGE('',*,*,#51997,.T.); #73394=ORIENTED_EDGE('',*,*,#51993,.T.); #73395=ORIENTED_EDGE('',*,*,#51998,.T.); #73396=ORIENTED_EDGE('',*,*,#51999,.T.); #73397=ORIENTED_EDGE('',*,*,#52000,.T.); #73398=ORIENTED_EDGE('',*,*,#52001,.T.); #73399=ORIENTED_EDGE('',*,*,#52002,.T.); #73400=ORIENTED_EDGE('',*,*,#51853,.F.); #73401=ORIENTED_EDGE('',*,*,#52003,.T.); #73402=ORIENTED_EDGE('',*,*,#52004,.T.); #73403=ORIENTED_EDGE('',*,*,#52005,.T.); #73404=ORIENTED_EDGE('',*,*,#51857,.F.); #73405=ORIENTED_EDGE('',*,*,#52006,.T.); #73406=ORIENTED_EDGE('',*,*,#52007,.T.); #73407=ORIENTED_EDGE('',*,*,#52003,.F.); #73408=ORIENTED_EDGE('',*,*,#51859,.F.); #73409=ORIENTED_EDGE('',*,*,#52008,.T.); #73410=ORIENTED_EDGE('',*,*,#52009,.T.); #73411=ORIENTED_EDGE('',*,*,#52010,.T.); #73412=ORIENTED_EDGE('',*,*,#52006,.F.); #73413=ORIENTED_EDGE('',*,*,#52011,.T.); #73414=ORIENTED_EDGE('',*,*,#52009,.F.); #73415=ORIENTED_EDGE('',*,*,#52012,.T.); #73416=ORIENTED_EDGE('',*,*,#52002,.F.); #73417=ORIENTED_EDGE('',*,*,#52013,.F.); #73418=ORIENTED_EDGE('',*,*,#52014,.T.); #73419=ORIENTED_EDGE('',*,*,#52015,.T.); #73420=ORIENTED_EDGE('',*,*,#52016,.T.); #73421=ORIENTED_EDGE('',*,*,#52017,.T.); #73422=ORIENTED_EDGE('',*,*,#51999,.F.); #73423=ORIENTED_EDGE('',*,*,#52018,.T.); #73424=ORIENTED_EDGE('',*,*,#52019,.T.); #73425=ORIENTED_EDGE('',*,*,#52020,.T.); #73426=ORIENTED_EDGE('',*,*,#52021,.T.); #73427=ORIENTED_EDGE('',*,*,#51889,.T.); #73428=ORIENTED_EDGE('',*,*,#52021,.F.); #73429=ORIENTED_EDGE('',*,*,#52014,.F.); #73430=ORIENTED_EDGE('',*,*,#52022,.T.); #73431=ORIENTED_EDGE('',*,*,#52023,.T.); #73432=ORIENTED_EDGE('',*,*,#52024,.T.); #73433=ORIENTED_EDGE('',*,*,#52025,.T.); #73434=ORIENTED_EDGE('',*,*,#52026,.T.); #73435=ORIENTED_EDGE('',*,*,#52027,.T.); #73436=ORIENTED_EDGE('',*,*,#51894,.T.); #73437=ORIENTED_EDGE('',*,*,#52028,.T.); #73438=ORIENTED_EDGE('',*,*,#52023,.F.); #73439=ORIENTED_EDGE('',*,*,#51897,.T.); #73440=ORIENTED_EDGE('',*,*,#52029,.T.); #73441=ORIENTED_EDGE('',*,*,#52030,.T.); #73442=ORIENTED_EDGE('',*,*,#51896,.T.); #73443=ORIENTED_EDGE('',*,*,#51900,.T.); #73444=ORIENTED_EDGE('',*,*,#52031,.T.); #73445=ORIENTED_EDGE('',*,*,#52032,.F.); #73446=ORIENTED_EDGE('',*,*,#52029,.F.); #73447=ORIENTED_EDGE('',*,*,#52027,.F.); #73448=ORIENTED_EDGE('',*,*,#52019,.F.); #73449=ORIENTED_EDGE('',*,*,#51892,.T.); #73450=ORIENTED_EDGE('',*,*,#52033,.T.); #73451=ORIENTED_EDGE('',*,*,#52034,.T.); #73452=ORIENTED_EDGE('',*,*,#52035,.T.); #73453=ORIENTED_EDGE('',*,*,#52036,.T.); #73454=ORIENTED_EDGE('',*,*,#52035,.F.); #73455=ORIENTED_EDGE('',*,*,#52037,.T.); #73456=ORIENTED_EDGE('',*,*,#51915,.F.); #73457=ORIENTED_EDGE('',*,*,#51918,.T.); #73458=ORIENTED_EDGE('',*,*,#51848,.F.); #73459=ORIENTED_EDGE('',*,*,#52038,.T.); #73460=ORIENTED_EDGE('',*,*,#52039,.T.); #73461=ORIENTED_EDGE('',*,*,#52040,.T.); #73462=ORIENTED_EDGE('',*,*,#52041,.T.); #73463=ORIENTED_EDGE('',*,*,#52040,.F.); #73464=ORIENTED_EDGE('',*,*,#52042,.T.); #73465=ORIENTED_EDGE('',*,*,#52033,.F.); #73466=ORIENTED_EDGE('',*,*,#52043,.T.); #73467=ORIENTED_EDGE('',*,*,#51834,.F.); #73468=ORIENTED_EDGE('',*,*,#52044,.T.); #73469=ORIENTED_EDGE('',*,*,#52045,.T.); #73470=ORIENTED_EDGE('',*,*,#52046,.T.); #73471=ORIENTED_EDGE('',*,*,#51851,.F.); #73472=ORIENTED_EDGE('',*,*,#52046,.F.); #73473=ORIENTED_EDGE('',*,*,#52038,.F.); #73474=ORIENTED_EDGE('',*,*,#51827,.F.); #73475=ORIENTED_EDGE('',*,*,#52047,.T.); #73476=ORIENTED_EDGE('',*,*,#52048,.T.); #73477=ORIENTED_EDGE('',*,*,#52044,.F.); #73478=ORIENTED_EDGE('',*,*,#51830,.F.); #73479=ORIENTED_EDGE('',*,*,#52049,.T.); #73480=ORIENTED_EDGE('',*,*,#52050,.T.); #73481=ORIENTED_EDGE('',*,*,#52047,.F.); #73482=ORIENTED_EDGE('',*,*,#51833,.F.); #73483=ORIENTED_EDGE('',*,*,#52005,.F.); #73484=ORIENTED_EDGE('',*,*,#52051,.T.); #73485=ORIENTED_EDGE('',*,*,#52049,.F.); #73486=ORIENTED_EDGE('',*,*,#51899,.F.); #73487=ORIENTED_EDGE('',*,*,#51902,.F.); #73488=ORIENTED_EDGE('',*,*,#51904,.F.); #73489=ORIENTED_EDGE('',*,*,#51906,.F.); #73490=ORIENTED_EDGE('',*,*,#51908,.F.); #73491=ORIENTED_EDGE('',*,*,#51910,.F.); #73492=ORIENTED_EDGE('',*,*,#51912,.F.); #73493=ORIENTED_EDGE('',*,*,#51916,.F.); #73494=ORIENTED_EDGE('',*,*,#52052,.T.); #73495=ORIENTED_EDGE('',*,*,#52031,.F.); #73496=ORIENTED_EDGE('',*,*,#52052,.F.); #73497=ORIENTED_EDGE('',*,*,#52037,.F.); #73498=ORIENTED_EDGE('',*,*,#52034,.F.); #73499=ORIENTED_EDGE('',*,*,#52042,.F.); #73500=ORIENTED_EDGE('',*,*,#52053,.F.); #73501=ORIENTED_EDGE('',*,*,#52011,.F.); #73502=ORIENTED_EDGE('',*,*,#52013,.T.); #73503=ORIENTED_EDGE('',*,*,#52001,.F.); #73504=ORIENTED_EDGE('',*,*,#52054,.F.); #73505=ORIENTED_EDGE('',*,*,#52030,.F.); #73506=ORIENTED_EDGE('',*,*,#52032,.T.); #73507=ORIENTED_EDGE('',*,*,#51866,.F.); #73508=ORIENTED_EDGE('',*,*,#51890,.T.); #73509=ORIENTED_EDGE('',*,*,#52022,.F.); #73510=ORIENTED_EDGE('',*,*,#52055,.T.); #73511=ORIENTED_EDGE('',*,*,#51810,.F.); #73512=ORIENTED_EDGE('',*,*,#51804,.F.); #73513=ORIENTED_EDGE('',*,*,#51806,.F.); #73514=ORIENTED_EDGE('',*,*,#51813,.F.); #73515=ORIENTED_EDGE('',*,*,#52055,.F.); #73516=ORIENTED_EDGE('',*,*,#52018,.F.); #73517=ORIENTED_EDGE('',*,*,#52056,.F.); #73518=ORIENTED_EDGE('',*,*,#51864,.F.); #73519=ORIENTED_EDGE('',*,*,#51861,.F.); #73520=ORIENTED_EDGE('',*,*,#52056,.T.); #73521=ORIENTED_EDGE('',*,*,#51998,.F.); #73522=ORIENTED_EDGE('',*,*,#52012,.F.); #73523=ORIENTED_EDGE('',*,*,#52008,.F.); #73524=ORIENTED_EDGE('',*,*,#51815,.F.); #73525=ORIENTED_EDGE('',*,*,#51820,.F.); #73526=ORIENTED_EDGE('',*,*,#51822,.F.); #73527=ORIENTED_EDGE('',*,*,#51945,.T.); #73528=ORIENTED_EDGE('',*,*,#52057,.T.); #73529=ORIENTED_EDGE('',*,*,#52058,.T.); #73530=ORIENTED_EDGE('',*,*,#52057,.F.); #73531=ORIENTED_EDGE('',*,*,#52058,.F.); #73532=ORIENTED_EDGE('',*,*,#52059,.T.); #73533=ORIENTED_EDGE('',*,*,#52060,.F.); #73534=ORIENTED_EDGE('',*,*,#52059,.F.); #73535=ORIENTED_EDGE('',*,*,#52061,.T.); #73536=ORIENTED_EDGE('',*,*,#52062,.T.); #73537=ORIENTED_EDGE('',*,*,#52060,.T.); #73538=ORIENTED_EDGE('',*,*,#52062,.F.); #73539=ORIENTED_EDGE('',*,*,#52061,.F.); #73540=ORIENTED_EDGE('',*,*,#51927,.T.); #73541=ORIENTED_EDGE('',*,*,#51921,.T.); #73542=ORIENTED_EDGE('',*,*,#52063,.T.); #73543=ORIENTED_EDGE('',*,*,#52064,.T.); #73544=ORIENTED_EDGE('',*,*,#52065,.T.); #73545=ORIENTED_EDGE('',*,*,#51930,.F.); #73546=ORIENTED_EDGE('',*,*,#51934,.T.); #73547=ORIENTED_EDGE('',*,*,#52066,.T.); #73548=ORIENTED_EDGE('',*,*,#52067,.T.); #73549=ORIENTED_EDGE('',*,*,#52064,.F.); #73550=ORIENTED_EDGE('',*,*,#51944,.T.); #73551=ORIENTED_EDGE('',*,*,#52068,.T.); #73552=ORIENTED_EDGE('',*,*,#52069,.T.); #73553=ORIENTED_EDGE('',*,*,#52066,.F.); #73554=ORIENTED_EDGE('',*,*,#51943,.T.); #73555=ORIENTED_EDGE('',*,*,#52070,.T.); #73556=ORIENTED_EDGE('',*,*,#52071,.T.); #73557=ORIENTED_EDGE('',*,*,#52068,.F.); #73558=ORIENTED_EDGE('',*,*,#51942,.T.); #73559=ORIENTED_EDGE('',*,*,#52072,.T.); #73560=ORIENTED_EDGE('',*,*,#52073,.T.); #73561=ORIENTED_EDGE('',*,*,#52070,.F.); #73562=ORIENTED_EDGE('',*,*,#51941,.T.); #73563=ORIENTED_EDGE('',*,*,#52074,.T.); #73564=ORIENTED_EDGE('',*,*,#52075,.T.); #73565=ORIENTED_EDGE('',*,*,#52072,.F.); #73566=ORIENTED_EDGE('',*,*,#51940,.T.); #73567=ORIENTED_EDGE('',*,*,#52076,.T.); #73568=ORIENTED_EDGE('',*,*,#52077,.T.); #73569=ORIENTED_EDGE('',*,*,#52074,.F.); #73570=ORIENTED_EDGE('',*,*,#51939,.T.); #73571=ORIENTED_EDGE('',*,*,#52078,.T.); #73572=ORIENTED_EDGE('',*,*,#52079,.T.); #73573=ORIENTED_EDGE('',*,*,#52076,.F.); #73574=ORIENTED_EDGE('',*,*,#51938,.T.); #73575=ORIENTED_EDGE('',*,*,#52080,.T.); #73576=ORIENTED_EDGE('',*,*,#52081,.T.); #73577=ORIENTED_EDGE('',*,*,#52078,.F.); #73578=ORIENTED_EDGE('',*,*,#51937,.T.); #73579=ORIENTED_EDGE('',*,*,#52082,.T.); #73580=ORIENTED_EDGE('',*,*,#52083,.T.); #73581=ORIENTED_EDGE('',*,*,#52080,.F.); #73582=ORIENTED_EDGE('',*,*,#51936,.T.); #73583=ORIENTED_EDGE('',*,*,#52084,.T.); #73584=ORIENTED_EDGE('',*,*,#52085,.T.); #73585=ORIENTED_EDGE('',*,*,#52082,.F.); #73586=ORIENTED_EDGE('',*,*,#52086,.T.); #73587=ORIENTED_EDGE('',*,*,#51789,.F.); #73588=ORIENTED_EDGE('',*,*,#52087,.T.); #73589=ORIENTED_EDGE('',*,*,#52088,.T.); #73590=ORIENTED_EDGE('',*,*,#52084,.F.); #73591=ORIENTED_EDGE('',*,*,#51946,.T.); #73592=ORIENTED_EDGE('',*,*,#51786,.F.); #73593=ORIENTED_EDGE('',*,*,#51872,.F.); #73594=ORIENTED_EDGE('',*,*,#52089,.T.); #73595=ORIENTED_EDGE('',*,*,#52090,.T.); #73596=ORIENTED_EDGE('',*,*,#52091,.T.); #73597=ORIENTED_EDGE('',*,*,#52087,.F.); #73598=ORIENTED_EDGE('',*,*,#51873,.F.); #73599=ORIENTED_EDGE('',*,*,#51924,.F.); #73600=ORIENTED_EDGE('',*,*,#52092,.T.); #73601=ORIENTED_EDGE('',*,*,#52090,.F.); #73602=ORIENTED_EDGE('',*,*,#51797,.F.); #73603=ORIENTED_EDGE('',*,*,#51925,.T.); #73604=ORIENTED_EDGE('',*,*,#52089,.F.); #73605=ORIENTED_EDGE('',*,*,#51779,.F.); #73606=ORIENTED_EDGE('',*,*,#51947,.F.); #73607=ORIENTED_EDGE('',*,*,#52086,.F.); #73608=ORIENTED_EDGE('',*,*,#51935,.T.); #73609=ORIENTED_EDGE('',*,*,#52063,.F.); #73610=ORIENTED_EDGE('',*,*,#52093,.T.); #73611=ORIENTED_EDGE('',*,*,#52094,.T.); #73612=ORIENTED_EDGE('',*,*,#52095,.T.); #73613=ORIENTED_EDGE('',*,*,#52096,.T.); #73614=ORIENTED_EDGE('',*,*,#52097,.T.); #73615=ORIENTED_EDGE('',*,*,#52098,.T.); #73616=ORIENTED_EDGE('',*,*,#52096,.F.); #73617=ORIENTED_EDGE('',*,*,#52099,.T.); #73618=ORIENTED_EDGE('',*,*,#52100,.T.); #73619=ORIENTED_EDGE('',*,*,#52101,.T.); #73620=ORIENTED_EDGE('',*,*,#52102,.T.); #73621=ORIENTED_EDGE('',*,*,#52103,.T.); #73622=ORIENTED_EDGE('',*,*,#52100,.F.); #73623=ORIENTED_EDGE('',*,*,#52104,.T.); #73624=ORIENTED_EDGE('',*,*,#52102,.F.); #73625=ORIENTED_EDGE('',*,*,#52105,.T.); #73626=ORIENTED_EDGE('',*,*,#52106,.T.); #73627=ORIENTED_EDGE('',*,*,#52107,.T.); #73628=ORIENTED_EDGE('',*,*,#52108,.T.); #73629=ORIENTED_EDGE('',*,*,#52109,.T.); #73630=ORIENTED_EDGE('',*,*,#52106,.F.); #73631=ORIENTED_EDGE('',*,*,#52108,.F.); #73632=ORIENTED_EDGE('',*,*,#52105,.F.); #73633=ORIENTED_EDGE('',*,*,#52110,.T.); #73634=ORIENTED_EDGE('',*,*,#52111,.F.); #73635=ORIENTED_EDGE('',*,*,#52112,.F.); #73636=ORIENTED_EDGE('',*,*,#52113,.T.); #73637=ORIENTED_EDGE('',*,*,#52104,.F.); #73638=ORIENTED_EDGE('',*,*,#52114,.F.); #73639=ORIENTED_EDGE('',*,*,#52115,.F.); #73640=ORIENTED_EDGE('',*,*,#52110,.F.); #73641=ORIENTED_EDGE('',*,*,#52099,.F.); #73642=ORIENTED_EDGE('',*,*,#52098,.F.); #73643=ORIENTED_EDGE('',*,*,#52116,.T.); #73644=ORIENTED_EDGE('',*,*,#52117,.F.); #73645=ORIENTED_EDGE('',*,*,#52118,.F.); #73646=ORIENTED_EDGE('',*,*,#52114,.T.); #73647=ORIENTED_EDGE('',*,*,#52119,.T.); #73648=ORIENTED_EDGE('',*,*,#52120,.T.); #73649=ORIENTED_EDGE('',*,*,#52112,.T.); #73650=ORIENTED_EDGE('',*,*,#52119,.F.); #73651=ORIENTED_EDGE('',*,*,#52111,.T.); #73652=ORIENTED_EDGE('',*,*,#52121,.T.); #73653=ORIENTED_EDGE('',*,*,#52122,.T.); #73654=ORIENTED_EDGE('',*,*,#52123,.T.); #73655=ORIENTED_EDGE('',*,*,#52124,.T.); #73656=ORIENTED_EDGE('',*,*,#52121,.F.); #73657=ORIENTED_EDGE('',*,*,#52115,.T.); #73658=ORIENTED_EDGE('',*,*,#52123,.F.); #73659=ORIENTED_EDGE('',*,*,#52118,.T.); #73660=ORIENTED_EDGE('',*,*,#52125,.T.); #73661=ORIENTED_EDGE('',*,*,#52126,.T.); #73662=ORIENTED_EDGE('',*,*,#52117,.T.); #73663=ORIENTED_EDGE('',*,*,#52127,.T.); #73664=ORIENTED_EDGE('',*,*,#52125,.F.); #73665=ORIENTED_EDGE('',*,*,#52120,.F.); #73666=ORIENTED_EDGE('',*,*,#52128,.T.); #73667=ORIENTED_EDGE('',*,*,#52129,.F.); #73668=ORIENTED_EDGE('',*,*,#52130,.T.); #73669=ORIENTED_EDGE('',*,*,#52109,.F.); #73670=ORIENTED_EDGE('',*,*,#52131,.T.); #73671=ORIENTED_EDGE('',*,*,#52132,.T.); #73672=ORIENTED_EDGE('',*,*,#52133,.T.); #73673=ORIENTED_EDGE('',*,*,#52134,.T.); #73674=ORIENTED_EDGE('',*,*,#52135,.T.); #73675=ORIENTED_EDGE('',*,*,#52132,.F.); #73676=ORIENTED_EDGE('',*,*,#52136,.T.); #73677=ORIENTED_EDGE('',*,*,#52137,.T.); #73678=ORIENTED_EDGE('',*,*,#52138,.T.); #73679=ORIENTED_EDGE('',*,*,#52134,.F.); #73680=ORIENTED_EDGE('',*,*,#52139,.T.); #73681=ORIENTED_EDGE('',*,*,#52140,.T.); #73682=ORIENTED_EDGE('',*,*,#52141,.T.); #73683=ORIENTED_EDGE('',*,*,#52137,.F.); #73684=ORIENTED_EDGE('',*,*,#52142,.T.); #73685=ORIENTED_EDGE('',*,*,#52097,.F.); #73686=ORIENTED_EDGE('',*,*,#52143,.T.); #73687=ORIENTED_EDGE('',*,*,#52140,.F.); #73688=ORIENTED_EDGE('',*,*,#52144,.T.); #73689=ORIENTED_EDGE('',*,*,#52116,.F.); #73690=ORIENTED_EDGE('',*,*,#52144,.F.); #73691=ORIENTED_EDGE('',*,*,#52145,.F.); #73692=ORIENTED_EDGE('',*,*,#52146,.F.); #73693=ORIENTED_EDGE('',*,*,#52147,.F.); #73694=ORIENTED_EDGE('',*,*,#52148,.F.); #73695=ORIENTED_EDGE('',*,*,#52149,.F.); #73696=ORIENTED_EDGE('',*,*,#52150,.F.); #73697=ORIENTED_EDGE('',*,*,#52151,.F.); #73698=ORIENTED_EDGE('',*,*,#52152,.T.); #73699=ORIENTED_EDGE('',*,*,#52142,.F.); #73700=ORIENTED_EDGE('',*,*,#52153,.T.); #73701=ORIENTED_EDGE('',*,*,#52154,.F.); #73702=ORIENTED_EDGE('',*,*,#52145,.T.); #73703=ORIENTED_EDGE('',*,*,#52139,.F.); #73704=ORIENTED_EDGE('',*,*,#52155,.F.); #73705=ORIENTED_EDGE('',*,*,#52156,.F.); #73706=ORIENTED_EDGE('',*,*,#52153,.F.); #73707=ORIENTED_EDGE('',*,*,#52136,.F.); #73708=ORIENTED_EDGE('',*,*,#52157,.T.); #73709=ORIENTED_EDGE('',*,*,#52158,.F.); #73710=ORIENTED_EDGE('',*,*,#52155,.T.); #73711=ORIENTED_EDGE('',*,*,#52131,.F.); #73712=ORIENTED_EDGE('',*,*,#52113,.F.); #73713=ORIENTED_EDGE('',*,*,#52130,.F.); #73714=ORIENTED_EDGE('',*,*,#52159,.F.); #73715=ORIENTED_EDGE('',*,*,#52160,.F.); #73716=ORIENTED_EDGE('',*,*,#52161,.F.); #73717=ORIENTED_EDGE('',*,*,#52162,.F.); #73718=ORIENTED_EDGE('',*,*,#52163,.F.); #73719=ORIENTED_EDGE('',*,*,#52157,.F.); #73720=ORIENTED_EDGE('',*,*,#52164,.T.); #73721=ORIENTED_EDGE('',*,*,#52127,.F.); #73722=ORIENTED_EDGE('',*,*,#52151,.T.); #73723=ORIENTED_EDGE('',*,*,#52165,.T.); #73724=ORIENTED_EDGE('',*,*,#52166,.T.); #73725=ORIENTED_EDGE('',*,*,#52167,.T.); #73726=ORIENTED_EDGE('',*,*,#52168,.T.); #73727=ORIENTED_EDGE('',*,*,#52165,.F.); #73728=ORIENTED_EDGE('',*,*,#52169,.T.); #73729=ORIENTED_EDGE('',*,*,#52170,.T.); #73730=ORIENTED_EDGE('',*,*,#52171,.T.); #73731=ORIENTED_EDGE('',*,*,#52167,.F.); #73732=ORIENTED_EDGE('',*,*,#52172,.T.); #73733=ORIENTED_EDGE('',*,*,#52129,.T.); #73734=ORIENTED_EDGE('',*,*,#52173,.T.); #73735=ORIENTED_EDGE('',*,*,#52170,.F.); #73736=ORIENTED_EDGE('',*,*,#52174,.T.); #73737=ORIENTED_EDGE('',*,*,#52174,.F.); #73738=ORIENTED_EDGE('',*,*,#52175,.T.); #73739=ORIENTED_EDGE('',*,*,#52176,.F.); #73740=ORIENTED_EDGE('',*,*,#52159,.T.); #73741=ORIENTED_EDGE('',*,*,#52172,.F.); #73742=ORIENTED_EDGE('',*,*,#52177,.F.); #73743=ORIENTED_EDGE('',*,*,#52178,.F.); #73744=ORIENTED_EDGE('',*,*,#52175,.F.); #73745=ORIENTED_EDGE('',*,*,#52169,.F.); #73746=ORIENTED_EDGE('',*,*,#52150,.T.); #73747=ORIENTED_EDGE('',*,*,#52179,.T.); #73748=ORIENTED_EDGE('',*,*,#52177,.T.); #73749=ORIENTED_EDGE('',*,*,#52180,.T.); #73750=ORIENTED_EDGE('',*,*,#52181,.T.); #73751=ORIENTED_EDGE('',*,*,#52094,.F.); #73752=ORIENTED_EDGE('',*,*,#51962,.T.); #73753=ORIENTED_EDGE('',*,*,#52182,.T.); #73754=ORIENTED_EDGE('',*,*,#52183,.T.); #73755=ORIENTED_EDGE('',*,*,#52180,.F.); #73756=ORIENTED_EDGE('',*,*,#51961,.T.); #73757=ORIENTED_EDGE('',*,*,#52184,.T.); #73758=ORIENTED_EDGE('',*,*,#52185,.T.); #73759=ORIENTED_EDGE('',*,*,#52182,.F.); #73760=ORIENTED_EDGE('',*,*,#51960,.T.); #73761=ORIENTED_EDGE('',*,*,#52184,.F.); #73762=ORIENTED_EDGE('',*,*,#51966,.T.); #73763=ORIENTED_EDGE('',*,*,#52186,.T.); #73764=ORIENTED_EDGE('',*,*,#52187,.T.); #73765=ORIENTED_EDGE('',*,*,#52188,.T.); #73766=ORIENTED_EDGE('',*,*,#52189,.T.); #73767=ORIENTED_EDGE('',*,*,#52186,.F.); #73768=ORIENTED_EDGE('',*,*,#52190,.T.); #73769=ORIENTED_EDGE('',*,*,#52191,.T.); #73770=ORIENTED_EDGE('',*,*,#52192,.T.); #73771=ORIENTED_EDGE('',*,*,#52193,.T.); #73772=ORIENTED_EDGE('',*,*,#52194,.T.); #73773=ORIENTED_EDGE('',*,*,#52195,.T.); #73774=ORIENTED_EDGE('',*,*,#52196,.T.); #73775=ORIENTED_EDGE('',*,*,#52191,.F.); #73776=ORIENTED_EDGE('',*,*,#51995,.T.); #73777=ORIENTED_EDGE('',*,*,#52197,.T.); #73778=ORIENTED_EDGE('',*,*,#52198,.T.); #73779=ORIENTED_EDGE('',*,*,#52197,.F.); #73780=ORIENTED_EDGE('',*,*,#51991,.T.); #73781=ORIENTED_EDGE('',*,*,#52199,.T.); #73782=ORIENTED_EDGE('',*,*,#52200,.T.); #73783=ORIENTED_EDGE('',*,*,#52201,.T.); #73784=ORIENTED_EDGE('',*,*,#52202,.T.); #73785=ORIENTED_EDGE('',*,*,#52199,.F.); #73786=ORIENTED_EDGE('',*,*,#51990,.T.); #73787=ORIENTED_EDGE('',*,*,#52203,.T.); #73788=ORIENTED_EDGE('',*,*,#52204,.T.); #73789=ORIENTED_EDGE('',*,*,#52201,.F.); #73790=ORIENTED_EDGE('',*,*,#51989,.T.); #73791=ORIENTED_EDGE('',*,*,#52092,.F.); #73792=ORIENTED_EDGE('',*,*,#52205,.T.); #73793=ORIENTED_EDGE('',*,*,#52203,.F.); #73794=ORIENTED_EDGE('',*,*,#52206,.T.); #73795=ORIENTED_EDGE('',*,*,#52190,.F.); #73796=ORIENTED_EDGE('',*,*,#51965,.F.); #73797=ORIENTED_EDGE('',*,*,#52207,.F.); #73798=ORIENTED_EDGE('',*,*,#52208,.F.); #73799=ORIENTED_EDGE('',*,*,#52209,.F.); #73800=ORIENTED_EDGE('',*,*,#52210,.F.); #73801=ORIENTED_EDGE('',*,*,#52211,.F.); #73802=ORIENTED_EDGE('',*,*,#52212,.F.); #73803=ORIENTED_EDGE('',*,*,#52213,.F.); #73804=ORIENTED_EDGE('',*,*,#52214,.F.); #73805=ORIENTED_EDGE('',*,*,#52215,.F.); #73806=ORIENTED_EDGE('',*,*,#52216,.F.); #73807=ORIENTED_EDGE('',*,*,#52217,.F.); #73808=ORIENTED_EDGE('',*,*,#52218,.F.); #73809=ORIENTED_EDGE('',*,*,#52219,.F.); #73810=ORIENTED_EDGE('',*,*,#52220,.F.); #73811=ORIENTED_EDGE('',*,*,#52221,.F.); #73812=ORIENTED_EDGE('',*,*,#52222,.F.); #73813=ORIENTED_EDGE('',*,*,#52223,.F.); #73814=ORIENTED_EDGE('',*,*,#52224,.F.); #73815=ORIENTED_EDGE('',*,*,#52225,.F.); #73816=ORIENTED_EDGE('',*,*,#51996,.F.); #73817=ORIENTED_EDGE('',*,*,#51951,.F.); #73818=ORIENTED_EDGE('',*,*,#51963,.F.); #73819=ORIENTED_EDGE('',*,*,#52093,.F.); #73820=ORIENTED_EDGE('',*,*,#51920,.F.); #73821=ORIENTED_EDGE('',*,*,#52226,.T.); #73822=ORIENTED_EDGE('',*,*,#52227,.F.); #73823=ORIENTED_EDGE('',*,*,#51957,.F.); #73824=ORIENTED_EDGE('',*,*,#52183,.F.); #73825=ORIENTED_EDGE('',*,*,#52228,.T.); #73826=ORIENTED_EDGE('',*,*,#52229,.F.); #73827=ORIENTED_EDGE('',*,*,#52230,.T.); #73828=ORIENTED_EDGE('',*,*,#52188,.F.); #73829=ORIENTED_EDGE('',*,*,#52231,.F.); #73830=ORIENTED_EDGE('',*,*,#52232,.T.); #73831=ORIENTED_EDGE('',*,*,#52233,.T.); #73832=ORIENTED_EDGE('',*,*,#52234,.T.); #73833=ORIENTED_EDGE('',*,*,#52185,.F.); #73834=ORIENTED_EDGE('',*,*,#52187,.F.); #73835=ORIENTED_EDGE('',*,*,#52189,.F.); #73836=ORIENTED_EDGE('',*,*,#52234,.F.); #73837=ORIENTED_EDGE('',*,*,#52235,.F.); #73838=ORIENTED_EDGE('',*,*,#52228,.F.); #73839=ORIENTED_EDGE('',*,*,#52195,.F.); #73840=ORIENTED_EDGE('',*,*,#52236,.F.); #73841=ORIENTED_EDGE('',*,*,#52237,.T.); #73842=ORIENTED_EDGE('',*,*,#52147,.T.); #73843=ORIENTED_EDGE('',*,*,#52238,.T.); #73844=ORIENTED_EDGE('',*,*,#52229,.T.); #73845=ORIENTED_EDGE('',*,*,#52239,.T.); #73846=ORIENTED_EDGE('',*,*,#52240,.T.); #73847=ORIENTED_EDGE('',*,*,#52238,.F.); #73848=ORIENTED_EDGE('',*,*,#52146,.T.); #73849=ORIENTED_EDGE('',*,*,#52241,.T.); #73850=ORIENTED_EDGE('',*,*,#52242,.T.); #73851=ORIENTED_EDGE('',*,*,#52239,.F.); #73852=ORIENTED_EDGE('',*,*,#52154,.T.); #73853=ORIENTED_EDGE('',*,*,#52243,.T.); #73854=ORIENTED_EDGE('',*,*,#52244,.T.); #73855=ORIENTED_EDGE('',*,*,#52241,.F.); #73856=ORIENTED_EDGE('',*,*,#52156,.T.); #73857=ORIENTED_EDGE('',*,*,#52245,.T.); #73858=ORIENTED_EDGE('',*,*,#52246,.T.); #73859=ORIENTED_EDGE('',*,*,#52243,.F.); #73860=ORIENTED_EDGE('',*,*,#52158,.T.); #73861=ORIENTED_EDGE('',*,*,#52247,.T.); #73862=ORIENTED_EDGE('',*,*,#52248,.T.); #73863=ORIENTED_EDGE('',*,*,#52245,.F.); #73864=ORIENTED_EDGE('',*,*,#52163,.T.); #73865=ORIENTED_EDGE('',*,*,#52249,.T.); #73866=ORIENTED_EDGE('',*,*,#52250,.T.); #73867=ORIENTED_EDGE('',*,*,#52247,.F.); #73868=ORIENTED_EDGE('',*,*,#52162,.T.); #73869=ORIENTED_EDGE('',*,*,#52251,.T.); #73870=ORIENTED_EDGE('',*,*,#52252,.T.); #73871=ORIENTED_EDGE('',*,*,#52249,.F.); #73872=ORIENTED_EDGE('',*,*,#52161,.T.); #73873=ORIENTED_EDGE('',*,*,#52193,.F.); #73874=ORIENTED_EDGE('',*,*,#52253,.T.); #73875=ORIENTED_EDGE('',*,*,#52251,.F.); #73876=ORIENTED_EDGE('',*,*,#52254,.T.); #73877=ORIENTED_EDGE('',*,*,#52255,.T.); #73878=ORIENTED_EDGE('',*,*,#52194,.F.); #73879=ORIENTED_EDGE('',*,*,#52255,.F.); #73880=ORIENTED_EDGE('',*,*,#52256,.T.); #73881=ORIENTED_EDGE('',*,*,#52231,.T.); #73882=ORIENTED_EDGE('',*,*,#52196,.F.); #73883=ORIENTED_EDGE('',*,*,#52236,.T.); #73884=ORIENTED_EDGE('',*,*,#52237,.F.); #73885=ORIENTED_EDGE('',*,*,#52235,.T.); #73886=ORIENTED_EDGE('',*,*,#52233,.F.); #73887=ORIENTED_EDGE('',*,*,#52148,.T.); #73888=ORIENTED_EDGE('',*,*,#52200,.F.); #73889=ORIENTED_EDGE('',*,*,#52257,.F.); #73890=ORIENTED_EDGE('',*,*,#52252,.F.); #73891=ORIENTED_EDGE('',*,*,#52253,.F.); #73892=ORIENTED_EDGE('',*,*,#52192,.F.); #73893=ORIENTED_EDGE('',*,*,#52198,.F.); #73894=ORIENTED_EDGE('',*,*,#52254,.F.); #73895=ORIENTED_EDGE('',*,*,#52160,.T.); #73896=ORIENTED_EDGE('',*,*,#52176,.T.); #73897=ORIENTED_EDGE('',*,*,#52258,.T.); #73898=ORIENTED_EDGE('',*,*,#52126,.F.); #73899=ORIENTED_EDGE('',*,*,#52166,.F.); #73900=ORIENTED_EDGE('',*,*,#52168,.F.); #73901=ORIENTED_EDGE('',*,*,#52171,.F.); #73902=ORIENTED_EDGE('',*,*,#52173,.F.); #73903=ORIENTED_EDGE('',*,*,#52128,.F.); #73904=ORIENTED_EDGE('',*,*,#52122,.F.); #73905=ORIENTED_EDGE('',*,*,#52124,.F.); #73906=ORIENTED_EDGE('',*,*,#52107,.F.); #73907=ORIENTED_EDGE('',*,*,#52133,.F.); #73908=ORIENTED_EDGE('',*,*,#52135,.F.); #73909=ORIENTED_EDGE('',*,*,#52138,.F.); #73910=ORIENTED_EDGE('',*,*,#52141,.F.); #73911=ORIENTED_EDGE('',*,*,#52143,.F.); #73912=ORIENTED_EDGE('',*,*,#52101,.F.); #73913=ORIENTED_EDGE('',*,*,#52103,.F.); #73914=ORIENTED_EDGE('',*,*,#52232,.F.); #73915=ORIENTED_EDGE('',*,*,#52259,.T.); #73916=ORIENTED_EDGE('',*,*,#52179,.F.); #73917=ORIENTED_EDGE('',*,*,#52149,.T.); #73918=ORIENTED_EDGE('',*,*,#52260,.T.); #73919=ORIENTED_EDGE('',*,*,#52261,.T.); #73920=ORIENTED_EDGE('',*,*,#52262,.T.); #73921=ORIENTED_EDGE('',*,*,#52263,.T.); #73922=ORIENTED_EDGE('',*,*,#52220,.T.); #73923=ORIENTED_EDGE('',*,*,#52264,.T.); #73924=ORIENTED_EDGE('',*,*,#52265,.T.); #73925=ORIENTED_EDGE('',*,*,#52261,.F.); #73926=ORIENTED_EDGE('',*,*,#52266,.T.); #73927=ORIENTED_EDGE('',*,*,#52267,.T.); #73928=ORIENTED_EDGE('',*,*,#52268,.T.); #73929=ORIENTED_EDGE('',*,*,#52264,.F.); #73930=ORIENTED_EDGE('',*,*,#52269,.T.); #73931=ORIENTED_EDGE('',*,*,#52270,.T.); #73932=ORIENTED_EDGE('',*,*,#52271,.T.); #73933=ORIENTED_EDGE('',*,*,#52267,.F.); #73934=ORIENTED_EDGE('',*,*,#52272,.T.); #73935=ORIENTED_EDGE('',*,*,#52273,.T.); #73936=ORIENTED_EDGE('',*,*,#52274,.T.); #73937=ORIENTED_EDGE('',*,*,#52270,.F.); #73938=ORIENTED_EDGE('',*,*,#52275,.T.); #73939=ORIENTED_EDGE('',*,*,#52276,.T.); #73940=ORIENTED_EDGE('',*,*,#52277,.T.); #73941=ORIENTED_EDGE('',*,*,#52273,.F.); #73942=ORIENTED_EDGE('',*,*,#52278,.T.); #73943=ORIENTED_EDGE('',*,*,#52279,.T.); #73944=ORIENTED_EDGE('',*,*,#52280,.T.); #73945=ORIENTED_EDGE('',*,*,#52276,.F.); #73946=ORIENTED_EDGE('',*,*,#52281,.T.); #73947=ORIENTED_EDGE('',*,*,#52282,.T.); #73948=ORIENTED_EDGE('',*,*,#52283,.T.); #73949=ORIENTED_EDGE('',*,*,#52279,.F.); #73950=ORIENTED_EDGE('',*,*,#52284,.T.); #73951=ORIENTED_EDGE('',*,*,#52285,.T.); #73952=ORIENTED_EDGE('',*,*,#52286,.T.); #73953=ORIENTED_EDGE('',*,*,#52282,.F.); #73954=ORIENTED_EDGE('',*,*,#52287,.T.); #73955=ORIENTED_EDGE('',*,*,#52288,.T.); #73956=ORIENTED_EDGE('',*,*,#52289,.T.); #73957=ORIENTED_EDGE('',*,*,#52285,.F.); #73958=ORIENTED_EDGE('',*,*,#52290,.T.); #73959=ORIENTED_EDGE('',*,*,#52291,.T.); #73960=ORIENTED_EDGE('',*,*,#52288,.F.); #73961=ORIENTED_EDGE('',*,*,#52292,.T.); #73962=ORIENTED_EDGE('',*,*,#52212,.T.); #73963=ORIENTED_EDGE('',*,*,#52293,.T.); #73964=ORIENTED_EDGE('',*,*,#52294,.T.); #73965=ORIENTED_EDGE('',*,*,#52295,.T.); #73966=ORIENTED_EDGE('',*,*,#52221,.T.); #73967=ORIENTED_EDGE('',*,*,#52263,.F.); #73968=ORIENTED_EDGE('',*,*,#52211,.T.); #73969=ORIENTED_EDGE('',*,*,#52296,.T.); #73970=ORIENTED_EDGE('',*,*,#52297,.T.); #73971=ORIENTED_EDGE('',*,*,#52293,.F.); #73972=ORIENTED_EDGE('',*,*,#52295,.F.); #73973=ORIENTED_EDGE('',*,*,#52298,.T.); #73974=ORIENTED_EDGE('',*,*,#51997,.F.); #73975=ORIENTED_EDGE('',*,*,#52225,.T.); #73976=ORIENTED_EDGE('',*,*,#52224,.T.); #73977=ORIENTED_EDGE('',*,*,#52223,.T.); #73978=ORIENTED_EDGE('',*,*,#52222,.T.); #73979=ORIENTED_EDGE('',*,*,#51964,.F.); #73980=ORIENTED_EDGE('',*,*,#52227,.T.); #73981=ORIENTED_EDGE('',*,*,#52296,.F.); #73982=ORIENTED_EDGE('',*,*,#52210,.T.); #73983=ORIENTED_EDGE('',*,*,#52209,.T.); #73984=ORIENTED_EDGE('',*,*,#52208,.T.); #73985=ORIENTED_EDGE('',*,*,#52207,.T.); #73986=ORIENTED_EDGE('',*,*,#51984,.F.); #73987=ORIENTED_EDGE('',*,*,#51994,.T.); #73988=ORIENTED_EDGE('',*,*,#52298,.F.); #73989=ORIENTED_EDGE('',*,*,#52299,.F.); #73990=ORIENTED_EDGE('',*,*,#52091,.F.); #73991=ORIENTED_EDGE('',*,*,#52206,.F.); #73992=ORIENTED_EDGE('',*,*,#51988,.T.); #73993=ORIENTED_EDGE('',*,*,#52088,.F.); #73994=ORIENTED_EDGE('',*,*,#52299,.T.); #73995=ORIENTED_EDGE('',*,*,#52294,.F.); #73996=ORIENTED_EDGE('',*,*,#52262,.F.); #73997=ORIENTED_EDGE('',*,*,#52265,.F.); #73998=ORIENTED_EDGE('',*,*,#52300,.F.); #73999=ORIENTED_EDGE('',*,*,#52083,.F.); #74000=ORIENTED_EDGE('',*,*,#52085,.F.); #74001=ORIENTED_EDGE('',*,*,#52081,.F.); #74002=ORIENTED_EDGE('',*,*,#52300,.T.); #74003=ORIENTED_EDGE('',*,*,#52268,.F.); #74004=ORIENTED_EDGE('',*,*,#52301,.F.); #74005=ORIENTED_EDGE('',*,*,#52079,.F.); #74006=ORIENTED_EDGE('',*,*,#52301,.T.); #74007=ORIENTED_EDGE('',*,*,#52271,.F.); #74008=ORIENTED_EDGE('',*,*,#52302,.F.); #74009=ORIENTED_EDGE('',*,*,#52077,.F.); #74010=ORIENTED_EDGE('',*,*,#52302,.T.); #74011=ORIENTED_EDGE('',*,*,#52274,.F.); #74012=ORIENTED_EDGE('',*,*,#52303,.F.); #74013=ORIENTED_EDGE('',*,*,#52075,.F.); #74014=ORIENTED_EDGE('',*,*,#52303,.T.); #74015=ORIENTED_EDGE('',*,*,#52277,.F.); #74016=ORIENTED_EDGE('',*,*,#52304,.T.); #74017=ORIENTED_EDGE('',*,*,#52073,.F.); #74018=ORIENTED_EDGE('',*,*,#52304,.F.); #74019=ORIENTED_EDGE('',*,*,#52280,.F.); #74020=ORIENTED_EDGE('',*,*,#52305,.F.); #74021=ORIENTED_EDGE('',*,*,#52071,.F.); #74022=ORIENTED_EDGE('',*,*,#52305,.T.); #74023=ORIENTED_EDGE('',*,*,#52283,.F.); #74024=ORIENTED_EDGE('',*,*,#52306,.F.); #74025=ORIENTED_EDGE('',*,*,#52069,.F.); #74026=ORIENTED_EDGE('',*,*,#52306,.T.); #74027=ORIENTED_EDGE('',*,*,#52286,.F.); #74028=ORIENTED_EDGE('',*,*,#52307,.F.); #74029=ORIENTED_EDGE('',*,*,#52067,.F.); #74030=ORIENTED_EDGE('',*,*,#52307,.T.); #74031=ORIENTED_EDGE('',*,*,#52289,.F.); #74032=ORIENTED_EDGE('',*,*,#52291,.F.); #74033=ORIENTED_EDGE('',*,*,#52297,.F.); #74034=ORIENTED_EDGE('',*,*,#52226,.F.); #74035=ORIENTED_EDGE('',*,*,#51931,.F.); #74036=ORIENTED_EDGE('',*,*,#52065,.F.); #74037=ORIENTED_EDGE('',*,*,#52202,.F.); #74038=ORIENTED_EDGE('',*,*,#52308,.T.); #74039=ORIENTED_EDGE('',*,*,#52250,.F.); #74040=ORIENTED_EDGE('',*,*,#52257,.T.); #74041=ORIENTED_EDGE('',*,*,#51845,.F.); #74042=ORIENTED_EDGE('',*,*,#52041,.F.); #74043=ORIENTED_EDGE('',*,*,#52043,.F.); #74044=ORIENTED_EDGE('',*,*,#52309,.F.); #74045=ORIENTED_EDGE('',*,*,#51842,.F.); #74046=ORIENTED_EDGE('',*,*,#52309,.T.); #74047=ORIENTED_EDGE('',*,*,#52036,.F.); #74048=ORIENTED_EDGE('',*,*,#51917,.F.); #74049=ORIENTED_EDGE('',*,*,#52260,.F.); #74050=ORIENTED_EDGE('',*,*,#52219,.T.); #74051=ORIENTED_EDGE('',*,*,#52218,.T.); #74052=ORIENTED_EDGE('',*,*,#52217,.T.); #74053=ORIENTED_EDGE('',*,*,#52216,.T.); #74054=ORIENTED_EDGE('',*,*,#52215,.T.); #74055=ORIENTED_EDGE('',*,*,#52214,.T.); #74056=ORIENTED_EDGE('',*,*,#52213,.T.); #74057=ORIENTED_EDGE('',*,*,#52292,.F.); #74058=ORIENTED_EDGE('',*,*,#52310,.T.); #74059=ORIENTED_EDGE('',*,*,#51867,.F.); #74060=ORIENTED_EDGE('',*,*,#51928,.F.); #74061=ORIENTED_EDGE('',*,*,#52095,.F.); #74062=ORIENTED_EDGE('',*,*,#52181,.F.); #74063=ORIENTED_EDGE('',*,*,#52230,.F.); #74064=ORIENTED_EDGE('',*,*,#52240,.F.); #74065=ORIENTED_EDGE('',*,*,#52242,.F.); #74066=ORIENTED_EDGE('',*,*,#52244,.F.); #74067=ORIENTED_EDGE('',*,*,#52246,.F.); #74068=ORIENTED_EDGE('',*,*,#52248,.F.); #74069=ORIENTED_EDGE('',*,*,#52308,.F.); #74070=ORIENTED_EDGE('',*,*,#52204,.F.); #74071=ORIENTED_EDGE('',*,*,#52205,.F.); #74072=ORIENTED_EDGE('',*,*,#51923,.F.); #74073=ORIENTED_EDGE('',*,*,#51799,.F.); #74074=ORIENTED_EDGE('',*,*,#51868,.F.); #74075=ORIENTED_EDGE('',*,*,#52164,.F.); #74076=ORIENTED_EDGE('',*,*,#52311,.T.); #74077=ORIENTED_EDGE('',*,*,#51981,.T.); #74078=ORIENTED_EDGE('',*,*,#52311,.F.); #74079=ORIENTED_EDGE('',*,*,#51972,.T.); #74080=ORIENTED_EDGE('',*,*,#52312,.T.); #74081=ORIENTED_EDGE('',*,*,#52152,.F.); #74082=ORIENTED_EDGE('',*,*,#52312,.F.); #74083=ORIENTED_EDGE('',*,*,#52010,.F.); #74084=ORIENTED_EDGE('',*,*,#52053,.T.); #74085=ORIENTED_EDGE('',*,*,#52039,.F.); #74086=ORIENTED_EDGE('',*,*,#52045,.F.); #74087=ORIENTED_EDGE('',*,*,#52048,.F.); #74088=ORIENTED_EDGE('',*,*,#52050,.F.); #74089=ORIENTED_EDGE('',*,*,#52051,.F.); #74090=ORIENTED_EDGE('',*,*,#52004,.F.); #74091=ORIENTED_EDGE('',*,*,#52007,.F.); #74092=ORIENTED_EDGE('',*,*,#52266,.F.); #74093=ORIENTED_EDGE('',*,*,#52310,.F.); #74094=ORIENTED_EDGE('',*,*,#52290,.F.); #74095=ORIENTED_EDGE('',*,*,#52287,.F.); #74096=ORIENTED_EDGE('',*,*,#52284,.F.); #74097=ORIENTED_EDGE('',*,*,#52281,.F.); #74098=ORIENTED_EDGE('',*,*,#52278,.F.); #74099=ORIENTED_EDGE('',*,*,#52275,.F.); #74100=ORIENTED_EDGE('',*,*,#52272,.F.); #74101=ORIENTED_EDGE('',*,*,#52269,.F.); #74102=ORIENTED_EDGE('',*,*,#52028,.F.); #74103=ORIENTED_EDGE('',*,*,#52054,.T.); #74104=ORIENTED_EDGE('',*,*,#52000,.F.); #74105=ORIENTED_EDGE('',*,*,#52017,.F.); #74106=ORIENTED_EDGE('',*,*,#52016,.F.); #74107=ORIENTED_EDGE('',*,*,#52015,.F.); #74108=ORIENTED_EDGE('',*,*,#52020,.F.); #74109=ORIENTED_EDGE('',*,*,#52026,.F.); #74110=ORIENTED_EDGE('',*,*,#52025,.F.); #74111=ORIENTED_EDGE('',*,*,#52024,.F.); #74112=ORIENTED_EDGE('',*,*,#52256,.F.); #74113=ORIENTED_EDGE('',*,*,#52258,.F.); #74114=ORIENTED_EDGE('',*,*,#52178,.T.); #74115=ORIENTED_EDGE('',*,*,#52259,.F.); #74116=ORIENTED_EDGE('',*,*,#52313,.F.); #74117=ORIENTED_EDGE('',*,*,#52313,.T.); #74118=ORIENTED_EDGE('',*,*,#52314,.F.); #74119=ORIENTED_EDGE('',*,*,#52315,.F.); #74120=ORIENTED_EDGE('',*,*,#52316,.T.); #74121=ORIENTED_EDGE('',*,*,#52314,.T.); #74122=ORIENTED_EDGE('',*,*,#52316,.F.); #74123=ORIENTED_EDGE('',*,*,#52317,.F.); #74124=ORIENTED_EDGE('',*,*,#52315,.T.); #74125=ORIENTED_EDGE('',*,*,#52318,.T.); #74126=ORIENTED_EDGE('',*,*,#52319,.F.); #74127=ORIENTED_EDGE('',*,*,#52318,.F.); #74128=ORIENTED_EDGE('',*,*,#52317,.T.); #74129=ORIENTED_EDGE('',*,*,#52320,.F.); #74130=ORIENTED_EDGE('',*,*,#52319,.T.); #74131=ORIENTED_EDGE('',*,*,#52321,.F.); #74132=ORIENTED_EDGE('',*,*,#52322,.F.); #74133=ORIENTED_EDGE('',*,*,#52323,.T.); #74134=ORIENTED_EDGE('',*,*,#52320,.T.); #74135=ORIENTED_EDGE('',*,*,#52323,.F.); #74136=ORIENTED_EDGE('',*,*,#52321,.T.); #74137=ORIENTED_EDGE('',*,*,#52322,.T.); #74138=ORIENTED_EDGE('',*,*,#52324,.T.); #74139=ORIENTED_EDGE('',*,*,#52325,.F.); #74140=ORIENTED_EDGE('',*,*,#52324,.F.); #74141=ORIENTED_EDGE('',*,*,#52325,.T.); #74142=ORIENTED_EDGE('',*,*,#52326,.T.); #74143=ORIENTED_EDGE('',*,*,#52326,.F.); #74144=ORIENTED_EDGE('',*,*,#52327,.F.); #74145=ORIENTED_EDGE('',*,*,#52328,.T.); #74146=ORIENTED_EDGE('',*,*,#52327,.T.); #74147=ORIENTED_EDGE('',*,*,#52328,.F.); #74148=ORIENTED_EDGE('',*,*,#52329,.F.); #74149=ORIENTED_EDGE('',*,*,#52330,.T.); #74150=ORIENTED_EDGE('',*,*,#52331,.F.); #74151=ORIENTED_EDGE('',*,*,#52330,.F.); #74152=ORIENTED_EDGE('',*,*,#52329,.T.); #74153=ORIENTED_EDGE('',*,*,#52332,.T.); #74154=ORIENTED_EDGE('',*,*,#52333,.T.); #74155=ORIENTED_EDGE('',*,*,#52334,.T.); #74156=ORIENTED_EDGE('',*,*,#52333,.F.); #74157=ORIENTED_EDGE('',*,*,#52334,.F.); #74158=ORIENTED_EDGE('',*,*,#52335,.T.); #74159=ORIENTED_EDGE('',*,*,#52336,.F.); #74160=ORIENTED_EDGE('',*,*,#52335,.F.); #74161=ORIENTED_EDGE('',*,*,#52337,.F.); #74162=ORIENTED_EDGE('',*,*,#52332,.F.); #74163=ORIENTED_EDGE('',*,*,#52338,.T.); #74164=ORIENTED_EDGE('',*,*,#52339,.T.); #74165=ORIENTED_EDGE('',*,*,#52336,.T.); #74166=ORIENTED_EDGE('',*,*,#52339,.F.); #74167=ORIENTED_EDGE('',*,*,#52338,.F.); #74168=ORIENTED_EDGE('',*,*,#52331,.T.); #74169=ORIENTED_EDGE('',*,*,#52337,.T.); #74170=ORIENTED_EDGE('',*,*,#52340,.T.); #74171=ORIENTED_EDGE('',*,*,#52341,.T.); #74172=ORIENTED_EDGE('',*,*,#52340,.F.); #74173=ORIENTED_EDGE('',*,*,#52341,.F.); #74174=ORIENTED_EDGE('',*,*,#52342,.F.); #74175=ORIENTED_EDGE('',*,*,#52343,.T.); #74176=ORIENTED_EDGE('',*,*,#52344,.T.); #74177=ORIENTED_EDGE('',*,*,#52345,.F.); #74178=ORIENTED_EDGE('',*,*,#52346,.F.); #74179=ORIENTED_EDGE('',*,*,#52345,.T.); #74180=ORIENTED_EDGE('',*,*,#52347,.T.); #74181=ORIENTED_EDGE('',*,*,#52348,.F.); #74182=ORIENTED_EDGE('',*,*,#52349,.F.); #74183=ORIENTED_EDGE('',*,*,#52348,.T.); #74184=ORIENTED_EDGE('',*,*,#52350,.T.); #74185=ORIENTED_EDGE('',*,*,#52351,.F.); #74186=ORIENTED_EDGE('',*,*,#52352,.F.); #74187=ORIENTED_EDGE('',*,*,#52351,.T.); #74188=ORIENTED_EDGE('',*,*,#52353,.T.); #74189=ORIENTED_EDGE('',*,*,#52354,.F.); #74190=ORIENTED_EDGE('',*,*,#52355,.F.); #74191=ORIENTED_EDGE('',*,*,#52354,.T.); #74192=ORIENTED_EDGE('',*,*,#52356,.T.); #74193=ORIENTED_EDGE('',*,*,#52357,.F.); #74194=ORIENTED_EDGE('',*,*,#52358,.F.); #74195=ORIENTED_EDGE('',*,*,#52357,.T.); #74196=ORIENTED_EDGE('',*,*,#52359,.T.); #74197=ORIENTED_EDGE('',*,*,#52360,.F.); #74198=ORIENTED_EDGE('',*,*,#52361,.F.); #74199=ORIENTED_EDGE('',*,*,#52360,.T.); #74200=ORIENTED_EDGE('',*,*,#52362,.T.); #74201=ORIENTED_EDGE('',*,*,#52343,.F.); #74202=ORIENTED_EDGE('',*,*,#52363,.F.); #74203=ORIENTED_EDGE('',*,*,#52364,.T.); #74204=ORIENTED_EDGE('',*,*,#52365,.T.); #74205=ORIENTED_EDGE('',*,*,#52366,.F.); #74206=ORIENTED_EDGE('',*,*,#52367,.F.); #74207=ORIENTED_EDGE('',*,*,#52368,.T.); #74208=ORIENTED_EDGE('',*,*,#52369,.T.); #74209=ORIENTED_EDGE('',*,*,#52364,.F.); #74210=ORIENTED_EDGE('',*,*,#52370,.F.); #74211=ORIENTED_EDGE('',*,*,#52371,.T.); #74212=ORIENTED_EDGE('',*,*,#52372,.T.); #74213=ORIENTED_EDGE('',*,*,#52368,.F.); #74214=ORIENTED_EDGE('',*,*,#52373,.F.); #74215=ORIENTED_EDGE('',*,*,#52374,.T.); #74216=ORIENTED_EDGE('',*,*,#52375,.T.); #74217=ORIENTED_EDGE('',*,*,#52371,.F.); #74218=ORIENTED_EDGE('',*,*,#52376,.F.); #74219=ORIENTED_EDGE('',*,*,#52377,.T.); #74220=ORIENTED_EDGE('',*,*,#52378,.T.); #74221=ORIENTED_EDGE('',*,*,#52374,.F.); #74222=ORIENTED_EDGE('',*,*,#52379,.F.); #74223=ORIENTED_EDGE('',*,*,#52380,.T.); #74224=ORIENTED_EDGE('',*,*,#52381,.T.); #74225=ORIENTED_EDGE('',*,*,#52377,.F.); #74226=ORIENTED_EDGE('',*,*,#52382,.F.); #74227=ORIENTED_EDGE('',*,*,#52366,.T.); #74228=ORIENTED_EDGE('',*,*,#52383,.T.); #74229=ORIENTED_EDGE('',*,*,#52380,.F.); #74230=ORIENTED_EDGE('',*,*,#52383,.F.); #74231=ORIENTED_EDGE('',*,*,#52365,.F.); #74232=ORIENTED_EDGE('',*,*,#52369,.F.); #74233=ORIENTED_EDGE('',*,*,#52372,.F.); #74234=ORIENTED_EDGE('',*,*,#52375,.F.); #74235=ORIENTED_EDGE('',*,*,#52378,.F.); #74236=ORIENTED_EDGE('',*,*,#52381,.F.); #74237=ORIENTED_EDGE('',*,*,#52344,.F.); #74238=ORIENTED_EDGE('',*,*,#52362,.F.); #74239=ORIENTED_EDGE('',*,*,#52359,.F.); #74240=ORIENTED_EDGE('',*,*,#52356,.F.); #74241=ORIENTED_EDGE('',*,*,#52353,.F.); #74242=ORIENTED_EDGE('',*,*,#52350,.F.); #74243=ORIENTED_EDGE('',*,*,#52347,.F.); #74244=ORIENTED_EDGE('',*,*,#52384,.F.); #74245=ORIENTED_EDGE('',*,*,#52385,.T.); #74246=ORIENTED_EDGE('',*,*,#52386,.T.); #74247=ORIENTED_EDGE('',*,*,#52387,.F.); #74248=ORIENTED_EDGE('',*,*,#52388,.F.); #74249=ORIENTED_EDGE('',*,*,#52387,.T.); #74250=ORIENTED_EDGE('',*,*,#52389,.T.); #74251=ORIENTED_EDGE('',*,*,#52390,.F.); #74252=ORIENTED_EDGE('',*,*,#52391,.F.); #74253=ORIENTED_EDGE('',*,*,#52390,.T.); #74254=ORIENTED_EDGE('',*,*,#52392,.T.); #74255=ORIENTED_EDGE('',*,*,#52393,.F.); #74256=ORIENTED_EDGE('',*,*,#52394,.F.); #74257=ORIENTED_EDGE('',*,*,#52393,.T.); #74258=ORIENTED_EDGE('',*,*,#52395,.T.); #74259=ORIENTED_EDGE('',*,*,#52396,.F.); #74260=ORIENTED_EDGE('',*,*,#52397,.F.); #74261=ORIENTED_EDGE('',*,*,#52396,.T.); #74262=ORIENTED_EDGE('',*,*,#52398,.T.); #74263=ORIENTED_EDGE('',*,*,#52385,.F.); #74264=ORIENTED_EDGE('',*,*,#52399,.F.); #74265=ORIENTED_EDGE('',*,*,#52400,.T.); #74266=ORIENTED_EDGE('',*,*,#52401,.T.); #74267=ORIENTED_EDGE('',*,*,#52402,.F.); #74268=ORIENTED_EDGE('',*,*,#52403,.F.); #74269=ORIENTED_EDGE('',*,*,#52404,.T.); #74270=ORIENTED_EDGE('',*,*,#52405,.T.); #74271=ORIENTED_EDGE('',*,*,#52400,.F.); #74272=ORIENTED_EDGE('',*,*,#52406,.F.); #74273=ORIENTED_EDGE('',*,*,#52407,.T.); #74274=ORIENTED_EDGE('',*,*,#52408,.T.); #74275=ORIENTED_EDGE('',*,*,#52404,.F.); #74276=ORIENTED_EDGE('',*,*,#52409,.F.); #74277=ORIENTED_EDGE('',*,*,#52410,.T.); #74278=ORIENTED_EDGE('',*,*,#52411,.T.); #74279=ORIENTED_EDGE('',*,*,#52407,.F.); #74280=ORIENTED_EDGE('',*,*,#52412,.F.); #74281=ORIENTED_EDGE('',*,*,#52413,.T.); #74282=ORIENTED_EDGE('',*,*,#52414,.T.); #74283=ORIENTED_EDGE('',*,*,#52410,.F.); #74284=ORIENTED_EDGE('',*,*,#52415,.F.); #74285=ORIENTED_EDGE('',*,*,#52416,.T.); #74286=ORIENTED_EDGE('',*,*,#52417,.T.); #74287=ORIENTED_EDGE('',*,*,#52413,.F.); #74288=ORIENTED_EDGE('',*,*,#52418,.F.); #74289=ORIENTED_EDGE('',*,*,#52419,.T.); #74290=ORIENTED_EDGE('',*,*,#52420,.T.); #74291=ORIENTED_EDGE('',*,*,#52416,.F.); #74292=ORIENTED_EDGE('',*,*,#52421,.F.); #74293=ORIENTED_EDGE('',*,*,#52422,.T.); #74294=ORIENTED_EDGE('',*,*,#52423,.T.); #74295=ORIENTED_EDGE('',*,*,#52419,.F.); #74296=ORIENTED_EDGE('',*,*,#52424,.F.); #74297=ORIENTED_EDGE('',*,*,#52425,.T.); #74298=ORIENTED_EDGE('',*,*,#52426,.T.); #74299=ORIENTED_EDGE('',*,*,#52422,.F.); #74300=ORIENTED_EDGE('',*,*,#52427,.F.); #74301=ORIENTED_EDGE('',*,*,#52428,.T.); #74302=ORIENTED_EDGE('',*,*,#52429,.T.); #74303=ORIENTED_EDGE('',*,*,#52425,.F.); #74304=ORIENTED_EDGE('',*,*,#52430,.F.); #74305=ORIENTED_EDGE('',*,*,#52431,.T.); #74306=ORIENTED_EDGE('',*,*,#52432,.T.); #74307=ORIENTED_EDGE('',*,*,#52428,.F.); #74308=ORIENTED_EDGE('',*,*,#52433,.F.); #74309=ORIENTED_EDGE('',*,*,#52434,.T.); #74310=ORIENTED_EDGE('',*,*,#52435,.T.); #74311=ORIENTED_EDGE('',*,*,#52431,.F.); #74312=ORIENTED_EDGE('',*,*,#52436,.F.); #74313=ORIENTED_EDGE('',*,*,#52437,.T.); #74314=ORIENTED_EDGE('',*,*,#52438,.T.); #74315=ORIENTED_EDGE('',*,*,#52434,.F.); #74316=ORIENTED_EDGE('',*,*,#52439,.F.); #74317=ORIENTED_EDGE('',*,*,#52402,.T.); #74318=ORIENTED_EDGE('',*,*,#52440,.T.); #74319=ORIENTED_EDGE('',*,*,#52437,.F.); #74320=ORIENTED_EDGE('',*,*,#52440,.F.); #74321=ORIENTED_EDGE('',*,*,#52401,.F.); #74322=ORIENTED_EDGE('',*,*,#52405,.F.); #74323=ORIENTED_EDGE('',*,*,#52408,.F.); #74324=ORIENTED_EDGE('',*,*,#52411,.F.); #74325=ORIENTED_EDGE('',*,*,#52414,.F.); #74326=ORIENTED_EDGE('',*,*,#52417,.F.); #74327=ORIENTED_EDGE('',*,*,#52420,.F.); #74328=ORIENTED_EDGE('',*,*,#52423,.F.); #74329=ORIENTED_EDGE('',*,*,#52426,.F.); #74330=ORIENTED_EDGE('',*,*,#52429,.F.); #74331=ORIENTED_EDGE('',*,*,#52432,.F.); #74332=ORIENTED_EDGE('',*,*,#52435,.F.); #74333=ORIENTED_EDGE('',*,*,#52438,.F.); #74334=ORIENTED_EDGE('',*,*,#52386,.F.); #74335=ORIENTED_EDGE('',*,*,#52398,.F.); #74336=ORIENTED_EDGE('',*,*,#52395,.F.); #74337=ORIENTED_EDGE('',*,*,#52392,.F.); #74338=ORIENTED_EDGE('',*,*,#52389,.F.); #74339=ORIENTED_EDGE('',*,*,#52441,.F.); #74340=ORIENTED_EDGE('',*,*,#52442,.T.); #74341=ORIENTED_EDGE('',*,*,#52443,.T.); #74342=ORIENTED_EDGE('',*,*,#52444,.F.); #74343=ORIENTED_EDGE('',*,*,#52445,.F.); #74344=ORIENTED_EDGE('',*,*,#52444,.T.); #74345=ORIENTED_EDGE('',*,*,#52446,.T.); #74346=ORIENTED_EDGE('',*,*,#52447,.F.); #74347=ORIENTED_EDGE('',*,*,#52448,.F.); #74348=ORIENTED_EDGE('',*,*,#52447,.T.); #74349=ORIENTED_EDGE('',*,*,#52449,.T.); #74350=ORIENTED_EDGE('',*,*,#52450,.F.); #74351=ORIENTED_EDGE('',*,*,#52451,.F.); #74352=ORIENTED_EDGE('',*,*,#52450,.T.); #74353=ORIENTED_EDGE('',*,*,#52452,.T.); #74354=ORIENTED_EDGE('',*,*,#52453,.F.); #74355=ORIENTED_EDGE('',*,*,#52454,.F.); #74356=ORIENTED_EDGE('',*,*,#52453,.T.); #74357=ORIENTED_EDGE('',*,*,#52455,.T.); #74358=ORIENTED_EDGE('',*,*,#52442,.F.); #74359=ORIENTED_EDGE('',*,*,#52456,.F.); #74360=ORIENTED_EDGE('',*,*,#52457,.T.); #74361=ORIENTED_EDGE('',*,*,#52458,.T.); #74362=ORIENTED_EDGE('',*,*,#52459,.F.); #74363=ORIENTED_EDGE('',*,*,#52460,.F.); #74364=ORIENTED_EDGE('',*,*,#52461,.T.); #74365=ORIENTED_EDGE('',*,*,#52462,.T.); #74366=ORIENTED_EDGE('',*,*,#52457,.F.); #74367=ORIENTED_EDGE('',*,*,#52463,.F.); #74368=ORIENTED_EDGE('',*,*,#52464,.T.); #74369=ORIENTED_EDGE('',*,*,#52465,.T.); #74370=ORIENTED_EDGE('',*,*,#52461,.F.); #74371=ORIENTED_EDGE('',*,*,#52466,.F.); #74372=ORIENTED_EDGE('',*,*,#52467,.T.); #74373=ORIENTED_EDGE('',*,*,#52468,.T.); #74374=ORIENTED_EDGE('',*,*,#52464,.F.); #74375=ORIENTED_EDGE('',*,*,#52469,.F.); #74376=ORIENTED_EDGE('',*,*,#52470,.T.); #74377=ORIENTED_EDGE('',*,*,#52471,.T.); #74378=ORIENTED_EDGE('',*,*,#52467,.F.); #74379=ORIENTED_EDGE('',*,*,#52472,.F.); #74380=ORIENTED_EDGE('',*,*,#52473,.T.); #74381=ORIENTED_EDGE('',*,*,#52474,.T.); #74382=ORIENTED_EDGE('',*,*,#52470,.F.); #74383=ORIENTED_EDGE('',*,*,#52475,.F.); #74384=ORIENTED_EDGE('',*,*,#52476,.T.); #74385=ORIENTED_EDGE('',*,*,#52477,.T.); #74386=ORIENTED_EDGE('',*,*,#52473,.F.); #74387=ORIENTED_EDGE('',*,*,#52478,.F.); #74388=ORIENTED_EDGE('',*,*,#52459,.T.); #74389=ORIENTED_EDGE('',*,*,#52479,.T.); #74390=ORIENTED_EDGE('',*,*,#52476,.F.); #74391=ORIENTED_EDGE('',*,*,#52479,.F.); #74392=ORIENTED_EDGE('',*,*,#52458,.F.); #74393=ORIENTED_EDGE('',*,*,#52462,.F.); #74394=ORIENTED_EDGE('',*,*,#52465,.F.); #74395=ORIENTED_EDGE('',*,*,#52468,.F.); #74396=ORIENTED_EDGE('',*,*,#52471,.F.); #74397=ORIENTED_EDGE('',*,*,#52474,.F.); #74398=ORIENTED_EDGE('',*,*,#52477,.F.); #74399=ORIENTED_EDGE('',*,*,#52443,.F.); #74400=ORIENTED_EDGE('',*,*,#52455,.F.); #74401=ORIENTED_EDGE('',*,*,#52452,.F.); #74402=ORIENTED_EDGE('',*,*,#52449,.F.); #74403=ORIENTED_EDGE('',*,*,#52446,.F.); #74404=ORIENTED_EDGE('',*,*,#52480,.F.); #74405=ORIENTED_EDGE('',*,*,#52481,.T.); #74406=ORIENTED_EDGE('',*,*,#52482,.T.); #74407=ORIENTED_EDGE('',*,*,#52483,.F.); #74408=ORIENTED_EDGE('',*,*,#52484,.F.); #74409=ORIENTED_EDGE('',*,*,#52485,.T.); #74410=ORIENTED_EDGE('',*,*,#52486,.T.); #74411=ORIENTED_EDGE('',*,*,#52481,.F.); #74412=ORIENTED_EDGE('',*,*,#52487,.F.); #74413=ORIENTED_EDGE('',*,*,#52488,.T.); #74414=ORIENTED_EDGE('',*,*,#52489,.T.); #74415=ORIENTED_EDGE('',*,*,#52485,.F.); #74416=ORIENTED_EDGE('',*,*,#52490,.F.); #74417=ORIENTED_EDGE('',*,*,#52491,.T.); #74418=ORIENTED_EDGE('',*,*,#52492,.T.); #74419=ORIENTED_EDGE('',*,*,#52488,.F.); #74420=ORIENTED_EDGE('',*,*,#52493,.F.); #74421=ORIENTED_EDGE('',*,*,#52494,.T.); #74422=ORIENTED_EDGE('',*,*,#52495,.T.); #74423=ORIENTED_EDGE('',*,*,#52491,.F.); #74424=ORIENTED_EDGE('',*,*,#52496,.F.); #74425=ORIENTED_EDGE('',*,*,#52497,.T.); #74426=ORIENTED_EDGE('',*,*,#52498,.T.); #74427=ORIENTED_EDGE('',*,*,#52494,.F.); #74428=ORIENTED_EDGE('',*,*,#52499,.F.); #74429=ORIENTED_EDGE('',*,*,#52500,.T.); #74430=ORIENTED_EDGE('',*,*,#52501,.T.); #74431=ORIENTED_EDGE('',*,*,#52497,.F.); #74432=ORIENTED_EDGE('',*,*,#52502,.F.); #74433=ORIENTED_EDGE('',*,*,#52503,.T.); #74434=ORIENTED_EDGE('',*,*,#52504,.T.); #74435=ORIENTED_EDGE('',*,*,#52500,.F.); #74436=ORIENTED_EDGE('',*,*,#52505,.F.); #74437=ORIENTED_EDGE('',*,*,#52506,.T.); #74438=ORIENTED_EDGE('',*,*,#52507,.T.); #74439=ORIENTED_EDGE('',*,*,#52503,.F.); #74440=ORIENTED_EDGE('',*,*,#52508,.F.); #74441=ORIENTED_EDGE('',*,*,#52509,.T.); #74442=ORIENTED_EDGE('',*,*,#52510,.T.); #74443=ORIENTED_EDGE('',*,*,#52506,.F.); #74444=ORIENTED_EDGE('',*,*,#52511,.F.); #74445=ORIENTED_EDGE('',*,*,#52512,.T.); #74446=ORIENTED_EDGE('',*,*,#52513,.T.); #74447=ORIENTED_EDGE('',*,*,#52509,.F.); #74448=ORIENTED_EDGE('',*,*,#52514,.F.); #74449=ORIENTED_EDGE('',*,*,#52483,.T.); #74450=ORIENTED_EDGE('',*,*,#52515,.T.); #74451=ORIENTED_EDGE('',*,*,#52512,.F.); #74452=ORIENTED_EDGE('',*,*,#52515,.F.); #74453=ORIENTED_EDGE('',*,*,#52482,.F.); #74454=ORIENTED_EDGE('',*,*,#52486,.F.); #74455=ORIENTED_EDGE('',*,*,#52489,.F.); #74456=ORIENTED_EDGE('',*,*,#52492,.F.); #74457=ORIENTED_EDGE('',*,*,#52495,.F.); #74458=ORIENTED_EDGE('',*,*,#52498,.F.); #74459=ORIENTED_EDGE('',*,*,#52501,.F.); #74460=ORIENTED_EDGE('',*,*,#52504,.F.); #74461=ORIENTED_EDGE('',*,*,#52507,.F.); #74462=ORIENTED_EDGE('',*,*,#52510,.F.); #74463=ORIENTED_EDGE('',*,*,#52513,.F.); #74464=ORIENTED_EDGE('',*,*,#52516,.F.); #74465=ORIENTED_EDGE('',*,*,#52517,.T.); #74466=ORIENTED_EDGE('',*,*,#52518,.T.); #74467=ORIENTED_EDGE('',*,*,#52519,.F.); #74468=ORIENTED_EDGE('',*,*,#52520,.F.); #74469=ORIENTED_EDGE('',*,*,#52521,.T.); #74470=ORIENTED_EDGE('',*,*,#52522,.T.); #74471=ORIENTED_EDGE('',*,*,#52517,.F.); #74472=ORIENTED_EDGE('',*,*,#52523,.F.); #74473=ORIENTED_EDGE('',*,*,#52524,.T.); #74474=ORIENTED_EDGE('',*,*,#52525,.T.); #74475=ORIENTED_EDGE('',*,*,#52521,.F.); #74476=ORIENTED_EDGE('',*,*,#52526,.F.); #74477=ORIENTED_EDGE('',*,*,#52527,.T.); #74478=ORIENTED_EDGE('',*,*,#52528,.T.); #74479=ORIENTED_EDGE('',*,*,#52524,.F.); #74480=ORIENTED_EDGE('',*,*,#52529,.F.); #74481=ORIENTED_EDGE('',*,*,#52530,.T.); #74482=ORIENTED_EDGE('',*,*,#52531,.T.); #74483=ORIENTED_EDGE('',*,*,#52527,.F.); #74484=ORIENTED_EDGE('',*,*,#52532,.F.); #74485=ORIENTED_EDGE('',*,*,#52533,.T.); #74486=ORIENTED_EDGE('',*,*,#52534,.T.); #74487=ORIENTED_EDGE('',*,*,#52530,.F.); #74488=ORIENTED_EDGE('',*,*,#52535,.F.); #74489=ORIENTED_EDGE('',*,*,#52536,.T.); #74490=ORIENTED_EDGE('',*,*,#52537,.T.); #74491=ORIENTED_EDGE('',*,*,#52533,.F.); #74492=ORIENTED_EDGE('',*,*,#52538,.F.); #74493=ORIENTED_EDGE('',*,*,#52539,.T.); #74494=ORIENTED_EDGE('',*,*,#52540,.T.); #74495=ORIENTED_EDGE('',*,*,#52536,.F.); #74496=ORIENTED_EDGE('',*,*,#52541,.F.); #74497=ORIENTED_EDGE('',*,*,#52542,.T.); #74498=ORIENTED_EDGE('',*,*,#52543,.T.); #74499=ORIENTED_EDGE('',*,*,#52539,.F.); #74500=ORIENTED_EDGE('',*,*,#52544,.F.); #74501=ORIENTED_EDGE('',*,*,#52545,.T.); #74502=ORIENTED_EDGE('',*,*,#52546,.T.); #74503=ORIENTED_EDGE('',*,*,#52542,.F.); #74504=ORIENTED_EDGE('',*,*,#52547,.F.); #74505=ORIENTED_EDGE('',*,*,#52548,.T.); #74506=ORIENTED_EDGE('',*,*,#52549,.T.); #74507=ORIENTED_EDGE('',*,*,#52545,.F.); #74508=ORIENTED_EDGE('',*,*,#52550,.F.); #74509=ORIENTED_EDGE('',*,*,#52519,.T.); #74510=ORIENTED_EDGE('',*,*,#52551,.T.); #74511=ORIENTED_EDGE('',*,*,#52548,.F.); #74512=ORIENTED_EDGE('',*,*,#52551,.F.); #74513=ORIENTED_EDGE('',*,*,#52518,.F.); #74514=ORIENTED_EDGE('',*,*,#52522,.F.); #74515=ORIENTED_EDGE('',*,*,#52525,.F.); #74516=ORIENTED_EDGE('',*,*,#52528,.F.); #74517=ORIENTED_EDGE('',*,*,#52531,.F.); #74518=ORIENTED_EDGE('',*,*,#52534,.F.); #74519=ORIENTED_EDGE('',*,*,#52537,.F.); #74520=ORIENTED_EDGE('',*,*,#52540,.F.); #74521=ORIENTED_EDGE('',*,*,#52543,.F.); #74522=ORIENTED_EDGE('',*,*,#52546,.F.); #74523=ORIENTED_EDGE('',*,*,#52549,.F.); #74524=ORIENTED_EDGE('',*,*,#52552,.F.); #74525=ORIENTED_EDGE('',*,*,#52553,.T.); #74526=ORIENTED_EDGE('',*,*,#52554,.T.); #74527=ORIENTED_EDGE('',*,*,#52555,.F.); #74528=ORIENTED_EDGE('',*,*,#52556,.F.); #74529=ORIENTED_EDGE('',*,*,#52555,.T.); #74530=ORIENTED_EDGE('',*,*,#52557,.T.); #74531=ORIENTED_EDGE('',*,*,#52558,.F.); #74532=ORIENTED_EDGE('',*,*,#52559,.F.); #74533=ORIENTED_EDGE('',*,*,#52558,.T.); #74534=ORIENTED_EDGE('',*,*,#52560,.T.); #74535=ORIENTED_EDGE('',*,*,#52561,.F.); #74536=ORIENTED_EDGE('',*,*,#52562,.F.); #74537=ORIENTED_EDGE('',*,*,#52561,.T.); #74538=ORIENTED_EDGE('',*,*,#52563,.T.); #74539=ORIENTED_EDGE('',*,*,#52564,.F.); #74540=ORIENTED_EDGE('',*,*,#52565,.F.); #74541=ORIENTED_EDGE('',*,*,#52564,.T.); #74542=ORIENTED_EDGE('',*,*,#52566,.T.); #74543=ORIENTED_EDGE('',*,*,#52567,.F.); #74544=ORIENTED_EDGE('',*,*,#52568,.F.); #74545=ORIENTED_EDGE('',*,*,#52567,.T.); #74546=ORIENTED_EDGE('',*,*,#52569,.T.); #74547=ORIENTED_EDGE('',*,*,#52553,.F.); #74548=ORIENTED_EDGE('',*,*,#52570,.F.); #74549=ORIENTED_EDGE('',*,*,#52571,.T.); #74550=ORIENTED_EDGE('',*,*,#52572,.T.); #74551=ORIENTED_EDGE('',*,*,#52573,.F.); #74552=ORIENTED_EDGE('',*,*,#52574,.F.); #74553=ORIENTED_EDGE('',*,*,#52573,.T.); #74554=ORIENTED_EDGE('',*,*,#52575,.T.); #74555=ORIENTED_EDGE('',*,*,#52576,.F.); #74556=ORIENTED_EDGE('',*,*,#52577,.F.); #74557=ORIENTED_EDGE('',*,*,#52576,.T.); #74558=ORIENTED_EDGE('',*,*,#52578,.T.); #74559=ORIENTED_EDGE('',*,*,#52579,.F.); #74560=ORIENTED_EDGE('',*,*,#52580,.F.); #74561=ORIENTED_EDGE('',*,*,#52579,.T.); #74562=ORIENTED_EDGE('',*,*,#52581,.T.); #74563=ORIENTED_EDGE('',*,*,#52582,.F.); #74564=ORIENTED_EDGE('',*,*,#52583,.F.); #74565=ORIENTED_EDGE('',*,*,#52582,.T.); #74566=ORIENTED_EDGE('',*,*,#52584,.T.); #74567=ORIENTED_EDGE('',*,*,#52585,.F.); #74568=ORIENTED_EDGE('',*,*,#52586,.F.); #74569=ORIENTED_EDGE('',*,*,#52585,.T.); #74570=ORIENTED_EDGE('',*,*,#52587,.T.); #74571=ORIENTED_EDGE('',*,*,#52571,.F.); #74572=ORIENTED_EDGE('',*,*,#52588,.F.); #74573=ORIENTED_EDGE('',*,*,#52589,.T.); #74574=ORIENTED_EDGE('',*,*,#52590,.T.); #74575=ORIENTED_EDGE('',*,*,#52591,.F.); #74576=ORIENTED_EDGE('',*,*,#52592,.F.); #74577=ORIENTED_EDGE('',*,*,#52593,.T.); #74578=ORIENTED_EDGE('',*,*,#52594,.T.); #74579=ORIENTED_EDGE('',*,*,#52589,.F.); #74580=ORIENTED_EDGE('',*,*,#52595,.F.); #74581=ORIENTED_EDGE('',*,*,#52596,.T.); #74582=ORIENTED_EDGE('',*,*,#52597,.T.); #74583=ORIENTED_EDGE('',*,*,#52593,.F.); #74584=ORIENTED_EDGE('',*,*,#52598,.F.); #74585=ORIENTED_EDGE('',*,*,#52599,.T.); #74586=ORIENTED_EDGE('',*,*,#52600,.T.); #74587=ORIENTED_EDGE('',*,*,#52596,.F.); #74588=ORIENTED_EDGE('',*,*,#52601,.F.); #74589=ORIENTED_EDGE('',*,*,#52602,.T.); #74590=ORIENTED_EDGE('',*,*,#52603,.T.); #74591=ORIENTED_EDGE('',*,*,#52599,.F.); #74592=ORIENTED_EDGE('',*,*,#52604,.F.); #74593=ORIENTED_EDGE('',*,*,#52605,.T.); #74594=ORIENTED_EDGE('',*,*,#52606,.T.); #74595=ORIENTED_EDGE('',*,*,#52602,.F.); #74596=ORIENTED_EDGE('',*,*,#52607,.F.); #74597=ORIENTED_EDGE('',*,*,#52591,.T.); #74598=ORIENTED_EDGE('',*,*,#52608,.T.); #74599=ORIENTED_EDGE('',*,*,#52605,.F.); #74600=ORIENTED_EDGE('',*,*,#52608,.F.); #74601=ORIENTED_EDGE('',*,*,#52590,.F.); #74602=ORIENTED_EDGE('',*,*,#52594,.F.); #74603=ORIENTED_EDGE('',*,*,#52597,.F.); #74604=ORIENTED_EDGE('',*,*,#52600,.F.); #74605=ORIENTED_EDGE('',*,*,#52603,.F.); #74606=ORIENTED_EDGE('',*,*,#52606,.F.); #74607=ORIENTED_EDGE('',*,*,#52554,.F.); #74608=ORIENTED_EDGE('',*,*,#52569,.F.); #74609=ORIENTED_EDGE('',*,*,#52566,.F.); #74610=ORIENTED_EDGE('',*,*,#52563,.F.); #74611=ORIENTED_EDGE('',*,*,#52560,.F.); #74612=ORIENTED_EDGE('',*,*,#52557,.F.); #74613=ORIENTED_EDGE('',*,*,#52572,.F.); #74614=ORIENTED_EDGE('',*,*,#52587,.F.); #74615=ORIENTED_EDGE('',*,*,#52584,.F.); #74616=ORIENTED_EDGE('',*,*,#52581,.F.); #74617=ORIENTED_EDGE('',*,*,#52578,.F.); #74618=ORIENTED_EDGE('',*,*,#52575,.F.); #74619=ORIENTED_EDGE('',*,*,#52609,.F.); #74620=ORIENTED_EDGE('',*,*,#52610,.T.); #74621=ORIENTED_EDGE('',*,*,#52611,.T.); #74622=ORIENTED_EDGE('',*,*,#52612,.F.); #74623=ORIENTED_EDGE('',*,*,#52613,.F.); #74624=ORIENTED_EDGE('',*,*,#52612,.T.); #74625=ORIENTED_EDGE('',*,*,#52614,.T.); #74626=ORIENTED_EDGE('',*,*,#52615,.F.); #74627=ORIENTED_EDGE('',*,*,#52616,.F.); #74628=ORIENTED_EDGE('',*,*,#52615,.T.); #74629=ORIENTED_EDGE('',*,*,#52617,.T.); #74630=ORIENTED_EDGE('',*,*,#52618,.F.); #74631=ORIENTED_EDGE('',*,*,#52619,.F.); #74632=ORIENTED_EDGE('',*,*,#52618,.T.); #74633=ORIENTED_EDGE('',*,*,#52620,.T.); #74634=ORIENTED_EDGE('',*,*,#52621,.F.); #74635=ORIENTED_EDGE('',*,*,#52622,.F.); #74636=ORIENTED_EDGE('',*,*,#52621,.T.); #74637=ORIENTED_EDGE('',*,*,#52623,.T.); #74638=ORIENTED_EDGE('',*,*,#52610,.F.); #74639=ORIENTED_EDGE('',*,*,#52624,.F.); #74640=ORIENTED_EDGE('',*,*,#52625,.T.); #74641=ORIENTED_EDGE('',*,*,#52626,.T.); #74642=ORIENTED_EDGE('',*,*,#52627,.F.); #74643=ORIENTED_EDGE('',*,*,#52628,.F.); #74644=ORIENTED_EDGE('',*,*,#52629,.T.); #74645=ORIENTED_EDGE('',*,*,#52630,.T.); #74646=ORIENTED_EDGE('',*,*,#52625,.F.); #74647=ORIENTED_EDGE('',*,*,#52631,.F.); #74648=ORIENTED_EDGE('',*,*,#52632,.T.); #74649=ORIENTED_EDGE('',*,*,#52633,.T.); #74650=ORIENTED_EDGE('',*,*,#52629,.F.); #74651=ORIENTED_EDGE('',*,*,#52634,.F.); #74652=ORIENTED_EDGE('',*,*,#52635,.T.); #74653=ORIENTED_EDGE('',*,*,#52636,.T.); #74654=ORIENTED_EDGE('',*,*,#52632,.F.); #74655=ORIENTED_EDGE('',*,*,#52637,.F.); #74656=ORIENTED_EDGE('',*,*,#52638,.T.); #74657=ORIENTED_EDGE('',*,*,#52639,.T.); #74658=ORIENTED_EDGE('',*,*,#52635,.F.); #74659=ORIENTED_EDGE('',*,*,#52640,.F.); #74660=ORIENTED_EDGE('',*,*,#52641,.T.); #74661=ORIENTED_EDGE('',*,*,#52642,.T.); #74662=ORIENTED_EDGE('',*,*,#52638,.F.); #74663=ORIENTED_EDGE('',*,*,#52643,.F.); #74664=ORIENTED_EDGE('',*,*,#52644,.T.); #74665=ORIENTED_EDGE('',*,*,#52645,.T.); #74666=ORIENTED_EDGE('',*,*,#52641,.F.); #74667=ORIENTED_EDGE('',*,*,#52646,.F.); #74668=ORIENTED_EDGE('',*,*,#52647,.T.); #74669=ORIENTED_EDGE('',*,*,#52648,.T.); #74670=ORIENTED_EDGE('',*,*,#52644,.F.); #74671=ORIENTED_EDGE('',*,*,#52649,.F.); #74672=ORIENTED_EDGE('',*,*,#52650,.T.); #74673=ORIENTED_EDGE('',*,*,#52651,.T.); #74674=ORIENTED_EDGE('',*,*,#52647,.F.); #74675=ORIENTED_EDGE('',*,*,#52652,.F.); #74676=ORIENTED_EDGE('',*,*,#52653,.T.); #74677=ORIENTED_EDGE('',*,*,#52654,.T.); #74678=ORIENTED_EDGE('',*,*,#52650,.F.); #74679=ORIENTED_EDGE('',*,*,#52655,.F.); #74680=ORIENTED_EDGE('',*,*,#52656,.T.); #74681=ORIENTED_EDGE('',*,*,#52657,.T.); #74682=ORIENTED_EDGE('',*,*,#52653,.F.); #74683=ORIENTED_EDGE('',*,*,#52658,.F.); #74684=ORIENTED_EDGE('',*,*,#52659,.T.); #74685=ORIENTED_EDGE('',*,*,#52660,.T.); #74686=ORIENTED_EDGE('',*,*,#52656,.F.); #74687=ORIENTED_EDGE('',*,*,#52661,.F.); #74688=ORIENTED_EDGE('',*,*,#52662,.T.); #74689=ORIENTED_EDGE('',*,*,#52663,.T.); #74690=ORIENTED_EDGE('',*,*,#52659,.F.); #74691=ORIENTED_EDGE('',*,*,#52664,.F.); #74692=ORIENTED_EDGE('',*,*,#52627,.T.); #74693=ORIENTED_EDGE('',*,*,#52665,.T.); #74694=ORIENTED_EDGE('',*,*,#52662,.F.); #74695=ORIENTED_EDGE('',*,*,#52665,.F.); #74696=ORIENTED_EDGE('',*,*,#52626,.F.); #74697=ORIENTED_EDGE('',*,*,#52630,.F.); #74698=ORIENTED_EDGE('',*,*,#52633,.F.); #74699=ORIENTED_EDGE('',*,*,#52636,.F.); #74700=ORIENTED_EDGE('',*,*,#52639,.F.); #74701=ORIENTED_EDGE('',*,*,#52642,.F.); #74702=ORIENTED_EDGE('',*,*,#52645,.F.); #74703=ORIENTED_EDGE('',*,*,#52648,.F.); #74704=ORIENTED_EDGE('',*,*,#52651,.F.); #74705=ORIENTED_EDGE('',*,*,#52654,.F.); #74706=ORIENTED_EDGE('',*,*,#52657,.F.); #74707=ORIENTED_EDGE('',*,*,#52660,.F.); #74708=ORIENTED_EDGE('',*,*,#52663,.F.); #74709=ORIENTED_EDGE('',*,*,#52611,.F.); #74710=ORIENTED_EDGE('',*,*,#52623,.F.); #74711=ORIENTED_EDGE('',*,*,#52620,.F.); #74712=ORIENTED_EDGE('',*,*,#52617,.F.); #74713=ORIENTED_EDGE('',*,*,#52614,.F.); #74714=ORIENTED_EDGE('',*,*,#52666,.F.); #74715=ORIENTED_EDGE('',*,*,#52667,.T.); #74716=ORIENTED_EDGE('',*,*,#52668,.T.); #74717=ORIENTED_EDGE('',*,*,#52669,.F.); #74718=ORIENTED_EDGE('',*,*,#52670,.F.); #74719=ORIENTED_EDGE('',*,*,#52671,.T.); #74720=ORIENTED_EDGE('',*,*,#52672,.T.); #74721=ORIENTED_EDGE('',*,*,#52667,.F.); #74722=ORIENTED_EDGE('',*,*,#52673,.F.); #74723=ORIENTED_EDGE('',*,*,#52674,.T.); #74724=ORIENTED_EDGE('',*,*,#52675,.T.); #74725=ORIENTED_EDGE('',*,*,#52671,.F.); #74726=ORIENTED_EDGE('',*,*,#52676,.F.); #74727=ORIENTED_EDGE('',*,*,#52677,.T.); #74728=ORIENTED_EDGE('',*,*,#52678,.T.); #74729=ORIENTED_EDGE('',*,*,#52674,.F.); #74730=ORIENTED_EDGE('',*,*,#52679,.F.); #74731=ORIENTED_EDGE('',*,*,#52680,.T.); #74732=ORIENTED_EDGE('',*,*,#52681,.T.); #74733=ORIENTED_EDGE('',*,*,#52677,.F.); #74734=ORIENTED_EDGE('',*,*,#52682,.F.); #74735=ORIENTED_EDGE('',*,*,#52683,.T.); #74736=ORIENTED_EDGE('',*,*,#52684,.T.); #74737=ORIENTED_EDGE('',*,*,#52680,.F.); #74738=ORIENTED_EDGE('',*,*,#52685,.F.); #74739=ORIENTED_EDGE('',*,*,#52686,.T.); #74740=ORIENTED_EDGE('',*,*,#52687,.T.); #74741=ORIENTED_EDGE('',*,*,#52683,.F.); #74742=ORIENTED_EDGE('',*,*,#52688,.F.); #74743=ORIENTED_EDGE('',*,*,#52689,.T.); #74744=ORIENTED_EDGE('',*,*,#52690,.T.); #74745=ORIENTED_EDGE('',*,*,#52686,.F.); #74746=ORIENTED_EDGE('',*,*,#52691,.F.); #74747=ORIENTED_EDGE('',*,*,#52692,.T.); #74748=ORIENTED_EDGE('',*,*,#52693,.T.); #74749=ORIENTED_EDGE('',*,*,#52689,.F.); #74750=ORIENTED_EDGE('',*,*,#52694,.F.); #74751=ORIENTED_EDGE('',*,*,#52695,.T.); #74752=ORIENTED_EDGE('',*,*,#52696,.T.); #74753=ORIENTED_EDGE('',*,*,#52692,.F.); #74754=ORIENTED_EDGE('',*,*,#52697,.F.); #74755=ORIENTED_EDGE('',*,*,#52698,.T.); #74756=ORIENTED_EDGE('',*,*,#52699,.T.); #74757=ORIENTED_EDGE('',*,*,#52695,.F.); #74758=ORIENTED_EDGE('',*,*,#52700,.F.); #74759=ORIENTED_EDGE('',*,*,#52669,.T.); #74760=ORIENTED_EDGE('',*,*,#52701,.T.); #74761=ORIENTED_EDGE('',*,*,#52698,.F.); #74762=ORIENTED_EDGE('',*,*,#52701,.F.); #74763=ORIENTED_EDGE('',*,*,#52668,.F.); #74764=ORIENTED_EDGE('',*,*,#52672,.F.); #74765=ORIENTED_EDGE('',*,*,#52675,.F.); #74766=ORIENTED_EDGE('',*,*,#52678,.F.); #74767=ORIENTED_EDGE('',*,*,#52681,.F.); #74768=ORIENTED_EDGE('',*,*,#52684,.F.); #74769=ORIENTED_EDGE('',*,*,#52687,.F.); #74770=ORIENTED_EDGE('',*,*,#52690,.F.); #74771=ORIENTED_EDGE('',*,*,#52693,.F.); #74772=ORIENTED_EDGE('',*,*,#52696,.F.); #74773=ORIENTED_EDGE('',*,*,#52699,.F.); #74774=ORIENTED_EDGE('',*,*,#52702,.T.); #74775=ORIENTED_EDGE('',*,*,#52703,.F.); #74776=ORIENTED_EDGE('',*,*,#52704,.T.); #74777=ORIENTED_EDGE('',*,*,#52705,.F.); #74778=ORIENTED_EDGE('',*,*,#52706,.T.); #74779=ORIENTED_EDGE('',*,*,#52707,.F.); #74780=ORIENTED_EDGE('',*,*,#52708,.F.); #74781=ORIENTED_EDGE('',*,*,#52709,.T.); #74782=ORIENTED_EDGE('',*,*,#52710,.F.); #74783=ORIENTED_EDGE('',*,*,#52711,.T.); #74784=ORIENTED_EDGE('',*,*,#52712,.T.); #74785=ORIENTED_EDGE('',*,*,#52713,.F.); #74786=ORIENTED_EDGE('',*,*,#52714,.T.); #74787=ORIENTED_EDGE('',*,*,#52715,.T.); #74788=ORIENTED_EDGE('',*,*,#52716,.T.); #74789=ORIENTED_EDGE('',*,*,#52717,.F.); #74790=ORIENTED_EDGE('',*,*,#52718,.T.); #74791=ORIENTED_EDGE('',*,*,#52719,.T.); #74792=ORIENTED_EDGE('',*,*,#52720,.F.); #74793=ORIENTED_EDGE('',*,*,#52721,.T.); #74794=ORIENTED_EDGE('',*,*,#52722,.F.); #74795=ORIENTED_EDGE('',*,*,#52723,.F.); #74796=ORIENTED_EDGE('',*,*,#52363,.T.); #74797=ORIENTED_EDGE('',*,*,#52382,.T.); #74798=ORIENTED_EDGE('',*,*,#52379,.T.); #74799=ORIENTED_EDGE('',*,*,#52376,.T.); #74800=ORIENTED_EDGE('',*,*,#52373,.T.); #74801=ORIENTED_EDGE('',*,*,#52370,.T.); #74802=ORIENTED_EDGE('',*,*,#52367,.T.); #74803=ORIENTED_EDGE('',*,*,#52399,.T.); #74804=ORIENTED_EDGE('',*,*,#52439,.T.); #74805=ORIENTED_EDGE('',*,*,#52436,.T.); #74806=ORIENTED_EDGE('',*,*,#52433,.T.); #74807=ORIENTED_EDGE('',*,*,#52430,.T.); #74808=ORIENTED_EDGE('',*,*,#52427,.T.); #74809=ORIENTED_EDGE('',*,*,#52424,.T.); #74810=ORIENTED_EDGE('',*,*,#52421,.T.); #74811=ORIENTED_EDGE('',*,*,#52418,.T.); #74812=ORIENTED_EDGE('',*,*,#52415,.T.); #74813=ORIENTED_EDGE('',*,*,#52412,.T.); #74814=ORIENTED_EDGE('',*,*,#52409,.T.); #74815=ORIENTED_EDGE('',*,*,#52406,.T.); #74816=ORIENTED_EDGE('',*,*,#52403,.T.); #74817=ORIENTED_EDGE('',*,*,#52456,.T.); #74818=ORIENTED_EDGE('',*,*,#52478,.T.); #74819=ORIENTED_EDGE('',*,*,#52475,.T.); #74820=ORIENTED_EDGE('',*,*,#52472,.T.); #74821=ORIENTED_EDGE('',*,*,#52469,.T.); #74822=ORIENTED_EDGE('',*,*,#52466,.T.); #74823=ORIENTED_EDGE('',*,*,#52463,.T.); #74824=ORIENTED_EDGE('',*,*,#52460,.T.); #74825=ORIENTED_EDGE('',*,*,#52480,.T.); #74826=ORIENTED_EDGE('',*,*,#52514,.T.); #74827=ORIENTED_EDGE('',*,*,#52511,.T.); #74828=ORIENTED_EDGE('',*,*,#52508,.T.); #74829=ORIENTED_EDGE('',*,*,#52505,.T.); #74830=ORIENTED_EDGE('',*,*,#52502,.T.); #74831=ORIENTED_EDGE('',*,*,#52499,.T.); #74832=ORIENTED_EDGE('',*,*,#52496,.T.); #74833=ORIENTED_EDGE('',*,*,#52493,.T.); #74834=ORIENTED_EDGE('',*,*,#52490,.T.); #74835=ORIENTED_EDGE('',*,*,#52487,.T.); #74836=ORIENTED_EDGE('',*,*,#52484,.T.); #74837=ORIENTED_EDGE('',*,*,#52516,.T.); #74838=ORIENTED_EDGE('',*,*,#52550,.T.); #74839=ORIENTED_EDGE('',*,*,#52547,.T.); #74840=ORIENTED_EDGE('',*,*,#52544,.T.); #74841=ORIENTED_EDGE('',*,*,#52541,.T.); #74842=ORIENTED_EDGE('',*,*,#52538,.T.); #74843=ORIENTED_EDGE('',*,*,#52535,.T.); #74844=ORIENTED_EDGE('',*,*,#52532,.T.); #74845=ORIENTED_EDGE('',*,*,#52529,.T.); #74846=ORIENTED_EDGE('',*,*,#52526,.T.); #74847=ORIENTED_EDGE('',*,*,#52523,.T.); #74848=ORIENTED_EDGE('',*,*,#52520,.T.); #74849=ORIENTED_EDGE('',*,*,#52588,.T.); #74850=ORIENTED_EDGE('',*,*,#52607,.T.); #74851=ORIENTED_EDGE('',*,*,#52604,.T.); #74852=ORIENTED_EDGE('',*,*,#52601,.T.); #74853=ORIENTED_EDGE('',*,*,#52598,.T.); #74854=ORIENTED_EDGE('',*,*,#52595,.T.); #74855=ORIENTED_EDGE('',*,*,#52592,.T.); #74856=ORIENTED_EDGE('',*,*,#52624,.T.); #74857=ORIENTED_EDGE('',*,*,#52664,.T.); #74858=ORIENTED_EDGE('',*,*,#52661,.T.); #74859=ORIENTED_EDGE('',*,*,#52658,.T.); #74860=ORIENTED_EDGE('',*,*,#52655,.T.); #74861=ORIENTED_EDGE('',*,*,#52652,.T.); #74862=ORIENTED_EDGE('',*,*,#52649,.T.); #74863=ORIENTED_EDGE('',*,*,#52646,.T.); #74864=ORIENTED_EDGE('',*,*,#52643,.T.); #74865=ORIENTED_EDGE('',*,*,#52640,.T.); #74866=ORIENTED_EDGE('',*,*,#52637,.T.); #74867=ORIENTED_EDGE('',*,*,#52634,.T.); #74868=ORIENTED_EDGE('',*,*,#52631,.T.); #74869=ORIENTED_EDGE('',*,*,#52628,.T.); #74870=ORIENTED_EDGE('',*,*,#52666,.T.); #74871=ORIENTED_EDGE('',*,*,#52700,.T.); #74872=ORIENTED_EDGE('',*,*,#52697,.T.); #74873=ORIENTED_EDGE('',*,*,#52694,.T.); #74874=ORIENTED_EDGE('',*,*,#52691,.T.); #74875=ORIENTED_EDGE('',*,*,#52688,.T.); #74876=ORIENTED_EDGE('',*,*,#52685,.T.); #74877=ORIENTED_EDGE('',*,*,#52682,.T.); #74878=ORIENTED_EDGE('',*,*,#52679,.T.); #74879=ORIENTED_EDGE('',*,*,#52676,.T.); #74880=ORIENTED_EDGE('',*,*,#52673,.T.); #74881=ORIENTED_EDGE('',*,*,#52670,.T.); #74882=ORIENTED_EDGE('',*,*,#52724,.T.); #74883=ORIENTED_EDGE('',*,*,#52725,.T.); #74884=ORIENTED_EDGE('',*,*,#52726,.T.); #74885=ORIENTED_EDGE('',*,*,#52727,.T.); #74886=ORIENTED_EDGE('',*,*,#52728,.T.); #74887=ORIENTED_EDGE('',*,*,#52729,.T.); #74888=ORIENTED_EDGE('',*,*,#52609,.T.); #74889=ORIENTED_EDGE('',*,*,#52613,.T.); #74890=ORIENTED_EDGE('',*,*,#52616,.T.); #74891=ORIENTED_EDGE('',*,*,#52619,.T.); #74892=ORIENTED_EDGE('',*,*,#52622,.T.); #74893=ORIENTED_EDGE('',*,*,#52570,.T.); #74894=ORIENTED_EDGE('',*,*,#52574,.T.); #74895=ORIENTED_EDGE('',*,*,#52577,.T.); #74896=ORIENTED_EDGE('',*,*,#52580,.T.); #74897=ORIENTED_EDGE('',*,*,#52583,.T.); #74898=ORIENTED_EDGE('',*,*,#52586,.T.); #74899=ORIENTED_EDGE('',*,*,#52552,.T.); #74900=ORIENTED_EDGE('',*,*,#52556,.T.); #74901=ORIENTED_EDGE('',*,*,#52559,.T.); #74902=ORIENTED_EDGE('',*,*,#52562,.T.); #74903=ORIENTED_EDGE('',*,*,#52565,.T.); #74904=ORIENTED_EDGE('',*,*,#52568,.T.); #74905=ORIENTED_EDGE('',*,*,#52441,.T.); #74906=ORIENTED_EDGE('',*,*,#52445,.T.); #74907=ORIENTED_EDGE('',*,*,#52448,.T.); #74908=ORIENTED_EDGE('',*,*,#52451,.T.); #74909=ORIENTED_EDGE('',*,*,#52454,.T.); #74910=ORIENTED_EDGE('',*,*,#52384,.T.); #74911=ORIENTED_EDGE('',*,*,#52388,.T.); #74912=ORIENTED_EDGE('',*,*,#52391,.T.); #74913=ORIENTED_EDGE('',*,*,#52394,.T.); #74914=ORIENTED_EDGE('',*,*,#52397,.T.); #74915=ORIENTED_EDGE('',*,*,#52730,.T.); #74916=ORIENTED_EDGE('',*,*,#52731,.T.); #74917=ORIENTED_EDGE('',*,*,#52703,.T.); #74918=ORIENTED_EDGE('',*,*,#52732,.T.); #74919=ORIENTED_EDGE('',*,*,#52731,.F.); #74920=ORIENTED_EDGE('',*,*,#52733,.F.); #74921=ORIENTED_EDGE('',*,*,#52734,.F.); #74922=ORIENTED_EDGE('',*,*,#52704,.F.); #74923=ORIENTED_EDGE('',*,*,#52735,.T.); #74924=ORIENTED_EDGE('',*,*,#52736,.T.); #74925=ORIENTED_EDGE('',*,*,#52705,.T.); #74926=ORIENTED_EDGE('',*,*,#52734,.T.); #74927=ORIENTED_EDGE('',*,*,#52736,.F.); #74928=ORIENTED_EDGE('',*,*,#52737,.F.); #74929=ORIENTED_EDGE('',*,*,#52738,.F.); #74930=ORIENTED_EDGE('',*,*,#52739,.F.); #74931=ORIENTED_EDGE('',*,*,#52740,.F.); #74932=ORIENTED_EDGE('',*,*,#52741,.F.); #74933=ORIENTED_EDGE('',*,*,#52742,.F.); #74934=ORIENTED_EDGE('',*,*,#52706,.F.); #74935=ORIENTED_EDGE('',*,*,#52743,.T.); #74936=ORIENTED_EDGE('',*,*,#52744,.T.); #74937=ORIENTED_EDGE('',*,*,#52745,.T.); #74938=ORIENTED_EDGE('',*,*,#52746,.T.); #74939=ORIENTED_EDGE('',*,*,#52744,.F.); #74940=ORIENTED_EDGE('',*,*,#52747,.T.); #74941=ORIENTED_EDGE('',*,*,#52748,.F.); #74942=ORIENTED_EDGE('',*,*,#52749,.T.); #74943=ORIENTED_EDGE('',*,*,#52743,.F.); #74944=ORIENTED_EDGE('',*,*,#52750,.T.); #74945=ORIENTED_EDGE('',*,*,#52751,.F.); #74946=ORIENTED_EDGE('',*,*,#52752,.T.); #74947=ORIENTED_EDGE('',*,*,#52753,.F.); #74948=ORIENTED_EDGE('',*,*,#52747,.F.); #74949=ORIENTED_EDGE('',*,*,#52754,.T.); #74950=ORIENTED_EDGE('',*,*,#52755,.T.); #74951=ORIENTED_EDGE('',*,*,#52756,.T.); #74952=ORIENTED_EDGE('',*,*,#52740,.T.); #74953=ORIENTED_EDGE('',*,*,#52756,.F.); #74954=ORIENTED_EDGE('',*,*,#52757,.F.); #74955=ORIENTED_EDGE('',*,*,#52758,.F.); #74956=ORIENTED_EDGE('',*,*,#52759,.F.); #74957=ORIENTED_EDGE('',*,*,#52760,.F.); #74958=ORIENTED_EDGE('',*,*,#52741,.T.); #74959=ORIENTED_EDGE('',*,*,#52755,.F.); #74960=ORIENTED_EDGE('',*,*,#52761,.T.); #74961=ORIENTED_EDGE('',*,*,#52762,.F.); #74962=ORIENTED_EDGE('',*,*,#52757,.T.); #74963=ORIENTED_EDGE('',*,*,#52763,.T.); #74964=ORIENTED_EDGE('',*,*,#52748,.T.); #74965=ORIENTED_EDGE('',*,*,#52753,.T.); #74966=ORIENTED_EDGE('',*,*,#52764,.T.); #74967=ORIENTED_EDGE('',*,*,#52764,.F.); #74968=ORIENTED_EDGE('',*,*,#52752,.F.); #74969=ORIENTED_EDGE('',*,*,#52765,.F.); #74970=ORIENTED_EDGE('',*,*,#52766,.T.); #74971=ORIENTED_EDGE('',*,*,#52767,.T.); #74972=ORIENTED_EDGE('',*,*,#52768,.T.); #74973=ORIENTED_EDGE('',*,*,#52758,.T.); #74974=ORIENTED_EDGE('',*,*,#52762,.T.); #74975=ORIENTED_EDGE('',*,*,#52769,.T.); #74976=ORIENTED_EDGE('',*,*,#52770,.T.); #74977=ORIENTED_EDGE('',*,*,#52732,.F.); #74978=ORIENTED_EDGE('',*,*,#52702,.F.); #74979=ORIENTED_EDGE('',*,*,#52771,.F.); #74980=ORIENTED_EDGE('',*,*,#52750,.F.); #74981=ORIENTED_EDGE('',*,*,#52746,.F.); #74982=ORIENTED_EDGE('',*,*,#52772,.F.); #74983=ORIENTED_EDGE('',*,*,#52773,.F.); #74984=ORIENTED_EDGE('',*,*,#52774,.F.); #74985=ORIENTED_EDGE('',*,*,#52342,.T.); #74986=ORIENTED_EDGE('',*,*,#52346,.T.); #74987=ORIENTED_EDGE('',*,*,#52349,.T.); #74988=ORIENTED_EDGE('',*,*,#52352,.T.); #74989=ORIENTED_EDGE('',*,*,#52355,.T.); #74990=ORIENTED_EDGE('',*,*,#52358,.T.); #74991=ORIENTED_EDGE('',*,*,#52361,.T.); #74992=ORIENTED_EDGE('',*,*,#52742,.T.); #74993=ORIENTED_EDGE('',*,*,#52760,.T.); #74994=ORIENTED_EDGE('',*,*,#52775,.T.); #74995=ORIENTED_EDGE('',*,*,#52776,.T.); #74996=ORIENTED_EDGE('',*,*,#52707,.T.); #74997=ORIENTED_EDGE('',*,*,#52754,.F.); #74998=ORIENTED_EDGE('',*,*,#52739,.T.); #74999=ORIENTED_EDGE('',*,*,#52777,.F.); #75000=ORIENTED_EDGE('',*,*,#52778,.T.); #75001=ORIENTED_EDGE('',*,*,#52769,.F.); #75002=ORIENTED_EDGE('',*,*,#52761,.F.); #75003=ORIENTED_EDGE('',*,*,#52745,.F.); #75004=ORIENTED_EDGE('',*,*,#52749,.F.); #75005=ORIENTED_EDGE('',*,*,#52763,.F.); #75006=ORIENTED_EDGE('',*,*,#52768,.F.); #75007=ORIENTED_EDGE('',*,*,#52779,.F.); #75008=ORIENTED_EDGE('',*,*,#52772,.T.); #75009=ORIENTED_EDGE('',*,*,#52738,.T.); #75010=ORIENTED_EDGE('',*,*,#52780,.T.); #75011=ORIENTED_EDGE('',*,*,#52781,.T.); #75012=ORIENTED_EDGE('',*,*,#52782,.T.); #75013=ORIENTED_EDGE('',*,*,#52777,.T.); #75014=ORIENTED_EDGE('',*,*,#52773,.T.); #75015=ORIENTED_EDGE('',*,*,#52779,.T.); #75016=ORIENTED_EDGE('',*,*,#52767,.F.); #75017=ORIENTED_EDGE('',*,*,#52783,.F.); #75018=ORIENTED_EDGE('',*,*,#52784,.T.); #75019=ORIENTED_EDGE('',*,*,#52771,.T.); #75020=ORIENTED_EDGE('',*,*,#52723,.T.); #75021=ORIENTED_EDGE('',*,*,#52785,.T.); #75022=ORIENTED_EDGE('',*,*,#52765,.T.); #75023=ORIENTED_EDGE('',*,*,#52751,.T.); #75024=ORIENTED_EDGE('',*,*,#52785,.F.); #75025=ORIENTED_EDGE('',*,*,#52722,.T.); #75026=ORIENTED_EDGE('',*,*,#52786,.T.); #75027=ORIENTED_EDGE('',*,*,#52787,.F.); #75028=ORIENTED_EDGE('',*,*,#52783,.T.); #75029=ORIENTED_EDGE('',*,*,#52766,.F.); #75030=ORIENTED_EDGE('',*,*,#52774,.T.); #75031=ORIENTED_EDGE('',*,*,#52784,.F.); #75032=ORIENTED_EDGE('',*,*,#52787,.T.); #75033=ORIENTED_EDGE('',*,*,#52788,.F.); #75034=ORIENTED_EDGE('',*,*,#52789,.F.); #75035=ORIENTED_EDGE('',*,*,#52790,.F.); #75036=ORIENTED_EDGE('',*,*,#52791,.F.); #75037=ORIENTED_EDGE('',*,*,#52792,.F.); #75038=ORIENTED_EDGE('',*,*,#52793,.F.); #75039=ORIENTED_EDGE('',*,*,#52794,.T.); #75040=ORIENTED_EDGE('',*,*,#52795,.F.); #75041=ORIENTED_EDGE('',*,*,#52796,.F.); #75042=ORIENTED_EDGE('',*,*,#52797,.F.); #75043=ORIENTED_EDGE('',*,*,#52798,.F.); #75044=ORIENTED_EDGE('',*,*,#52799,.F.); #75045=ORIENTED_EDGE('',*,*,#52800,.F.); #75046=ORIENTED_EDGE('',*,*,#52801,.T.); #75047=ORIENTED_EDGE('',*,*,#52780,.F.); #75048=ORIENTED_EDGE('',*,*,#52737,.T.); #75049=ORIENTED_EDGE('',*,*,#52735,.F.); #75050=ORIENTED_EDGE('',*,*,#52733,.T.); #75051=ORIENTED_EDGE('',*,*,#52730,.F.); #75052=ORIENTED_EDGE('',*,*,#52802,.T.); #75053=ORIENTED_EDGE('',*,*,#52803,.T.); #75054=ORIENTED_EDGE('',*,*,#52804,.T.); #75055=ORIENTED_EDGE('',*,*,#52805,.T.); #75056=ORIENTED_EDGE('',*,*,#52806,.T.); #75057=ORIENTED_EDGE('',*,*,#52807,.T.); #75058=ORIENTED_EDGE('',*,*,#52770,.F.); #75059=ORIENTED_EDGE('',*,*,#52778,.F.); #75060=ORIENTED_EDGE('',*,*,#52782,.F.); #75061=ORIENTED_EDGE('',*,*,#52808,.F.); #75062=ORIENTED_EDGE('',*,*,#52775,.F.); #75063=ORIENTED_EDGE('',*,*,#52759,.T.); #75064=ORIENTED_EDGE('',*,*,#52776,.F.); #75065=ORIENTED_EDGE('',*,*,#52808,.T.); #75066=ORIENTED_EDGE('',*,*,#52781,.F.); #75067=ORIENTED_EDGE('',*,*,#52801,.F.); #75068=ORIENTED_EDGE('',*,*,#52809,.F.); #75069=ORIENTED_EDGE('',*,*,#52708,.T.); #75070=ORIENTED_EDGE('',*,*,#52786,.F.); #75071=ORIENTED_EDGE('',*,*,#52721,.F.); #75072=ORIENTED_EDGE('',*,*,#52810,.F.); #75073=ORIENTED_EDGE('',*,*,#52788,.T.); #75074=ORIENTED_EDGE('',*,*,#52811,.T.); #75075=ORIENTED_EDGE('',*,*,#52812,.T.); #75076=ORIENTED_EDGE('',*,*,#52813,.T.); #75077=ORIENTED_EDGE('',*,*,#52814,.T.); #75078=ORIENTED_EDGE('',*,*,#52812,.F.); #75079=ORIENTED_EDGE('',*,*,#52815,.T.); #75080=ORIENTED_EDGE('',*,*,#52816,.F.); #75081=ORIENTED_EDGE('',*,*,#52817,.T.); #75082=ORIENTED_EDGE('',*,*,#52811,.F.); #75083=ORIENTED_EDGE('',*,*,#52818,.T.); #75084=ORIENTED_EDGE('',*,*,#52819,.T.); #75085=ORIENTED_EDGE('',*,*,#52820,.F.); #75086=ORIENTED_EDGE('',*,*,#52821,.F.); #75087=ORIENTED_EDGE('',*,*,#52815,.F.); #75088=ORIENTED_EDGE('',*,*,#52822,.T.); #75089=ORIENTED_EDGE('',*,*,#52823,.T.); #75090=ORIENTED_EDGE('',*,*,#52824,.T.); #75091=ORIENTED_EDGE('',*,*,#52825,.T.); #75092=ORIENTED_EDGE('',*,*,#52826,.T.); #75093=ORIENTED_EDGE('',*,*,#52816,.T.); #75094=ORIENTED_EDGE('',*,*,#52821,.T.); #75095=ORIENTED_EDGE('',*,*,#52827,.T.); #75096=ORIENTED_EDGE('',*,*,#52799,.T.); #75097=ORIENTED_EDGE('',*,*,#52828,.T.); #75098=ORIENTED_EDGE('',*,*,#52710,.T.); #75099=ORIENTED_EDGE('',*,*,#52829,.T.); #75100=ORIENTED_EDGE('',*,*,#52828,.F.); #75101=ORIENTED_EDGE('',*,*,#52798,.T.); #75102=ORIENTED_EDGE('',*,*,#52830,.T.); #75103=ORIENTED_EDGE('',*,*,#52711,.F.); #75104=ORIENTED_EDGE('',*,*,#52789,.T.); #75105=ORIENTED_EDGE('',*,*,#52810,.T.); #75106=ORIENTED_EDGE('',*,*,#52720,.T.); #75107=ORIENTED_EDGE('',*,*,#52831,.T.); #75108=ORIENTED_EDGE('',*,*,#52792,.T.); #75109=ORIENTED_EDGE('',*,*,#52832,.T.); #75110=ORIENTED_EDGE('',*,*,#52717,.T.); #75111=ORIENTED_EDGE('',*,*,#52833,.T.); #75112=ORIENTED_EDGE('',*,*,#52832,.F.); #75113=ORIENTED_EDGE('',*,*,#52791,.T.); #75114=ORIENTED_EDGE('',*,*,#52834,.T.); #75115=ORIENTED_EDGE('',*,*,#52718,.F.); #75116=ORIENTED_EDGE('',*,*,#52796,.T.); #75117=ORIENTED_EDGE('',*,*,#52835,.T.); #75118=ORIENTED_EDGE('',*,*,#52713,.T.); #75119=ORIENTED_EDGE('',*,*,#52836,.T.); #75120=ORIENTED_EDGE('',*,*,#52835,.F.); #75121=ORIENTED_EDGE('',*,*,#52795,.T.); #75122=ORIENTED_EDGE('',*,*,#52837,.T.); #75123=ORIENTED_EDGE('',*,*,#52714,.F.); #75124=ORIENTED_EDGE('',*,*,#52807,.F.); #75125=ORIENTED_EDGE('',*,*,#52838,.T.); #75126=ORIENTED_EDGE('',*,*,#52839,.F.); #75127=ORIENTED_EDGE('',*,*,#52838,.F.); #75128=ORIENTED_EDGE('',*,*,#52839,.T.); #75129=ORIENTED_EDGE('',*,*,#52840,.T.); #75130=ORIENTED_EDGE('',*,*,#52729,.F.); #75131=ORIENTED_EDGE('',*,*,#52840,.F.); #75132=ORIENTED_EDGE('',*,*,#52806,.F.); #75133=ORIENTED_EDGE('',*,*,#52841,.T.); #75134=ORIENTED_EDGE('',*,*,#52842,.F.); #75135=ORIENTED_EDGE('',*,*,#52841,.F.); #75136=ORIENTED_EDGE('',*,*,#52842,.T.); #75137=ORIENTED_EDGE('',*,*,#52843,.T.); #75138=ORIENTED_EDGE('',*,*,#52728,.F.); #75139=ORIENTED_EDGE('',*,*,#52843,.F.); #75140=ORIENTED_EDGE('',*,*,#52802,.F.); #75141=ORIENTED_EDGE('',*,*,#52844,.F.); #75142=ORIENTED_EDGE('',*,*,#52724,.F.); #75143=ORIENTED_EDGE('',*,*,#52845,.T.); #75144=ORIENTED_EDGE('',*,*,#52803,.F.); #75145=ORIENTED_EDGE('',*,*,#52845,.F.); #75146=ORIENTED_EDGE('',*,*,#52727,.F.); #75147=ORIENTED_EDGE('',*,*,#52846,.T.); #75148=ORIENTED_EDGE('',*,*,#52804,.F.); #75149=ORIENTED_EDGE('',*,*,#52846,.F.); #75150=ORIENTED_EDGE('',*,*,#52726,.F.); #75151=ORIENTED_EDGE('',*,*,#52847,.T.); #75152=ORIENTED_EDGE('',*,*,#52805,.F.); #75153=ORIENTED_EDGE('',*,*,#52847,.F.); #75154=ORIENTED_EDGE('',*,*,#52725,.F.); #75155=ORIENTED_EDGE('',*,*,#52844,.T.); #75156=ORIENTED_EDGE('',*,*,#52822,.F.); #75157=ORIENTED_EDGE('',*,*,#52848,.F.); #75158=ORIENTED_EDGE('',*,*,#52849,.F.); #75159=ORIENTED_EDGE('',*,*,#52850,.T.); #75160=ORIENTED_EDGE('',*,*,#52823,.F.); #75161=ORIENTED_EDGE('',*,*,#52850,.F.); #75162=ORIENTED_EDGE('',*,*,#52851,.F.); #75163=ORIENTED_EDGE('',*,*,#52852,.T.); #75164=ORIENTED_EDGE('',*,*,#52824,.F.); #75165=ORIENTED_EDGE('',*,*,#52852,.F.); #75166=ORIENTED_EDGE('',*,*,#52853,.F.); #75167=ORIENTED_EDGE('',*,*,#52854,.T.); #75168=ORIENTED_EDGE('',*,*,#52825,.F.); #75169=ORIENTED_EDGE('',*,*,#52854,.F.); #75170=ORIENTED_EDGE('',*,*,#52855,.F.); #75171=ORIENTED_EDGE('',*,*,#52848,.T.); #75172=ORIENTED_EDGE('',*,*,#52856,.F.); #75173=ORIENTED_EDGE('',*,*,#52857,.F.); #75174=ORIENTED_EDGE('',*,*,#52858,.T.); #75175=ORIENTED_EDGE('',*,*,#52859,.F.); #75176=ORIENTED_EDGE('',*,*,#52814,.F.); #75177=ORIENTED_EDGE('',*,*,#52860,.T.); #75178=ORIENTED_EDGE('',*,*,#52861,.T.); #75179=ORIENTED_EDGE('',*,*,#52818,.F.); #75180=ORIENTED_EDGE('',*,*,#52862,.F.); #75181=ORIENTED_EDGE('',*,*,#52863,.T.); #75182=ORIENTED_EDGE('',*,*,#52864,.T.); #75183=ORIENTED_EDGE('',*,*,#52857,.T.); #75184=ORIENTED_EDGE('',*,*,#52861,.F.); #75185=ORIENTED_EDGE('',*,*,#52859,.T.); #75186=ORIENTED_EDGE('',*,*,#52865,.F.); #75187=ORIENTED_EDGE('',*,*,#52866,.F.); #75188=ORIENTED_EDGE('',*,*,#52827,.F.); #75189=ORIENTED_EDGE('',*,*,#52820,.T.); #75190=ORIENTED_EDGE('',*,*,#52862,.T.); #75191=ORIENTED_EDGE('',*,*,#52867,.T.); #75192=ORIENTED_EDGE('',*,*,#52813,.F.); #75193=ORIENTED_EDGE('',*,*,#52817,.F.); #75194=ORIENTED_EDGE('',*,*,#52826,.F.); #75195=ORIENTED_EDGE('',*,*,#52867,.F.); #75196=ORIENTED_EDGE('',*,*,#52856,.T.); #75197=ORIENTED_EDGE('',*,*,#52860,.F.); #75198=ORIENTED_EDGE('',*,*,#52849,.T.); #75199=ORIENTED_EDGE('',*,*,#52855,.T.); #75200=ORIENTED_EDGE('',*,*,#52853,.T.); #75201=ORIENTED_EDGE('',*,*,#52851,.T.); #75202=ORIENTED_EDGE('',*,*,#52819,.F.); #75203=ORIENTED_EDGE('',*,*,#52866,.T.); #75204=ORIENTED_EDGE('',*,*,#52868,.F.); #75205=ORIENTED_EDGE('',*,*,#52863,.F.); #75206=ORIENTED_EDGE('',*,*,#52869,.F.); #75207=ORIENTED_EDGE('',*,*,#52870,.F.); #75208=ORIENTED_EDGE('',*,*,#52871,.F.); #75209=ORIENTED_EDGE('',*,*,#52872,.F.); #75210=ORIENTED_EDGE('',*,*,#52873,.T.); #75211=ORIENTED_EDGE('',*,*,#52874,.F.); #75212=ORIENTED_EDGE('',*,*,#52865,.T.); #75213=ORIENTED_EDGE('',*,*,#52875,.T.); #75214=ORIENTED_EDGE('',*,*,#52876,.T.); #75215=ORIENTED_EDGE('',*,*,#52877,.F.); #75216=ORIENTED_EDGE('',*,*,#52868,.T.); #75217=ORIENTED_EDGE('',*,*,#52874,.T.); #75218=ORIENTED_EDGE('',*,*,#52878,.F.); #75219=ORIENTED_EDGE('',*,*,#52879,.T.); #75220=ORIENTED_EDGE('',*,*,#52880,.F.); #75221=ORIENTED_EDGE('',*,*,#52870,.T.); #75222=ORIENTED_EDGE('',*,*,#52873,.F.); #75223=ORIENTED_EDGE('',*,*,#52872,.T.); #75224=ORIENTED_EDGE('',*,*,#52881,.F.); #75225=ORIENTED_EDGE('',*,*,#52882,.F.); #75226=ORIENTED_EDGE('',*,*,#52878,.T.); #75227=ORIENTED_EDGE('',*,*,#52883,.T.); #75228=ORIENTED_EDGE('',*,*,#52864,.F.); #75229=ORIENTED_EDGE('',*,*,#52877,.T.); #75230=ORIENTED_EDGE('',*,*,#52869,.T.); #75231=ORIENTED_EDGE('',*,*,#52875,.F.); #75232=ORIENTED_EDGE('',*,*,#52858,.F.); #75233=ORIENTED_EDGE('',*,*,#52883,.F.); #75234=ORIENTED_EDGE('',*,*,#52876,.F.); #75235=ORIENTED_EDGE('',*,*,#52882,.T.); #75236=ORIENTED_EDGE('',*,*,#52884,.F.); #75237=ORIENTED_EDGE('',*,*,#52879,.F.); #75238=ORIENTED_EDGE('',*,*,#52881,.T.); #75239=ORIENTED_EDGE('',*,*,#52885,.T.); #75240=ORIENTED_EDGE('',*,*,#52886,.T.); #75241=ORIENTED_EDGE('',*,*,#52887,.T.); #75242=ORIENTED_EDGE('',*,*,#52871,.T.); #75243=ORIENTED_EDGE('',*,*,#52888,.T.); #75244=ORIENTED_EDGE('',*,*,#52889,.T.); #75245=ORIENTED_EDGE('',*,*,#52885,.F.); #75246=ORIENTED_EDGE('',*,*,#52890,.F.); #75247=ORIENTED_EDGE('',*,*,#52891,.F.); #75248=ORIENTED_EDGE('',*,*,#52715,.F.); #75249=ORIENTED_EDGE('',*,*,#52892,.F.); #75250=ORIENTED_EDGE('',*,*,#52880,.T.); #75251=ORIENTED_EDGE('',*,*,#52893,.T.); #75252=ORIENTED_EDGE('',*,*,#52894,.T.); #75253=ORIENTED_EDGE('',*,*,#52888,.F.); #75254=ORIENTED_EDGE('',*,*,#52886,.F.); #75255=ORIENTED_EDGE('',*,*,#52895,.T.); #75256=ORIENTED_EDGE('',*,*,#52896,.F.); #75257=ORIENTED_EDGE('',*,*,#52891,.T.); #75258=ORIENTED_EDGE('',*,*,#52894,.F.); #75259=ORIENTED_EDGE('',*,*,#52892,.T.); #75260=ORIENTED_EDGE('',*,*,#52837,.F.); #75261=ORIENTED_EDGE('',*,*,#52897,.F.); #75262=ORIENTED_EDGE('',*,*,#52884,.T.); #75263=ORIENTED_EDGE('',*,*,#52887,.F.); #75264=ORIENTED_EDGE('',*,*,#52890,.T.); #75265=ORIENTED_EDGE('',*,*,#52893,.F.); #75266=ORIENTED_EDGE('',*,*,#52889,.F.); #75267=ORIENTED_EDGE('',*,*,#52897,.T.); #75268=ORIENTED_EDGE('',*,*,#52794,.F.); #75269=ORIENTED_EDGE('',*,*,#52895,.F.); #75270=ORIENTED_EDGE('',*,*,#52836,.F.); #75271=ORIENTED_EDGE('',*,*,#52712,.F.); #75272=ORIENTED_EDGE('',*,*,#52830,.F.); #75273=ORIENTED_EDGE('',*,*,#52797,.T.); #75274=ORIENTED_EDGE('',*,*,#52809,.T.); #75275=ORIENTED_EDGE('',*,*,#52800,.T.); #75276=ORIENTED_EDGE('',*,*,#52829,.F.); #75277=ORIENTED_EDGE('',*,*,#52709,.F.); #75278=ORIENTED_EDGE('',*,*,#52831,.F.); #75279=ORIENTED_EDGE('',*,*,#52719,.F.); #75280=ORIENTED_EDGE('',*,*,#52834,.F.); #75281=ORIENTED_EDGE('',*,*,#52790,.T.); #75282=ORIENTED_EDGE('',*,*,#52833,.F.); #75283=ORIENTED_EDGE('',*,*,#52716,.F.); #75284=ORIENTED_EDGE('',*,*,#52896,.T.); #75285=ORIENTED_EDGE('',*,*,#52793,.T.); #75286=ORIENTED_EDGE('',*,*,#52898,.F.); #75287=ORIENTED_EDGE('',*,*,#52899,.F.); #75288=ORIENTED_EDGE('',*,*,#52900,.F.); #75289=ORIENTED_EDGE('',*,*,#52901,.T.); #75290=ORIENTED_EDGE('',*,*,#52902,.F.); #75291=ORIENTED_EDGE('',*,*,#52901,.F.); #75292=ORIENTED_EDGE('',*,*,#52903,.F.); #75293=ORIENTED_EDGE('',*,*,#52904,.T.); #75294=ORIENTED_EDGE('',*,*,#52905,.F.); #75295=ORIENTED_EDGE('',*,*,#52904,.F.); #75296=ORIENTED_EDGE('',*,*,#52906,.F.); #75297=ORIENTED_EDGE('',*,*,#52907,.T.); #75298=ORIENTED_EDGE('',*,*,#52908,.F.); #75299=ORIENTED_EDGE('',*,*,#52907,.F.); #75300=ORIENTED_EDGE('',*,*,#52909,.F.); #75301=ORIENTED_EDGE('',*,*,#52899,.T.); #75302=ORIENTED_EDGE('',*,*,#52910,.T.); #75303=ORIENTED_EDGE('',*,*,#52911,.T.); #75304=ORIENTED_EDGE('',*,*,#52912,.T.); #75305=ORIENTED_EDGE('',*,*,#52911,.F.); #75306=ORIENTED_EDGE('',*,*,#52910,.F.); #75307=ORIENTED_EDGE('',*,*,#52913,.T.); #75308=ORIENTED_EDGE('',*,*,#52914,.T.); #75309=ORIENTED_EDGE('',*,*,#52913,.F.); #75310=ORIENTED_EDGE('',*,*,#52914,.F.); #75311=ORIENTED_EDGE('',*,*,#52915,.T.); #75312=ORIENTED_EDGE('',*,*,#52916,.T.); #75313=ORIENTED_EDGE('',*,*,#52917,.T.); #75314=ORIENTED_EDGE('',*,*,#52916,.F.); #75315=ORIENTED_EDGE('',*,*,#52915,.F.); #75316=ORIENTED_EDGE('',*,*,#52918,.T.); #75317=ORIENTED_EDGE('',*,*,#52919,.T.); #75318=ORIENTED_EDGE('',*,*,#52918,.F.); #75319=ORIENTED_EDGE('',*,*,#52919,.F.); #75320=ORIENTED_EDGE('',*,*,#52920,.T.); #75321=ORIENTED_EDGE('',*,*,#52921,.T.); #75322=ORIENTED_EDGE('',*,*,#52922,.T.); #75323=ORIENTED_EDGE('',*,*,#52921,.F.); #75324=ORIENTED_EDGE('',*,*,#52920,.F.); #75325=ORIENTED_EDGE('',*,*,#52923,.T.); #75326=ORIENTED_EDGE('',*,*,#52924,.T.); #75327=ORIENTED_EDGE('',*,*,#52923,.F.); #75328=ORIENTED_EDGE('',*,*,#52924,.F.); #75329=ORIENTED_EDGE('',*,*,#52925,.T.); #75330=ORIENTED_EDGE('',*,*,#52905,.T.); #75331=ORIENTED_EDGE('',*,*,#52908,.T.); #75332=ORIENTED_EDGE('',*,*,#52898,.T.); #75333=ORIENTED_EDGE('',*,*,#52902,.T.); #75334=ORIENTED_EDGE('',*,*,#52926,.F.); #75335=ORIENTED_EDGE('',*,*,#52922,.F.); #75336=ORIENTED_EDGE('',*,*,#52917,.F.); #75337=ORIENTED_EDGE('',*,*,#52912,.F.); #75338=ORIENTED_EDGE('',*,*,#52927,.T.); #75339=ORIENTED_EDGE('',*,*,#52928,.T.); #75340=ORIENTED_EDGE('',*,*,#52929,.F.); #75341=ORIENTED_EDGE('',*,*,#52928,.F.); #75342=ORIENTED_EDGE('',*,*,#52930,.F.); #75343=ORIENTED_EDGE('',*,*,#52931,.T.); #75344=ORIENTED_EDGE('',*,*,#52929,.T.); #75345=ORIENTED_EDGE('',*,*,#52931,.F.); #75346=ORIENTED_EDGE('',*,*,#52930,.T.); #75347=ORIENTED_EDGE('',*,*,#52932,.T.); #75348=ORIENTED_EDGE('',*,*,#52933,.T.); #75349=ORIENTED_EDGE('',*,*,#52934,.F.); #75350=ORIENTED_EDGE('',*,*,#52933,.F.); #75351=ORIENTED_EDGE('',*,*,#52935,.F.); #75352=ORIENTED_EDGE('',*,*,#52936,.T.); #75353=ORIENTED_EDGE('',*,*,#52934,.T.); #75354=ORIENTED_EDGE('',*,*,#52936,.F.); #75355=ORIENTED_EDGE('',*,*,#52935,.T.); #75356=ORIENTED_EDGE('',*,*,#52937,.T.); #75357=ORIENTED_EDGE('',*,*,#52938,.T.); #75358=ORIENTED_EDGE('',*,*,#52939,.F.); #75359=ORIENTED_EDGE('',*,*,#52938,.F.); #75360=ORIENTED_EDGE('',*,*,#52940,.F.); #75361=ORIENTED_EDGE('',*,*,#52941,.T.); #75362=ORIENTED_EDGE('',*,*,#52939,.T.); #75363=ORIENTED_EDGE('',*,*,#52941,.F.); #75364=ORIENTED_EDGE('',*,*,#52940,.T.); #75365=ORIENTED_EDGE('',*,*,#52942,.F.); #75366=ORIENTED_EDGE('',*,*,#52906,.T.); #75367=ORIENTED_EDGE('',*,*,#52903,.T.); #75368=ORIENTED_EDGE('',*,*,#52900,.T.); #75369=ORIENTED_EDGE('',*,*,#52909,.T.); #75370=ORIENTED_EDGE('',*,*,#52943,.F.); #75371=ORIENTED_EDGE('',*,*,#52937,.F.); #75372=ORIENTED_EDGE('',*,*,#52932,.F.); #75373=ORIENTED_EDGE('',*,*,#52927,.F.); #75374=ORIENTED_EDGE('',*,*,#52944,.T.); #75375=ORIENTED_EDGE('',*,*,#52945,.T.); #75376=ORIENTED_EDGE('',*,*,#52926,.T.); #75377=ORIENTED_EDGE('',*,*,#52945,.F.); #75378=ORIENTED_EDGE('',*,*,#52944,.F.); #75379=ORIENTED_EDGE('',*,*,#52946,.T.); #75380=ORIENTED_EDGE('',*,*,#52947,.T.); #75381=ORIENTED_EDGE('',*,*,#52946,.F.); #75382=ORIENTED_EDGE('',*,*,#52947,.F.); #75383=ORIENTED_EDGE('',*,*,#52943,.T.); #75384=ORIENTED_EDGE('',*,*,#52948,.T.); #75385=ORIENTED_EDGE('',*,*,#52949,.F.); #75386=ORIENTED_EDGE('',*,*,#52948,.F.); #75387=ORIENTED_EDGE('',*,*,#52950,.F.); #75388=ORIENTED_EDGE('',*,*,#52951,.T.); #75389=ORIENTED_EDGE('',*,*,#52949,.T.); #75390=ORIENTED_EDGE('',*,*,#52951,.F.); #75391=ORIENTED_EDGE('',*,*,#52950,.T.); #75392=ORIENTED_EDGE('',*,*,#52942,.T.); #75393=ORIENTED_EDGE('',*,*,#52952,.T.); #75394=ORIENTED_EDGE('',*,*,#52925,.F.); #75395=ORIENTED_EDGE('',*,*,#52952,.F.); #75396=ORIENTED_EDGE('',*,*,#52953,.T.); #75397=ORIENTED_EDGE('',*,*,#52954,.T.); #75398=ORIENTED_EDGE('',*,*,#52955,.T.); #75399=ORIENTED_EDGE('',*,*,#52956,.T.); #75400=ORIENTED_EDGE('',*,*,#52954,.F.); #75401=ORIENTED_EDGE('',*,*,#52956,.F.); #75402=ORIENTED_EDGE('',*,*,#52957,.T.); #75403=ORIENTED_EDGE('',*,*,#52958,.F.); #75404=ORIENTED_EDGE('',*,*,#52959,.F.); #75405=ORIENTED_EDGE('',*,*,#52957,.F.); #75406=ORIENTED_EDGE('',*,*,#52955,.F.); #75407=ORIENTED_EDGE('',*,*,#52953,.F.); #75408=ORIENTED_EDGE('',*,*,#52960,.F.); #75409=ORIENTED_EDGE('',*,*,#52960,.T.); #75410=ORIENTED_EDGE('',*,*,#52961,.F.); #75411=ORIENTED_EDGE('',*,*,#52962,.F.); #75412=ORIENTED_EDGE('',*,*,#52963,.F.); #75413=ORIENTED_EDGE('',*,*,#52964,.T.); #75414=ORIENTED_EDGE('',*,*,#52965,.F.); #75415=ORIENTED_EDGE('',*,*,#52966,.F.); #75416=ORIENTED_EDGE('',*,*,#52964,.F.); #75417=ORIENTED_EDGE('',*,*,#52967,.F.); #75418=ORIENTED_EDGE('',*,*,#52968,.T.); #75419=ORIENTED_EDGE('',*,*,#52969,.T.); #75420=ORIENTED_EDGE('',*,*,#52970,.T.); #75421=ORIENTED_EDGE('',*,*,#52969,.F.); #75422=ORIENTED_EDGE('',*,*,#52968,.F.); #75423=ORIENTED_EDGE('',*,*,#52971,.T.); #75424=ORIENTED_EDGE('',*,*,#52967,.T.); #75425=ORIENTED_EDGE('',*,*,#52963,.T.); #75426=ORIENTED_EDGE('',*,*,#52972,.T.); #75427=ORIENTED_EDGE('',*,*,#52973,.F.); #75428=ORIENTED_EDGE('',*,*,#52972,.F.); #75429=ORIENTED_EDGE('',*,*,#52962,.T.); #75430=ORIENTED_EDGE('',*,*,#52961,.T.); #75431=ORIENTED_EDGE('',*,*,#52971,.F.); #75432=ORIENTED_EDGE('',*,*,#52965,.T.); #75433=ORIENTED_EDGE('',*,*,#52966,.T.); #75434=ORIENTED_EDGE('',*,*,#52970,.F.); #75435=ORIENTED_EDGE('',*,*,#52974,.T.); #75436=ORIENTED_EDGE('',*,*,#52975,.T.); #75437=ORIENTED_EDGE('',*,*,#52976,.T.); #75438=ORIENTED_EDGE('',*,*,#52975,.F.); #75439=ORIENTED_EDGE('',*,*,#52977,.F.); #75440=ORIENTED_EDGE('',*,*,#52976,.F.); #75441=ORIENTED_EDGE('',*,*,#52958,.T.); #75442=ORIENTED_EDGE('',*,*,#52959,.T.); #75443=ORIENTED_EDGE('',*,*,#52978,.T.); #75444=ORIENTED_EDGE('',*,*,#52974,.F.); #75445=ORIENTED_EDGE('',*,*,#52978,.F.); #75446=ORIENTED_EDGE('',*,*,#52979,.T.); #75447=ORIENTED_EDGE('',*,*,#52980,.T.); #75448=ORIENTED_EDGE('',*,*,#52977,.T.); #75449=ORIENTED_EDGE('',*,*,#52980,.F.); #75450=ORIENTED_EDGE('',*,*,#52981,.F.); #75451=ORIENTED_EDGE('',*,*,#52982,.T.); #75452=ORIENTED_EDGE('',*,*,#52979,.F.); #75453=ORIENTED_EDGE('',*,*,#52982,.F.); #75454=ORIENTED_EDGE('',*,*,#52983,.F.); #75455=ORIENTED_EDGE('',*,*,#52981,.T.); #75456=ORIENTED_EDGE('',*,*,#52984,.T.); #75457=ORIENTED_EDGE('',*,*,#52985,.T.); #75458=ORIENTED_EDGE('',*,*,#52984,.F.); #75459=ORIENTED_EDGE('',*,*,#52983,.T.); #75460=ORIENTED_EDGE('',*,*,#52985,.F.); #75461=ORIENTED_EDGE('',*,*,#52986,.F.); #75462=ORIENTED_EDGE('',*,*,#52973,.T.); #75463=ORIENTED_EDGE('',*,*,#52987,.T.); #75464=ORIENTED_EDGE('',*,*,#52986,.T.); #75465=ORIENTED_EDGE('',*,*,#52987,.F.); #75466=ORIENTED_EDGE('',*,*,#52988,.F.); #75467=ORIENTED_EDGE('',*,*,#52989,.T.); #75468=ORIENTED_EDGE('',*,*,#52990,.F.); #75469=ORIENTED_EDGE('',*,*,#52989,.F.); #75470=ORIENTED_EDGE('',*,*,#52991,.T.); #75471=ORIENTED_EDGE('',*,*,#52992,.T.); #75472=ORIENTED_EDGE('',*,*,#52993,.F.); #75473=ORIENTED_EDGE('',*,*,#52992,.F.); #75474=ORIENTED_EDGE('',*,*,#52991,.F.); #75475=ORIENTED_EDGE('',*,*,#52990,.T.); #75476=ORIENTED_EDGE('',*,*,#52993,.T.); #75477=ORIENTED_EDGE('',*,*,#52988,.T.); #75478=ORIENTED_EDGE('',*,*,#52994,.T.); #75479=ORIENTED_EDGE('',*,*,#52995,.T.); #75480=ORIENTED_EDGE('',*,*,#52996,.T.); #75481=ORIENTED_EDGE('',*,*,#52995,.F.); #75482=ORIENTED_EDGE('',*,*,#52997,.T.); #75483=ORIENTED_EDGE('',*,*,#52996,.F.); #75484=ORIENTED_EDGE('',*,*,#52998,.T.); #75485=ORIENTED_EDGE('',*,*,#52999,.F.); #75486=ORIENTED_EDGE('',*,*,#53000,.T.); #75487=ORIENTED_EDGE('',*,*,#52997,.F.); #75488=ORIENTED_EDGE('',*,*,#52994,.F.); #75489=ORIENTED_EDGE('',*,*,#53000,.F.); #75490=ORIENTED_EDGE('',*,*,#53001,.F.); #75491=ORIENTED_EDGE('',*,*,#53002,.T.); #75492=ORIENTED_EDGE('',*,*,#53003,.T.); #75493=ORIENTED_EDGE('',*,*,#53004,.T.); #75494=ORIENTED_EDGE('',*,*,#53003,.F.); #75495=ORIENTED_EDGE('',*,*,#53005,.F.); #75496=ORIENTED_EDGE('',*,*,#53002,.F.); #75497=ORIENTED_EDGE('',*,*,#53004,.F.); #75498=ORIENTED_EDGE('',*,*,#53006,.T.); #75499=ORIENTED_EDGE('',*,*,#53007,.F.); #75500=ORIENTED_EDGE('',*,*,#53006,.F.); #75501=ORIENTED_EDGE('',*,*,#53005,.T.); #75502=ORIENTED_EDGE('',*,*,#53008,.T.); #75503=ORIENTED_EDGE('',*,*,#53009,.T.); #75504=ORIENTED_EDGE('',*,*,#53010,.T.); #75505=ORIENTED_EDGE('',*,*,#53008,.F.); #75506=ORIENTED_EDGE('',*,*,#53009,.F.); #75507=ORIENTED_EDGE('',*,*,#53010,.F.); #75508=ORIENTED_EDGE('',*,*,#53011,.T.); #75509=ORIENTED_EDGE('',*,*,#53001,.T.); #75510=ORIENTED_EDGE('',*,*,#52999,.T.); #75511=ORIENTED_EDGE('',*,*,#53011,.F.); #75512=ORIENTED_EDGE('',*,*,#53012,.F.); #75513=ORIENTED_EDGE('',*,*,#53013,.T.); #75514=ORIENTED_EDGE('',*,*,#52998,.F.); #75515=ORIENTED_EDGE('',*,*,#53013,.F.); #75516=ORIENTED_EDGE('',*,*,#53007,.T.); #75517=ORIENTED_EDGE('',*,*,#53012,.T.); #75518=ORIENTED_EDGE('',*,*,#53014,.T.); #75519=ORIENTED_EDGE('',*,*,#53015,.T.); #75520=ORIENTED_EDGE('',*,*,#53016,.T.); #75521=ORIENTED_EDGE('',*,*,#53015,.F.); #75522=ORIENTED_EDGE('',*,*,#53014,.F.); #75523=ORIENTED_EDGE('',*,*,#53017,.T.); #75524=ORIENTED_EDGE('',*,*,#53018,.F.); #75525=ORIENTED_EDGE('',*,*,#53017,.F.); #75526=ORIENTED_EDGE('',*,*,#53016,.F.); #75527=ORIENTED_EDGE('',*,*,#53018,.T.); #75528=ORIENTED_EDGE('',*,*,#53019,.F.); #75529=ORIENTED_EDGE('',*,*,#53019,.T.); #75530=ORIENTED_EDGE('',*,*,#53020,.T.); #75531=ORIENTED_EDGE('',*,*,#53021,.F.); #75532=ORIENTED_EDGE('',*,*,#53020,.F.); #75533=ORIENTED_EDGE('',*,*,#53022,.F.); #75534=ORIENTED_EDGE('',*,*,#53023,.T.); #75535=ORIENTED_EDGE('',*,*,#53024,.F.); #75536=ORIENTED_EDGE('',*,*,#53025,.F.); #75537=ORIENTED_EDGE('',*,*,#53026,.F.); #75538=ORIENTED_EDGE('',*,*,#53027,.F.); #75539=ORIENTED_EDGE('',*,*,#53028,.F.); #75540=ORIENTED_EDGE('',*,*,#53029,.F.); #75541=ORIENTED_EDGE('',*,*,#53030,.F.); #75542=ORIENTED_EDGE('',*,*,#53031,.F.); #75543=ORIENTED_EDGE('',*,*,#53032,.F.); #75544=ORIENTED_EDGE('',*,*,#53033,.F.); #75545=ORIENTED_EDGE('',*,*,#53034,.F.); #75546=ORIENTED_EDGE('',*,*,#53035,.F.); #75547=ORIENTED_EDGE('',*,*,#53023,.F.); #75548=ORIENTED_EDGE('',*,*,#53026,.T.); #75549=ORIENTED_EDGE('',*,*,#53025,.T.); #75550=ORIENTED_EDGE('',*,*,#53036,.T.); #75551=ORIENTED_EDGE('',*,*,#53037,.F.); #75552=ORIENTED_EDGE('',*,*,#53038,.F.); #75553=ORIENTED_EDGE('',*,*,#53024,.T.); #75554=ORIENTED_EDGE('',*,*,#53035,.T.); #75555=ORIENTED_EDGE('',*,*,#53039,.T.); #75556=ORIENTED_EDGE('',*,*,#53040,.F.); #75557=ORIENTED_EDGE('',*,*,#53036,.F.); #75558=ORIENTED_EDGE('',*,*,#53034,.T.); #75559=ORIENTED_EDGE('',*,*,#53033,.T.); #75560=ORIENTED_EDGE('',*,*,#53041,.T.); #75561=ORIENTED_EDGE('',*,*,#53042,.F.); #75562=ORIENTED_EDGE('',*,*,#53039,.F.); #75563=ORIENTED_EDGE('',*,*,#53031,.T.); #75564=ORIENTED_EDGE('',*,*,#53043,.T.); #75565=ORIENTED_EDGE('',*,*,#53044,.F.); #75566=ORIENTED_EDGE('',*,*,#53041,.F.); #75567=ORIENTED_EDGE('',*,*,#53032,.T.); #75568=ORIENTED_EDGE('',*,*,#53029,.T.); #75569=ORIENTED_EDGE('',*,*,#53045,.T.); #75570=ORIENTED_EDGE('',*,*,#53046,.F.); #75571=ORIENTED_EDGE('',*,*,#53043,.F.); #75572=ORIENTED_EDGE('',*,*,#53030,.T.); #75573=ORIENTED_EDGE('',*,*,#53027,.T.); #75574=ORIENTED_EDGE('',*,*,#53038,.T.); #75575=ORIENTED_EDGE('',*,*,#53047,.F.); #75576=ORIENTED_EDGE('',*,*,#53045,.F.); #75577=ORIENTED_EDGE('',*,*,#53028,.T.); #75578=ORIENTED_EDGE('',*,*,#53044,.T.); #75579=ORIENTED_EDGE('',*,*,#53046,.T.); #75580=ORIENTED_EDGE('',*,*,#53047,.T.); #75581=ORIENTED_EDGE('',*,*,#53037,.T.); #75582=ORIENTED_EDGE('',*,*,#53040,.T.); #75583=ORIENTED_EDGE('',*,*,#53042,.T.); #75584=ORIENTED_EDGE('',*,*,#53048,.T.); #75585=ORIENTED_EDGE('',*,*,#53049,.T.); #75586=ORIENTED_EDGE('',*,*,#53050,.T.); #75587=ORIENTED_EDGE('',*,*,#53049,.F.); #75588=ORIENTED_EDGE('',*,*,#53048,.F.); #75589=ORIENTED_EDGE('',*,*,#53051,.T.); #75590=ORIENTED_EDGE('',*,*,#53052,.F.); #75591=ORIENTED_EDGE('',*,*,#53051,.F.); #75592=ORIENTED_EDGE('',*,*,#53050,.F.); #75593=ORIENTED_EDGE('',*,*,#53022,.T.); #75594=ORIENTED_EDGE('',*,*,#53053,.T.); #75595=ORIENTED_EDGE('',*,*,#53054,.T.); #75596=ORIENTED_EDGE('',*,*,#53055,.F.); #75597=ORIENTED_EDGE('',*,*,#53054,.F.); #75598=ORIENTED_EDGE('',*,*,#53056,.F.); #75599=ORIENTED_EDGE('',*,*,#53053,.F.); #75600=ORIENTED_EDGE('',*,*,#53057,.T.); #75601=ORIENTED_EDGE('',*,*,#53058,.T.); #75602=ORIENTED_EDGE('',*,*,#53056,.T.); #75603=ORIENTED_EDGE('',*,*,#53058,.F.); #75604=ORIENTED_EDGE('',*,*,#53057,.F.); #75605=ORIENTED_EDGE('',*,*,#53021,.T.); #75606=ORIENTED_EDGE('',*,*,#53052,.T.); #75607=ORIENTED_EDGE('',*,*,#53055,.T.); #75608=ORIENTED_EDGE('',*,*,#53059,.T.); #75609=ORIENTED_EDGE('',*,*,#53060,.T.); #75610=ORIENTED_EDGE('',*,*,#53061,.T.); #75611=ORIENTED_EDGE('',*,*,#53062,.T.); #75612=ORIENTED_EDGE('',*,*,#53060,.F.); #75613=ORIENTED_EDGE('',*,*,#53063,.F.); #75614=ORIENTED_EDGE('',*,*,#53064,.T.); #75615=ORIENTED_EDGE('',*,*,#53065,.T.); #75616=ORIENTED_EDGE('',*,*,#53059,.F.); #75617=ORIENTED_EDGE('',*,*,#53066,.T.); #75618=ORIENTED_EDGE('',*,*,#53067,.F.); #75619=ORIENTED_EDGE('',*,*,#53068,.T.); #75620=ORIENTED_EDGE('',*,*,#53069,.T.); #75621=ORIENTED_EDGE('',*,*,#53070,.F.); #75622=ORIENTED_EDGE('',*,*,#53071,.T.); #75623=ORIENTED_EDGE('',*,*,#53072,.T.); #75624=ORIENTED_EDGE('',*,*,#53073,.T.); #75625=ORIENTED_EDGE('',*,*,#53063,.T.); #75626=ORIENTED_EDGE('',*,*,#53061,.F.); #75627=ORIENTED_EDGE('',*,*,#53065,.F.); #75628=ORIENTED_EDGE('',*,*,#53074,.T.); #75629=ORIENTED_EDGE('',*,*,#53075,.F.); #75630=ORIENTED_EDGE('',*,*,#53076,.T.); #75631=ORIENTED_EDGE('',*,*,#53077,.T.); #75632=ORIENTED_EDGE('',*,*,#53078,.T.); #75633=ORIENTED_EDGE('',*,*,#53079,.T.); #75634=ORIENTED_EDGE('',*,*,#53080,.F.); #75635=ORIENTED_EDGE('',*,*,#53081,.T.); #75636=ORIENTED_EDGE('',*,*,#53064,.F.); #75637=ORIENTED_EDGE('',*,*,#53073,.F.); #75638=ORIENTED_EDGE('',*,*,#53082,.F.); #75639=ORIENTED_EDGE('',*,*,#53074,.F.); #75640=ORIENTED_EDGE('',*,*,#53062,.F.); #75641=ORIENTED_EDGE('',*,*,#53081,.F.); #75642=ORIENTED_EDGE('',*,*,#53083,.F.); #75643=ORIENTED_EDGE('',*,*,#53066,.F.); #75644=ORIENTED_EDGE('',*,*,#53084,.F.); #75645=ORIENTED_EDGE('',*,*,#53085,.T.); #75646=ORIENTED_EDGE('',*,*,#53086,.T.); #75647=ORIENTED_EDGE('',*,*,#53087,.T.); #75648=ORIENTED_EDGE('',*,*,#53076,.F.); #75649=ORIENTED_EDGE('',*,*,#53088,.T.); #75650=ORIENTED_EDGE('',*,*,#53071,.F.); #75651=ORIENTED_EDGE('',*,*,#53089,.F.); #75652=ORIENTED_EDGE('',*,*,#53086,.F.); #75653=ORIENTED_EDGE('',*,*,#53090,.T.); #75654=ORIENTED_EDGE('',*,*,#53091,.F.); #75655=ORIENTED_EDGE('',*,*,#53092,.F.); #75656=ORIENTED_EDGE('',*,*,#53090,.F.); #75657=ORIENTED_EDGE('',*,*,#53089,.T.); #75658=ORIENTED_EDGE('',*,*,#53093,.T.); #75659=ORIENTED_EDGE('',*,*,#53091,.T.); #75660=ORIENTED_EDGE('',*,*,#53092,.T.); #75661=ORIENTED_EDGE('',*,*,#53093,.F.); #75662=ORIENTED_EDGE('',*,*,#53085,.F.); #75663=ORIENTED_EDGE('',*,*,#53094,.T.); #75664=ORIENTED_EDGE('',*,*,#53095,.F.); #75665=ORIENTED_EDGE('',*,*,#53096,.F.); #75666=ORIENTED_EDGE('',*,*,#53094,.F.); #75667=ORIENTED_EDGE('',*,*,#53096,.T.); #75668=ORIENTED_EDGE('',*,*,#53097,.T.); #75669=ORIENTED_EDGE('',*,*,#53084,.T.); #75670=ORIENTED_EDGE('',*,*,#53097,.F.); #75671=ORIENTED_EDGE('',*,*,#53095,.T.); #75672=ORIENTED_EDGE('',*,*,#53098,.T.); #75673=ORIENTED_EDGE('',*,*,#53077,.F.); #75674=ORIENTED_EDGE('',*,*,#53087,.F.); #75675=ORIENTED_EDGE('',*,*,#53070,.T.); #75676=ORIENTED_EDGE('',*,*,#53082,.T.); #75677=ORIENTED_EDGE('',*,*,#53072,.F.); #75678=ORIENTED_EDGE('',*,*,#53088,.F.); #75679=ORIENTED_EDGE('',*,*,#53075,.T.); #75680=ORIENTED_EDGE('',*,*,#53099,.F.); #75681=ORIENTED_EDGE('',*,*,#53079,.F.); #75682=ORIENTED_EDGE('',*,*,#53100,.T.); #75683=ORIENTED_EDGE('',*,*,#53068,.F.); #75684=ORIENTED_EDGE('',*,*,#53069,.F.); #75685=ORIENTED_EDGE('',*,*,#53100,.F.); #75686=ORIENTED_EDGE('',*,*,#53078,.F.); #75687=ORIENTED_EDGE('',*,*,#53098,.F.); #75688=ORIENTED_EDGE('',*,*,#53080,.T.); #75689=ORIENTED_EDGE('',*,*,#53099,.T.); #75690=ORIENTED_EDGE('',*,*,#53067,.T.); #75691=ORIENTED_EDGE('',*,*,#53083,.T.); #75692=ORIENTED_EDGE('',*,*,#53101,.T.); #75693=ORIENTED_EDGE('',*,*,#53102,.T.); #75694=ORIENTED_EDGE('',*,*,#53103,.T.); #75695=ORIENTED_EDGE('',*,*,#53104,.T.); #75696=ORIENTED_EDGE('',*,*,#53105,.T.); #75697=ORIENTED_EDGE('',*,*,#53106,.T.); #75698=ORIENTED_EDGE('',*,*,#53103,.F.); #75699=ORIENTED_EDGE('',*,*,#53107,.T.); #75700=ORIENTED_EDGE('',*,*,#53108,.T.); #75701=ORIENTED_EDGE('',*,*,#53109,.T.); #75702=ORIENTED_EDGE('',*,*,#53105,.F.); #75703=ORIENTED_EDGE('',*,*,#53110,.T.); #75704=ORIENTED_EDGE('',*,*,#53111,.T.); #75705=ORIENTED_EDGE('',*,*,#53112,.T.); #75706=ORIENTED_EDGE('',*,*,#53108,.F.); #75707=ORIENTED_EDGE('',*,*,#53113,.T.); #75708=ORIENTED_EDGE('',*,*,#53114,.T.); #75709=ORIENTED_EDGE('',*,*,#53115,.T.); #75710=ORIENTED_EDGE('',*,*,#53111,.F.); #75711=ORIENTED_EDGE('',*,*,#53116,.T.); #75712=ORIENTED_EDGE('',*,*,#53117,.T.); #75713=ORIENTED_EDGE('',*,*,#53118,.T.); #75714=ORIENTED_EDGE('',*,*,#53114,.F.); #75715=ORIENTED_EDGE('',*,*,#53119,.T.); #75716=ORIENTED_EDGE('',*,*,#53120,.T.); #75717=ORIENTED_EDGE('',*,*,#53121,.T.); #75718=ORIENTED_EDGE('',*,*,#53117,.F.); #75719=ORIENTED_EDGE('',*,*,#53122,.T.); #75720=ORIENTED_EDGE('',*,*,#53123,.T.); #75721=ORIENTED_EDGE('',*,*,#53124,.T.); #75722=ORIENTED_EDGE('',*,*,#53120,.F.); #75723=ORIENTED_EDGE('',*,*,#53125,.T.); #75724=ORIENTED_EDGE('',*,*,#53126,.T.); #75725=ORIENTED_EDGE('',*,*,#53127,.T.); #75726=ORIENTED_EDGE('',*,*,#53123,.F.); #75727=ORIENTED_EDGE('',*,*,#53128,.T.); #75728=ORIENTED_EDGE('',*,*,#53129,.T.); #75729=ORIENTED_EDGE('',*,*,#53130,.T.); #75730=ORIENTED_EDGE('',*,*,#53126,.F.); #75731=ORIENTED_EDGE('',*,*,#53131,.T.); #75732=ORIENTED_EDGE('',*,*,#53132,.T.); #75733=ORIENTED_EDGE('',*,*,#53133,.T.); #75734=ORIENTED_EDGE('',*,*,#53129,.F.); #75735=ORIENTED_EDGE('',*,*,#53134,.T.); #75736=ORIENTED_EDGE('',*,*,#53132,.F.); #75737=ORIENTED_EDGE('',*,*,#53135,.T.); #75738=ORIENTED_EDGE('',*,*,#53101,.F.); #75739=ORIENTED_EDGE('',*,*,#53136,.T.); #75740=ORIENTED_EDGE('',*,*,#53119,.F.); #75741=ORIENTED_EDGE('',*,*,#53137,.T.); #75742=ORIENTED_EDGE('',*,*,#53138,.F.); #75743=ORIENTED_EDGE('',*,*,#53139,.T.); #75744=ORIENTED_EDGE('',*,*,#53116,.F.); #75745=ORIENTED_EDGE('',*,*,#53140,.F.); #75746=ORIENTED_EDGE('',*,*,#53141,.F.); #75747=ORIENTED_EDGE('',*,*,#53142,.F.); #75748=ORIENTED_EDGE('',*,*,#53143,.F.); #75749=ORIENTED_EDGE('',*,*,#53144,.F.); #75750=ORIENTED_EDGE('',*,*,#53145,.F.); #75751=ORIENTED_EDGE('',*,*,#53137,.F.); #75752=ORIENTED_EDGE('',*,*,#53113,.F.); #75753=ORIENTED_EDGE('',*,*,#53146,.F.); #75754=ORIENTED_EDGE('',*,*,#53147,.F.); #75755=ORIENTED_EDGE('',*,*,#53140,.T.); #75756=ORIENTED_EDGE('',*,*,#53110,.F.); #75757=ORIENTED_EDGE('',*,*,#53148,.T.); #75758=ORIENTED_EDGE('',*,*,#53149,.F.); #75759=ORIENTED_EDGE('',*,*,#53146,.T.); #75760=ORIENTED_EDGE('',*,*,#53107,.F.); #75761=ORIENTED_EDGE('',*,*,#53150,.T.); #75762=ORIENTED_EDGE('',*,*,#53151,.F.); #75763=ORIENTED_EDGE('',*,*,#53148,.F.); #75764=ORIENTED_EDGE('',*,*,#53102,.F.); #75765=ORIENTED_EDGE('',*,*,#53152,.F.); #75766=ORIENTED_EDGE('',*,*,#53153,.T.); #75767=ORIENTED_EDGE('',*,*,#53154,.T.); #75768=ORIENTED_EDGE('',*,*,#53155,.T.); #75769=ORIENTED_EDGE('',*,*,#53156,.F.); #75770=ORIENTED_EDGE('',*,*,#53157,.F.); #75771=ORIENTED_EDGE('',*,*,#53158,.F.); #75772=ORIENTED_EDGE('',*,*,#53159,.F.); #75773=ORIENTED_EDGE('',*,*,#53160,.F.); #75774=ORIENTED_EDGE('',*,*,#53161,.F.); #75775=ORIENTED_EDGE('',*,*,#53150,.F.); #75776=ORIENTED_EDGE('',*,*,#53104,.F.); #75777=ORIENTED_EDGE('',*,*,#53106,.F.); #75778=ORIENTED_EDGE('',*,*,#53109,.F.); #75779=ORIENTED_EDGE('',*,*,#53112,.F.); #75780=ORIENTED_EDGE('',*,*,#53115,.F.); #75781=ORIENTED_EDGE('',*,*,#53118,.F.); #75782=ORIENTED_EDGE('',*,*,#53121,.F.); #75783=ORIENTED_EDGE('',*,*,#53124,.F.); #75784=ORIENTED_EDGE('',*,*,#53127,.F.); #75785=ORIENTED_EDGE('',*,*,#53130,.F.); #75786=ORIENTED_EDGE('',*,*,#53133,.F.); #75787=ORIENTED_EDGE('',*,*,#53136,.F.); #75788=ORIENTED_EDGE('',*,*,#53162,.T.); #75789=ORIENTED_EDGE('',*,*,#53143,.T.); #75790=ORIENTED_EDGE('',*,*,#53163,.T.); #75791=ORIENTED_EDGE('',*,*,#53164,.T.); #75792=ORIENTED_EDGE('',*,*,#53165,.T.); #75793=ORIENTED_EDGE('',*,*,#53166,.T.); #75794=ORIENTED_EDGE('',*,*,#53163,.F.); #75795=ORIENTED_EDGE('',*,*,#53167,.T.); #75796=ORIENTED_EDGE('',*,*,#53168,.T.); #75797=ORIENTED_EDGE('',*,*,#53169,.T.); #75798=ORIENTED_EDGE('',*,*,#53165,.F.); #75799=ORIENTED_EDGE('',*,*,#53170,.T.); #75800=ORIENTED_EDGE('',*,*,#53171,.T.); #75801=ORIENTED_EDGE('',*,*,#53172,.T.); #75802=ORIENTED_EDGE('',*,*,#53168,.F.); #75803=ORIENTED_EDGE('',*,*,#53173,.T.); #75804=ORIENTED_EDGE('',*,*,#53174,.T.); #75805=ORIENTED_EDGE('',*,*,#53175,.T.); #75806=ORIENTED_EDGE('',*,*,#53171,.F.); #75807=ORIENTED_EDGE('',*,*,#53176,.T.); #75808=ORIENTED_EDGE('',*,*,#53177,.T.); #75809=ORIENTED_EDGE('',*,*,#53178,.T.); #75810=ORIENTED_EDGE('',*,*,#53174,.F.); #75811=ORIENTED_EDGE('',*,*,#53179,.T.); #75812=ORIENTED_EDGE('',*,*,#53180,.T.); #75813=ORIENTED_EDGE('',*,*,#53181,.T.); #75814=ORIENTED_EDGE('',*,*,#53177,.F.); #75815=ORIENTED_EDGE('',*,*,#53182,.T.); #75816=ORIENTED_EDGE('',*,*,#53183,.T.); #75817=ORIENTED_EDGE('',*,*,#53184,.T.); #75818=ORIENTED_EDGE('',*,*,#53180,.F.); #75819=ORIENTED_EDGE('',*,*,#53185,.T.); #75820=ORIENTED_EDGE('',*,*,#53186,.T.); #75821=ORIENTED_EDGE('',*,*,#53187,.T.); #75822=ORIENTED_EDGE('',*,*,#53183,.F.); #75823=ORIENTED_EDGE('',*,*,#53188,.T.); #75824=ORIENTED_EDGE('',*,*,#53189,.T.); #75825=ORIENTED_EDGE('',*,*,#53190,.T.); #75826=ORIENTED_EDGE('',*,*,#53186,.F.); #75827=ORIENTED_EDGE('',*,*,#53191,.T.); #75828=ORIENTED_EDGE('',*,*,#53192,.T.); #75829=ORIENTED_EDGE('',*,*,#53193,.T.); #75830=ORIENTED_EDGE('',*,*,#53189,.F.); #75831=ORIENTED_EDGE('',*,*,#53194,.T.); #75832=ORIENTED_EDGE('',*,*,#53192,.F.); #75833=ORIENTED_EDGE('',*,*,#53195,.T.); #75834=ORIENTED_EDGE('',*,*,#53162,.F.); #75835=ORIENTED_EDGE('',*,*,#53196,.T.); #75836=ORIENTED_EDGE('',*,*,#53179,.F.); #75837=ORIENTED_EDGE('',*,*,#53197,.T.); #75838=ORIENTED_EDGE('',*,*,#53198,.T.); #75839=ORIENTED_EDGE('',*,*,#53199,.F.); #75840=ORIENTED_EDGE('',*,*,#53176,.F.); #75841=ORIENTED_EDGE('',*,*,#53200,.F.); #75842=ORIENTED_EDGE('',*,*,#53201,.F.); #75843=ORIENTED_EDGE('',*,*,#53202,.F.); #75844=ORIENTED_EDGE('',*,*,#53203,.F.); #75845=ORIENTED_EDGE('',*,*,#53204,.F.); #75846=ORIENTED_EDGE('',*,*,#53205,.F.); #75847=ORIENTED_EDGE('',*,*,#53197,.F.); #75848=ORIENTED_EDGE('',*,*,#53173,.F.); #75849=ORIENTED_EDGE('',*,*,#53206,.F.); #75850=ORIENTED_EDGE('',*,*,#53207,.T.); #75851=ORIENTED_EDGE('',*,*,#53200,.T.); #75852=ORIENTED_EDGE('',*,*,#53170,.F.); #75853=ORIENTED_EDGE('',*,*,#53208,.T.); #75854=ORIENTED_EDGE('',*,*,#53209,.F.); #75855=ORIENTED_EDGE('',*,*,#53206,.T.); #75856=ORIENTED_EDGE('',*,*,#53167,.F.); #75857=ORIENTED_EDGE('',*,*,#53142,.T.); #75858=ORIENTED_EDGE('',*,*,#53210,.T.); #75859=ORIENTED_EDGE('',*,*,#53208,.F.); #75860=ORIENTED_EDGE('',*,*,#53164,.F.); #75861=ORIENTED_EDGE('',*,*,#53166,.F.); #75862=ORIENTED_EDGE('',*,*,#53169,.F.); #75863=ORIENTED_EDGE('',*,*,#53172,.F.); #75864=ORIENTED_EDGE('',*,*,#53175,.F.); #75865=ORIENTED_EDGE('',*,*,#53178,.F.); #75866=ORIENTED_EDGE('',*,*,#53181,.F.); #75867=ORIENTED_EDGE('',*,*,#53184,.F.); #75868=ORIENTED_EDGE('',*,*,#53187,.F.); #75869=ORIENTED_EDGE('',*,*,#53190,.F.); #75870=ORIENTED_EDGE('',*,*,#53193,.F.); #75871=ORIENTED_EDGE('',*,*,#53196,.F.); #75872=ORIENTED_EDGE('',*,*,#53211,.T.); #75873=ORIENTED_EDGE('',*,*,#53212,.T.); #75874=ORIENTED_EDGE('',*,*,#53213,.T.); #75875=ORIENTED_EDGE('',*,*,#53203,.T.); #75876=ORIENTED_EDGE('',*,*,#53212,.F.); #75877=ORIENTED_EDGE('',*,*,#53214,.F.); #75878=ORIENTED_EDGE('',*,*,#53215,.F.); #75879=ORIENTED_EDGE('',*,*,#53216,.F.); #75880=ORIENTED_EDGE('',*,*,#53217,.F.); #75881=ORIENTED_EDGE('',*,*,#53218,.F.); #75882=ORIENTED_EDGE('',*,*,#53219,.F.); #75883=ORIENTED_EDGE('',*,*,#53220,.F.); #75884=ORIENTED_EDGE('',*,*,#53221,.F.); #75885=ORIENTED_EDGE('',*,*,#53222,.F.); #75886=ORIENTED_EDGE('',*,*,#53223,.T.); #75887=ORIENTED_EDGE('',*,*,#53218,.T.); #75888=ORIENTED_EDGE('',*,*,#53224,.T.); #75889=ORIENTED_EDGE('',*,*,#53159,.T.); #75890=ORIENTED_EDGE('',*,*,#53204,.T.); #75891=ORIENTED_EDGE('',*,*,#53213,.F.); #75892=ORIENTED_EDGE('',*,*,#53222,.T.); #75893=ORIENTED_EDGE('',*,*,#53225,.T.); #75894=ORIENTED_EDGE('',*,*,#53219,.T.); #75895=ORIENTED_EDGE('',*,*,#53223,.F.); #75896=ORIENTED_EDGE('',*,*,#53158,.T.); #75897=ORIENTED_EDGE('',*,*,#53226,.T.); #75898=ORIENTED_EDGE('',*,*,#53227,.T.); #75899=ORIENTED_EDGE('',*,*,#53228,.T.); #75900=ORIENTED_EDGE('',*,*,#53229,.T.); #75901=ORIENTED_EDGE('',*,*,#53230,.T.); #75902=ORIENTED_EDGE('',*,*,#53157,.T.); #75903=ORIENTED_EDGE('',*,*,#53231,.T.); #75904=ORIENTED_EDGE('',*,*,#53226,.F.); #75905=ORIENTED_EDGE('',*,*,#53221,.T.); #75906=ORIENTED_EDGE('',*,*,#53220,.T.); #75907=ORIENTED_EDGE('',*,*,#53225,.F.); #75908=ORIENTED_EDGE('',*,*,#53161,.T.); #75909=ORIENTED_EDGE('',*,*,#53232,.F.); #75910=ORIENTED_EDGE('',*,*,#53151,.T.); #75911=ORIENTED_EDGE('',*,*,#53215,.T.); #75912=ORIENTED_EDGE('',*,*,#53233,.F.); #75913=ORIENTED_EDGE('',*,*,#53216,.T.); #75914=ORIENTED_EDGE('',*,*,#53201,.T.); #75915=ORIENTED_EDGE('',*,*,#53207,.F.); #75916=ORIENTED_EDGE('',*,*,#53234,.F.); #75917=ORIENTED_EDGE('',*,*,#53191,.F.); #75918=ORIENTED_EDGE('',*,*,#53235,.T.); #75919=ORIENTED_EDGE('',*,*,#53236,.T.); #75920=ORIENTED_EDGE('',*,*,#53237,.T.); #75921=ORIENTED_EDGE('',*,*,#53238,.T.); #75922=ORIENTED_EDGE('',*,*,#53239,.T.); #75923=ORIENTED_EDGE('',*,*,#53236,.F.); #75924=ORIENTED_EDGE('',*,*,#53240,.T.); #75925=ORIENTED_EDGE('',*,*,#53241,.T.); #75926=ORIENTED_EDGE('',*,*,#53242,.T.); #75927=ORIENTED_EDGE('',*,*,#53238,.F.); #75928=ORIENTED_EDGE('',*,*,#53243,.T.); #75929=ORIENTED_EDGE('',*,*,#53188,.F.); #75930=ORIENTED_EDGE('',*,*,#53244,.F.); #75931=ORIENTED_EDGE('',*,*,#53245,.F.); #75932=ORIENTED_EDGE('',*,*,#53246,.F.); #75933=ORIENTED_EDGE('',*,*,#53247,.T.); #75934=ORIENTED_EDGE('',*,*,#53243,.F.); #75935=ORIENTED_EDGE('',*,*,#53240,.F.); #75936=ORIENTED_EDGE('',*,*,#53235,.F.); #75937=ORIENTED_EDGE('',*,*,#53194,.F.); #75938=ORIENTED_EDGE('',*,*,#53237,.F.); #75939=ORIENTED_EDGE('',*,*,#53248,.F.); #75940=ORIENTED_EDGE('',*,*,#53249,.F.); #75941=ORIENTED_EDGE('',*,*,#53125,.F.); #75942=ORIENTED_EDGE('',*,*,#53250,.T.); #75943=ORIENTED_EDGE('',*,*,#53251,.T.); #75944=ORIENTED_EDGE('',*,*,#53252,.T.); #75945=ORIENTED_EDGE('',*,*,#53128,.F.); #75946=ORIENTED_EDGE('',*,*,#53252,.F.); #75947=ORIENTED_EDGE('',*,*,#53253,.T.); #75948=ORIENTED_EDGE('',*,*,#53254,.F.); #75949=ORIENTED_EDGE('',*,*,#53251,.F.); #75950=ORIENTED_EDGE('',*,*,#53255,.F.); #75951=ORIENTED_EDGE('',*,*,#53256,.T.); #75952=ORIENTED_EDGE('',*,*,#53153,.F.); #75953=ORIENTED_EDGE('',*,*,#53257,.F.); #75954=ORIENTED_EDGE('',*,*,#53258,.T.); #75955=ORIENTED_EDGE('',*,*,#53259,.F.); #75956=ORIENTED_EDGE('',*,*,#53253,.F.); #75957=ORIENTED_EDGE('',*,*,#53195,.F.); #75958=ORIENTED_EDGE('',*,*,#53249,.T.); #75959=ORIENTED_EDGE('',*,*,#53260,.T.); #75960=ORIENTED_EDGE('',*,*,#53144,.T.); #75961=ORIENTED_EDGE('',*,*,#53138,.T.); #75962=ORIENTED_EDGE('',*,*,#53145,.T.); #75963=ORIENTED_EDGE('',*,*,#53260,.F.); #75964=ORIENTED_EDGE('',*,*,#53261,.T.); #75965=ORIENTED_EDGE('',*,*,#53239,.F.); #75966=ORIENTED_EDGE('',*,*,#53262,.F.); #75967=ORIENTED_EDGE('',*,*,#53261,.F.); #75968=ORIENTED_EDGE('',*,*,#53248,.T.); #75969=ORIENTED_EDGE('',*,*,#53134,.F.); #75970=ORIENTED_EDGE('',*,*,#53263,.T.); #75971=ORIENTED_EDGE('',*,*,#53258,.F.); #75972=ORIENTED_EDGE('',*,*,#53264,.T.); #75973=ORIENTED_EDGE('',*,*,#53131,.F.); #75974=ORIENTED_EDGE('',*,*,#53254,.T.); #75975=ORIENTED_EDGE('',*,*,#53259,.T.); #75976=ORIENTED_EDGE('',*,*,#53263,.F.); #75977=ORIENTED_EDGE('',*,*,#53265,.F.); #75978=ORIENTED_EDGE('',*,*,#53266,.T.); #75979=ORIENTED_EDGE('',*,*,#53227,.F.); #75980=ORIENTED_EDGE('',*,*,#53267,.T.); #75981=ORIENTED_EDGE('',*,*,#53182,.F.); #75982=ORIENTED_EDGE('',*,*,#53199,.T.); #75983=ORIENTED_EDGE('',*,*,#53229,.F.); #75984=ORIENTED_EDGE('',*,*,#53268,.T.); #75985=ORIENTED_EDGE('',*,*,#53266,.F.); #75986=ORIENTED_EDGE('',*,*,#53269,.T.); #75987=ORIENTED_EDGE('',*,*,#53246,.T.); #75988=ORIENTED_EDGE('',*,*,#53270,.F.); #75989=ORIENTED_EDGE('',*,*,#53267,.F.); #75990=ORIENTED_EDGE('',*,*,#53231,.F.); #75991=ORIENTED_EDGE('',*,*,#53156,.T.); #75992=ORIENTED_EDGE('',*,*,#53271,.T.); #75993=ORIENTED_EDGE('',*,*,#53205,.T.); #75994=ORIENTED_EDGE('',*,*,#53230,.F.); #75995=ORIENTED_EDGE('',*,*,#53198,.F.); #75996=ORIENTED_EDGE('',*,*,#53202,.T.); #75997=ORIENTED_EDGE('',*,*,#53234,.T.); #75998=ORIENTED_EDGE('',*,*,#53209,.T.); #75999=ORIENTED_EDGE('',*,*,#53272,.T.); #76000=ORIENTED_EDGE('',*,*,#53149,.T.); #76001=ORIENTED_EDGE('',*,*,#53232,.T.); #76002=ORIENTED_EDGE('',*,*,#53160,.T.); #76003=ORIENTED_EDGE('',*,*,#53224,.F.); #76004=ORIENTED_EDGE('',*,*,#53217,.T.); #76005=ORIENTED_EDGE('',*,*,#53233,.T.); #76006=ORIENTED_EDGE('',*,*,#53214,.T.); #76007=ORIENTED_EDGE('',*,*,#53211,.F.); #76008=ORIENTED_EDGE('',*,*,#53272,.F.); #76009=ORIENTED_EDGE('',*,*,#53210,.F.); #76010=ORIENTED_EDGE('',*,*,#53141,.T.); #76011=ORIENTED_EDGE('',*,*,#53147,.T.); #76012=ORIENTED_EDGE('',*,*,#53185,.F.); #76013=ORIENTED_EDGE('',*,*,#53268,.F.); #76014=ORIENTED_EDGE('',*,*,#53273,.T.); #76015=ORIENTED_EDGE('',*,*,#53244,.T.); #76016=ORIENTED_EDGE('',*,*,#53228,.F.); #76017=ORIENTED_EDGE('',*,*,#53270,.T.); #76018=ORIENTED_EDGE('',*,*,#53245,.T.); #76019=ORIENTED_EDGE('',*,*,#53273,.F.); #76020=ORIENTED_EDGE('',*,*,#53241,.F.); #76021=ORIENTED_EDGE('',*,*,#53247,.F.); #76022=ORIENTED_EDGE('',*,*,#53269,.F.); #76023=ORIENTED_EDGE('',*,*,#53265,.T.); #76024=ORIENTED_EDGE('',*,*,#53271,.F.); #76025=ORIENTED_EDGE('',*,*,#53155,.F.); #76026=ORIENTED_EDGE('',*,*,#53274,.F.); #76027=ORIENTED_EDGE('',*,*,#53275,.F.); #76028=ORIENTED_EDGE('',*,*,#53135,.F.); #76029=ORIENTED_EDGE('',*,*,#53264,.F.); #76030=ORIENTED_EDGE('',*,*,#53257,.T.); #76031=ORIENTED_EDGE('',*,*,#53152,.T.); #76032=ORIENTED_EDGE('',*,*,#53154,.F.); #76033=ORIENTED_EDGE('',*,*,#53256,.F.); #76034=ORIENTED_EDGE('',*,*,#53276,.F.); #76035=ORIENTED_EDGE('',*,*,#53274,.T.); #76036=ORIENTED_EDGE('',*,*,#53122,.F.); #76037=ORIENTED_EDGE('',*,*,#53139,.F.); #76038=ORIENTED_EDGE('',*,*,#53262,.T.); #76039=ORIENTED_EDGE('',*,*,#53242,.F.); #76040=ORIENTED_EDGE('',*,*,#53275,.T.); #76041=ORIENTED_EDGE('',*,*,#53276,.T.); #76042=ORIENTED_EDGE('',*,*,#53255,.T.); #76043=ORIENTED_EDGE('',*,*,#53250,.F.); #76044=ORIENTED_EDGE('',*,*,#53277,.F.); #76045=ORIENTED_EDGE('',*,*,#53278,.F.); #76046=ORIENTED_EDGE('',*,*,#53279,.F.); #76047=ORIENTED_EDGE('',*,*,#53280,.T.); #76048=ORIENTED_EDGE('',*,*,#53281,.F.); #76049=ORIENTED_EDGE('',*,*,#53282,.F.); #76050=ORIENTED_EDGE('',*,*,#53283,.F.); #76051=ORIENTED_EDGE('',*,*,#53284,.F.); #76052=ORIENTED_EDGE('',*,*,#53279,.T.); #76053=ORIENTED_EDGE('',*,*,#53285,.T.); #76054=ORIENTED_EDGE('',*,*,#53286,.T.); #76055=ORIENTED_EDGE('',*,*,#53287,.T.); #76056=ORIENTED_EDGE('',*,*,#53288,.T.); #76057=ORIENTED_EDGE('',*,*,#53289,.F.); #76058=ORIENTED_EDGE('',*,*,#53286,.F.); #76059=ORIENTED_EDGE('',*,*,#53290,.T.); #76060=ORIENTED_EDGE('',*,*,#53291,.F.); #76061=ORIENTED_EDGE('',*,*,#53292,.F.); #76062=ORIENTED_EDGE('',*,*,#53293,.F.); #76063=ORIENTED_EDGE('',*,*,#53294,.F.); #76064=ORIENTED_EDGE('',*,*,#53295,.T.); #76065=ORIENTED_EDGE('',*,*,#53293,.T.); #76066=ORIENTED_EDGE('',*,*,#53296,.T.); #76067=ORIENTED_EDGE('',*,*,#53297,.T.); #76068=ORIENTED_EDGE('',*,*,#53298,.F.); #76069=ORIENTED_EDGE('',*,*,#53299,.F.); #76070=ORIENTED_EDGE('',*,*,#53288,.F.); #76071=ORIENTED_EDGE('',*,*,#53300,.F.); #76072=ORIENTED_EDGE('',*,*,#53301,.T.); #76073=ORIENTED_EDGE('',*,*,#53298,.T.); #76074=ORIENTED_EDGE('',*,*,#53302,.T.); #76075=ORIENTED_EDGE('',*,*,#53291,.T.); #76076=ORIENTED_EDGE('',*,*,#53303,.F.); #76077=ORIENTED_EDGE('',*,*,#53280,.F.); #76078=ORIENTED_EDGE('',*,*,#53287,.F.); #76079=ORIENTED_EDGE('',*,*,#53289,.T.); #76080=ORIENTED_EDGE('',*,*,#53299,.T.); #76081=ORIENTED_EDGE('',*,*,#53301,.F.); #76082=ORIENTED_EDGE('',*,*,#53294,.T.); #76083=ORIENTED_EDGE('',*,*,#53295,.F.); #76084=ORIENTED_EDGE('',*,*,#53304,.T.); #76085=ORIENTED_EDGE('',*,*,#53305,.F.); #76086=ORIENTED_EDGE('',*,*,#53282,.T.); #76087=ORIENTED_EDGE('',*,*,#53306,.F.); #76088=ORIENTED_EDGE('',*,*,#53307,.T.); #76089=ORIENTED_EDGE('',*,*,#53308,.T.); #76090=ORIENTED_EDGE('',*,*,#53309,.T.); #76091=ORIENTED_EDGE('',*,*,#53310,.T.); #76092=ORIENTED_EDGE('',*,*,#53311,.T.); #76093=ORIENTED_EDGE('',*,*,#53312,.F.); #76094=ORIENTED_EDGE('',*,*,#53304,.F.); #76095=ORIENTED_EDGE('',*,*,#53297,.F.); #76096=ORIENTED_EDGE('',*,*,#53313,.F.); #76097=ORIENTED_EDGE('',*,*,#53314,.T.); #76098=ORIENTED_EDGE('',*,*,#53283,.T.); #76099=ORIENTED_EDGE('',*,*,#53305,.T.); #76100=ORIENTED_EDGE('',*,*,#53312,.T.); #76101=ORIENTED_EDGE('',*,*,#53306,.T.); #76102=ORIENTED_EDGE('',*,*,#53281,.T.); #76103=ORIENTED_EDGE('',*,*,#53315,.T.); #76104=ORIENTED_EDGE('',*,*,#53316,.T.); #76105=ORIENTED_EDGE('',*,*,#53317,.F.); #76106=ORIENTED_EDGE('',*,*,#53307,.F.); #76107=ORIENTED_EDGE('',*,*,#53316,.F.); #76108=ORIENTED_EDGE('',*,*,#53318,.F.); #76109=ORIENTED_EDGE('',*,*,#53319,.F.); #76110=ORIENTED_EDGE('',*,*,#53318,.T.); #76111=ORIENTED_EDGE('',*,*,#53315,.F.); #76112=ORIENTED_EDGE('',*,*,#53284,.T.); #76113=ORIENTED_EDGE('',*,*,#53314,.F.); #76114=ORIENTED_EDGE('',*,*,#53313,.T.); #76115=ORIENTED_EDGE('',*,*,#53296,.F.); #76116=ORIENTED_EDGE('',*,*,#53292,.T.); #76117=ORIENTED_EDGE('',*,*,#53302,.F.); #76118=ORIENTED_EDGE('',*,*,#53300,.T.); #76119=ORIENTED_EDGE('',*,*,#53290,.F.); #76120=ORIENTED_EDGE('',*,*,#53285,.F.); #76121=ORIENTED_EDGE('',*,*,#53278,.T.); #76122=ORIENTED_EDGE('',*,*,#53320,.T.); #76123=ORIENTED_EDGE('',*,*,#53321,.T.); #76124=ORIENTED_EDGE('',*,*,#53322,.T.); #76125=ORIENTED_EDGE('',*,*,#53323,.T.); #76126=ORIENTED_EDGE('',*,*,#53319,.T.); #76127=ORIENTED_EDGE('',*,*,#53277,.T.); #76128=ORIENTED_EDGE('',*,*,#53303,.T.); #76129=ORIENTED_EDGE('',*,*,#53317,.T.); #76130=ORIENTED_EDGE('',*,*,#53321,.F.); #76131=ORIENTED_EDGE('',*,*,#53324,.F.); #76132=ORIENTED_EDGE('',*,*,#53311,.F.); #76133=ORIENTED_EDGE('',*,*,#53325,.T.); #76134=ORIENTED_EDGE('',*,*,#53320,.F.); #76135=ORIENTED_EDGE('',*,*,#53326,.F.); #76136=ORIENTED_EDGE('',*,*,#53308,.F.); #76137=ORIENTED_EDGE('',*,*,#53324,.T.); #76138=ORIENTED_EDGE('',*,*,#53323,.F.); #76139=ORIENTED_EDGE('',*,*,#53327,.F.); #76140=ORIENTED_EDGE('',*,*,#53309,.F.); #76141=ORIENTED_EDGE('',*,*,#53326,.T.); #76142=ORIENTED_EDGE('',*,*,#53322,.F.); #76143=ORIENTED_EDGE('',*,*,#53325,.F.); #76144=ORIENTED_EDGE('',*,*,#53310,.F.); #76145=ORIENTED_EDGE('',*,*,#53327,.T.); #76146=ORIENTED_EDGE('',*,*,#53328,.F.); #76147=ORIENTED_EDGE('',*,*,#53329,.F.); #76148=ORIENTED_EDGE('',*,*,#53330,.F.); #76149=ORIENTED_EDGE('',*,*,#53331,.T.); #76150=ORIENTED_EDGE('',*,*,#53332,.F.); #76151=ORIENTED_EDGE('',*,*,#53333,.F.); #76152=ORIENTED_EDGE('',*,*,#53334,.F.); #76153=ORIENTED_EDGE('',*,*,#53329,.T.); #76154=ORIENTED_EDGE('',*,*,#53335,.F.); #76155=ORIENTED_EDGE('',*,*,#53331,.F.); #76156=ORIENTED_EDGE('',*,*,#53336,.F.); #76157=ORIENTED_EDGE('',*,*,#53337,.T.); #76158=ORIENTED_EDGE('',*,*,#53338,.F.); #76159=ORIENTED_EDGE('',*,*,#53337,.F.); #76160=ORIENTED_EDGE('',*,*,#53339,.F.); #76161=ORIENTED_EDGE('',*,*,#53333,.T.); #76162=ORIENTED_EDGE('',*,*,#53340,.T.); #76163=ORIENTED_EDGE('',*,*,#53341,.T.); #76164=ORIENTED_EDGE('',*,*,#53342,.T.); #76165=ORIENTED_EDGE('',*,*,#53343,.T.); #76166=ORIENTED_EDGE('',*,*,#53341,.F.); #76167=ORIENTED_EDGE('',*,*,#53344,.F.); #76168=ORIENTED_EDGE('',*,*,#53345,.F.); #76169=ORIENTED_EDGE('',*,*,#53346,.T.); #76170=ORIENTED_EDGE('',*,*,#53340,.F.); #76171=ORIENTED_EDGE('',*,*,#53347,.T.); #76172=ORIENTED_EDGE('',*,*,#53348,.F.); #76173=ORIENTED_EDGE('',*,*,#53349,.T.); #76174=ORIENTED_EDGE('',*,*,#53350,.F.); #76175=ORIENTED_EDGE('',*,*,#53351,.T.); #76176=ORIENTED_EDGE('',*,*,#53352,.F.); #76177=ORIENTED_EDGE('',*,*,#53353,.T.); #76178=ORIENTED_EDGE('',*,*,#53354,.F.); #76179=ORIENTED_EDGE('',*,*,#53355,.T.); #76180=ORIENTED_EDGE('',*,*,#53356,.F.); #76181=ORIENTED_EDGE('',*,*,#53357,.F.); #76182=ORIENTED_EDGE('',*,*,#53344,.T.); #76183=ORIENTED_EDGE('',*,*,#53338,.T.); #76184=ORIENTED_EDGE('',*,*,#53332,.T.); #76185=ORIENTED_EDGE('',*,*,#53328,.T.); #76186=ORIENTED_EDGE('',*,*,#53335,.T.); #76187=ORIENTED_EDGE('',*,*,#53358,.T.); #76188=ORIENTED_EDGE('',*,*,#53359,.T.); #76189=ORIENTED_EDGE('',*,*,#53348,.T.); #76190=ORIENTED_EDGE('',*,*,#53360,.T.); #76191=ORIENTED_EDGE('',*,*,#53359,.F.); #76192=ORIENTED_EDGE('',*,*,#53361,.F.); #76193=ORIENTED_EDGE('',*,*,#53362,.F.); #76194=ORIENTED_EDGE('',*,*,#53349,.F.); #76195=ORIENTED_EDGE('',*,*,#53342,.F.); #76196=ORIENTED_EDGE('',*,*,#53346,.F.); #76197=ORIENTED_EDGE('',*,*,#53363,.F.); #76198=ORIENTED_EDGE('',*,*,#53364,.T.); #76199=ORIENTED_EDGE('',*,*,#53365,.T.); #76200=ORIENTED_EDGE('',*,*,#53366,.F.); #76201=ORIENTED_EDGE('',*,*,#53367,.T.); #76202=ORIENTED_EDGE('',*,*,#53368,.F.); #76203=ORIENTED_EDGE('',*,*,#53369,.T.); #76204=ORIENTED_EDGE('',*,*,#53370,.F.); #76205=ORIENTED_EDGE('',*,*,#53361,.T.); #76206=ORIENTED_EDGE('',*,*,#53358,.F.); #76207=ORIENTED_EDGE('',*,*,#53371,.T.); #76208=ORIENTED_EDGE('',*,*,#53339,.T.); #76209=ORIENTED_EDGE('',*,*,#53336,.T.); #76210=ORIENTED_EDGE('',*,*,#53330,.T.); #76211=ORIENTED_EDGE('',*,*,#53334,.T.); #76212=ORIENTED_EDGE('',*,*,#53350,.T.); #76213=ORIENTED_EDGE('',*,*,#53362,.T.); #76214=ORIENTED_EDGE('',*,*,#53370,.T.); #76215=ORIENTED_EDGE('',*,*,#53372,.T.); #76216=ORIENTED_EDGE('',*,*,#53372,.F.); #76217=ORIENTED_EDGE('',*,*,#53369,.F.); #76218=ORIENTED_EDGE('',*,*,#53373,.F.); #76219=ORIENTED_EDGE('',*,*,#53351,.F.); #76220=ORIENTED_EDGE('',*,*,#53368,.T.); #76221=ORIENTED_EDGE('',*,*,#53374,.T.); #76222=ORIENTED_EDGE('',*,*,#53352,.T.); #76223=ORIENTED_EDGE('',*,*,#53373,.T.); #76224=ORIENTED_EDGE('',*,*,#53374,.F.); #76225=ORIENTED_EDGE('',*,*,#53367,.F.); #76226=ORIENTED_EDGE('',*,*,#53375,.F.); #76227=ORIENTED_EDGE('',*,*,#53353,.F.); #76228=ORIENTED_EDGE('',*,*,#53366,.T.); #76229=ORIENTED_EDGE('',*,*,#53376,.T.); #76230=ORIENTED_EDGE('',*,*,#53354,.T.); #76231=ORIENTED_EDGE('',*,*,#53375,.T.); #76232=ORIENTED_EDGE('',*,*,#53376,.F.); #76233=ORIENTED_EDGE('',*,*,#53365,.F.); #76234=ORIENTED_EDGE('',*,*,#53377,.F.); #76235=ORIENTED_EDGE('',*,*,#53355,.F.); #76236=ORIENTED_EDGE('',*,*,#53345,.T.); #76237=ORIENTED_EDGE('',*,*,#53357,.T.); #76238=ORIENTED_EDGE('',*,*,#53378,.T.); #76239=ORIENTED_EDGE('',*,*,#53363,.T.); #76240=ORIENTED_EDGE('',*,*,#53377,.T.); #76241=ORIENTED_EDGE('',*,*,#53364,.F.); #76242=ORIENTED_EDGE('',*,*,#53378,.F.); #76243=ORIENTED_EDGE('',*,*,#53356,.T.); #76244=ORIENTED_EDGE('',*,*,#53343,.F.); #76245=ORIENTED_EDGE('',*,*,#53371,.F.); #76246=ORIENTED_EDGE('',*,*,#53360,.F.); #76247=ORIENTED_EDGE('',*,*,#53347,.F.); #76248=ORIENTED_EDGE('',*,*,#53379,.T.); #76249=ORIENTED_EDGE('',*,*,#53380,.T.); #76250=ORIENTED_EDGE('',*,*,#53381,.T.); #76251=ORIENTED_EDGE('',*,*,#53382,.T.); #76252=ORIENTED_EDGE('',*,*,#53383,.T.); #76253=ORIENTED_EDGE('',*,*,#53384,.F.); #76254=ORIENTED_EDGE('',*,*,#53381,.F.); #76255=ORIENTED_EDGE('',*,*,#53385,.T.); #76256=ORIENTED_EDGE('',*,*,#53386,.F.); #76257=ORIENTED_EDGE('',*,*,#53387,.T.); #76258=ORIENTED_EDGE('',*,*,#53388,.F.); #76259=ORIENTED_EDGE('',*,*,#53389,.F.); #76260=ORIENTED_EDGE('',*,*,#53390,.F.); #76261=ORIENTED_EDGE('',*,*,#53391,.T.); #76262=ORIENTED_EDGE('',*,*,#53392,.F.); #76263=ORIENTED_EDGE('',*,*,#53393,.T.); #76264=ORIENTED_EDGE('',*,*,#53394,.F.); #76265=ORIENTED_EDGE('',*,*,#53395,.T.); #76266=ORIENTED_EDGE('',*,*,#53385,.F.); #76267=ORIENTED_EDGE('',*,*,#53380,.F.); #76268=ORIENTED_EDGE('',*,*,#53396,.T.); #76269=ORIENTED_EDGE('',*,*,#53397,.T.); #76270=ORIENTED_EDGE('',*,*,#53398,.T.); #76271=ORIENTED_EDGE('',*,*,#53399,.T.); #76272=ORIENTED_EDGE('',*,*,#53400,.T.); #76273=ORIENTED_EDGE('',*,*,#53401,.F.); #76274=ORIENTED_EDGE('',*,*,#53402,.F.); #76275=ORIENTED_EDGE('',*,*,#53383,.F.); #76276=ORIENTED_EDGE('',*,*,#53395,.F.); #76277=ORIENTED_EDGE('',*,*,#53403,.F.); #76278=ORIENTED_EDGE('',*,*,#53396,.F.); #76279=ORIENTED_EDGE('',*,*,#53379,.F.); #76280=ORIENTED_EDGE('',*,*,#53404,.T.); #76281=ORIENTED_EDGE('',*,*,#53405,.T.); #76282=ORIENTED_EDGE('',*,*,#53406,.T.); #76283=ORIENTED_EDGE('',*,*,#53392,.T.); #76284=ORIENTED_EDGE('',*,*,#53407,.T.); #76285=ORIENTED_EDGE('',*,*,#53408,.F.); #76286=ORIENTED_EDGE('',*,*,#53393,.F.); #76287=ORIENTED_EDGE('',*,*,#53406,.F.); #76288=ORIENTED_EDGE('',*,*,#53409,.F.); #76289=ORIENTED_EDGE('',*,*,#53394,.T.); #76290=ORIENTED_EDGE('',*,*,#53408,.T.); #76291=ORIENTED_EDGE('',*,*,#53410,.T.); #76292=ORIENTED_EDGE('',*,*,#53401,.T.); #76293=ORIENTED_EDGE('',*,*,#53411,.F.); #76294=ORIENTED_EDGE('',*,*,#53412,.F.); #76295=ORIENTED_EDGE('',*,*,#53407,.F.); #76296=ORIENTED_EDGE('',*,*,#53391,.F.); #76297=ORIENTED_EDGE('',*,*,#53390,.T.); #76298=ORIENTED_EDGE('',*,*,#53413,.T.); #76299=ORIENTED_EDGE('',*,*,#53414,.T.); #76300=ORIENTED_EDGE('',*,*,#53411,.T.); #76301=ORIENTED_EDGE('',*,*,#53415,.F.); #76302=ORIENTED_EDGE('',*,*,#53416,.F.); #76303=ORIENTED_EDGE('',*,*,#53413,.F.); #76304=ORIENTED_EDGE('',*,*,#53389,.T.); #76305=ORIENTED_EDGE('',*,*,#53417,.T.); #76306=ORIENTED_EDGE('',*,*,#53415,.T.); #76307=ORIENTED_EDGE('',*,*,#53388,.T.); #76308=ORIENTED_EDGE('',*,*,#53418,.T.); #76309=ORIENTED_EDGE('',*,*,#53419,.F.); #76310=ORIENTED_EDGE('',*,*,#53404,.F.); #76311=ORIENTED_EDGE('',*,*,#53382,.F.); #76312=ORIENTED_EDGE('',*,*,#53384,.T.); #76313=ORIENTED_EDGE('',*,*,#53402,.T.); #76314=ORIENTED_EDGE('',*,*,#53410,.F.); #76315=ORIENTED_EDGE('',*,*,#53409,.T.); #76316=ORIENTED_EDGE('',*,*,#53405,.F.); #76317=ORIENTED_EDGE('',*,*,#53412,.T.); #76318=ORIENTED_EDGE('',*,*,#53414,.F.); #76319=ORIENTED_EDGE('',*,*,#53416,.T.); #76320=ORIENTED_EDGE('',*,*,#53417,.F.); #76321=ORIENTED_EDGE('',*,*,#53420,.T.); #76322=ORIENTED_EDGE('',*,*,#53421,.T.); #76323=ORIENTED_EDGE('',*,*,#53422,.T.); #76324=ORIENTED_EDGE('',*,*,#53423,.T.); #76325=ORIENTED_EDGE('',*,*,#53424,.T.); #76326=ORIENTED_EDGE('',*,*,#53425,.F.); #76327=ORIENTED_EDGE('',*,*,#53420,.F.); #76328=ORIENTED_EDGE('',*,*,#53418,.F.); #76329=ORIENTED_EDGE('',*,*,#53387,.F.); #76330=ORIENTED_EDGE('',*,*,#53386,.T.); #76331=ORIENTED_EDGE('',*,*,#53403,.T.); #76332=ORIENTED_EDGE('',*,*,#53419,.T.); #76333=ORIENTED_EDGE('',*,*,#53425,.T.); #76334=ORIENTED_EDGE('',*,*,#53397,.F.); #76335=ORIENTED_EDGE('',*,*,#53426,.T.); #76336=ORIENTED_EDGE('',*,*,#53421,.F.); #76337=ORIENTED_EDGE('',*,*,#53427,.F.); #76338=ORIENTED_EDGE('',*,*,#53398,.F.); #76339=ORIENTED_EDGE('',*,*,#53427,.T.); #76340=ORIENTED_EDGE('',*,*,#53424,.F.); #76341=ORIENTED_EDGE('',*,*,#53428,.F.); #76342=ORIENTED_EDGE('',*,*,#53400,.F.); #76343=ORIENTED_EDGE('',*,*,#53429,.T.); #76344=ORIENTED_EDGE('',*,*,#53422,.F.); #76345=ORIENTED_EDGE('',*,*,#53426,.F.); #76346=ORIENTED_EDGE('',*,*,#53399,.F.); #76347=ORIENTED_EDGE('',*,*,#53428,.T.); #76348=ORIENTED_EDGE('',*,*,#53423,.F.); #76349=ORIENTED_EDGE('',*,*,#53429,.F.); #76350=ORIENTED_EDGE('',*,*,#53430,.F.); #76351=ORIENTED_EDGE('',*,*,#53431,.T.); #76352=ORIENTED_EDGE('',*,*,#53432,.F.); #76353=ORIENTED_EDGE('',*,*,#53433,.F.); #76354=ORIENTED_EDGE('',*,*,#53434,.F.); #76355=ORIENTED_EDGE('',*,*,#53433,.T.); #76356=ORIENTED_EDGE('',*,*,#53435,.F.); #76357=ORIENTED_EDGE('',*,*,#53436,.F.); #76358=ORIENTED_EDGE('',*,*,#53437,.F.); #76359=ORIENTED_EDGE('',*,*,#53438,.T.); #76360=ORIENTED_EDGE('',*,*,#53439,.F.); #76361=ORIENTED_EDGE('',*,*,#53431,.F.); #76362=ORIENTED_EDGE('',*,*,#53440,.F.); #76363=ORIENTED_EDGE('',*,*,#53436,.T.); #76364=ORIENTED_EDGE('',*,*,#53441,.F.); #76365=ORIENTED_EDGE('',*,*,#53438,.F.); #76366=ORIENTED_EDGE('',*,*,#53442,.T.); #76367=ORIENTED_EDGE('',*,*,#53443,.T.); #76368=ORIENTED_EDGE('',*,*,#53444,.T.); #76369=ORIENTED_EDGE('',*,*,#53445,.T.); #76370=ORIENTED_EDGE('',*,*,#53443,.F.); #76371=ORIENTED_EDGE('',*,*,#53446,.F.); #76372=ORIENTED_EDGE('',*,*,#53447,.F.); #76373=ORIENTED_EDGE('',*,*,#53448,.T.); #76374=ORIENTED_EDGE('',*,*,#53442,.F.); #76375=ORIENTED_EDGE('',*,*,#53449,.T.); #76376=ORIENTED_EDGE('',*,*,#53450,.F.); #76377=ORIENTED_EDGE('',*,*,#53451,.F.); #76378=ORIENTED_EDGE('',*,*,#53452,.F.); #76379=ORIENTED_EDGE('',*,*,#53453,.T.); #76380=ORIENTED_EDGE('',*,*,#53454,.F.); #76381=ORIENTED_EDGE('',*,*,#53455,.T.); #76382=ORIENTED_EDGE('',*,*,#53456,.F.); #76383=ORIENTED_EDGE('',*,*,#53457,.T.); #76384=ORIENTED_EDGE('',*,*,#53458,.F.); #76385=ORIENTED_EDGE('',*,*,#53459,.F.); #76386=ORIENTED_EDGE('',*,*,#53446,.T.); #76387=ORIENTED_EDGE('',*,*,#53440,.T.); #76388=ORIENTED_EDGE('',*,*,#53437,.T.); #76389=ORIENTED_EDGE('',*,*,#53430,.T.); #76390=ORIENTED_EDGE('',*,*,#53434,.T.); #76391=ORIENTED_EDGE('',*,*,#53460,.T.); #76392=ORIENTED_EDGE('',*,*,#53461,.T.); #76393=ORIENTED_EDGE('',*,*,#53450,.T.); #76394=ORIENTED_EDGE('',*,*,#53462,.T.); #76395=ORIENTED_EDGE('',*,*,#53461,.F.); #76396=ORIENTED_EDGE('',*,*,#53463,.F.); #76397=ORIENTED_EDGE('',*,*,#53464,.F.); #76398=ORIENTED_EDGE('',*,*,#53451,.T.); #76399=ORIENTED_EDGE('',*,*,#53444,.F.); #76400=ORIENTED_EDGE('',*,*,#53448,.F.); #76401=ORIENTED_EDGE('',*,*,#53465,.F.); #76402=ORIENTED_EDGE('',*,*,#53466,.T.); #76403=ORIENTED_EDGE('',*,*,#53467,.T.); #76404=ORIENTED_EDGE('',*,*,#53468,.F.); #76405=ORIENTED_EDGE('',*,*,#53469,.T.); #76406=ORIENTED_EDGE('',*,*,#53470,.F.); #76407=ORIENTED_EDGE('',*,*,#53471,.T.); #76408=ORIENTED_EDGE('',*,*,#53472,.F.); #76409=ORIENTED_EDGE('',*,*,#53463,.T.); #76410=ORIENTED_EDGE('',*,*,#53460,.F.); #76411=ORIENTED_EDGE('',*,*,#53473,.T.); #76412=ORIENTED_EDGE('',*,*,#53441,.T.); #76413=ORIENTED_EDGE('',*,*,#53435,.T.); #76414=ORIENTED_EDGE('',*,*,#53432,.T.); #76415=ORIENTED_EDGE('',*,*,#53439,.T.); #76416=ORIENTED_EDGE('',*,*,#53456,.T.); #76417=ORIENTED_EDGE('',*,*,#53474,.T.); #76418=ORIENTED_EDGE('',*,*,#53468,.T.); #76419=ORIENTED_EDGE('',*,*,#53475,.T.); #76420=ORIENTED_EDGE('',*,*,#53474,.F.); #76421=ORIENTED_EDGE('',*,*,#53455,.F.); #76422=ORIENTED_EDGE('',*,*,#53476,.F.); #76423=ORIENTED_EDGE('',*,*,#53469,.F.); #76424=ORIENTED_EDGE('',*,*,#53470,.T.); #76425=ORIENTED_EDGE('',*,*,#53476,.T.); #76426=ORIENTED_EDGE('',*,*,#53454,.T.); #76427=ORIENTED_EDGE('',*,*,#53477,.T.); #76428=ORIENTED_EDGE('',*,*,#53477,.F.); #76429=ORIENTED_EDGE('',*,*,#53453,.F.); #76430=ORIENTED_EDGE('',*,*,#53478,.F.); #76431=ORIENTED_EDGE('',*,*,#53471,.F.); #76432=ORIENTED_EDGE('',*,*,#53452,.T.); #76433=ORIENTED_EDGE('',*,*,#53464,.T.); #76434=ORIENTED_EDGE('',*,*,#53472,.T.); #76435=ORIENTED_EDGE('',*,*,#53478,.T.); #76436=ORIENTED_EDGE('',*,*,#53445,.F.); #76437=ORIENTED_EDGE('',*,*,#53473,.F.); #76438=ORIENTED_EDGE('',*,*,#53462,.F.); #76439=ORIENTED_EDGE('',*,*,#53449,.F.); #76440=ORIENTED_EDGE('',*,*,#53447,.T.); #76441=ORIENTED_EDGE('',*,*,#53459,.T.); #76442=ORIENTED_EDGE('',*,*,#53479,.T.); #76443=ORIENTED_EDGE('',*,*,#53465,.T.); #76444=ORIENTED_EDGE('',*,*,#53480,.T.); #76445=ORIENTED_EDGE('',*,*,#53466,.F.); #76446=ORIENTED_EDGE('',*,*,#53479,.F.); #76447=ORIENTED_EDGE('',*,*,#53458,.T.); #76448=ORIENTED_EDGE('',*,*,#53475,.F.); #76449=ORIENTED_EDGE('',*,*,#53467,.F.); #76450=ORIENTED_EDGE('',*,*,#53480,.F.); #76451=ORIENTED_EDGE('',*,*,#53457,.F.); #76452=ORIENTED_EDGE('',*,*,#53481,.F.); #76453=ORIENTED_EDGE('',*,*,#53482,.F.); #76454=ORIENTED_EDGE('',*,*,#53483,.F.); #76455=ORIENTED_EDGE('',*,*,#53484,.T.); #76456=ORIENTED_EDGE('',*,*,#53485,.F.); #76457=ORIENTED_EDGE('',*,*,#53486,.F.); #76458=ORIENTED_EDGE('',*,*,#53487,.F.); #76459=ORIENTED_EDGE('',*,*,#53482,.T.); #76460=ORIENTED_EDGE('',*,*,#53488,.F.); #76461=ORIENTED_EDGE('',*,*,#53484,.F.); #76462=ORIENTED_EDGE('',*,*,#53489,.F.); #76463=ORIENTED_EDGE('',*,*,#53490,.T.); #76464=ORIENTED_EDGE('',*,*,#53491,.F.); #76465=ORIENTED_EDGE('',*,*,#53490,.F.); #76466=ORIENTED_EDGE('',*,*,#53492,.F.); #76467=ORIENTED_EDGE('',*,*,#53486,.T.); #76468=ORIENTED_EDGE('',*,*,#53493,.T.); #76469=ORIENTED_EDGE('',*,*,#53494,.T.); #76470=ORIENTED_EDGE('',*,*,#53495,.T.); #76471=ORIENTED_EDGE('',*,*,#53496,.T.); #76472=ORIENTED_EDGE('',*,*,#53496,.F.); #76473=ORIENTED_EDGE('',*,*,#53497,.F.); #76474=ORIENTED_EDGE('',*,*,#53498,.F.); #76475=ORIENTED_EDGE('',*,*,#53499,.F.); #76476=ORIENTED_EDGE('',*,*,#53495,.F.); #76477=ORIENTED_EDGE('',*,*,#53500,.F.); #76478=ORIENTED_EDGE('',*,*,#53501,.F.); #76479=ORIENTED_EDGE('',*,*,#53502,.T.); #76480=ORIENTED_EDGE('',*,*,#53503,.T.); #76481=ORIENTED_EDGE('',*,*,#53504,.F.); #76482=ORIENTED_EDGE('',*,*,#53505,.T.); #76483=ORIENTED_EDGE('',*,*,#53506,.F.); #76484=ORIENTED_EDGE('',*,*,#53507,.T.); #76485=ORIENTED_EDGE('',*,*,#53508,.F.); #76486=ORIENTED_EDGE('',*,*,#53509,.T.); #76487=ORIENTED_EDGE('',*,*,#53510,.F.); #76488=ORIENTED_EDGE('',*,*,#53497,.T.); #76489=ORIENTED_EDGE('',*,*,#53491,.T.); #76490=ORIENTED_EDGE('',*,*,#53485,.T.); #76491=ORIENTED_EDGE('',*,*,#53481,.T.); #76492=ORIENTED_EDGE('',*,*,#53488,.T.); #76493=ORIENTED_EDGE('',*,*,#53510,.T.); #76494=ORIENTED_EDGE('',*,*,#53511,.T.); #76495=ORIENTED_EDGE('',*,*,#53512,.T.); #76496=ORIENTED_EDGE('',*,*,#53498,.T.); #76497=ORIENTED_EDGE('',*,*,#53511,.F.); #76498=ORIENTED_EDGE('',*,*,#53509,.F.); #76499=ORIENTED_EDGE('',*,*,#53513,.F.); #76500=ORIENTED_EDGE('',*,*,#53514,.T.); #76501=ORIENTED_EDGE('',*,*,#53515,.T.); #76502=ORIENTED_EDGE('',*,*,#53516,.T.); #76503=ORIENTED_EDGE('',*,*,#53504,.T.); #76504=ORIENTED_EDGE('',*,*,#53517,.T.); #76505=ORIENTED_EDGE('',*,*,#53516,.F.); #76506=ORIENTED_EDGE('',*,*,#53518,.F.); #76507=ORIENTED_EDGE('',*,*,#53519,.F.); #76508=ORIENTED_EDGE('',*,*,#53505,.F.); #76509=ORIENTED_EDGE('',*,*,#53506,.T.); #76510=ORIENTED_EDGE('',*,*,#53519,.T.); #76511=ORIENTED_EDGE('',*,*,#53520,.T.); #76512=ORIENTED_EDGE('',*,*,#53521,.T.); #76513=ORIENTED_EDGE('',*,*,#53522,.T.); #76514=ORIENTED_EDGE('',*,*,#53513,.T.); #76515=ORIENTED_EDGE('',*,*,#53508,.T.); #76516=ORIENTED_EDGE('',*,*,#53523,.T.); #76517=ORIENTED_EDGE('',*,*,#53494,.F.); #76518=ORIENTED_EDGE('',*,*,#53524,.F.); #76519=ORIENTED_EDGE('',*,*,#53525,.F.); #76520=ORIENTED_EDGE('',*,*,#53500,.T.); #76521=ORIENTED_EDGE('',*,*,#53517,.F.); #76522=ORIENTED_EDGE('',*,*,#53503,.F.); #76523=ORIENTED_EDGE('',*,*,#53526,.F.); #76524=ORIENTED_EDGE('',*,*,#53527,.F.); #76525=ORIENTED_EDGE('',*,*,#53493,.F.); #76526=ORIENTED_EDGE('',*,*,#53499,.T.); #76527=ORIENTED_EDGE('',*,*,#53512,.F.); #76528=ORIENTED_EDGE('',*,*,#53514,.F.); #76529=ORIENTED_EDGE('',*,*,#53522,.F.); #76530=ORIENTED_EDGE('',*,*,#53528,.T.); #76531=ORIENTED_EDGE('',*,*,#53520,.F.); #76532=ORIENTED_EDGE('',*,*,#53518,.T.); #76533=ORIENTED_EDGE('',*,*,#53515,.F.); #76534=ORIENTED_EDGE('',*,*,#53527,.T.); #76535=ORIENTED_EDGE('',*,*,#53529,.F.); #76536=ORIENTED_EDGE('',*,*,#53530,.F.); #76537=ORIENTED_EDGE('',*,*,#53524,.T.); #76538=ORIENTED_EDGE('',*,*,#53492,.T.); #76539=ORIENTED_EDGE('',*,*,#53489,.T.); #76540=ORIENTED_EDGE('',*,*,#53483,.T.); #76541=ORIENTED_EDGE('',*,*,#53487,.T.); #76542=ORIENTED_EDGE('',*,*,#53526,.T.); #76543=ORIENTED_EDGE('',*,*,#53502,.F.); #76544=ORIENTED_EDGE('',*,*,#53531,.F.); #76545=ORIENTED_EDGE('',*,*,#53529,.T.); #76546=ORIENTED_EDGE('',*,*,#53525,.T.); #76547=ORIENTED_EDGE('',*,*,#53530,.T.); #76548=ORIENTED_EDGE('',*,*,#53531,.T.); #76549=ORIENTED_EDGE('',*,*,#53501,.T.); #76550=ORIENTED_EDGE('',*,*,#53521,.F.); #76551=ORIENTED_EDGE('',*,*,#53528,.F.); #76552=ORIENTED_EDGE('',*,*,#53523,.F.); #76553=ORIENTED_EDGE('',*,*,#53507,.F.); #76554=ORIENTED_EDGE('',*,*,#53532,.T.); #76555=ORIENTED_EDGE('',*,*,#53533,.T.); #76556=ORIENTED_EDGE('',*,*,#53534,.T.); #76557=ORIENTED_EDGE('',*,*,#53535,.T.); #76558=ORIENTED_EDGE('',*,*,#53536,.T.); #76559=ORIENTED_EDGE('',*,*,#53537,.T.); #76560=ORIENTED_EDGE('',*,*,#53532,.F.); #76561=ORIENTED_EDGE('',*,*,#53538,.T.); #76562=ORIENTED_EDGE('',*,*,#53539,.T.); #76563=ORIENTED_EDGE('',*,*,#53540,.T.); #76564=ORIENTED_EDGE('',*,*,#53541,.T.); #76565=ORIENTED_EDGE('',*,*,#53536,.F.); #76566=ORIENTED_EDGE('',*,*,#53542,.T.); #76567=ORIENTED_EDGE('',*,*,#53543,.T.); #76568=ORIENTED_EDGE('',*,*,#53544,.T.); #76569=ORIENTED_EDGE('',*,*,#53540,.F.); #76570=ORIENTED_EDGE('',*,*,#53545,.T.); #76571=ORIENTED_EDGE('',*,*,#53546,.T.); #76572=ORIENTED_EDGE('',*,*,#53547,.T.); #76573=ORIENTED_EDGE('',*,*,#53543,.F.); #76574=ORIENTED_EDGE('',*,*,#53548,.T.); #76575=ORIENTED_EDGE('',*,*,#53549,.T.); #76576=ORIENTED_EDGE('',*,*,#53550,.T.); #76577=ORIENTED_EDGE('',*,*,#53546,.F.); #76578=ORIENTED_EDGE('',*,*,#53551,.T.); #76579=ORIENTED_EDGE('',*,*,#53552,.T.); #76580=ORIENTED_EDGE('',*,*,#53553,.T.); #76581=ORIENTED_EDGE('',*,*,#53554,.T.); #76582=ORIENTED_EDGE('',*,*,#53549,.F.); #76583=ORIENTED_EDGE('',*,*,#53555,.T.); #76584=ORIENTED_EDGE('',*,*,#53553,.F.); #76585=ORIENTED_EDGE('',*,*,#53556,.T.); #76586=ORIENTED_EDGE('',*,*,#53534,.F.); #76587=ORIENTED_EDGE('',*,*,#53557,.T.); #76588=ORIENTED_EDGE('',*,*,#53550,.F.); #76589=ORIENTED_EDGE('',*,*,#53558,.F.); #76590=ORIENTED_EDGE('',*,*,#53559,.F.); #76591=ORIENTED_EDGE('',*,*,#53560,.F.); #76592=ORIENTED_EDGE('',*,*,#53554,.F.); #76593=ORIENTED_EDGE('',*,*,#53561,.T.); #76594=ORIENTED_EDGE('',*,*,#53562,.F.); #76595=ORIENTED_EDGE('',*,*,#53558,.T.); #76596=ORIENTED_EDGE('',*,*,#53557,.F.); #76597=ORIENTED_EDGE('',*,*,#53563,.F.); #76598=ORIENTED_EDGE('',*,*,#53564,.F.); #76599=ORIENTED_EDGE('',*,*,#53561,.F.); #76600=ORIENTED_EDGE('',*,*,#53533,.F.); #76601=ORIENTED_EDGE('',*,*,#53565,.T.); #76602=ORIENTED_EDGE('',*,*,#53566,.F.); #76603=ORIENTED_EDGE('',*,*,#53563,.T.); #76604=ORIENTED_EDGE('',*,*,#53567,.F.); #76605=ORIENTED_EDGE('',*,*,#53568,.T.); #76606=ORIENTED_EDGE('',*,*,#53551,.F.); #76607=ORIENTED_EDGE('',*,*,#53548,.F.); #76608=ORIENTED_EDGE('',*,*,#53545,.F.); #76609=ORIENTED_EDGE('',*,*,#53542,.F.); #76610=ORIENTED_EDGE('',*,*,#53539,.F.); #76611=ORIENTED_EDGE('',*,*,#53569,.T.); #76612=ORIENTED_EDGE('',*,*,#53570,.F.); #76613=ORIENTED_EDGE('',*,*,#53571,.F.); #76614=ORIENTED_EDGE('',*,*,#53572,.F.); #76615=ORIENTED_EDGE('',*,*,#53573,.F.); #76616=ORIENTED_EDGE('',*,*,#53574,.T.); #76617=ORIENTED_EDGE('',*,*,#53575,.T.); #76618=ORIENTED_EDGE('',*,*,#53576,.T.); #76619=ORIENTED_EDGE('',*,*,#53577,.T.); #76620=ORIENTED_EDGE('',*,*,#53578,.T.); #76621=ORIENTED_EDGE('',*,*,#53579,.F.); #76622=ORIENTED_EDGE('',*,*,#53580,.T.); #76623=ORIENTED_EDGE('',*,*,#53581,.T.); #76624=ORIENTED_EDGE('',*,*,#53582,.T.); #76625=ORIENTED_EDGE('',*,*,#53583,.T.); #76626=ORIENTED_EDGE('',*,*,#53584,.T.); #76627=ORIENTED_EDGE('',*,*,#53585,.F.); #76628=ORIENTED_EDGE('',*,*,#53586,.F.); #76629=ORIENTED_EDGE('',*,*,#53587,.F.); #76630=ORIENTED_EDGE('',*,*,#53588,.F.); #76631=ORIENTED_EDGE('',*,*,#53569,.F.); #76632=ORIENTED_EDGE('',*,*,#53538,.F.); #76633=ORIENTED_EDGE('',*,*,#53535,.F.); #76634=ORIENTED_EDGE('',*,*,#53556,.F.); #76635=ORIENTED_EDGE('',*,*,#53555,.F.); #76636=ORIENTED_EDGE('',*,*,#53552,.F.); #76637=ORIENTED_EDGE('',*,*,#53568,.F.); #76638=ORIENTED_EDGE('',*,*,#53589,.T.); #76639=ORIENTED_EDGE('',*,*,#53590,.T.); #76640=ORIENTED_EDGE('',*,*,#53591,.T.); #76641=ORIENTED_EDGE('',*,*,#53592,.T.); #76642=ORIENTED_EDGE('',*,*,#53593,.T.); #76643=ORIENTED_EDGE('',*,*,#53594,.T.); #76644=ORIENTED_EDGE('',*,*,#53595,.T.); #76645=ORIENTED_EDGE('',*,*,#53564,.T.); #76646=ORIENTED_EDGE('',*,*,#53593,.F.); #76647=ORIENTED_EDGE('',*,*,#53566,.T.); #76648=ORIENTED_EDGE('',*,*,#53591,.F.); #76649=ORIENTED_EDGE('',*,*,#53596,.T.); #76650=ORIENTED_EDGE('',*,*,#53597,.T.); #76651=ORIENTED_EDGE('',*,*,#53598,.T.); #76652=ORIENTED_EDGE('',*,*,#53559,.T.); #76653=ORIENTED_EDGE('',*,*,#53596,.F.); #76654=ORIENTED_EDGE('',*,*,#53562,.T.); #76655=ORIENTED_EDGE('',*,*,#53595,.F.); #76656=ORIENTED_EDGE('',*,*,#53599,.T.); #76657=ORIENTED_EDGE('',*,*,#53600,.T.); #76658=ORIENTED_EDGE('',*,*,#53601,.T.); #76659=ORIENTED_EDGE('',*,*,#53602,.T.); #76660=ORIENTED_EDGE('',*,*,#53601,.F.); #76661=ORIENTED_EDGE('',*,*,#53603,.T.); #76662=ORIENTED_EDGE('',*,*,#53598,.F.); #76663=ORIENTED_EDGE('',*,*,#53589,.F.); #76664=ORIENTED_EDGE('',*,*,#53604,.T.); #76665=ORIENTED_EDGE('',*,*,#53599,.F.); #76666=ORIENTED_EDGE('',*,*,#53547,.F.); #76667=ORIENTED_EDGE('',*,*,#53560,.T.); #76668=ORIENTED_EDGE('',*,*,#53603,.F.); #76669=ORIENTED_EDGE('',*,*,#53605,.T.); #76670=ORIENTED_EDGE('',*,*,#53544,.F.); #76671=ORIENTED_EDGE('',*,*,#53605,.F.); #76672=ORIENTED_EDGE('',*,*,#53600,.F.); #76673=ORIENTED_EDGE('',*,*,#53606,.F.); #76674=ORIENTED_EDGE('',*,*,#53590,.F.); #76675=ORIENTED_EDGE('',*,*,#53602,.F.); #76676=ORIENTED_EDGE('',*,*,#53597,.F.); #76677=ORIENTED_EDGE('',*,*,#53594,.F.); #76678=ORIENTED_EDGE('',*,*,#53607,.F.); #76679=ORIENTED_EDGE('',*,*,#53608,.T.); #76680=ORIENTED_EDGE('',*,*,#53609,.T.); #76681=ORIENTED_EDGE('',*,*,#53610,.T.); #76682=ORIENTED_EDGE('',*,*,#53586,.T.); #76683=ORIENTED_EDGE('',*,*,#53611,.T.); #76684=ORIENTED_EDGE('',*,*,#53609,.F.); #76685=ORIENTED_EDGE('',*,*,#53612,.T.); #76686=ORIENTED_EDGE('',*,*,#53612,.F.); #76687=ORIENTED_EDGE('',*,*,#53613,.T.); #76688=ORIENTED_EDGE('',*,*,#53614,.T.); #76689=ORIENTED_EDGE('',*,*,#53587,.T.); #76690=ORIENTED_EDGE('',*,*,#53608,.F.); #76691=ORIENTED_EDGE('',*,*,#53615,.F.); #76692=ORIENTED_EDGE('',*,*,#53616,.F.); #76693=ORIENTED_EDGE('',*,*,#53617,.F.); #76694=ORIENTED_EDGE('',*,*,#53613,.F.); #76695=ORIENTED_EDGE('',*,*,#53618,.F.); #76696=ORIENTED_EDGE('',*,*,#53619,.T.); #76697=ORIENTED_EDGE('',*,*,#53620,.T.); #76698=ORIENTED_EDGE('',*,*,#53621,.T.); #76699=ORIENTED_EDGE('',*,*,#53572,.T.); #76700=ORIENTED_EDGE('',*,*,#53622,.T.); #76701=ORIENTED_EDGE('',*,*,#53620,.F.); #76702=ORIENTED_EDGE('',*,*,#53623,.T.); #76703=ORIENTED_EDGE('',*,*,#53623,.F.); #76704=ORIENTED_EDGE('',*,*,#53619,.F.); #76705=ORIENTED_EDGE('',*,*,#53624,.F.); #76706=ORIENTED_EDGE('',*,*,#53625,.T.); #76707=ORIENTED_EDGE('',*,*,#53573,.T.); #76708=ORIENTED_EDGE('',*,*,#53541,.F.); #76709=ORIENTED_EDGE('',*,*,#53606,.T.); #76710=ORIENTED_EDGE('',*,*,#53604,.F.); #76711=ORIENTED_EDGE('',*,*,#53626,.T.); #76712=ORIENTED_EDGE('',*,*,#53627,.T.); #76713=ORIENTED_EDGE('',*,*,#53617,.T.); #76714=ORIENTED_EDGE('',*,*,#53628,.T.); #76715=ORIENTED_EDGE('',*,*,#53629,.T.); #76716=ORIENTED_EDGE('',*,*,#53630,.T.); #76717=ORIENTED_EDGE('',*,*,#53631,.T.); #76718=ORIENTED_EDGE('',*,*,#53628,.F.); #76719=ORIENTED_EDGE('',*,*,#53616,.T.); #76720=ORIENTED_EDGE('',*,*,#53627,.F.); #76721=ORIENTED_EDGE('',*,*,#53632,.T.); #76722=ORIENTED_EDGE('',*,*,#53614,.F.); #76723=ORIENTED_EDGE('',*,*,#53632,.F.); #76724=ORIENTED_EDGE('',*,*,#53633,.T.); #76725=ORIENTED_EDGE('',*,*,#53634,.F.); #76726=ORIENTED_EDGE('',*,*,#53570,.T.); #76727=ORIENTED_EDGE('',*,*,#53588,.T.); #76728=ORIENTED_EDGE('',*,*,#53629,.F.); #76729=ORIENTED_EDGE('',*,*,#53635,.F.); #76730=ORIENTED_EDGE('',*,*,#53636,.F.); #76731=ORIENTED_EDGE('',*,*,#53633,.F.); #76732=ORIENTED_EDGE('',*,*,#53637,.T.); #76733=ORIENTED_EDGE('',*,*,#53638,.T.); #76734=ORIENTED_EDGE('',*,*,#53639,.T.); #76735=ORIENTED_EDGE('',*,*,#53640,.T.); #76736=ORIENTED_EDGE('',*,*,#53641,.T.); #76737=ORIENTED_EDGE('',*,*,#53636,.T.); #76738=ORIENTED_EDGE('',*,*,#53637,.F.); #76739=ORIENTED_EDGE('',*,*,#53642,.T.); #76740=ORIENTED_EDGE('',*,*,#53622,.F.); #76741=ORIENTED_EDGE('',*,*,#53571,.T.); #76742=ORIENTED_EDGE('',*,*,#53643,.T.); #76743=ORIENTED_EDGE('',*,*,#53644,.T.); #76744=ORIENTED_EDGE('',*,*,#53643,.F.); #76745=ORIENTED_EDGE('',*,*,#53634,.T.); #76746=ORIENTED_EDGE('',*,*,#53641,.F.); #76747=ORIENTED_EDGE('',*,*,#53631,.F.); #76748=ORIENTED_EDGE('',*,*,#53645,.T.); #76749=ORIENTED_EDGE('',*,*,#53646,.T.); #76750=ORIENTED_EDGE('',*,*,#53638,.F.); #76751=ORIENTED_EDGE('',*,*,#53635,.T.); #76752=ORIENTED_EDGE('',*,*,#53537,.F.); #76753=ORIENTED_EDGE('',*,*,#53626,.F.); #76754=ORIENTED_EDGE('',*,*,#53592,.F.); #76755=ORIENTED_EDGE('',*,*,#53565,.F.); #76756=ORIENTED_EDGE('',*,*,#53621,.F.); #76757=ORIENTED_EDGE('',*,*,#53644,.F.); #76758=ORIENTED_EDGE('',*,*,#53642,.F.); #76759=ORIENTED_EDGE('',*,*,#53640,.F.); #76760=ORIENTED_EDGE('',*,*,#53647,.F.); #76761=ORIENTED_EDGE('',*,*,#53648,.F.); #76762=ORIENTED_EDGE('',*,*,#53649,.F.); #76763=ORIENTED_EDGE('',*,*,#53650,.T.); #76764=ORIENTED_EDGE('',*,*,#53651,.F.); #76765=ORIENTED_EDGE('',*,*,#53652,.F.); #76766=ORIENTED_EDGE('',*,*,#53653,.F.); #76767=ORIENTED_EDGE('',*,*,#53654,.T.); #76768=ORIENTED_EDGE('',*,*,#53655,.F.); #76769=ORIENTED_EDGE('',*,*,#53656,.F.); #76770=ORIENTED_EDGE('',*,*,#53650,.F.); #76771=ORIENTED_EDGE('',*,*,#53657,.F.); #76772=ORIENTED_EDGE('',*,*,#53654,.F.); #76773=ORIENTED_EDGE('',*,*,#53655,.T.); #76774=ORIENTED_EDGE('',*,*,#53657,.T.); #76775=ORIENTED_EDGE('',*,*,#53649,.T.); #76776=ORIENTED_EDGE('',*,*,#53658,.T.); #76777=ORIENTED_EDGE('',*,*,#53659,.T.); #76778=ORIENTED_EDGE('',*,*,#53660,.T.); #76779=ORIENTED_EDGE('',*,*,#53661,.T.); #76780=ORIENTED_EDGE('',*,*,#53662,.T.); #76781=ORIENTED_EDGE('',*,*,#53663,.F.); #76782=ORIENTED_EDGE('',*,*,#53664,.F.); #76783=ORIENTED_EDGE('',*,*,#53662,.F.); #76784=ORIENTED_EDGE('',*,*,#53665,.T.); #76785=ORIENTED_EDGE('',*,*,#53666,.T.); #76786=ORIENTED_EDGE('',*,*,#53667,.T.); #76787=ORIENTED_EDGE('',*,*,#53668,.T.); #76788=ORIENTED_EDGE('',*,*,#53669,.T.); #76789=ORIENTED_EDGE('',*,*,#53670,.T.); #76790=ORIENTED_EDGE('',*,*,#53671,.T.); #76791=ORIENTED_EDGE('',*,*,#53672,.T.); #76792=ORIENTED_EDGE('',*,*,#53673,.T.); #76793=ORIENTED_EDGE('',*,*,#53674,.T.); #76794=ORIENTED_EDGE('',*,*,#53648,.T.); #76795=ORIENTED_EDGE('',*,*,#53675,.T.); #76796=ORIENTED_EDGE('',*,*,#53676,.T.); #76797=ORIENTED_EDGE('',*,*,#53658,.F.); #76798=ORIENTED_EDGE('',*,*,#53677,.T.); #76799=ORIENTED_EDGE('',*,*,#53678,.T.); #76800=ORIENTED_EDGE('',*,*,#53679,.T.); #76801=ORIENTED_EDGE('',*,*,#53680,.T.); #76802=ORIENTED_EDGE('',*,*,#53681,.T.); #76803=ORIENTED_EDGE('',*,*,#53682,.T.); #76804=ORIENTED_EDGE('',*,*,#53683,.T.); #76805=ORIENTED_EDGE('',*,*,#53684,.T.); #76806=ORIENTED_EDGE('',*,*,#53685,.T.); #76807=ORIENTED_EDGE('',*,*,#53686,.T.); #76808=ORIENTED_EDGE('',*,*,#53687,.T.); #76809=ORIENTED_EDGE('',*,*,#53683,.F.); #76810=ORIENTED_EDGE('',*,*,#53688,.T.); #76811=ORIENTED_EDGE('',*,*,#53677,.F.); #76812=ORIENTED_EDGE('',*,*,#53689,.T.); #76813=ORIENTED_EDGE('',*,*,#53682,.F.); #76814=ORIENTED_EDGE('',*,*,#53690,.T.); #76815=ORIENTED_EDGE('',*,*,#53686,.F.); #76816=ORIENTED_EDGE('',*,*,#53691,.T.); #76817=ORIENTED_EDGE('',*,*,#53678,.F.); #76818=ORIENTED_EDGE('',*,*,#53692,.F.); #76819=ORIENTED_EDGE('',*,*,#53693,.T.); #76820=ORIENTED_EDGE('',*,*,#53688,.F.); #76821=ORIENTED_EDGE('',*,*,#53694,.T.); #76822=ORIENTED_EDGE('',*,*,#53690,.F.); #76823=ORIENTED_EDGE('',*,*,#53695,.T.); #76824=ORIENTED_EDGE('',*,*,#53696,.T.); #76825=ORIENTED_EDGE('',*,*,#53697,.T.); #76826=ORIENTED_EDGE('',*,*,#53692,.T.); #76827=ORIENTED_EDGE('',*,*,#53687,.F.); #76828=ORIENTED_EDGE('',*,*,#53694,.F.); #76829=ORIENTED_EDGE('',*,*,#53698,.T.); #76830=ORIENTED_EDGE('',*,*,#53699,.T.); #76831=ORIENTED_EDGE('',*,*,#53700,.T.); #76832=ORIENTED_EDGE('',*,*,#53701,.T.); #76833=ORIENTED_EDGE('',*,*,#53699,.F.); #76834=ORIENTED_EDGE('',*,*,#53702,.T.); #76835=ORIENTED_EDGE('',*,*,#53698,.F.); #76836=ORIENTED_EDGE('',*,*,#53703,.T.); #76837=ORIENTED_EDGE('',*,*,#53704,.F.); #76838=ORIENTED_EDGE('',*,*,#53705,.F.); #76839=ORIENTED_EDGE('',*,*,#53703,.F.); #76840=ORIENTED_EDGE('',*,*,#53702,.F.); #76841=ORIENTED_EDGE('',*,*,#53706,.F.); #76842=ORIENTED_EDGE('',*,*,#53707,.T.); #76843=ORIENTED_EDGE('',*,*,#53700,.F.); #76844=ORIENTED_EDGE('',*,*,#53701,.F.); #76845=ORIENTED_EDGE('',*,*,#53707,.F.); #76846=ORIENTED_EDGE('',*,*,#53705,.T.); #76847=ORIENTED_EDGE('',*,*,#53708,.T.); #76848=ORIENTED_EDGE('',*,*,#53709,.T.); #76849=ORIENTED_EDGE('',*,*,#53710,.T.); #76850=ORIENTED_EDGE('',*,*,#53708,.F.); #76851=ORIENTED_EDGE('',*,*,#53704,.T.); #76852=ORIENTED_EDGE('',*,*,#53710,.F.); #76853=ORIENTED_EDGE('',*,*,#53711,.T.); #76854=ORIENTED_EDGE('',*,*,#53684,.F.); #76855=ORIENTED_EDGE('',*,*,#53689,.F.); #76856=ORIENTED_EDGE('',*,*,#53679,.F.); #76857=ORIENTED_EDGE('',*,*,#53680,.F.); #76858=ORIENTED_EDGE('',*,*,#53691,.F.); #76859=ORIENTED_EDGE('',*,*,#53685,.F.); #76860=ORIENTED_EDGE('',*,*,#53711,.F.); #76861=ORIENTED_EDGE('',*,*,#53709,.F.); #76862=ORIENTED_EDGE('',*,*,#53712,.T.); #76863=ORIENTED_EDGE('',*,*,#53713,.T.); #76864=ORIENTED_EDGE('',*,*,#53714,.T.); #76865=ORIENTED_EDGE('',*,*,#53713,.F.); #76866=ORIENTED_EDGE('',*,*,#53715,.T.); #76867=ORIENTED_EDGE('',*,*,#53714,.F.); #76868=ORIENTED_EDGE('',*,*,#53716,.F.); #76869=ORIENTED_EDGE('',*,*,#53607,.T.); #76870=ORIENTED_EDGE('',*,*,#53717,.T.); #76871=ORIENTED_EDGE('',*,*,#53718,.T.); #76872=ORIENTED_EDGE('',*,*,#53719,.F.); #76873=ORIENTED_EDGE('',*,*,#53720,.F.); #76874=ORIENTED_EDGE('',*,*,#53721,.T.); #76875=ORIENTED_EDGE('',*,*,#53722,.T.); #76876=ORIENTED_EDGE('',*,*,#53723,.F.); #76877=ORIENTED_EDGE('',*,*,#53724,.F.); #76878=ORIENTED_EDGE('',*,*,#53624,.T.); #76879=ORIENTED_EDGE('',*,*,#53618,.T.); #76880=ORIENTED_EDGE('',*,*,#53647,.T.); #76881=ORIENTED_EDGE('',*,*,#53639,.F.); #76882=ORIENTED_EDGE('',*,*,#53646,.F.); #76883=ORIENTED_EDGE('',*,*,#53725,.T.); #76884=ORIENTED_EDGE('',*,*,#53726,.T.); #76885=ORIENTED_EDGE('',*,*,#53727,.T.); #76886=ORIENTED_EDGE('',*,*,#53728,.T.); #76887=ORIENTED_EDGE('',*,*,#53729,.T.); #76888=ORIENTED_EDGE('',*,*,#53715,.F.); #76889=ORIENTED_EDGE('',*,*,#53712,.F.); #76890=ORIENTED_EDGE('',*,*,#53729,.F.); #76891=ORIENTED_EDGE('',*,*,#53730,.T.); #76892=ORIENTED_EDGE('',*,*,#53731,.T.); #76893=ORIENTED_EDGE('',*,*,#53732,.T.); #76894=ORIENTED_EDGE('',*,*,#53725,.F.); #76895=ORIENTED_EDGE('',*,*,#53645,.F.); #76896=ORIENTED_EDGE('',*,*,#53630,.F.); #76897=ORIENTED_EDGE('',*,*,#53615,.T.); #76898=ORIENTED_EDGE('',*,*,#53733,.T.); #76899=ORIENTED_EDGE('',*,*,#53734,.T.); #76900=ORIENTED_EDGE('',*,*,#53735,.T.); #76901=ORIENTED_EDGE('',*,*,#53736,.T.); #76902=ORIENTED_EDGE('',*,*,#53737,.T.); #76903=ORIENTED_EDGE('',*,*,#53738,.T.); #76904=ORIENTED_EDGE('',*,*,#53739,.T.); #76905=ORIENTED_EDGE('',*,*,#53740,.T.); #76906=ORIENTED_EDGE('',*,*,#53741,.T.); #76907=ORIENTED_EDGE('',*,*,#53742,.T.); #76908=ORIENTED_EDGE('',*,*,#53743,.T.); #76909=ORIENTED_EDGE('',*,*,#53744,.T.); #76910=ORIENTED_EDGE('',*,*,#53745,.T.); #76911=ORIENTED_EDGE('',*,*,#53746,.T.); #76912=ORIENTED_EDGE('',*,*,#53747,.T.); #76913=ORIENTED_EDGE('',*,*,#53748,.T.); #76914=ORIENTED_EDGE('',*,*,#53749,.T.); #76915=ORIENTED_EDGE('',*,*,#53750,.T.); #76916=ORIENTED_EDGE('',*,*,#53751,.T.); #76917=ORIENTED_EDGE('',*,*,#53752,.T.); #76918=ORIENTED_EDGE('',*,*,#53753,.T.); #76919=ORIENTED_EDGE('',*,*,#53754,.T.); #76920=ORIENTED_EDGE('',*,*,#53755,.T.); #76921=ORIENTED_EDGE('',*,*,#53756,.T.); #76922=ORIENTED_EDGE('',*,*,#53757,.T.); #76923=ORIENTED_EDGE('',*,*,#53758,.T.); #76924=ORIENTED_EDGE('',*,*,#53759,.T.); #76925=ORIENTED_EDGE('',*,*,#53760,.T.); #76926=ORIENTED_EDGE('',*,*,#53761,.T.); #76927=ORIENTED_EDGE('',*,*,#53762,.T.); #76928=ORIENTED_EDGE('',*,*,#53763,.T.); #76929=ORIENTED_EDGE('',*,*,#53764,.T.); #76930=ORIENTED_EDGE('',*,*,#53765,.T.); #76931=ORIENTED_EDGE('',*,*,#53766,.T.); #76932=ORIENTED_EDGE('',*,*,#53767,.T.); #76933=ORIENTED_EDGE('',*,*,#53768,.T.); #76934=ORIENTED_EDGE('',*,*,#53769,.T.); #76935=ORIENTED_EDGE('',*,*,#53770,.T.); #76936=ORIENTED_EDGE('',*,*,#53771,.T.); #76937=ORIENTED_EDGE('',*,*,#53772,.T.); #76938=ORIENTED_EDGE('',*,*,#53773,.T.); #76939=ORIENTED_EDGE('',*,*,#53774,.T.); #76940=ORIENTED_EDGE('',*,*,#53775,.T.); #76941=ORIENTED_EDGE('',*,*,#53776,.T.); #76942=ORIENTED_EDGE('',*,*,#53777,.T.); #76943=ORIENTED_EDGE('',*,*,#53778,.T.); #76944=ORIENTED_EDGE('',*,*,#53779,.T.); #76945=ORIENTED_EDGE('',*,*,#53780,.T.); #76946=ORIENTED_EDGE('',*,*,#53781,.T.); #76947=ORIENTED_EDGE('',*,*,#53782,.T.); #76948=ORIENTED_EDGE('',*,*,#53783,.T.); #76949=ORIENTED_EDGE('',*,*,#53784,.T.); #76950=ORIENTED_EDGE('',*,*,#53785,.T.); #76951=ORIENTED_EDGE('',*,*,#53786,.T.); #76952=ORIENTED_EDGE('',*,*,#53787,.T.); #76953=ORIENTED_EDGE('',*,*,#53788,.T.); #76954=ORIENTED_EDGE('',*,*,#53789,.T.); #76955=ORIENTED_EDGE('',*,*,#53790,.T.); #76956=ORIENTED_EDGE('',*,*,#53791,.T.); #76957=ORIENTED_EDGE('',*,*,#53792,.T.); #76958=ORIENTED_EDGE('',*,*,#53793,.T.); #76959=ORIENTED_EDGE('',*,*,#53794,.T.); #76960=ORIENTED_EDGE('',*,*,#53795,.T.); #76961=ORIENTED_EDGE('',*,*,#53796,.T.); #76962=ORIENTED_EDGE('',*,*,#53797,.T.); #76963=ORIENTED_EDGE('',*,*,#53798,.T.); #76964=ORIENTED_EDGE('',*,*,#53799,.T.); #76965=ORIENTED_EDGE('',*,*,#53800,.T.); #76966=ORIENTED_EDGE('',*,*,#53801,.T.); #76967=ORIENTED_EDGE('',*,*,#53802,.T.); #76968=ORIENTED_EDGE('',*,*,#53803,.T.); #76969=ORIENTED_EDGE('',*,*,#53804,.T.); #76970=ORIENTED_EDGE('',*,*,#53805,.T.); #76971=ORIENTED_EDGE('',*,*,#53806,.T.); #76972=ORIENTED_EDGE('',*,*,#53807,.T.); #76973=ORIENTED_EDGE('',*,*,#53808,.T.); #76974=ORIENTED_EDGE('',*,*,#53809,.T.); #76975=ORIENTED_EDGE('',*,*,#53810,.T.); #76976=ORIENTED_EDGE('',*,*,#53811,.T.); #76977=ORIENTED_EDGE('',*,*,#53812,.T.); #76978=ORIENTED_EDGE('',*,*,#53813,.T.); #76979=ORIENTED_EDGE('',*,*,#53814,.T.); #76980=ORIENTED_EDGE('',*,*,#53815,.T.); #76981=ORIENTED_EDGE('',*,*,#53816,.T.); #76982=ORIENTED_EDGE('',*,*,#53817,.T.); #76983=ORIENTED_EDGE('',*,*,#53818,.T.); #76984=ORIENTED_EDGE('',*,*,#53819,.T.); #76985=ORIENTED_EDGE('',*,*,#53818,.F.); #76986=ORIENTED_EDGE('',*,*,#53819,.F.); #76987=ORIENTED_EDGE('',*,*,#53820,.F.); #76988=ORIENTED_EDGE('',*,*,#53817,.F.); #76989=ORIENTED_EDGE('',*,*,#53821,.T.); #76990=ORIENTED_EDGE('',*,*,#53696,.F.); #76991=ORIENTED_EDGE('',*,*,#53822,.F.); #76992=ORIENTED_EDGE('',*,*,#53693,.F.); #76993=ORIENTED_EDGE('',*,*,#53697,.F.); #76994=ORIENTED_EDGE('',*,*,#53821,.F.); #76995=ORIENTED_EDGE('',*,*,#53681,.F.); #76996=ORIENTED_EDGE('',*,*,#53822,.T.); #76997=ORIENTED_EDGE('',*,*,#53695,.F.); #76998=ORIENTED_EDGE('',*,*,#53706,.T.); #76999=ORIENTED_EDGE('',*,*,#53823,.T.); #77000=ORIENTED_EDGE('',*,*,#53824,.T.); #77001=ORIENTED_EDGE('',*,*,#53823,.F.); #77002=ORIENTED_EDGE('',*,*,#53824,.F.); #77003=ORIENTED_EDGE('',*,*,#53825,.T.); #77004=ORIENTED_EDGE('',*,*,#53826,.T.); #77005=ORIENTED_EDGE('',*,*,#53827,.T.); #77006=ORIENTED_EDGE('',*,*,#53727,.F.); #77007=ORIENTED_EDGE('',*,*,#53828,.T.); #77008=ORIENTED_EDGE('',*,*,#53829,.T.); #77009=ORIENTED_EDGE('',*,*,#53830,.T.); #77010=ORIENTED_EDGE('',*,*,#53826,.F.); #77011=ORIENTED_EDGE('',*,*,#53831,.T.); #77012=ORIENTED_EDGE('',*,*,#53832,.T.); #77013=ORIENTED_EDGE('',*,*,#53833,.T.); #77014=ORIENTED_EDGE('',*,*,#53829,.F.); #77015=ORIENTED_EDGE('',*,*,#53834,.T.); #77016=ORIENTED_EDGE('',*,*,#53835,.T.); #77017=ORIENTED_EDGE('',*,*,#53836,.T.); #77018=ORIENTED_EDGE('',*,*,#53832,.F.); #77019=ORIENTED_EDGE('',*,*,#53837,.T.); #77020=ORIENTED_EDGE('',*,*,#53838,.T.); #77021=ORIENTED_EDGE('',*,*,#53839,.T.); #77022=ORIENTED_EDGE('',*,*,#53835,.F.); #77023=ORIENTED_EDGE('',*,*,#53840,.T.); #77024=ORIENTED_EDGE('',*,*,#53841,.T.); #77025=ORIENTED_EDGE('',*,*,#53842,.T.); #77026=ORIENTED_EDGE('',*,*,#53838,.F.); #77027=ORIENTED_EDGE('',*,*,#53843,.T.); #77028=ORIENTED_EDGE('',*,*,#53731,.F.); #77029=ORIENTED_EDGE('',*,*,#53844,.T.); #77030=ORIENTED_EDGE('',*,*,#53841,.F.); #77031=ORIENTED_EDGE('',*,*,#53732,.F.); #77032=ORIENTED_EDGE('',*,*,#53843,.F.); #77033=ORIENTED_EDGE('',*,*,#53840,.F.); #77034=ORIENTED_EDGE('',*,*,#53837,.F.); #77035=ORIENTED_EDGE('',*,*,#53834,.F.); #77036=ORIENTED_EDGE('',*,*,#53831,.F.); #77037=ORIENTED_EDGE('',*,*,#53828,.F.); #77038=ORIENTED_EDGE('',*,*,#53825,.F.); #77039=ORIENTED_EDGE('',*,*,#53726,.F.); #77040=ORIENTED_EDGE('',*,*,#53728,.F.); #77041=ORIENTED_EDGE('',*,*,#53827,.F.); #77042=ORIENTED_EDGE('',*,*,#53830,.F.); #77043=ORIENTED_EDGE('',*,*,#53833,.F.); #77044=ORIENTED_EDGE('',*,*,#53836,.F.); #77045=ORIENTED_EDGE('',*,*,#53839,.F.); #77046=ORIENTED_EDGE('',*,*,#53842,.F.); #77047=ORIENTED_EDGE('',*,*,#53844,.F.); #77048=ORIENTED_EDGE('',*,*,#53730,.F.); #77049=ORIENTED_EDGE('',*,*,#53845,.F.); #77050=ORIENTED_EDGE('',*,*,#53846,.F.); #77051=ORIENTED_EDGE('',*,*,#53847,.F.); #77052=ORIENTED_EDGE('',*,*,#53848,.T.); #77053=ORIENTED_EDGE('',*,*,#53849,.F.); #77054=ORIENTED_EDGE('',*,*,#53848,.F.); #77055=ORIENTED_EDGE('',*,*,#53850,.F.); #77056=ORIENTED_EDGE('',*,*,#53851,.T.); #77057=ORIENTED_EDGE('',*,*,#53852,.F.); #77058=ORIENTED_EDGE('',*,*,#53851,.F.); #77059=ORIENTED_EDGE('',*,*,#53853,.F.); #77060=ORIENTED_EDGE('',*,*,#53854,.T.); #77061=ORIENTED_EDGE('',*,*,#53855,.F.); #77062=ORIENTED_EDGE('',*,*,#53854,.F.); #77063=ORIENTED_EDGE('',*,*,#53856,.F.); #77064=ORIENTED_EDGE('',*,*,#53857,.T.); #77065=ORIENTED_EDGE('',*,*,#53858,.F.); #77066=ORIENTED_EDGE('',*,*,#53857,.F.); #77067=ORIENTED_EDGE('',*,*,#53859,.F.); #77068=ORIENTED_EDGE('',*,*,#53860,.T.); #77069=ORIENTED_EDGE('',*,*,#53861,.F.); #77070=ORIENTED_EDGE('',*,*,#53860,.F.); #77071=ORIENTED_EDGE('',*,*,#53862,.F.); #77072=ORIENTED_EDGE('',*,*,#53863,.T.); #77073=ORIENTED_EDGE('',*,*,#53864,.F.); #77074=ORIENTED_EDGE('',*,*,#53863,.F.); #77075=ORIENTED_EDGE('',*,*,#53865,.F.); #77076=ORIENTED_EDGE('',*,*,#53866,.T.); #77077=ORIENTED_EDGE('',*,*,#53867,.F.); #77078=ORIENTED_EDGE('',*,*,#53866,.F.); #77079=ORIENTED_EDGE('',*,*,#53868,.F.); #77080=ORIENTED_EDGE('',*,*,#53869,.T.); #77081=ORIENTED_EDGE('',*,*,#53870,.F.); #77082=ORIENTED_EDGE('',*,*,#53869,.F.); #77083=ORIENTED_EDGE('',*,*,#53871,.F.); #77084=ORIENTED_EDGE('',*,*,#53872,.T.); #77085=ORIENTED_EDGE('',*,*,#53873,.F.); #77086=ORIENTED_EDGE('',*,*,#53872,.F.); #77087=ORIENTED_EDGE('',*,*,#53874,.F.); #77088=ORIENTED_EDGE('',*,*,#53875,.T.); #77089=ORIENTED_EDGE('',*,*,#53876,.F.); #77090=ORIENTED_EDGE('',*,*,#53875,.F.); #77091=ORIENTED_EDGE('',*,*,#53877,.F.); #77092=ORIENTED_EDGE('',*,*,#53878,.T.); #77093=ORIENTED_EDGE('',*,*,#53879,.F.); #77094=ORIENTED_EDGE('',*,*,#53878,.F.); #77095=ORIENTED_EDGE('',*,*,#53880,.F.); #77096=ORIENTED_EDGE('',*,*,#53881,.T.); #77097=ORIENTED_EDGE('',*,*,#53882,.F.); #77098=ORIENTED_EDGE('',*,*,#53881,.F.); #77099=ORIENTED_EDGE('',*,*,#53883,.F.); #77100=ORIENTED_EDGE('',*,*,#53884,.T.); #77101=ORIENTED_EDGE('',*,*,#53885,.F.); #77102=ORIENTED_EDGE('',*,*,#53884,.F.); #77103=ORIENTED_EDGE('',*,*,#53886,.F.); #77104=ORIENTED_EDGE('',*,*,#53887,.T.); #77105=ORIENTED_EDGE('',*,*,#53888,.F.); #77106=ORIENTED_EDGE('',*,*,#53887,.F.); #77107=ORIENTED_EDGE('',*,*,#53889,.F.); #77108=ORIENTED_EDGE('',*,*,#53890,.T.); #77109=ORIENTED_EDGE('',*,*,#53891,.F.); #77110=ORIENTED_EDGE('',*,*,#53890,.F.); #77111=ORIENTED_EDGE('',*,*,#53892,.F.); #77112=ORIENTED_EDGE('',*,*,#53846,.T.); #77113=ORIENTED_EDGE('',*,*,#53845,.T.); #77114=ORIENTED_EDGE('',*,*,#53849,.T.); #77115=ORIENTED_EDGE('',*,*,#53852,.T.); #77116=ORIENTED_EDGE('',*,*,#53855,.T.); #77117=ORIENTED_EDGE('',*,*,#53858,.T.); #77118=ORIENTED_EDGE('',*,*,#53861,.T.); #77119=ORIENTED_EDGE('',*,*,#53864,.T.); #77120=ORIENTED_EDGE('',*,*,#53867,.T.); #77121=ORIENTED_EDGE('',*,*,#53870,.T.); #77122=ORIENTED_EDGE('',*,*,#53873,.T.); #77123=ORIENTED_EDGE('',*,*,#53876,.T.); #77124=ORIENTED_EDGE('',*,*,#53879,.T.); #77125=ORIENTED_EDGE('',*,*,#53882,.T.); #77126=ORIENTED_EDGE('',*,*,#53885,.T.); #77127=ORIENTED_EDGE('',*,*,#53888,.T.); #77128=ORIENTED_EDGE('',*,*,#53891,.T.); #77129=ORIENTED_EDGE('',*,*,#53893,.F.); #77130=ORIENTED_EDGE('',*,*,#53894,.F.); #77131=ORIENTED_EDGE('',*,*,#53895,.F.); #77132=ORIENTED_EDGE('',*,*,#53896,.T.); #77133=ORIENTED_EDGE('',*,*,#53897,.F.); #77134=ORIENTED_EDGE('',*,*,#53896,.F.); #77135=ORIENTED_EDGE('',*,*,#53898,.F.); #77136=ORIENTED_EDGE('',*,*,#53899,.T.); #77137=ORIENTED_EDGE('',*,*,#53900,.F.); #77138=ORIENTED_EDGE('',*,*,#53899,.F.); #77139=ORIENTED_EDGE('',*,*,#53901,.F.); #77140=ORIENTED_EDGE('',*,*,#53902,.T.); #77141=ORIENTED_EDGE('',*,*,#53903,.F.); #77142=ORIENTED_EDGE('',*,*,#53902,.F.); #77143=ORIENTED_EDGE('',*,*,#53904,.F.); #77144=ORIENTED_EDGE('',*,*,#53905,.T.); #77145=ORIENTED_EDGE('',*,*,#53906,.F.); #77146=ORIENTED_EDGE('',*,*,#53905,.F.); #77147=ORIENTED_EDGE('',*,*,#53907,.F.); #77148=ORIENTED_EDGE('',*,*,#53908,.T.); #77149=ORIENTED_EDGE('',*,*,#53909,.F.); #77150=ORIENTED_EDGE('',*,*,#53908,.F.); #77151=ORIENTED_EDGE('',*,*,#53910,.F.); #77152=ORIENTED_EDGE('',*,*,#53911,.T.); #77153=ORIENTED_EDGE('',*,*,#53912,.F.); #77154=ORIENTED_EDGE('',*,*,#53911,.F.); #77155=ORIENTED_EDGE('',*,*,#53913,.F.); #77156=ORIENTED_EDGE('',*,*,#53914,.T.); #77157=ORIENTED_EDGE('',*,*,#53915,.F.); #77158=ORIENTED_EDGE('',*,*,#53914,.F.); #77159=ORIENTED_EDGE('',*,*,#53916,.F.); #77160=ORIENTED_EDGE('',*,*,#53917,.T.); #77161=ORIENTED_EDGE('',*,*,#53918,.F.); #77162=ORIENTED_EDGE('',*,*,#53917,.F.); #77163=ORIENTED_EDGE('',*,*,#53919,.F.); #77164=ORIENTED_EDGE('',*,*,#53920,.T.); #77165=ORIENTED_EDGE('',*,*,#53921,.F.); #77166=ORIENTED_EDGE('',*,*,#53920,.F.); #77167=ORIENTED_EDGE('',*,*,#53922,.F.); #77168=ORIENTED_EDGE('',*,*,#53923,.T.); #77169=ORIENTED_EDGE('',*,*,#53924,.F.); #77170=ORIENTED_EDGE('',*,*,#53923,.F.); #77171=ORIENTED_EDGE('',*,*,#53925,.F.); #77172=ORIENTED_EDGE('',*,*,#53926,.T.); #77173=ORIENTED_EDGE('',*,*,#53927,.F.); #77174=ORIENTED_EDGE('',*,*,#53926,.F.); #77175=ORIENTED_EDGE('',*,*,#53928,.F.); #77176=ORIENTED_EDGE('',*,*,#53929,.T.); #77177=ORIENTED_EDGE('',*,*,#53930,.F.); #77178=ORIENTED_EDGE('',*,*,#53929,.F.); #77179=ORIENTED_EDGE('',*,*,#53931,.F.); #77180=ORIENTED_EDGE('',*,*,#53932,.T.); #77181=ORIENTED_EDGE('',*,*,#53933,.F.); #77182=ORIENTED_EDGE('',*,*,#53932,.F.); #77183=ORIENTED_EDGE('',*,*,#53934,.F.); #77184=ORIENTED_EDGE('',*,*,#53935,.T.); #77185=ORIENTED_EDGE('',*,*,#53936,.F.); #77186=ORIENTED_EDGE('',*,*,#53935,.F.); #77187=ORIENTED_EDGE('',*,*,#53937,.F.); #77188=ORIENTED_EDGE('',*,*,#53938,.T.); #77189=ORIENTED_EDGE('',*,*,#53939,.F.); #77190=ORIENTED_EDGE('',*,*,#53938,.F.); #77191=ORIENTED_EDGE('',*,*,#53940,.F.); #77192=ORIENTED_EDGE('',*,*,#53894,.T.); #77193=ORIENTED_EDGE('',*,*,#53893,.T.); #77194=ORIENTED_EDGE('',*,*,#53897,.T.); #77195=ORIENTED_EDGE('',*,*,#53900,.T.); #77196=ORIENTED_EDGE('',*,*,#53903,.T.); #77197=ORIENTED_EDGE('',*,*,#53906,.T.); #77198=ORIENTED_EDGE('',*,*,#53909,.T.); #77199=ORIENTED_EDGE('',*,*,#53912,.T.); #77200=ORIENTED_EDGE('',*,*,#53915,.T.); #77201=ORIENTED_EDGE('',*,*,#53918,.T.); #77202=ORIENTED_EDGE('',*,*,#53921,.T.); #77203=ORIENTED_EDGE('',*,*,#53924,.T.); #77204=ORIENTED_EDGE('',*,*,#53927,.T.); #77205=ORIENTED_EDGE('',*,*,#53930,.T.); #77206=ORIENTED_EDGE('',*,*,#53933,.T.); #77207=ORIENTED_EDGE('',*,*,#53936,.T.); #77208=ORIENTED_EDGE('',*,*,#53939,.T.); #77209=ORIENTED_EDGE('',*,*,#53941,.F.); #77210=ORIENTED_EDGE('',*,*,#53942,.F.); #77211=ORIENTED_EDGE('',*,*,#53943,.F.); #77212=ORIENTED_EDGE('',*,*,#53944,.T.); #77213=ORIENTED_EDGE('',*,*,#53945,.F.); #77214=ORIENTED_EDGE('',*,*,#53944,.F.); #77215=ORIENTED_EDGE('',*,*,#53946,.F.); #77216=ORIENTED_EDGE('',*,*,#53947,.T.); #77217=ORIENTED_EDGE('',*,*,#53948,.F.); #77218=ORIENTED_EDGE('',*,*,#53947,.F.); #77219=ORIENTED_EDGE('',*,*,#53949,.F.); #77220=ORIENTED_EDGE('',*,*,#53950,.T.); #77221=ORIENTED_EDGE('',*,*,#53951,.F.); #77222=ORIENTED_EDGE('',*,*,#53950,.F.); #77223=ORIENTED_EDGE('',*,*,#53952,.F.); #77224=ORIENTED_EDGE('',*,*,#53953,.T.); #77225=ORIENTED_EDGE('',*,*,#53954,.F.); #77226=ORIENTED_EDGE('',*,*,#53953,.F.); #77227=ORIENTED_EDGE('',*,*,#53955,.F.); #77228=ORIENTED_EDGE('',*,*,#53956,.T.); #77229=ORIENTED_EDGE('',*,*,#53957,.F.); #77230=ORIENTED_EDGE('',*,*,#53956,.F.); #77231=ORIENTED_EDGE('',*,*,#53958,.F.); #77232=ORIENTED_EDGE('',*,*,#53959,.T.); #77233=ORIENTED_EDGE('',*,*,#53960,.F.); #77234=ORIENTED_EDGE('',*,*,#53959,.F.); #77235=ORIENTED_EDGE('',*,*,#53961,.F.); #77236=ORIENTED_EDGE('',*,*,#53962,.T.); #77237=ORIENTED_EDGE('',*,*,#53963,.F.); #77238=ORIENTED_EDGE('',*,*,#53962,.F.); #77239=ORIENTED_EDGE('',*,*,#53964,.F.); #77240=ORIENTED_EDGE('',*,*,#53965,.T.); #77241=ORIENTED_EDGE('',*,*,#53966,.F.); #77242=ORIENTED_EDGE('',*,*,#53965,.F.); #77243=ORIENTED_EDGE('',*,*,#53967,.F.); #77244=ORIENTED_EDGE('',*,*,#53968,.T.); #77245=ORIENTED_EDGE('',*,*,#53969,.F.); #77246=ORIENTED_EDGE('',*,*,#53968,.F.); #77247=ORIENTED_EDGE('',*,*,#53970,.F.); #77248=ORIENTED_EDGE('',*,*,#53971,.T.); #77249=ORIENTED_EDGE('',*,*,#53972,.F.); #77250=ORIENTED_EDGE('',*,*,#53971,.F.); #77251=ORIENTED_EDGE('',*,*,#53973,.F.); #77252=ORIENTED_EDGE('',*,*,#53974,.T.); #77253=ORIENTED_EDGE('',*,*,#53975,.F.); #77254=ORIENTED_EDGE('',*,*,#53974,.F.); #77255=ORIENTED_EDGE('',*,*,#53976,.F.); #77256=ORIENTED_EDGE('',*,*,#53977,.T.); #77257=ORIENTED_EDGE('',*,*,#53978,.F.); #77258=ORIENTED_EDGE('',*,*,#53977,.F.); #77259=ORIENTED_EDGE('',*,*,#53979,.F.); #77260=ORIENTED_EDGE('',*,*,#53980,.T.); #77261=ORIENTED_EDGE('',*,*,#53981,.F.); #77262=ORIENTED_EDGE('',*,*,#53980,.F.); #77263=ORIENTED_EDGE('',*,*,#53982,.F.); #77264=ORIENTED_EDGE('',*,*,#53983,.T.); #77265=ORIENTED_EDGE('',*,*,#53984,.F.); #77266=ORIENTED_EDGE('',*,*,#53983,.F.); #77267=ORIENTED_EDGE('',*,*,#53985,.F.); #77268=ORIENTED_EDGE('',*,*,#53986,.T.); #77269=ORIENTED_EDGE('',*,*,#53987,.F.); #77270=ORIENTED_EDGE('',*,*,#53986,.F.); #77271=ORIENTED_EDGE('',*,*,#53988,.F.); #77272=ORIENTED_EDGE('',*,*,#53942,.T.); #77273=ORIENTED_EDGE('',*,*,#53941,.T.); #77274=ORIENTED_EDGE('',*,*,#53945,.T.); #77275=ORIENTED_EDGE('',*,*,#53948,.T.); #77276=ORIENTED_EDGE('',*,*,#53951,.T.); #77277=ORIENTED_EDGE('',*,*,#53954,.T.); #77278=ORIENTED_EDGE('',*,*,#53957,.T.); #77279=ORIENTED_EDGE('',*,*,#53960,.T.); #77280=ORIENTED_EDGE('',*,*,#53963,.T.); #77281=ORIENTED_EDGE('',*,*,#53966,.T.); #77282=ORIENTED_EDGE('',*,*,#53969,.T.); #77283=ORIENTED_EDGE('',*,*,#53972,.T.); #77284=ORIENTED_EDGE('',*,*,#53975,.T.); #77285=ORIENTED_EDGE('',*,*,#53978,.T.); #77286=ORIENTED_EDGE('',*,*,#53981,.T.); #77287=ORIENTED_EDGE('',*,*,#53984,.T.); #77288=ORIENTED_EDGE('',*,*,#53987,.T.); #77289=ORIENTED_EDGE('',*,*,#53989,.F.); #77290=ORIENTED_EDGE('',*,*,#53990,.F.); #77291=ORIENTED_EDGE('',*,*,#53991,.F.); #77292=ORIENTED_EDGE('',*,*,#53992,.T.); #77293=ORIENTED_EDGE('',*,*,#53993,.F.); #77294=ORIENTED_EDGE('',*,*,#53992,.F.); #77295=ORIENTED_EDGE('',*,*,#53994,.F.); #77296=ORIENTED_EDGE('',*,*,#53995,.T.); #77297=ORIENTED_EDGE('',*,*,#53996,.F.); #77298=ORIENTED_EDGE('',*,*,#53995,.F.); #77299=ORIENTED_EDGE('',*,*,#53997,.F.); #77300=ORIENTED_EDGE('',*,*,#53998,.T.); #77301=ORIENTED_EDGE('',*,*,#53999,.F.); #77302=ORIENTED_EDGE('',*,*,#53998,.F.); #77303=ORIENTED_EDGE('',*,*,#54000,.F.); #77304=ORIENTED_EDGE('',*,*,#54001,.T.); #77305=ORIENTED_EDGE('',*,*,#54002,.F.); #77306=ORIENTED_EDGE('',*,*,#54001,.F.); #77307=ORIENTED_EDGE('',*,*,#54003,.F.); #77308=ORIENTED_EDGE('',*,*,#54004,.T.); #77309=ORIENTED_EDGE('',*,*,#54005,.F.); #77310=ORIENTED_EDGE('',*,*,#54004,.F.); #77311=ORIENTED_EDGE('',*,*,#54006,.F.); #77312=ORIENTED_EDGE('',*,*,#54007,.T.); #77313=ORIENTED_EDGE('',*,*,#54008,.F.); #77314=ORIENTED_EDGE('',*,*,#54007,.F.); #77315=ORIENTED_EDGE('',*,*,#54009,.F.); #77316=ORIENTED_EDGE('',*,*,#54010,.T.); #77317=ORIENTED_EDGE('',*,*,#54011,.F.); #77318=ORIENTED_EDGE('',*,*,#54010,.F.); #77319=ORIENTED_EDGE('',*,*,#54012,.F.); #77320=ORIENTED_EDGE('',*,*,#54013,.T.); #77321=ORIENTED_EDGE('',*,*,#54014,.F.); #77322=ORIENTED_EDGE('',*,*,#54013,.F.); #77323=ORIENTED_EDGE('',*,*,#54015,.F.); #77324=ORIENTED_EDGE('',*,*,#54016,.T.); #77325=ORIENTED_EDGE('',*,*,#54017,.F.); #77326=ORIENTED_EDGE('',*,*,#54016,.F.); #77327=ORIENTED_EDGE('',*,*,#54018,.F.); #77328=ORIENTED_EDGE('',*,*,#54019,.T.); #77329=ORIENTED_EDGE('',*,*,#54020,.F.); #77330=ORIENTED_EDGE('',*,*,#54019,.F.); #77331=ORIENTED_EDGE('',*,*,#54021,.F.); #77332=ORIENTED_EDGE('',*,*,#54022,.T.); #77333=ORIENTED_EDGE('',*,*,#54023,.F.); #77334=ORIENTED_EDGE('',*,*,#54022,.F.); #77335=ORIENTED_EDGE('',*,*,#54024,.F.); #77336=ORIENTED_EDGE('',*,*,#54025,.T.); #77337=ORIENTED_EDGE('',*,*,#54026,.F.); #77338=ORIENTED_EDGE('',*,*,#54025,.F.); #77339=ORIENTED_EDGE('',*,*,#54027,.F.); #77340=ORIENTED_EDGE('',*,*,#54028,.T.); #77341=ORIENTED_EDGE('',*,*,#54029,.F.); #77342=ORIENTED_EDGE('',*,*,#54028,.F.); #77343=ORIENTED_EDGE('',*,*,#54030,.F.); #77344=ORIENTED_EDGE('',*,*,#54031,.T.); #77345=ORIENTED_EDGE('',*,*,#54032,.F.); #77346=ORIENTED_EDGE('',*,*,#54031,.F.); #77347=ORIENTED_EDGE('',*,*,#54033,.F.); #77348=ORIENTED_EDGE('',*,*,#54034,.T.); #77349=ORIENTED_EDGE('',*,*,#54035,.F.); #77350=ORIENTED_EDGE('',*,*,#54034,.F.); #77351=ORIENTED_EDGE('',*,*,#54036,.F.); #77352=ORIENTED_EDGE('',*,*,#53990,.T.); #77353=ORIENTED_EDGE('',*,*,#53989,.T.); #77354=ORIENTED_EDGE('',*,*,#53993,.T.); #77355=ORIENTED_EDGE('',*,*,#53996,.T.); #77356=ORIENTED_EDGE('',*,*,#53999,.T.); #77357=ORIENTED_EDGE('',*,*,#54002,.T.); #77358=ORIENTED_EDGE('',*,*,#54005,.T.); #77359=ORIENTED_EDGE('',*,*,#54008,.T.); #77360=ORIENTED_EDGE('',*,*,#54011,.T.); #77361=ORIENTED_EDGE('',*,*,#54014,.T.); #77362=ORIENTED_EDGE('',*,*,#54017,.T.); #77363=ORIENTED_EDGE('',*,*,#54020,.T.); #77364=ORIENTED_EDGE('',*,*,#54023,.T.); #77365=ORIENTED_EDGE('',*,*,#54026,.T.); #77366=ORIENTED_EDGE('',*,*,#54029,.T.); #77367=ORIENTED_EDGE('',*,*,#54032,.T.); #77368=ORIENTED_EDGE('',*,*,#54035,.T.); #77369=ORIENTED_EDGE('',*,*,#53820,.T.); #77370=ORIENTED_EDGE('',*,*,#54037,.T.); #77371=ORIENTED_EDGE('',*,*,#53716,.T.); #77372=ORIENTED_EDGE('',*,*,#54037,.F.); #77373=ORIENTED_EDGE('',*,*,#54038,.T.); #77374=ORIENTED_EDGE('',*,*,#53776,.F.); #77375=ORIENTED_EDGE('',*,*,#54039,.F.); #77376=ORIENTED_EDGE('',*,*,#53812,.F.); #77377=ORIENTED_EDGE('',*,*,#54040,.T.); #77378=ORIENTED_EDGE('',*,*,#53810,.F.); #77379=ORIENTED_EDGE('',*,*,#54041,.T.); #77380=ORIENTED_EDGE('',*,*,#54042,.F.); #77381=ORIENTED_EDGE('',*,*,#54043,.T.); #77382=ORIENTED_EDGE('',*,*,#53734,.F.); #77383=ORIENTED_EDGE('',*,*,#54044,.T.); #77384=ORIENTED_EDGE('',*,*,#53766,.F.); #77385=ORIENTED_EDGE('',*,*,#54045,.F.); #77386=ORIENTED_EDGE('',*,*,#53778,.F.); #77387=ORIENTED_EDGE('',*,*,#54046,.T.); #77388=ORIENTED_EDGE('',*,*,#53758,.F.); #77389=ORIENTED_EDGE('',*,*,#54047,.F.); #77390=ORIENTED_EDGE('',*,*,#53786,.F.); #77391=ORIENTED_EDGE('',*,*,#54048,.T.); #77392=ORIENTED_EDGE('',*,*,#53784,.F.); #77393=ORIENTED_EDGE('',*,*,#54049,.T.); #77394=ORIENTED_EDGE('',*,*,#54050,.F.); #77395=ORIENTED_EDGE('',*,*,#54051,.T.); #77396=ORIENTED_EDGE('',*,*,#53760,.F.); #77397=ORIENTED_EDGE('',*,*,#54052,.T.); #77398=ORIENTED_EDGE('',*,*,#53740,.F.); #77399=ORIENTED_EDGE('',*,*,#54053,.F.); #77400=ORIENTED_EDGE('',*,*,#53804,.F.); #77401=ORIENTED_EDGE('',*,*,#54054,.T.); #77402=ORIENTED_EDGE('',*,*,#53754,.F.); #77403=ORIENTED_EDGE('',*,*,#54055,.F.); #77404=ORIENTED_EDGE('',*,*,#54056,.F.); #77405=ORIENTED_EDGE('',*,*,#54057,.F.); #77406=ORIENTED_EDGE('',*,*,#53790,.F.); #77407=ORIENTED_EDGE('',*,*,#54058,.T.); #77408=ORIENTED_EDGE('',*,*,#53788,.F.); #77409=ORIENTED_EDGE('',*,*,#54059,.T.); #77410=ORIENTED_EDGE('',*,*,#53756,.F.); #77411=ORIENTED_EDGE('',*,*,#54060,.T.); #77412=ORIENTED_EDGE('',*,*,#53744,.F.); #77413=ORIENTED_EDGE('',*,*,#54061,.F.); #77414=ORIENTED_EDGE('',*,*,#54062,.F.); #77415=ORIENTED_EDGE('',*,*,#54063,.F.); #77416=ORIENTED_EDGE('',*,*,#53800,.F.); #77417=ORIENTED_EDGE('',*,*,#54064,.T.); #77418=ORIENTED_EDGE('',*,*,#53792,.F.); #77419=ORIENTED_EDGE('',*,*,#54065,.T.); #77420=ORIENTED_EDGE('',*,*,#54066,.F.); #77421=ORIENTED_EDGE('',*,*,#54067,.T.); #77422=ORIENTED_EDGE('',*,*,#53752,.F.); #77423=ORIENTED_EDGE('',*,*,#54068,.T.); #77424=ORIENTED_EDGE('',*,*,#53748,.F.); #77425=ORIENTED_EDGE('',*,*,#54069,.F.); #77426=ORIENTED_EDGE('',*,*,#53796,.F.); #77427=ORIENTED_EDGE('',*,*,#54070,.T.); #77428=ORIENTED_EDGE('',*,*,#53762,.F.); #77429=ORIENTED_EDGE('',*,*,#54071,.F.); #77430=ORIENTED_EDGE('',*,*,#54072,.F.); #77431=ORIENTED_EDGE('',*,*,#54073,.F.); #77432=ORIENTED_EDGE('',*,*,#53782,.F.); #77433=ORIENTED_EDGE('',*,*,#54074,.T.); #77434=ORIENTED_EDGE('',*,*,#53780,.F.); #77435=ORIENTED_EDGE('',*,*,#54075,.T.); #77436=ORIENTED_EDGE('',*,*,#53764,.F.); #77437=ORIENTED_EDGE('',*,*,#54076,.T.); #77438=ORIENTED_EDGE('',*,*,#53736,.F.); #77439=ORIENTED_EDGE('',*,*,#54077,.F.); #77440=ORIENTED_EDGE('',*,*,#54078,.F.); #77441=ORIENTED_EDGE('',*,*,#54079,.F.); #77442=ORIENTED_EDGE('',*,*,#53808,.F.); #77443=ORIENTED_EDGE('',*,*,#53751,.F.); #77444=ORIENTED_EDGE('',*,*,#54080,.F.); #77445=ORIENTED_EDGE('',*,*,#53793,.F.); #77446=ORIENTED_EDGE('',*,*,#54064,.F.); #77447=ORIENTED_EDGE('',*,*,#54054,.F.); #77448=ORIENTED_EDGE('',*,*,#53789,.F.); #77449=ORIENTED_EDGE('',*,*,#54058,.F.); #77450=ORIENTED_EDGE('',*,*,#53755,.F.); #77451=ORIENTED_EDGE('',*,*,#54046,.F.); #77452=ORIENTED_EDGE('',*,*,#53785,.F.); #77453=ORIENTED_EDGE('',*,*,#54048,.F.); #77454=ORIENTED_EDGE('',*,*,#53759,.F.); #77455=ORIENTED_EDGE('',*,*,#54070,.F.); #77456=ORIENTED_EDGE('',*,*,#53781,.F.); #77457=ORIENTED_EDGE('',*,*,#54074,.F.); #77458=ORIENTED_EDGE('',*,*,#53763,.F.); #77459=ORIENTED_EDGE('',*,*,#54044,.F.); #77460=ORIENTED_EDGE('',*,*,#53777,.F.); #77461=ORIENTED_EDGE('',*,*,#54081,.F.); #77462=ORIENTED_EDGE('',*,*,#53767,.F.); #77463=ORIENTED_EDGE('',*,*,#54082,.F.); #77464=ORIENTED_EDGE('',*,*,#53745,.F.); #77465=ORIENTED_EDGE('',*,*,#54060,.F.); #77466=ORIENTED_EDGE('',*,*,#53799,.F.); #77467=ORIENTED_EDGE('',*,*,#54083,.F.); #77468=ORIENTED_EDGE('',*,*,#53737,.F.); #77469=ORIENTED_EDGE('',*,*,#54076,.F.); #77470=ORIENTED_EDGE('',*,*,#53807,.F.); #77471=ORIENTED_EDGE('',*,*,#54084,.F.); #77472=ORIENTED_EDGE('',*,*,#53741,.F.); #77473=ORIENTED_EDGE('',*,*,#54052,.F.); #77474=ORIENTED_EDGE('',*,*,#53803,.F.); #77475=ORIENTED_EDGE('',*,*,#53749,.F.); #77476=ORIENTED_EDGE('',*,*,#54068,.F.); #77477=ORIENTED_EDGE('',*,*,#53795,.F.); #77478=ORIENTED_EDGE('',*,*,#54085,.F.); #77479=ORIENTED_EDGE('',*,*,#54040,.F.); #77480=ORIENTED_EDGE('',*,*,#53733,.F.); #77481=ORIENTED_EDGE('',*,*,#54038,.F.); #77482=ORIENTED_EDGE('',*,*,#53811,.F.); #77483=ORIENTED_EDGE('',*,*,#53750,.F.); #77484=ORIENTED_EDGE('',*,*,#54085,.T.); #77485=ORIENTED_EDGE('',*,*,#53794,.F.); #77486=ORIENTED_EDGE('',*,*,#54080,.T.); #77487=ORIENTED_EDGE('',*,*,#53771,.F.); #77488=ORIENTED_EDGE('',*,*,#54086,.F.); #77489=ORIENTED_EDGE('',*,*,#54087,.T.); #77490=ORIENTED_EDGE('',*,*,#54088,.T.); #77491=ORIENTED_EDGE('',*,*,#53772,.F.); #77492=ORIENTED_EDGE('',*,*,#54088,.F.); #77493=ORIENTED_EDGE('',*,*,#54089,.T.); #77494=ORIENTED_EDGE('',*,*,#54090,.T.); #77495=ORIENTED_EDGE('',*,*,#53773,.F.); #77496=ORIENTED_EDGE('',*,*,#54090,.F.); #77497=ORIENTED_EDGE('',*,*,#54091,.T.); #77498=ORIENTED_EDGE('',*,*,#54092,.F.); #77499=ORIENTED_EDGE('',*,*,#54093,.F.); #77500=ORIENTED_EDGE('',*,*,#54091,.F.); #77501=ORIENTED_EDGE('',*,*,#54089,.F.); #77502=ORIENTED_EDGE('',*,*,#54087,.F.); #77503=ORIENTED_EDGE('',*,*,#54092,.T.); #77504=ORIENTED_EDGE('',*,*,#54093,.T.); #77505=ORIENTED_EDGE('',*,*,#54086,.T.); #77506=ORIENTED_EDGE('',*,*,#53770,.F.); #77507=ORIENTED_EDGE('',*,*,#54094,.F.); #77508=ORIENTED_EDGE('',*,*,#54095,.F.); #77509=ORIENTED_EDGE('',*,*,#54096,.F.); #77510=ORIENTED_EDGE('',*,*,#53814,.F.); #77511=ORIENTED_EDGE('',*,*,#54097,.T.); #77512=ORIENTED_EDGE('',*,*,#53774,.F.); #77513=ORIENTED_EDGE('',*,*,#53815,.F.); #77514=ORIENTED_EDGE('',*,*,#54096,.T.); #77515=ORIENTED_EDGE('',*,*,#54098,.F.); #77516=ORIENTED_EDGE('',*,*,#54099,.F.); #77517=ORIENTED_EDGE('',*,*,#54100,.F.); #77518=ORIENTED_EDGE('',*,*,#54101,.T.); #77519=ORIENTED_EDGE('',*,*,#54098,.T.); #77520=ORIENTED_EDGE('',*,*,#54095,.T.); #77521=ORIENTED_EDGE('',*,*,#54102,.F.); #77522=ORIENTED_EDGE('',*,*,#54103,.T.); #77523=ORIENTED_EDGE('',*,*,#54104,.T.); #77524=ORIENTED_EDGE('',*,*,#54062,.T.); #77525=ORIENTED_EDGE('',*,*,#53801,.F.); #77526=ORIENTED_EDGE('',*,*,#54063,.T.); #77527=ORIENTED_EDGE('',*,*,#54104,.F.); #77528=ORIENTED_EDGE('',*,*,#54105,.F.); #77529=ORIENTED_EDGE('',*,*,#53791,.F.); #77530=ORIENTED_EDGE('',*,*,#54057,.T.); #77531=ORIENTED_EDGE('',*,*,#54106,.F.); #77532=ORIENTED_EDGE('',*,*,#54065,.F.); #77533=ORIENTED_EDGE('',*,*,#54107,.F.); #77534=ORIENTED_EDGE('',*,*,#54066,.T.); #77535=ORIENTED_EDGE('',*,*,#54106,.T.); #77536=ORIENTED_EDGE('',*,*,#54056,.T.); #77537=ORIENTED_EDGE('',*,*,#53783,.F.); #77538=ORIENTED_EDGE('',*,*,#54073,.T.); #77539=ORIENTED_EDGE('',*,*,#54108,.F.); #77540=ORIENTED_EDGE('',*,*,#54049,.F.); #77541=ORIENTED_EDGE('',*,*,#54109,.F.); #77542=ORIENTED_EDGE('',*,*,#54050,.T.); #77543=ORIENTED_EDGE('',*,*,#54108,.T.); #77544=ORIENTED_EDGE('',*,*,#54072,.T.); #77545=ORIENTED_EDGE('',*,*,#54110,.F.); #77546=ORIENTED_EDGE('',*,*,#54042,.T.); #77547=ORIENTED_EDGE('',*,*,#54111,.T.); #77548=ORIENTED_EDGE('',*,*,#54078,.T.); #77549=ORIENTED_EDGE('',*,*,#53809,.F.); #77550=ORIENTED_EDGE('',*,*,#54079,.T.); #77551=ORIENTED_EDGE('',*,*,#54111,.F.); #77552=ORIENTED_EDGE('',*,*,#54041,.F.); #77553=ORIENTED_EDGE('',*,*,#54039,.T.); #77554=ORIENTED_EDGE('',*,*,#53775,.F.); #77555=ORIENTED_EDGE('',*,*,#54097,.F.); #77556=ORIENTED_EDGE('',*,*,#53813,.F.); #77557=ORIENTED_EDGE('',*,*,#54081,.T.); #77558=ORIENTED_EDGE('',*,*,#53816,.F.); #77559=ORIENTED_EDGE('',*,*,#54099,.T.); #77560=ORIENTED_EDGE('',*,*,#54101,.F.); #77561=ORIENTED_EDGE('',*,*,#54112,.T.); #77562=ORIENTED_EDGE('',*,*,#53768,.F.); #77563=ORIENTED_EDGE('',*,*,#54084,.T.); #77564=ORIENTED_EDGE('',*,*,#53802,.F.); #77565=ORIENTED_EDGE('',*,*,#54105,.T.); #77566=ORIENTED_EDGE('',*,*,#54103,.F.); #77567=ORIENTED_EDGE('',*,*,#54113,.T.); #77568=ORIENTED_EDGE('',*,*,#53742,.F.); #77569=ORIENTED_EDGE('',*,*,#54102,.T.); #77570=ORIENTED_EDGE('',*,*,#54061,.T.); #77571=ORIENTED_EDGE('',*,*,#53743,.F.); #77572=ORIENTED_EDGE('',*,*,#54113,.F.); #77573=ORIENTED_EDGE('',*,*,#54069,.T.); #77574=ORIENTED_EDGE('',*,*,#53747,.F.); #77575=ORIENTED_EDGE('',*,*,#54114,.F.); #77576=ORIENTED_EDGE('',*,*,#53797,.F.); #77577=ORIENTED_EDGE('',*,*,#54053,.T.); #77578=ORIENTED_EDGE('',*,*,#53739,.F.); #77579=ORIENTED_EDGE('',*,*,#54115,.F.); #77580=ORIENTED_EDGE('',*,*,#53805,.F.); #77581=ORIENTED_EDGE('',*,*,#54110,.T.); #77582=ORIENTED_EDGE('',*,*,#54077,.T.); #77583=ORIENTED_EDGE('',*,*,#53735,.F.); #77584=ORIENTED_EDGE('',*,*,#54043,.F.); #77585=ORIENTED_EDGE('',*,*,#54047,.T.); #77586=ORIENTED_EDGE('',*,*,#53757,.F.); #77587=ORIENTED_EDGE('',*,*,#54059,.F.); #77588=ORIENTED_EDGE('',*,*,#53787,.F.); #77589=ORIENTED_EDGE('',*,*,#54100,.T.); #77590=ORIENTED_EDGE('',*,*,#54094,.T.); #77591=ORIENTED_EDGE('',*,*,#53769,.F.); #77592=ORIENTED_EDGE('',*,*,#54112,.F.); #77593=ORIENTED_EDGE('',*,*,#54045,.T.); #77594=ORIENTED_EDGE('',*,*,#53765,.F.); #77595=ORIENTED_EDGE('',*,*,#54075,.F.); #77596=ORIENTED_EDGE('',*,*,#53779,.F.); #77597=ORIENTED_EDGE('',*,*,#54107,.T.); #77598=ORIENTED_EDGE('',*,*,#54055,.T.); #77599=ORIENTED_EDGE('',*,*,#53753,.F.); #77600=ORIENTED_EDGE('',*,*,#54067,.F.); #77601=ORIENTED_EDGE('',*,*,#54082,.T.); #77602=ORIENTED_EDGE('',*,*,#53798,.F.); #77603=ORIENTED_EDGE('',*,*,#54114,.T.); #77604=ORIENTED_EDGE('',*,*,#53746,.F.); #77605=ORIENTED_EDGE('',*,*,#54109,.T.); #77606=ORIENTED_EDGE('',*,*,#54071,.T.); #77607=ORIENTED_EDGE('',*,*,#53761,.F.); #77608=ORIENTED_EDGE('',*,*,#54051,.F.); #77609=ORIENTED_EDGE('',*,*,#54083,.T.); #77610=ORIENTED_EDGE('',*,*,#53806,.F.); #77611=ORIENTED_EDGE('',*,*,#54115,.T.); #77612=ORIENTED_EDGE('',*,*,#53738,.F.); #77613=ORIENTED_EDGE('',*,*,#54116,.F.); #77614=ORIENTED_EDGE('',*,*,#54117,.F.); #77615=ORIENTED_EDGE('',*,*,#54118,.F.); #77616=ORIENTED_EDGE('',*,*,#54119,.T.); #77617=ORIENTED_EDGE('',*,*,#54120,.F.); #77618=ORIENTED_EDGE('',*,*,#54119,.F.); #77619=ORIENTED_EDGE('',*,*,#54121,.F.); #77620=ORIENTED_EDGE('',*,*,#54122,.T.); #77621=ORIENTED_EDGE('',*,*,#54123,.F.); #77622=ORIENTED_EDGE('',*,*,#54122,.F.); #77623=ORIENTED_EDGE('',*,*,#54124,.F.); #77624=ORIENTED_EDGE('',*,*,#54125,.T.); #77625=ORIENTED_EDGE('',*,*,#54126,.F.); #77626=ORIENTED_EDGE('',*,*,#54125,.F.); #77627=ORIENTED_EDGE('',*,*,#54127,.F.); #77628=ORIENTED_EDGE('',*,*,#54117,.T.); #77629=ORIENTED_EDGE('',*,*,#54116,.T.); #77630=ORIENTED_EDGE('',*,*,#54120,.T.); #77631=ORIENTED_EDGE('',*,*,#54123,.T.); #77632=ORIENTED_EDGE('',*,*,#54126,.T.); #77633=ORIENTED_EDGE('',*,*,#54128,.T.); #77634=ORIENTED_EDGE('',*,*,#54129,.T.); #77635=ORIENTED_EDGE('',*,*,#54130,.T.); #77636=ORIENTED_EDGE('',*,*,#54131,.T.); #77637=ORIENTED_EDGE('',*,*,#54132,.T.); #77638=ORIENTED_EDGE('',*,*,#54128,.F.); #77639=ORIENTED_EDGE('',*,*,#54133,.F.); #77640=ORIENTED_EDGE('',*,*,#54134,.T.); #77641=ORIENTED_EDGE('',*,*,#54135,.F.); #77642=ORIENTED_EDGE('',*,*,#54136,.T.); #77643=ORIENTED_EDGE('',*,*,#54137,.F.); #77644=ORIENTED_EDGE('',*,*,#54132,.F.); #77645=ORIENTED_EDGE('',*,*,#54138,.T.); #77646=ORIENTED_EDGE('',*,*,#54139,.T.); #77647=ORIENTED_EDGE('',*,*,#54133,.T.); #77648=ORIENTED_EDGE('',*,*,#54140,.F.); #77649=ORIENTED_EDGE('',*,*,#54134,.F.); #77650=ORIENTED_EDGE('',*,*,#54139,.F.); #77651=ORIENTED_EDGE('',*,*,#54141,.T.); #77652=ORIENTED_EDGE('',*,*,#53582,.F.); #77653=ORIENTED_EDGE('',*,*,#54142,.F.); #77654=ORIENTED_EDGE('',*,*,#53665,.F.); #77655=ORIENTED_EDGE('',*,*,#53661,.F.); #77656=ORIENTED_EDGE('',*,*,#54136,.F.); #77657=ORIENTED_EDGE('',*,*,#54143,.T.); #77658=ORIENTED_EDGE('',*,*,#54144,.F.); #77659=ORIENTED_EDGE('',*,*,#54145,.T.); #77660=ORIENTED_EDGE('',*,*,#53666,.F.); #77661=ORIENTED_EDGE('',*,*,#54131,.F.); #77662=ORIENTED_EDGE('',*,*,#54143,.F.); #77663=ORIENTED_EDGE('',*,*,#54142,.T.); #77664=ORIENTED_EDGE('',*,*,#54146,.F.); #77665=ORIENTED_EDGE('',*,*,#54141,.F.); #77666=ORIENTED_EDGE('',*,*,#54138,.F.); #77667=ORIENTED_EDGE('',*,*,#54145,.F.); #77668=ORIENTED_EDGE('',*,*,#54147,.F.); #77669=ORIENTED_EDGE('',*,*,#54148,.F.); #77670=ORIENTED_EDGE('',*,*,#53667,.F.); #77671=ORIENTED_EDGE('',*,*,#54149,.F.); #77672=ORIENTED_EDGE('',*,*,#53659,.F.); #77673=ORIENTED_EDGE('',*,*,#53676,.F.); #77674=ORIENTED_EDGE('',*,*,#54150,.F.); #77675=ORIENTED_EDGE('',*,*,#54137,.T.); #77676=ORIENTED_EDGE('',*,*,#53660,.F.); #77677=ORIENTED_EDGE('',*,*,#54149,.T.); #77678=ORIENTED_EDGE('',*,*,#54151,.F.); #77679=ORIENTED_EDGE('',*,*,#53652,.T.); #77680=ORIENTED_EDGE('',*,*,#53674,.F.); #77681=ORIENTED_EDGE('',*,*,#54152,.T.); #77682=ORIENTED_EDGE('',*,*,#54153,.T.); #77683=ORIENTED_EDGE('',*,*,#54148,.T.); #77684=ORIENTED_EDGE('',*,*,#54154,.T.); #77685=ORIENTED_EDGE('',*,*,#54155,.T.); #77686=ORIENTED_EDGE('',*,*,#53668,.F.); #77687=ORIENTED_EDGE('',*,*,#53653,.T.); #77688=ORIENTED_EDGE('',*,*,#54153,.F.); #77689=ORIENTED_EDGE('',*,*,#54156,.T.); #77690=ORIENTED_EDGE('',*,*,#54157,.T.); #77691=ORIENTED_EDGE('',*,*,#54158,.F.); #77692=ORIENTED_EDGE('',*,*,#54159,.T.); #77693=ORIENTED_EDGE('',*,*,#54160,.T.); #77694=ORIENTED_EDGE('',*,*,#54154,.F.); #77695=ORIENTED_EDGE('',*,*,#54147,.T.); #77696=ORIENTED_EDGE('',*,*,#54144,.T.); #77697=ORIENTED_EDGE('',*,*,#54130,.F.); #77698=ORIENTED_EDGE('',*,*,#54161,.T.); #77699=ORIENTED_EDGE('',*,*,#54162,.T.); #77700=ORIENTED_EDGE('',*,*,#54163,.T.); #77701=ORIENTED_EDGE('',*,*,#54161,.F.); #77702=ORIENTED_EDGE('',*,*,#54129,.F.); #77703=ORIENTED_EDGE('',*,*,#54151,.T.); #77704=ORIENTED_EDGE('',*,*,#54150,.T.); #77705=ORIENTED_EDGE('',*,*,#53675,.F.); #77706=ORIENTED_EDGE('',*,*,#53651,.T.); #77707=ORIENTED_EDGE('',*,*,#53656,.T.); #77708=ORIENTED_EDGE('',*,*,#54164,.T.); #77709=ORIENTED_EDGE('',*,*,#54158,.T.); #77710=ORIENTED_EDGE('',*,*,#54165,.T.); #77711=ORIENTED_EDGE('',*,*,#54166,.T.); #77712=ORIENTED_EDGE('',*,*,#54164,.F.); #77713=ORIENTED_EDGE('',*,*,#54167,.T.); #77714=ORIENTED_EDGE('',*,*,#54168,.T.); #77715=ORIENTED_EDGE('',*,*,#54169,.F.); #77716=ORIENTED_EDGE('',*,*,#54170,.T.); #77717=ORIENTED_EDGE('',*,*,#54171,.F.); #77718=ORIENTED_EDGE('',*,*,#54166,.F.); #77719=ORIENTED_EDGE('',*,*,#54172,.F.); #77720=ORIENTED_EDGE('',*,*,#54173,.T.); #77721=ORIENTED_EDGE('',*,*,#54167,.F.); #77722=ORIENTED_EDGE('',*,*,#54174,.F.); #77723=ORIENTED_EDGE('',*,*,#54168,.F.); #77724=ORIENTED_EDGE('',*,*,#54173,.F.); #77725=ORIENTED_EDGE('',*,*,#54175,.T.); #77726=ORIENTED_EDGE('',*,*,#53576,.F.); #77727=ORIENTED_EDGE('',*,*,#54176,.F.); #77728=ORIENTED_EDGE('',*,*,#53671,.F.); #77729=ORIENTED_EDGE('',*,*,#54170,.F.); #77730=ORIENTED_EDGE('',*,*,#54165,.F.); #77731=ORIENTED_EDGE('',*,*,#54177,.F.); #77732=ORIENTED_EDGE('',*,*,#54176,.T.); #77733=ORIENTED_EDGE('',*,*,#54178,.F.); #77734=ORIENTED_EDGE('',*,*,#54175,.F.); #77735=ORIENTED_EDGE('',*,*,#54172,.T.); #77736=ORIENTED_EDGE('',*,*,#54179,.F.); #77737=ORIENTED_EDGE('',*,*,#53669,.F.); #77738=ORIENTED_EDGE('',*,*,#54155,.F.); #77739=ORIENTED_EDGE('',*,*,#54160,.F.); #77740=ORIENTED_EDGE('',*,*,#54171,.T.); #77741=ORIENTED_EDGE('',*,*,#53670,.F.); #77742=ORIENTED_EDGE('',*,*,#54179,.T.); #77743=ORIENTED_EDGE('',*,*,#54159,.F.); #77744=ORIENTED_EDGE('',*,*,#54177,.T.); #77745=ORIENTED_EDGE('',*,*,#54157,.F.); #77746=ORIENTED_EDGE('',*,*,#54180,.T.); #77747=ORIENTED_EDGE('',*,*,#53672,.F.); #77748=ORIENTED_EDGE('',*,*,#54180,.F.); #77749=ORIENTED_EDGE('',*,*,#54156,.F.); #77750=ORIENTED_EDGE('',*,*,#54152,.F.); #77751=ORIENTED_EDGE('',*,*,#53673,.F.); #77752=ORIENTED_EDGE('',*,*,#54181,.T.); #77753=ORIENTED_EDGE('',*,*,#54182,.F.); #77754=ORIENTED_EDGE('',*,*,#53664,.T.); #77755=ORIENTED_EDGE('',*,*,#54183,.T.); #77756=ORIENTED_EDGE('',*,*,#54181,.F.); #77757=ORIENTED_EDGE('',*,*,#54183,.F.); #77758=ORIENTED_EDGE('',*,*,#53663,.T.); #77759=ORIENTED_EDGE('',*,*,#54184,.F.); #77760=ORIENTED_EDGE('',*,*,#53847,.T.); #77761=ORIENTED_EDGE('',*,*,#53892,.T.); #77762=ORIENTED_EDGE('',*,*,#53889,.T.); #77763=ORIENTED_EDGE('',*,*,#53886,.T.); #77764=ORIENTED_EDGE('',*,*,#53883,.T.); #77765=ORIENTED_EDGE('',*,*,#53880,.T.); #77766=ORIENTED_EDGE('',*,*,#53877,.T.); #77767=ORIENTED_EDGE('',*,*,#53874,.T.); #77768=ORIENTED_EDGE('',*,*,#53871,.T.); #77769=ORIENTED_EDGE('',*,*,#53868,.T.); #77770=ORIENTED_EDGE('',*,*,#53865,.T.); #77771=ORIENTED_EDGE('',*,*,#53862,.T.); #77772=ORIENTED_EDGE('',*,*,#53859,.T.); #77773=ORIENTED_EDGE('',*,*,#53856,.T.); #77774=ORIENTED_EDGE('',*,*,#53853,.T.); #77775=ORIENTED_EDGE('',*,*,#53850,.T.); #77776=ORIENTED_EDGE('',*,*,#53895,.T.); #77777=ORIENTED_EDGE('',*,*,#53940,.T.); #77778=ORIENTED_EDGE('',*,*,#53937,.T.); #77779=ORIENTED_EDGE('',*,*,#53934,.T.); #77780=ORIENTED_EDGE('',*,*,#53931,.T.); #77781=ORIENTED_EDGE('',*,*,#53928,.T.); #77782=ORIENTED_EDGE('',*,*,#53925,.T.); #77783=ORIENTED_EDGE('',*,*,#53922,.T.); #77784=ORIENTED_EDGE('',*,*,#53919,.T.); #77785=ORIENTED_EDGE('',*,*,#53916,.T.); #77786=ORIENTED_EDGE('',*,*,#53913,.T.); #77787=ORIENTED_EDGE('',*,*,#53910,.T.); #77788=ORIENTED_EDGE('',*,*,#53907,.T.); #77789=ORIENTED_EDGE('',*,*,#53904,.T.); #77790=ORIENTED_EDGE('',*,*,#53901,.T.); #77791=ORIENTED_EDGE('',*,*,#53898,.T.); #77792=ORIENTED_EDGE('',*,*,#53943,.T.); #77793=ORIENTED_EDGE('',*,*,#53988,.T.); #77794=ORIENTED_EDGE('',*,*,#53985,.T.); #77795=ORIENTED_EDGE('',*,*,#53982,.T.); #77796=ORIENTED_EDGE('',*,*,#53979,.T.); #77797=ORIENTED_EDGE('',*,*,#53976,.T.); #77798=ORIENTED_EDGE('',*,*,#53973,.T.); #77799=ORIENTED_EDGE('',*,*,#53970,.T.); #77800=ORIENTED_EDGE('',*,*,#53967,.T.); #77801=ORIENTED_EDGE('',*,*,#53964,.T.); #77802=ORIENTED_EDGE('',*,*,#53961,.T.); #77803=ORIENTED_EDGE('',*,*,#53958,.T.); #77804=ORIENTED_EDGE('',*,*,#53955,.T.); #77805=ORIENTED_EDGE('',*,*,#53952,.T.); #77806=ORIENTED_EDGE('',*,*,#53949,.T.); #77807=ORIENTED_EDGE('',*,*,#53946,.T.); #77808=ORIENTED_EDGE('',*,*,#53991,.T.); #77809=ORIENTED_EDGE('',*,*,#54036,.T.); #77810=ORIENTED_EDGE('',*,*,#54033,.T.); #77811=ORIENTED_EDGE('',*,*,#54030,.T.); #77812=ORIENTED_EDGE('',*,*,#54027,.T.); #77813=ORIENTED_EDGE('',*,*,#54024,.T.); #77814=ORIENTED_EDGE('',*,*,#54021,.T.); #77815=ORIENTED_EDGE('',*,*,#54018,.T.); #77816=ORIENTED_EDGE('',*,*,#54015,.T.); #77817=ORIENTED_EDGE('',*,*,#54012,.T.); #77818=ORIENTED_EDGE('',*,*,#54009,.T.); #77819=ORIENTED_EDGE('',*,*,#54006,.T.); #77820=ORIENTED_EDGE('',*,*,#54003,.T.); #77821=ORIENTED_EDGE('',*,*,#54000,.T.); #77822=ORIENTED_EDGE('',*,*,#53997,.T.); #77823=ORIENTED_EDGE('',*,*,#53994,.T.); #77824=ORIENTED_EDGE('',*,*,#54118,.T.); #77825=ORIENTED_EDGE('',*,*,#54127,.T.); #77826=ORIENTED_EDGE('',*,*,#54124,.T.); #77827=ORIENTED_EDGE('',*,*,#54121,.T.); #77828=ORIENTED_EDGE('',*,*,#54162,.F.); #77829=ORIENTED_EDGE('',*,*,#54163,.F.); #77830=ORIENTED_EDGE('',*,*,#54185,.T.); #77831=ORIENTED_EDGE('',*,*,#54184,.T.); #77832=ORIENTED_EDGE('',*,*,#54185,.F.); #77833=ORIENTED_EDGE('',*,*,#54186,.F.); #77834=ORIENTED_EDGE('',*,*,#53722,.F.); #77835=ORIENTED_EDGE('',*,*,#54187,.F.); #77836=ORIENTED_EDGE('',*,*,#53578,.F.); #77837=ORIENTED_EDGE('',*,*,#54188,.F.); #77838=ORIENTED_EDGE('',*,*,#53574,.F.); #77839=ORIENTED_EDGE('',*,*,#53625,.F.); #77840=ORIENTED_EDGE('',*,*,#53724,.T.); #77841=ORIENTED_EDGE('',*,*,#54178,.T.); #77842=ORIENTED_EDGE('',*,*,#53575,.F.); #77843=ORIENTED_EDGE('',*,*,#54188,.T.); #77844=ORIENTED_EDGE('',*,*,#53723,.T.); #77845=ORIENTED_EDGE('',*,*,#54186,.T.); #77846=ORIENTED_EDGE('',*,*,#53577,.F.); #77847=ORIENTED_EDGE('',*,*,#54169,.T.); #77848=ORIENTED_EDGE('',*,*,#54174,.T.); #77849=ORIENTED_EDGE('',*,*,#54189,.F.); #77850=ORIENTED_EDGE('',*,*,#53580,.F.); #77851=ORIENTED_EDGE('',*,*,#54190,.F.); #77852=ORIENTED_EDGE('',*,*,#53720,.T.); #77853=ORIENTED_EDGE('',*,*,#54191,.F.); #77854=ORIENTED_EDGE('',*,*,#53718,.F.); #77855=ORIENTED_EDGE('',*,*,#54192,.F.); #77856=ORIENTED_EDGE('',*,*,#53584,.F.); #77857=ORIENTED_EDGE('',*,*,#54146,.T.); #77858=ORIENTED_EDGE('',*,*,#53581,.F.); #77859=ORIENTED_EDGE('',*,*,#54189,.T.); #77860=ORIENTED_EDGE('',*,*,#53719,.T.); #77861=ORIENTED_EDGE('',*,*,#54191,.T.); #77862=ORIENTED_EDGE('',*,*,#53583,.F.); #77863=ORIENTED_EDGE('',*,*,#54135,.T.); #77864=ORIENTED_EDGE('',*,*,#54140,.T.); #77865=ORIENTED_EDGE('',*,*,#54187,.T.); #77866=ORIENTED_EDGE('',*,*,#53721,.F.); #77867=ORIENTED_EDGE('',*,*,#54190,.T.); #77868=ORIENTED_EDGE('',*,*,#53579,.T.); #77869=ORIENTED_EDGE('',*,*,#53611,.F.); #77870=ORIENTED_EDGE('',*,*,#53585,.T.); #77871=ORIENTED_EDGE('',*,*,#54192,.T.); #77872=ORIENTED_EDGE('',*,*,#53717,.F.); #77873=ORIENTED_EDGE('',*,*,#53610,.F.); #77874=ORIENTED_EDGE('',*,*,#53567,.T.); #77875=ORIENTED_EDGE('',*,*,#54193,.T.); #77876=ORIENTED_EDGE('',*,*,#54182,.T.); #77877=ORIENTED_EDGE('',*,*,#54193,.F.); #77878=ORIENTED_EDGE('',*,*,#54194,.T.); #77879=ORIENTED_EDGE('',*,*,#54195,.T.); #77880=ORIENTED_EDGE('',*,*,#54196,.T.); #77881=ORIENTED_EDGE('',*,*,#54197,.T.); #77882=ORIENTED_EDGE('',*,*,#54198,.T.); #77883=ORIENTED_EDGE('',*,*,#54199,.T.); #77884=ORIENTED_EDGE('',*,*,#54200,.T.); #77885=ORIENTED_EDGE('',*,*,#54201,.T.); #77886=ORIENTED_EDGE('',*,*,#54202,.T.); #77887=ORIENTED_EDGE('',*,*,#54203,.T.); #77888=ORIENTED_EDGE('',*,*,#54204,.T.); #77889=ORIENTED_EDGE('',*,*,#54205,.T.); #77890=ORIENTED_EDGE('',*,*,#54206,.T.); #77891=ORIENTED_EDGE('',*,*,#54207,.T.); #77892=ORIENTED_EDGE('',*,*,#54208,.F.); #77893=ORIENTED_EDGE('',*,*,#54209,.F.); #77894=ORIENTED_EDGE('',*,*,#54210,.F.); #77895=ORIENTED_EDGE('',*,*,#54195,.F.); #77896=ORIENTED_EDGE('',*,*,#54210,.T.); #77897=ORIENTED_EDGE('',*,*,#54211,.F.); #77898=ORIENTED_EDGE('',*,*,#54212,.F.); #77899=ORIENTED_EDGE('',*,*,#54196,.F.); #77900=ORIENTED_EDGE('',*,*,#54212,.T.); #77901=ORIENTED_EDGE('',*,*,#54213,.F.); #77902=ORIENTED_EDGE('',*,*,#54214,.F.); #77903=ORIENTED_EDGE('',*,*,#54197,.F.); #77904=ORIENTED_EDGE('',*,*,#54214,.T.); #77905=ORIENTED_EDGE('',*,*,#54215,.F.); #77906=ORIENTED_EDGE('',*,*,#54216,.F.); #77907=ORIENTED_EDGE('',*,*,#54198,.F.); #77908=ORIENTED_EDGE('',*,*,#54216,.T.); #77909=ORIENTED_EDGE('',*,*,#54217,.F.); #77910=ORIENTED_EDGE('',*,*,#54218,.F.); #77911=ORIENTED_EDGE('',*,*,#54199,.F.); #77912=ORIENTED_EDGE('',*,*,#54218,.T.); #77913=ORIENTED_EDGE('',*,*,#54219,.F.); #77914=ORIENTED_EDGE('',*,*,#54220,.F.); #77915=ORIENTED_EDGE('',*,*,#54200,.F.); #77916=ORIENTED_EDGE('',*,*,#54220,.T.); #77917=ORIENTED_EDGE('',*,*,#54221,.F.); #77918=ORIENTED_EDGE('',*,*,#54222,.F.); #77919=ORIENTED_EDGE('',*,*,#54201,.F.); #77920=ORIENTED_EDGE('',*,*,#54222,.T.); #77921=ORIENTED_EDGE('',*,*,#54223,.F.); #77922=ORIENTED_EDGE('',*,*,#54224,.F.); #77923=ORIENTED_EDGE('',*,*,#54202,.F.); #77924=ORIENTED_EDGE('',*,*,#54224,.T.); #77925=ORIENTED_EDGE('',*,*,#54225,.F.); #77926=ORIENTED_EDGE('',*,*,#54226,.F.); #77927=ORIENTED_EDGE('',*,*,#54203,.F.); #77928=ORIENTED_EDGE('',*,*,#54226,.T.); #77929=ORIENTED_EDGE('',*,*,#54227,.F.); #77930=ORIENTED_EDGE('',*,*,#54228,.F.); #77931=ORIENTED_EDGE('',*,*,#54204,.F.); #77932=ORIENTED_EDGE('',*,*,#54228,.T.); #77933=ORIENTED_EDGE('',*,*,#54229,.F.); #77934=ORIENTED_EDGE('',*,*,#54230,.F.); #77935=ORIENTED_EDGE('',*,*,#54205,.F.); #77936=ORIENTED_EDGE('',*,*,#54230,.T.); #77937=ORIENTED_EDGE('',*,*,#54231,.F.); #77938=ORIENTED_EDGE('',*,*,#54232,.F.); #77939=ORIENTED_EDGE('',*,*,#54206,.F.); #77940=ORIENTED_EDGE('',*,*,#54232,.T.); #77941=ORIENTED_EDGE('',*,*,#54233,.F.); #77942=ORIENTED_EDGE('',*,*,#54234,.F.); #77943=ORIENTED_EDGE('',*,*,#54207,.F.); #77944=ORIENTED_EDGE('',*,*,#54234,.T.); #77945=ORIENTED_EDGE('',*,*,#54235,.F.); #77946=ORIENTED_EDGE('',*,*,#54208,.T.); #77947=ORIENTED_EDGE('',*,*,#54194,.F.); #77948=ORIENTED_EDGE('',*,*,#54235,.T.); #77949=ORIENTED_EDGE('',*,*,#54233,.T.); #77950=ORIENTED_EDGE('',*,*,#54231,.T.); #77951=ORIENTED_EDGE('',*,*,#54229,.T.); #77952=ORIENTED_EDGE('',*,*,#54227,.T.); #77953=ORIENTED_EDGE('',*,*,#54225,.T.); #77954=ORIENTED_EDGE('',*,*,#54223,.T.); #77955=ORIENTED_EDGE('',*,*,#54221,.T.); #77956=ORIENTED_EDGE('',*,*,#54219,.T.); #77957=ORIENTED_EDGE('',*,*,#54217,.T.); #77958=ORIENTED_EDGE('',*,*,#54215,.T.); #77959=ORIENTED_EDGE('',*,*,#54213,.T.); #77960=ORIENTED_EDGE('',*,*,#54211,.T.); #77961=ORIENTED_EDGE('',*,*,#54209,.T.); #77962=ORIENTED_EDGE('',*,*,#54236,.F.); #77963=ORIENTED_EDGE('',*,*,#54237,.T.); #77964=ORIENTED_EDGE('',*,*,#54236,.T.); #77965=ORIENTED_EDGE('',*,*,#54237,.F.); #77966=ORIENTED_EDGE('',*,*,#54238,.T.); #77967=ORIENTED_EDGE('',*,*,#54239,.T.); #77968=ORIENTED_EDGE('',*,*,#54240,.T.); #77969=ORIENTED_EDGE('',*,*,#54241,.T.); #77970=ORIENTED_EDGE('',*,*,#54239,.F.); #77971=ORIENTED_EDGE('',*,*,#54240,.F.); #77972=ORIENTED_EDGE('',*,*,#54241,.F.); #77973=ORIENTED_EDGE('',*,*,#54242,.T.); #77974=ORIENTED_EDGE('',*,*,#54243,.F.); #77975=ORIENTED_EDGE('',*,*,#54242,.F.); #77976=ORIENTED_EDGE('',*,*,#54244,.F.); #77977=ORIENTED_EDGE('',*,*,#54238,.F.); #77978=ORIENTED_EDGE('',*,*,#54245,.T.); #77979=ORIENTED_EDGE('',*,*,#54246,.T.); #77980=ORIENTED_EDGE('',*,*,#54243,.T.); #77981=ORIENTED_EDGE('',*,*,#54246,.F.); #77982=ORIENTED_EDGE('',*,*,#54247,.T.); #77983=ORIENTED_EDGE('',*,*,#54245,.F.); #77984=ORIENTED_EDGE('',*,*,#54248,.T.); #77985=ORIENTED_EDGE('',*,*,#54249,.T.); #77986=ORIENTED_EDGE('',*,*,#54244,.T.); #77987=ORIENTED_EDGE('',*,*,#54249,.F.); #77988=ORIENTED_EDGE('',*,*,#54248,.F.); #77989=ORIENTED_EDGE('',*,*,#54250,.T.); #77990=ORIENTED_EDGE('',*,*,#54251,.T.); #77991=ORIENTED_EDGE('',*,*,#54252,.T.); #77992=ORIENTED_EDGE('',*,*,#54250,.F.); #77993=ORIENTED_EDGE('',*,*,#54247,.F.); #77994=ORIENTED_EDGE('',*,*,#54253,.T.); #77995=ORIENTED_EDGE('',*,*,#54254,.F.); #77996=ORIENTED_EDGE('',*,*,#54255,.F.); #77997=ORIENTED_EDGE('',*,*,#54256,.F.); #77998=ORIENTED_EDGE('',*,*,#54257,.F.); #77999=ORIENTED_EDGE('',*,*,#54258,.F.); #78000=ORIENTED_EDGE('',*,*,#54259,.F.); #78001=ORIENTED_EDGE('',*,*,#54253,.F.); #78002=ORIENTED_EDGE('',*,*,#54254,.T.); #78003=ORIENTED_EDGE('',*,*,#54260,.F.); #78004=ORIENTED_EDGE('',*,*,#54261,.T.); #78005=ORIENTED_EDGE('',*,*,#54262,.F.); #78006=ORIENTED_EDGE('',*,*,#54259,.T.); #78007=ORIENTED_EDGE('',*,*,#54263,.T.); #78008=ORIENTED_EDGE('',*,*,#54264,.T.); #78009=ORIENTED_EDGE('',*,*,#54260,.T.); #78010=ORIENTED_EDGE('',*,*,#54258,.T.); #78011=ORIENTED_EDGE('',*,*,#54265,.T.); #78012=ORIENTED_EDGE('',*,*,#54266,.T.); #78013=ORIENTED_EDGE('',*,*,#54263,.F.); #78014=ORIENTED_EDGE('',*,*,#54251,.F.); #78015=ORIENTED_EDGE('',*,*,#54252,.F.); #78016=ORIENTED_EDGE('',*,*,#54267,.T.); #78017=ORIENTED_EDGE('',*,*,#54264,.F.); #78018=ORIENTED_EDGE('',*,*,#54266,.F.); #78019=ORIENTED_EDGE('',*,*,#54268,.F.); #78020=ORIENTED_EDGE('',*,*,#54269,.F.); #78021=ORIENTED_EDGE('',*,*,#54270,.F.); #78022=ORIENTED_EDGE('',*,*,#54261,.F.); #78023=ORIENTED_EDGE('',*,*,#54267,.F.); #78024=ORIENTED_EDGE('',*,*,#54257,.T.); #78025=ORIENTED_EDGE('',*,*,#54271,.T.); #78026=ORIENTED_EDGE('',*,*,#54268,.T.); #78027=ORIENTED_EDGE('',*,*,#54265,.F.); #78028=ORIENTED_EDGE('',*,*,#54255,.T.); #78029=ORIENTED_EDGE('',*,*,#54262,.T.); #78030=ORIENTED_EDGE('',*,*,#54270,.T.); #78031=ORIENTED_EDGE('',*,*,#54272,.F.); #78032=ORIENTED_EDGE('',*,*,#54256,.T.); #78033=ORIENTED_EDGE('',*,*,#54272,.T.); #78034=ORIENTED_EDGE('',*,*,#54269,.T.); #78035=ORIENTED_EDGE('',*,*,#54271,.F.); #78036=ORIENTED_EDGE('',*,*,#54273,.F.); #78037=ORIENTED_EDGE('',*,*,#54274,.T.); #78038=ORIENTED_EDGE('',*,*,#54275,.T.); #78039=ORIENTED_EDGE('',*,*,#54276,.F.); #78040=ORIENTED_EDGE('',*,*,#54277,.F.); #78041=ORIENTED_EDGE('',*,*,#54278,.T.); #78042=ORIENTED_EDGE('',*,*,#54279,.T.); #78043=ORIENTED_EDGE('',*,*,#54274,.F.); #78044=ORIENTED_EDGE('',*,*,#54280,.F.); #78045=ORIENTED_EDGE('',*,*,#54281,.T.); #78046=ORIENTED_EDGE('',*,*,#54282,.T.); #78047=ORIENTED_EDGE('',*,*,#54278,.F.); #78048=ORIENTED_EDGE('',*,*,#54283,.F.); #78049=ORIENTED_EDGE('',*,*,#54284,.T.); #78050=ORIENTED_EDGE('',*,*,#54285,.T.); #78051=ORIENTED_EDGE('',*,*,#54281,.F.); #78052=ORIENTED_EDGE('',*,*,#54286,.F.); #78053=ORIENTED_EDGE('',*,*,#54287,.T.); #78054=ORIENTED_EDGE('',*,*,#54288,.T.); #78055=ORIENTED_EDGE('',*,*,#54284,.F.); #78056=ORIENTED_EDGE('',*,*,#54289,.F.); #78057=ORIENTED_EDGE('',*,*,#54290,.T.); #78058=ORIENTED_EDGE('',*,*,#54291,.T.); #78059=ORIENTED_EDGE('',*,*,#54287,.F.); #78060=ORIENTED_EDGE('',*,*,#54292,.F.); #78061=ORIENTED_EDGE('',*,*,#54293,.T.); #78062=ORIENTED_EDGE('',*,*,#54294,.T.); #78063=ORIENTED_EDGE('',*,*,#54290,.F.); #78064=ORIENTED_EDGE('',*,*,#54295,.F.); #78065=ORIENTED_EDGE('',*,*,#54296,.T.); #78066=ORIENTED_EDGE('',*,*,#54297,.T.); #78067=ORIENTED_EDGE('',*,*,#54293,.F.); #78068=ORIENTED_EDGE('',*,*,#54298,.F.); #78069=ORIENTED_EDGE('',*,*,#54299,.T.); #78070=ORIENTED_EDGE('',*,*,#54300,.T.); #78071=ORIENTED_EDGE('',*,*,#54296,.F.); #78072=ORIENTED_EDGE('',*,*,#54301,.F.); #78073=ORIENTED_EDGE('',*,*,#54276,.T.); #78074=ORIENTED_EDGE('',*,*,#54302,.T.); #78075=ORIENTED_EDGE('',*,*,#54299,.F.); #78076=ORIENTED_EDGE('',*,*,#54302,.F.); #78077=ORIENTED_EDGE('',*,*,#54275,.F.); #78078=ORIENTED_EDGE('',*,*,#54279,.F.); #78079=ORIENTED_EDGE('',*,*,#54282,.F.); #78080=ORIENTED_EDGE('',*,*,#54285,.F.); #78081=ORIENTED_EDGE('',*,*,#54288,.F.); #78082=ORIENTED_EDGE('',*,*,#54291,.F.); #78083=ORIENTED_EDGE('',*,*,#54294,.F.); #78084=ORIENTED_EDGE('',*,*,#54297,.F.); #78085=ORIENTED_EDGE('',*,*,#54300,.F.); #78086=ORIENTED_EDGE('',*,*,#54303,.F.); #78087=ORIENTED_EDGE('',*,*,#54304,.T.); #78088=ORIENTED_EDGE('',*,*,#54305,.T.); #78089=ORIENTED_EDGE('',*,*,#54306,.F.); #78090=ORIENTED_EDGE('',*,*,#54307,.F.); #78091=ORIENTED_EDGE('',*,*,#54308,.T.); #78092=ORIENTED_EDGE('',*,*,#54309,.T.); #78093=ORIENTED_EDGE('',*,*,#54304,.F.); #78094=ORIENTED_EDGE('',*,*,#54310,.F.); #78095=ORIENTED_EDGE('',*,*,#54311,.T.); #78096=ORIENTED_EDGE('',*,*,#54312,.T.); #78097=ORIENTED_EDGE('',*,*,#54308,.F.); #78098=ORIENTED_EDGE('',*,*,#54313,.F.); #78099=ORIENTED_EDGE('',*,*,#54314,.T.); #78100=ORIENTED_EDGE('',*,*,#54315,.T.); #78101=ORIENTED_EDGE('',*,*,#54311,.F.); #78102=ORIENTED_EDGE('',*,*,#54316,.F.); #78103=ORIENTED_EDGE('',*,*,#54317,.T.); #78104=ORIENTED_EDGE('',*,*,#54318,.T.); #78105=ORIENTED_EDGE('',*,*,#54314,.F.); #78106=ORIENTED_EDGE('',*,*,#54319,.F.); #78107=ORIENTED_EDGE('',*,*,#54320,.T.); #78108=ORIENTED_EDGE('',*,*,#54321,.T.); #78109=ORIENTED_EDGE('',*,*,#54317,.F.); #78110=ORIENTED_EDGE('',*,*,#54322,.F.); #78111=ORIENTED_EDGE('',*,*,#54323,.T.); #78112=ORIENTED_EDGE('',*,*,#54324,.T.); #78113=ORIENTED_EDGE('',*,*,#54320,.F.); #78114=ORIENTED_EDGE('',*,*,#54325,.F.); #78115=ORIENTED_EDGE('',*,*,#54326,.T.); #78116=ORIENTED_EDGE('',*,*,#54327,.T.); #78117=ORIENTED_EDGE('',*,*,#54323,.F.); #78118=ORIENTED_EDGE('',*,*,#54328,.F.); #78119=ORIENTED_EDGE('',*,*,#54329,.T.); #78120=ORIENTED_EDGE('',*,*,#54330,.T.); #78121=ORIENTED_EDGE('',*,*,#54326,.F.); #78122=ORIENTED_EDGE('',*,*,#54331,.F.); #78123=ORIENTED_EDGE('',*,*,#54306,.T.); #78124=ORIENTED_EDGE('',*,*,#54332,.T.); #78125=ORIENTED_EDGE('',*,*,#54329,.F.); #78126=ORIENTED_EDGE('',*,*,#54332,.F.); #78127=ORIENTED_EDGE('',*,*,#54305,.F.); #78128=ORIENTED_EDGE('',*,*,#54309,.F.); #78129=ORIENTED_EDGE('',*,*,#54312,.F.); #78130=ORIENTED_EDGE('',*,*,#54315,.F.); #78131=ORIENTED_EDGE('',*,*,#54318,.F.); #78132=ORIENTED_EDGE('',*,*,#54321,.F.); #78133=ORIENTED_EDGE('',*,*,#54324,.F.); #78134=ORIENTED_EDGE('',*,*,#54327,.F.); #78135=ORIENTED_EDGE('',*,*,#54330,.F.); #78136=ORIENTED_EDGE('',*,*,#54333,.F.); #78137=ORIENTED_EDGE('',*,*,#54334,.T.); #78138=ORIENTED_EDGE('',*,*,#54335,.T.); #78139=ORIENTED_EDGE('',*,*,#54336,.F.); #78140=ORIENTED_EDGE('',*,*,#54337,.F.); #78141=ORIENTED_EDGE('',*,*,#54338,.T.); #78142=ORIENTED_EDGE('',*,*,#54339,.T.); #78143=ORIENTED_EDGE('',*,*,#54334,.F.); #78144=ORIENTED_EDGE('',*,*,#54340,.F.); #78145=ORIENTED_EDGE('',*,*,#54341,.T.); #78146=ORIENTED_EDGE('',*,*,#54342,.T.); #78147=ORIENTED_EDGE('',*,*,#54338,.F.); #78148=ORIENTED_EDGE('',*,*,#54343,.F.); #78149=ORIENTED_EDGE('',*,*,#54344,.T.); #78150=ORIENTED_EDGE('',*,*,#54345,.T.); #78151=ORIENTED_EDGE('',*,*,#54341,.F.); #78152=ORIENTED_EDGE('',*,*,#54346,.F.); #78153=ORIENTED_EDGE('',*,*,#54347,.T.); #78154=ORIENTED_EDGE('',*,*,#54348,.T.); #78155=ORIENTED_EDGE('',*,*,#54344,.F.); #78156=ORIENTED_EDGE('',*,*,#54349,.F.); #78157=ORIENTED_EDGE('',*,*,#54350,.T.); #78158=ORIENTED_EDGE('',*,*,#54351,.T.); #78159=ORIENTED_EDGE('',*,*,#54347,.F.); #78160=ORIENTED_EDGE('',*,*,#54352,.F.); #78161=ORIENTED_EDGE('',*,*,#54353,.T.); #78162=ORIENTED_EDGE('',*,*,#54354,.T.); #78163=ORIENTED_EDGE('',*,*,#54350,.F.); #78164=ORIENTED_EDGE('',*,*,#54355,.F.); #78165=ORIENTED_EDGE('',*,*,#54336,.T.); #78166=ORIENTED_EDGE('',*,*,#54356,.T.); #78167=ORIENTED_EDGE('',*,*,#54353,.F.); #78168=ORIENTED_EDGE('',*,*,#54356,.F.); #78169=ORIENTED_EDGE('',*,*,#54335,.F.); #78170=ORIENTED_EDGE('',*,*,#54339,.F.); #78171=ORIENTED_EDGE('',*,*,#54342,.F.); #78172=ORIENTED_EDGE('',*,*,#54345,.F.); #78173=ORIENTED_EDGE('',*,*,#54348,.F.); #78174=ORIENTED_EDGE('',*,*,#54351,.F.); #78175=ORIENTED_EDGE('',*,*,#54354,.F.); #78176=ORIENTED_EDGE('',*,*,#54357,.F.); #78177=ORIENTED_EDGE('',*,*,#54358,.T.); #78178=ORIENTED_EDGE('',*,*,#54359,.T.); #78179=ORIENTED_EDGE('',*,*,#54360,.F.); #78180=ORIENTED_EDGE('',*,*,#54361,.F.); #78181=ORIENTED_EDGE('',*,*,#54362,.T.); #78182=ORIENTED_EDGE('',*,*,#54363,.T.); #78183=ORIENTED_EDGE('',*,*,#54358,.F.); #78184=ORIENTED_EDGE('',*,*,#54364,.F.); #78185=ORIENTED_EDGE('',*,*,#54365,.T.); #78186=ORIENTED_EDGE('',*,*,#54366,.T.); #78187=ORIENTED_EDGE('',*,*,#54362,.F.); #78188=ORIENTED_EDGE('',*,*,#54367,.F.); #78189=ORIENTED_EDGE('',*,*,#54368,.T.); #78190=ORIENTED_EDGE('',*,*,#54369,.T.); #78191=ORIENTED_EDGE('',*,*,#54365,.F.); #78192=ORIENTED_EDGE('',*,*,#54370,.F.); #78193=ORIENTED_EDGE('',*,*,#54371,.T.); #78194=ORIENTED_EDGE('',*,*,#54372,.T.); #78195=ORIENTED_EDGE('',*,*,#54368,.F.); #78196=ORIENTED_EDGE('',*,*,#54373,.F.); #78197=ORIENTED_EDGE('',*,*,#54374,.T.); #78198=ORIENTED_EDGE('',*,*,#54375,.T.); #78199=ORIENTED_EDGE('',*,*,#54371,.F.); #78200=ORIENTED_EDGE('',*,*,#54376,.F.); #78201=ORIENTED_EDGE('',*,*,#54377,.T.); #78202=ORIENTED_EDGE('',*,*,#54378,.T.); #78203=ORIENTED_EDGE('',*,*,#54374,.F.); #78204=ORIENTED_EDGE('',*,*,#54379,.F.); #78205=ORIENTED_EDGE('',*,*,#54380,.T.); #78206=ORIENTED_EDGE('',*,*,#54381,.T.); #78207=ORIENTED_EDGE('',*,*,#54377,.F.); #78208=ORIENTED_EDGE('',*,*,#54382,.F.); #78209=ORIENTED_EDGE('',*,*,#54383,.T.); #78210=ORIENTED_EDGE('',*,*,#54384,.T.); #78211=ORIENTED_EDGE('',*,*,#54380,.F.); #78212=ORIENTED_EDGE('',*,*,#54385,.F.); #78213=ORIENTED_EDGE('',*,*,#54386,.T.); #78214=ORIENTED_EDGE('',*,*,#54387,.T.); #78215=ORIENTED_EDGE('',*,*,#54383,.F.); #78216=ORIENTED_EDGE('',*,*,#54388,.F.); #78217=ORIENTED_EDGE('',*,*,#54389,.T.); #78218=ORIENTED_EDGE('',*,*,#54390,.T.); #78219=ORIENTED_EDGE('',*,*,#54386,.F.); #78220=ORIENTED_EDGE('',*,*,#54391,.F.); #78221=ORIENTED_EDGE('',*,*,#54360,.T.); #78222=ORIENTED_EDGE('',*,*,#54392,.T.); #78223=ORIENTED_EDGE('',*,*,#54389,.F.); #78224=ORIENTED_EDGE('',*,*,#54392,.F.); #78225=ORIENTED_EDGE('',*,*,#54359,.F.); #78226=ORIENTED_EDGE('',*,*,#54363,.F.); #78227=ORIENTED_EDGE('',*,*,#54366,.F.); #78228=ORIENTED_EDGE('',*,*,#54369,.F.); #78229=ORIENTED_EDGE('',*,*,#54372,.F.); #78230=ORIENTED_EDGE('',*,*,#54375,.F.); #78231=ORIENTED_EDGE('',*,*,#54378,.F.); #78232=ORIENTED_EDGE('',*,*,#54381,.F.); #78233=ORIENTED_EDGE('',*,*,#54384,.F.); #78234=ORIENTED_EDGE('',*,*,#54387,.F.); #78235=ORIENTED_EDGE('',*,*,#54390,.F.); #78236=ORIENTED_EDGE('',*,*,#54393,.F.); #78237=ORIENTED_EDGE('',*,*,#54394,.T.); #78238=ORIENTED_EDGE('',*,*,#54395,.T.); #78239=ORIENTED_EDGE('',*,*,#54396,.F.); #78240=ORIENTED_EDGE('',*,*,#54397,.F.); #78241=ORIENTED_EDGE('',*,*,#54398,.T.); #78242=ORIENTED_EDGE('',*,*,#54399,.T.); #78243=ORIENTED_EDGE('',*,*,#54394,.F.); #78244=ORIENTED_EDGE('',*,*,#54400,.F.); #78245=ORIENTED_EDGE('',*,*,#54401,.T.); #78246=ORIENTED_EDGE('',*,*,#54402,.T.); #78247=ORIENTED_EDGE('',*,*,#54398,.F.); #78248=ORIENTED_EDGE('',*,*,#54403,.F.); #78249=ORIENTED_EDGE('',*,*,#54404,.T.); #78250=ORIENTED_EDGE('',*,*,#54405,.T.); #78251=ORIENTED_EDGE('',*,*,#54401,.F.); #78252=ORIENTED_EDGE('',*,*,#54406,.F.); #78253=ORIENTED_EDGE('',*,*,#54407,.T.); #78254=ORIENTED_EDGE('',*,*,#54408,.T.); #78255=ORIENTED_EDGE('',*,*,#54404,.F.); #78256=ORIENTED_EDGE('',*,*,#54409,.F.); #78257=ORIENTED_EDGE('',*,*,#54410,.T.); #78258=ORIENTED_EDGE('',*,*,#54411,.T.); #78259=ORIENTED_EDGE('',*,*,#54407,.F.); #78260=ORIENTED_EDGE('',*,*,#54412,.F.); #78261=ORIENTED_EDGE('',*,*,#54413,.T.); #78262=ORIENTED_EDGE('',*,*,#54414,.T.); #78263=ORIENTED_EDGE('',*,*,#54410,.F.); #78264=ORIENTED_EDGE('',*,*,#54415,.F.); #78265=ORIENTED_EDGE('',*,*,#54416,.T.); #78266=ORIENTED_EDGE('',*,*,#54417,.T.); #78267=ORIENTED_EDGE('',*,*,#54413,.F.); #78268=ORIENTED_EDGE('',*,*,#54418,.F.); #78269=ORIENTED_EDGE('',*,*,#54419,.T.); #78270=ORIENTED_EDGE('',*,*,#54420,.T.); #78271=ORIENTED_EDGE('',*,*,#54416,.F.); #78272=ORIENTED_EDGE('',*,*,#54421,.F.); #78273=ORIENTED_EDGE('',*,*,#54422,.T.); #78274=ORIENTED_EDGE('',*,*,#54423,.T.); #78275=ORIENTED_EDGE('',*,*,#54419,.F.); #78276=ORIENTED_EDGE('',*,*,#54424,.F.); #78277=ORIENTED_EDGE('',*,*,#54425,.T.); #78278=ORIENTED_EDGE('',*,*,#54426,.T.); #78279=ORIENTED_EDGE('',*,*,#54422,.F.); #78280=ORIENTED_EDGE('',*,*,#54427,.F.); #78281=ORIENTED_EDGE('',*,*,#54396,.T.); #78282=ORIENTED_EDGE('',*,*,#54428,.T.); #78283=ORIENTED_EDGE('',*,*,#54425,.F.); #78284=ORIENTED_EDGE('',*,*,#54428,.F.); #78285=ORIENTED_EDGE('',*,*,#54395,.F.); #78286=ORIENTED_EDGE('',*,*,#54399,.F.); #78287=ORIENTED_EDGE('',*,*,#54402,.F.); #78288=ORIENTED_EDGE('',*,*,#54405,.F.); #78289=ORIENTED_EDGE('',*,*,#54408,.F.); #78290=ORIENTED_EDGE('',*,*,#54411,.F.); #78291=ORIENTED_EDGE('',*,*,#54414,.F.); #78292=ORIENTED_EDGE('',*,*,#54417,.F.); #78293=ORIENTED_EDGE('',*,*,#54420,.F.); #78294=ORIENTED_EDGE('',*,*,#54423,.F.); #78295=ORIENTED_EDGE('',*,*,#54426,.F.); #78296=ORIENTED_EDGE('',*,*,#54429,.F.); #78297=ORIENTED_EDGE('',*,*,#54430,.T.); #78298=ORIENTED_EDGE('',*,*,#54431,.T.); #78299=ORIENTED_EDGE('',*,*,#54432,.F.); #78300=ORIENTED_EDGE('',*,*,#54433,.F.); #78301=ORIENTED_EDGE('',*,*,#54432,.T.); #78302=ORIENTED_EDGE('',*,*,#54434,.T.); #78303=ORIENTED_EDGE('',*,*,#54435,.F.); #78304=ORIENTED_EDGE('',*,*,#54436,.F.); #78305=ORIENTED_EDGE('',*,*,#54435,.T.); #78306=ORIENTED_EDGE('',*,*,#54437,.T.); #78307=ORIENTED_EDGE('',*,*,#54438,.F.); #78308=ORIENTED_EDGE('',*,*,#54439,.F.); #78309=ORIENTED_EDGE('',*,*,#54438,.T.); #78310=ORIENTED_EDGE('',*,*,#54440,.T.); #78311=ORIENTED_EDGE('',*,*,#54441,.F.); #78312=ORIENTED_EDGE('',*,*,#54442,.F.); #78313=ORIENTED_EDGE('',*,*,#54441,.T.); #78314=ORIENTED_EDGE('',*,*,#54443,.T.); #78315=ORIENTED_EDGE('',*,*,#54430,.F.); #78316=ORIENTED_EDGE('',*,*,#54444,.F.); #78317=ORIENTED_EDGE('',*,*,#54445,.T.); #78318=ORIENTED_EDGE('',*,*,#54446,.T.); #78319=ORIENTED_EDGE('',*,*,#54447,.F.); #78320=ORIENTED_EDGE('',*,*,#54448,.F.); #78321=ORIENTED_EDGE('',*,*,#54449,.T.); #78322=ORIENTED_EDGE('',*,*,#54450,.T.); #78323=ORIENTED_EDGE('',*,*,#54445,.F.); #78324=ORIENTED_EDGE('',*,*,#54451,.F.); #78325=ORIENTED_EDGE('',*,*,#54452,.T.); #78326=ORIENTED_EDGE('',*,*,#54453,.T.); #78327=ORIENTED_EDGE('',*,*,#54449,.F.); #78328=ORIENTED_EDGE('',*,*,#54454,.F.); #78329=ORIENTED_EDGE('',*,*,#54455,.T.); #78330=ORIENTED_EDGE('',*,*,#54456,.T.); #78331=ORIENTED_EDGE('',*,*,#54452,.F.); #78332=ORIENTED_EDGE('',*,*,#54457,.F.); #78333=ORIENTED_EDGE('',*,*,#54458,.T.); #78334=ORIENTED_EDGE('',*,*,#54459,.T.); #78335=ORIENTED_EDGE('',*,*,#54455,.F.); #78336=ORIENTED_EDGE('',*,*,#54460,.F.); #78337=ORIENTED_EDGE('',*,*,#54461,.T.); #78338=ORIENTED_EDGE('',*,*,#54462,.T.); #78339=ORIENTED_EDGE('',*,*,#54458,.F.); #78340=ORIENTED_EDGE('',*,*,#54463,.F.); #78341=ORIENTED_EDGE('',*,*,#54464,.T.); #78342=ORIENTED_EDGE('',*,*,#54465,.T.); #78343=ORIENTED_EDGE('',*,*,#54461,.F.); #78344=ORIENTED_EDGE('',*,*,#54466,.F.); #78345=ORIENTED_EDGE('',*,*,#54467,.T.); #78346=ORIENTED_EDGE('',*,*,#54468,.T.); #78347=ORIENTED_EDGE('',*,*,#54464,.F.); #78348=ORIENTED_EDGE('',*,*,#54469,.F.); #78349=ORIENTED_EDGE('',*,*,#54470,.T.); #78350=ORIENTED_EDGE('',*,*,#54471,.T.); #78351=ORIENTED_EDGE('',*,*,#54467,.F.); #78352=ORIENTED_EDGE('',*,*,#54472,.F.); #78353=ORIENTED_EDGE('',*,*,#54473,.T.); #78354=ORIENTED_EDGE('',*,*,#54474,.T.); #78355=ORIENTED_EDGE('',*,*,#54470,.F.); #78356=ORIENTED_EDGE('',*,*,#54475,.F.); #78357=ORIENTED_EDGE('',*,*,#54476,.T.); #78358=ORIENTED_EDGE('',*,*,#54477,.T.); #78359=ORIENTED_EDGE('',*,*,#54473,.F.); #78360=ORIENTED_EDGE('',*,*,#54478,.F.); #78361=ORIENTED_EDGE('',*,*,#54479,.T.); #78362=ORIENTED_EDGE('',*,*,#54480,.T.); #78363=ORIENTED_EDGE('',*,*,#54476,.F.); #78364=ORIENTED_EDGE('',*,*,#54481,.F.); #78365=ORIENTED_EDGE('',*,*,#54482,.T.); #78366=ORIENTED_EDGE('',*,*,#54483,.T.); #78367=ORIENTED_EDGE('',*,*,#54479,.F.); #78368=ORIENTED_EDGE('',*,*,#54484,.F.); #78369=ORIENTED_EDGE('',*,*,#54447,.T.); #78370=ORIENTED_EDGE('',*,*,#54485,.T.); #78371=ORIENTED_EDGE('',*,*,#54482,.F.); #78372=ORIENTED_EDGE('',*,*,#54485,.F.); #78373=ORIENTED_EDGE('',*,*,#54446,.F.); #78374=ORIENTED_EDGE('',*,*,#54450,.F.); #78375=ORIENTED_EDGE('',*,*,#54453,.F.); #78376=ORIENTED_EDGE('',*,*,#54456,.F.); #78377=ORIENTED_EDGE('',*,*,#54459,.F.); #78378=ORIENTED_EDGE('',*,*,#54462,.F.); #78379=ORIENTED_EDGE('',*,*,#54465,.F.); #78380=ORIENTED_EDGE('',*,*,#54468,.F.); #78381=ORIENTED_EDGE('',*,*,#54471,.F.); #78382=ORIENTED_EDGE('',*,*,#54474,.F.); #78383=ORIENTED_EDGE('',*,*,#54477,.F.); #78384=ORIENTED_EDGE('',*,*,#54480,.F.); #78385=ORIENTED_EDGE('',*,*,#54483,.F.); #78386=ORIENTED_EDGE('',*,*,#54431,.F.); #78387=ORIENTED_EDGE('',*,*,#54443,.F.); #78388=ORIENTED_EDGE('',*,*,#54440,.F.); #78389=ORIENTED_EDGE('',*,*,#54437,.F.); #78390=ORIENTED_EDGE('',*,*,#54434,.F.); #78391=ORIENTED_EDGE('',*,*,#54486,.F.); #78392=ORIENTED_EDGE('',*,*,#54487,.T.); #78393=ORIENTED_EDGE('',*,*,#54488,.T.); #78394=ORIENTED_EDGE('',*,*,#54489,.F.); #78395=ORIENTED_EDGE('',*,*,#54490,.F.); #78396=ORIENTED_EDGE('',*,*,#54489,.T.); #78397=ORIENTED_EDGE('',*,*,#54491,.T.); #78398=ORIENTED_EDGE('',*,*,#54492,.F.); #78399=ORIENTED_EDGE('',*,*,#54493,.F.); #78400=ORIENTED_EDGE('',*,*,#54492,.T.); #78401=ORIENTED_EDGE('',*,*,#54494,.T.); #78402=ORIENTED_EDGE('',*,*,#54495,.F.); #78403=ORIENTED_EDGE('',*,*,#54496,.F.); #78404=ORIENTED_EDGE('',*,*,#54495,.T.); #78405=ORIENTED_EDGE('',*,*,#54497,.T.); #78406=ORIENTED_EDGE('',*,*,#54498,.F.); #78407=ORIENTED_EDGE('',*,*,#54499,.F.); #78408=ORIENTED_EDGE('',*,*,#54498,.T.); #78409=ORIENTED_EDGE('',*,*,#54500,.T.); #78410=ORIENTED_EDGE('',*,*,#54501,.F.); #78411=ORIENTED_EDGE('',*,*,#54502,.F.); #78412=ORIENTED_EDGE('',*,*,#54501,.T.); #78413=ORIENTED_EDGE('',*,*,#54503,.T.); #78414=ORIENTED_EDGE('',*,*,#54487,.F.); #78415=ORIENTED_EDGE('',*,*,#54504,.F.); #78416=ORIENTED_EDGE('',*,*,#54505,.T.); #78417=ORIENTED_EDGE('',*,*,#54506,.T.); #78418=ORIENTED_EDGE('',*,*,#54507,.F.); #78419=ORIENTED_EDGE('',*,*,#54508,.F.); #78420=ORIENTED_EDGE('',*,*,#54507,.T.); #78421=ORIENTED_EDGE('',*,*,#54509,.T.); #78422=ORIENTED_EDGE('',*,*,#54510,.F.); #78423=ORIENTED_EDGE('',*,*,#54511,.F.); #78424=ORIENTED_EDGE('',*,*,#54510,.T.); #78425=ORIENTED_EDGE('',*,*,#54512,.T.); #78426=ORIENTED_EDGE('',*,*,#54513,.F.); #78427=ORIENTED_EDGE('',*,*,#54514,.F.); #78428=ORIENTED_EDGE('',*,*,#54513,.T.); #78429=ORIENTED_EDGE('',*,*,#54515,.T.); #78430=ORIENTED_EDGE('',*,*,#54516,.F.); #78431=ORIENTED_EDGE('',*,*,#54517,.F.); #78432=ORIENTED_EDGE('',*,*,#54516,.T.); #78433=ORIENTED_EDGE('',*,*,#54518,.T.); #78434=ORIENTED_EDGE('',*,*,#54519,.F.); #78435=ORIENTED_EDGE('',*,*,#54520,.F.); #78436=ORIENTED_EDGE('',*,*,#54519,.T.); #78437=ORIENTED_EDGE('',*,*,#54521,.T.); #78438=ORIENTED_EDGE('',*,*,#54505,.F.); #78439=ORIENTED_EDGE('',*,*,#54522,.F.); #78440=ORIENTED_EDGE('',*,*,#54523,.T.); #78441=ORIENTED_EDGE('',*,*,#54524,.T.); #78442=ORIENTED_EDGE('',*,*,#54525,.F.); #78443=ORIENTED_EDGE('',*,*,#54526,.F.); #78444=ORIENTED_EDGE('',*,*,#54527,.T.); #78445=ORIENTED_EDGE('',*,*,#54528,.T.); #78446=ORIENTED_EDGE('',*,*,#54523,.F.); #78447=ORIENTED_EDGE('',*,*,#54529,.F.); #78448=ORIENTED_EDGE('',*,*,#54530,.T.); #78449=ORIENTED_EDGE('',*,*,#54531,.T.); #78450=ORIENTED_EDGE('',*,*,#54527,.F.); #78451=ORIENTED_EDGE('',*,*,#54532,.F.); #78452=ORIENTED_EDGE('',*,*,#54533,.T.); #78453=ORIENTED_EDGE('',*,*,#54534,.T.); #78454=ORIENTED_EDGE('',*,*,#54530,.F.); #78455=ORIENTED_EDGE('',*,*,#54535,.F.); #78456=ORIENTED_EDGE('',*,*,#54536,.T.); #78457=ORIENTED_EDGE('',*,*,#54537,.T.); #78458=ORIENTED_EDGE('',*,*,#54533,.F.); #78459=ORIENTED_EDGE('',*,*,#54538,.F.); #78460=ORIENTED_EDGE('',*,*,#54539,.T.); #78461=ORIENTED_EDGE('',*,*,#54540,.T.); #78462=ORIENTED_EDGE('',*,*,#54536,.F.); #78463=ORIENTED_EDGE('',*,*,#54541,.F.); #78464=ORIENTED_EDGE('',*,*,#54525,.T.); #78465=ORIENTED_EDGE('',*,*,#54542,.T.); #78466=ORIENTED_EDGE('',*,*,#54539,.F.); #78467=ORIENTED_EDGE('',*,*,#54542,.F.); #78468=ORIENTED_EDGE('',*,*,#54524,.F.); #78469=ORIENTED_EDGE('',*,*,#54528,.F.); #78470=ORIENTED_EDGE('',*,*,#54531,.F.); #78471=ORIENTED_EDGE('',*,*,#54534,.F.); #78472=ORIENTED_EDGE('',*,*,#54537,.F.); #78473=ORIENTED_EDGE('',*,*,#54540,.F.); #78474=ORIENTED_EDGE('',*,*,#54488,.F.); #78475=ORIENTED_EDGE('',*,*,#54503,.F.); #78476=ORIENTED_EDGE('',*,*,#54500,.F.); #78477=ORIENTED_EDGE('',*,*,#54497,.F.); #78478=ORIENTED_EDGE('',*,*,#54494,.F.); #78479=ORIENTED_EDGE('',*,*,#54491,.F.); #78480=ORIENTED_EDGE('',*,*,#54506,.F.); #78481=ORIENTED_EDGE('',*,*,#54521,.F.); #78482=ORIENTED_EDGE('',*,*,#54518,.F.); #78483=ORIENTED_EDGE('',*,*,#54515,.F.); #78484=ORIENTED_EDGE('',*,*,#54512,.F.); #78485=ORIENTED_EDGE('',*,*,#54509,.F.); #78486=ORIENTED_EDGE('',*,*,#54543,.F.); #78487=ORIENTED_EDGE('',*,*,#54544,.T.); #78488=ORIENTED_EDGE('',*,*,#54545,.T.); #78489=ORIENTED_EDGE('',*,*,#54546,.F.); #78490=ORIENTED_EDGE('',*,*,#54547,.F.); #78491=ORIENTED_EDGE('',*,*,#54548,.T.); #78492=ORIENTED_EDGE('',*,*,#54549,.T.); #78493=ORIENTED_EDGE('',*,*,#54544,.F.); #78494=ORIENTED_EDGE('',*,*,#54550,.F.); #78495=ORIENTED_EDGE('',*,*,#54551,.T.); #78496=ORIENTED_EDGE('',*,*,#54552,.T.); #78497=ORIENTED_EDGE('',*,*,#54548,.F.); #78498=ORIENTED_EDGE('',*,*,#54553,.F.); #78499=ORIENTED_EDGE('',*,*,#54554,.T.); #78500=ORIENTED_EDGE('',*,*,#54555,.T.); #78501=ORIENTED_EDGE('',*,*,#54551,.F.); #78502=ORIENTED_EDGE('',*,*,#54556,.F.); #78503=ORIENTED_EDGE('',*,*,#54557,.T.); #78504=ORIENTED_EDGE('',*,*,#54558,.T.); #78505=ORIENTED_EDGE('',*,*,#54554,.F.); #78506=ORIENTED_EDGE('',*,*,#54559,.F.); #78507=ORIENTED_EDGE('',*,*,#54560,.T.); #78508=ORIENTED_EDGE('',*,*,#54561,.T.); #78509=ORIENTED_EDGE('',*,*,#54557,.F.); #78510=ORIENTED_EDGE('',*,*,#54562,.F.); #78511=ORIENTED_EDGE('',*,*,#54563,.T.); #78512=ORIENTED_EDGE('',*,*,#54564,.T.); #78513=ORIENTED_EDGE('',*,*,#54560,.F.); #78514=ORIENTED_EDGE('',*,*,#54565,.F.); #78515=ORIENTED_EDGE('',*,*,#54566,.T.); #78516=ORIENTED_EDGE('',*,*,#54567,.T.); #78517=ORIENTED_EDGE('',*,*,#54563,.F.); #78518=ORIENTED_EDGE('',*,*,#54568,.F.); #78519=ORIENTED_EDGE('',*,*,#54569,.T.); #78520=ORIENTED_EDGE('',*,*,#54570,.T.); #78521=ORIENTED_EDGE('',*,*,#54566,.F.); #78522=ORIENTED_EDGE('',*,*,#54571,.F.); #78523=ORIENTED_EDGE('',*,*,#54572,.T.); #78524=ORIENTED_EDGE('',*,*,#54573,.T.); #78525=ORIENTED_EDGE('',*,*,#54569,.F.); #78526=ORIENTED_EDGE('',*,*,#54574,.F.); #78527=ORIENTED_EDGE('',*,*,#54575,.T.); #78528=ORIENTED_EDGE('',*,*,#54576,.T.); #78529=ORIENTED_EDGE('',*,*,#54572,.F.); #78530=ORIENTED_EDGE('',*,*,#54577,.F.); #78531=ORIENTED_EDGE('',*,*,#54546,.T.); #78532=ORIENTED_EDGE('',*,*,#54578,.T.); #78533=ORIENTED_EDGE('',*,*,#54575,.F.); #78534=ORIENTED_EDGE('',*,*,#54578,.F.); #78535=ORIENTED_EDGE('',*,*,#54545,.F.); #78536=ORIENTED_EDGE('',*,*,#54549,.F.); #78537=ORIENTED_EDGE('',*,*,#54552,.F.); #78538=ORIENTED_EDGE('',*,*,#54555,.F.); #78539=ORIENTED_EDGE('',*,*,#54558,.F.); #78540=ORIENTED_EDGE('',*,*,#54561,.F.); #78541=ORIENTED_EDGE('',*,*,#54564,.F.); #78542=ORIENTED_EDGE('',*,*,#54567,.F.); #78543=ORIENTED_EDGE('',*,*,#54570,.F.); #78544=ORIENTED_EDGE('',*,*,#54573,.F.); #78545=ORIENTED_EDGE('',*,*,#54576,.F.); #78546=ORIENTED_EDGE('',*,*,#54579,.F.); #78547=ORIENTED_EDGE('',*,*,#54580,.T.); #78548=ORIENTED_EDGE('',*,*,#54581,.T.); #78549=ORIENTED_EDGE('',*,*,#54582,.F.); #78550=ORIENTED_EDGE('',*,*,#54583,.F.); #78551=ORIENTED_EDGE('',*,*,#54584,.T.); #78552=ORIENTED_EDGE('',*,*,#54585,.T.); #78553=ORIENTED_EDGE('',*,*,#54580,.F.); #78554=ORIENTED_EDGE('',*,*,#54586,.F.); #78555=ORIENTED_EDGE('',*,*,#54587,.T.); #78556=ORIENTED_EDGE('',*,*,#54588,.T.); #78557=ORIENTED_EDGE('',*,*,#54584,.F.); #78558=ORIENTED_EDGE('',*,*,#54589,.F.); #78559=ORIENTED_EDGE('',*,*,#54590,.T.); #78560=ORIENTED_EDGE('',*,*,#54591,.T.); #78561=ORIENTED_EDGE('',*,*,#54587,.F.); #78562=ORIENTED_EDGE('',*,*,#54592,.F.); #78563=ORIENTED_EDGE('',*,*,#54593,.T.); #78564=ORIENTED_EDGE('',*,*,#54594,.T.); #78565=ORIENTED_EDGE('',*,*,#54590,.F.); #78566=ORIENTED_EDGE('',*,*,#54595,.F.); #78567=ORIENTED_EDGE('',*,*,#54596,.T.); #78568=ORIENTED_EDGE('',*,*,#54597,.T.); #78569=ORIENTED_EDGE('',*,*,#54593,.F.); #78570=ORIENTED_EDGE('',*,*,#54598,.F.); #78571=ORIENTED_EDGE('',*,*,#54599,.T.); #78572=ORIENTED_EDGE('',*,*,#54600,.T.); #78573=ORIENTED_EDGE('',*,*,#54596,.F.); #78574=ORIENTED_EDGE('',*,*,#54601,.F.); #78575=ORIENTED_EDGE('',*,*,#54602,.T.); #78576=ORIENTED_EDGE('',*,*,#54603,.T.); #78577=ORIENTED_EDGE('',*,*,#54599,.F.); #78578=ORIENTED_EDGE('',*,*,#54604,.F.); #78579=ORIENTED_EDGE('',*,*,#54605,.T.); #78580=ORIENTED_EDGE('',*,*,#54606,.T.); #78581=ORIENTED_EDGE('',*,*,#54602,.F.); #78582=ORIENTED_EDGE('',*,*,#54607,.F.); #78583=ORIENTED_EDGE('',*,*,#54608,.T.); #78584=ORIENTED_EDGE('',*,*,#54609,.T.); #78585=ORIENTED_EDGE('',*,*,#54605,.F.); #78586=ORIENTED_EDGE('',*,*,#54610,.F.); #78587=ORIENTED_EDGE('',*,*,#54611,.T.); #78588=ORIENTED_EDGE('',*,*,#54612,.T.); #78589=ORIENTED_EDGE('',*,*,#54608,.F.); #78590=ORIENTED_EDGE('',*,*,#54613,.F.); #78591=ORIENTED_EDGE('',*,*,#54582,.T.); #78592=ORIENTED_EDGE('',*,*,#54614,.T.); #78593=ORIENTED_EDGE('',*,*,#54611,.F.); #78594=ORIENTED_EDGE('',*,*,#54614,.F.); #78595=ORIENTED_EDGE('',*,*,#54581,.F.); #78596=ORIENTED_EDGE('',*,*,#54585,.F.); #78597=ORIENTED_EDGE('',*,*,#54588,.F.); #78598=ORIENTED_EDGE('',*,*,#54591,.F.); #78599=ORIENTED_EDGE('',*,*,#54594,.F.); #78600=ORIENTED_EDGE('',*,*,#54597,.F.); #78601=ORIENTED_EDGE('',*,*,#54600,.F.); #78602=ORIENTED_EDGE('',*,*,#54603,.F.); #78603=ORIENTED_EDGE('',*,*,#54606,.F.); #78604=ORIENTED_EDGE('',*,*,#54609,.F.); #78605=ORIENTED_EDGE('',*,*,#54612,.F.); #78606=ORIENTED_EDGE('',*,*,#54615,.F.); #78607=ORIENTED_EDGE('',*,*,#54616,.T.); #78608=ORIENTED_EDGE('',*,*,#54617,.T.); #78609=ORIENTED_EDGE('',*,*,#54618,.F.); #78610=ORIENTED_EDGE('',*,*,#54619,.F.); #78611=ORIENTED_EDGE('',*,*,#54618,.T.); #78612=ORIENTED_EDGE('',*,*,#54620,.T.); #78613=ORIENTED_EDGE('',*,*,#54621,.F.); #78614=ORIENTED_EDGE('',*,*,#54622,.F.); #78615=ORIENTED_EDGE('',*,*,#54621,.T.); #78616=ORIENTED_EDGE('',*,*,#54623,.T.); #78617=ORIENTED_EDGE('',*,*,#54624,.F.); #78618=ORIENTED_EDGE('',*,*,#54625,.F.); #78619=ORIENTED_EDGE('',*,*,#54624,.T.); #78620=ORIENTED_EDGE('',*,*,#54626,.T.); #78621=ORIENTED_EDGE('',*,*,#54627,.F.); #78622=ORIENTED_EDGE('',*,*,#54628,.F.); #78623=ORIENTED_EDGE('',*,*,#54627,.T.); #78624=ORIENTED_EDGE('',*,*,#54629,.T.); #78625=ORIENTED_EDGE('',*,*,#54616,.F.); #78626=ORIENTED_EDGE('',*,*,#54630,.F.); #78627=ORIENTED_EDGE('',*,*,#54631,.T.); #78628=ORIENTED_EDGE('',*,*,#54632,.T.); #78629=ORIENTED_EDGE('',*,*,#54633,.F.); #78630=ORIENTED_EDGE('',*,*,#54634,.F.); #78631=ORIENTED_EDGE('',*,*,#54635,.T.); #78632=ORIENTED_EDGE('',*,*,#54636,.T.); #78633=ORIENTED_EDGE('',*,*,#54631,.F.); #78634=ORIENTED_EDGE('',*,*,#54637,.F.); #78635=ORIENTED_EDGE('',*,*,#54638,.T.); #78636=ORIENTED_EDGE('',*,*,#54639,.T.); #78637=ORIENTED_EDGE('',*,*,#54635,.F.); #78638=ORIENTED_EDGE('',*,*,#54640,.F.); #78639=ORIENTED_EDGE('',*,*,#54641,.T.); #78640=ORIENTED_EDGE('',*,*,#54642,.T.); #78641=ORIENTED_EDGE('',*,*,#54638,.F.); #78642=ORIENTED_EDGE('',*,*,#54643,.F.); #78643=ORIENTED_EDGE('',*,*,#54644,.T.); #78644=ORIENTED_EDGE('',*,*,#54645,.T.); #78645=ORIENTED_EDGE('',*,*,#54641,.F.); #78646=ORIENTED_EDGE('',*,*,#54646,.F.); #78647=ORIENTED_EDGE('',*,*,#54647,.T.); #78648=ORIENTED_EDGE('',*,*,#54648,.T.); #78649=ORIENTED_EDGE('',*,*,#54644,.F.); #78650=ORIENTED_EDGE('',*,*,#54649,.F.); #78651=ORIENTED_EDGE('',*,*,#54650,.T.); #78652=ORIENTED_EDGE('',*,*,#54651,.T.); #78653=ORIENTED_EDGE('',*,*,#54647,.F.); #78654=ORIENTED_EDGE('',*,*,#54652,.F.); #78655=ORIENTED_EDGE('',*,*,#54633,.T.); #78656=ORIENTED_EDGE('',*,*,#54653,.T.); #78657=ORIENTED_EDGE('',*,*,#54650,.F.); #78658=ORIENTED_EDGE('',*,*,#54653,.F.); #78659=ORIENTED_EDGE('',*,*,#54632,.F.); #78660=ORIENTED_EDGE('',*,*,#54636,.F.); #78661=ORIENTED_EDGE('',*,*,#54639,.F.); #78662=ORIENTED_EDGE('',*,*,#54642,.F.); #78663=ORIENTED_EDGE('',*,*,#54645,.F.); #78664=ORIENTED_EDGE('',*,*,#54648,.F.); #78665=ORIENTED_EDGE('',*,*,#54651,.F.); #78666=ORIENTED_EDGE('',*,*,#54617,.F.); #78667=ORIENTED_EDGE('',*,*,#54629,.F.); #78668=ORIENTED_EDGE('',*,*,#54626,.F.); #78669=ORIENTED_EDGE('',*,*,#54623,.F.); #78670=ORIENTED_EDGE('',*,*,#54620,.F.); #78671=ORIENTED_EDGE('',*,*,#54654,.F.); #78672=ORIENTED_EDGE('',*,*,#54655,.T.); #78673=ORIENTED_EDGE('',*,*,#54656,.T.); #78674=ORIENTED_EDGE('',*,*,#54657,.F.); #78675=ORIENTED_EDGE('',*,*,#54658,.F.); #78676=ORIENTED_EDGE('',*,*,#54657,.T.); #78677=ORIENTED_EDGE('',*,*,#54659,.T.); #78678=ORIENTED_EDGE('',*,*,#54660,.F.); #78679=ORIENTED_EDGE('',*,*,#54661,.F.); #78680=ORIENTED_EDGE('',*,*,#54660,.T.); #78681=ORIENTED_EDGE('',*,*,#54662,.T.); #78682=ORIENTED_EDGE('',*,*,#54663,.F.); #78683=ORIENTED_EDGE('',*,*,#54664,.F.); #78684=ORIENTED_EDGE('',*,*,#54663,.T.); #78685=ORIENTED_EDGE('',*,*,#54665,.T.); #78686=ORIENTED_EDGE('',*,*,#54666,.F.); #78687=ORIENTED_EDGE('',*,*,#54667,.F.); #78688=ORIENTED_EDGE('',*,*,#54666,.T.); #78689=ORIENTED_EDGE('',*,*,#54668,.T.); #78690=ORIENTED_EDGE('',*,*,#54655,.F.); #78691=ORIENTED_EDGE('',*,*,#54669,.F.); #78692=ORIENTED_EDGE('',*,*,#54670,.T.); #78693=ORIENTED_EDGE('',*,*,#54671,.T.); #78694=ORIENTED_EDGE('',*,*,#54672,.F.); #78695=ORIENTED_EDGE('',*,*,#54673,.F.); #78696=ORIENTED_EDGE('',*,*,#54674,.T.); #78697=ORIENTED_EDGE('',*,*,#54675,.T.); #78698=ORIENTED_EDGE('',*,*,#54670,.F.); #78699=ORIENTED_EDGE('',*,*,#54676,.F.); #78700=ORIENTED_EDGE('',*,*,#54677,.T.); #78701=ORIENTED_EDGE('',*,*,#54678,.T.); #78702=ORIENTED_EDGE('',*,*,#54674,.F.); #78703=ORIENTED_EDGE('',*,*,#54679,.F.); #78704=ORIENTED_EDGE('',*,*,#54680,.T.); #78705=ORIENTED_EDGE('',*,*,#54681,.T.); #78706=ORIENTED_EDGE('',*,*,#54677,.F.); #78707=ORIENTED_EDGE('',*,*,#54682,.F.); #78708=ORIENTED_EDGE('',*,*,#54683,.T.); #78709=ORIENTED_EDGE('',*,*,#54684,.T.); #78710=ORIENTED_EDGE('',*,*,#54680,.F.); #78711=ORIENTED_EDGE('',*,*,#54685,.F.); #78712=ORIENTED_EDGE('',*,*,#54686,.T.); #78713=ORIENTED_EDGE('',*,*,#54687,.T.); #78714=ORIENTED_EDGE('',*,*,#54683,.F.); #78715=ORIENTED_EDGE('',*,*,#54688,.F.); #78716=ORIENTED_EDGE('',*,*,#54689,.T.); #78717=ORIENTED_EDGE('',*,*,#54690,.T.); #78718=ORIENTED_EDGE('',*,*,#54686,.F.); #78719=ORIENTED_EDGE('',*,*,#54691,.F.); #78720=ORIENTED_EDGE('',*,*,#54692,.T.); #78721=ORIENTED_EDGE('',*,*,#54693,.T.); #78722=ORIENTED_EDGE('',*,*,#54689,.F.); #78723=ORIENTED_EDGE('',*,*,#54694,.F.); #78724=ORIENTED_EDGE('',*,*,#54695,.T.); #78725=ORIENTED_EDGE('',*,*,#54696,.T.); #78726=ORIENTED_EDGE('',*,*,#54692,.F.); #78727=ORIENTED_EDGE('',*,*,#54697,.F.); #78728=ORIENTED_EDGE('',*,*,#54698,.T.); #78729=ORIENTED_EDGE('',*,*,#54699,.T.); #78730=ORIENTED_EDGE('',*,*,#54695,.F.); #78731=ORIENTED_EDGE('',*,*,#54700,.F.); #78732=ORIENTED_EDGE('',*,*,#54701,.T.); #78733=ORIENTED_EDGE('',*,*,#54702,.T.); #78734=ORIENTED_EDGE('',*,*,#54698,.F.); #78735=ORIENTED_EDGE('',*,*,#54703,.F.); #78736=ORIENTED_EDGE('',*,*,#54704,.T.); #78737=ORIENTED_EDGE('',*,*,#54705,.T.); #78738=ORIENTED_EDGE('',*,*,#54701,.F.); #78739=ORIENTED_EDGE('',*,*,#54706,.F.); #78740=ORIENTED_EDGE('',*,*,#54707,.T.); #78741=ORIENTED_EDGE('',*,*,#54708,.T.); #78742=ORIENTED_EDGE('',*,*,#54704,.F.); #78743=ORIENTED_EDGE('',*,*,#54709,.F.); #78744=ORIENTED_EDGE('',*,*,#54672,.T.); #78745=ORIENTED_EDGE('',*,*,#54710,.T.); #78746=ORIENTED_EDGE('',*,*,#54707,.F.); #78747=ORIENTED_EDGE('',*,*,#54710,.F.); #78748=ORIENTED_EDGE('',*,*,#54671,.F.); #78749=ORIENTED_EDGE('',*,*,#54675,.F.); #78750=ORIENTED_EDGE('',*,*,#54678,.F.); #78751=ORIENTED_EDGE('',*,*,#54681,.F.); #78752=ORIENTED_EDGE('',*,*,#54684,.F.); #78753=ORIENTED_EDGE('',*,*,#54687,.F.); #78754=ORIENTED_EDGE('',*,*,#54690,.F.); #78755=ORIENTED_EDGE('',*,*,#54693,.F.); #78756=ORIENTED_EDGE('',*,*,#54696,.F.); #78757=ORIENTED_EDGE('',*,*,#54699,.F.); #78758=ORIENTED_EDGE('',*,*,#54702,.F.); #78759=ORIENTED_EDGE('',*,*,#54705,.F.); #78760=ORIENTED_EDGE('',*,*,#54708,.F.); #78761=ORIENTED_EDGE('',*,*,#54656,.F.); #78762=ORIENTED_EDGE('',*,*,#54668,.F.); #78763=ORIENTED_EDGE('',*,*,#54665,.F.); #78764=ORIENTED_EDGE('',*,*,#54662,.F.); #78765=ORIENTED_EDGE('',*,*,#54659,.F.); #78766=ORIENTED_EDGE('',*,*,#54711,.F.); #78767=ORIENTED_EDGE('',*,*,#54712,.T.); #78768=ORIENTED_EDGE('',*,*,#54713,.T.); #78769=ORIENTED_EDGE('',*,*,#54714,.F.); #78770=ORIENTED_EDGE('',*,*,#54715,.F.); #78771=ORIENTED_EDGE('',*,*,#54714,.T.); #78772=ORIENTED_EDGE('',*,*,#54716,.T.); #78773=ORIENTED_EDGE('',*,*,#54717,.F.); #78774=ORIENTED_EDGE('',*,*,#54718,.F.); #78775=ORIENTED_EDGE('',*,*,#54717,.T.); #78776=ORIENTED_EDGE('',*,*,#54719,.T.); #78777=ORIENTED_EDGE('',*,*,#54720,.F.); #78778=ORIENTED_EDGE('',*,*,#54721,.F.); #78779=ORIENTED_EDGE('',*,*,#54720,.T.); #78780=ORIENTED_EDGE('',*,*,#54722,.T.); #78781=ORIENTED_EDGE('',*,*,#54723,.F.); #78782=ORIENTED_EDGE('',*,*,#54724,.F.); #78783=ORIENTED_EDGE('',*,*,#54723,.T.); #78784=ORIENTED_EDGE('',*,*,#54725,.T.); #78785=ORIENTED_EDGE('',*,*,#54726,.F.); #78786=ORIENTED_EDGE('',*,*,#54727,.F.); #78787=ORIENTED_EDGE('',*,*,#54726,.T.); #78788=ORIENTED_EDGE('',*,*,#54728,.T.); #78789=ORIENTED_EDGE('',*,*,#54729,.F.); #78790=ORIENTED_EDGE('',*,*,#54730,.F.); #78791=ORIENTED_EDGE('',*,*,#54729,.T.); #78792=ORIENTED_EDGE('',*,*,#54731,.T.); #78793=ORIENTED_EDGE('',*,*,#54712,.F.); #78794=ORIENTED_EDGE('',*,*,#54732,.F.); #78795=ORIENTED_EDGE('',*,*,#54733,.T.); #78796=ORIENTED_EDGE('',*,*,#54734,.T.); #78797=ORIENTED_EDGE('',*,*,#54735,.F.); #78798=ORIENTED_EDGE('',*,*,#54736,.F.); #78799=ORIENTED_EDGE('',*,*,#54737,.T.); #78800=ORIENTED_EDGE('',*,*,#54738,.T.); #78801=ORIENTED_EDGE('',*,*,#54733,.F.); #78802=ORIENTED_EDGE('',*,*,#54739,.F.); #78803=ORIENTED_EDGE('',*,*,#54740,.T.); #78804=ORIENTED_EDGE('',*,*,#54741,.T.); #78805=ORIENTED_EDGE('',*,*,#54737,.F.); #78806=ORIENTED_EDGE('',*,*,#54742,.F.); #78807=ORIENTED_EDGE('',*,*,#54743,.T.); #78808=ORIENTED_EDGE('',*,*,#54744,.T.); #78809=ORIENTED_EDGE('',*,*,#54740,.F.); #78810=ORIENTED_EDGE('',*,*,#54745,.F.); #78811=ORIENTED_EDGE('',*,*,#54746,.T.); #78812=ORIENTED_EDGE('',*,*,#54747,.T.); #78813=ORIENTED_EDGE('',*,*,#54743,.F.); #78814=ORIENTED_EDGE('',*,*,#54748,.F.); #78815=ORIENTED_EDGE('',*,*,#54749,.T.); #78816=ORIENTED_EDGE('',*,*,#54750,.T.); #78817=ORIENTED_EDGE('',*,*,#54746,.F.); #78818=ORIENTED_EDGE('',*,*,#54751,.F.); #78819=ORIENTED_EDGE('',*,*,#54735,.T.); #78820=ORIENTED_EDGE('',*,*,#54752,.T.); #78821=ORIENTED_EDGE('',*,*,#54749,.F.); #78822=ORIENTED_EDGE('',*,*,#54752,.F.); #78823=ORIENTED_EDGE('',*,*,#54734,.F.); #78824=ORIENTED_EDGE('',*,*,#54738,.F.); #78825=ORIENTED_EDGE('',*,*,#54741,.F.); #78826=ORIENTED_EDGE('',*,*,#54744,.F.); #78827=ORIENTED_EDGE('',*,*,#54747,.F.); #78828=ORIENTED_EDGE('',*,*,#54750,.F.); #78829=ORIENTED_EDGE('',*,*,#54713,.F.); #78830=ORIENTED_EDGE('',*,*,#54731,.F.); #78831=ORIENTED_EDGE('',*,*,#54728,.F.); #78832=ORIENTED_EDGE('',*,*,#54725,.F.); #78833=ORIENTED_EDGE('',*,*,#54722,.F.); #78834=ORIENTED_EDGE('',*,*,#54719,.F.); #78835=ORIENTED_EDGE('',*,*,#54716,.F.); #78836=ORIENTED_EDGE('',*,*,#54753,.F.); #78837=ORIENTED_EDGE('',*,*,#54754,.T.); #78838=ORIENTED_EDGE('',*,*,#54755,.T.); #78839=ORIENTED_EDGE('',*,*,#54756,.F.); #78840=ORIENTED_EDGE('',*,*,#54757,.F.); #78841=ORIENTED_EDGE('',*,*,#54756,.T.); #78842=ORIENTED_EDGE('',*,*,#54758,.T.); #78843=ORIENTED_EDGE('',*,*,#54759,.F.); #78844=ORIENTED_EDGE('',*,*,#54760,.F.); #78845=ORIENTED_EDGE('',*,*,#54759,.T.); #78846=ORIENTED_EDGE('',*,*,#54761,.T.); #78847=ORIENTED_EDGE('',*,*,#54762,.F.); #78848=ORIENTED_EDGE('',*,*,#54763,.F.); #78849=ORIENTED_EDGE('',*,*,#54762,.T.); #78850=ORIENTED_EDGE('',*,*,#54764,.T.); #78851=ORIENTED_EDGE('',*,*,#54765,.F.); #78852=ORIENTED_EDGE('',*,*,#54766,.F.); #78853=ORIENTED_EDGE('',*,*,#54765,.T.); #78854=ORIENTED_EDGE('',*,*,#54767,.T.); #78855=ORIENTED_EDGE('',*,*,#54768,.F.); #78856=ORIENTED_EDGE('',*,*,#54769,.F.); #78857=ORIENTED_EDGE('',*,*,#54768,.T.); #78858=ORIENTED_EDGE('',*,*,#54770,.T.); #78859=ORIENTED_EDGE('',*,*,#54754,.F.); #78860=ORIENTED_EDGE('',*,*,#54771,.F.); #78861=ORIENTED_EDGE('',*,*,#54772,.T.); #78862=ORIENTED_EDGE('',*,*,#54773,.T.); #78863=ORIENTED_EDGE('',*,*,#54774,.F.); #78864=ORIENTED_EDGE('',*,*,#54775,.F.); #78865=ORIENTED_EDGE('',*,*,#54776,.T.); #78866=ORIENTED_EDGE('',*,*,#54777,.T.); #78867=ORIENTED_EDGE('',*,*,#54772,.F.); #78868=ORIENTED_EDGE('',*,*,#54778,.F.); #78869=ORIENTED_EDGE('',*,*,#54779,.T.); #78870=ORIENTED_EDGE('',*,*,#54780,.T.); #78871=ORIENTED_EDGE('',*,*,#54776,.F.); #78872=ORIENTED_EDGE('',*,*,#54781,.F.); #78873=ORIENTED_EDGE('',*,*,#54782,.T.); #78874=ORIENTED_EDGE('',*,*,#54783,.T.); #78875=ORIENTED_EDGE('',*,*,#54779,.F.); #78876=ORIENTED_EDGE('',*,*,#54784,.F.); #78877=ORIENTED_EDGE('',*,*,#54785,.T.); #78878=ORIENTED_EDGE('',*,*,#54786,.T.); #78879=ORIENTED_EDGE('',*,*,#54782,.F.); #78880=ORIENTED_EDGE('',*,*,#54787,.F.); #78881=ORIENTED_EDGE('',*,*,#54788,.T.); #78882=ORIENTED_EDGE('',*,*,#54789,.T.); #78883=ORIENTED_EDGE('',*,*,#54785,.F.); #78884=ORIENTED_EDGE('',*,*,#54790,.F.); #78885=ORIENTED_EDGE('',*,*,#54791,.T.); #78886=ORIENTED_EDGE('',*,*,#54792,.T.); #78887=ORIENTED_EDGE('',*,*,#54788,.F.); #78888=ORIENTED_EDGE('',*,*,#54793,.F.); #78889=ORIENTED_EDGE('',*,*,#54774,.T.); #78890=ORIENTED_EDGE('',*,*,#54794,.T.); #78891=ORIENTED_EDGE('',*,*,#54791,.F.); #78892=ORIENTED_EDGE('',*,*,#54794,.F.); #78893=ORIENTED_EDGE('',*,*,#54773,.F.); #78894=ORIENTED_EDGE('',*,*,#54777,.F.); #78895=ORIENTED_EDGE('',*,*,#54780,.F.); #78896=ORIENTED_EDGE('',*,*,#54783,.F.); #78897=ORIENTED_EDGE('',*,*,#54786,.F.); #78898=ORIENTED_EDGE('',*,*,#54789,.F.); #78899=ORIENTED_EDGE('',*,*,#54792,.F.); #78900=ORIENTED_EDGE('',*,*,#54755,.F.); #78901=ORIENTED_EDGE('',*,*,#54770,.F.); #78902=ORIENTED_EDGE('',*,*,#54767,.F.); #78903=ORIENTED_EDGE('',*,*,#54764,.F.); #78904=ORIENTED_EDGE('',*,*,#54761,.F.); #78905=ORIENTED_EDGE('',*,*,#54758,.F.); #78906=ORIENTED_EDGE('',*,*,#54795,.F.); #78907=ORIENTED_EDGE('',*,*,#54796,.T.); #78908=ORIENTED_EDGE('',*,*,#54797,.T.); #78909=ORIENTED_EDGE('',*,*,#54798,.F.); #78910=ORIENTED_EDGE('',*,*,#54799,.F.); #78911=ORIENTED_EDGE('',*,*,#54798,.T.); #78912=ORIENTED_EDGE('',*,*,#54800,.T.); #78913=ORIENTED_EDGE('',*,*,#54801,.F.); #78914=ORIENTED_EDGE('',*,*,#54802,.F.); #78915=ORIENTED_EDGE('',*,*,#54801,.T.); #78916=ORIENTED_EDGE('',*,*,#54803,.T.); #78917=ORIENTED_EDGE('',*,*,#54804,.F.); #78918=ORIENTED_EDGE('',*,*,#54805,.F.); #78919=ORIENTED_EDGE('',*,*,#54804,.T.); #78920=ORIENTED_EDGE('',*,*,#54806,.T.); #78921=ORIENTED_EDGE('',*,*,#54807,.F.); #78922=ORIENTED_EDGE('',*,*,#54808,.F.); #78923=ORIENTED_EDGE('',*,*,#54807,.T.); #78924=ORIENTED_EDGE('',*,*,#54809,.T.); #78925=ORIENTED_EDGE('',*,*,#54796,.F.); #78926=ORIENTED_EDGE('',*,*,#54810,.F.); #78927=ORIENTED_EDGE('',*,*,#54811,.T.); #78928=ORIENTED_EDGE('',*,*,#54812,.T.); #78929=ORIENTED_EDGE('',*,*,#54813,.F.); #78930=ORIENTED_EDGE('',*,*,#54814,.F.); #78931=ORIENTED_EDGE('',*,*,#54815,.T.); #78932=ORIENTED_EDGE('',*,*,#54816,.T.); #78933=ORIENTED_EDGE('',*,*,#54811,.F.); #78934=ORIENTED_EDGE('',*,*,#54817,.F.); #78935=ORIENTED_EDGE('',*,*,#54818,.T.); #78936=ORIENTED_EDGE('',*,*,#54819,.T.); #78937=ORIENTED_EDGE('',*,*,#54815,.F.); #78938=ORIENTED_EDGE('',*,*,#54820,.F.); #78939=ORIENTED_EDGE('',*,*,#54821,.T.); #78940=ORIENTED_EDGE('',*,*,#54822,.T.); #78941=ORIENTED_EDGE('',*,*,#54818,.F.); #78942=ORIENTED_EDGE('',*,*,#54823,.F.); #78943=ORIENTED_EDGE('',*,*,#54824,.T.); #78944=ORIENTED_EDGE('',*,*,#54825,.T.); #78945=ORIENTED_EDGE('',*,*,#54821,.F.); #78946=ORIENTED_EDGE('',*,*,#54826,.F.); #78947=ORIENTED_EDGE('',*,*,#54827,.T.); #78948=ORIENTED_EDGE('',*,*,#54828,.T.); #78949=ORIENTED_EDGE('',*,*,#54824,.F.); #78950=ORIENTED_EDGE('',*,*,#54829,.F.); #78951=ORIENTED_EDGE('',*,*,#54830,.T.); #78952=ORIENTED_EDGE('',*,*,#54831,.T.); #78953=ORIENTED_EDGE('',*,*,#54827,.F.); #78954=ORIENTED_EDGE('',*,*,#54832,.F.); #78955=ORIENTED_EDGE('',*,*,#54813,.T.); #78956=ORIENTED_EDGE('',*,*,#54833,.T.); #78957=ORIENTED_EDGE('',*,*,#54830,.F.); #78958=ORIENTED_EDGE('',*,*,#54833,.F.); #78959=ORIENTED_EDGE('',*,*,#54812,.F.); #78960=ORIENTED_EDGE('',*,*,#54816,.F.); #78961=ORIENTED_EDGE('',*,*,#54819,.F.); #78962=ORIENTED_EDGE('',*,*,#54822,.F.); #78963=ORIENTED_EDGE('',*,*,#54825,.F.); #78964=ORIENTED_EDGE('',*,*,#54828,.F.); #78965=ORIENTED_EDGE('',*,*,#54831,.F.); #78966=ORIENTED_EDGE('',*,*,#54797,.F.); #78967=ORIENTED_EDGE('',*,*,#54809,.F.); #78968=ORIENTED_EDGE('',*,*,#54806,.F.); #78969=ORIENTED_EDGE('',*,*,#54803,.F.); #78970=ORIENTED_EDGE('',*,*,#54800,.F.); #78971=ORIENTED_EDGE('',*,*,#54834,.F.); #78972=ORIENTED_EDGE('',*,*,#54835,.T.); #78973=ORIENTED_EDGE('',*,*,#54836,.T.); #78974=ORIENTED_EDGE('',*,*,#54837,.F.); #78975=ORIENTED_EDGE('',*,*,#54838,.F.); #78976=ORIENTED_EDGE('',*,*,#54839,.T.); #78977=ORIENTED_EDGE('',*,*,#54840,.T.); #78978=ORIENTED_EDGE('',*,*,#54835,.F.); #78979=ORIENTED_EDGE('',*,*,#54841,.F.); #78980=ORIENTED_EDGE('',*,*,#54842,.T.); #78981=ORIENTED_EDGE('',*,*,#54843,.T.); #78982=ORIENTED_EDGE('',*,*,#54839,.F.); #78983=ORIENTED_EDGE('',*,*,#54844,.F.); #78984=ORIENTED_EDGE('',*,*,#54845,.T.); #78985=ORIENTED_EDGE('',*,*,#54846,.T.); #78986=ORIENTED_EDGE('',*,*,#54842,.F.); #78987=ORIENTED_EDGE('',*,*,#54847,.F.); #78988=ORIENTED_EDGE('',*,*,#54848,.T.); #78989=ORIENTED_EDGE('',*,*,#54849,.T.); #78990=ORIENTED_EDGE('',*,*,#54845,.F.); #78991=ORIENTED_EDGE('',*,*,#54850,.F.); #78992=ORIENTED_EDGE('',*,*,#54851,.T.); #78993=ORIENTED_EDGE('',*,*,#54852,.T.); #78994=ORIENTED_EDGE('',*,*,#54848,.F.); #78995=ORIENTED_EDGE('',*,*,#54853,.F.); #78996=ORIENTED_EDGE('',*,*,#54854,.T.); #78997=ORIENTED_EDGE('',*,*,#54855,.T.); #78998=ORIENTED_EDGE('',*,*,#54851,.F.); #78999=ORIENTED_EDGE('',*,*,#54856,.F.); #79000=ORIENTED_EDGE('',*,*,#54837,.T.); #79001=ORIENTED_EDGE('',*,*,#54857,.T.); #79002=ORIENTED_EDGE('',*,*,#54854,.F.); #79003=ORIENTED_EDGE('',*,*,#54857,.F.); #79004=ORIENTED_EDGE('',*,*,#54836,.F.); #79005=ORIENTED_EDGE('',*,*,#54840,.F.); #79006=ORIENTED_EDGE('',*,*,#54843,.F.); #79007=ORIENTED_EDGE('',*,*,#54846,.F.); #79008=ORIENTED_EDGE('',*,*,#54849,.F.); #79009=ORIENTED_EDGE('',*,*,#54852,.F.); #79010=ORIENTED_EDGE('',*,*,#54855,.F.); #79011=ORIENTED_EDGE('',*,*,#54858,.F.); #79012=ORIENTED_EDGE('',*,*,#54859,.T.); #79013=ORIENTED_EDGE('',*,*,#54860,.T.); #79014=ORIENTED_EDGE('',*,*,#54861,.F.); #79015=ORIENTED_EDGE('',*,*,#54862,.F.); #79016=ORIENTED_EDGE('',*,*,#54863,.T.); #79017=ORIENTED_EDGE('',*,*,#54864,.T.); #79018=ORIENTED_EDGE('',*,*,#54859,.F.); #79019=ORIENTED_EDGE('',*,*,#54865,.F.); #79020=ORIENTED_EDGE('',*,*,#54866,.T.); #79021=ORIENTED_EDGE('',*,*,#54867,.T.); #79022=ORIENTED_EDGE('',*,*,#54863,.F.); #79023=ORIENTED_EDGE('',*,*,#54868,.F.); #79024=ORIENTED_EDGE('',*,*,#54869,.T.); #79025=ORIENTED_EDGE('',*,*,#54870,.T.); #79026=ORIENTED_EDGE('',*,*,#54866,.F.); #79027=ORIENTED_EDGE('',*,*,#54871,.F.); #79028=ORIENTED_EDGE('',*,*,#54872,.T.); #79029=ORIENTED_EDGE('',*,*,#54873,.T.); #79030=ORIENTED_EDGE('',*,*,#54869,.F.); #79031=ORIENTED_EDGE('',*,*,#54874,.F.); #79032=ORIENTED_EDGE('',*,*,#54875,.T.); #79033=ORIENTED_EDGE('',*,*,#54876,.T.); #79034=ORIENTED_EDGE('',*,*,#54872,.F.); #79035=ORIENTED_EDGE('',*,*,#54877,.F.); #79036=ORIENTED_EDGE('',*,*,#54878,.T.); #79037=ORIENTED_EDGE('',*,*,#54879,.T.); #79038=ORIENTED_EDGE('',*,*,#54875,.F.); #79039=ORIENTED_EDGE('',*,*,#54880,.F.); #79040=ORIENTED_EDGE('',*,*,#54881,.T.); #79041=ORIENTED_EDGE('',*,*,#54882,.T.); #79042=ORIENTED_EDGE('',*,*,#54878,.F.); #79043=ORIENTED_EDGE('',*,*,#54883,.F.); #79044=ORIENTED_EDGE('',*,*,#54884,.T.); #79045=ORIENTED_EDGE('',*,*,#54885,.T.); #79046=ORIENTED_EDGE('',*,*,#54881,.F.); #79047=ORIENTED_EDGE('',*,*,#54886,.F.); #79048=ORIENTED_EDGE('',*,*,#54861,.T.); #79049=ORIENTED_EDGE('',*,*,#54887,.T.); #79050=ORIENTED_EDGE('',*,*,#54884,.F.); #79051=ORIENTED_EDGE('',*,*,#54887,.F.); #79052=ORIENTED_EDGE('',*,*,#54860,.F.); #79053=ORIENTED_EDGE('',*,*,#54864,.F.); #79054=ORIENTED_EDGE('',*,*,#54867,.F.); #79055=ORIENTED_EDGE('',*,*,#54870,.F.); #79056=ORIENTED_EDGE('',*,*,#54873,.F.); #79057=ORIENTED_EDGE('',*,*,#54876,.F.); #79058=ORIENTED_EDGE('',*,*,#54879,.F.); #79059=ORIENTED_EDGE('',*,*,#54882,.F.); #79060=ORIENTED_EDGE('',*,*,#54885,.F.); #79061=ORIENTED_EDGE('',*,*,#54888,.F.); #79062=ORIENTED_EDGE('',*,*,#54889,.T.); #79063=ORIENTED_EDGE('',*,*,#54890,.T.); #79064=ORIENTED_EDGE('',*,*,#54891,.F.); #79065=ORIENTED_EDGE('',*,*,#54892,.F.); #79066=ORIENTED_EDGE('',*,*,#54891,.T.); #79067=ORIENTED_EDGE('',*,*,#54893,.T.); #79068=ORIENTED_EDGE('',*,*,#54894,.F.); #79069=ORIENTED_EDGE('',*,*,#54895,.F.); #79070=ORIENTED_EDGE('',*,*,#54894,.T.); #79071=ORIENTED_EDGE('',*,*,#54896,.T.); #79072=ORIENTED_EDGE('',*,*,#54897,.F.); #79073=ORIENTED_EDGE('',*,*,#54898,.F.); #79074=ORIENTED_EDGE('',*,*,#54897,.T.); #79075=ORIENTED_EDGE('',*,*,#54899,.T.); #79076=ORIENTED_EDGE('',*,*,#54900,.F.); #79077=ORIENTED_EDGE('',*,*,#54901,.F.); #79078=ORIENTED_EDGE('',*,*,#54900,.T.); #79079=ORIENTED_EDGE('',*,*,#54902,.T.); #79080=ORIENTED_EDGE('',*,*,#54903,.F.); #79081=ORIENTED_EDGE('',*,*,#54904,.F.); #79082=ORIENTED_EDGE('',*,*,#54903,.T.); #79083=ORIENTED_EDGE('',*,*,#54905,.T.); #79084=ORIENTED_EDGE('',*,*,#54889,.F.); #79085=ORIENTED_EDGE('',*,*,#54906,.F.); #79086=ORIENTED_EDGE('',*,*,#54907,.T.); #79087=ORIENTED_EDGE('',*,*,#54908,.T.); #79088=ORIENTED_EDGE('',*,*,#54909,.F.); #79089=ORIENTED_EDGE('',*,*,#54910,.F.); #79090=ORIENTED_EDGE('',*,*,#54911,.T.); #79091=ORIENTED_EDGE('',*,*,#54912,.T.); #79092=ORIENTED_EDGE('',*,*,#54907,.F.); #79093=ORIENTED_EDGE('',*,*,#54913,.F.); #79094=ORIENTED_EDGE('',*,*,#54914,.T.); #79095=ORIENTED_EDGE('',*,*,#54915,.T.); #79096=ORIENTED_EDGE('',*,*,#54911,.F.); #79097=ORIENTED_EDGE('',*,*,#54916,.F.); #79098=ORIENTED_EDGE('',*,*,#54917,.T.); #79099=ORIENTED_EDGE('',*,*,#54918,.T.); #79100=ORIENTED_EDGE('',*,*,#54914,.F.); #79101=ORIENTED_EDGE('',*,*,#54919,.F.); #79102=ORIENTED_EDGE('',*,*,#54920,.T.); #79103=ORIENTED_EDGE('',*,*,#54921,.T.); #79104=ORIENTED_EDGE('',*,*,#54917,.F.); #79105=ORIENTED_EDGE('',*,*,#54922,.F.); #79106=ORIENTED_EDGE('',*,*,#54923,.T.); #79107=ORIENTED_EDGE('',*,*,#54924,.T.); #79108=ORIENTED_EDGE('',*,*,#54920,.F.); #79109=ORIENTED_EDGE('',*,*,#54925,.F.); #79110=ORIENTED_EDGE('',*,*,#54926,.T.); #79111=ORIENTED_EDGE('',*,*,#54927,.T.); #79112=ORIENTED_EDGE('',*,*,#54923,.F.); #79113=ORIENTED_EDGE('',*,*,#54928,.F.); #79114=ORIENTED_EDGE('',*,*,#54909,.T.); #79115=ORIENTED_EDGE('',*,*,#54929,.T.); #79116=ORIENTED_EDGE('',*,*,#54926,.F.); #79117=ORIENTED_EDGE('',*,*,#54929,.F.); #79118=ORIENTED_EDGE('',*,*,#54908,.F.); #79119=ORIENTED_EDGE('',*,*,#54912,.F.); #79120=ORIENTED_EDGE('',*,*,#54915,.F.); #79121=ORIENTED_EDGE('',*,*,#54918,.F.); #79122=ORIENTED_EDGE('',*,*,#54921,.F.); #79123=ORIENTED_EDGE('',*,*,#54924,.F.); #79124=ORIENTED_EDGE('',*,*,#54927,.F.); #79125=ORIENTED_EDGE('',*,*,#54890,.F.); #79126=ORIENTED_EDGE('',*,*,#54905,.F.); #79127=ORIENTED_EDGE('',*,*,#54902,.F.); #79128=ORIENTED_EDGE('',*,*,#54899,.F.); #79129=ORIENTED_EDGE('',*,*,#54896,.F.); #79130=ORIENTED_EDGE('',*,*,#54893,.F.); #79131=ORIENTED_EDGE('',*,*,#54930,.F.); #79132=ORIENTED_EDGE('',*,*,#54931,.T.); #79133=ORIENTED_EDGE('',*,*,#54932,.T.); #79134=ORIENTED_EDGE('',*,*,#54933,.F.); #79135=ORIENTED_EDGE('',*,*,#54934,.F.); #79136=ORIENTED_EDGE('',*,*,#54935,.T.); #79137=ORIENTED_EDGE('',*,*,#54936,.T.); #79138=ORIENTED_EDGE('',*,*,#54931,.F.); #79139=ORIENTED_EDGE('',*,*,#54937,.F.); #79140=ORIENTED_EDGE('',*,*,#54938,.T.); #79141=ORIENTED_EDGE('',*,*,#54939,.T.); #79142=ORIENTED_EDGE('',*,*,#54935,.F.); #79143=ORIENTED_EDGE('',*,*,#54940,.F.); #79144=ORIENTED_EDGE('',*,*,#54941,.T.); #79145=ORIENTED_EDGE('',*,*,#54942,.T.); #79146=ORIENTED_EDGE('',*,*,#54938,.F.); #79147=ORIENTED_EDGE('',*,*,#54943,.F.); #79148=ORIENTED_EDGE('',*,*,#54944,.T.); #79149=ORIENTED_EDGE('',*,*,#54945,.T.); #79150=ORIENTED_EDGE('',*,*,#54941,.F.); #79151=ORIENTED_EDGE('',*,*,#54946,.F.); #79152=ORIENTED_EDGE('',*,*,#54947,.T.); #79153=ORIENTED_EDGE('',*,*,#54948,.T.); #79154=ORIENTED_EDGE('',*,*,#54944,.F.); #79155=ORIENTED_EDGE('',*,*,#54949,.F.); #79156=ORIENTED_EDGE('',*,*,#54950,.T.); #79157=ORIENTED_EDGE('',*,*,#54951,.T.); #79158=ORIENTED_EDGE('',*,*,#54947,.F.); #79159=ORIENTED_EDGE('',*,*,#54952,.F.); #79160=ORIENTED_EDGE('',*,*,#54953,.T.); #79161=ORIENTED_EDGE('',*,*,#54954,.T.); #79162=ORIENTED_EDGE('',*,*,#54950,.F.); #79163=ORIENTED_EDGE('',*,*,#54955,.F.); #79164=ORIENTED_EDGE('',*,*,#54956,.T.); #79165=ORIENTED_EDGE('',*,*,#54957,.T.); #79166=ORIENTED_EDGE('',*,*,#54953,.F.); #79167=ORIENTED_EDGE('',*,*,#54958,.F.); #79168=ORIENTED_EDGE('',*,*,#54959,.T.); #79169=ORIENTED_EDGE('',*,*,#54960,.T.); #79170=ORIENTED_EDGE('',*,*,#54956,.F.); #79171=ORIENTED_EDGE('',*,*,#54961,.F.); #79172=ORIENTED_EDGE('',*,*,#54962,.T.); #79173=ORIENTED_EDGE('',*,*,#54963,.T.); #79174=ORIENTED_EDGE('',*,*,#54959,.F.); #79175=ORIENTED_EDGE('',*,*,#54964,.F.); #79176=ORIENTED_EDGE('',*,*,#54933,.T.); #79177=ORIENTED_EDGE('',*,*,#54965,.T.); #79178=ORIENTED_EDGE('',*,*,#54962,.F.); #79179=ORIENTED_EDGE('',*,*,#54965,.F.); #79180=ORIENTED_EDGE('',*,*,#54932,.F.); #79181=ORIENTED_EDGE('',*,*,#54936,.F.); #79182=ORIENTED_EDGE('',*,*,#54939,.F.); #79183=ORIENTED_EDGE('',*,*,#54942,.F.); #79184=ORIENTED_EDGE('',*,*,#54945,.F.); #79185=ORIENTED_EDGE('',*,*,#54948,.F.); #79186=ORIENTED_EDGE('',*,*,#54951,.F.); #79187=ORIENTED_EDGE('',*,*,#54954,.F.); #79188=ORIENTED_EDGE('',*,*,#54957,.F.); #79189=ORIENTED_EDGE('',*,*,#54960,.F.); #79190=ORIENTED_EDGE('',*,*,#54963,.F.); #79191=ORIENTED_EDGE('',*,*,#54966,.F.); #79192=ORIENTED_EDGE('',*,*,#54967,.T.); #79193=ORIENTED_EDGE('',*,*,#54968,.T.); #79194=ORIENTED_EDGE('',*,*,#54969,.F.); #79195=ORIENTED_EDGE('',*,*,#54970,.F.); #79196=ORIENTED_EDGE('',*,*,#54969,.T.); #79197=ORIENTED_EDGE('',*,*,#54971,.T.); #79198=ORIENTED_EDGE('',*,*,#54972,.F.); #79199=ORIENTED_EDGE('',*,*,#54973,.F.); #79200=ORIENTED_EDGE('',*,*,#54972,.T.); #79201=ORIENTED_EDGE('',*,*,#54974,.T.); #79202=ORIENTED_EDGE('',*,*,#54975,.F.); #79203=ORIENTED_EDGE('',*,*,#54976,.F.); #79204=ORIENTED_EDGE('',*,*,#54975,.T.); #79205=ORIENTED_EDGE('',*,*,#54977,.T.); #79206=ORIENTED_EDGE('',*,*,#54978,.F.); #79207=ORIENTED_EDGE('',*,*,#54979,.F.); #79208=ORIENTED_EDGE('',*,*,#54978,.T.); #79209=ORIENTED_EDGE('',*,*,#54980,.T.); #79210=ORIENTED_EDGE('',*,*,#54981,.F.); #79211=ORIENTED_EDGE('',*,*,#54982,.F.); #79212=ORIENTED_EDGE('',*,*,#54981,.T.); #79213=ORIENTED_EDGE('',*,*,#54983,.T.); #79214=ORIENTED_EDGE('',*,*,#54984,.F.); #79215=ORIENTED_EDGE('',*,*,#54985,.F.); #79216=ORIENTED_EDGE('',*,*,#54984,.T.); #79217=ORIENTED_EDGE('',*,*,#54986,.T.); #79218=ORIENTED_EDGE('',*,*,#54967,.F.); #79219=ORIENTED_EDGE('',*,*,#54987,.F.); #79220=ORIENTED_EDGE('',*,*,#54988,.T.); #79221=ORIENTED_EDGE('',*,*,#54989,.T.); #79222=ORIENTED_EDGE('',*,*,#54990,.F.); #79223=ORIENTED_EDGE('',*,*,#54991,.F.); #79224=ORIENTED_EDGE('',*,*,#54992,.T.); #79225=ORIENTED_EDGE('',*,*,#54993,.T.); #79226=ORIENTED_EDGE('',*,*,#54988,.F.); #79227=ORIENTED_EDGE('',*,*,#54994,.F.); #79228=ORIENTED_EDGE('',*,*,#54995,.T.); #79229=ORIENTED_EDGE('',*,*,#54996,.T.); #79230=ORIENTED_EDGE('',*,*,#54992,.F.); #79231=ORIENTED_EDGE('',*,*,#54997,.F.); #79232=ORIENTED_EDGE('',*,*,#54998,.T.); #79233=ORIENTED_EDGE('',*,*,#54999,.T.); #79234=ORIENTED_EDGE('',*,*,#54995,.F.); #79235=ORIENTED_EDGE('',*,*,#55000,.F.); #79236=ORIENTED_EDGE('',*,*,#55001,.T.); #79237=ORIENTED_EDGE('',*,*,#55002,.T.); #79238=ORIENTED_EDGE('',*,*,#54998,.F.); #79239=ORIENTED_EDGE('',*,*,#55003,.F.); #79240=ORIENTED_EDGE('',*,*,#55004,.T.); #79241=ORIENTED_EDGE('',*,*,#55005,.T.); #79242=ORIENTED_EDGE('',*,*,#55001,.F.); #79243=ORIENTED_EDGE('',*,*,#55006,.F.); #79244=ORIENTED_EDGE('',*,*,#54990,.T.); #79245=ORIENTED_EDGE('',*,*,#55007,.T.); #79246=ORIENTED_EDGE('',*,*,#55004,.F.); #79247=ORIENTED_EDGE('',*,*,#55007,.F.); #79248=ORIENTED_EDGE('',*,*,#54989,.F.); #79249=ORIENTED_EDGE('',*,*,#54993,.F.); #79250=ORIENTED_EDGE('',*,*,#54996,.F.); #79251=ORIENTED_EDGE('',*,*,#54999,.F.); #79252=ORIENTED_EDGE('',*,*,#55002,.F.); #79253=ORIENTED_EDGE('',*,*,#55005,.F.); #79254=ORIENTED_EDGE('',*,*,#54968,.F.); #79255=ORIENTED_EDGE('',*,*,#54986,.F.); #79256=ORIENTED_EDGE('',*,*,#54983,.F.); #79257=ORIENTED_EDGE('',*,*,#54980,.F.); #79258=ORIENTED_EDGE('',*,*,#54977,.F.); #79259=ORIENTED_EDGE('',*,*,#54974,.F.); #79260=ORIENTED_EDGE('',*,*,#54971,.F.); #79261=ORIENTED_EDGE('',*,*,#55008,.F.); #79262=ORIENTED_EDGE('',*,*,#55009,.T.); #79263=ORIENTED_EDGE('',*,*,#55010,.T.); #79264=ORIENTED_EDGE('',*,*,#55011,.F.); #79265=ORIENTED_EDGE('',*,*,#55012,.F.); #79266=ORIENTED_EDGE('',*,*,#55013,.T.); #79267=ORIENTED_EDGE('',*,*,#55014,.T.); #79268=ORIENTED_EDGE('',*,*,#55009,.F.); #79269=ORIENTED_EDGE('',*,*,#55015,.F.); #79270=ORIENTED_EDGE('',*,*,#55016,.T.); #79271=ORIENTED_EDGE('',*,*,#55017,.T.); #79272=ORIENTED_EDGE('',*,*,#55013,.F.); #79273=ORIENTED_EDGE('',*,*,#55018,.F.); #79274=ORIENTED_EDGE('',*,*,#55011,.T.); #79275=ORIENTED_EDGE('',*,*,#55019,.T.); #79276=ORIENTED_EDGE('',*,*,#55016,.F.); #79277=ORIENTED_EDGE('',*,*,#55019,.F.); #79278=ORIENTED_EDGE('',*,*,#55010,.F.); #79279=ORIENTED_EDGE('',*,*,#55014,.F.); #79280=ORIENTED_EDGE('',*,*,#55017,.F.); #79281=ORIENTED_EDGE('',*,*,#55020,.F.); #79282=ORIENTED_EDGE('',*,*,#55021,.T.); #79283=ORIENTED_EDGE('',*,*,#55022,.T.); #79284=ORIENTED_EDGE('',*,*,#55023,.F.); #79285=ORIENTED_EDGE('',*,*,#55024,.F.); #79286=ORIENTED_EDGE('',*,*,#55025,.T.); #79287=ORIENTED_EDGE('',*,*,#55026,.T.); #79288=ORIENTED_EDGE('',*,*,#55021,.F.); #79289=ORIENTED_EDGE('',*,*,#55027,.F.); #79290=ORIENTED_EDGE('',*,*,#55028,.T.); #79291=ORIENTED_EDGE('',*,*,#55029,.T.); #79292=ORIENTED_EDGE('',*,*,#55025,.F.); #79293=ORIENTED_EDGE('',*,*,#55030,.F.); #79294=ORIENTED_EDGE('',*,*,#55031,.T.); #79295=ORIENTED_EDGE('',*,*,#55032,.T.); #79296=ORIENTED_EDGE('',*,*,#55028,.F.); #79297=ORIENTED_EDGE('',*,*,#55033,.F.); #79298=ORIENTED_EDGE('',*,*,#55034,.T.); #79299=ORIENTED_EDGE('',*,*,#55035,.T.); #79300=ORIENTED_EDGE('',*,*,#55031,.F.); #79301=ORIENTED_EDGE('',*,*,#55036,.F.); #79302=ORIENTED_EDGE('',*,*,#55037,.T.); #79303=ORIENTED_EDGE('',*,*,#55038,.T.); #79304=ORIENTED_EDGE('',*,*,#55034,.F.); #79305=ORIENTED_EDGE('',*,*,#55039,.F.); #79306=ORIENTED_EDGE('',*,*,#55040,.T.); #79307=ORIENTED_EDGE('',*,*,#55041,.T.); #79308=ORIENTED_EDGE('',*,*,#55037,.F.); #79309=ORIENTED_EDGE('',*,*,#55042,.F.); #79310=ORIENTED_EDGE('',*,*,#55043,.T.); #79311=ORIENTED_EDGE('',*,*,#55044,.T.); #79312=ORIENTED_EDGE('',*,*,#55040,.F.); #79313=ORIENTED_EDGE('',*,*,#55045,.F.); #79314=ORIENTED_EDGE('',*,*,#55046,.T.); #79315=ORIENTED_EDGE('',*,*,#55047,.T.); #79316=ORIENTED_EDGE('',*,*,#55043,.F.); #79317=ORIENTED_EDGE('',*,*,#55048,.F.); #79318=ORIENTED_EDGE('',*,*,#55049,.T.); #79319=ORIENTED_EDGE('',*,*,#55050,.T.); #79320=ORIENTED_EDGE('',*,*,#55046,.F.); #79321=ORIENTED_EDGE('',*,*,#55051,.F.); #79322=ORIENTED_EDGE('',*,*,#55052,.T.); #79323=ORIENTED_EDGE('',*,*,#55053,.T.); #79324=ORIENTED_EDGE('',*,*,#55049,.F.); #79325=ORIENTED_EDGE('',*,*,#55054,.F.); #79326=ORIENTED_EDGE('',*,*,#55055,.T.); #79327=ORIENTED_EDGE('',*,*,#55056,.T.); #79328=ORIENTED_EDGE('',*,*,#55052,.F.); #79329=ORIENTED_EDGE('',*,*,#55057,.F.); #79330=ORIENTED_EDGE('',*,*,#55058,.T.); #79331=ORIENTED_EDGE('',*,*,#55059,.T.); #79332=ORIENTED_EDGE('',*,*,#55055,.F.); #79333=ORIENTED_EDGE('',*,*,#55060,.F.); #79334=ORIENTED_EDGE('',*,*,#55061,.T.); #79335=ORIENTED_EDGE('',*,*,#55062,.T.); #79336=ORIENTED_EDGE('',*,*,#55058,.F.); #79337=ORIENTED_EDGE('',*,*,#55063,.F.); #79338=ORIENTED_EDGE('',*,*,#55023,.T.); #79339=ORIENTED_EDGE('',*,*,#55064,.T.); #79340=ORIENTED_EDGE('',*,*,#55061,.F.); #79341=ORIENTED_EDGE('',*,*,#55064,.F.); #79342=ORIENTED_EDGE('',*,*,#55022,.F.); #79343=ORIENTED_EDGE('',*,*,#55026,.F.); #79344=ORIENTED_EDGE('',*,*,#55029,.F.); #79345=ORIENTED_EDGE('',*,*,#55032,.F.); #79346=ORIENTED_EDGE('',*,*,#55035,.F.); #79347=ORIENTED_EDGE('',*,*,#55038,.F.); #79348=ORIENTED_EDGE('',*,*,#55041,.F.); #79349=ORIENTED_EDGE('',*,*,#55044,.F.); #79350=ORIENTED_EDGE('',*,*,#55047,.F.); #79351=ORIENTED_EDGE('',*,*,#55050,.F.); #79352=ORIENTED_EDGE('',*,*,#55053,.F.); #79353=ORIENTED_EDGE('',*,*,#55056,.F.); #79354=ORIENTED_EDGE('',*,*,#55059,.F.); #79355=ORIENTED_EDGE('',*,*,#55062,.F.); #79356=ORIENTED_EDGE('',*,*,#55065,.T.); #79357=ORIENTED_EDGE('',*,*,#55066,.F.); #79358=ORIENTED_EDGE('',*,*,#55067,.T.); #79359=ORIENTED_EDGE('',*,*,#55068,.F.); #79360=ORIENTED_EDGE('',*,*,#54273,.T.); #79361=ORIENTED_EDGE('',*,*,#54301,.T.); #79362=ORIENTED_EDGE('',*,*,#54298,.T.); #79363=ORIENTED_EDGE('',*,*,#54295,.T.); #79364=ORIENTED_EDGE('',*,*,#54292,.T.); #79365=ORIENTED_EDGE('',*,*,#54289,.T.); #79366=ORIENTED_EDGE('',*,*,#54286,.T.); #79367=ORIENTED_EDGE('',*,*,#54283,.T.); #79368=ORIENTED_EDGE('',*,*,#54280,.T.); #79369=ORIENTED_EDGE('',*,*,#54277,.T.); #79370=ORIENTED_EDGE('',*,*,#54303,.T.); #79371=ORIENTED_EDGE('',*,*,#54331,.T.); #79372=ORIENTED_EDGE('',*,*,#54328,.T.); #79373=ORIENTED_EDGE('',*,*,#54325,.T.); #79374=ORIENTED_EDGE('',*,*,#54322,.T.); #79375=ORIENTED_EDGE('',*,*,#54319,.T.); #79376=ORIENTED_EDGE('',*,*,#54316,.T.); #79377=ORIENTED_EDGE('',*,*,#54313,.T.); #79378=ORIENTED_EDGE('',*,*,#54310,.T.); #79379=ORIENTED_EDGE('',*,*,#54307,.T.); #79380=ORIENTED_EDGE('',*,*,#54333,.T.); #79381=ORIENTED_EDGE('',*,*,#54355,.T.); #79382=ORIENTED_EDGE('',*,*,#54352,.T.); #79383=ORIENTED_EDGE('',*,*,#54349,.T.); #79384=ORIENTED_EDGE('',*,*,#54346,.T.); #79385=ORIENTED_EDGE('',*,*,#54343,.T.); #79386=ORIENTED_EDGE('',*,*,#54340,.T.); #79387=ORIENTED_EDGE('',*,*,#54337,.T.); #79388=ORIENTED_EDGE('',*,*,#54357,.T.); #79389=ORIENTED_EDGE('',*,*,#54391,.T.); #79390=ORIENTED_EDGE('',*,*,#54388,.T.); #79391=ORIENTED_EDGE('',*,*,#54385,.T.); #79392=ORIENTED_EDGE('',*,*,#54382,.T.); #79393=ORIENTED_EDGE('',*,*,#54379,.T.); #79394=ORIENTED_EDGE('',*,*,#54376,.T.); #79395=ORIENTED_EDGE('',*,*,#54373,.T.); #79396=ORIENTED_EDGE('',*,*,#54370,.T.); #79397=ORIENTED_EDGE('',*,*,#54367,.T.); #79398=ORIENTED_EDGE('',*,*,#54364,.T.); #79399=ORIENTED_EDGE('',*,*,#54361,.T.); #79400=ORIENTED_EDGE('',*,*,#54393,.T.); #79401=ORIENTED_EDGE('',*,*,#54427,.T.); #79402=ORIENTED_EDGE('',*,*,#54424,.T.); #79403=ORIENTED_EDGE('',*,*,#54421,.T.); #79404=ORIENTED_EDGE('',*,*,#54418,.T.); #79405=ORIENTED_EDGE('',*,*,#54415,.T.); #79406=ORIENTED_EDGE('',*,*,#54412,.T.); #79407=ORIENTED_EDGE('',*,*,#54409,.T.); #79408=ORIENTED_EDGE('',*,*,#54406,.T.); #79409=ORIENTED_EDGE('',*,*,#54403,.T.); #79410=ORIENTED_EDGE('',*,*,#54400,.T.); #79411=ORIENTED_EDGE('',*,*,#54397,.T.); #79412=ORIENTED_EDGE('',*,*,#54444,.T.); #79413=ORIENTED_EDGE('',*,*,#54484,.T.); #79414=ORIENTED_EDGE('',*,*,#54481,.T.); #79415=ORIENTED_EDGE('',*,*,#54478,.T.); #79416=ORIENTED_EDGE('',*,*,#54475,.T.); #79417=ORIENTED_EDGE('',*,*,#54472,.T.); #79418=ORIENTED_EDGE('',*,*,#54469,.T.); #79419=ORIENTED_EDGE('',*,*,#54466,.T.); #79420=ORIENTED_EDGE('',*,*,#54463,.T.); #79421=ORIENTED_EDGE('',*,*,#54460,.T.); #79422=ORIENTED_EDGE('',*,*,#54457,.T.); #79423=ORIENTED_EDGE('',*,*,#54454,.T.); #79424=ORIENTED_EDGE('',*,*,#54451,.T.); #79425=ORIENTED_EDGE('',*,*,#54448,.T.); #79426=ORIENTED_EDGE('',*,*,#54522,.T.); #79427=ORIENTED_EDGE('',*,*,#54541,.T.); #79428=ORIENTED_EDGE('',*,*,#54538,.T.); #79429=ORIENTED_EDGE('',*,*,#54535,.T.); #79430=ORIENTED_EDGE('',*,*,#54532,.T.); #79431=ORIENTED_EDGE('',*,*,#54529,.T.); #79432=ORIENTED_EDGE('',*,*,#54526,.T.); #79433=ORIENTED_EDGE('',*,*,#54543,.T.); #79434=ORIENTED_EDGE('',*,*,#54577,.T.); #79435=ORIENTED_EDGE('',*,*,#54574,.T.); #79436=ORIENTED_EDGE('',*,*,#54571,.T.); #79437=ORIENTED_EDGE('',*,*,#54568,.T.); #79438=ORIENTED_EDGE('',*,*,#54565,.T.); #79439=ORIENTED_EDGE('',*,*,#54562,.T.); #79440=ORIENTED_EDGE('',*,*,#54559,.T.); #79441=ORIENTED_EDGE('',*,*,#54556,.T.); #79442=ORIENTED_EDGE('',*,*,#54553,.T.); #79443=ORIENTED_EDGE('',*,*,#54550,.T.); #79444=ORIENTED_EDGE('',*,*,#54547,.T.); #79445=ORIENTED_EDGE('',*,*,#54579,.T.); #79446=ORIENTED_EDGE('',*,*,#54613,.T.); #79447=ORIENTED_EDGE('',*,*,#54610,.T.); #79448=ORIENTED_EDGE('',*,*,#54607,.T.); #79449=ORIENTED_EDGE('',*,*,#54604,.T.); #79450=ORIENTED_EDGE('',*,*,#54601,.T.); #79451=ORIENTED_EDGE('',*,*,#54598,.T.); #79452=ORIENTED_EDGE('',*,*,#54595,.T.); #79453=ORIENTED_EDGE('',*,*,#54592,.T.); #79454=ORIENTED_EDGE('',*,*,#54589,.T.); #79455=ORIENTED_EDGE('',*,*,#54586,.T.); #79456=ORIENTED_EDGE('',*,*,#54583,.T.); #79457=ORIENTED_EDGE('',*,*,#54630,.T.); #79458=ORIENTED_EDGE('',*,*,#54652,.T.); #79459=ORIENTED_EDGE('',*,*,#54649,.T.); #79460=ORIENTED_EDGE('',*,*,#54646,.T.); #79461=ORIENTED_EDGE('',*,*,#54643,.T.); #79462=ORIENTED_EDGE('',*,*,#54640,.T.); #79463=ORIENTED_EDGE('',*,*,#54637,.T.); #79464=ORIENTED_EDGE('',*,*,#54634,.T.); #79465=ORIENTED_EDGE('',*,*,#54669,.T.); #79466=ORIENTED_EDGE('',*,*,#54709,.T.); #79467=ORIENTED_EDGE('',*,*,#54706,.T.); #79468=ORIENTED_EDGE('',*,*,#54703,.T.); #79469=ORIENTED_EDGE('',*,*,#54700,.T.); #79470=ORIENTED_EDGE('',*,*,#54697,.T.); #79471=ORIENTED_EDGE('',*,*,#54694,.T.); #79472=ORIENTED_EDGE('',*,*,#54691,.T.); #79473=ORIENTED_EDGE('',*,*,#54688,.T.); #79474=ORIENTED_EDGE('',*,*,#54685,.T.); #79475=ORIENTED_EDGE('',*,*,#54682,.T.); #79476=ORIENTED_EDGE('',*,*,#54679,.T.); #79477=ORIENTED_EDGE('',*,*,#54676,.T.); #79478=ORIENTED_EDGE('',*,*,#54673,.T.); #79479=ORIENTED_EDGE('',*,*,#54732,.T.); #79480=ORIENTED_EDGE('',*,*,#54751,.T.); #79481=ORIENTED_EDGE('',*,*,#54748,.T.); #79482=ORIENTED_EDGE('',*,*,#54745,.T.); #79483=ORIENTED_EDGE('',*,*,#54742,.T.); #79484=ORIENTED_EDGE('',*,*,#54739,.T.); #79485=ORIENTED_EDGE('',*,*,#54736,.T.); #79486=ORIENTED_EDGE('',*,*,#54771,.T.); #79487=ORIENTED_EDGE('',*,*,#54793,.T.); #79488=ORIENTED_EDGE('',*,*,#54790,.T.); #79489=ORIENTED_EDGE('',*,*,#54787,.T.); #79490=ORIENTED_EDGE('',*,*,#54784,.T.); #79491=ORIENTED_EDGE('',*,*,#54781,.T.); #79492=ORIENTED_EDGE('',*,*,#54778,.T.); #79493=ORIENTED_EDGE('',*,*,#54775,.T.); #79494=ORIENTED_EDGE('',*,*,#54810,.T.); #79495=ORIENTED_EDGE('',*,*,#54832,.T.); #79496=ORIENTED_EDGE('',*,*,#54829,.T.); #79497=ORIENTED_EDGE('',*,*,#54826,.T.); #79498=ORIENTED_EDGE('',*,*,#54823,.T.); #79499=ORIENTED_EDGE('',*,*,#54820,.T.); #79500=ORIENTED_EDGE('',*,*,#54817,.T.); #79501=ORIENTED_EDGE('',*,*,#54814,.T.); #79502=ORIENTED_EDGE('',*,*,#54834,.T.); #79503=ORIENTED_EDGE('',*,*,#54856,.T.); #79504=ORIENTED_EDGE('',*,*,#54853,.T.); #79505=ORIENTED_EDGE('',*,*,#54850,.T.); #79506=ORIENTED_EDGE('',*,*,#54847,.T.); #79507=ORIENTED_EDGE('',*,*,#54844,.T.); #79508=ORIENTED_EDGE('',*,*,#54841,.T.); #79509=ORIENTED_EDGE('',*,*,#54838,.T.); #79510=ORIENTED_EDGE('',*,*,#54858,.T.); #79511=ORIENTED_EDGE('',*,*,#54886,.T.); #79512=ORIENTED_EDGE('',*,*,#54883,.T.); #79513=ORIENTED_EDGE('',*,*,#54880,.T.); #79514=ORIENTED_EDGE('',*,*,#54877,.T.); #79515=ORIENTED_EDGE('',*,*,#54874,.T.); #79516=ORIENTED_EDGE('',*,*,#54871,.T.); #79517=ORIENTED_EDGE('',*,*,#54868,.T.); #79518=ORIENTED_EDGE('',*,*,#54865,.T.); #79519=ORIENTED_EDGE('',*,*,#54862,.T.); #79520=ORIENTED_EDGE('',*,*,#54906,.T.); #79521=ORIENTED_EDGE('',*,*,#54928,.T.); #79522=ORIENTED_EDGE('',*,*,#54925,.T.); #79523=ORIENTED_EDGE('',*,*,#54922,.T.); #79524=ORIENTED_EDGE('',*,*,#54919,.T.); #79525=ORIENTED_EDGE('',*,*,#54916,.T.); #79526=ORIENTED_EDGE('',*,*,#54913,.T.); #79527=ORIENTED_EDGE('',*,*,#54910,.T.); #79528=ORIENTED_EDGE('',*,*,#54930,.T.); #79529=ORIENTED_EDGE('',*,*,#54964,.T.); #79530=ORIENTED_EDGE('',*,*,#54961,.T.); #79531=ORIENTED_EDGE('',*,*,#54958,.T.); #79532=ORIENTED_EDGE('',*,*,#54955,.T.); #79533=ORIENTED_EDGE('',*,*,#54952,.T.); #79534=ORIENTED_EDGE('',*,*,#54949,.T.); #79535=ORIENTED_EDGE('',*,*,#54946,.T.); #79536=ORIENTED_EDGE('',*,*,#54943,.T.); #79537=ORIENTED_EDGE('',*,*,#54940,.T.); #79538=ORIENTED_EDGE('',*,*,#54937,.T.); #79539=ORIENTED_EDGE('',*,*,#54934,.T.); #79540=ORIENTED_EDGE('',*,*,#54987,.T.); #79541=ORIENTED_EDGE('',*,*,#55006,.T.); #79542=ORIENTED_EDGE('',*,*,#55003,.T.); #79543=ORIENTED_EDGE('',*,*,#55000,.T.); #79544=ORIENTED_EDGE('',*,*,#54997,.T.); #79545=ORIENTED_EDGE('',*,*,#54994,.T.); #79546=ORIENTED_EDGE('',*,*,#54991,.T.); #79547=ORIENTED_EDGE('',*,*,#55008,.T.); #79548=ORIENTED_EDGE('',*,*,#55018,.T.); #79549=ORIENTED_EDGE('',*,*,#55015,.T.); #79550=ORIENTED_EDGE('',*,*,#55012,.T.); #79551=ORIENTED_EDGE('',*,*,#55020,.T.); #79552=ORIENTED_EDGE('',*,*,#55063,.T.); #79553=ORIENTED_EDGE('',*,*,#55060,.T.); #79554=ORIENTED_EDGE('',*,*,#55057,.T.); #79555=ORIENTED_EDGE('',*,*,#55054,.T.); #79556=ORIENTED_EDGE('',*,*,#55051,.T.); #79557=ORIENTED_EDGE('',*,*,#55048,.T.); #79558=ORIENTED_EDGE('',*,*,#55045,.T.); #79559=ORIENTED_EDGE('',*,*,#55042,.T.); #79560=ORIENTED_EDGE('',*,*,#55039,.T.); #79561=ORIENTED_EDGE('',*,*,#55036,.T.); #79562=ORIENTED_EDGE('',*,*,#55033,.T.); #79563=ORIENTED_EDGE('',*,*,#55030,.T.); #79564=ORIENTED_EDGE('',*,*,#55027,.T.); #79565=ORIENTED_EDGE('',*,*,#55024,.T.); #79566=ORIENTED_EDGE('',*,*,#54966,.T.); #79567=ORIENTED_EDGE('',*,*,#54970,.T.); #79568=ORIENTED_EDGE('',*,*,#54973,.T.); #79569=ORIENTED_EDGE('',*,*,#54976,.T.); #79570=ORIENTED_EDGE('',*,*,#54979,.T.); #79571=ORIENTED_EDGE('',*,*,#54982,.T.); #79572=ORIENTED_EDGE('',*,*,#54985,.T.); #79573=ORIENTED_EDGE('',*,*,#54888,.T.); #79574=ORIENTED_EDGE('',*,*,#54892,.T.); #79575=ORIENTED_EDGE('',*,*,#54895,.T.); #79576=ORIENTED_EDGE('',*,*,#54898,.T.); #79577=ORIENTED_EDGE('',*,*,#54901,.T.); #79578=ORIENTED_EDGE('',*,*,#54904,.T.); #79579=ORIENTED_EDGE('',*,*,#54795,.T.); #79580=ORIENTED_EDGE('',*,*,#54799,.T.); #79581=ORIENTED_EDGE('',*,*,#54802,.T.); #79582=ORIENTED_EDGE('',*,*,#54805,.T.); #79583=ORIENTED_EDGE('',*,*,#54808,.T.); #79584=ORIENTED_EDGE('',*,*,#54753,.T.); #79585=ORIENTED_EDGE('',*,*,#54757,.T.); #79586=ORIENTED_EDGE('',*,*,#54760,.T.); #79587=ORIENTED_EDGE('',*,*,#54763,.T.); #79588=ORIENTED_EDGE('',*,*,#54766,.T.); #79589=ORIENTED_EDGE('',*,*,#54769,.T.); #79590=ORIENTED_EDGE('',*,*,#54711,.T.); #79591=ORIENTED_EDGE('',*,*,#54715,.T.); #79592=ORIENTED_EDGE('',*,*,#54718,.T.); #79593=ORIENTED_EDGE('',*,*,#54721,.T.); #79594=ORIENTED_EDGE('',*,*,#54724,.T.); #79595=ORIENTED_EDGE('',*,*,#54727,.T.); #79596=ORIENTED_EDGE('',*,*,#54730,.T.); #79597=ORIENTED_EDGE('',*,*,#54654,.T.); #79598=ORIENTED_EDGE('',*,*,#54658,.T.); #79599=ORIENTED_EDGE('',*,*,#54661,.T.); #79600=ORIENTED_EDGE('',*,*,#54664,.T.); #79601=ORIENTED_EDGE('',*,*,#54667,.T.); #79602=ORIENTED_EDGE('',*,*,#54615,.T.); #79603=ORIENTED_EDGE('',*,*,#54619,.T.); #79604=ORIENTED_EDGE('',*,*,#54622,.T.); #79605=ORIENTED_EDGE('',*,*,#54625,.T.); #79606=ORIENTED_EDGE('',*,*,#54628,.T.); #79607=ORIENTED_EDGE('',*,*,#54504,.T.); #79608=ORIENTED_EDGE('',*,*,#54508,.T.); #79609=ORIENTED_EDGE('',*,*,#54511,.T.); #79610=ORIENTED_EDGE('',*,*,#54514,.T.); #79611=ORIENTED_EDGE('',*,*,#54517,.T.); #79612=ORIENTED_EDGE('',*,*,#54520,.T.); #79613=ORIENTED_EDGE('',*,*,#54486,.T.); #79614=ORIENTED_EDGE('',*,*,#54490,.T.); #79615=ORIENTED_EDGE('',*,*,#54493,.T.); #79616=ORIENTED_EDGE('',*,*,#54496,.T.); #79617=ORIENTED_EDGE('',*,*,#54499,.T.); #79618=ORIENTED_EDGE('',*,*,#54502,.T.); #79619=ORIENTED_EDGE('',*,*,#55069,.F.); #79620=ORIENTED_EDGE('',*,*,#55070,.T.); #79621=ORIENTED_EDGE('',*,*,#55071,.F.); #79622=ORIENTED_EDGE('',*,*,#55072,.F.); #79623=ORIENTED_EDGE('',*,*,#55073,.T.); #79624=ORIENTED_EDGE('',*,*,#55074,.F.); #79625=ORIENTED_EDGE('',*,*,#55073,.F.); #79626=ORIENTED_EDGE('',*,*,#55075,.F.); #79627=ORIENTED_EDGE('',*,*,#55076,.F.); #79628=ORIENTED_EDGE('',*,*,#55077,.F.); #79629=ORIENTED_EDGE('',*,*,#55078,.T.); #79630=ORIENTED_EDGE('',*,*,#55079,.T.); #79631=ORIENTED_EDGE('',*,*,#55080,.T.); #79632=ORIENTED_EDGE('',*,*,#55081,.T.); #79633=ORIENTED_EDGE('',*,*,#55082,.T.); #79634=ORIENTED_EDGE('',*,*,#55083,.T.); #79635=ORIENTED_EDGE('',*,*,#55082,.F.); #79636=ORIENTED_EDGE('',*,*,#55084,.F.); #79637=ORIENTED_EDGE('',*,*,#55085,.F.); #79638=ORIENTED_EDGE('',*,*,#55086,.F.); #79639=ORIENTED_EDGE('',*,*,#55087,.T.); #79640=ORIENTED_EDGE('',*,*,#55088,.T.); #79641=ORIENTED_EDGE('',*,*,#55089,.T.); #79642=ORIENTED_EDGE('',*,*,#55090,.T.); #79643=ORIENTED_EDGE('',*,*,#55070,.F.); #79644=ORIENTED_EDGE('',*,*,#55091,.F.); #79645=ORIENTED_EDGE('',*,*,#55090,.F.); #79646=ORIENTED_EDGE('',*,*,#55092,.F.); #79647=ORIENTED_EDGE('',*,*,#55089,.F.); #79648=ORIENTED_EDGE('',*,*,#55093,.F.); #79649=ORIENTED_EDGE('',*,*,#55094,.F.); #79650=ORIENTED_EDGE('',*,*,#55095,.T.); #79651=ORIENTED_EDGE('',*,*,#55096,.F.); #79652=ORIENTED_EDGE('',*,*,#55097,.T.); #79653=ORIENTED_EDGE('',*,*,#55098,.F.); #79654=ORIENTED_EDGE('',*,*,#55071,.T.); #79655=ORIENTED_EDGE('',*,*,#55092,.T.); #79656=ORIENTED_EDGE('',*,*,#55099,.T.); #79657=ORIENTED_EDGE('',*,*,#55100,.T.); #79658=ORIENTED_EDGE('',*,*,#55101,.T.); #79659=ORIENTED_EDGE('',*,*,#55102,.T.); #79660=ORIENTED_EDGE('',*,*,#55100,.F.); #79661=ORIENTED_EDGE('',*,*,#55103,.F.); #79662=ORIENTED_EDGE('',*,*,#55104,.F.); #79663=ORIENTED_EDGE('',*,*,#55105,.T.); #79664=ORIENTED_EDGE('',*,*,#55099,.F.); #79665=ORIENTED_EDGE('',*,*,#55106,.T.); #79666=ORIENTED_EDGE('',*,*,#55078,.F.); #79667=ORIENTED_EDGE('',*,*,#55107,.T.); #79668=ORIENTED_EDGE('',*,*,#55108,.F.); #79669=ORIENTED_EDGE('',*,*,#55109,.F.); #79670=ORIENTED_EDGE('',*,*,#55110,.T.); #79671=ORIENTED_EDGE('',*,*,#55111,.F.); #79672=ORIENTED_EDGE('',*,*,#55103,.T.); #79673=ORIENTED_EDGE('',*,*,#55112,.T.); #79674=ORIENTED_EDGE('',*,*,#55113,.T.); #79675=ORIENTED_EDGE('',*,*,#55109,.T.); #79676=ORIENTED_EDGE('',*,*,#55114,.T.); #79677=ORIENTED_EDGE('',*,*,#55114,.F.); #79678=ORIENTED_EDGE('',*,*,#55108,.T.); #79679=ORIENTED_EDGE('',*,*,#55115,.T.); #79680=ORIENTED_EDGE('',*,*,#55116,.T.); #79681=ORIENTED_EDGE('',*,*,#55117,.T.); #79682=ORIENTED_EDGE('',*,*,#55118,.T.); #79683=ORIENTED_EDGE('',*,*,#55096,.T.); #79684=ORIENTED_EDGE('',*,*,#55119,.T.); #79685=ORIENTED_EDGE('',*,*,#55119,.F.); #79686=ORIENTED_EDGE('',*,*,#55095,.F.); #79687=ORIENTED_EDGE('',*,*,#55120,.F.); #79688=ORIENTED_EDGE('',*,*,#55121,.T.); #79689=ORIENTED_EDGE('',*,*,#55122,.T.); #79690=ORIENTED_EDGE('',*,*,#55120,.T.); #79691=ORIENTED_EDGE('',*,*,#55094,.T.); #79692=ORIENTED_EDGE('',*,*,#55123,.T.); #79693=ORIENTED_EDGE('',*,*,#55088,.F.); #79694=ORIENTED_EDGE('',*,*,#55124,.T.); #79695=ORIENTED_EDGE('',*,*,#55123,.F.); #79696=ORIENTED_EDGE('',*,*,#55093,.T.); #79697=ORIENTED_EDGE('',*,*,#55125,.T.); #79698=ORIENTED_EDGE('',*,*,#55104,.T.); #79699=ORIENTED_EDGE('',*,*,#55111,.T.); #79700=ORIENTED_EDGE('',*,*,#55126,.T.); #79701=ORIENTED_EDGE('',*,*,#55101,.F.); #79702=ORIENTED_EDGE('',*,*,#55105,.F.); #79703=ORIENTED_EDGE('',*,*,#55125,.F.); #79704=ORIENTED_EDGE('',*,*,#55127,.F.); #79705=ORIENTED_EDGE('',*,*,#55112,.F.); #79706=ORIENTED_EDGE('',*,*,#55116,.F.); #79707=ORIENTED_EDGE('',*,*,#55128,.T.); #79708=ORIENTED_EDGE('',*,*,#55080,.F.); #79709=ORIENTED_EDGE('',*,*,#55129,.T.); #79710=ORIENTED_EDGE('',*,*,#55130,.F.); #79711=ORIENTED_EDGE('',*,*,#55131,.F.); #79712=ORIENTED_EDGE('',*,*,#55132,.T.); #79713=ORIENTED_EDGE('',*,*,#55133,.T.); #79714=ORIENTED_EDGE('',*,*,#55134,.F.); #79715=ORIENTED_EDGE('',*,*,#55135,.F.); #79716=ORIENTED_EDGE('',*,*,#55132,.F.); #79717=ORIENTED_EDGE('',*,*,#55136,.F.); #79718=ORIENTED_EDGE('',*,*,#55137,.T.); #79719=ORIENTED_EDGE('',*,*,#55138,.T.); #79720=ORIENTED_EDGE('',*,*,#55139,.F.); #79721=ORIENTED_EDGE('',*,*,#55133,.F.); #79722=ORIENTED_EDGE('',*,*,#55137,.F.); #79723=ORIENTED_EDGE('',*,*,#55140,.F.); #79724=ORIENTED_EDGE('',*,*,#55141,.F.); #79725=ORIENTED_EDGE('',*,*,#55142,.F.); #79726=ORIENTED_EDGE('',*,*,#55138,.F.); #79727=ORIENTED_EDGE('',*,*,#55143,.F.); #79728=ORIENTED_EDGE('',*,*,#55144,.F.); #79729=ORIENTED_EDGE('',*,*,#55145,.T.); #79730=ORIENTED_EDGE('',*,*,#55065,.F.); #79731=ORIENTED_EDGE('',*,*,#55146,.F.); #79732=ORIENTED_EDGE('',*,*,#55147,.F.); #79733=ORIENTED_EDGE('',*,*,#55148,.T.); #79734=ORIENTED_EDGE('',*,*,#55149,.T.); #79735=ORIENTED_EDGE('',*,*,#55150,.F.); #79736=ORIENTED_EDGE('',*,*,#55151,.F.); #79737=ORIENTED_EDGE('',*,*,#55148,.F.); #79738=ORIENTED_EDGE('',*,*,#55152,.F.); #79739=ORIENTED_EDGE('',*,*,#55153,.F.); #79740=ORIENTED_EDGE('',*,*,#55154,.F.); #79741=ORIENTED_EDGE('',*,*,#55149,.F.); #79742=ORIENTED_EDGE('',*,*,#55145,.F.); #79743=ORIENTED_EDGE('',*,*,#55155,.F.); #79744=ORIENTED_EDGE('',*,*,#55156,.F.); #79745=ORIENTED_EDGE('',*,*,#55157,.F.); #79746=ORIENTED_EDGE('',*,*,#55146,.T.); #79747=ORIENTED_EDGE('',*,*,#55151,.T.); #79748=ORIENTED_EDGE('',*,*,#55158,.F.); #79749=ORIENTED_EDGE('',*,*,#55159,.F.); #79750=ORIENTED_EDGE('',*,*,#55160,.F.); #79751=ORIENTED_EDGE('',*,*,#55161,.F.); #79752=ORIENTED_EDGE('',*,*,#55143,.T.); #79753=ORIENTED_EDGE('',*,*,#55162,.F.); #79754=ORIENTED_EDGE('',*,*,#55141,.T.); #79755=ORIENTED_EDGE('',*,*,#55163,.F.); #79756=ORIENTED_EDGE('',*,*,#55130,.T.); #79757=ORIENTED_EDGE('',*,*,#55135,.T.); #79758=ORIENTED_EDGE('',*,*,#55164,.F.); #79759=ORIENTED_EDGE('',*,*,#55165,.T.); #79760=ORIENTED_EDGE('',*,*,#55166,.F.); #79761=ORIENTED_EDGE('',*,*,#55167,.F.); #79762=ORIENTED_EDGE('',*,*,#55165,.F.); #79763=ORIENTED_EDGE('',*,*,#55168,.F.); #79764=ORIENTED_EDGE('',*,*,#55169,.F.); #79765=ORIENTED_EDGE('',*,*,#55170,.F.); #79766=ORIENTED_EDGE('',*,*,#55171,.T.); #79767=ORIENTED_EDGE('',*,*,#55172,.F.); #79768=ORIENTED_EDGE('',*,*,#55153,.T.); #79769=ORIENTED_EDGE('',*,*,#55160,.T.); #79770=ORIENTED_EDGE('',*,*,#55159,.T.); #79771=ORIENTED_EDGE('',*,*,#55173,.F.); #79772=ORIENTED_EDGE('',*,*,#55156,.T.); #79773=ORIENTED_EDGE('',*,*,#55174,.F.); #79774=ORIENTED_EDGE('',*,*,#55161,.T.); #79775=ORIENTED_EDGE('',*,*,#55175,.F.); #79776=ORIENTED_EDGE('',*,*,#55176,.F.); #79777=ORIENTED_EDGE('',*,*,#55177,.T.); #79778=ORIENTED_EDGE('',*,*,#55174,.T.); #79779=ORIENTED_EDGE('',*,*,#55155,.T.); #79780=ORIENTED_EDGE('',*,*,#55178,.F.); #79781=ORIENTED_EDGE('',*,*,#55179,.F.); #79782=ORIENTED_EDGE('',*,*,#55175,.T.); #79783=ORIENTED_EDGE('',*,*,#55150,.T.); #79784=ORIENTED_EDGE('',*,*,#55180,.T.); #79785=ORIENTED_EDGE('',*,*,#55181,.T.); #79786=ORIENTED_EDGE('',*,*,#55182,.T.); #79787=ORIENTED_EDGE('',*,*,#55154,.T.); #79788=ORIENTED_EDGE('',*,*,#55172,.T.); #79789=ORIENTED_EDGE('',*,*,#55183,.T.); #79790=ORIENTED_EDGE('',*,*,#55184,.T.); #79791=ORIENTED_EDGE('',*,*,#55185,.F.); #79792=ORIENTED_EDGE('',*,*,#55180,.F.); #79793=ORIENTED_EDGE('',*,*,#55186,.T.); #79794=ORIENTED_EDGE('',*,*,#55144,.T.); #79795=ORIENTED_EDGE('',*,*,#55187,.F.); #79796=ORIENTED_EDGE('',*,*,#55188,.F.); #79797=ORIENTED_EDGE('',*,*,#55178,.T.); #79798=ORIENTED_EDGE('',*,*,#55163,.T.); #79799=ORIENTED_EDGE('',*,*,#55140,.T.); #79800=ORIENTED_EDGE('',*,*,#55189,.F.); #79801=ORIENTED_EDGE('',*,*,#55190,.F.); #79802=ORIENTED_EDGE('',*,*,#55187,.T.); #79803=ORIENTED_EDGE('',*,*,#55136,.T.); #79804=ORIENTED_EDGE('',*,*,#55191,.F.); #79805=ORIENTED_EDGE('',*,*,#55192,.F.); #79806=ORIENTED_EDGE('',*,*,#55189,.T.); #79807=ORIENTED_EDGE('',*,*,#55131,.T.); #79808=ORIENTED_EDGE('',*,*,#55193,.T.); #79809=ORIENTED_EDGE('',*,*,#55194,.F.); #79810=ORIENTED_EDGE('',*,*,#55191,.T.); #79811=ORIENTED_EDGE('',*,*,#55166,.T.); #79812=ORIENTED_EDGE('',*,*,#55167,.T.); #79813=ORIENTED_EDGE('',*,*,#55195,.T.); #79814=ORIENTED_EDGE('',*,*,#55196,.T.); #79815=ORIENTED_EDGE('',*,*,#55197,.T.); #79816=ORIENTED_EDGE('',*,*,#55193,.F.); #79817=ORIENTED_EDGE('',*,*,#55198,.T.); #79818=ORIENTED_EDGE('',*,*,#55169,.T.); #79819=ORIENTED_EDGE('',*,*,#55199,.F.); #79820=ORIENTED_EDGE('',*,*,#55076,.T.); #79821=ORIENTED_EDGE('',*,*,#55195,.F.); #79822=ORIENTED_EDGE('',*,*,#55200,.F.); #79823=ORIENTED_EDGE('',*,*,#55197,.F.); #79824=ORIENTED_EDGE('',*,*,#55201,.T.); #79825=ORIENTED_EDGE('',*,*,#55202,.T.); #79826=ORIENTED_EDGE('',*,*,#55203,.T.); #79827=ORIENTED_EDGE('',*,*,#55204,.T.); #79828=ORIENTED_EDGE('',*,*,#55205,.F.); #79829=ORIENTED_EDGE('',*,*,#55192,.T.); #79830=ORIENTED_EDGE('',*,*,#55205,.T.); #79831=ORIENTED_EDGE('',*,*,#55206,.F.); #79832=ORIENTED_EDGE('',*,*,#55207,.F.); #79833=ORIENTED_EDGE('',*,*,#55190,.T.); #79834=ORIENTED_EDGE('',*,*,#55207,.T.); #79835=ORIENTED_EDGE('',*,*,#55067,.F.); #79836=ORIENTED_EDGE('',*,*,#55208,.F.); #79837=ORIENTED_EDGE('',*,*,#55188,.T.); #79838=ORIENTED_EDGE('',*,*,#55208,.T.); #79839=ORIENTED_EDGE('',*,*,#55209,.F.); #79840=ORIENTED_EDGE('',*,*,#55210,.F.); #79841=ORIENTED_EDGE('',*,*,#55179,.T.); #79842=ORIENTED_EDGE('',*,*,#55210,.T.); #79843=ORIENTED_EDGE('',*,*,#55211,.F.); #79844=ORIENTED_EDGE('',*,*,#55212,.F.); #79845=ORIENTED_EDGE('',*,*,#55176,.T.); #79846=ORIENTED_EDGE('',*,*,#55212,.T.); #79847=ORIENTED_EDGE('',*,*,#55181,.F.); #79848=ORIENTED_EDGE('',*,*,#55213,.F.); #79849=ORIENTED_EDGE('',*,*,#55214,.T.); #79850=ORIENTED_EDGE('',*,*,#55200,.T.); #79851=ORIENTED_EDGE('',*,*,#55215,.F.); #79852=ORIENTED_EDGE('',*,*,#55203,.F.); #79853=ORIENTED_EDGE('',*,*,#55194,.T.); #79854=ORIENTED_EDGE('',*,*,#55147,.T.); #79855=ORIENTED_EDGE('',*,*,#55177,.F.); #79856=ORIENTED_EDGE('',*,*,#55214,.F.); #79857=ORIENTED_EDGE('',*,*,#55216,.F.); #79858=ORIENTED_EDGE('',*,*,#55152,.T.); #79859=ORIENTED_EDGE('',*,*,#55216,.T.); #79860=ORIENTED_EDGE('',*,*,#55217,.T.); #79861=ORIENTED_EDGE('',*,*,#55218,.T.); #79862=ORIENTED_EDGE('',*,*,#55219,.T.); #79863=ORIENTED_EDGE('',*,*,#55170,.T.); #79864=ORIENTED_EDGE('',*,*,#55220,.T.); #79865=ORIENTED_EDGE('',*,*,#55171,.F.); #79866=ORIENTED_EDGE('',*,*,#55219,.F.); #79867=ORIENTED_EDGE('',*,*,#55085,.T.); #79868=ORIENTED_EDGE('',*,*,#55183,.F.); #79869=ORIENTED_EDGE('',*,*,#55173,.T.); #79870=ORIENTED_EDGE('',*,*,#55221,.T.); #79871=ORIENTED_EDGE('',*,*,#55209,.T.); #79872=ORIENTED_EDGE('',*,*,#55066,.T.); #79873=ORIENTED_EDGE('',*,*,#55157,.T.); #79874=ORIENTED_EDGE('',*,*,#54429,.T.); #79875=ORIENTED_EDGE('',*,*,#54433,.T.); #79876=ORIENTED_EDGE('',*,*,#54436,.T.); #79877=ORIENTED_EDGE('',*,*,#54439,.T.); #79878=ORIENTED_EDGE('',*,*,#54442,.T.); #79879=ORIENTED_EDGE('',*,*,#55213,.T.); #79880=ORIENTED_EDGE('',*,*,#55185,.T.); #79881=ORIENTED_EDGE('',*,*,#55222,.T.); #79882=ORIENTED_EDGE('',*,*,#55217,.F.); #79883=ORIENTED_EDGE('',*,*,#55162,.T.); #79884=ORIENTED_EDGE('',*,*,#55068,.T.); #79885=ORIENTED_EDGE('',*,*,#55206,.T.); #79886=ORIENTED_EDGE('',*,*,#55223,.T.); #79887=ORIENTED_EDGE('',*,*,#55142,.T.); #79888=ORIENTED_EDGE('',*,*,#55158,.T.); #79889=ORIENTED_EDGE('',*,*,#55182,.F.); #79890=ORIENTED_EDGE('',*,*,#55211,.T.); #79891=ORIENTED_EDGE('',*,*,#55221,.F.); #79892=ORIENTED_EDGE('',*,*,#55134,.T.); #79893=ORIENTED_EDGE('',*,*,#55224,.T.); #79894=ORIENTED_EDGE('',*,*,#55215,.T.); #79895=ORIENTED_EDGE('',*,*,#55225,.T.); #79896=ORIENTED_EDGE('',*,*,#55139,.T.); #79897=ORIENTED_EDGE('',*,*,#55223,.F.); #79898=ORIENTED_EDGE('',*,*,#55204,.F.); #79899=ORIENTED_EDGE('',*,*,#55224,.F.); #79900=ORIENTED_EDGE('',*,*,#55164,.T.); #79901=ORIENTED_EDGE('',*,*,#55225,.F.); #79902=ORIENTED_EDGE('',*,*,#55202,.F.); #79903=ORIENTED_EDGE('',*,*,#55226,.T.); #79904=ORIENTED_EDGE('',*,*,#55199,.T.); #79905=ORIENTED_EDGE('',*,*,#55168,.T.); #79906=ORIENTED_EDGE('',*,*,#55227,.T.); #79907=ORIENTED_EDGE('',*,*,#55079,.F.); #79908=ORIENTED_EDGE('',*,*,#55106,.F.); #79909=ORIENTED_EDGE('',*,*,#55102,.F.); #79910=ORIENTED_EDGE('',*,*,#55129,.F.); #79911=ORIENTED_EDGE('',*,*,#55077,.T.); #79912=ORIENTED_EDGE('',*,*,#55226,.F.); #79913=ORIENTED_EDGE('',*,*,#55228,.F.); #79914=ORIENTED_EDGE('',*,*,#55229,.F.); #79915=ORIENTED_EDGE('',*,*,#55074,.T.); #79916=ORIENTED_EDGE('',*,*,#55084,.T.); #79917=ORIENTED_EDGE('',*,*,#55081,.F.); #79918=ORIENTED_EDGE('',*,*,#55128,.F.); #79919=ORIENTED_EDGE('',*,*,#55230,.F.); #79920=ORIENTED_EDGE('',*,*,#55184,.F.); #79921=ORIENTED_EDGE('',*,*,#55186,.F.); #79922=ORIENTED_EDGE('',*,*,#55231,.T.); #79923=ORIENTED_EDGE('',*,*,#55220,.F.); #79924=ORIENTED_EDGE('',*,*,#55231,.F.); #79925=ORIENTED_EDGE('',*,*,#55227,.F.); #79926=ORIENTED_EDGE('',*,*,#55232,.T.); #79927=ORIENTED_EDGE('',*,*,#55198,.F.); #79928=ORIENTED_EDGE('',*,*,#55232,.F.); #79929=ORIENTED_EDGE('',*,*,#55118,.F.); #79930=ORIENTED_EDGE('',*,*,#55233,.T.); #79931=ORIENTED_EDGE('',*,*,#55234,.T.); #79932=ORIENTED_EDGE('',*,*,#55097,.F.); #79933=ORIENTED_EDGE('',*,*,#55234,.F.); #79934=ORIENTED_EDGE('',*,*,#55228,.T.); #79935=ORIENTED_EDGE('',*,*,#55201,.F.); #79936=ORIENTED_EDGE('',*,*,#55235,.F.); #79937=ORIENTED_EDGE('',*,*,#55087,.F.); #79938=ORIENTED_EDGE('',*,*,#55091,.T.); #79939=ORIENTED_EDGE('',*,*,#55069,.T.); #79940=ORIENTED_EDGE('',*,*,#55229,.T.); #79941=ORIENTED_EDGE('',*,*,#55233,.F.); #79942=ORIENTED_EDGE('',*,*,#55117,.F.); #79943=ORIENTED_EDGE('',*,*,#55121,.F.); #79944=ORIENTED_EDGE('',*,*,#55122,.F.); #79945=ORIENTED_EDGE('',*,*,#55124,.F.); #79946=ORIENTED_EDGE('',*,*,#55075,.T.); #79947=ORIENTED_EDGE('',*,*,#55072,.T.); #79948=ORIENTED_EDGE('',*,*,#55098,.T.); #79949=ORIENTED_EDGE('',*,*,#55235,.T.); #79950=ORIENTED_EDGE('',*,*,#55196,.F.); #79951=ORIENTED_EDGE('',*,*,#55115,.F.); #79952=ORIENTED_EDGE('',*,*,#55236,.T.); #79953=ORIENTED_EDGE('',*,*,#55222,.F.); #79954=ORIENTED_EDGE('',*,*,#55230,.T.); #79955=ORIENTED_EDGE('',*,*,#55113,.F.); #79956=ORIENTED_EDGE('',*,*,#55127,.T.); #79957=ORIENTED_EDGE('',*,*,#55126,.F.); #79958=ORIENTED_EDGE('',*,*,#55110,.F.); #79959=ORIENTED_EDGE('',*,*,#55086,.T.); #79960=ORIENTED_EDGE('',*,*,#55218,.F.); #79961=ORIENTED_EDGE('',*,*,#55236,.F.); #79962=ORIENTED_EDGE('',*,*,#55107,.F.); #79963=ORIENTED_EDGE('',*,*,#55083,.F.); #79964=ORIENTED_EDGE('',*,*,#55237,.F.); #79965=ORIENTED_EDGE('',*,*,#55238,.F.); #79966=ORIENTED_EDGE('',*,*,#55238,.T.); #79967=ORIENTED_EDGE('',*,*,#55239,.T.); #79968=ORIENTED_EDGE('',*,*,#55240,.F.); #79969=ORIENTED_EDGE('',*,*,#55241,.F.); #79970=ORIENTED_EDGE('',*,*,#55242,.F.); #79971=ORIENTED_EDGE('',*,*,#55241,.T.); #79972=ORIENTED_EDGE('',*,*,#55243,.F.); #79973=ORIENTED_EDGE('',*,*,#55237,.T.); #79974=ORIENTED_EDGE('',*,*,#55244,.T.); #79975=ORIENTED_EDGE('',*,*,#55242,.T.); #79976=ORIENTED_EDGE('',*,*,#55243,.T.); #79977=ORIENTED_EDGE('',*,*,#55240,.T.); #79978=ORIENTED_EDGE('',*,*,#55244,.F.); #79979=ORIENTED_EDGE('',*,*,#55239,.F.); #79980=ORIENTED_EDGE('',*,*,#55245,.T.); #79981=ORIENTED_EDGE('',*,*,#55246,.T.); #79982=ORIENTED_EDGE('',*,*,#55247,.T.); #79983=ORIENTED_EDGE('',*,*,#55248,.T.); #79984=ORIENTED_EDGE('',*,*,#55249,.T.); #79985=ORIENTED_EDGE('',*,*,#55250,.T.); #79986=ORIENTED_EDGE('',*,*,#55245,.F.); #79987=ORIENTED_EDGE('',*,*,#55251,.T.); #79988=ORIENTED_EDGE('',*,*,#55252,.T.); #79989=ORIENTED_EDGE('',*,*,#55253,.T.); #79990=ORIENTED_EDGE('',*,*,#55249,.F.); #79991=ORIENTED_EDGE('',*,*,#55254,.T.); #79992=ORIENTED_EDGE('',*,*,#55255,.T.); #79993=ORIENTED_EDGE('',*,*,#55256,.T.); #79994=ORIENTED_EDGE('',*,*,#55252,.F.); #79995=ORIENTED_EDGE('',*,*,#55257,.T.); #79996=ORIENTED_EDGE('',*,*,#55258,.T.); #79997=ORIENTED_EDGE('',*,*,#55259,.T.); #79998=ORIENTED_EDGE('',*,*,#55255,.F.); #79999=ORIENTED_EDGE('',*,*,#55260,.T.); #80000=ORIENTED_EDGE('',*,*,#55261,.T.); #80001=ORIENTED_EDGE('',*,*,#55262,.T.); #80002=ORIENTED_EDGE('',*,*,#55258,.F.); #80003=ORIENTED_EDGE('',*,*,#55263,.T.); #80004=ORIENTED_EDGE('',*,*,#55264,.T.); #80005=ORIENTED_EDGE('',*,*,#55265,.T.); #80006=ORIENTED_EDGE('',*,*,#55261,.F.); #80007=ORIENTED_EDGE('',*,*,#55266,.T.); #80008=ORIENTED_EDGE('',*,*,#55264,.F.); #80009=ORIENTED_EDGE('',*,*,#55267,.T.); #80010=ORIENTED_EDGE('',*,*,#55247,.F.); #80011=ORIENTED_EDGE('',*,*,#55268,.T.); #80012=ORIENTED_EDGE('',*,*,#55262,.F.); #80013=ORIENTED_EDGE('',*,*,#55269,.F.); #80014=ORIENTED_EDGE('',*,*,#55270,.F.); #80015=ORIENTED_EDGE('',*,*,#55271,.F.); #80016=ORIENTED_EDGE('',*,*,#55265,.F.); #80017=ORIENTED_EDGE('',*,*,#55272,.T.); #80018=ORIENTED_EDGE('',*,*,#55273,.F.); #80019=ORIENTED_EDGE('',*,*,#55269,.T.); #80020=ORIENTED_EDGE('',*,*,#55268,.F.); #80021=ORIENTED_EDGE('',*,*,#55274,.F.); #80022=ORIENTED_EDGE('',*,*,#55275,.F.); #80023=ORIENTED_EDGE('',*,*,#55272,.F.); #80024=ORIENTED_EDGE('',*,*,#55246,.F.); #80025=ORIENTED_EDGE('',*,*,#55276,.T.); #80026=ORIENTED_EDGE('',*,*,#55277,.F.); #80027=ORIENTED_EDGE('',*,*,#55274,.T.); #80028=ORIENTED_EDGE('',*,*,#55278,.F.); #80029=ORIENTED_EDGE('',*,*,#55279,.F.); #80030=ORIENTED_EDGE('',*,*,#55280,.F.); #80031=ORIENTED_EDGE('',*,*,#55281,.F.); #80032=ORIENTED_EDGE('',*,*,#55248,.F.); #80033=ORIENTED_EDGE('',*,*,#55267,.F.); #80034=ORIENTED_EDGE('',*,*,#55266,.F.); #80035=ORIENTED_EDGE('',*,*,#55263,.F.); #80036=ORIENTED_EDGE('',*,*,#55260,.F.); #80037=ORIENTED_EDGE('',*,*,#55257,.F.); #80038=ORIENTED_EDGE('',*,*,#55254,.F.); #80039=ORIENTED_EDGE('',*,*,#55251,.F.); #80040=ORIENTED_EDGE('',*,*,#55282,.T.); #80041=ORIENTED_EDGE('',*,*,#55283,.T.); #80042=ORIENTED_EDGE('',*,*,#55284,.T.); #80043=ORIENTED_EDGE('',*,*,#55285,.T.); #80044=ORIENTED_EDGE('',*,*,#55286,.T.); #80045=ORIENTED_EDGE('',*,*,#55287,.T.); #80046=ORIENTED_EDGE('',*,*,#55282,.F.); #80047=ORIENTED_EDGE('',*,*,#55288,.T.); #80048=ORIENTED_EDGE('',*,*,#55289,.T.); #80049=ORIENTED_EDGE('',*,*,#55277,.T.); #80050=ORIENTED_EDGE('',*,*,#55286,.F.); #80051=ORIENTED_EDGE('',*,*,#55290,.T.); #80052=ORIENTED_EDGE('',*,*,#55291,.T.); #80053=ORIENTED_EDGE('',*,*,#55275,.T.); #80054=ORIENTED_EDGE('',*,*,#55289,.F.); #80055=ORIENTED_EDGE('',*,*,#55292,.T.); #80056=ORIENTED_EDGE('',*,*,#55293,.T.); #80057=ORIENTED_EDGE('',*,*,#55273,.T.); #80058=ORIENTED_EDGE('',*,*,#55291,.F.); #80059=ORIENTED_EDGE('',*,*,#55294,.T.); #80060=ORIENTED_EDGE('',*,*,#55295,.T.); #80061=ORIENTED_EDGE('',*,*,#55270,.T.); #80062=ORIENTED_EDGE('',*,*,#55293,.F.); #80063=ORIENTED_EDGE('',*,*,#55296,.T.); #80064=ORIENTED_EDGE('',*,*,#55297,.T.); #80065=ORIENTED_EDGE('',*,*,#55298,.T.); #80066=ORIENTED_EDGE('',*,*,#55295,.F.); #80067=ORIENTED_EDGE('',*,*,#55299,.T.); #80068=ORIENTED_EDGE('',*,*,#55297,.F.); #80069=ORIENTED_EDGE('',*,*,#55300,.T.); #80070=ORIENTED_EDGE('',*,*,#55284,.F.); #80071=ORIENTED_EDGE('',*,*,#55301,.T.); #80072=ORIENTED_EDGE('',*,*,#55259,.F.); #80073=ORIENTED_EDGE('',*,*,#55271,.T.); #80074=ORIENTED_EDGE('',*,*,#55298,.F.); #80075=ORIENTED_EDGE('',*,*,#55302,.T.); #80076=ORIENTED_EDGE('',*,*,#55256,.F.); #80077=ORIENTED_EDGE('',*,*,#55302,.F.); #80078=ORIENTED_EDGE('',*,*,#55301,.F.); #80079=ORIENTED_EDGE('',*,*,#55303,.F.); #80080=ORIENTED_EDGE('',*,*,#55253,.F.); #80081=ORIENTED_EDGE('',*,*,#55303,.T.); #80082=ORIENTED_EDGE('',*,*,#55283,.F.); #80083=ORIENTED_EDGE('',*,*,#55304,.T.); #80084=ORIENTED_EDGE('',*,*,#55305,.F.); #80085=ORIENTED_EDGE('',*,*,#55306,.F.); #80086=ORIENTED_EDGE('',*,*,#55307,.F.); #80087=ORIENTED_EDGE('',*,*,#55308,.F.); #80088=ORIENTED_EDGE('',*,*,#55309,.F.); #80089=ORIENTED_EDGE('',*,*,#55310,.F.); #80090=ORIENTED_EDGE('',*,*,#55311,.F.); #80091=ORIENTED_EDGE('',*,*,#55312,.F.); #80092=ORIENTED_EDGE('',*,*,#55313,.F.); #80093=ORIENTED_EDGE('',*,*,#55314,.F.); #80094=ORIENTED_EDGE('',*,*,#55315,.F.); #80095=ORIENTED_EDGE('',*,*,#55316,.F.); #80096=ORIENTED_EDGE('',*,*,#55285,.F.); #80097=ORIENTED_EDGE('',*,*,#55300,.F.); #80098=ORIENTED_EDGE('',*,*,#55299,.F.); #80099=ORIENTED_EDGE('',*,*,#55296,.F.); #80100=ORIENTED_EDGE('',*,*,#55294,.F.); #80101=ORIENTED_EDGE('',*,*,#55292,.F.); #80102=ORIENTED_EDGE('',*,*,#55290,.F.); #80103=ORIENTED_EDGE('',*,*,#55288,.F.); #80104=ORIENTED_EDGE('',*,*,#55250,.F.); #80105=ORIENTED_EDGE('',*,*,#55304,.F.); #80106=ORIENTED_EDGE('',*,*,#55287,.F.); #80107=ORIENTED_EDGE('',*,*,#55276,.F.); #80108=ORIENTED_EDGE('',*,*,#55317,.T.); #80109=ORIENTED_EDGE('',*,*,#55318,.T.); #80110=ORIENTED_EDGE('',*,*,#55319,.T.); #80111=ORIENTED_EDGE('',*,*,#55320,.T.); #80112=ORIENTED_EDGE('',*,*,#55321,.T.); #80113=ORIENTED_EDGE('',*,*,#55317,.F.); #80114=ORIENTED_EDGE('',*,*,#55322,.T.); #80115=ORIENTED_EDGE('',*,*,#55323,.T.); #80116=ORIENTED_EDGE('',*,*,#55324,.T.); #80117=ORIENTED_EDGE('',*,*,#55320,.F.); #80118=ORIENTED_EDGE('',*,*,#55325,.T.); #80119=ORIENTED_EDGE('',*,*,#55326,.T.); #80120=ORIENTED_EDGE('',*,*,#55327,.T.); #80121=ORIENTED_EDGE('',*,*,#55323,.F.); #80122=ORIENTED_EDGE('',*,*,#55328,.T.); #80123=ORIENTED_EDGE('',*,*,#55329,.T.); #80124=ORIENTED_EDGE('',*,*,#55330,.T.); #80125=ORIENTED_EDGE('',*,*,#55326,.F.); #80126=ORIENTED_EDGE('',*,*,#55331,.T.); #80127=ORIENTED_EDGE('',*,*,#55329,.F.); #80128=ORIENTED_EDGE('',*,*,#55332,.T.); #80129=ORIENTED_EDGE('',*,*,#55333,.T.); #80130=ORIENTED_EDGE('',*,*,#55334,.T.); #80131=ORIENTED_EDGE('',*,*,#55333,.F.); #80132=ORIENTED_EDGE('',*,*,#55335,.T.); #80133=ORIENTED_EDGE('',*,*,#55336,.T.); #80134=ORIENTED_EDGE('',*,*,#55337,.T.); #80135=ORIENTED_EDGE('',*,*,#55336,.F.); #80136=ORIENTED_EDGE('',*,*,#55338,.T.); #80137=ORIENTED_EDGE('',*,*,#55339,.T.); #80138=ORIENTED_EDGE('',*,*,#55340,.T.); #80139=ORIENTED_EDGE('',*,*,#55339,.F.); #80140=ORIENTED_EDGE('',*,*,#55341,.T.); #80141=ORIENTED_EDGE('',*,*,#55342,.T.); #80142=ORIENTED_EDGE('',*,*,#55341,.F.); #80143=ORIENTED_EDGE('',*,*,#55343,.F.); #80144=ORIENTED_EDGE('',*,*,#55344,.T.); #80145=ORIENTED_EDGE('',*,*,#55338,.F.); #80146=ORIENTED_EDGE('',*,*,#55345,.F.); #80147=ORIENTED_EDGE('',*,*,#55346,.T.); #80148=ORIENTED_EDGE('',*,*,#55343,.T.); #80149=ORIENTED_EDGE('',*,*,#55335,.F.); #80150=ORIENTED_EDGE('',*,*,#55347,.T.); #80151=ORIENTED_EDGE('',*,*,#55348,.F.); #80152=ORIENTED_EDGE('',*,*,#55349,.T.); #80153=ORIENTED_EDGE('',*,*,#55345,.T.); #80154=ORIENTED_EDGE('',*,*,#55332,.F.); #80155=ORIENTED_EDGE('',*,*,#55331,.F.); #80156=ORIENTED_EDGE('',*,*,#55328,.F.); #80157=ORIENTED_EDGE('',*,*,#55350,.F.); #80158=ORIENTED_EDGE('',*,*,#55351,.F.); #80159=ORIENTED_EDGE('',*,*,#55347,.F.); #80160=ORIENTED_EDGE('',*,*,#55352,.F.); #80161=ORIENTED_EDGE('',*,*,#55353,.F.); #80162=ORIENTED_EDGE('',*,*,#55354,.F.); #80163=ORIENTED_EDGE('',*,*,#55355,.F.); #80164=ORIENTED_EDGE('',*,*,#55356,.F.); #80165=ORIENTED_EDGE('',*,*,#55357,.F.); #80166=ORIENTED_EDGE('',*,*,#55358,.F.); #80167=ORIENTED_EDGE('',*,*,#55359,.F.); #80168=ORIENTED_EDGE('',*,*,#55325,.F.); #80169=ORIENTED_EDGE('',*,*,#55360,.T.); #80170=ORIENTED_EDGE('',*,*,#55361,.T.); #80171=ORIENTED_EDGE('',*,*,#55362,.T.); #80172=ORIENTED_EDGE('',*,*,#55350,.T.); #80173=ORIENTED_EDGE('',*,*,#55322,.F.); #80174=ORIENTED_EDGE('',*,*,#55363,.T.); #80175=ORIENTED_EDGE('',*,*,#55364,.T.); #80176=ORIENTED_EDGE('',*,*,#55360,.F.); #80177=ORIENTED_EDGE('',*,*,#55319,.F.); #80178=ORIENTED_EDGE('',*,*,#55365,.T.); #80179=ORIENTED_EDGE('',*,*,#55363,.F.); #80180=ORIENTED_EDGE('',*,*,#55366,.T.); #80181=ORIENTED_EDGE('',*,*,#55367,.T.); #80182=ORIENTED_EDGE('',*,*,#55368,.T.); #80183=ORIENTED_EDGE('',*,*,#55369,.T.); #80184=ORIENTED_EDGE('',*,*,#55370,.T.); #80185=ORIENTED_EDGE('',*,*,#55368,.F.); #80186=ORIENTED_EDGE('',*,*,#55371,.T.); #80187=ORIENTED_EDGE('',*,*,#55372,.T.); #80188=ORIENTED_EDGE('',*,*,#55373,.T.); #80189=ORIENTED_EDGE('',*,*,#55369,.F.); #80190=ORIENTED_EDGE('',*,*,#55374,.T.); #80191=ORIENTED_EDGE('',*,*,#55375,.T.); #80192=ORIENTED_EDGE('',*,*,#55376,.T.); #80193=ORIENTED_EDGE('',*,*,#55377,.T.); #80194=ORIENTED_EDGE('',*,*,#55316,.T.); #80195=ORIENTED_EDGE('',*,*,#55378,.T.); #80196=ORIENTED_EDGE('',*,*,#55379,.T.); #80197=ORIENTED_EDGE('',*,*,#55375,.F.); #80198=ORIENTED_EDGE('',*,*,#55315,.T.); #80199=ORIENTED_EDGE('',*,*,#55380,.T.); #80200=ORIENTED_EDGE('',*,*,#55381,.T.); #80201=ORIENTED_EDGE('',*,*,#55378,.F.); #80202=ORIENTED_EDGE('',*,*,#55382,.T.); #80203=ORIENTED_EDGE('',*,*,#55383,.T.); #80204=ORIENTED_EDGE('',*,*,#55384,.T.); #80205=ORIENTED_EDGE('',*,*,#55385,.T.); #80206=ORIENTED_EDGE('',*,*,#55383,.F.); #80207=ORIENTED_EDGE('',*,*,#55386,.T.); #80208=ORIENTED_EDGE('',*,*,#55380,.F.); #80209=ORIENTED_EDGE('',*,*,#55387,.T.); #80210=ORIENTED_EDGE('',*,*,#55377,.F.); #80211=ORIENTED_EDGE('',*,*,#55388,.T.); #80212=ORIENTED_EDGE('',*,*,#55372,.F.); #80213=ORIENTED_EDGE('',*,*,#55305,.T.); #80214=ORIENTED_EDGE('',*,*,#55366,.F.); #80215=ORIENTED_EDGE('',*,*,#55370,.F.); #80216=ORIENTED_EDGE('',*,*,#55389,.F.); #80217=ORIENTED_EDGE('',*,*,#55390,.T.); #80218=ORIENTED_EDGE('',*,*,#55391,.F.); #80219=ORIENTED_EDGE('',*,*,#55373,.F.); #80220=ORIENTED_EDGE('',*,*,#55388,.F.); #80221=ORIENTED_EDGE('',*,*,#55392,.T.); #80222=ORIENTED_EDGE('',*,*,#55393,.F.); #80223=ORIENTED_EDGE('',*,*,#55394,.T.); #80224=ORIENTED_EDGE('',*,*,#55389,.T.); #80225=ORIENTED_EDGE('',*,*,#55376,.F.); #80226=ORIENTED_EDGE('',*,*,#55395,.F.); #80227=ORIENTED_EDGE('',*,*,#55396,.F.); #80228=ORIENTED_EDGE('',*,*,#55392,.F.); #80229=ORIENTED_EDGE('',*,*,#55379,.F.); #80230=ORIENTED_EDGE('',*,*,#55381,.F.); #80231=ORIENTED_EDGE('',*,*,#55397,.F.); #80232=ORIENTED_EDGE('',*,*,#55398,.T.); #80233=ORIENTED_EDGE('',*,*,#55399,.T.); #80234=ORIENTED_EDGE('',*,*,#55395,.T.); #80235=ORIENTED_EDGE('',*,*,#55386,.F.); #80236=ORIENTED_EDGE('',*,*,#55385,.F.); #80237=ORIENTED_EDGE('',*,*,#55400,.T.); #80238=ORIENTED_EDGE('',*,*,#55401,.T.); #80239=ORIENTED_EDGE('',*,*,#55397,.T.); #80240=ORIENTED_EDGE('',*,*,#55384,.F.); #80241=ORIENTED_EDGE('',*,*,#55402,.F.); #80242=ORIENTED_EDGE('',*,*,#55403,.T.); #80243=ORIENTED_EDGE('',*,*,#55404,.T.); #80244=ORIENTED_EDGE('',*,*,#55405,.T.); #80245=ORIENTED_EDGE('',*,*,#55406,.T.); #80246=ORIENTED_EDGE('',*,*,#55407,.T.); #80247=ORIENTED_EDGE('',*,*,#55408,.T.); #80248=ORIENTED_EDGE('',*,*,#55406,.F.); #80249=ORIENTED_EDGE('',*,*,#55409,.T.); #80250=ORIENTED_EDGE('',*,*,#55410,.T.); #80251=ORIENTED_EDGE('',*,*,#55411,.T.); #80252=ORIENTED_EDGE('',*,*,#55407,.F.); #80253=ORIENTED_EDGE('',*,*,#55412,.T.); #80254=ORIENTED_EDGE('',*,*,#55413,.T.); #80255=ORIENTED_EDGE('',*,*,#55414,.T.); #80256=ORIENTED_EDGE('',*,*,#55415,.T.); #80257=ORIENTED_EDGE('',*,*,#55310,.T.); #80258=ORIENTED_EDGE('',*,*,#55416,.T.); #80259=ORIENTED_EDGE('',*,*,#55417,.T.); #80260=ORIENTED_EDGE('',*,*,#55413,.F.); #80261=ORIENTED_EDGE('',*,*,#55309,.T.); #80262=ORIENTED_EDGE('',*,*,#55418,.T.); #80263=ORIENTED_EDGE('',*,*,#55419,.T.); #80264=ORIENTED_EDGE('',*,*,#55416,.F.); #80265=ORIENTED_EDGE('',*,*,#55420,.T.); #80266=ORIENTED_EDGE('',*,*,#55421,.T.); #80267=ORIENTED_EDGE('',*,*,#55422,.T.); #80268=ORIENTED_EDGE('',*,*,#55423,.T.); #80269=ORIENTED_EDGE('',*,*,#55421,.F.); #80270=ORIENTED_EDGE('',*,*,#55424,.T.); #80271=ORIENTED_EDGE('',*,*,#55418,.F.); #80272=ORIENTED_EDGE('',*,*,#55425,.T.); #80273=ORIENTED_EDGE('',*,*,#55415,.F.); #80274=ORIENTED_EDGE('',*,*,#55426,.T.); #80275=ORIENTED_EDGE('',*,*,#55410,.F.); #80276=ORIENTED_EDGE('',*,*,#55311,.T.); #80277=ORIENTED_EDGE('',*,*,#55404,.F.); #80278=ORIENTED_EDGE('',*,*,#55408,.F.); #80279=ORIENTED_EDGE('',*,*,#55427,.T.); #80280=ORIENTED_EDGE('',*,*,#55428,.T.); #80281=ORIENTED_EDGE('',*,*,#55429,.F.); #80282=ORIENTED_EDGE('',*,*,#55411,.F.); #80283=ORIENTED_EDGE('',*,*,#55426,.F.); #80284=ORIENTED_EDGE('',*,*,#55430,.T.); #80285=ORIENTED_EDGE('',*,*,#55431,.T.); #80286=ORIENTED_EDGE('',*,*,#55432,.T.); #80287=ORIENTED_EDGE('',*,*,#55427,.F.); #80288=ORIENTED_EDGE('',*,*,#55414,.F.); #80289=ORIENTED_EDGE('',*,*,#55433,.F.); #80290=ORIENTED_EDGE('',*,*,#55434,.F.); #80291=ORIENTED_EDGE('',*,*,#55430,.F.); #80292=ORIENTED_EDGE('',*,*,#55417,.F.); #80293=ORIENTED_EDGE('',*,*,#55419,.F.); #80294=ORIENTED_EDGE('',*,*,#55435,.T.); #80295=ORIENTED_EDGE('',*,*,#55436,.T.); #80296=ORIENTED_EDGE('',*,*,#55437,.F.); #80297=ORIENTED_EDGE('',*,*,#55433,.T.); #80298=ORIENTED_EDGE('',*,*,#55424,.F.); #80299=ORIENTED_EDGE('',*,*,#55423,.F.); #80300=ORIENTED_EDGE('',*,*,#55438,.T.); #80301=ORIENTED_EDGE('',*,*,#55439,.T.); #80302=ORIENTED_EDGE('',*,*,#55435,.F.); #80303=ORIENTED_EDGE('',*,*,#55422,.F.); #80304=ORIENTED_EDGE('',*,*,#55440,.F.); #80305=ORIENTED_EDGE('',*,*,#55441,.T.); #80306=ORIENTED_EDGE('',*,*,#55442,.T.); #80307=ORIENTED_EDGE('',*,*,#55443,.T.); #80308=ORIENTED_EDGE('',*,*,#55444,.T.); #80309=ORIENTED_EDGE('',*,*,#55445,.T.); #80310=ORIENTED_EDGE('',*,*,#55446,.T.); #80311=ORIENTED_EDGE('',*,*,#55447,.T.); #80312=ORIENTED_EDGE('',*,*,#55448,.T.); #80313=ORIENTED_EDGE('',*,*,#55449,.T.); #80314=ORIENTED_EDGE('',*,*,#55446,.F.); #80315=ORIENTED_EDGE('',*,*,#55450,.T.); #80316=ORIENTED_EDGE('',*,*,#55444,.F.); #80317=ORIENTED_EDGE('',*,*,#55451,.T.); #80318=ORIENTED_EDGE('',*,*,#55452,.T.); #80319=ORIENTED_EDGE('',*,*,#55453,.T.); #80320=ORIENTED_EDGE('',*,*,#55454,.T.); #80321=ORIENTED_EDGE('',*,*,#55451,.F.); #80322=ORIENTED_EDGE('',*,*,#55455,.T.); #80323=ORIENTED_EDGE('',*,*,#55448,.F.); #80324=ORIENTED_EDGE('',*,*,#55456,.T.); #80325=ORIENTED_EDGE('',*,*,#55457,.T.); #80326=ORIENTED_EDGE('',*,*,#55458,.T.); #80327=ORIENTED_EDGE('',*,*,#55459,.T.); #80328=ORIENTED_EDGE('',*,*,#55456,.F.); #80329=ORIENTED_EDGE('',*,*,#55460,.T.); #80330=ORIENTED_EDGE('',*,*,#55453,.F.); #80331=ORIENTED_EDGE('',*,*,#55442,.F.); #80332=ORIENTED_EDGE('',*,*,#55461,.T.); #80333=ORIENTED_EDGE('',*,*,#55458,.F.); #80334=ORIENTED_EDGE('',*,*,#55455,.F.); #80335=ORIENTED_EDGE('',*,*,#55462,.T.); #80336=ORIENTED_EDGE('',*,*,#55463,.F.); #80337=ORIENTED_EDGE('',*,*,#55464,.T.); #80338=ORIENTED_EDGE('',*,*,#55454,.F.); #80339=ORIENTED_EDGE('',*,*,#55465,.F.); #80340=ORIENTED_EDGE('',*,*,#55466,.F.); #80341=ORIENTED_EDGE('',*,*,#55462,.F.); #80342=ORIENTED_EDGE('',*,*,#55460,.F.); #80343=ORIENTED_EDGE('',*,*,#55467,.T.); #80344=ORIENTED_EDGE('',*,*,#55468,.F.); #80345=ORIENTED_EDGE('',*,*,#55465,.T.); #80346=ORIENTED_EDGE('',*,*,#55459,.F.); #80347=ORIENTED_EDGE('',*,*,#55469,.F.); #80348=ORIENTED_EDGE('',*,*,#55470,.F.); #80349=ORIENTED_EDGE('',*,*,#55344,.F.); #80350=ORIENTED_EDGE('',*,*,#55471,.F.); #80351=ORIENTED_EDGE('',*,*,#55472,.F.); #80352=ORIENTED_EDGE('',*,*,#55467,.F.); #80353=ORIENTED_EDGE('',*,*,#55443,.F.); #80354=ORIENTED_EDGE('',*,*,#55457,.F.); #80355=ORIENTED_EDGE('',*,*,#55452,.F.); #80356=ORIENTED_EDGE('',*,*,#55447,.F.); #80357=ORIENTED_EDGE('',*,*,#55473,.F.); #80358=ORIENTED_EDGE('',*,*,#55474,.T.); #80359=ORIENTED_EDGE('',*,*,#55475,.T.); #80360=ORIENTED_EDGE('',*,*,#55476,.T.); #80361=ORIENTED_EDGE('',*,*,#55477,.T.); #80362=ORIENTED_EDGE('',*,*,#55478,.T.); #80363=ORIENTED_EDGE('',*,*,#55473,.T.); #80364=ORIENTED_EDGE('',*,*,#55479,.F.); #80365=ORIENTED_EDGE('',*,*,#55480,.T.); #80366=ORIENTED_EDGE('',*,*,#55481,.T.); #80367=ORIENTED_EDGE('',*,*,#55482,.T.); #80368=ORIENTED_EDGE('',*,*,#55483,.T.); #80369=ORIENTED_EDGE('',*,*,#55484,.T.); #80370=ORIENTED_EDGE('',*,*,#55479,.T.); #80371=ORIENTED_EDGE('',*,*,#55485,.F.); #80372=ORIENTED_EDGE('',*,*,#55486,.T.); #80373=ORIENTED_EDGE('',*,*,#55487,.T.); #80374=ORIENTED_EDGE('',*,*,#55488,.T.); #80375=ORIENTED_EDGE('',*,*,#55489,.T.); #80376=ORIENTED_EDGE('',*,*,#55490,.T.); #80377=ORIENTED_EDGE('',*,*,#55485,.T.); #80378=ORIENTED_EDGE('',*,*,#55491,.F.); #80379=ORIENTED_EDGE('',*,*,#55492,.T.); #80380=ORIENTED_EDGE('',*,*,#55493,.T.); #80381=ORIENTED_EDGE('',*,*,#55494,.T.); #80382=ORIENTED_EDGE('',*,*,#55495,.T.); #80383=ORIENTED_EDGE('',*,*,#55496,.T.); #80384=ORIENTED_EDGE('',*,*,#55491,.T.); #80385=ORIENTED_EDGE('',*,*,#55477,.F.); #80386=ORIENTED_EDGE('',*,*,#55476,.F.); #80387=ORIENTED_EDGE('',*,*,#55497,.T.); #80388=ORIENTED_EDGE('',*,*,#55492,.F.); #80389=ORIENTED_EDGE('',*,*,#55496,.F.); #80390=ORIENTED_EDGE('',*,*,#55498,.T.); #80391=ORIENTED_EDGE('',*,*,#55490,.F.); #80392=ORIENTED_EDGE('',*,*,#55499,.T.); #80393=ORIENTED_EDGE('',*,*,#55495,.F.); #80394=ORIENTED_EDGE('',*,*,#55500,.T.); #80395=ORIENTED_EDGE('',*,*,#55480,.F.); #80396=ORIENTED_EDGE('',*,*,#55484,.F.); #80397=ORIENTED_EDGE('',*,*,#55501,.T.); #80398=ORIENTED_EDGE('',*,*,#55489,.F.); #80399=ORIENTED_EDGE('',*,*,#55488,.F.); #80400=ORIENTED_EDGE('',*,*,#55502,.T.); #80401=ORIENTED_EDGE('',*,*,#55478,.F.); #80402=ORIENTED_EDGE('',*,*,#55503,.T.); #80403=ORIENTED_EDGE('',*,*,#55483,.F.); #80404=ORIENTED_EDGE('',*,*,#55504,.T.); #80405=ORIENTED_EDGE('',*,*,#55481,.F.); #80406=ORIENTED_EDGE('',*,*,#55505,.T.); #80407=ORIENTED_EDGE('',*,*,#55506,.F.); #80408=ORIENTED_EDGE('',*,*,#55507,.T.); #80409=ORIENTED_EDGE('',*,*,#55502,.F.); #80410=ORIENTED_EDGE('',*,*,#55508,.F.); #80411=ORIENTED_EDGE('',*,*,#55509,.F.); #80412=ORIENTED_EDGE('',*,*,#55439,.F.); #80413=ORIENTED_EDGE('',*,*,#55438,.F.); #80414=ORIENTED_EDGE('',*,*,#55441,.F.); #80415=ORIENTED_EDGE('',*,*,#55505,.F.); #80416=ORIENTED_EDGE('',*,*,#55487,.F.); #80417=ORIENTED_EDGE('',*,*,#55510,.T.); #80418=ORIENTED_EDGE('',*,*,#55511,.T.); #80419=ORIENTED_EDGE('',*,*,#55508,.T.); #80420=ORIENTED_EDGE('',*,*,#55500,.F.); #80421=ORIENTED_EDGE('',*,*,#55494,.F.); #80422=ORIENTED_EDGE('',*,*,#55512,.F.); #80423=ORIENTED_EDGE('',*,*,#55513,.F.); #80424=ORIENTED_EDGE('',*,*,#55514,.F.); #80425=ORIENTED_EDGE('',*,*,#55515,.F.); #80426=ORIENTED_EDGE('',*,*,#55510,.F.); #80427=ORIENTED_EDGE('',*,*,#55486,.F.); #80428=ORIENTED_EDGE('',*,*,#55498,.F.); #80429=ORIENTED_EDGE('',*,*,#55499,.F.); #80430=ORIENTED_EDGE('',*,*,#55501,.F.); #80431=ORIENTED_EDGE('',*,*,#55503,.F.); #80432=ORIENTED_EDGE('',*,*,#55516,.F.); #80433=ORIENTED_EDGE('',*,*,#55517,.T.); #80434=ORIENTED_EDGE('',*,*,#55518,.T.); #80435=ORIENTED_EDGE('',*,*,#55519,.T.); #80436=ORIENTED_EDGE('',*,*,#55520,.T.); #80437=ORIENTED_EDGE('',*,*,#55521,.T.); #80438=ORIENTED_EDGE('',*,*,#55516,.T.); #80439=ORIENTED_EDGE('',*,*,#55522,.F.); #80440=ORIENTED_EDGE('',*,*,#55523,.T.); #80441=ORIENTED_EDGE('',*,*,#55524,.T.); #80442=ORIENTED_EDGE('',*,*,#55525,.T.); #80443=ORIENTED_EDGE('',*,*,#55526,.T.); #80444=ORIENTED_EDGE('',*,*,#55527,.T.); #80445=ORIENTED_EDGE('',*,*,#55522,.T.); #80446=ORIENTED_EDGE('',*,*,#55528,.F.); #80447=ORIENTED_EDGE('',*,*,#55529,.T.); #80448=ORIENTED_EDGE('',*,*,#55530,.T.); #80449=ORIENTED_EDGE('',*,*,#55531,.T.); #80450=ORIENTED_EDGE('',*,*,#55532,.T.); #80451=ORIENTED_EDGE('',*,*,#55533,.T.); #80452=ORIENTED_EDGE('',*,*,#55528,.T.); #80453=ORIENTED_EDGE('',*,*,#55534,.F.); #80454=ORIENTED_EDGE('',*,*,#55535,.T.); #80455=ORIENTED_EDGE('',*,*,#55536,.T.); #80456=ORIENTED_EDGE('',*,*,#55537,.T.); #80457=ORIENTED_EDGE('',*,*,#55538,.T.); #80458=ORIENTED_EDGE('',*,*,#55539,.T.); #80459=ORIENTED_EDGE('',*,*,#55534,.T.); #80460=ORIENTED_EDGE('',*,*,#55520,.F.); #80461=ORIENTED_EDGE('',*,*,#55519,.F.); #80462=ORIENTED_EDGE('',*,*,#55540,.T.); #80463=ORIENTED_EDGE('',*,*,#55535,.F.); #80464=ORIENTED_EDGE('',*,*,#55539,.F.); #80465=ORIENTED_EDGE('',*,*,#55541,.T.); #80466=ORIENTED_EDGE('',*,*,#55533,.F.); #80467=ORIENTED_EDGE('',*,*,#55542,.T.); #80468=ORIENTED_EDGE('',*,*,#55538,.F.); #80469=ORIENTED_EDGE('',*,*,#55543,.T.); #80470=ORIENTED_EDGE('',*,*,#55523,.F.); #80471=ORIENTED_EDGE('',*,*,#55527,.F.); #80472=ORIENTED_EDGE('',*,*,#55544,.T.); #80473=ORIENTED_EDGE('',*,*,#55532,.F.); #80474=ORIENTED_EDGE('',*,*,#55531,.F.); #80475=ORIENTED_EDGE('',*,*,#55545,.T.); #80476=ORIENTED_EDGE('',*,*,#55521,.F.); #80477=ORIENTED_EDGE('',*,*,#55546,.T.); #80478=ORIENTED_EDGE('',*,*,#55526,.F.); #80479=ORIENTED_EDGE('',*,*,#55547,.T.); #80480=ORIENTED_EDGE('',*,*,#55524,.F.); #80481=ORIENTED_EDGE('',*,*,#55548,.T.); #80482=ORIENTED_EDGE('',*,*,#55549,.F.); #80483=ORIENTED_EDGE('',*,*,#55550,.T.); #80484=ORIENTED_EDGE('',*,*,#55545,.F.); #80485=ORIENTED_EDGE('',*,*,#55551,.F.); #80486=ORIENTED_EDGE('',*,*,#55552,.F.); #80487=ORIENTED_EDGE('',*,*,#55401,.F.); #80488=ORIENTED_EDGE('',*,*,#55400,.F.); #80489=ORIENTED_EDGE('',*,*,#55403,.F.); #80490=ORIENTED_EDGE('',*,*,#55548,.F.); #80491=ORIENTED_EDGE('',*,*,#55530,.F.); #80492=ORIENTED_EDGE('',*,*,#55553,.T.); #80493=ORIENTED_EDGE('',*,*,#55554,.T.); #80494=ORIENTED_EDGE('',*,*,#55551,.T.); #80495=ORIENTED_EDGE('',*,*,#55543,.F.); #80496=ORIENTED_EDGE('',*,*,#55537,.F.); #80497=ORIENTED_EDGE('',*,*,#55555,.F.); #80498=ORIENTED_EDGE('',*,*,#55556,.F.); #80499=ORIENTED_EDGE('',*,*,#55557,.F.); #80500=ORIENTED_EDGE('',*,*,#55558,.F.); #80501=ORIENTED_EDGE('',*,*,#55553,.F.); #80502=ORIENTED_EDGE('',*,*,#55529,.F.); #80503=ORIENTED_EDGE('',*,*,#55541,.F.); #80504=ORIENTED_EDGE('',*,*,#55542,.F.); #80505=ORIENTED_EDGE('',*,*,#55544,.F.); #80506=ORIENTED_EDGE('',*,*,#55546,.F.); #80507=ORIENTED_EDGE('',*,*,#55348,.T.); #80508=ORIENTED_EDGE('',*,*,#55351,.T.); #80509=ORIENTED_EDGE('',*,*,#55362,.F.); #80510=ORIENTED_EDGE('',*,*,#55559,.T.); #80511=ORIENTED_EDGE('',*,*,#55346,.F.); #80512=ORIENTED_EDGE('',*,*,#55349,.F.); #80513=ORIENTED_EDGE('',*,*,#55560,.T.); #80514=ORIENTED_EDGE('',*,*,#55561,.F.); #80515=ORIENTED_EDGE('',*,*,#55471,.T.); #80516=ORIENTED_EDGE('',*,*,#55559,.F.); #80517=ORIENTED_EDGE('',*,*,#55562,.F.); #80518=ORIENTED_EDGE('',*,*,#55563,.F.); #80519=ORIENTED_EDGE('',*,*,#55560,.F.); #80520=ORIENTED_EDGE('',*,*,#55367,.F.); #80521=ORIENTED_EDGE('',*,*,#55564,.T.); #80522=ORIENTED_EDGE('',*,*,#55565,.T.); #80523=ORIENTED_EDGE('',*,*,#55371,.F.); #80524=ORIENTED_EDGE('',*,*,#55565,.F.); #80525=ORIENTED_EDGE('',*,*,#55566,.T.); #80526=ORIENTED_EDGE('',*,*,#55567,.T.); #80527=ORIENTED_EDGE('',*,*,#55306,.T.); #80528=ORIENTED_EDGE('',*,*,#55374,.F.); #80529=ORIENTED_EDGE('',*,*,#55568,.T.); #80530=ORIENTED_EDGE('',*,*,#55307,.T.); #80531=ORIENTED_EDGE('',*,*,#55567,.F.); #80532=ORIENTED_EDGE('',*,*,#55569,.T.); #80533=ORIENTED_EDGE('',*,*,#55420,.F.); #80534=ORIENTED_EDGE('',*,*,#55308,.T.); #80535=ORIENTED_EDGE('',*,*,#55568,.F.); #80536=ORIENTED_EDGE('',*,*,#55506,.T.); #80537=ORIENTED_EDGE('',*,*,#55440,.T.); #80538=ORIENTED_EDGE('',*,*,#55425,.F.); #80539=ORIENTED_EDGE('',*,*,#55504,.F.); #80540=ORIENTED_EDGE('',*,*,#55482,.F.); #80541=ORIENTED_EDGE('',*,*,#55507,.F.); #80542=ORIENTED_EDGE('',*,*,#55569,.F.); #80543=ORIENTED_EDGE('',*,*,#55570,.F.); #80544=ORIENTED_EDGE('',*,*,#55474,.F.); #80545=ORIENTED_EDGE('',*,*,#55475,.F.); #80546=ORIENTED_EDGE('',*,*,#55570,.T.); #80547=ORIENTED_EDGE('',*,*,#55566,.F.); #80548=ORIENTED_EDGE('',*,*,#55571,.T.); #80549=ORIENTED_EDGE('',*,*,#55497,.F.); #80550=ORIENTED_EDGE('',*,*,#55571,.F.); #80551=ORIENTED_EDGE('',*,*,#55564,.F.); #80552=ORIENTED_EDGE('',*,*,#55391,.T.); #80553=ORIENTED_EDGE('',*,*,#55390,.F.); #80554=ORIENTED_EDGE('',*,*,#55572,.F.); #80555=ORIENTED_EDGE('',*,*,#55573,.F.); #80556=ORIENTED_EDGE('',*,*,#55405,.F.); #80557=ORIENTED_EDGE('',*,*,#55574,.T.); #80558=ORIENTED_EDGE('',*,*,#55575,.T.); #80559=ORIENTED_EDGE('',*,*,#55409,.F.); #80560=ORIENTED_EDGE('',*,*,#55575,.F.); #80561=ORIENTED_EDGE('',*,*,#55576,.T.); #80562=ORIENTED_EDGE('',*,*,#55577,.T.); #80563=ORIENTED_EDGE('',*,*,#55312,.T.); #80564=ORIENTED_EDGE('',*,*,#55412,.F.); #80565=ORIENTED_EDGE('',*,*,#55578,.T.); #80566=ORIENTED_EDGE('',*,*,#55313,.T.); #80567=ORIENTED_EDGE('',*,*,#55577,.F.); #80568=ORIENTED_EDGE('',*,*,#55579,.T.); #80569=ORIENTED_EDGE('',*,*,#55382,.F.); #80570=ORIENTED_EDGE('',*,*,#55314,.T.); #80571=ORIENTED_EDGE('',*,*,#55578,.F.); #80572=ORIENTED_EDGE('',*,*,#55549,.T.); #80573=ORIENTED_EDGE('',*,*,#55402,.T.); #80574=ORIENTED_EDGE('',*,*,#55387,.F.); #80575=ORIENTED_EDGE('',*,*,#55547,.F.); #80576=ORIENTED_EDGE('',*,*,#55525,.F.); #80577=ORIENTED_EDGE('',*,*,#55550,.F.); #80578=ORIENTED_EDGE('',*,*,#55579,.F.); #80579=ORIENTED_EDGE('',*,*,#55580,.F.); #80580=ORIENTED_EDGE('',*,*,#55517,.F.); #80581=ORIENTED_EDGE('',*,*,#55518,.F.); #80582=ORIENTED_EDGE('',*,*,#55580,.T.); #80583=ORIENTED_EDGE('',*,*,#55576,.F.); #80584=ORIENTED_EDGE('',*,*,#55581,.T.); #80585=ORIENTED_EDGE('',*,*,#55540,.F.); #80586=ORIENTED_EDGE('',*,*,#55581,.F.); #80587=ORIENTED_EDGE('',*,*,#55574,.F.); #80588=ORIENTED_EDGE('',*,*,#55429,.T.); #80589=ORIENTED_EDGE('',*,*,#55428,.F.); #80590=ORIENTED_EDGE('',*,*,#55582,.F.); #80591=ORIENTED_EDGE('',*,*,#55583,.F.); #80592=ORIENTED_EDGE('',*,*,#55584,.T.); #80593=ORIENTED_EDGE('',*,*,#55585,.T.); #80594=ORIENTED_EDGE('',*,*,#55586,.T.); #80595=ORIENTED_EDGE('',*,*,#55587,.T.); #80596=ORIENTED_EDGE('',*,*,#55584,.F.); #80597=ORIENTED_EDGE('',*,*,#55588,.T.); #80598=ORIENTED_EDGE('',*,*,#55589,.T.); #80599=ORIENTED_EDGE('',*,*,#55590,.T.); #80600=ORIENTED_EDGE('',*,*,#55589,.F.); #80601=ORIENTED_EDGE('',*,*,#55591,.T.); #80602=ORIENTED_EDGE('',*,*,#55592,.T.); #80603=ORIENTED_EDGE('',*,*,#55593,.T.); #80604=ORIENTED_EDGE('',*,*,#55594,.T.); #80605=ORIENTED_EDGE('',*,*,#55595,.T.); #80606=ORIENTED_EDGE('',*,*,#55592,.F.); #80607=ORIENTED_EDGE('',*,*,#55356,.T.); #80608=ORIENTED_EDGE('',*,*,#55596,.T.); #80609=ORIENTED_EDGE('',*,*,#55514,.T.); #80610=ORIENTED_EDGE('',*,*,#55594,.F.); #80611=ORIENTED_EDGE('',*,*,#55355,.T.); #80612=ORIENTED_EDGE('',*,*,#55597,.T.); #80613=ORIENTED_EDGE('',*,*,#55598,.T.); #80614=ORIENTED_EDGE('',*,*,#55596,.F.); #80615=ORIENTED_EDGE('',*,*,#55354,.T.); #80616=ORIENTED_EDGE('',*,*,#55599,.T.); #80617=ORIENTED_EDGE('',*,*,#55600,.T.); #80618=ORIENTED_EDGE('',*,*,#55597,.F.); #80619=ORIENTED_EDGE('',*,*,#55601,.T.); #80620=ORIENTED_EDGE('',*,*,#55602,.T.); #80621=ORIENTED_EDGE('',*,*,#55603,.T.); #80622=ORIENTED_EDGE('',*,*,#55599,.F.); #80623=ORIENTED_EDGE('',*,*,#55604,.T.); #80624=ORIENTED_EDGE('',*,*,#55605,.T.); #80625=ORIENTED_EDGE('',*,*,#55606,.T.); #80626=ORIENTED_EDGE('',*,*,#55602,.F.); #80627=ORIENTED_EDGE('',*,*,#55607,.T.); #80628=ORIENTED_EDGE('',*,*,#55608,.T.); #80629=ORIENTED_EDGE('',*,*,#55609,.T.); #80630=ORIENTED_EDGE('',*,*,#55605,.F.); #80631=ORIENTED_EDGE('',*,*,#55610,.T.); #80632=ORIENTED_EDGE('',*,*,#55611,.T.); #80633=ORIENTED_EDGE('',*,*,#55612,.T.); #80634=ORIENTED_EDGE('',*,*,#55608,.F.); #80635=ORIENTED_EDGE('',*,*,#55613,.T.); #80636=ORIENTED_EDGE('',*,*,#55611,.F.); #80637=ORIENTED_EDGE('',*,*,#55614,.T.); #80638=ORIENTED_EDGE('',*,*,#55615,.T.); #80639=ORIENTED_EDGE('',*,*,#55616,.T.); #80640=ORIENTED_EDGE('',*,*,#55615,.F.); #80641=ORIENTED_EDGE('',*,*,#55617,.T.); #80642=ORIENTED_EDGE('',*,*,#55618,.T.); #80643=ORIENTED_EDGE('',*,*,#55619,.T.); #80644=ORIENTED_EDGE('',*,*,#55620,.T.); #80645=ORIENTED_EDGE('',*,*,#55621,.T.); #80646=ORIENTED_EDGE('',*,*,#55618,.F.); #80647=ORIENTED_EDGE('',*,*,#55352,.T.); #80648=ORIENTED_EDGE('',*,*,#55622,.T.); #80649=ORIENTED_EDGE('',*,*,#55557,.T.); #80650=ORIENTED_EDGE('',*,*,#55620,.F.); #80651=ORIENTED_EDGE('',*,*,#55359,.T.); #80652=ORIENTED_EDGE('',*,*,#55623,.T.); #80653=ORIENTED_EDGE('',*,*,#55624,.T.); #80654=ORIENTED_EDGE('',*,*,#55622,.F.); #80655=ORIENTED_EDGE('',*,*,#55358,.T.); #80656=ORIENTED_EDGE('',*,*,#55625,.T.); #80657=ORIENTED_EDGE('',*,*,#55626,.T.); #80658=ORIENTED_EDGE('',*,*,#55623,.F.); #80659=ORIENTED_EDGE('',*,*,#55627,.T.); #80660=ORIENTED_EDGE('',*,*,#55628,.T.); #80661=ORIENTED_EDGE('',*,*,#55629,.T.); #80662=ORIENTED_EDGE('',*,*,#55625,.F.); #80663=ORIENTED_EDGE('',*,*,#55630,.T.); #80664=ORIENTED_EDGE('',*,*,#55631,.T.); #80665=ORIENTED_EDGE('',*,*,#55632,.T.); #80666=ORIENTED_EDGE('',*,*,#55628,.F.); #80667=ORIENTED_EDGE('',*,*,#55633,.T.); #80668=ORIENTED_EDGE('',*,*,#55586,.F.); #80669=ORIENTED_EDGE('',*,*,#55634,.T.); #80670=ORIENTED_EDGE('',*,*,#55631,.F.); #80671=ORIENTED_EDGE('',*,*,#55635,.T.); #80672=ORIENTED_EDGE('',*,*,#55612,.F.); #80673=ORIENTED_EDGE('',*,*,#55616,.F.); #80674=ORIENTED_EDGE('',*,*,#55636,.F.); #80675=ORIENTED_EDGE('',*,*,#55582,.T.); #80676=ORIENTED_EDGE('',*,*,#55432,.F.); #80677=ORIENTED_EDGE('',*,*,#55637,.T.); #80678=ORIENTED_EDGE('',*,*,#55619,.F.); #80679=ORIENTED_EDGE('',*,*,#55621,.F.); #80680=ORIENTED_EDGE('',*,*,#55556,.T.); #80681=ORIENTED_EDGE('',*,*,#55638,.F.); #80682=ORIENTED_EDGE('',*,*,#55636,.T.); #80683=ORIENTED_EDGE('',*,*,#55624,.F.); #80684=ORIENTED_EDGE('',*,*,#55626,.F.); #80685=ORIENTED_EDGE('',*,*,#55639,.T.); #80686=ORIENTED_EDGE('',*,*,#55554,.F.); #80687=ORIENTED_EDGE('',*,*,#55558,.T.); #80688=ORIENTED_EDGE('',*,*,#55629,.F.); #80689=ORIENTED_EDGE('',*,*,#55632,.F.); #80690=ORIENTED_EDGE('',*,*,#55640,.T.); #80691=ORIENTED_EDGE('',*,*,#55398,.F.); #80692=ORIENTED_EDGE('',*,*,#55552,.T.); #80693=ORIENTED_EDGE('',*,*,#55639,.F.); #80694=ORIENTED_EDGE('',*,*,#55634,.F.); #80695=ORIENTED_EDGE('',*,*,#55641,.F.); #80696=ORIENTED_EDGE('',*,*,#55642,.F.); #80697=ORIENTED_EDGE('',*,*,#55640,.F.); #80698=ORIENTED_EDGE('',*,*,#55613,.F.); #80699=ORIENTED_EDGE('',*,*,#55610,.F.); #80700=ORIENTED_EDGE('',*,*,#55607,.F.); #80701=ORIENTED_EDGE('',*,*,#55643,.F.); #80702=ORIENTED_EDGE('',*,*,#55393,.T.); #80703=ORIENTED_EDGE('',*,*,#55396,.T.); #80704=ORIENTED_EDGE('',*,*,#55399,.F.); #80705=ORIENTED_EDGE('',*,*,#55642,.T.); #80706=ORIENTED_EDGE('',*,*,#55590,.F.); #80707=ORIENTED_EDGE('',*,*,#55644,.F.); #80708=ORIENTED_EDGE('',*,*,#55572,.T.); #80709=ORIENTED_EDGE('',*,*,#55394,.F.); #80710=ORIENTED_EDGE('',*,*,#55641,.T.); #80711=ORIENTED_EDGE('',*,*,#55585,.F.); #80712=ORIENTED_EDGE('',*,*,#55437,.T.); #80713=ORIENTED_EDGE('',*,*,#55645,.T.); #80714=ORIENTED_EDGE('',*,*,#55431,.F.); #80715=ORIENTED_EDGE('',*,*,#55434,.T.); #80716=ORIENTED_EDGE('',*,*,#55614,.F.); #80717=ORIENTED_EDGE('',*,*,#55643,.T.); #80718=ORIENTED_EDGE('',*,*,#55604,.F.); #80719=ORIENTED_EDGE('',*,*,#55601,.F.); #80720=ORIENTED_EDGE('',*,*,#55353,.T.); #80721=ORIENTED_EDGE('',*,*,#55617,.F.); #80722=ORIENTED_EDGE('',*,*,#55627,.F.); #80723=ORIENTED_EDGE('',*,*,#55357,.T.); #80724=ORIENTED_EDGE('',*,*,#55591,.F.); #80725=ORIENTED_EDGE('',*,*,#55588,.F.); #80726=ORIENTED_EDGE('',*,*,#55646,.T.); #80727=ORIENTED_EDGE('',*,*,#55630,.F.); #80728=ORIENTED_EDGE('',*,*,#55633,.F.); #80729=ORIENTED_EDGE('',*,*,#55646,.F.); #80730=ORIENTED_EDGE('',*,*,#55587,.F.); #80731=ORIENTED_EDGE('',*,*,#55635,.F.); #80732=ORIENTED_EDGE('',*,*,#55600,.F.); #80733=ORIENTED_EDGE('',*,*,#55647,.T.); #80734=ORIENTED_EDGE('',*,*,#55511,.F.); #80735=ORIENTED_EDGE('',*,*,#55515,.T.); #80736=ORIENTED_EDGE('',*,*,#55598,.F.); #80737=ORIENTED_EDGE('',*,*,#55536,.F.); #80738=ORIENTED_EDGE('',*,*,#55583,.T.); #80739=ORIENTED_EDGE('',*,*,#55638,.T.); #80740=ORIENTED_EDGE('',*,*,#55555,.T.); #80741=ORIENTED_EDGE('',*,*,#55493,.F.); #80742=ORIENTED_EDGE('',*,*,#55573,.T.); #80743=ORIENTED_EDGE('',*,*,#55648,.T.); #80744=ORIENTED_EDGE('',*,*,#55512,.T.); #80745=ORIENTED_EDGE('',*,*,#55595,.F.); #80746=ORIENTED_EDGE('',*,*,#55513,.T.); #80747=ORIENTED_EDGE('',*,*,#55648,.F.); #80748=ORIENTED_EDGE('',*,*,#55644,.T.); #80749=ORIENTED_EDGE('',*,*,#55593,.F.); #80750=ORIENTED_EDGE('',*,*,#55649,.T.); #80751=ORIENTED_EDGE('',*,*,#55650,.T.); #80752=ORIENTED_EDGE('',*,*,#55651,.T.); #80753=ORIENTED_EDGE('',*,*,#55652,.T.); #80754=ORIENTED_EDGE('',*,*,#55650,.F.); #80755=ORIENTED_EDGE('',*,*,#55649,.F.); #80756=ORIENTED_EDGE('',*,*,#55653,.F.); #80757=ORIENTED_EDGE('',*,*,#55654,.F.); #80758=ORIENTED_EDGE('',*,*,#55655,.F.); #80759=ORIENTED_EDGE('',*,*,#55656,.F.); #80760=ORIENTED_EDGE('',*,*,#55657,.F.); #80761=ORIENTED_EDGE('',*,*,#55658,.F.); #80762=ORIENTED_EDGE('',*,*,#55659,.F.); #80763=ORIENTED_EDGE('',*,*,#55660,.F.); #80764=ORIENTED_EDGE('',*,*,#55661,.F.); #80765=ORIENTED_EDGE('',*,*,#55662,.F.); #80766=ORIENTED_EDGE('',*,*,#55663,.T.); #80767=ORIENTED_EDGE('',*,*,#55651,.F.); #80768=ORIENTED_EDGE('',*,*,#55652,.F.); #80769=ORIENTED_EDGE('',*,*,#55663,.F.); #80770=ORIENTED_EDGE('',*,*,#55664,.T.); #80771=ORIENTED_EDGE('',*,*,#55665,.T.); #80772=ORIENTED_EDGE('',*,*,#55666,.T.); #80773=ORIENTED_EDGE('',*,*,#55653,.T.); #80774=ORIENTED_EDGE('',*,*,#55667,.T.); #80775=ORIENTED_EDGE('',*,*,#55668,.T.); #80776=ORIENTED_EDGE('',*,*,#55664,.F.); #80777=ORIENTED_EDGE('',*,*,#55660,.T.); #80778=ORIENTED_EDGE('',*,*,#55669,.T.); #80779=ORIENTED_EDGE('',*,*,#55670,.T.); #80780=ORIENTED_EDGE('',*,*,#55667,.F.); #80781=ORIENTED_EDGE('',*,*,#55659,.T.); #80782=ORIENTED_EDGE('',*,*,#55671,.T.); #80783=ORIENTED_EDGE('',*,*,#55672,.T.); #80784=ORIENTED_EDGE('',*,*,#55669,.F.); #80785=ORIENTED_EDGE('',*,*,#55658,.T.); #80786=ORIENTED_EDGE('',*,*,#55673,.T.); #80787=ORIENTED_EDGE('',*,*,#55674,.T.); #80788=ORIENTED_EDGE('',*,*,#55671,.F.); #80789=ORIENTED_EDGE('',*,*,#55657,.T.); #80790=ORIENTED_EDGE('',*,*,#55675,.T.); #80791=ORIENTED_EDGE('',*,*,#55676,.T.); #80792=ORIENTED_EDGE('',*,*,#55673,.F.); #80793=ORIENTED_EDGE('',*,*,#55656,.T.); #80794=ORIENTED_EDGE('',*,*,#55677,.T.); #80795=ORIENTED_EDGE('',*,*,#55678,.T.); #80796=ORIENTED_EDGE('',*,*,#55675,.F.); #80797=ORIENTED_EDGE('',*,*,#55655,.T.); #80798=ORIENTED_EDGE('',*,*,#55666,.F.); #80799=ORIENTED_EDGE('',*,*,#55679,.T.); #80800=ORIENTED_EDGE('',*,*,#55677,.F.); #80801=ORIENTED_EDGE('',*,*,#55654,.T.); #80802=ORIENTED_EDGE('',*,*,#55676,.F.); #80803=ORIENTED_EDGE('',*,*,#55680,.F.); #80804=ORIENTED_EDGE('',*,*,#55681,.F.); #80805=ORIENTED_EDGE('',*,*,#55682,.F.); #80806=ORIENTED_EDGE('',*,*,#55678,.F.); #80807=ORIENTED_EDGE('',*,*,#55683,.T.); #80808=ORIENTED_EDGE('',*,*,#55684,.F.); #80809=ORIENTED_EDGE('',*,*,#55680,.T.); #80810=ORIENTED_EDGE('',*,*,#55679,.F.); #80811=ORIENTED_EDGE('',*,*,#55685,.F.); #80812=ORIENTED_EDGE('',*,*,#55686,.F.); #80813=ORIENTED_EDGE('',*,*,#55683,.F.); #80814=ORIENTED_EDGE('',*,*,#55665,.F.); #80815=ORIENTED_EDGE('',*,*,#55687,.T.); #80816=ORIENTED_EDGE('',*,*,#55688,.F.); #80817=ORIENTED_EDGE('',*,*,#55685,.T.); #80818=ORIENTED_EDGE('',*,*,#55689,.T.); #80819=ORIENTED_EDGE('',*,*,#55466,.T.); #80820=ORIENTED_EDGE('',*,*,#55690,.T.); #80821=ORIENTED_EDGE('',*,*,#55691,.T.); #80822=ORIENTED_EDGE('',*,*,#55692,.T.); #80823=ORIENTED_EDGE('',*,*,#55563,.T.); #80824=ORIENTED_EDGE('',*,*,#55693,.T.); #80825=ORIENTED_EDGE('',*,*,#55694,.T.); #80826=ORIENTED_EDGE('',*,*,#55693,.F.); #80827=ORIENTED_EDGE('',*,*,#55695,.T.); #80828=ORIENTED_EDGE('',*,*,#55696,.T.); #80829=ORIENTED_EDGE('',*,*,#55697,.T.); #80830=ORIENTED_EDGE('',*,*,#55698,.T.); #80831=ORIENTED_EDGE('',*,*,#55699,.T.); #80832=ORIENTED_EDGE('',*,*,#55696,.F.); #80833=ORIENTED_EDGE('',*,*,#55700,.T.); #80834=ORIENTED_EDGE('',*,*,#55701,.T.); #80835=ORIENTED_EDGE('',*,*,#55472,.T.); #80836=ORIENTED_EDGE('',*,*,#55702,.T.); #80837=ORIENTED_EDGE('',*,*,#55703,.T.); #80838=ORIENTED_EDGE('',*,*,#55702,.F.); #80839=ORIENTED_EDGE('',*,*,#55561,.T.); #80840=ORIENTED_EDGE('',*,*,#55692,.F.); #80841=ORIENTED_EDGE('',*,*,#55704,.T.); #80842=ORIENTED_EDGE('',*,*,#55698,.F.); #80843=ORIENTED_EDGE('',*,*,#55463,.T.); #80844=ORIENTED_EDGE('',*,*,#55689,.F.); #80845=ORIENTED_EDGE('',*,*,#55690,.F.); #80846=ORIENTED_EDGE('',*,*,#55468,.T.); #80847=ORIENTED_EDGE('',*,*,#55701,.F.); #80848=ORIENTED_EDGE('',*,*,#55364,.F.); #80849=ORIENTED_EDGE('',*,*,#55705,.T.); #80850=ORIENTED_EDGE('',*,*,#55695,.F.); #80851=ORIENTED_EDGE('',*,*,#55562,.T.); #80852=ORIENTED_EDGE('',*,*,#55361,.F.); #80853=ORIENTED_EDGE('',*,*,#55694,.F.); #80854=ORIENTED_EDGE('',*,*,#55697,.F.); #80855=ORIENTED_EDGE('',*,*,#55699,.F.); #80856=ORIENTED_EDGE('',*,*,#55691,.F.); #80857=ORIENTED_EDGE('',*,*,#55703,.F.); #80858=ORIENTED_EDGE('',*,*,#55704,.F.); #80859=ORIENTED_EDGE('',*,*,#55706,.F.); #80860=ORIENTED_EDGE('',*,*,#55706,.T.); #80861=ORIENTED_EDGE('',*,*,#55707,.T.); #80862=ORIENTED_EDGE('',*,*,#55661,.T.); #80863=ORIENTED_EDGE('',*,*,#55662,.T.); #80864=ORIENTED_EDGE('',*,*,#55707,.F.); #80865=ORIENTED_EDGE('',*,*,#55708,.T.); #80866=ORIENTED_EDGE('',*,*,#55681,.T.); #80867=ORIENTED_EDGE('',*,*,#55709,.T.); #80868=ORIENTED_EDGE('',*,*,#55278,.T.); #80869=ORIENTED_EDGE('',*,*,#55710,.T.); #80870=ORIENTED_EDGE('',*,*,#55711,.T.); #80871=ORIENTED_EDGE('',*,*,#55712,.T.); #80872=ORIENTED_EDGE('',*,*,#55281,.T.); #80873=ORIENTED_EDGE('',*,*,#55713,.T.); #80874=ORIENTED_EDGE('',*,*,#55714,.T.); #80875=ORIENTED_EDGE('',*,*,#55715,.T.); #80876=ORIENTED_EDGE('',*,*,#55280,.T.); #80877=ORIENTED_EDGE('',*,*,#55716,.T.); #80878=ORIENTED_EDGE('',*,*,#55686,.T.); #80879=ORIENTED_EDGE('',*,*,#55717,.T.); #80880=ORIENTED_EDGE('',*,*,#55279,.T.); #80881=ORIENTED_EDGE('',*,*,#55717,.F.); #80882=ORIENTED_EDGE('',*,*,#55688,.T.); #80883=ORIENTED_EDGE('',*,*,#55713,.F.); #80884=ORIENTED_EDGE('',*,*,#55715,.F.); #80885=ORIENTED_EDGE('',*,*,#55718,.T.); #80886=ORIENTED_EDGE('',*,*,#55710,.F.); #80887=ORIENTED_EDGE('',*,*,#55712,.F.); #80888=ORIENTED_EDGE('',*,*,#55719,.T.); #80889=ORIENTED_EDGE('',*,*,#55708,.F.); #80890=ORIENTED_EDGE('',*,*,#55709,.F.); #80891=ORIENTED_EDGE('',*,*,#55684,.T.); #80892=ORIENTED_EDGE('',*,*,#55716,.F.); #80893=ORIENTED_EDGE('',*,*,#55670,.F.); #80894=ORIENTED_EDGE('',*,*,#55720,.T.); #80895=ORIENTED_EDGE('',*,*,#55718,.F.); #80896=ORIENTED_EDGE('',*,*,#55721,.T.); #80897=ORIENTED_EDGE('',*,*,#55668,.F.); #80898=ORIENTED_EDGE('',*,*,#55721,.F.); #80899=ORIENTED_EDGE('',*,*,#55714,.F.); #80900=ORIENTED_EDGE('',*,*,#55687,.F.); #80901=ORIENTED_EDGE('',*,*,#55449,.F.); #80902=ORIENTED_EDGE('',*,*,#55464,.F.); #80903=ORIENTED_EDGE('',*,*,#55700,.F.); #80904=ORIENTED_EDGE('',*,*,#55705,.F.); #80905=ORIENTED_EDGE('',*,*,#55365,.F.); #80906=ORIENTED_EDGE('',*,*,#55722,.T.); #80907=ORIENTED_EDGE('',*,*,#55723,.F.); #80908=ORIENTED_EDGE('',*,*,#55674,.F.); #80909=ORIENTED_EDGE('',*,*,#55682,.T.); #80910=ORIENTED_EDGE('',*,*,#55719,.F.); #80911=ORIENTED_EDGE('',*,*,#55724,.T.); #80912=ORIENTED_EDGE('',*,*,#55672,.F.); #80913=ORIENTED_EDGE('',*,*,#55724,.F.); #80914=ORIENTED_EDGE('',*,*,#55711,.F.); #80915=ORIENTED_EDGE('',*,*,#55720,.F.); #80916=ORIENTED_EDGE('',*,*,#55342,.F.); #80917=ORIENTED_EDGE('',*,*,#55470,.T.); #80918=ORIENTED_EDGE('',*,*,#55469,.T.); #80919=ORIENTED_EDGE('',*,*,#55461,.F.); #80920=ORIENTED_EDGE('',*,*,#55725,.T.); #80921=ORIENTED_EDGE('',*,*,#55334,.F.); #80922=ORIENTED_EDGE('',*,*,#55337,.F.); #80923=ORIENTED_EDGE('',*,*,#55340,.F.); #80924=ORIENTED_EDGE('',*,*,#55327,.F.); #80925=ORIENTED_EDGE('',*,*,#55726,.T.); #80926=ORIENTED_EDGE('',*,*,#55450,.F.); #80927=ORIENTED_EDGE('',*,*,#55723,.T.); #80928=ORIENTED_EDGE('',*,*,#55722,.F.); #80929=ORIENTED_EDGE('',*,*,#55318,.F.); #80930=ORIENTED_EDGE('',*,*,#55321,.F.); #80931=ORIENTED_EDGE('',*,*,#55324,.F.); #80932=ORIENTED_EDGE('',*,*,#55330,.F.); #80933=ORIENTED_EDGE('',*,*,#55725,.F.); #80934=ORIENTED_EDGE('',*,*,#55445,.F.); #80935=ORIENTED_EDGE('',*,*,#55726,.F.); #80936=ORIENTED_EDGE('',*,*,#55609,.F.); #80937=ORIENTED_EDGE('',*,*,#55637,.F.); #80938=ORIENTED_EDGE('',*,*,#55645,.F.); #80939=ORIENTED_EDGE('',*,*,#55727,.F.); #80940=ORIENTED_EDGE('',*,*,#55606,.F.); #80941=ORIENTED_EDGE('',*,*,#55727,.T.); #80942=ORIENTED_EDGE('',*,*,#55436,.F.); #80943=ORIENTED_EDGE('',*,*,#55509,.T.); #80944=ORIENTED_EDGE('',*,*,#55647,.F.); #80945=ORIENTED_EDGE('',*,*,#55603,.F.); #80946=ORIENTED_EDGE('',*,*,#55728,.T.); #80947=ORIENTED_EDGE('',*,*,#55729,.T.); #80948=ORIENTED_EDGE('',*,*,#55730,.T.); #80949=ORIENTED_EDGE('',*,*,#55731,.F.); #80950=ORIENTED_EDGE('',*,*,#55732,.F.); #80951=ORIENTED_EDGE('',*,*,#55733,.F.); #80952=ORIENTED_EDGE('',*,*,#55734,.F.); #80953=ORIENTED_EDGE('',*,*,#55735,.F.); #80954=ORIENTED_EDGE('',*,*,#55730,.F.); #80955=ORIENTED_EDGE('',*,*,#55736,.T.); #80956=ORIENTED_EDGE('',*,*,#55737,.T.); #80957=ORIENTED_EDGE('',*,*,#55738,.F.); #80958=ORIENTED_EDGE('',*,*,#55739,.F.); #80959=ORIENTED_EDGE('',*,*,#55740,.T.); #80960=ORIENTED_EDGE('',*,*,#55741,.T.); #80961=ORIENTED_EDGE('',*,*,#55742,.F.); #80962=ORIENTED_EDGE('',*,*,#55743,.F.); #80963=ORIENTED_EDGE('',*,*,#55744,.F.); #80964=ORIENTED_EDGE('',*,*,#55737,.F.); #80965=ORIENTED_EDGE('',*,*,#55741,.F.); #80966=ORIENTED_EDGE('',*,*,#55745,.T.); #80967=ORIENTED_EDGE('',*,*,#55746,.T.); #80968=ORIENTED_EDGE('',*,*,#55747,.F.); #80969=ORIENTED_EDGE('',*,*,#55748,.F.); #80970=ORIENTED_EDGE('',*,*,#55749,.T.); #80971=ORIENTED_EDGE('',*,*,#55750,.T.); #80972=ORIENTED_EDGE('',*,*,#55751,.F.); #80973=ORIENTED_EDGE('',*,*,#55752,.F.); #80974=ORIENTED_EDGE('',*,*,#55753,.F.); #80975=ORIENTED_EDGE('',*,*,#55746,.F.); #80976=ORIENTED_EDGE('',*,*,#55750,.F.); #80977=ORIENTED_EDGE('',*,*,#55754,.T.); #80978=ORIENTED_EDGE('',*,*,#55755,.T.); #80979=ORIENTED_EDGE('',*,*,#55756,.F.); #80980=ORIENTED_EDGE('',*,*,#55757,.F.); #80981=ORIENTED_EDGE('',*,*,#55758,.T.); #80982=ORIENTED_EDGE('',*,*,#55759,.T.); #80983=ORIENTED_EDGE('',*,*,#55760,.F.); #80984=ORIENTED_EDGE('',*,*,#55761,.F.); #80985=ORIENTED_EDGE('',*,*,#55762,.F.); #80986=ORIENTED_EDGE('',*,*,#55755,.F.); #80987=ORIENTED_EDGE('',*,*,#55759,.F.); #80988=ORIENTED_EDGE('',*,*,#55763,.T.); #80989=ORIENTED_EDGE('',*,*,#55764,.T.); #80990=ORIENTED_EDGE('',*,*,#55765,.F.); #80991=ORIENTED_EDGE('',*,*,#55766,.F.); #80992=ORIENTED_EDGE('',*,*,#55767,.T.); #80993=ORIENTED_EDGE('',*,*,#55768,.T.); #80994=ORIENTED_EDGE('',*,*,#55769,.F.); #80995=ORIENTED_EDGE('',*,*,#55770,.F.); #80996=ORIENTED_EDGE('',*,*,#55771,.F.); #80997=ORIENTED_EDGE('',*,*,#55764,.F.); #80998=ORIENTED_EDGE('',*,*,#55768,.F.); #80999=ORIENTED_EDGE('',*,*,#55772,.T.); #81000=ORIENTED_EDGE('',*,*,#55773,.T.); #81001=ORIENTED_EDGE('',*,*,#55774,.F.); #81002=ORIENTED_EDGE('',*,*,#55775,.F.); #81003=ORIENTED_EDGE('',*,*,#55776,.T.); #81004=ORIENTED_EDGE('',*,*,#55777,.T.); #81005=ORIENTED_EDGE('',*,*,#55778,.F.); #81006=ORIENTED_EDGE('',*,*,#55779,.F.); #81007=ORIENTED_EDGE('',*,*,#55780,.F.); #81008=ORIENTED_EDGE('',*,*,#55773,.F.); #81009=ORIENTED_EDGE('',*,*,#55777,.F.); #81010=ORIENTED_EDGE('',*,*,#55781,.T.); #81011=ORIENTED_EDGE('',*,*,#55782,.T.); #81012=ORIENTED_EDGE('',*,*,#55783,.F.); #81013=ORIENTED_EDGE('',*,*,#55784,.F.); #81014=ORIENTED_EDGE('',*,*,#55785,.T.); #81015=ORIENTED_EDGE('',*,*,#55786,.T.); #81016=ORIENTED_EDGE('',*,*,#55787,.F.); #81017=ORIENTED_EDGE('',*,*,#55788,.F.); #81018=ORIENTED_EDGE('',*,*,#55789,.F.); #81019=ORIENTED_EDGE('',*,*,#55782,.F.); #81020=ORIENTED_EDGE('',*,*,#55786,.F.); #81021=ORIENTED_EDGE('',*,*,#55790,.T.); #81022=ORIENTED_EDGE('',*,*,#55791,.T.); #81023=ORIENTED_EDGE('',*,*,#55792,.F.); #81024=ORIENTED_EDGE('',*,*,#55793,.F.); #81025=ORIENTED_EDGE('',*,*,#55794,.T.); #81026=ORIENTED_EDGE('',*,*,#55795,.T.); #81027=ORIENTED_EDGE('',*,*,#55796,.F.); #81028=ORIENTED_EDGE('',*,*,#55797,.F.); #81029=ORIENTED_EDGE('',*,*,#55798,.F.); #81030=ORIENTED_EDGE('',*,*,#55791,.F.); #81031=ORIENTED_EDGE('',*,*,#55795,.F.); #81032=ORIENTED_EDGE('',*,*,#55799,.T.); #81033=ORIENTED_EDGE('',*,*,#55800,.T.); #81034=ORIENTED_EDGE('',*,*,#55801,.F.); #81035=ORIENTED_EDGE('',*,*,#55802,.F.); #81036=ORIENTED_EDGE('',*,*,#55803,.T.); #81037=ORIENTED_EDGE('',*,*,#55804,.F.); #81038=ORIENTED_EDGE('',*,*,#55805,.F.); #81039=ORIENTED_EDGE('',*,*,#55806,.F.); #81040=ORIENTED_EDGE('',*,*,#55807,.F.); #81041=ORIENTED_EDGE('',*,*,#55800,.F.); #81042=ORIENTED_EDGE('',*,*,#55804,.T.); #81043=ORIENTED_EDGE('',*,*,#55808,.T.); #81044=ORIENTED_EDGE('',*,*,#55809,.T.); #81045=ORIENTED_EDGE('',*,*,#55810,.F.); #81046=ORIENTED_EDGE('',*,*,#55811,.F.); #81047=ORIENTED_EDGE('',*,*,#55812,.T.); #81048=ORIENTED_EDGE('',*,*,#55813,.T.); #81049=ORIENTED_EDGE('',*,*,#55814,.F.); #81050=ORIENTED_EDGE('',*,*,#55815,.F.); #81051=ORIENTED_EDGE('',*,*,#55816,.F.); #81052=ORIENTED_EDGE('',*,*,#55817,.F.); #81053=ORIENTED_EDGE('',*,*,#55818,.T.); #81054=ORIENTED_EDGE('',*,*,#55819,.T.); #81055=ORIENTED_EDGE('',*,*,#55820,.F.); #81056=ORIENTED_EDGE('',*,*,#55821,.F.); #81057=ORIENTED_EDGE('',*,*,#55822,.F.); #81058=ORIENTED_EDGE('',*,*,#55823,.F.); #81059=ORIENTED_EDGE('',*,*,#55824,.T.); #81060=ORIENTED_EDGE('',*,*,#55825,.T.); #81061=ORIENTED_EDGE('',*,*,#55826,.F.); #81062=ORIENTED_EDGE('',*,*,#55827,.F.); #81063=ORIENTED_EDGE('',*,*,#55828,.F.); #81064=ORIENTED_EDGE('',*,*,#55829,.F.); #81065=ORIENTED_EDGE('',*,*,#55830,.T.); #81066=ORIENTED_EDGE('',*,*,#55831,.T.); #81067=ORIENTED_EDGE('',*,*,#55832,.F.); #81068=ORIENTED_EDGE('',*,*,#55833,.F.); #81069=ORIENTED_EDGE('',*,*,#55834,.F.); #81070=ORIENTED_EDGE('',*,*,#55835,.F.); #81071=ORIENTED_EDGE('',*,*,#55836,.T.); #81072=ORIENTED_EDGE('',*,*,#55837,.T.); #81073=ORIENTED_EDGE('',*,*,#55838,.F.); #81074=ORIENTED_EDGE('',*,*,#55839,.F.); #81075=ORIENTED_EDGE('',*,*,#55840,.F.); #81076=ORIENTED_EDGE('',*,*,#55841,.F.); #81077=ORIENTED_EDGE('',*,*,#55842,.T.); #81078=ORIENTED_EDGE('',*,*,#55843,.T.); #81079=ORIENTED_EDGE('',*,*,#55844,.F.); #81080=ORIENTED_EDGE('',*,*,#55845,.F.); #81081=ORIENTED_EDGE('',*,*,#55846,.F.); #81082=ORIENTED_EDGE('',*,*,#55847,.F.); #81083=ORIENTED_EDGE('',*,*,#55848,.T.); #81084=ORIENTED_EDGE('',*,*,#55849,.T.); #81085=ORIENTED_EDGE('',*,*,#55850,.F.); #81086=ORIENTED_EDGE('',*,*,#55851,.F.); #81087=ORIENTED_EDGE('',*,*,#55852,.F.); #81088=ORIENTED_EDGE('',*,*,#55853,.F.); #81089=ORIENTED_EDGE('',*,*,#55854,.T.); #81090=ORIENTED_EDGE('',*,*,#55855,.T.); #81091=ORIENTED_EDGE('',*,*,#55856,.F.); #81092=ORIENTED_EDGE('',*,*,#55857,.F.); #81093=ORIENTED_EDGE('',*,*,#55858,.F.); #81094=ORIENTED_EDGE('',*,*,#55859,.F.); #81095=ORIENTED_EDGE('',*,*,#55813,.F.); #81096=ORIENTED_EDGE('',*,*,#55860,.T.); #81097=ORIENTED_EDGE('',*,*,#55735,.T.); #81098=ORIENTED_EDGE('',*,*,#55861,.F.); #81099=ORIENTED_EDGE('',*,*,#55862,.F.); #81100=ORIENTED_EDGE('',*,*,#55819,.F.); #81101=ORIENTED_EDGE('',*,*,#55863,.T.); #81102=ORIENTED_EDGE('',*,*,#55817,.T.); #81103=ORIENTED_EDGE('',*,*,#55864,.F.); #81104=ORIENTED_EDGE('',*,*,#55865,.F.); #81105=ORIENTED_EDGE('',*,*,#55825,.F.); #81106=ORIENTED_EDGE('',*,*,#55866,.T.); #81107=ORIENTED_EDGE('',*,*,#55823,.T.); #81108=ORIENTED_EDGE('',*,*,#55867,.F.); #81109=ORIENTED_EDGE('',*,*,#55868,.F.); #81110=ORIENTED_EDGE('',*,*,#55831,.F.); #81111=ORIENTED_EDGE('',*,*,#55869,.T.); #81112=ORIENTED_EDGE('',*,*,#55829,.T.); #81113=ORIENTED_EDGE('',*,*,#55870,.F.); #81114=ORIENTED_EDGE('',*,*,#55871,.F.); #81115=ORIENTED_EDGE('',*,*,#55837,.F.); #81116=ORIENTED_EDGE('',*,*,#55872,.T.); #81117=ORIENTED_EDGE('',*,*,#55835,.T.); #81118=ORIENTED_EDGE('',*,*,#55873,.F.); #81119=ORIENTED_EDGE('',*,*,#55874,.F.); #81120=ORIENTED_EDGE('',*,*,#55843,.F.); #81121=ORIENTED_EDGE('',*,*,#55875,.T.); #81122=ORIENTED_EDGE('',*,*,#55841,.T.); #81123=ORIENTED_EDGE('',*,*,#55876,.F.); #81124=ORIENTED_EDGE('',*,*,#55877,.F.); #81125=ORIENTED_EDGE('',*,*,#55849,.F.); #81126=ORIENTED_EDGE('',*,*,#55878,.T.); #81127=ORIENTED_EDGE('',*,*,#55847,.T.); #81128=ORIENTED_EDGE('',*,*,#55879,.F.); #81129=ORIENTED_EDGE('',*,*,#55880,.F.); #81130=ORIENTED_EDGE('',*,*,#55855,.F.); #81131=ORIENTED_EDGE('',*,*,#55881,.T.); #81132=ORIENTED_EDGE('',*,*,#55853,.T.); #81133=ORIENTED_EDGE('',*,*,#55882,.F.); #81134=ORIENTED_EDGE('',*,*,#55883,.F.); #81135=ORIENTED_EDGE('',*,*,#55884,.F.); #81136=ORIENTED_EDGE('',*,*,#55885,.T.); #81137=ORIENTED_EDGE('',*,*,#55859,.T.); #81138=ORIENTED_EDGE('',*,*,#55886,.F.); #81139=ORIENTED_EDGE('',*,*,#55887,.F.); #81140=ORIENTED_EDGE('',*,*,#55887,.T.); #81141=ORIENTED_EDGE('',*,*,#55888,.F.); #81142=ORIENTED_EDGE('',*,*,#55889,.T.); #81143=ORIENTED_EDGE('',*,*,#55890,.T.); #81144=ORIENTED_EDGE('',*,*,#55883,.T.); #81145=ORIENTED_EDGE('',*,*,#55891,.F.); #81146=ORIENTED_EDGE('',*,*,#55857,.T.); #81147=ORIENTED_EDGE('',*,*,#55856,.T.); #81148=ORIENTED_EDGE('',*,*,#55880,.T.); #81149=ORIENTED_EDGE('',*,*,#55892,.F.); #81150=ORIENTED_EDGE('',*,*,#55851,.T.); #81151=ORIENTED_EDGE('',*,*,#55850,.T.); #81152=ORIENTED_EDGE('',*,*,#55877,.T.); #81153=ORIENTED_EDGE('',*,*,#55893,.F.); #81154=ORIENTED_EDGE('',*,*,#55845,.T.); #81155=ORIENTED_EDGE('',*,*,#55844,.T.); #81156=ORIENTED_EDGE('',*,*,#55874,.T.); #81157=ORIENTED_EDGE('',*,*,#55894,.F.); #81158=ORIENTED_EDGE('',*,*,#55839,.T.); #81159=ORIENTED_EDGE('',*,*,#55838,.T.); #81160=ORIENTED_EDGE('',*,*,#55871,.T.); #81161=ORIENTED_EDGE('',*,*,#55895,.F.); #81162=ORIENTED_EDGE('',*,*,#55833,.T.); #81163=ORIENTED_EDGE('',*,*,#55832,.T.); #81164=ORIENTED_EDGE('',*,*,#55868,.T.); #81165=ORIENTED_EDGE('',*,*,#55896,.F.); #81166=ORIENTED_EDGE('',*,*,#55827,.T.); #81167=ORIENTED_EDGE('',*,*,#55826,.T.); #81168=ORIENTED_EDGE('',*,*,#55865,.T.); #81169=ORIENTED_EDGE('',*,*,#55897,.F.); #81170=ORIENTED_EDGE('',*,*,#55821,.T.); #81171=ORIENTED_EDGE('',*,*,#55820,.T.); #81172=ORIENTED_EDGE('',*,*,#55862,.T.); #81173=ORIENTED_EDGE('',*,*,#55898,.F.); #81174=ORIENTED_EDGE('',*,*,#55815,.T.); #81175=ORIENTED_EDGE('',*,*,#55814,.T.); #81176=ORIENTED_EDGE('',*,*,#55739,.T.); #81177=ORIENTED_EDGE('',*,*,#55899,.F.); #81178=ORIENTED_EDGE('',*,*,#55732,.T.); #81179=ORIENTED_EDGE('',*,*,#55731,.T.); #81180=ORIENTED_EDGE('',*,*,#55748,.T.); #81181=ORIENTED_EDGE('',*,*,#55900,.F.); #81182=ORIENTED_EDGE('',*,*,#55743,.T.); #81183=ORIENTED_EDGE('',*,*,#55742,.T.); #81184=ORIENTED_EDGE('',*,*,#55757,.T.); #81185=ORIENTED_EDGE('',*,*,#55901,.F.); #81186=ORIENTED_EDGE('',*,*,#55752,.T.); #81187=ORIENTED_EDGE('',*,*,#55751,.T.); #81188=ORIENTED_EDGE('',*,*,#55766,.T.); #81189=ORIENTED_EDGE('',*,*,#55902,.F.); #81190=ORIENTED_EDGE('',*,*,#55761,.T.); #81191=ORIENTED_EDGE('',*,*,#55760,.T.); #81192=ORIENTED_EDGE('',*,*,#55775,.T.); #81193=ORIENTED_EDGE('',*,*,#55903,.F.); #81194=ORIENTED_EDGE('',*,*,#55770,.T.); #81195=ORIENTED_EDGE('',*,*,#55769,.T.); #81196=ORIENTED_EDGE('',*,*,#55784,.T.); #81197=ORIENTED_EDGE('',*,*,#55904,.F.); #81198=ORIENTED_EDGE('',*,*,#55779,.T.); #81199=ORIENTED_EDGE('',*,*,#55778,.T.); #81200=ORIENTED_EDGE('',*,*,#55793,.T.); #81201=ORIENTED_EDGE('',*,*,#55905,.F.); #81202=ORIENTED_EDGE('',*,*,#55788,.T.); #81203=ORIENTED_EDGE('',*,*,#55787,.T.); #81204=ORIENTED_EDGE('',*,*,#55802,.T.); #81205=ORIENTED_EDGE('',*,*,#55906,.F.); #81206=ORIENTED_EDGE('',*,*,#55797,.T.); #81207=ORIENTED_EDGE('',*,*,#55796,.T.); #81208=ORIENTED_EDGE('',*,*,#55907,.T.); #81209=ORIENTED_EDGE('',*,*,#55884,.T.); #81210=ORIENTED_EDGE('',*,*,#55890,.F.); #81211=ORIENTED_EDGE('',*,*,#55889,.F.); #81212=ORIENTED_EDGE('',*,*,#55908,.F.); #81213=ORIENTED_EDGE('',*,*,#55809,.F.); #81214=ORIENTED_EDGE('',*,*,#55909,.T.); #81215=ORIENTED_EDGE('',*,*,#55910,.T.); #81216=ORIENTED_EDGE('',*,*,#55911,.T.); #81217=ORIENTED_EDGE('',*,*,#55912,.F.); #81218=ORIENTED_EDGE('',*,*,#55913,.T.); #81219=ORIENTED_EDGE('',*,*,#55914,.T.); #81220=ORIENTED_EDGE('',*,*,#55915,.T.); #81221=ORIENTED_EDGE('',*,*,#55916,.F.); #81222=ORIENTED_EDGE('',*,*,#55917,.T.); #81223=ORIENTED_EDGE('',*,*,#55918,.T.); #81224=ORIENTED_EDGE('',*,*,#55919,.T.); #81225=ORIENTED_EDGE('',*,*,#55920,.F.); #81226=ORIENTED_EDGE('',*,*,#55917,.F.); #81227=ORIENTED_EDGE('',*,*,#55921,.F.); #81228=ORIENTED_EDGE('',*,*,#55915,.F.); #81229=ORIENTED_EDGE('',*,*,#55922,.T.); #81230=ORIENTED_EDGE('',*,*,#55923,.T.); #81231=ORIENTED_EDGE('',*,*,#55924,.T.); #81232=ORIENTED_EDGE('',*,*,#55925,.F.); #81233=ORIENTED_EDGE('',*,*,#55922,.F.); #81234=ORIENTED_EDGE('',*,*,#55926,.F.); #81235=ORIENTED_EDGE('',*,*,#55919,.F.); #81236=ORIENTED_EDGE('',*,*,#55913,.F.); #81237=ORIENTED_EDGE('',*,*,#55927,.F.); #81238=ORIENTED_EDGE('',*,*,#55911,.F.); #81239=ORIENTED_EDGE('',*,*,#55909,.F.); #81240=ORIENTED_EDGE('',*,*,#55928,.F.); #81241=ORIENTED_EDGE('',*,*,#55924,.F.); #81242=ORIENTED_EDGE('',*,*,#55910,.F.); #81243=ORIENTED_EDGE('',*,*,#55923,.F.); #81244=ORIENTED_EDGE('',*,*,#55918,.F.); #81245=ORIENTED_EDGE('',*,*,#55914,.F.); #81246=ORIENTED_EDGE('',*,*,#55929,.T.); #81247=ORIENTED_EDGE('',*,*,#55916,.T.); #81248=ORIENTED_EDGE('',*,*,#55930,.T.); #81249=ORIENTED_EDGE('',*,*,#55931,.T.); #81250=ORIENTED_EDGE('',*,*,#55932,.T.); #81251=ORIENTED_EDGE('',*,*,#55927,.T.); #81252=ORIENTED_EDGE('',*,*,#55929,.F.); #81253=ORIENTED_EDGE('',*,*,#55933,.T.); #81254=ORIENTED_EDGE('',*,*,#55934,.T.); #81255=ORIENTED_EDGE('',*,*,#55935,.T.); #81256=ORIENTED_EDGE('',*,*,#55930,.F.); #81257=ORIENTED_EDGE('',*,*,#55921,.T.); #81258=ORIENTED_EDGE('',*,*,#55936,.T.); #81259=ORIENTED_EDGE('',*,*,#55912,.T.); #81260=ORIENTED_EDGE('',*,*,#55932,.F.); #81261=ORIENTED_EDGE('',*,*,#55937,.T.); #81262=ORIENTED_EDGE('',*,*,#55938,.T.); #81263=ORIENTED_EDGE('',*,*,#55939,.T.); #81264=ORIENTED_EDGE('',*,*,#55934,.F.); #81265=ORIENTED_EDGE('',*,*,#55920,.T.); #81266=ORIENTED_EDGE('',*,*,#55940,.T.); #81267=ORIENTED_EDGE('',*,*,#55928,.T.); #81268=ORIENTED_EDGE('',*,*,#55936,.F.); #81269=ORIENTED_EDGE('',*,*,#55941,.T.); #81270=ORIENTED_EDGE('',*,*,#55942,.T.); #81271=ORIENTED_EDGE('',*,*,#55943,.T.); #81272=ORIENTED_EDGE('',*,*,#55938,.F.); #81273=ORIENTED_EDGE('',*,*,#55926,.T.); #81274=ORIENTED_EDGE('',*,*,#55940,.F.); #81275=ORIENTED_EDGE('',*,*,#55944,.T.); #81276=ORIENTED_EDGE('',*,*,#55942,.F.); #81277=ORIENTED_EDGE('',*,*,#55925,.T.); #81278=ORIENTED_EDGE('',*,*,#55945,.T.); #81279=ORIENTED_EDGE('',*,*,#55946,.T.); #81280=ORIENTED_EDGE('',*,*,#55947,.T.); #81281=ORIENTED_EDGE('',*,*,#55948,.T.); #81282=ORIENTED_EDGE('',*,*,#55949,.T.); #81283=ORIENTED_EDGE('',*,*,#55950,.T.); #81284=ORIENTED_EDGE('',*,*,#55951,.F.); #81285=ORIENTED_EDGE('',*,*,#55952,.F.); #81286=ORIENTED_EDGE('',*,*,#55953,.F.); #81287=ORIENTED_EDGE('',*,*,#55954,.F.); #81288=ORIENTED_EDGE('',*,*,#55955,.F.); #81289=ORIENTED_EDGE('',*,*,#55956,.F.); #81290=ORIENTED_EDGE('',*,*,#55957,.F.); #81291=ORIENTED_EDGE('',*,*,#55958,.F.); #81292=ORIENTED_EDGE('',*,*,#55959,.F.); #81293=ORIENTED_EDGE('',*,*,#55960,.F.); #81294=ORIENTED_EDGE('',*,*,#55961,.F.); #81295=ORIENTED_EDGE('',*,*,#55962,.F.); #81296=ORIENTED_EDGE('',*,*,#55963,.F.); #81297=ORIENTED_EDGE('',*,*,#55964,.F.); #81298=ORIENTED_EDGE('',*,*,#55965,.F.); #81299=ORIENTED_EDGE('',*,*,#55966,.F.); #81300=ORIENTED_EDGE('',*,*,#55931,.F.); #81301=ORIENTED_EDGE('',*,*,#55935,.F.); #81302=ORIENTED_EDGE('',*,*,#55939,.F.); #81303=ORIENTED_EDGE('',*,*,#55943,.F.); #81304=ORIENTED_EDGE('',*,*,#55944,.F.); #81305=ORIENTED_EDGE('',*,*,#55941,.F.); #81306=ORIENTED_EDGE('',*,*,#55937,.F.); #81307=ORIENTED_EDGE('',*,*,#55933,.F.); #81308=ORIENTED_EDGE('',*,*,#55967,.T.); #81309=ORIENTED_EDGE('',*,*,#55968,.T.); #81310=ORIENTED_EDGE('',*,*,#55969,.T.); #81311=ORIENTED_EDGE('',*,*,#55970,.F.); #81312=ORIENTED_EDGE('',*,*,#55971,.T.); #81313=ORIENTED_EDGE('',*,*,#55972,.T.); #81314=ORIENTED_EDGE('',*,*,#55973,.T.); #81315=ORIENTED_EDGE('',*,*,#55974,.F.); #81316=ORIENTED_EDGE('',*,*,#55975,.T.); #81317=ORIENTED_EDGE('',*,*,#55976,.T.); #81318=ORIENTED_EDGE('',*,*,#55977,.T.); #81319=ORIENTED_EDGE('',*,*,#55978,.F.); #81320=ORIENTED_EDGE('',*,*,#55975,.F.); #81321=ORIENTED_EDGE('',*,*,#55979,.F.); #81322=ORIENTED_EDGE('',*,*,#55973,.F.); #81323=ORIENTED_EDGE('',*,*,#55980,.T.); #81324=ORIENTED_EDGE('',*,*,#55981,.T.); #81325=ORIENTED_EDGE('',*,*,#55982,.T.); #81326=ORIENTED_EDGE('',*,*,#55983,.F.); #81327=ORIENTED_EDGE('',*,*,#55980,.F.); #81328=ORIENTED_EDGE('',*,*,#55984,.F.); #81329=ORIENTED_EDGE('',*,*,#55977,.F.); #81330=ORIENTED_EDGE('',*,*,#55971,.F.); #81331=ORIENTED_EDGE('',*,*,#55985,.F.); #81332=ORIENTED_EDGE('',*,*,#55969,.F.); #81333=ORIENTED_EDGE('',*,*,#55967,.F.); #81334=ORIENTED_EDGE('',*,*,#55986,.F.); #81335=ORIENTED_EDGE('',*,*,#55982,.F.); #81336=ORIENTED_EDGE('',*,*,#55968,.F.); #81337=ORIENTED_EDGE('',*,*,#55981,.F.); #81338=ORIENTED_EDGE('',*,*,#55976,.F.); #81339=ORIENTED_EDGE('',*,*,#55972,.F.); #81340=ORIENTED_EDGE('',*,*,#55987,.T.); #81341=ORIENTED_EDGE('',*,*,#55974,.T.); #81342=ORIENTED_EDGE('',*,*,#55988,.T.); #81343=ORIENTED_EDGE('',*,*,#55951,.T.); #81344=ORIENTED_EDGE('',*,*,#55989,.T.); #81345=ORIENTED_EDGE('',*,*,#55985,.T.); #81346=ORIENTED_EDGE('',*,*,#55987,.F.); #81347=ORIENTED_EDGE('',*,*,#55958,.T.); #81348=ORIENTED_EDGE('',*,*,#55990,.T.); #81349=ORIENTED_EDGE('',*,*,#55952,.T.); #81350=ORIENTED_EDGE('',*,*,#55988,.F.); #81351=ORIENTED_EDGE('',*,*,#55979,.T.); #81352=ORIENTED_EDGE('',*,*,#55991,.T.); #81353=ORIENTED_EDGE('',*,*,#55970,.T.); #81354=ORIENTED_EDGE('',*,*,#55989,.F.); #81355=ORIENTED_EDGE('',*,*,#55957,.T.); #81356=ORIENTED_EDGE('',*,*,#55992,.T.); #81357=ORIENTED_EDGE('',*,*,#55953,.T.); #81358=ORIENTED_EDGE('',*,*,#55990,.F.); #81359=ORIENTED_EDGE('',*,*,#55978,.T.); #81360=ORIENTED_EDGE('',*,*,#55993,.T.); #81361=ORIENTED_EDGE('',*,*,#55986,.T.); #81362=ORIENTED_EDGE('',*,*,#55991,.F.); #81363=ORIENTED_EDGE('',*,*,#55956,.T.); #81364=ORIENTED_EDGE('',*,*,#55994,.T.); #81365=ORIENTED_EDGE('',*,*,#55954,.T.); #81366=ORIENTED_EDGE('',*,*,#55992,.F.); #81367=ORIENTED_EDGE('',*,*,#55984,.T.); #81368=ORIENTED_EDGE('',*,*,#55993,.F.); #81369=ORIENTED_EDGE('',*,*,#55955,.T.); #81370=ORIENTED_EDGE('',*,*,#55994,.F.); #81371=ORIENTED_EDGE('',*,*,#55983,.T.); #81372=ORIENTED_EDGE('',*,*,#55995,.T.); #81373=ORIENTED_EDGE('',*,*,#55996,.T.); #81374=ORIENTED_EDGE('',*,*,#55997,.T.); #81375=ORIENTED_EDGE('',*,*,#55998,.F.); #81376=ORIENTED_EDGE('',*,*,#55999,.T.); #81377=ORIENTED_EDGE('',*,*,#56000,.T.); #81378=ORIENTED_EDGE('',*,*,#56001,.T.); #81379=ORIENTED_EDGE('',*,*,#56002,.F.); #81380=ORIENTED_EDGE('',*,*,#56003,.T.); #81381=ORIENTED_EDGE('',*,*,#56004,.T.); #81382=ORIENTED_EDGE('',*,*,#56005,.T.); #81383=ORIENTED_EDGE('',*,*,#56006,.F.); #81384=ORIENTED_EDGE('',*,*,#56003,.F.); #81385=ORIENTED_EDGE('',*,*,#56007,.F.); #81386=ORIENTED_EDGE('',*,*,#56001,.F.); #81387=ORIENTED_EDGE('',*,*,#56008,.T.); #81388=ORIENTED_EDGE('',*,*,#56009,.T.); #81389=ORIENTED_EDGE('',*,*,#56010,.T.); #81390=ORIENTED_EDGE('',*,*,#56011,.F.); #81391=ORIENTED_EDGE('',*,*,#56008,.F.); #81392=ORIENTED_EDGE('',*,*,#56012,.F.); #81393=ORIENTED_EDGE('',*,*,#56005,.F.); #81394=ORIENTED_EDGE('',*,*,#55999,.F.); #81395=ORIENTED_EDGE('',*,*,#56013,.F.); #81396=ORIENTED_EDGE('',*,*,#55997,.F.); #81397=ORIENTED_EDGE('',*,*,#55995,.F.); #81398=ORIENTED_EDGE('',*,*,#56014,.F.); #81399=ORIENTED_EDGE('',*,*,#56010,.F.); #81400=ORIENTED_EDGE('',*,*,#55996,.F.); #81401=ORIENTED_EDGE('',*,*,#56009,.F.); #81402=ORIENTED_EDGE('',*,*,#56004,.F.); #81403=ORIENTED_EDGE('',*,*,#56000,.F.); #81404=ORIENTED_EDGE('',*,*,#56015,.T.); #81405=ORIENTED_EDGE('',*,*,#55959,.T.); #81406=ORIENTED_EDGE('',*,*,#56016,.T.); #81407=ORIENTED_EDGE('',*,*,#56013,.T.); #81408=ORIENTED_EDGE('',*,*,#56017,.T.); #81409=ORIENTED_EDGE('',*,*,#55960,.T.); #81410=ORIENTED_EDGE('',*,*,#56015,.F.); #81411=ORIENTED_EDGE('',*,*,#56002,.T.); #81412=ORIENTED_EDGE('',*,*,#56018,.T.); #81413=ORIENTED_EDGE('',*,*,#55998,.T.); #81414=ORIENTED_EDGE('',*,*,#56016,.F.); #81415=ORIENTED_EDGE('',*,*,#55966,.T.); #81416=ORIENTED_EDGE('',*,*,#56019,.T.); #81417=ORIENTED_EDGE('',*,*,#55961,.T.); #81418=ORIENTED_EDGE('',*,*,#56017,.F.); #81419=ORIENTED_EDGE('',*,*,#56007,.T.); #81420=ORIENTED_EDGE('',*,*,#56020,.T.); #81421=ORIENTED_EDGE('',*,*,#56014,.T.); #81422=ORIENTED_EDGE('',*,*,#56018,.F.); #81423=ORIENTED_EDGE('',*,*,#55965,.T.); #81424=ORIENTED_EDGE('',*,*,#56021,.T.); #81425=ORIENTED_EDGE('',*,*,#55962,.T.); #81426=ORIENTED_EDGE('',*,*,#56019,.F.); #81427=ORIENTED_EDGE('',*,*,#56006,.T.); #81428=ORIENTED_EDGE('',*,*,#56022,.T.); #81429=ORIENTED_EDGE('',*,*,#56011,.T.); #81430=ORIENTED_EDGE('',*,*,#56020,.F.); #81431=ORIENTED_EDGE('',*,*,#55964,.T.); #81432=ORIENTED_EDGE('',*,*,#56021,.F.); #81433=ORIENTED_EDGE('',*,*,#56012,.T.); #81434=ORIENTED_EDGE('',*,*,#56022,.F.); #81435=ORIENTED_EDGE('',*,*,#55963,.T.); #81436=ORIENTED_EDGE('',*,*,#56023,.T.); #81437=ORIENTED_EDGE('',*,*,#56024,.T.); #81438=ORIENTED_EDGE('',*,*,#56025,.T.); #81439=ORIENTED_EDGE('',*,*,#56026,.T.); #81440=ORIENTED_EDGE('',*,*,#56024,.F.); #81441=ORIENTED_EDGE('',*,*,#56025,.F.); #81442=ORIENTED_EDGE('',*,*,#56026,.F.); #81443=ORIENTED_EDGE('',*,*,#56027,.T.); #81444=ORIENTED_EDGE('',*,*,#55733,.T.); #81445=ORIENTED_EDGE('',*,*,#55899,.T.); #81446=ORIENTED_EDGE('',*,*,#55738,.T.); #81447=ORIENTED_EDGE('',*,*,#55744,.T.); #81448=ORIENTED_EDGE('',*,*,#55900,.T.); #81449=ORIENTED_EDGE('',*,*,#55747,.T.); #81450=ORIENTED_EDGE('',*,*,#55753,.T.); #81451=ORIENTED_EDGE('',*,*,#55901,.T.); #81452=ORIENTED_EDGE('',*,*,#55756,.T.); #81453=ORIENTED_EDGE('',*,*,#55762,.T.); #81454=ORIENTED_EDGE('',*,*,#55902,.T.); #81455=ORIENTED_EDGE('',*,*,#55765,.T.); #81456=ORIENTED_EDGE('',*,*,#55771,.T.); #81457=ORIENTED_EDGE('',*,*,#55903,.T.); #81458=ORIENTED_EDGE('',*,*,#55774,.T.); #81459=ORIENTED_EDGE('',*,*,#55780,.T.); #81460=ORIENTED_EDGE('',*,*,#55904,.T.); #81461=ORIENTED_EDGE('',*,*,#55783,.T.); #81462=ORIENTED_EDGE('',*,*,#55789,.T.); #81463=ORIENTED_EDGE('',*,*,#55905,.T.); #81464=ORIENTED_EDGE('',*,*,#55792,.T.); #81465=ORIENTED_EDGE('',*,*,#55798,.T.); #81466=ORIENTED_EDGE('',*,*,#55906,.T.); #81467=ORIENTED_EDGE('',*,*,#55801,.T.); #81468=ORIENTED_EDGE('',*,*,#55807,.T.); #81469=ORIENTED_EDGE('',*,*,#56028,.T.); #81470=ORIENTED_EDGE('',*,*,#55810,.T.); #81471=ORIENTED_EDGE('',*,*,#55908,.T.); #81472=ORIENTED_EDGE('',*,*,#55888,.T.); #81473=ORIENTED_EDGE('',*,*,#55886,.T.); #81474=ORIENTED_EDGE('',*,*,#55858,.T.); #81475=ORIENTED_EDGE('',*,*,#55891,.T.); #81476=ORIENTED_EDGE('',*,*,#55882,.T.); #81477=ORIENTED_EDGE('',*,*,#55852,.T.); #81478=ORIENTED_EDGE('',*,*,#55892,.T.); #81479=ORIENTED_EDGE('',*,*,#55879,.T.); #81480=ORIENTED_EDGE('',*,*,#55846,.T.); #81481=ORIENTED_EDGE('',*,*,#55893,.T.); #81482=ORIENTED_EDGE('',*,*,#55876,.T.); #81483=ORIENTED_EDGE('',*,*,#55840,.T.); #81484=ORIENTED_EDGE('',*,*,#55894,.T.); #81485=ORIENTED_EDGE('',*,*,#55873,.T.); #81486=ORIENTED_EDGE('',*,*,#55834,.T.); #81487=ORIENTED_EDGE('',*,*,#55895,.T.); #81488=ORIENTED_EDGE('',*,*,#55870,.T.); #81489=ORIENTED_EDGE('',*,*,#55828,.T.); #81490=ORIENTED_EDGE('',*,*,#55896,.T.); #81491=ORIENTED_EDGE('',*,*,#55867,.T.); #81492=ORIENTED_EDGE('',*,*,#55822,.T.); #81493=ORIENTED_EDGE('',*,*,#55897,.T.); #81494=ORIENTED_EDGE('',*,*,#55864,.T.); #81495=ORIENTED_EDGE('',*,*,#55816,.T.); #81496=ORIENTED_EDGE('',*,*,#55898,.T.); #81497=ORIENTED_EDGE('',*,*,#55861,.T.); #81498=ORIENTED_EDGE('',*,*,#55734,.T.); #81499=ORIENTED_EDGE('',*,*,#56027,.F.); #81500=ORIENTED_EDGE('',*,*,#56023,.F.); #81501=ORIENTED_EDGE('',*,*,#56029,.F.); #81502=ORIENTED_EDGE('',*,*,#56030,.F.); #81503=ORIENTED_EDGE('',*,*,#55950,.F.); #81504=ORIENTED_EDGE('',*,*,#56031,.F.); #81505=ORIENTED_EDGE('',*,*,#55945,.F.); #81506=ORIENTED_EDGE('',*,*,#56032,.F.); #81507=ORIENTED_EDGE('',*,*,#56033,.F.); #81508=ORIENTED_EDGE('',*,*,#55946,.F.); #81509=ORIENTED_EDGE('',*,*,#56034,.F.); #81510=ORIENTED_EDGE('',*,*,#56035,.F.); #81511=ORIENTED_EDGE('',*,*,#56036,.F.); #81512=ORIENTED_EDGE('',*,*,#55949,.F.); #81513=ORIENTED_EDGE('',*,*,#56037,.F.); #81514=ORIENTED_EDGE('',*,*,#55947,.F.); #81515=ORIENTED_EDGE('',*,*,#56038,.F.); #81516=ORIENTED_EDGE('',*,*,#56039,.F.); #81517=ORIENTED_EDGE('',*,*,#55948,.F.); #81518=ORIENTED_EDGE('',*,*,#56040,.F.); #81519=ORIENTED_EDGE('',*,*,#56040,.T.); #81520=ORIENTED_EDGE('',*,*,#56037,.T.); #81521=ORIENTED_EDGE('',*,*,#56041,.F.); #81522=ORIENTED_EDGE('',*,*,#56042,.F.); #81523=ORIENTED_EDGE('',*,*,#56043,.T.); #81524=ORIENTED_EDGE('',*,*,#56038,.T.); #81525=ORIENTED_EDGE('',*,*,#56033,.T.); #81526=ORIENTED_EDGE('',*,*,#56044,.F.); #81527=ORIENTED_EDGE('',*,*,#56045,.F.); #81528=ORIENTED_EDGE('',*,*,#56041,.T.); #81529=ORIENTED_EDGE('',*,*,#56034,.T.); #81530=ORIENTED_EDGE('',*,*,#56031,.T.); #81531=ORIENTED_EDGE('',*,*,#56046,.F.); #81532=ORIENTED_EDGE('',*,*,#56047,.F.); #81533=ORIENTED_EDGE('',*,*,#56044,.T.); #81534=ORIENTED_EDGE('',*,*,#56039,.T.); #81535=ORIENTED_EDGE('',*,*,#56043,.F.); #81536=ORIENTED_EDGE('',*,*,#56048,.F.); #81537=ORIENTED_EDGE('',*,*,#56049,.F.); #81538=ORIENTED_EDGE('',*,*,#56050,.T.); #81539=ORIENTED_EDGE('',*,*,#56035,.T.); #81540=ORIENTED_EDGE('',*,*,#56036,.T.); #81541=ORIENTED_EDGE('',*,*,#56050,.F.); #81542=ORIENTED_EDGE('',*,*,#56051,.F.); #81543=ORIENTED_EDGE('',*,*,#56052,.T.); #81544=ORIENTED_EDGE('',*,*,#56029,.T.); #81545=ORIENTED_EDGE('',*,*,#56030,.T.); #81546=ORIENTED_EDGE('',*,*,#56052,.F.); #81547=ORIENTED_EDGE('',*,*,#56053,.F.); #81548=ORIENTED_EDGE('',*,*,#56046,.T.); #81549=ORIENTED_EDGE('',*,*,#56032,.T.); #81550=ORIENTED_EDGE('',*,*,#56054,.F.); #81551=ORIENTED_EDGE('',*,*,#56055,.F.); #81552=ORIENTED_EDGE('',*,*,#56056,.T.); #81553=ORIENTED_EDGE('',*,*,#56048,.T.); #81554=ORIENTED_EDGE('',*,*,#56042,.T.); #81555=ORIENTED_EDGE('',*,*,#56045,.T.); #81556=ORIENTED_EDGE('',*,*,#56047,.T.); #81557=ORIENTED_EDGE('',*,*,#56053,.T.); #81558=ORIENTED_EDGE('',*,*,#56051,.T.); #81559=ORIENTED_EDGE('',*,*,#56049,.T.); #81560=ORIENTED_EDGE('',*,*,#56056,.F.); #81561=ORIENTED_EDGE('',*,*,#55803,.F.); #81562=ORIENTED_EDGE('',*,*,#55799,.F.); #81563=ORIENTED_EDGE('',*,*,#55794,.F.); #81564=ORIENTED_EDGE('',*,*,#55790,.F.); #81565=ORIENTED_EDGE('',*,*,#55785,.F.); #81566=ORIENTED_EDGE('',*,*,#55781,.F.); #81567=ORIENTED_EDGE('',*,*,#55776,.F.); #81568=ORIENTED_EDGE('',*,*,#55772,.F.); #81569=ORIENTED_EDGE('',*,*,#55767,.F.); #81570=ORIENTED_EDGE('',*,*,#55763,.F.); #81571=ORIENTED_EDGE('',*,*,#55758,.F.); #81572=ORIENTED_EDGE('',*,*,#55754,.F.); #81573=ORIENTED_EDGE('',*,*,#55749,.F.); #81574=ORIENTED_EDGE('',*,*,#55745,.F.); #81575=ORIENTED_EDGE('',*,*,#55740,.F.); #81576=ORIENTED_EDGE('',*,*,#55736,.F.); #81577=ORIENTED_EDGE('',*,*,#55729,.F.); #81578=ORIENTED_EDGE('',*,*,#56057,.T.); #81579=ORIENTED_EDGE('',*,*,#56054,.T.); #81580=ORIENTED_EDGE('',*,*,#56055,.T.); #81581=ORIENTED_EDGE('',*,*,#56057,.F.); #81582=ORIENTED_EDGE('',*,*,#55728,.F.); #81583=ORIENTED_EDGE('',*,*,#55860,.F.); #81584=ORIENTED_EDGE('',*,*,#55812,.F.); #81585=ORIENTED_EDGE('',*,*,#55863,.F.); #81586=ORIENTED_EDGE('',*,*,#55818,.F.); #81587=ORIENTED_EDGE('',*,*,#55866,.F.); #81588=ORIENTED_EDGE('',*,*,#55824,.F.); #81589=ORIENTED_EDGE('',*,*,#55869,.F.); #81590=ORIENTED_EDGE('',*,*,#55830,.F.); #81591=ORIENTED_EDGE('',*,*,#55872,.F.); #81592=ORIENTED_EDGE('',*,*,#55836,.F.); #81593=ORIENTED_EDGE('',*,*,#55875,.F.); #81594=ORIENTED_EDGE('',*,*,#55842,.F.); #81595=ORIENTED_EDGE('',*,*,#55878,.F.); #81596=ORIENTED_EDGE('',*,*,#55848,.F.); #81597=ORIENTED_EDGE('',*,*,#55881,.F.); #81598=ORIENTED_EDGE('',*,*,#55854,.F.); #81599=ORIENTED_EDGE('',*,*,#55885,.F.); #81600=ORIENTED_EDGE('',*,*,#55907,.F.); #81601=ORIENTED_EDGE('',*,*,#55808,.F.); #81602=ORIENTED_EDGE('',*,*,#55806,.T.); #81603=ORIENTED_EDGE('',*,*,#55805,.T.); #81604=ORIENTED_EDGE('',*,*,#55811,.T.); #81605=ORIENTED_EDGE('',*,*,#56028,.F.); #81606=ORIENTED_EDGE('',*,*,#56058,.F.); #81607=ORIENTED_EDGE('',*,*,#56059,.F.); #81608=ORIENTED_EDGE('',*,*,#56060,.F.); #81609=ORIENTED_EDGE('',*,*,#56061,.T.); #81610=ORIENTED_EDGE('',*,*,#56062,.T.); #81611=ORIENTED_EDGE('',*,*,#56063,.F.); #81612=ORIENTED_EDGE('',*,*,#56064,.F.); #81613=ORIENTED_EDGE('',*,*,#56065,.T.); #81614=ORIENTED_EDGE('',*,*,#56066,.F.); #81615=ORIENTED_EDGE('',*,*,#56067,.T.); #81616=ORIENTED_EDGE('',*,*,#56068,.F.); #81617=ORIENTED_EDGE('',*,*,#56069,.F.); #81618=ORIENTED_EDGE('',*,*,#56070,.F.); #81619=ORIENTED_EDGE('',*,*,#56062,.F.); #81620=ORIENTED_EDGE('',*,*,#56071,.F.); #81621=ORIENTED_EDGE('',*,*,#56072,.T.); #81622=ORIENTED_EDGE('',*,*,#56068,.T.); #81623=ORIENTED_EDGE('',*,*,#56061,.F.); #81624=ORIENTED_EDGE('',*,*,#56073,.F.); #81625=ORIENTED_EDGE('',*,*,#56065,.F.); #81626=ORIENTED_EDGE('',*,*,#56074,.F.); #81627=ORIENTED_EDGE('',*,*,#56063,.T.); #81628=ORIENTED_EDGE('',*,*,#56070,.T.); #81629=ORIENTED_EDGE('',*,*,#56075,.F.); #81630=ORIENTED_EDGE('',*,*,#56076,.F.); #81631=ORIENTED_EDGE('',*,*,#56067,.F.); #81632=ORIENTED_EDGE('',*,*,#56077,.F.); #81633=ORIENTED_EDGE('',*,*,#56075,.T.); #81634=ORIENTED_EDGE('',*,*,#56069,.T.); #81635=ORIENTED_EDGE('',*,*,#56072,.F.); #81636=ORIENTED_EDGE('',*,*,#56078,.F.); #81637=ORIENTED_EDGE('',*,*,#56079,.F.); #81638=ORIENTED_EDGE('',*,*,#56080,.F.); #81639=ORIENTED_EDGE('',*,*,#56081,.F.); #81640=ORIENTED_EDGE('',*,*,#56082,.F.); #81641=ORIENTED_EDGE('',*,*,#56083,.T.); #81642=ORIENTED_EDGE('',*,*,#56084,.T.); #81643=ORIENTED_EDGE('',*,*,#56085,.F.); #81644=ORIENTED_EDGE('',*,*,#56086,.F.); #81645=ORIENTED_EDGE('',*,*,#56087,.T.); #81646=ORIENTED_EDGE('',*,*,#56088,.F.); #81647=ORIENTED_EDGE('',*,*,#56089,.T.); #81648=ORIENTED_EDGE('',*,*,#56090,.F.); #81649=ORIENTED_EDGE('',*,*,#56091,.F.); #81650=ORIENTED_EDGE('',*,*,#56092,.F.); #81651=ORIENTED_EDGE('',*,*,#56084,.F.); #81652=ORIENTED_EDGE('',*,*,#56093,.F.); #81653=ORIENTED_EDGE('',*,*,#56094,.T.); #81654=ORIENTED_EDGE('',*,*,#56090,.T.); #81655=ORIENTED_EDGE('',*,*,#56083,.F.); #81656=ORIENTED_EDGE('',*,*,#56095,.F.); #81657=ORIENTED_EDGE('',*,*,#56087,.F.); #81658=ORIENTED_EDGE('',*,*,#56096,.F.); #81659=ORIENTED_EDGE('',*,*,#56085,.T.); #81660=ORIENTED_EDGE('',*,*,#56092,.T.); #81661=ORIENTED_EDGE('',*,*,#56097,.F.); #81662=ORIENTED_EDGE('',*,*,#56098,.F.); #81663=ORIENTED_EDGE('',*,*,#56089,.F.); #81664=ORIENTED_EDGE('',*,*,#56099,.F.); #81665=ORIENTED_EDGE('',*,*,#56097,.T.); #81666=ORIENTED_EDGE('',*,*,#56091,.T.); #81667=ORIENTED_EDGE('',*,*,#56094,.F.); #81668=ORIENTED_EDGE('',*,*,#56100,.F.); #81669=ORIENTED_EDGE('',*,*,#56101,.F.); #81670=ORIENTED_EDGE('',*,*,#56102,.F.); #81671=ORIENTED_EDGE('',*,*,#56103,.F.); #81672=ORIENTED_EDGE('',*,*,#56104,.F.); #81673=ORIENTED_EDGE('',*,*,#56105,.T.); #81674=ORIENTED_EDGE('',*,*,#56106,.T.); #81675=ORIENTED_EDGE('',*,*,#56107,.F.); #81676=ORIENTED_EDGE('',*,*,#56108,.F.); #81677=ORIENTED_EDGE('',*,*,#56109,.T.); #81678=ORIENTED_EDGE('',*,*,#56110,.F.); #81679=ORIENTED_EDGE('',*,*,#56111,.T.); #81680=ORIENTED_EDGE('',*,*,#56112,.F.); #81681=ORIENTED_EDGE('',*,*,#56113,.F.); #81682=ORIENTED_EDGE('',*,*,#56114,.F.); #81683=ORIENTED_EDGE('',*,*,#56106,.F.); #81684=ORIENTED_EDGE('',*,*,#56115,.F.); #81685=ORIENTED_EDGE('',*,*,#56116,.T.); #81686=ORIENTED_EDGE('',*,*,#56112,.T.); #81687=ORIENTED_EDGE('',*,*,#56105,.F.); #81688=ORIENTED_EDGE('',*,*,#56117,.F.); #81689=ORIENTED_EDGE('',*,*,#56109,.F.); #81690=ORIENTED_EDGE('',*,*,#56118,.F.); #81691=ORIENTED_EDGE('',*,*,#56107,.T.); #81692=ORIENTED_EDGE('',*,*,#56114,.T.); #81693=ORIENTED_EDGE('',*,*,#56119,.F.); #81694=ORIENTED_EDGE('',*,*,#56120,.F.); #81695=ORIENTED_EDGE('',*,*,#56111,.F.); #81696=ORIENTED_EDGE('',*,*,#56121,.F.); #81697=ORIENTED_EDGE('',*,*,#56119,.T.); #81698=ORIENTED_EDGE('',*,*,#56113,.T.); #81699=ORIENTED_EDGE('',*,*,#56116,.F.); #81700=ORIENTED_EDGE('',*,*,#56122,.F.); #81701=ORIENTED_EDGE('',*,*,#56123,.F.); #81702=ORIENTED_EDGE('',*,*,#56124,.F.); #81703=ORIENTED_EDGE('',*,*,#56125,.F.); #81704=ORIENTED_EDGE('',*,*,#56126,.F.); #81705=ORIENTED_EDGE('',*,*,#56127,.T.); #81706=ORIENTED_EDGE('',*,*,#56128,.T.); #81707=ORIENTED_EDGE('',*,*,#56129,.F.); #81708=ORIENTED_EDGE('',*,*,#56130,.F.); #81709=ORIENTED_EDGE('',*,*,#56131,.T.); #81710=ORIENTED_EDGE('',*,*,#56132,.F.); #81711=ORIENTED_EDGE('',*,*,#56133,.T.); #81712=ORIENTED_EDGE('',*,*,#56134,.F.); #81713=ORIENTED_EDGE('',*,*,#56135,.F.); #81714=ORIENTED_EDGE('',*,*,#56136,.F.); #81715=ORIENTED_EDGE('',*,*,#56128,.F.); #81716=ORIENTED_EDGE('',*,*,#56137,.F.); #81717=ORIENTED_EDGE('',*,*,#56138,.T.); #81718=ORIENTED_EDGE('',*,*,#56134,.T.); #81719=ORIENTED_EDGE('',*,*,#56127,.F.); #81720=ORIENTED_EDGE('',*,*,#56139,.F.); #81721=ORIENTED_EDGE('',*,*,#56131,.F.); #81722=ORIENTED_EDGE('',*,*,#56140,.F.); #81723=ORIENTED_EDGE('',*,*,#56129,.T.); #81724=ORIENTED_EDGE('',*,*,#56136,.T.); #81725=ORIENTED_EDGE('',*,*,#56141,.F.); #81726=ORIENTED_EDGE('',*,*,#56142,.F.); #81727=ORIENTED_EDGE('',*,*,#56133,.F.); #81728=ORIENTED_EDGE('',*,*,#56143,.F.); #81729=ORIENTED_EDGE('',*,*,#56141,.T.); #81730=ORIENTED_EDGE('',*,*,#56135,.T.); #81731=ORIENTED_EDGE('',*,*,#56138,.F.); #81732=ORIENTED_EDGE('',*,*,#56144,.F.); #81733=ORIENTED_EDGE('',*,*,#56145,.F.); #81734=ORIENTED_EDGE('',*,*,#56146,.F.); #81735=ORIENTED_EDGE('',*,*,#56147,.F.); #81736=ORIENTED_EDGE('',*,*,#56148,.F.); #81737=ORIENTED_EDGE('',*,*,#56149,.T.); #81738=ORIENTED_EDGE('',*,*,#56150,.T.); #81739=ORIENTED_EDGE('',*,*,#56151,.F.); #81740=ORIENTED_EDGE('',*,*,#56152,.F.); #81741=ORIENTED_EDGE('',*,*,#56153,.T.); #81742=ORIENTED_EDGE('',*,*,#56154,.F.); #81743=ORIENTED_EDGE('',*,*,#56155,.T.); #81744=ORIENTED_EDGE('',*,*,#56156,.F.); #81745=ORIENTED_EDGE('',*,*,#56157,.F.); #81746=ORIENTED_EDGE('',*,*,#56158,.F.); #81747=ORIENTED_EDGE('',*,*,#56150,.F.); #81748=ORIENTED_EDGE('',*,*,#56159,.F.); #81749=ORIENTED_EDGE('',*,*,#56160,.T.); #81750=ORIENTED_EDGE('',*,*,#56156,.T.); #81751=ORIENTED_EDGE('',*,*,#56149,.F.); #81752=ORIENTED_EDGE('',*,*,#56161,.F.); #81753=ORIENTED_EDGE('',*,*,#56153,.F.); #81754=ORIENTED_EDGE('',*,*,#56162,.F.); #81755=ORIENTED_EDGE('',*,*,#56151,.T.); #81756=ORIENTED_EDGE('',*,*,#56158,.T.); #81757=ORIENTED_EDGE('',*,*,#56163,.F.); #81758=ORIENTED_EDGE('',*,*,#56164,.F.); #81759=ORIENTED_EDGE('',*,*,#56155,.F.); #81760=ORIENTED_EDGE('',*,*,#56165,.F.); #81761=ORIENTED_EDGE('',*,*,#56163,.T.); #81762=ORIENTED_EDGE('',*,*,#56157,.T.); #81763=ORIENTED_EDGE('',*,*,#56160,.F.); #81764=ORIENTED_EDGE('',*,*,#56166,.F.); #81765=ORIENTED_EDGE('',*,*,#56167,.F.); #81766=ORIENTED_EDGE('',*,*,#56168,.T.); #81767=ORIENTED_EDGE('',*,*,#56169,.T.); #81768=ORIENTED_EDGE('',*,*,#56170,.T.); #81769=ORIENTED_EDGE('',*,*,#56171,.T.); #81770=ORIENTED_EDGE('',*,*,#56172,.T.); #81771=ORIENTED_EDGE('',*,*,#56173,.T.); #81772=ORIENTED_EDGE('',*,*,#56174,.T.); #81773=ORIENTED_EDGE('',*,*,#56175,.T.); #81774=ORIENTED_EDGE('',*,*,#56176,.T.); #81775=ORIENTED_EDGE('',*,*,#56177,.T.); #81776=ORIENTED_EDGE('',*,*,#56178,.T.); #81777=ORIENTED_EDGE('',*,*,#56179,.T.); #81778=ORIENTED_EDGE('',*,*,#56078,.T.); #81779=ORIENTED_EDGE('',*,*,#56071,.T.); #81780=ORIENTED_EDGE('',*,*,#56180,.F.); #81781=ORIENTED_EDGE('',*,*,#56073,.T.); #81782=ORIENTED_EDGE('',*,*,#56060,.T.); #81783=ORIENTED_EDGE('',*,*,#56181,.F.); #81784=ORIENTED_EDGE('',*,*,#56182,.T.); #81785=ORIENTED_EDGE('',*,*,#56183,.T.); #81786=ORIENTED_EDGE('',*,*,#56184,.F.); #81787=ORIENTED_EDGE('',*,*,#56185,.T.); #81788=ORIENTED_EDGE('',*,*,#56186,.T.); #81789=ORIENTED_EDGE('',*,*,#56187,.F.); #81790=ORIENTED_EDGE('',*,*,#56166,.T.); #81791=ORIENTED_EDGE('',*,*,#56159,.T.); #81792=ORIENTED_EDGE('',*,*,#56188,.F.); #81793=ORIENTED_EDGE('',*,*,#56161,.T.); #81794=ORIENTED_EDGE('',*,*,#56148,.T.); #81795=ORIENTED_EDGE('',*,*,#56189,.F.); #81796=ORIENTED_EDGE('',*,*,#56144,.T.); #81797=ORIENTED_EDGE('',*,*,#56137,.T.); #81798=ORIENTED_EDGE('',*,*,#56190,.F.); #81799=ORIENTED_EDGE('',*,*,#56139,.T.); #81800=ORIENTED_EDGE('',*,*,#56126,.T.); #81801=ORIENTED_EDGE('',*,*,#56191,.F.); #81802=ORIENTED_EDGE('',*,*,#56122,.T.); #81803=ORIENTED_EDGE('',*,*,#56115,.T.); #81804=ORIENTED_EDGE('',*,*,#56192,.F.); #81805=ORIENTED_EDGE('',*,*,#56117,.T.); #81806=ORIENTED_EDGE('',*,*,#56104,.T.); #81807=ORIENTED_EDGE('',*,*,#56193,.F.); #81808=ORIENTED_EDGE('',*,*,#56100,.T.); #81809=ORIENTED_EDGE('',*,*,#56093,.T.); #81810=ORIENTED_EDGE('',*,*,#56194,.F.); #81811=ORIENTED_EDGE('',*,*,#56095,.T.); #81812=ORIENTED_EDGE('',*,*,#56082,.T.); #81813=ORIENTED_EDGE('',*,*,#56195,.F.); #81814=ORIENTED_EDGE('',*,*,#56152,.T.); #81815=ORIENTED_EDGE('',*,*,#56196,.F.); #81816=ORIENTED_EDGE('',*,*,#56154,.T.); #81817=ORIENTED_EDGE('',*,*,#56188,.T.); #81818=ORIENTED_EDGE('',*,*,#56167,.T.); #81819=ORIENTED_EDGE('',*,*,#56187,.T.); #81820=ORIENTED_EDGE('',*,*,#56197,.T.); #81821=ORIENTED_EDGE('',*,*,#56198,.F.); #81822=ORIENTED_EDGE('',*,*,#56130,.T.); #81823=ORIENTED_EDGE('',*,*,#56199,.F.); #81824=ORIENTED_EDGE('',*,*,#56132,.T.); #81825=ORIENTED_EDGE('',*,*,#56190,.T.); #81826=ORIENTED_EDGE('',*,*,#56145,.T.); #81827=ORIENTED_EDGE('',*,*,#56189,.T.); #81828=ORIENTED_EDGE('',*,*,#56147,.T.); #81829=ORIENTED_EDGE('',*,*,#56200,.F.); #81830=ORIENTED_EDGE('',*,*,#56108,.T.); #81831=ORIENTED_EDGE('',*,*,#56201,.F.); #81832=ORIENTED_EDGE('',*,*,#56110,.T.); #81833=ORIENTED_EDGE('',*,*,#56192,.T.); #81834=ORIENTED_EDGE('',*,*,#56123,.T.); #81835=ORIENTED_EDGE('',*,*,#56191,.T.); #81836=ORIENTED_EDGE('',*,*,#56125,.T.); #81837=ORIENTED_EDGE('',*,*,#56202,.F.); #81838=ORIENTED_EDGE('',*,*,#56086,.T.); #81839=ORIENTED_EDGE('',*,*,#56203,.F.); #81840=ORIENTED_EDGE('',*,*,#56088,.T.); #81841=ORIENTED_EDGE('',*,*,#56194,.T.); #81842=ORIENTED_EDGE('',*,*,#56101,.T.); #81843=ORIENTED_EDGE('',*,*,#56193,.T.); #81844=ORIENTED_EDGE('',*,*,#56103,.T.); #81845=ORIENTED_EDGE('',*,*,#56204,.F.); #81846=ORIENTED_EDGE('',*,*,#56059,.T.); #81847=ORIENTED_EDGE('',*,*,#56205,.F.); #81848=ORIENTED_EDGE('',*,*,#56206,.T.); #81849=ORIENTED_EDGE('',*,*,#56181,.T.); #81850=ORIENTED_EDGE('',*,*,#56064,.T.); #81851=ORIENTED_EDGE('',*,*,#56207,.F.); #81852=ORIENTED_EDGE('',*,*,#56066,.T.); #81853=ORIENTED_EDGE('',*,*,#56180,.T.); #81854=ORIENTED_EDGE('',*,*,#56079,.T.); #81855=ORIENTED_EDGE('',*,*,#56195,.T.); #81856=ORIENTED_EDGE('',*,*,#56081,.T.); #81857=ORIENTED_EDGE('',*,*,#56208,.F.); #81858=ORIENTED_EDGE('',*,*,#56209,.F.); #81859=ORIENTED_EDGE('',*,*,#56197,.F.); #81860=ORIENTED_EDGE('',*,*,#56186,.F.); #81861=ORIENTED_EDGE('',*,*,#56210,.T.); #81862=ORIENTED_EDGE('',*,*,#56211,.T.); #81863=ORIENTED_EDGE('',*,*,#56212,.F.); #81864=ORIENTED_EDGE('',*,*,#56213,.F.); #81865=ORIENTED_EDGE('',*,*,#56214,.T.); #81866=ORIENTED_EDGE('',*,*,#56215,.F.); #81867=ORIENTED_EDGE('',*,*,#56216,.T.); #81868=ORIENTED_EDGE('',*,*,#56217,.F.); #81869=ORIENTED_EDGE('',*,*,#56218,.F.); #81870=ORIENTED_EDGE('',*,*,#56219,.F.); #81871=ORIENTED_EDGE('',*,*,#56211,.F.); #81872=ORIENTED_EDGE('',*,*,#56183,.F.); #81873=ORIENTED_EDGE('',*,*,#56220,.T.); #81874=ORIENTED_EDGE('',*,*,#56217,.T.); #81875=ORIENTED_EDGE('',*,*,#56210,.F.); #81876=ORIENTED_EDGE('',*,*,#56185,.F.); #81877=ORIENTED_EDGE('',*,*,#56214,.F.); #81878=ORIENTED_EDGE('',*,*,#56221,.F.); #81879=ORIENTED_EDGE('',*,*,#56212,.T.); #81880=ORIENTED_EDGE('',*,*,#56219,.T.); #81881=ORIENTED_EDGE('',*,*,#56222,.F.); #81882=ORIENTED_EDGE('',*,*,#56223,.F.); #81883=ORIENTED_EDGE('',*,*,#56216,.F.); #81884=ORIENTED_EDGE('',*,*,#56224,.F.); #81885=ORIENTED_EDGE('',*,*,#56222,.T.); #81886=ORIENTED_EDGE('',*,*,#56218,.T.); #81887=ORIENTED_EDGE('',*,*,#56220,.F.); #81888=ORIENTED_EDGE('',*,*,#56182,.F.); #81889=ORIENTED_EDGE('',*,*,#56206,.F.); #81890=ORIENTED_EDGE('',*,*,#56213,.T.); #81891=ORIENTED_EDGE('',*,*,#56225,.F.); #81892=ORIENTED_EDGE('',*,*,#56215,.T.); #81893=ORIENTED_EDGE('',*,*,#56184,.T.); #81894=ORIENTED_EDGE('',*,*,#56226,.F.); #81895=ORIENTED_EDGE('',*,*,#56227,.T.); #81896=ORIENTED_EDGE('',*,*,#56228,.T.); #81897=ORIENTED_EDGE('',*,*,#56229,.F.); #81898=ORIENTED_EDGE('',*,*,#56230,.F.); #81899=ORIENTED_EDGE('',*,*,#56231,.F.); #81900=ORIENTED_EDGE('',*,*,#56232,.F.); #81901=ORIENTED_EDGE('',*,*,#56228,.F.); #81902=ORIENTED_EDGE('',*,*,#56233,.F.); #81903=ORIENTED_EDGE('',*,*,#56234,.T.); #81904=ORIENTED_EDGE('',*,*,#56230,.T.); #81905=ORIENTED_EDGE('',*,*,#56227,.F.); #81906=ORIENTED_EDGE('',*,*,#56235,.F.); #81907=ORIENTED_EDGE('',*,*,#56236,.F.); #81908=ORIENTED_EDGE('',*,*,#56229,.T.); #81909=ORIENTED_EDGE('',*,*,#56232,.T.); #81910=ORIENTED_EDGE('',*,*,#56237,.F.); #81911=ORIENTED_EDGE('',*,*,#56238,.F.); #81912=ORIENTED_EDGE('',*,*,#56237,.T.); #81913=ORIENTED_EDGE('',*,*,#56231,.T.); #81914=ORIENTED_EDGE('',*,*,#56234,.F.); #81915=ORIENTED_EDGE('',*,*,#56239,.F.); #81916=ORIENTED_EDGE('',*,*,#56240,.F.); #81917=ORIENTED_EDGE('',*,*,#56241,.T.); #81918=ORIENTED_EDGE('',*,*,#56242,.T.); #81919=ORIENTED_EDGE('',*,*,#56243,.F.); #81920=ORIENTED_EDGE('',*,*,#56244,.F.); #81921=ORIENTED_EDGE('',*,*,#56245,.F.); #81922=ORIENTED_EDGE('',*,*,#56246,.F.); #81923=ORIENTED_EDGE('',*,*,#56242,.F.); #81924=ORIENTED_EDGE('',*,*,#56247,.F.); #81925=ORIENTED_EDGE('',*,*,#56248,.T.); #81926=ORIENTED_EDGE('',*,*,#56244,.T.); #81927=ORIENTED_EDGE('',*,*,#56241,.F.); #81928=ORIENTED_EDGE('',*,*,#56249,.F.); #81929=ORIENTED_EDGE('',*,*,#56250,.F.); #81930=ORIENTED_EDGE('',*,*,#56243,.T.); #81931=ORIENTED_EDGE('',*,*,#56246,.T.); #81932=ORIENTED_EDGE('',*,*,#56251,.F.); #81933=ORIENTED_EDGE('',*,*,#56252,.F.); #81934=ORIENTED_EDGE('',*,*,#56251,.T.); #81935=ORIENTED_EDGE('',*,*,#56245,.T.); #81936=ORIENTED_EDGE('',*,*,#56248,.F.); #81937=ORIENTED_EDGE('',*,*,#56253,.F.); #81938=ORIENTED_EDGE('',*,*,#56254,.F.); #81939=ORIENTED_EDGE('',*,*,#56255,.T.); #81940=ORIENTED_EDGE('',*,*,#56256,.T.); #81941=ORIENTED_EDGE('',*,*,#56257,.F.); #81942=ORIENTED_EDGE('',*,*,#56258,.F.); #81943=ORIENTED_EDGE('',*,*,#56259,.F.); #81944=ORIENTED_EDGE('',*,*,#56260,.F.); #81945=ORIENTED_EDGE('',*,*,#56256,.F.); #81946=ORIENTED_EDGE('',*,*,#56261,.F.); #81947=ORIENTED_EDGE('',*,*,#56262,.T.); #81948=ORIENTED_EDGE('',*,*,#56258,.T.); #81949=ORIENTED_EDGE('',*,*,#56255,.F.); #81950=ORIENTED_EDGE('',*,*,#56263,.F.); #81951=ORIENTED_EDGE('',*,*,#56264,.F.); #81952=ORIENTED_EDGE('',*,*,#56257,.T.); #81953=ORIENTED_EDGE('',*,*,#56260,.T.); #81954=ORIENTED_EDGE('',*,*,#56265,.F.); #81955=ORIENTED_EDGE('',*,*,#56266,.F.); #81956=ORIENTED_EDGE('',*,*,#56265,.T.); #81957=ORIENTED_EDGE('',*,*,#56259,.T.); #81958=ORIENTED_EDGE('',*,*,#56262,.F.); #81959=ORIENTED_EDGE('',*,*,#56267,.F.); #81960=ORIENTED_EDGE('',*,*,#56268,.F.); #81961=ORIENTED_EDGE('',*,*,#56269,.T.); #81962=ORIENTED_EDGE('',*,*,#56270,.T.); #81963=ORIENTED_EDGE('',*,*,#56271,.F.); #81964=ORIENTED_EDGE('',*,*,#56272,.F.); #81965=ORIENTED_EDGE('',*,*,#56273,.F.); #81966=ORIENTED_EDGE('',*,*,#56274,.F.); #81967=ORIENTED_EDGE('',*,*,#56270,.F.); #81968=ORIENTED_EDGE('',*,*,#56275,.F.); #81969=ORIENTED_EDGE('',*,*,#56276,.T.); #81970=ORIENTED_EDGE('',*,*,#56272,.T.); #81971=ORIENTED_EDGE('',*,*,#56269,.F.); #81972=ORIENTED_EDGE('',*,*,#56277,.F.); #81973=ORIENTED_EDGE('',*,*,#56278,.F.); #81974=ORIENTED_EDGE('',*,*,#56271,.T.); #81975=ORIENTED_EDGE('',*,*,#56274,.T.); #81976=ORIENTED_EDGE('',*,*,#56279,.F.); #81977=ORIENTED_EDGE('',*,*,#56280,.F.); #81978=ORIENTED_EDGE('',*,*,#56279,.T.); #81979=ORIENTED_EDGE('',*,*,#56273,.T.); #81980=ORIENTED_EDGE('',*,*,#56276,.F.); #81981=ORIENTED_EDGE('',*,*,#56281,.F.); #81982=ORIENTED_EDGE('',*,*,#56282,.F.); #81983=ORIENTED_EDGE('',*,*,#56283,.T.); #81984=ORIENTED_EDGE('',*,*,#56284,.T.); #81985=ORIENTED_EDGE('',*,*,#56285,.F.); #81986=ORIENTED_EDGE('',*,*,#56286,.F.); #81987=ORIENTED_EDGE('',*,*,#56287,.F.); #81988=ORIENTED_EDGE('',*,*,#56288,.F.); #81989=ORIENTED_EDGE('',*,*,#56284,.F.); #81990=ORIENTED_EDGE('',*,*,#56289,.F.); #81991=ORIENTED_EDGE('',*,*,#56290,.T.); #81992=ORIENTED_EDGE('',*,*,#56286,.T.); #81993=ORIENTED_EDGE('',*,*,#56283,.F.); #81994=ORIENTED_EDGE('',*,*,#56291,.F.); #81995=ORIENTED_EDGE('',*,*,#56292,.F.); #81996=ORIENTED_EDGE('',*,*,#56285,.T.); #81997=ORIENTED_EDGE('',*,*,#56288,.T.); #81998=ORIENTED_EDGE('',*,*,#56293,.F.); #81999=ORIENTED_EDGE('',*,*,#56294,.F.); #82000=ORIENTED_EDGE('',*,*,#56293,.T.); #82001=ORIENTED_EDGE('',*,*,#56287,.T.); #82002=ORIENTED_EDGE('',*,*,#56290,.F.); #82003=ORIENTED_EDGE('',*,*,#56295,.F.); #82004=ORIENTED_EDGE('',*,*,#56296,.F.); #82005=ORIENTED_EDGE('',*,*,#56297,.T.); #82006=ORIENTED_EDGE('',*,*,#56298,.T.); #82007=ORIENTED_EDGE('',*,*,#56299,.F.); #82008=ORIENTED_EDGE('',*,*,#56300,.F.); #82009=ORIENTED_EDGE('',*,*,#56301,.F.); #82010=ORIENTED_EDGE('',*,*,#56302,.F.); #82011=ORIENTED_EDGE('',*,*,#56298,.F.); #82012=ORIENTED_EDGE('',*,*,#56303,.F.); #82013=ORIENTED_EDGE('',*,*,#56304,.T.); #82014=ORIENTED_EDGE('',*,*,#56300,.T.); #82015=ORIENTED_EDGE('',*,*,#56297,.F.); #82016=ORIENTED_EDGE('',*,*,#56305,.F.); #82017=ORIENTED_EDGE('',*,*,#56306,.F.); #82018=ORIENTED_EDGE('',*,*,#56299,.T.); #82019=ORIENTED_EDGE('',*,*,#56302,.T.); #82020=ORIENTED_EDGE('',*,*,#56307,.F.); #82021=ORIENTED_EDGE('',*,*,#56308,.F.); #82022=ORIENTED_EDGE('',*,*,#56307,.T.); #82023=ORIENTED_EDGE('',*,*,#56301,.T.); #82024=ORIENTED_EDGE('',*,*,#56304,.F.); #82025=ORIENTED_EDGE('',*,*,#56309,.F.); #82026=ORIENTED_EDGE('',*,*,#56310,.F.); #82027=ORIENTED_EDGE('',*,*,#56311,.T.); #82028=ORIENTED_EDGE('',*,*,#56312,.T.); #82029=ORIENTED_EDGE('',*,*,#56313,.F.); #82030=ORIENTED_EDGE('',*,*,#56314,.F.); #82031=ORIENTED_EDGE('',*,*,#56315,.F.); #82032=ORIENTED_EDGE('',*,*,#56316,.F.); #82033=ORIENTED_EDGE('',*,*,#56312,.F.); #82034=ORIENTED_EDGE('',*,*,#56317,.F.); #82035=ORIENTED_EDGE('',*,*,#56318,.T.); #82036=ORIENTED_EDGE('',*,*,#56314,.T.); #82037=ORIENTED_EDGE('',*,*,#56311,.F.); #82038=ORIENTED_EDGE('',*,*,#56319,.F.); #82039=ORIENTED_EDGE('',*,*,#56320,.F.); #82040=ORIENTED_EDGE('',*,*,#56313,.T.); #82041=ORIENTED_EDGE('',*,*,#56316,.T.); #82042=ORIENTED_EDGE('',*,*,#56321,.F.); #82043=ORIENTED_EDGE('',*,*,#56322,.F.); #82044=ORIENTED_EDGE('',*,*,#56321,.T.); #82045=ORIENTED_EDGE('',*,*,#56315,.T.); #82046=ORIENTED_EDGE('',*,*,#56318,.F.); #82047=ORIENTED_EDGE('',*,*,#56323,.F.); #82048=ORIENTED_EDGE('',*,*,#56324,.F.); #82049=ORIENTED_EDGE('',*,*,#56325,.T.); #82050=ORIENTED_EDGE('',*,*,#56326,.T.); #82051=ORIENTED_EDGE('',*,*,#56327,.F.); #82052=ORIENTED_EDGE('',*,*,#56328,.F.); #82053=ORIENTED_EDGE('',*,*,#56329,.F.); #82054=ORIENTED_EDGE('',*,*,#56330,.F.); #82055=ORIENTED_EDGE('',*,*,#56326,.F.); #82056=ORIENTED_EDGE('',*,*,#56331,.F.); #82057=ORIENTED_EDGE('',*,*,#56332,.T.); #82058=ORIENTED_EDGE('',*,*,#56328,.T.); #82059=ORIENTED_EDGE('',*,*,#56325,.F.); #82060=ORIENTED_EDGE('',*,*,#56333,.F.); #82061=ORIENTED_EDGE('',*,*,#56334,.F.); #82062=ORIENTED_EDGE('',*,*,#56327,.T.); #82063=ORIENTED_EDGE('',*,*,#56330,.T.); #82064=ORIENTED_EDGE('',*,*,#56335,.F.); #82065=ORIENTED_EDGE('',*,*,#56336,.F.); #82066=ORIENTED_EDGE('',*,*,#56335,.T.); #82067=ORIENTED_EDGE('',*,*,#56329,.T.); #82068=ORIENTED_EDGE('',*,*,#56332,.F.); #82069=ORIENTED_EDGE('',*,*,#56337,.F.); #82070=ORIENTED_EDGE('',*,*,#56338,.F.); #82071=ORIENTED_EDGE('',*,*,#56339,.T.); #82072=ORIENTED_EDGE('',*,*,#56340,.T.); #82073=ORIENTED_EDGE('',*,*,#56341,.F.); #82074=ORIENTED_EDGE('',*,*,#56342,.F.); #82075=ORIENTED_EDGE('',*,*,#56343,.F.); #82076=ORIENTED_EDGE('',*,*,#56344,.F.); #82077=ORIENTED_EDGE('',*,*,#56340,.F.); #82078=ORIENTED_EDGE('',*,*,#56345,.F.); #82079=ORIENTED_EDGE('',*,*,#56346,.T.); #82080=ORIENTED_EDGE('',*,*,#56342,.T.); #82081=ORIENTED_EDGE('',*,*,#56339,.F.); #82082=ORIENTED_EDGE('',*,*,#56347,.F.); #82083=ORIENTED_EDGE('',*,*,#56348,.F.); #82084=ORIENTED_EDGE('',*,*,#56341,.T.); #82085=ORIENTED_EDGE('',*,*,#56344,.T.); #82086=ORIENTED_EDGE('',*,*,#56349,.F.); #82087=ORIENTED_EDGE('',*,*,#56350,.F.); #82088=ORIENTED_EDGE('',*,*,#56349,.T.); #82089=ORIENTED_EDGE('',*,*,#56343,.T.); #82090=ORIENTED_EDGE('',*,*,#56346,.F.); #82091=ORIENTED_EDGE('',*,*,#56351,.F.); #82092=ORIENTED_EDGE('',*,*,#56352,.F.); #82093=ORIENTED_EDGE('',*,*,#56353,.T.); #82094=ORIENTED_EDGE('',*,*,#56354,.T.); #82095=ORIENTED_EDGE('',*,*,#56355,.F.); #82096=ORIENTED_EDGE('',*,*,#56356,.F.); #82097=ORIENTED_EDGE('',*,*,#56357,.F.); #82098=ORIENTED_EDGE('',*,*,#56358,.F.); #82099=ORIENTED_EDGE('',*,*,#56354,.F.); #82100=ORIENTED_EDGE('',*,*,#56359,.F.); #82101=ORIENTED_EDGE('',*,*,#56360,.T.); #82102=ORIENTED_EDGE('',*,*,#56356,.T.); #82103=ORIENTED_EDGE('',*,*,#56353,.F.); #82104=ORIENTED_EDGE('',*,*,#56361,.F.); #82105=ORIENTED_EDGE('',*,*,#56362,.F.); #82106=ORIENTED_EDGE('',*,*,#56355,.T.); #82107=ORIENTED_EDGE('',*,*,#56358,.T.); #82108=ORIENTED_EDGE('',*,*,#56363,.F.); #82109=ORIENTED_EDGE('',*,*,#56364,.F.); #82110=ORIENTED_EDGE('',*,*,#56363,.T.); #82111=ORIENTED_EDGE('',*,*,#56357,.T.); #82112=ORIENTED_EDGE('',*,*,#56360,.F.); #82113=ORIENTED_EDGE('',*,*,#56365,.F.); #82114=ORIENTED_EDGE('',*,*,#56366,.F.); #82115=ORIENTED_EDGE('',*,*,#56367,.T.); #82116=ORIENTED_EDGE('',*,*,#56368,.T.); #82117=ORIENTED_EDGE('',*,*,#56369,.F.); #82118=ORIENTED_EDGE('',*,*,#56370,.F.); #82119=ORIENTED_EDGE('',*,*,#56371,.F.); #82120=ORIENTED_EDGE('',*,*,#56372,.F.); #82121=ORIENTED_EDGE('',*,*,#56368,.F.); #82122=ORIENTED_EDGE('',*,*,#56373,.F.); #82123=ORIENTED_EDGE('',*,*,#56374,.T.); #82124=ORIENTED_EDGE('',*,*,#56370,.T.); #82125=ORIENTED_EDGE('',*,*,#56367,.F.); #82126=ORIENTED_EDGE('',*,*,#56375,.F.); #82127=ORIENTED_EDGE('',*,*,#56376,.F.); #82128=ORIENTED_EDGE('',*,*,#56369,.T.); #82129=ORIENTED_EDGE('',*,*,#56372,.T.); #82130=ORIENTED_EDGE('',*,*,#56377,.F.); #82131=ORIENTED_EDGE('',*,*,#56378,.F.); #82132=ORIENTED_EDGE('',*,*,#56377,.T.); #82133=ORIENTED_EDGE('',*,*,#56371,.T.); #82134=ORIENTED_EDGE('',*,*,#56374,.F.); #82135=ORIENTED_EDGE('',*,*,#56379,.F.); #82136=ORIENTED_EDGE('',*,*,#56376,.T.); #82137=ORIENTED_EDGE('',*,*,#56378,.T.); #82138=ORIENTED_EDGE('',*,*,#56380,.T.); #82139=ORIENTED_EDGE('',*,*,#56381,.T.); #82140=ORIENTED_EDGE('',*,*,#56178,.F.); #82141=ORIENTED_EDGE('',*,*,#56362,.T.); #82142=ORIENTED_EDGE('',*,*,#56364,.T.); #82143=ORIENTED_EDGE('',*,*,#56382,.T.); #82144=ORIENTED_EDGE('',*,*,#56366,.T.); #82145=ORIENTED_EDGE('',*,*,#56177,.F.); #82146=ORIENTED_EDGE('',*,*,#56348,.T.); #82147=ORIENTED_EDGE('',*,*,#56350,.T.); #82148=ORIENTED_EDGE('',*,*,#56383,.T.); #82149=ORIENTED_EDGE('',*,*,#56352,.T.); #82150=ORIENTED_EDGE('',*,*,#56176,.F.); #82151=ORIENTED_EDGE('',*,*,#56334,.T.); #82152=ORIENTED_EDGE('',*,*,#56336,.T.); #82153=ORIENTED_EDGE('',*,*,#56384,.T.); #82154=ORIENTED_EDGE('',*,*,#56338,.T.); #82155=ORIENTED_EDGE('',*,*,#56175,.F.); #82156=ORIENTED_EDGE('',*,*,#56320,.T.); #82157=ORIENTED_EDGE('',*,*,#56322,.T.); #82158=ORIENTED_EDGE('',*,*,#56385,.T.); #82159=ORIENTED_EDGE('',*,*,#56324,.T.); #82160=ORIENTED_EDGE('',*,*,#56174,.F.); #82161=ORIENTED_EDGE('',*,*,#56306,.T.); #82162=ORIENTED_EDGE('',*,*,#56308,.T.); #82163=ORIENTED_EDGE('',*,*,#56386,.T.); #82164=ORIENTED_EDGE('',*,*,#56310,.T.); #82165=ORIENTED_EDGE('',*,*,#56173,.F.); #82166=ORIENTED_EDGE('',*,*,#56292,.T.); #82167=ORIENTED_EDGE('',*,*,#56294,.T.); #82168=ORIENTED_EDGE('',*,*,#56387,.T.); #82169=ORIENTED_EDGE('',*,*,#56296,.T.); #82170=ORIENTED_EDGE('',*,*,#56172,.F.); #82171=ORIENTED_EDGE('',*,*,#56278,.T.); #82172=ORIENTED_EDGE('',*,*,#56280,.T.); #82173=ORIENTED_EDGE('',*,*,#56388,.T.); #82174=ORIENTED_EDGE('',*,*,#56282,.T.); #82175=ORIENTED_EDGE('',*,*,#56171,.F.); #82176=ORIENTED_EDGE('',*,*,#56264,.T.); #82177=ORIENTED_EDGE('',*,*,#56266,.T.); #82178=ORIENTED_EDGE('',*,*,#56389,.T.); #82179=ORIENTED_EDGE('',*,*,#56268,.T.); #82180=ORIENTED_EDGE('',*,*,#56170,.F.); #82181=ORIENTED_EDGE('',*,*,#56250,.T.); #82182=ORIENTED_EDGE('',*,*,#56252,.T.); #82183=ORIENTED_EDGE('',*,*,#56390,.T.); #82184=ORIENTED_EDGE('',*,*,#56254,.T.); #82185=ORIENTED_EDGE('',*,*,#56169,.F.); #82186=ORIENTED_EDGE('',*,*,#56236,.T.); #82187=ORIENTED_EDGE('',*,*,#56238,.T.); #82188=ORIENTED_EDGE('',*,*,#56391,.T.); #82189=ORIENTED_EDGE('',*,*,#56240,.T.); #82190=ORIENTED_EDGE('',*,*,#56168,.F.); #82191=ORIENTED_EDGE('',*,*,#56381,.F.); #82192=ORIENTED_EDGE('',*,*,#56392,.T.); #82193=ORIENTED_EDGE('',*,*,#56393,.T.); #82194=ORIENTED_EDGE('',*,*,#56394,.F.); #82195=ORIENTED_EDGE('',*,*,#56395,.F.); #82196=ORIENTED_EDGE('',*,*,#56396,.F.); #82197=ORIENTED_EDGE('',*,*,#56397,.F.); #82198=ORIENTED_EDGE('',*,*,#56393,.F.); #82199=ORIENTED_EDGE('',*,*,#56398,.F.); #82200=ORIENTED_EDGE('',*,*,#56399,.T.); #82201=ORIENTED_EDGE('',*,*,#56395,.T.); #82202=ORIENTED_EDGE('',*,*,#56392,.F.); #82203=ORIENTED_EDGE('',*,*,#56400,.F.); #82204=ORIENTED_EDGE('',*,*,#56401,.F.); #82205=ORIENTED_EDGE('',*,*,#56394,.T.); #82206=ORIENTED_EDGE('',*,*,#56397,.T.); #82207=ORIENTED_EDGE('',*,*,#56402,.F.); #82208=ORIENTED_EDGE('',*,*,#56403,.F.); #82209=ORIENTED_EDGE('',*,*,#56402,.T.); #82210=ORIENTED_EDGE('',*,*,#56396,.T.); #82211=ORIENTED_EDGE('',*,*,#56399,.F.); #82212=ORIENTED_EDGE('',*,*,#56404,.F.); #82213=ORIENTED_EDGE('',*,*,#56226,.T.); #82214=ORIENTED_EDGE('',*,*,#56179,.F.); #82215=ORIENTED_EDGE('',*,*,#56401,.T.); #82216=ORIENTED_EDGE('',*,*,#56403,.T.); #82217=ORIENTED_EDGE('',*,*,#56405,.T.); #82218=ORIENTED_EDGE('',*,*,#56235,.T.); #82219=ORIENTED_EDGE('',*,*,#56405,.F.); #82220=ORIENTED_EDGE('',*,*,#56404,.T.); #82221=ORIENTED_EDGE('',*,*,#56398,.T.); #82222=ORIENTED_EDGE('',*,*,#56400,.T.); #82223=ORIENTED_EDGE('',*,*,#56380,.F.); #82224=ORIENTED_EDGE('',*,*,#56379,.T.); #82225=ORIENTED_EDGE('',*,*,#56373,.T.); #82226=ORIENTED_EDGE('',*,*,#56375,.T.); #82227=ORIENTED_EDGE('',*,*,#56382,.F.); #82228=ORIENTED_EDGE('',*,*,#56365,.T.); #82229=ORIENTED_EDGE('',*,*,#56359,.T.); #82230=ORIENTED_EDGE('',*,*,#56361,.T.); #82231=ORIENTED_EDGE('',*,*,#56383,.F.); #82232=ORIENTED_EDGE('',*,*,#56351,.T.); #82233=ORIENTED_EDGE('',*,*,#56345,.T.); #82234=ORIENTED_EDGE('',*,*,#56347,.T.); #82235=ORIENTED_EDGE('',*,*,#56384,.F.); #82236=ORIENTED_EDGE('',*,*,#56337,.T.); #82237=ORIENTED_EDGE('',*,*,#56331,.T.); #82238=ORIENTED_EDGE('',*,*,#56333,.T.); #82239=ORIENTED_EDGE('',*,*,#56385,.F.); #82240=ORIENTED_EDGE('',*,*,#56323,.T.); #82241=ORIENTED_EDGE('',*,*,#56317,.T.); #82242=ORIENTED_EDGE('',*,*,#56319,.T.); #82243=ORIENTED_EDGE('',*,*,#56386,.F.); #82244=ORIENTED_EDGE('',*,*,#56309,.T.); #82245=ORIENTED_EDGE('',*,*,#56303,.T.); #82246=ORIENTED_EDGE('',*,*,#56305,.T.); #82247=ORIENTED_EDGE('',*,*,#56387,.F.); #82248=ORIENTED_EDGE('',*,*,#56295,.T.); #82249=ORIENTED_EDGE('',*,*,#56289,.T.); #82250=ORIENTED_EDGE('',*,*,#56291,.T.); #82251=ORIENTED_EDGE('',*,*,#56388,.F.); #82252=ORIENTED_EDGE('',*,*,#56281,.T.); #82253=ORIENTED_EDGE('',*,*,#56275,.T.); #82254=ORIENTED_EDGE('',*,*,#56277,.T.); #82255=ORIENTED_EDGE('',*,*,#56389,.F.); #82256=ORIENTED_EDGE('',*,*,#56267,.T.); #82257=ORIENTED_EDGE('',*,*,#56261,.T.); #82258=ORIENTED_EDGE('',*,*,#56263,.T.); #82259=ORIENTED_EDGE('',*,*,#56390,.F.); #82260=ORIENTED_EDGE('',*,*,#56253,.T.); #82261=ORIENTED_EDGE('',*,*,#56247,.T.); #82262=ORIENTED_EDGE('',*,*,#56249,.T.); #82263=ORIENTED_EDGE('',*,*,#56391,.F.); #82264=ORIENTED_EDGE('',*,*,#56239,.T.); #82265=ORIENTED_EDGE('',*,*,#56233,.T.); #82266=ORIENTED_EDGE('',*,*,#56077,.T.); #82267=ORIENTED_EDGE('',*,*,#56208,.T.); #82268=ORIENTED_EDGE('',*,*,#56080,.T.); #82269=ORIENTED_EDGE('',*,*,#56096,.T.); #82270=ORIENTED_EDGE('',*,*,#56203,.T.); #82271=ORIENTED_EDGE('',*,*,#56098,.T.); #82272=ORIENTED_EDGE('',*,*,#56099,.T.); #82273=ORIENTED_EDGE('',*,*,#56204,.T.); #82274=ORIENTED_EDGE('',*,*,#56102,.T.); #82275=ORIENTED_EDGE('',*,*,#56118,.T.); #82276=ORIENTED_EDGE('',*,*,#56201,.T.); #82277=ORIENTED_EDGE('',*,*,#56120,.T.); #82278=ORIENTED_EDGE('',*,*,#56121,.T.); #82279=ORIENTED_EDGE('',*,*,#56202,.T.); #82280=ORIENTED_EDGE('',*,*,#56124,.T.); #82281=ORIENTED_EDGE('',*,*,#56140,.T.); #82282=ORIENTED_EDGE('',*,*,#56199,.T.); #82283=ORIENTED_EDGE('',*,*,#56142,.T.); #82284=ORIENTED_EDGE('',*,*,#56143,.T.); #82285=ORIENTED_EDGE('',*,*,#56200,.T.); #82286=ORIENTED_EDGE('',*,*,#56146,.T.); #82287=ORIENTED_EDGE('',*,*,#56162,.T.); #82288=ORIENTED_EDGE('',*,*,#56196,.T.); #82289=ORIENTED_EDGE('',*,*,#56164,.T.); #82290=ORIENTED_EDGE('',*,*,#56165,.T.); #82291=ORIENTED_EDGE('',*,*,#56198,.T.); #82292=ORIENTED_EDGE('',*,*,#56209,.T.); #82293=ORIENTED_EDGE('',*,*,#56221,.T.); #82294=ORIENTED_EDGE('',*,*,#56225,.T.); #82295=ORIENTED_EDGE('',*,*,#56223,.T.); #82296=ORIENTED_EDGE('',*,*,#56224,.T.); #82297=ORIENTED_EDGE('',*,*,#56205,.T.); #82298=ORIENTED_EDGE('',*,*,#56058,.T.); #82299=ORIENTED_EDGE('',*,*,#56074,.T.); #82300=ORIENTED_EDGE('',*,*,#56207,.T.); #82301=ORIENTED_EDGE('',*,*,#56076,.T.); #82302=ORIENTED_EDGE('',*,*,#56406,.T.); #82303=ORIENTED_EDGE('',*,*,#56407,.T.); #82304=ORIENTED_EDGE('',*,*,#56408,.T.); #82305=ORIENTED_EDGE('',*,*,#56409,.T.); #82306=ORIENTED_EDGE('',*,*,#56410,.T.); #82307=ORIENTED_EDGE('',*,*,#56411,.T.); #82308=ORIENTED_EDGE('',*,*,#56412,.T.); #82309=ORIENTED_EDGE('',*,*,#56413,.T.); #82310=ORIENTED_EDGE('',*,*,#56414,.T.); #82311=ORIENTED_EDGE('',*,*,#56415,.T.); #82312=ORIENTED_EDGE('',*,*,#56416,.T.); #82313=ORIENTED_EDGE('',*,*,#56417,.T.); #82314=ORIENTED_EDGE('',*,*,#56418,.T.); #82315=ORIENTED_EDGE('',*,*,#56419,.T.); #82316=ORIENTED_EDGE('',*,*,#56420,.T.); #82317=ORIENTED_EDGE('',*,*,#56421,.T.); #82318=ORIENTED_EDGE('',*,*,#56413,.F.); #82319=ORIENTED_EDGE('',*,*,#56422,.T.); #82320=ORIENTED_EDGE('',*,*,#56423,.F.); #82321=ORIENTED_EDGE('',*,*,#56424,.F.); #82322=ORIENTED_EDGE('',*,*,#56412,.F.); #82323=ORIENTED_EDGE('',*,*,#56425,.T.); #82324=ORIENTED_EDGE('',*,*,#56426,.F.); #82325=ORIENTED_EDGE('',*,*,#56422,.F.); #82326=ORIENTED_EDGE('',*,*,#56411,.F.); #82327=ORIENTED_EDGE('',*,*,#56427,.T.); #82328=ORIENTED_EDGE('',*,*,#56428,.F.); #82329=ORIENTED_EDGE('',*,*,#56425,.F.); #82330=ORIENTED_EDGE('',*,*,#56410,.F.); #82331=ORIENTED_EDGE('',*,*,#56429,.T.); #82332=ORIENTED_EDGE('',*,*,#56430,.F.); #82333=ORIENTED_EDGE('',*,*,#56427,.F.); #82334=ORIENTED_EDGE('',*,*,#56409,.F.); #82335=ORIENTED_EDGE('',*,*,#56431,.T.); #82336=ORIENTED_EDGE('',*,*,#56432,.F.); #82337=ORIENTED_EDGE('',*,*,#56429,.F.); #82338=ORIENTED_EDGE('',*,*,#56408,.F.); #82339=ORIENTED_EDGE('',*,*,#56433,.T.); #82340=ORIENTED_EDGE('',*,*,#56434,.F.); #82341=ORIENTED_EDGE('',*,*,#56431,.F.); #82342=ORIENTED_EDGE('',*,*,#56407,.F.); #82343=ORIENTED_EDGE('',*,*,#56435,.T.); #82344=ORIENTED_EDGE('',*,*,#56436,.F.); #82345=ORIENTED_EDGE('',*,*,#56433,.F.); #82346=ORIENTED_EDGE('',*,*,#56406,.F.); #82347=ORIENTED_EDGE('',*,*,#56437,.T.); #82348=ORIENTED_EDGE('',*,*,#56438,.F.); #82349=ORIENTED_EDGE('',*,*,#56435,.F.); #82350=ORIENTED_EDGE('',*,*,#56414,.F.); #82351=ORIENTED_EDGE('',*,*,#56424,.T.); #82352=ORIENTED_EDGE('',*,*,#56439,.F.); #82353=ORIENTED_EDGE('',*,*,#56440,.F.); #82354=ORIENTED_EDGE('',*,*,#56415,.F.); #82355=ORIENTED_EDGE('',*,*,#56440,.T.); #82356=ORIENTED_EDGE('',*,*,#56441,.F.); #82357=ORIENTED_EDGE('',*,*,#56442,.F.); #82358=ORIENTED_EDGE('',*,*,#56416,.F.); #82359=ORIENTED_EDGE('',*,*,#56442,.T.); #82360=ORIENTED_EDGE('',*,*,#56443,.F.); #82361=ORIENTED_EDGE('',*,*,#56444,.F.); #82362=ORIENTED_EDGE('',*,*,#56417,.F.); #82363=ORIENTED_EDGE('',*,*,#56444,.T.); #82364=ORIENTED_EDGE('',*,*,#56445,.F.); #82365=ORIENTED_EDGE('',*,*,#56446,.F.); #82366=ORIENTED_EDGE('',*,*,#56418,.F.); #82367=ORIENTED_EDGE('',*,*,#56446,.T.); #82368=ORIENTED_EDGE('',*,*,#56447,.F.); #82369=ORIENTED_EDGE('',*,*,#56448,.F.); #82370=ORIENTED_EDGE('',*,*,#56419,.F.); #82371=ORIENTED_EDGE('',*,*,#56448,.T.); #82372=ORIENTED_EDGE('',*,*,#56449,.F.); #82373=ORIENTED_EDGE('',*,*,#56450,.F.); #82374=ORIENTED_EDGE('',*,*,#56420,.F.); #82375=ORIENTED_EDGE('',*,*,#56450,.T.); #82376=ORIENTED_EDGE('',*,*,#56451,.F.); #82377=ORIENTED_EDGE('',*,*,#56452,.F.); #82378=ORIENTED_EDGE('',*,*,#56421,.F.); #82379=ORIENTED_EDGE('',*,*,#56452,.T.); #82380=ORIENTED_EDGE('',*,*,#56453,.F.); #82381=ORIENTED_EDGE('',*,*,#56437,.F.); #82382=ORIENTED_EDGE('',*,*,#56454,.F.); #82383=ORIENTED_EDGE('',*,*,#56455,.T.); #82384=ORIENTED_EDGE('',*,*,#56456,.F.); #82385=ORIENTED_EDGE('',*,*,#56457,.F.); #82386=ORIENTED_EDGE('',*,*,#56458,.F.); #82387=ORIENTED_EDGE('',*,*,#56459,.T.); #82388=ORIENTED_EDGE('',*,*,#56460,.F.); #82389=ORIENTED_EDGE('',*,*,#56455,.F.); #82390=ORIENTED_EDGE('',*,*,#56461,.F.); #82391=ORIENTED_EDGE('',*,*,#56462,.T.); #82392=ORIENTED_EDGE('',*,*,#56463,.F.); #82393=ORIENTED_EDGE('',*,*,#56459,.F.); #82394=ORIENTED_EDGE('',*,*,#56464,.F.); #82395=ORIENTED_EDGE('',*,*,#56457,.T.); #82396=ORIENTED_EDGE('',*,*,#56465,.F.); #82397=ORIENTED_EDGE('',*,*,#56466,.F.); #82398=ORIENTED_EDGE('',*,*,#56467,.F.); #82399=ORIENTED_EDGE('',*,*,#56466,.T.); #82400=ORIENTED_EDGE('',*,*,#56468,.F.); #82401=ORIENTED_EDGE('',*,*,#56469,.F.); #82402=ORIENTED_EDGE('',*,*,#56470,.F.); #82403=ORIENTED_EDGE('',*,*,#56469,.T.); #82404=ORIENTED_EDGE('',*,*,#56471,.F.); #82405=ORIENTED_EDGE('',*,*,#56462,.F.); #82406=ORIENTED_EDGE('',*,*,#56464,.T.); #82407=ORIENTED_EDGE('',*,*,#56467,.T.); #82408=ORIENTED_EDGE('',*,*,#56470,.T.); #82409=ORIENTED_EDGE('',*,*,#56461,.T.); #82410=ORIENTED_EDGE('',*,*,#56458,.T.); #82411=ORIENTED_EDGE('',*,*,#56454,.T.); #82412=ORIENTED_EDGE('',*,*,#56472,.T.); #82413=ORIENTED_EDGE('',*,*,#56473,.T.); #82414=ORIENTED_EDGE('',*,*,#56474,.T.); #82415=ORIENTED_EDGE('',*,*,#56475,.T.); #82416=ORIENTED_EDGE('',*,*,#56476,.T.); #82417=ORIENTED_EDGE('',*,*,#56477,.T.); #82418=ORIENTED_EDGE('',*,*,#56474,.F.); #82419=ORIENTED_EDGE('',*,*,#56478,.T.); #82420=ORIENTED_EDGE('',*,*,#56479,.F.); #82421=ORIENTED_EDGE('',*,*,#56480,.F.); #82422=ORIENTED_EDGE('',*,*,#56473,.F.); #82423=ORIENTED_EDGE('',*,*,#56481,.T.); #82424=ORIENTED_EDGE('',*,*,#56482,.F.); #82425=ORIENTED_EDGE('',*,*,#56478,.F.); #82426=ORIENTED_EDGE('',*,*,#56472,.F.); #82427=ORIENTED_EDGE('',*,*,#56483,.T.); #82428=ORIENTED_EDGE('',*,*,#56484,.F.); #82429=ORIENTED_EDGE('',*,*,#56481,.F.); #82430=ORIENTED_EDGE('',*,*,#56475,.F.); #82431=ORIENTED_EDGE('',*,*,#56480,.T.); #82432=ORIENTED_EDGE('',*,*,#56485,.F.); #82433=ORIENTED_EDGE('',*,*,#56486,.F.); #82434=ORIENTED_EDGE('',*,*,#56476,.F.); #82435=ORIENTED_EDGE('',*,*,#56486,.T.); #82436=ORIENTED_EDGE('',*,*,#56487,.F.); #82437=ORIENTED_EDGE('',*,*,#56488,.F.); #82438=ORIENTED_EDGE('',*,*,#56477,.F.); #82439=ORIENTED_EDGE('',*,*,#56488,.T.); #82440=ORIENTED_EDGE('',*,*,#56489,.F.); #82441=ORIENTED_EDGE('',*,*,#56483,.F.); #82442=ORIENTED_EDGE('',*,*,#56490,.F.); #82443=ORIENTED_EDGE('',*,*,#56491,.T.); #82444=ORIENTED_EDGE('',*,*,#56492,.F.); #82445=ORIENTED_EDGE('',*,*,#56493,.F.); #82446=ORIENTED_EDGE('',*,*,#56494,.F.); #82447=ORIENTED_EDGE('',*,*,#56495,.T.); #82448=ORIENTED_EDGE('',*,*,#56496,.F.); #82449=ORIENTED_EDGE('',*,*,#56491,.F.); #82450=ORIENTED_EDGE('',*,*,#56497,.F.); #82451=ORIENTED_EDGE('',*,*,#56498,.T.); #82452=ORIENTED_EDGE('',*,*,#56499,.F.); #82453=ORIENTED_EDGE('',*,*,#56495,.F.); #82454=ORIENTED_EDGE('',*,*,#56500,.F.); #82455=ORIENTED_EDGE('',*,*,#56493,.T.); #82456=ORIENTED_EDGE('',*,*,#56501,.F.); #82457=ORIENTED_EDGE('',*,*,#56502,.F.); #82458=ORIENTED_EDGE('',*,*,#56503,.F.); #82459=ORIENTED_EDGE('',*,*,#56502,.T.); #82460=ORIENTED_EDGE('',*,*,#56504,.F.); #82461=ORIENTED_EDGE('',*,*,#56505,.F.); #82462=ORIENTED_EDGE('',*,*,#56506,.F.); #82463=ORIENTED_EDGE('',*,*,#56505,.T.); #82464=ORIENTED_EDGE('',*,*,#56507,.F.); #82465=ORIENTED_EDGE('',*,*,#56498,.F.); #82466=ORIENTED_EDGE('',*,*,#56500,.T.); #82467=ORIENTED_EDGE('',*,*,#56503,.T.); #82468=ORIENTED_EDGE('',*,*,#56506,.T.); #82469=ORIENTED_EDGE('',*,*,#56497,.T.); #82470=ORIENTED_EDGE('',*,*,#56494,.T.); #82471=ORIENTED_EDGE('',*,*,#56490,.T.); #82472=ORIENTED_EDGE('',*,*,#56508,.T.); #82473=ORIENTED_EDGE('',*,*,#56509,.T.); #82474=ORIENTED_EDGE('',*,*,#56510,.T.); #82475=ORIENTED_EDGE('',*,*,#56511,.T.); #82476=ORIENTED_EDGE('',*,*,#56512,.T.); #82477=ORIENTED_EDGE('',*,*,#56513,.T.); #82478=ORIENTED_EDGE('',*,*,#56510,.F.); #82479=ORIENTED_EDGE('',*,*,#56514,.T.); #82480=ORIENTED_EDGE('',*,*,#56515,.F.); #82481=ORIENTED_EDGE('',*,*,#56516,.F.); #82482=ORIENTED_EDGE('',*,*,#56509,.F.); #82483=ORIENTED_EDGE('',*,*,#56517,.T.); #82484=ORIENTED_EDGE('',*,*,#56518,.F.); #82485=ORIENTED_EDGE('',*,*,#56514,.F.); #82486=ORIENTED_EDGE('',*,*,#56508,.F.); #82487=ORIENTED_EDGE('',*,*,#56519,.T.); #82488=ORIENTED_EDGE('',*,*,#56520,.F.); #82489=ORIENTED_EDGE('',*,*,#56517,.F.); #82490=ORIENTED_EDGE('',*,*,#56511,.F.); #82491=ORIENTED_EDGE('',*,*,#56516,.T.); #82492=ORIENTED_EDGE('',*,*,#56521,.F.); #82493=ORIENTED_EDGE('',*,*,#56522,.F.); #82494=ORIENTED_EDGE('',*,*,#56512,.F.); #82495=ORIENTED_EDGE('',*,*,#56522,.T.); #82496=ORIENTED_EDGE('',*,*,#56523,.F.); #82497=ORIENTED_EDGE('',*,*,#56524,.F.); #82498=ORIENTED_EDGE('',*,*,#56513,.F.); #82499=ORIENTED_EDGE('',*,*,#56524,.T.); #82500=ORIENTED_EDGE('',*,*,#56525,.F.); #82501=ORIENTED_EDGE('',*,*,#56519,.F.); #82502=ORIENTED_EDGE('',*,*,#56526,.F.); #82503=ORIENTED_EDGE('',*,*,#56527,.T.); #82504=ORIENTED_EDGE('',*,*,#56528,.F.); #82505=ORIENTED_EDGE('',*,*,#56529,.F.); #82506=ORIENTED_EDGE('',*,*,#56530,.F.); #82507=ORIENTED_EDGE('',*,*,#56531,.T.); #82508=ORIENTED_EDGE('',*,*,#56532,.F.); #82509=ORIENTED_EDGE('',*,*,#56527,.F.); #82510=ORIENTED_EDGE('',*,*,#56533,.F.); #82511=ORIENTED_EDGE('',*,*,#56534,.T.); #82512=ORIENTED_EDGE('',*,*,#56535,.F.); #82513=ORIENTED_EDGE('',*,*,#56531,.F.); #82514=ORIENTED_EDGE('',*,*,#56536,.F.); #82515=ORIENTED_EDGE('',*,*,#56537,.T.); #82516=ORIENTED_EDGE('',*,*,#56538,.F.); #82517=ORIENTED_EDGE('',*,*,#56534,.F.); #82518=ORIENTED_EDGE('',*,*,#56539,.F.); #82519=ORIENTED_EDGE('',*,*,#56540,.T.); #82520=ORIENTED_EDGE('',*,*,#56541,.F.); #82521=ORIENTED_EDGE('',*,*,#56537,.F.); #82522=ORIENTED_EDGE('',*,*,#56542,.F.); #82523=ORIENTED_EDGE('',*,*,#56543,.T.); #82524=ORIENTED_EDGE('',*,*,#56544,.F.); #82525=ORIENTED_EDGE('',*,*,#56540,.F.); #82526=ORIENTED_EDGE('',*,*,#56545,.F.); #82527=ORIENTED_EDGE('',*,*,#56546,.T.); #82528=ORIENTED_EDGE('',*,*,#56547,.F.); #82529=ORIENTED_EDGE('',*,*,#56543,.F.); #82530=ORIENTED_EDGE('',*,*,#56548,.F.); #82531=ORIENTED_EDGE('',*,*,#56549,.T.); #82532=ORIENTED_EDGE('',*,*,#56550,.F.); #82533=ORIENTED_EDGE('',*,*,#56546,.F.); #82534=ORIENTED_EDGE('',*,*,#56551,.F.); #82535=ORIENTED_EDGE('',*,*,#56529,.T.); #82536=ORIENTED_EDGE('',*,*,#56552,.F.); #82537=ORIENTED_EDGE('',*,*,#56553,.F.); #82538=ORIENTED_EDGE('',*,*,#56554,.F.); #82539=ORIENTED_EDGE('',*,*,#56553,.T.); #82540=ORIENTED_EDGE('',*,*,#56555,.F.); #82541=ORIENTED_EDGE('',*,*,#56556,.F.); #82542=ORIENTED_EDGE('',*,*,#56557,.F.); #82543=ORIENTED_EDGE('',*,*,#56556,.T.); #82544=ORIENTED_EDGE('',*,*,#56558,.F.); #82545=ORIENTED_EDGE('',*,*,#56559,.F.); #82546=ORIENTED_EDGE('',*,*,#56560,.F.); #82547=ORIENTED_EDGE('',*,*,#56559,.T.); #82548=ORIENTED_EDGE('',*,*,#56561,.F.); #82549=ORIENTED_EDGE('',*,*,#56562,.F.); #82550=ORIENTED_EDGE('',*,*,#56563,.F.); #82551=ORIENTED_EDGE('',*,*,#56562,.T.); #82552=ORIENTED_EDGE('',*,*,#56564,.F.); #82553=ORIENTED_EDGE('',*,*,#56565,.F.); #82554=ORIENTED_EDGE('',*,*,#56566,.F.); #82555=ORIENTED_EDGE('',*,*,#56565,.T.); #82556=ORIENTED_EDGE('',*,*,#56567,.F.); #82557=ORIENTED_EDGE('',*,*,#56568,.F.); #82558=ORIENTED_EDGE('',*,*,#56569,.F.); #82559=ORIENTED_EDGE('',*,*,#56568,.T.); #82560=ORIENTED_EDGE('',*,*,#56570,.F.); #82561=ORIENTED_EDGE('',*,*,#56571,.F.); #82562=ORIENTED_EDGE('',*,*,#56572,.F.); #82563=ORIENTED_EDGE('',*,*,#56571,.T.); #82564=ORIENTED_EDGE('',*,*,#56573,.F.); #82565=ORIENTED_EDGE('',*,*,#56549,.F.); #82566=ORIENTED_EDGE('',*,*,#56551,.T.); #82567=ORIENTED_EDGE('',*,*,#56554,.T.); #82568=ORIENTED_EDGE('',*,*,#56557,.T.); #82569=ORIENTED_EDGE('',*,*,#56560,.T.); #82570=ORIENTED_EDGE('',*,*,#56563,.T.); #82571=ORIENTED_EDGE('',*,*,#56566,.T.); #82572=ORIENTED_EDGE('',*,*,#56569,.T.); #82573=ORIENTED_EDGE('',*,*,#56572,.T.); #82574=ORIENTED_EDGE('',*,*,#56548,.T.); #82575=ORIENTED_EDGE('',*,*,#56545,.T.); #82576=ORIENTED_EDGE('',*,*,#56542,.T.); #82577=ORIENTED_EDGE('',*,*,#56539,.T.); #82578=ORIENTED_EDGE('',*,*,#56536,.T.); #82579=ORIENTED_EDGE('',*,*,#56533,.T.); #82580=ORIENTED_EDGE('',*,*,#56530,.T.); #82581=ORIENTED_EDGE('',*,*,#56526,.T.); #82582=ORIENTED_EDGE('',*,*,#56574,.T.); #82583=ORIENTED_EDGE('',*,*,#56575,.T.); #82584=ORIENTED_EDGE('',*,*,#56576,.T.); #82585=ORIENTED_EDGE('',*,*,#56577,.T.); #82586=ORIENTED_EDGE('',*,*,#56578,.T.); #82587=ORIENTED_EDGE('',*,*,#56579,.T.); #82588=ORIENTED_EDGE('',*,*,#56580,.T.); #82589=ORIENTED_EDGE('',*,*,#56581,.T.); #82590=ORIENTED_EDGE('',*,*,#56582,.T.); #82591=ORIENTED_EDGE('',*,*,#56583,.T.); #82592=ORIENTED_EDGE('',*,*,#56584,.T.); #82593=ORIENTED_EDGE('',*,*,#56585,.T.); #82594=ORIENTED_EDGE('',*,*,#56586,.T.); #82595=ORIENTED_EDGE('',*,*,#56587,.T.); #82596=ORIENTED_EDGE('',*,*,#56588,.T.); #82597=ORIENTED_EDGE('',*,*,#56589,.T.); #82598=ORIENTED_EDGE('',*,*,#56581,.F.); #82599=ORIENTED_EDGE('',*,*,#56590,.T.); #82600=ORIENTED_EDGE('',*,*,#56591,.F.); #82601=ORIENTED_EDGE('',*,*,#56592,.F.); #82602=ORIENTED_EDGE('',*,*,#56580,.F.); #82603=ORIENTED_EDGE('',*,*,#56593,.T.); #82604=ORIENTED_EDGE('',*,*,#56594,.F.); #82605=ORIENTED_EDGE('',*,*,#56590,.F.); #82606=ORIENTED_EDGE('',*,*,#56579,.F.); #82607=ORIENTED_EDGE('',*,*,#56595,.T.); #82608=ORIENTED_EDGE('',*,*,#56596,.F.); #82609=ORIENTED_EDGE('',*,*,#56593,.F.); #82610=ORIENTED_EDGE('',*,*,#56578,.F.); #82611=ORIENTED_EDGE('',*,*,#56597,.T.); #82612=ORIENTED_EDGE('',*,*,#56598,.F.); #82613=ORIENTED_EDGE('',*,*,#56595,.F.); #82614=ORIENTED_EDGE('',*,*,#56577,.F.); #82615=ORIENTED_EDGE('',*,*,#56599,.T.); #82616=ORIENTED_EDGE('',*,*,#56600,.F.); #82617=ORIENTED_EDGE('',*,*,#56597,.F.); #82618=ORIENTED_EDGE('',*,*,#56576,.F.); #82619=ORIENTED_EDGE('',*,*,#56601,.T.); #82620=ORIENTED_EDGE('',*,*,#56602,.F.); #82621=ORIENTED_EDGE('',*,*,#56599,.F.); #82622=ORIENTED_EDGE('',*,*,#56575,.F.); #82623=ORIENTED_EDGE('',*,*,#56603,.T.); #82624=ORIENTED_EDGE('',*,*,#56604,.F.); #82625=ORIENTED_EDGE('',*,*,#56601,.F.); #82626=ORIENTED_EDGE('',*,*,#56574,.F.); #82627=ORIENTED_EDGE('',*,*,#56605,.T.); #82628=ORIENTED_EDGE('',*,*,#56606,.F.); #82629=ORIENTED_EDGE('',*,*,#56603,.F.); #82630=ORIENTED_EDGE('',*,*,#56582,.F.); #82631=ORIENTED_EDGE('',*,*,#56592,.T.); #82632=ORIENTED_EDGE('',*,*,#56607,.F.); #82633=ORIENTED_EDGE('',*,*,#56608,.F.); #82634=ORIENTED_EDGE('',*,*,#56583,.F.); #82635=ORIENTED_EDGE('',*,*,#56608,.T.); #82636=ORIENTED_EDGE('',*,*,#56609,.F.); #82637=ORIENTED_EDGE('',*,*,#56610,.F.); #82638=ORIENTED_EDGE('',*,*,#56584,.F.); #82639=ORIENTED_EDGE('',*,*,#56610,.T.); #82640=ORIENTED_EDGE('',*,*,#56611,.F.); #82641=ORIENTED_EDGE('',*,*,#56612,.F.); #82642=ORIENTED_EDGE('',*,*,#56585,.F.); #82643=ORIENTED_EDGE('',*,*,#56612,.T.); #82644=ORIENTED_EDGE('',*,*,#56613,.F.); #82645=ORIENTED_EDGE('',*,*,#56614,.F.); #82646=ORIENTED_EDGE('',*,*,#56586,.F.); #82647=ORIENTED_EDGE('',*,*,#56614,.T.); #82648=ORIENTED_EDGE('',*,*,#56615,.F.); #82649=ORIENTED_EDGE('',*,*,#56616,.F.); #82650=ORIENTED_EDGE('',*,*,#56587,.F.); #82651=ORIENTED_EDGE('',*,*,#56616,.T.); #82652=ORIENTED_EDGE('',*,*,#56617,.F.); #82653=ORIENTED_EDGE('',*,*,#56618,.F.); #82654=ORIENTED_EDGE('',*,*,#56588,.F.); #82655=ORIENTED_EDGE('',*,*,#56618,.T.); #82656=ORIENTED_EDGE('',*,*,#56619,.F.); #82657=ORIENTED_EDGE('',*,*,#56620,.F.); #82658=ORIENTED_EDGE('',*,*,#56589,.F.); #82659=ORIENTED_EDGE('',*,*,#56620,.T.); #82660=ORIENTED_EDGE('',*,*,#56621,.F.); #82661=ORIENTED_EDGE('',*,*,#56605,.F.); #82662=ORIENTED_EDGE('',*,*,#56622,.F.); #82663=ORIENTED_EDGE('',*,*,#56623,.T.); #82664=ORIENTED_EDGE('',*,*,#56624,.F.); #82665=ORIENTED_EDGE('',*,*,#56625,.F.); #82666=ORIENTED_EDGE('',*,*,#56626,.F.); #82667=ORIENTED_EDGE('',*,*,#56627,.T.); #82668=ORIENTED_EDGE('',*,*,#56628,.F.); #82669=ORIENTED_EDGE('',*,*,#56623,.F.); #82670=ORIENTED_EDGE('',*,*,#56629,.F.); #82671=ORIENTED_EDGE('',*,*,#56630,.T.); #82672=ORIENTED_EDGE('',*,*,#56631,.F.); #82673=ORIENTED_EDGE('',*,*,#56627,.F.); #82674=ORIENTED_EDGE('',*,*,#56632,.F.); #82675=ORIENTED_EDGE('',*,*,#56633,.T.); #82676=ORIENTED_EDGE('',*,*,#56634,.F.); #82677=ORIENTED_EDGE('',*,*,#56630,.F.); #82678=ORIENTED_EDGE('',*,*,#56635,.F.); #82679=ORIENTED_EDGE('',*,*,#56636,.T.); #82680=ORIENTED_EDGE('',*,*,#56637,.F.); #82681=ORIENTED_EDGE('',*,*,#56633,.F.); #82682=ORIENTED_EDGE('',*,*,#56638,.F.); #82683=ORIENTED_EDGE('',*,*,#56639,.T.); #82684=ORIENTED_EDGE('',*,*,#56640,.F.); #82685=ORIENTED_EDGE('',*,*,#56636,.F.); #82686=ORIENTED_EDGE('',*,*,#56641,.F.); #82687=ORIENTED_EDGE('',*,*,#56642,.T.); #82688=ORIENTED_EDGE('',*,*,#56643,.F.); #82689=ORIENTED_EDGE('',*,*,#56639,.F.); #82690=ORIENTED_EDGE('',*,*,#56644,.F.); #82691=ORIENTED_EDGE('',*,*,#56645,.T.); #82692=ORIENTED_EDGE('',*,*,#56646,.F.); #82693=ORIENTED_EDGE('',*,*,#56642,.F.); #82694=ORIENTED_EDGE('',*,*,#56647,.F.); #82695=ORIENTED_EDGE('',*,*,#56625,.T.); #82696=ORIENTED_EDGE('',*,*,#56648,.F.); #82697=ORIENTED_EDGE('',*,*,#56649,.F.); #82698=ORIENTED_EDGE('',*,*,#56650,.F.); #82699=ORIENTED_EDGE('',*,*,#56649,.T.); #82700=ORIENTED_EDGE('',*,*,#56651,.F.); #82701=ORIENTED_EDGE('',*,*,#56652,.F.); #82702=ORIENTED_EDGE('',*,*,#56653,.F.); #82703=ORIENTED_EDGE('',*,*,#56652,.T.); #82704=ORIENTED_EDGE('',*,*,#56654,.F.); #82705=ORIENTED_EDGE('',*,*,#56655,.F.); #82706=ORIENTED_EDGE('',*,*,#56656,.F.); #82707=ORIENTED_EDGE('',*,*,#56655,.T.); #82708=ORIENTED_EDGE('',*,*,#56657,.F.); #82709=ORIENTED_EDGE('',*,*,#56658,.F.); #82710=ORIENTED_EDGE('',*,*,#56659,.F.); #82711=ORIENTED_EDGE('',*,*,#56658,.T.); #82712=ORIENTED_EDGE('',*,*,#56660,.F.); #82713=ORIENTED_EDGE('',*,*,#56661,.F.); #82714=ORIENTED_EDGE('',*,*,#56662,.F.); #82715=ORIENTED_EDGE('',*,*,#56661,.T.); #82716=ORIENTED_EDGE('',*,*,#56663,.F.); #82717=ORIENTED_EDGE('',*,*,#56664,.F.); #82718=ORIENTED_EDGE('',*,*,#56665,.F.); #82719=ORIENTED_EDGE('',*,*,#56664,.T.); #82720=ORIENTED_EDGE('',*,*,#56666,.F.); #82721=ORIENTED_EDGE('',*,*,#56667,.F.); #82722=ORIENTED_EDGE('',*,*,#56668,.F.); #82723=ORIENTED_EDGE('',*,*,#56667,.T.); #82724=ORIENTED_EDGE('',*,*,#56669,.F.); #82725=ORIENTED_EDGE('',*,*,#56645,.F.); #82726=ORIENTED_EDGE('',*,*,#56647,.T.); #82727=ORIENTED_EDGE('',*,*,#56650,.T.); #82728=ORIENTED_EDGE('',*,*,#56653,.T.); #82729=ORIENTED_EDGE('',*,*,#56656,.T.); #82730=ORIENTED_EDGE('',*,*,#56659,.T.); #82731=ORIENTED_EDGE('',*,*,#56662,.T.); #82732=ORIENTED_EDGE('',*,*,#56665,.T.); #82733=ORIENTED_EDGE('',*,*,#56668,.T.); #82734=ORIENTED_EDGE('',*,*,#56644,.T.); #82735=ORIENTED_EDGE('',*,*,#56641,.T.); #82736=ORIENTED_EDGE('',*,*,#56638,.T.); #82737=ORIENTED_EDGE('',*,*,#56635,.T.); #82738=ORIENTED_EDGE('',*,*,#56632,.T.); #82739=ORIENTED_EDGE('',*,*,#56629,.T.); #82740=ORIENTED_EDGE('',*,*,#56626,.T.); #82741=ORIENTED_EDGE('',*,*,#56622,.T.); #82742=ORIENTED_EDGE('',*,*,#56670,.T.); #82743=ORIENTED_EDGE('',*,*,#56671,.T.); #82744=ORIENTED_EDGE('',*,*,#56672,.T.); #82745=ORIENTED_EDGE('',*,*,#56673,.T.); #82746=ORIENTED_EDGE('',*,*,#56674,.T.); #82747=ORIENTED_EDGE('',*,*,#56675,.T.); #82748=ORIENTED_EDGE('',*,*,#56676,.T.); #82749=ORIENTED_EDGE('',*,*,#56677,.T.); #82750=ORIENTED_EDGE('',*,*,#56678,.T.); #82751=ORIENTED_EDGE('',*,*,#56679,.T.); #82752=ORIENTED_EDGE('',*,*,#56680,.T.); #82753=ORIENTED_EDGE('',*,*,#56681,.T.); #82754=ORIENTED_EDGE('',*,*,#56682,.T.); #82755=ORIENTED_EDGE('',*,*,#56683,.T.); #82756=ORIENTED_EDGE('',*,*,#56684,.T.); #82757=ORIENTED_EDGE('',*,*,#56685,.T.); #82758=ORIENTED_EDGE('',*,*,#56677,.F.); #82759=ORIENTED_EDGE('',*,*,#56686,.T.); #82760=ORIENTED_EDGE('',*,*,#56687,.F.); #82761=ORIENTED_EDGE('',*,*,#56688,.F.); #82762=ORIENTED_EDGE('',*,*,#56676,.F.); #82763=ORIENTED_EDGE('',*,*,#56689,.T.); #82764=ORIENTED_EDGE('',*,*,#56690,.F.); #82765=ORIENTED_EDGE('',*,*,#56686,.F.); #82766=ORIENTED_EDGE('',*,*,#56675,.F.); #82767=ORIENTED_EDGE('',*,*,#56691,.T.); #82768=ORIENTED_EDGE('',*,*,#56692,.F.); #82769=ORIENTED_EDGE('',*,*,#56689,.F.); #82770=ORIENTED_EDGE('',*,*,#56674,.F.); #82771=ORIENTED_EDGE('',*,*,#56693,.T.); #82772=ORIENTED_EDGE('',*,*,#56694,.F.); #82773=ORIENTED_EDGE('',*,*,#56691,.F.); #82774=ORIENTED_EDGE('',*,*,#56673,.F.); #82775=ORIENTED_EDGE('',*,*,#56695,.T.); #82776=ORIENTED_EDGE('',*,*,#56696,.F.); #82777=ORIENTED_EDGE('',*,*,#56693,.F.); #82778=ORIENTED_EDGE('',*,*,#56672,.F.); #82779=ORIENTED_EDGE('',*,*,#56697,.T.); #82780=ORIENTED_EDGE('',*,*,#56698,.F.); #82781=ORIENTED_EDGE('',*,*,#56695,.F.); #82782=ORIENTED_EDGE('',*,*,#56671,.F.); #82783=ORIENTED_EDGE('',*,*,#56699,.T.); #82784=ORIENTED_EDGE('',*,*,#56700,.F.); #82785=ORIENTED_EDGE('',*,*,#56697,.F.); #82786=ORIENTED_EDGE('',*,*,#56670,.F.); #82787=ORIENTED_EDGE('',*,*,#56701,.T.); #82788=ORIENTED_EDGE('',*,*,#56702,.F.); #82789=ORIENTED_EDGE('',*,*,#56699,.F.); #82790=ORIENTED_EDGE('',*,*,#56678,.F.); #82791=ORIENTED_EDGE('',*,*,#56688,.T.); #82792=ORIENTED_EDGE('',*,*,#56703,.F.); #82793=ORIENTED_EDGE('',*,*,#56704,.F.); #82794=ORIENTED_EDGE('',*,*,#56679,.F.); #82795=ORIENTED_EDGE('',*,*,#56704,.T.); #82796=ORIENTED_EDGE('',*,*,#56705,.F.); #82797=ORIENTED_EDGE('',*,*,#56706,.F.); #82798=ORIENTED_EDGE('',*,*,#56680,.F.); #82799=ORIENTED_EDGE('',*,*,#56706,.T.); #82800=ORIENTED_EDGE('',*,*,#56707,.F.); #82801=ORIENTED_EDGE('',*,*,#56708,.F.); #82802=ORIENTED_EDGE('',*,*,#56681,.F.); #82803=ORIENTED_EDGE('',*,*,#56708,.T.); #82804=ORIENTED_EDGE('',*,*,#56709,.F.); #82805=ORIENTED_EDGE('',*,*,#56710,.F.); #82806=ORIENTED_EDGE('',*,*,#56682,.F.); #82807=ORIENTED_EDGE('',*,*,#56710,.T.); #82808=ORIENTED_EDGE('',*,*,#56711,.F.); #82809=ORIENTED_EDGE('',*,*,#56712,.F.); #82810=ORIENTED_EDGE('',*,*,#56683,.F.); #82811=ORIENTED_EDGE('',*,*,#56712,.T.); #82812=ORIENTED_EDGE('',*,*,#56713,.F.); #82813=ORIENTED_EDGE('',*,*,#56714,.F.); #82814=ORIENTED_EDGE('',*,*,#56684,.F.); #82815=ORIENTED_EDGE('',*,*,#56714,.T.); #82816=ORIENTED_EDGE('',*,*,#56715,.F.); #82817=ORIENTED_EDGE('',*,*,#56716,.F.); #82818=ORIENTED_EDGE('',*,*,#56685,.F.); #82819=ORIENTED_EDGE('',*,*,#56716,.T.); #82820=ORIENTED_EDGE('',*,*,#56717,.F.); #82821=ORIENTED_EDGE('',*,*,#56701,.F.); #82822=ORIENTED_EDGE('',*,*,#56718,.F.); #82823=ORIENTED_EDGE('',*,*,#56719,.T.); #82824=ORIENTED_EDGE('',*,*,#56720,.F.); #82825=ORIENTED_EDGE('',*,*,#56721,.F.); #82826=ORIENTED_EDGE('',*,*,#56722,.F.); #82827=ORIENTED_EDGE('',*,*,#56723,.T.); #82828=ORIENTED_EDGE('',*,*,#56724,.F.); #82829=ORIENTED_EDGE('',*,*,#56719,.F.); #82830=ORIENTED_EDGE('',*,*,#56725,.F.); #82831=ORIENTED_EDGE('',*,*,#56726,.T.); #82832=ORIENTED_EDGE('',*,*,#56727,.F.); #82833=ORIENTED_EDGE('',*,*,#56723,.F.); #82834=ORIENTED_EDGE('',*,*,#56728,.F.); #82835=ORIENTED_EDGE('',*,*,#56729,.T.); #82836=ORIENTED_EDGE('',*,*,#56730,.F.); #82837=ORIENTED_EDGE('',*,*,#56726,.F.); #82838=ORIENTED_EDGE('',*,*,#56731,.F.); #82839=ORIENTED_EDGE('',*,*,#56732,.T.); #82840=ORIENTED_EDGE('',*,*,#56733,.F.); #82841=ORIENTED_EDGE('',*,*,#56729,.F.); #82842=ORIENTED_EDGE('',*,*,#56734,.F.); #82843=ORIENTED_EDGE('',*,*,#56735,.T.); #82844=ORIENTED_EDGE('',*,*,#56736,.F.); #82845=ORIENTED_EDGE('',*,*,#56732,.F.); #82846=ORIENTED_EDGE('',*,*,#56737,.F.); #82847=ORIENTED_EDGE('',*,*,#56738,.T.); #82848=ORIENTED_EDGE('',*,*,#56739,.F.); #82849=ORIENTED_EDGE('',*,*,#56735,.F.); #82850=ORIENTED_EDGE('',*,*,#56740,.F.); #82851=ORIENTED_EDGE('',*,*,#56741,.T.); #82852=ORIENTED_EDGE('',*,*,#56742,.F.); #82853=ORIENTED_EDGE('',*,*,#56738,.F.); #82854=ORIENTED_EDGE('',*,*,#56743,.F.); #82855=ORIENTED_EDGE('',*,*,#56721,.T.); #82856=ORIENTED_EDGE('',*,*,#56744,.F.); #82857=ORIENTED_EDGE('',*,*,#56745,.F.); #82858=ORIENTED_EDGE('',*,*,#56746,.F.); #82859=ORIENTED_EDGE('',*,*,#56745,.T.); #82860=ORIENTED_EDGE('',*,*,#56747,.F.); #82861=ORIENTED_EDGE('',*,*,#56748,.F.); #82862=ORIENTED_EDGE('',*,*,#56749,.F.); #82863=ORIENTED_EDGE('',*,*,#56748,.T.); #82864=ORIENTED_EDGE('',*,*,#56750,.F.); #82865=ORIENTED_EDGE('',*,*,#56751,.F.); #82866=ORIENTED_EDGE('',*,*,#56752,.F.); #82867=ORIENTED_EDGE('',*,*,#56751,.T.); #82868=ORIENTED_EDGE('',*,*,#56753,.F.); #82869=ORIENTED_EDGE('',*,*,#56754,.F.); #82870=ORIENTED_EDGE('',*,*,#56755,.F.); #82871=ORIENTED_EDGE('',*,*,#56754,.T.); #82872=ORIENTED_EDGE('',*,*,#56756,.F.); #82873=ORIENTED_EDGE('',*,*,#56757,.F.); #82874=ORIENTED_EDGE('',*,*,#56758,.F.); #82875=ORIENTED_EDGE('',*,*,#56757,.T.); #82876=ORIENTED_EDGE('',*,*,#56759,.F.); #82877=ORIENTED_EDGE('',*,*,#56760,.F.); #82878=ORIENTED_EDGE('',*,*,#56761,.F.); #82879=ORIENTED_EDGE('',*,*,#56760,.T.); #82880=ORIENTED_EDGE('',*,*,#56762,.F.); #82881=ORIENTED_EDGE('',*,*,#56763,.F.); #82882=ORIENTED_EDGE('',*,*,#56764,.F.); #82883=ORIENTED_EDGE('',*,*,#56763,.T.); #82884=ORIENTED_EDGE('',*,*,#56765,.F.); #82885=ORIENTED_EDGE('',*,*,#56741,.F.); #82886=ORIENTED_EDGE('',*,*,#56743,.T.); #82887=ORIENTED_EDGE('',*,*,#56746,.T.); #82888=ORIENTED_EDGE('',*,*,#56749,.T.); #82889=ORIENTED_EDGE('',*,*,#56752,.T.); #82890=ORIENTED_EDGE('',*,*,#56755,.T.); #82891=ORIENTED_EDGE('',*,*,#56758,.T.); #82892=ORIENTED_EDGE('',*,*,#56761,.T.); #82893=ORIENTED_EDGE('',*,*,#56764,.T.); #82894=ORIENTED_EDGE('',*,*,#56740,.T.); #82895=ORIENTED_EDGE('',*,*,#56737,.T.); #82896=ORIENTED_EDGE('',*,*,#56734,.T.); #82897=ORIENTED_EDGE('',*,*,#56731,.T.); #82898=ORIENTED_EDGE('',*,*,#56728,.T.); #82899=ORIENTED_EDGE('',*,*,#56725,.T.); #82900=ORIENTED_EDGE('',*,*,#56722,.T.); #82901=ORIENTED_EDGE('',*,*,#56718,.T.); #82902=ORIENTED_EDGE('',*,*,#56766,.T.); #82903=ORIENTED_EDGE('',*,*,#56767,.T.); #82904=ORIENTED_EDGE('',*,*,#56768,.T.); #82905=ORIENTED_EDGE('',*,*,#56769,.T.); #82906=ORIENTED_EDGE('',*,*,#56770,.T.); #82907=ORIENTED_EDGE('',*,*,#56771,.T.); #82908=ORIENTED_EDGE('',*,*,#56772,.T.); #82909=ORIENTED_EDGE('',*,*,#56773,.T.); #82910=ORIENTED_EDGE('',*,*,#56774,.T.); #82911=ORIENTED_EDGE('',*,*,#56775,.T.); #82912=ORIENTED_EDGE('',*,*,#56776,.T.); #82913=ORIENTED_EDGE('',*,*,#56777,.T.); #82914=ORIENTED_EDGE('',*,*,#56778,.T.); #82915=ORIENTED_EDGE('',*,*,#56779,.T.); #82916=ORIENTED_EDGE('',*,*,#56780,.T.); #82917=ORIENTED_EDGE('',*,*,#56781,.T.); #82918=ORIENTED_EDGE('',*,*,#56773,.F.); #82919=ORIENTED_EDGE('',*,*,#56782,.T.); #82920=ORIENTED_EDGE('',*,*,#56783,.F.); #82921=ORIENTED_EDGE('',*,*,#56784,.F.); #82922=ORIENTED_EDGE('',*,*,#56772,.F.); #82923=ORIENTED_EDGE('',*,*,#56785,.T.); #82924=ORIENTED_EDGE('',*,*,#56786,.F.); #82925=ORIENTED_EDGE('',*,*,#56782,.F.); #82926=ORIENTED_EDGE('',*,*,#56771,.F.); #82927=ORIENTED_EDGE('',*,*,#56787,.T.); #82928=ORIENTED_EDGE('',*,*,#56788,.F.); #82929=ORIENTED_EDGE('',*,*,#56785,.F.); #82930=ORIENTED_EDGE('',*,*,#56770,.F.); #82931=ORIENTED_EDGE('',*,*,#56789,.T.); #82932=ORIENTED_EDGE('',*,*,#56790,.F.); #82933=ORIENTED_EDGE('',*,*,#56787,.F.); #82934=ORIENTED_EDGE('',*,*,#56769,.F.); #82935=ORIENTED_EDGE('',*,*,#56791,.T.); #82936=ORIENTED_EDGE('',*,*,#56792,.F.); #82937=ORIENTED_EDGE('',*,*,#56789,.F.); #82938=ORIENTED_EDGE('',*,*,#56768,.F.); #82939=ORIENTED_EDGE('',*,*,#56793,.T.); #82940=ORIENTED_EDGE('',*,*,#56794,.F.); #82941=ORIENTED_EDGE('',*,*,#56791,.F.); #82942=ORIENTED_EDGE('',*,*,#56767,.F.); #82943=ORIENTED_EDGE('',*,*,#56795,.T.); #82944=ORIENTED_EDGE('',*,*,#56796,.F.); #82945=ORIENTED_EDGE('',*,*,#56793,.F.); #82946=ORIENTED_EDGE('',*,*,#56766,.F.); #82947=ORIENTED_EDGE('',*,*,#56797,.T.); #82948=ORIENTED_EDGE('',*,*,#56798,.F.); #82949=ORIENTED_EDGE('',*,*,#56795,.F.); #82950=ORIENTED_EDGE('',*,*,#56774,.F.); #82951=ORIENTED_EDGE('',*,*,#56784,.T.); #82952=ORIENTED_EDGE('',*,*,#56799,.F.); #82953=ORIENTED_EDGE('',*,*,#56800,.F.); #82954=ORIENTED_EDGE('',*,*,#56775,.F.); #82955=ORIENTED_EDGE('',*,*,#56800,.T.); #82956=ORIENTED_EDGE('',*,*,#56801,.F.); #82957=ORIENTED_EDGE('',*,*,#56802,.F.); #82958=ORIENTED_EDGE('',*,*,#56776,.F.); #82959=ORIENTED_EDGE('',*,*,#56802,.T.); #82960=ORIENTED_EDGE('',*,*,#56803,.F.); #82961=ORIENTED_EDGE('',*,*,#56804,.F.); #82962=ORIENTED_EDGE('',*,*,#56777,.F.); #82963=ORIENTED_EDGE('',*,*,#56804,.T.); #82964=ORIENTED_EDGE('',*,*,#56805,.F.); #82965=ORIENTED_EDGE('',*,*,#56806,.F.); #82966=ORIENTED_EDGE('',*,*,#56778,.F.); #82967=ORIENTED_EDGE('',*,*,#56806,.T.); #82968=ORIENTED_EDGE('',*,*,#56807,.F.); #82969=ORIENTED_EDGE('',*,*,#56808,.F.); #82970=ORIENTED_EDGE('',*,*,#56779,.F.); #82971=ORIENTED_EDGE('',*,*,#56808,.T.); #82972=ORIENTED_EDGE('',*,*,#56809,.F.); #82973=ORIENTED_EDGE('',*,*,#56810,.F.); #82974=ORIENTED_EDGE('',*,*,#56780,.F.); #82975=ORIENTED_EDGE('',*,*,#56810,.T.); #82976=ORIENTED_EDGE('',*,*,#56811,.F.); #82977=ORIENTED_EDGE('',*,*,#56812,.F.); #82978=ORIENTED_EDGE('',*,*,#56781,.F.); #82979=ORIENTED_EDGE('',*,*,#56812,.T.); #82980=ORIENTED_EDGE('',*,*,#56813,.F.); #82981=ORIENTED_EDGE('',*,*,#56797,.F.); #82982=ORIENTED_EDGE('',*,*,#56814,.F.); #82983=ORIENTED_EDGE('',*,*,#56815,.T.); #82984=ORIENTED_EDGE('',*,*,#56816,.F.); #82985=ORIENTED_EDGE('',*,*,#56817,.F.); #82986=ORIENTED_EDGE('',*,*,#56818,.F.); #82987=ORIENTED_EDGE('',*,*,#56819,.T.); #82988=ORIENTED_EDGE('',*,*,#56820,.F.); #82989=ORIENTED_EDGE('',*,*,#56815,.F.); #82990=ORIENTED_EDGE('',*,*,#56821,.F.); #82991=ORIENTED_EDGE('',*,*,#56822,.T.); #82992=ORIENTED_EDGE('',*,*,#56823,.F.); #82993=ORIENTED_EDGE('',*,*,#56819,.F.); #82994=ORIENTED_EDGE('',*,*,#56824,.F.); #82995=ORIENTED_EDGE('',*,*,#56825,.T.); #82996=ORIENTED_EDGE('',*,*,#56826,.F.); #82997=ORIENTED_EDGE('',*,*,#56822,.F.); #82998=ORIENTED_EDGE('',*,*,#56827,.F.); #82999=ORIENTED_EDGE('',*,*,#56828,.T.); #83000=ORIENTED_EDGE('',*,*,#56829,.F.); #83001=ORIENTED_EDGE('',*,*,#56825,.F.); #83002=ORIENTED_EDGE('',*,*,#56830,.F.); #83003=ORIENTED_EDGE('',*,*,#56831,.T.); #83004=ORIENTED_EDGE('',*,*,#56832,.F.); #83005=ORIENTED_EDGE('',*,*,#56828,.F.); #83006=ORIENTED_EDGE('',*,*,#56833,.F.); #83007=ORIENTED_EDGE('',*,*,#56834,.T.); #83008=ORIENTED_EDGE('',*,*,#56835,.F.); #83009=ORIENTED_EDGE('',*,*,#56831,.F.); #83010=ORIENTED_EDGE('',*,*,#56836,.F.); #83011=ORIENTED_EDGE('',*,*,#56837,.T.); #83012=ORIENTED_EDGE('',*,*,#56838,.F.); #83013=ORIENTED_EDGE('',*,*,#56834,.F.); #83014=ORIENTED_EDGE('',*,*,#56839,.F.); #83015=ORIENTED_EDGE('',*,*,#56817,.T.); #83016=ORIENTED_EDGE('',*,*,#56840,.F.); #83017=ORIENTED_EDGE('',*,*,#56841,.F.); #83018=ORIENTED_EDGE('',*,*,#56842,.F.); #83019=ORIENTED_EDGE('',*,*,#56841,.T.); #83020=ORIENTED_EDGE('',*,*,#56843,.F.); #83021=ORIENTED_EDGE('',*,*,#56844,.F.); #83022=ORIENTED_EDGE('',*,*,#56845,.F.); #83023=ORIENTED_EDGE('',*,*,#56844,.T.); #83024=ORIENTED_EDGE('',*,*,#56846,.F.); #83025=ORIENTED_EDGE('',*,*,#56847,.F.); #83026=ORIENTED_EDGE('',*,*,#56848,.F.); #83027=ORIENTED_EDGE('',*,*,#56847,.T.); #83028=ORIENTED_EDGE('',*,*,#56849,.F.); #83029=ORIENTED_EDGE('',*,*,#56850,.F.); #83030=ORIENTED_EDGE('',*,*,#56851,.F.); #83031=ORIENTED_EDGE('',*,*,#56850,.T.); #83032=ORIENTED_EDGE('',*,*,#56852,.F.); #83033=ORIENTED_EDGE('',*,*,#56853,.F.); #83034=ORIENTED_EDGE('',*,*,#56854,.F.); #83035=ORIENTED_EDGE('',*,*,#56853,.T.); #83036=ORIENTED_EDGE('',*,*,#56855,.F.); #83037=ORIENTED_EDGE('',*,*,#56856,.F.); #83038=ORIENTED_EDGE('',*,*,#56857,.F.); #83039=ORIENTED_EDGE('',*,*,#56856,.T.); #83040=ORIENTED_EDGE('',*,*,#56858,.F.); #83041=ORIENTED_EDGE('',*,*,#56859,.F.); #83042=ORIENTED_EDGE('',*,*,#56860,.F.); #83043=ORIENTED_EDGE('',*,*,#56859,.T.); #83044=ORIENTED_EDGE('',*,*,#56861,.F.); #83045=ORIENTED_EDGE('',*,*,#56837,.F.); #83046=ORIENTED_EDGE('',*,*,#56839,.T.); #83047=ORIENTED_EDGE('',*,*,#56842,.T.); #83048=ORIENTED_EDGE('',*,*,#56845,.T.); #83049=ORIENTED_EDGE('',*,*,#56848,.T.); #83050=ORIENTED_EDGE('',*,*,#56851,.T.); #83051=ORIENTED_EDGE('',*,*,#56854,.T.); #83052=ORIENTED_EDGE('',*,*,#56857,.T.); #83053=ORIENTED_EDGE('',*,*,#56860,.T.); #83054=ORIENTED_EDGE('',*,*,#56836,.T.); #83055=ORIENTED_EDGE('',*,*,#56833,.T.); #83056=ORIENTED_EDGE('',*,*,#56830,.T.); #83057=ORIENTED_EDGE('',*,*,#56827,.T.); #83058=ORIENTED_EDGE('',*,*,#56824,.T.); #83059=ORIENTED_EDGE('',*,*,#56821,.T.); #83060=ORIENTED_EDGE('',*,*,#56818,.T.); #83061=ORIENTED_EDGE('',*,*,#56814,.T.); #83062=ORIENTED_EDGE('',*,*,#56862,.T.); #83063=ORIENTED_EDGE('',*,*,#56863,.T.); #83064=ORIENTED_EDGE('',*,*,#56864,.T.); #83065=ORIENTED_EDGE('',*,*,#56865,.T.); #83066=ORIENTED_EDGE('',*,*,#56866,.T.); #83067=ORIENTED_EDGE('',*,*,#56867,.T.); #83068=ORIENTED_EDGE('',*,*,#56868,.T.); #83069=ORIENTED_EDGE('',*,*,#56869,.T.); #83070=ORIENTED_EDGE('',*,*,#56870,.T.); #83071=ORIENTED_EDGE('',*,*,#56871,.T.); #83072=ORIENTED_EDGE('',*,*,#56872,.T.); #83073=ORIENTED_EDGE('',*,*,#56873,.T.); #83074=ORIENTED_EDGE('',*,*,#56874,.T.); #83075=ORIENTED_EDGE('',*,*,#56875,.T.); #83076=ORIENTED_EDGE('',*,*,#56876,.T.); #83077=ORIENTED_EDGE('',*,*,#56877,.T.); #83078=ORIENTED_EDGE('',*,*,#56869,.F.); #83079=ORIENTED_EDGE('',*,*,#56878,.T.); #83080=ORIENTED_EDGE('',*,*,#56879,.F.); #83081=ORIENTED_EDGE('',*,*,#56880,.F.); #83082=ORIENTED_EDGE('',*,*,#56868,.F.); #83083=ORIENTED_EDGE('',*,*,#56881,.T.); #83084=ORIENTED_EDGE('',*,*,#56882,.F.); #83085=ORIENTED_EDGE('',*,*,#56878,.F.); #83086=ORIENTED_EDGE('',*,*,#56867,.F.); #83087=ORIENTED_EDGE('',*,*,#56883,.T.); #83088=ORIENTED_EDGE('',*,*,#56884,.F.); #83089=ORIENTED_EDGE('',*,*,#56881,.F.); #83090=ORIENTED_EDGE('',*,*,#56866,.F.); #83091=ORIENTED_EDGE('',*,*,#56885,.T.); #83092=ORIENTED_EDGE('',*,*,#56886,.F.); #83093=ORIENTED_EDGE('',*,*,#56883,.F.); #83094=ORIENTED_EDGE('',*,*,#56865,.F.); #83095=ORIENTED_EDGE('',*,*,#56887,.T.); #83096=ORIENTED_EDGE('',*,*,#56888,.F.); #83097=ORIENTED_EDGE('',*,*,#56885,.F.); #83098=ORIENTED_EDGE('',*,*,#56864,.F.); #83099=ORIENTED_EDGE('',*,*,#56889,.T.); #83100=ORIENTED_EDGE('',*,*,#56890,.F.); #83101=ORIENTED_EDGE('',*,*,#56887,.F.); #83102=ORIENTED_EDGE('',*,*,#56863,.F.); #83103=ORIENTED_EDGE('',*,*,#56891,.T.); #83104=ORIENTED_EDGE('',*,*,#56892,.F.); #83105=ORIENTED_EDGE('',*,*,#56889,.F.); #83106=ORIENTED_EDGE('',*,*,#56862,.F.); #83107=ORIENTED_EDGE('',*,*,#56893,.T.); #83108=ORIENTED_EDGE('',*,*,#56894,.F.); #83109=ORIENTED_EDGE('',*,*,#56891,.F.); #83110=ORIENTED_EDGE('',*,*,#56870,.F.); #83111=ORIENTED_EDGE('',*,*,#56880,.T.); #83112=ORIENTED_EDGE('',*,*,#56895,.F.); #83113=ORIENTED_EDGE('',*,*,#56896,.F.); #83114=ORIENTED_EDGE('',*,*,#56871,.F.); #83115=ORIENTED_EDGE('',*,*,#56896,.T.); #83116=ORIENTED_EDGE('',*,*,#56897,.F.); #83117=ORIENTED_EDGE('',*,*,#56898,.F.); #83118=ORIENTED_EDGE('',*,*,#56872,.F.); #83119=ORIENTED_EDGE('',*,*,#56898,.T.); #83120=ORIENTED_EDGE('',*,*,#56899,.F.); #83121=ORIENTED_EDGE('',*,*,#56900,.F.); #83122=ORIENTED_EDGE('',*,*,#56873,.F.); #83123=ORIENTED_EDGE('',*,*,#56900,.T.); #83124=ORIENTED_EDGE('',*,*,#56901,.F.); #83125=ORIENTED_EDGE('',*,*,#56902,.F.); #83126=ORIENTED_EDGE('',*,*,#56874,.F.); #83127=ORIENTED_EDGE('',*,*,#56902,.T.); #83128=ORIENTED_EDGE('',*,*,#56903,.F.); #83129=ORIENTED_EDGE('',*,*,#56904,.F.); #83130=ORIENTED_EDGE('',*,*,#56875,.F.); #83131=ORIENTED_EDGE('',*,*,#56904,.T.); #83132=ORIENTED_EDGE('',*,*,#56905,.F.); #83133=ORIENTED_EDGE('',*,*,#56906,.F.); #83134=ORIENTED_EDGE('',*,*,#56876,.F.); #83135=ORIENTED_EDGE('',*,*,#56906,.T.); #83136=ORIENTED_EDGE('',*,*,#56907,.F.); #83137=ORIENTED_EDGE('',*,*,#56908,.F.); #83138=ORIENTED_EDGE('',*,*,#56877,.F.); #83139=ORIENTED_EDGE('',*,*,#56908,.T.); #83140=ORIENTED_EDGE('',*,*,#56909,.F.); #83141=ORIENTED_EDGE('',*,*,#56893,.F.); #83142=ORIENTED_EDGE('',*,*,#56910,.F.); #83143=ORIENTED_EDGE('',*,*,#56911,.T.); #83144=ORIENTED_EDGE('',*,*,#56912,.F.); #83145=ORIENTED_EDGE('',*,*,#56913,.F.); #83146=ORIENTED_EDGE('',*,*,#56914,.F.); #83147=ORIENTED_EDGE('',*,*,#56915,.T.); #83148=ORIENTED_EDGE('',*,*,#56916,.F.); #83149=ORIENTED_EDGE('',*,*,#56911,.F.); #83150=ORIENTED_EDGE('',*,*,#56917,.F.); #83151=ORIENTED_EDGE('',*,*,#56918,.T.); #83152=ORIENTED_EDGE('',*,*,#56919,.F.); #83153=ORIENTED_EDGE('',*,*,#56915,.F.); #83154=ORIENTED_EDGE('',*,*,#56920,.F.); #83155=ORIENTED_EDGE('',*,*,#56921,.T.); #83156=ORIENTED_EDGE('',*,*,#56922,.F.); #83157=ORIENTED_EDGE('',*,*,#56918,.F.); #83158=ORIENTED_EDGE('',*,*,#56923,.F.); #83159=ORIENTED_EDGE('',*,*,#56924,.T.); #83160=ORIENTED_EDGE('',*,*,#56925,.F.); #83161=ORIENTED_EDGE('',*,*,#56921,.F.); #83162=ORIENTED_EDGE('',*,*,#56926,.F.); #83163=ORIENTED_EDGE('',*,*,#56927,.T.); #83164=ORIENTED_EDGE('',*,*,#56928,.F.); #83165=ORIENTED_EDGE('',*,*,#56924,.F.); #83166=ORIENTED_EDGE('',*,*,#56929,.F.); #83167=ORIENTED_EDGE('',*,*,#56930,.T.); #83168=ORIENTED_EDGE('',*,*,#56931,.F.); #83169=ORIENTED_EDGE('',*,*,#56927,.F.); #83170=ORIENTED_EDGE('',*,*,#56932,.F.); #83171=ORIENTED_EDGE('',*,*,#56933,.T.); #83172=ORIENTED_EDGE('',*,*,#56934,.F.); #83173=ORIENTED_EDGE('',*,*,#56930,.F.); #83174=ORIENTED_EDGE('',*,*,#56935,.F.); #83175=ORIENTED_EDGE('',*,*,#56913,.T.); #83176=ORIENTED_EDGE('',*,*,#56936,.F.); #83177=ORIENTED_EDGE('',*,*,#56937,.F.); #83178=ORIENTED_EDGE('',*,*,#56938,.F.); #83179=ORIENTED_EDGE('',*,*,#56937,.T.); #83180=ORIENTED_EDGE('',*,*,#56939,.F.); #83181=ORIENTED_EDGE('',*,*,#56940,.F.); #83182=ORIENTED_EDGE('',*,*,#56941,.F.); #83183=ORIENTED_EDGE('',*,*,#56940,.T.); #83184=ORIENTED_EDGE('',*,*,#56942,.F.); #83185=ORIENTED_EDGE('',*,*,#56943,.F.); #83186=ORIENTED_EDGE('',*,*,#56944,.F.); #83187=ORIENTED_EDGE('',*,*,#56943,.T.); #83188=ORIENTED_EDGE('',*,*,#56945,.F.); #83189=ORIENTED_EDGE('',*,*,#56946,.F.); #83190=ORIENTED_EDGE('',*,*,#56947,.F.); #83191=ORIENTED_EDGE('',*,*,#56946,.T.); #83192=ORIENTED_EDGE('',*,*,#56948,.F.); #83193=ORIENTED_EDGE('',*,*,#56949,.F.); #83194=ORIENTED_EDGE('',*,*,#56950,.F.); #83195=ORIENTED_EDGE('',*,*,#56949,.T.); #83196=ORIENTED_EDGE('',*,*,#56951,.F.); #83197=ORIENTED_EDGE('',*,*,#56952,.F.); #83198=ORIENTED_EDGE('',*,*,#56953,.F.); #83199=ORIENTED_EDGE('',*,*,#56952,.T.); #83200=ORIENTED_EDGE('',*,*,#56954,.F.); #83201=ORIENTED_EDGE('',*,*,#56955,.F.); #83202=ORIENTED_EDGE('',*,*,#56956,.F.); #83203=ORIENTED_EDGE('',*,*,#56955,.T.); #83204=ORIENTED_EDGE('',*,*,#56957,.F.); #83205=ORIENTED_EDGE('',*,*,#56933,.F.); #83206=ORIENTED_EDGE('',*,*,#56935,.T.); #83207=ORIENTED_EDGE('',*,*,#56938,.T.); #83208=ORIENTED_EDGE('',*,*,#56941,.T.); #83209=ORIENTED_EDGE('',*,*,#56944,.T.); #83210=ORIENTED_EDGE('',*,*,#56947,.T.); #83211=ORIENTED_EDGE('',*,*,#56950,.T.); #83212=ORIENTED_EDGE('',*,*,#56953,.T.); #83213=ORIENTED_EDGE('',*,*,#56956,.T.); #83214=ORIENTED_EDGE('',*,*,#56932,.T.); #83215=ORIENTED_EDGE('',*,*,#56929,.T.); #83216=ORIENTED_EDGE('',*,*,#56926,.T.); #83217=ORIENTED_EDGE('',*,*,#56923,.T.); #83218=ORIENTED_EDGE('',*,*,#56920,.T.); #83219=ORIENTED_EDGE('',*,*,#56917,.T.); #83220=ORIENTED_EDGE('',*,*,#56914,.T.); #83221=ORIENTED_EDGE('',*,*,#56910,.T.); #83222=ORIENTED_EDGE('',*,*,#56958,.T.); #83223=ORIENTED_EDGE('',*,*,#56959,.T.); #83224=ORIENTED_EDGE('',*,*,#56960,.T.); #83225=ORIENTED_EDGE('',*,*,#56961,.F.); #83226=ORIENTED_EDGE('',*,*,#56962,.T.); #83227=ORIENTED_EDGE('',*,*,#56963,.T.); #83228=ORIENTED_EDGE('',*,*,#56964,.T.); #83229=ORIENTED_EDGE('',*,*,#56959,.F.); #83230=ORIENTED_EDGE('',*,*,#56965,.T.); #83231=ORIENTED_EDGE('',*,*,#56966,.T.); #83232=ORIENTED_EDGE('',*,*,#56967,.T.); #83233=ORIENTED_EDGE('',*,*,#56963,.F.); #83234=ORIENTED_EDGE('',*,*,#56968,.T.); #83235=ORIENTED_EDGE('',*,*,#56969,.T.); #83236=ORIENTED_EDGE('',*,*,#56970,.T.); #83237=ORIENTED_EDGE('',*,*,#56966,.F.); #83238=ORIENTED_EDGE('',*,*,#56958,.F.); #83239=ORIENTED_EDGE('',*,*,#56971,.F.); #83240=ORIENTED_EDGE('',*,*,#56972,.F.); #83241=ORIENTED_EDGE('',*,*,#56973,.F.); #83242=ORIENTED_EDGE('',*,*,#56974,.F.); #83243=ORIENTED_EDGE('',*,*,#56968,.F.); #83244=ORIENTED_EDGE('',*,*,#56965,.F.); #83245=ORIENTED_EDGE('',*,*,#56962,.F.); #83246=ORIENTED_EDGE('',*,*,#56423,.T.); #83247=ORIENTED_EDGE('',*,*,#56426,.T.); #83248=ORIENTED_EDGE('',*,*,#56428,.T.); #83249=ORIENTED_EDGE('',*,*,#56430,.T.); #83250=ORIENTED_EDGE('',*,*,#56432,.T.); #83251=ORIENTED_EDGE('',*,*,#56434,.T.); #83252=ORIENTED_EDGE('',*,*,#56436,.T.); #83253=ORIENTED_EDGE('',*,*,#56438,.T.); #83254=ORIENTED_EDGE('',*,*,#56453,.T.); #83255=ORIENTED_EDGE('',*,*,#56451,.T.); #83256=ORIENTED_EDGE('',*,*,#56449,.T.); #83257=ORIENTED_EDGE('',*,*,#56447,.T.); #83258=ORIENTED_EDGE('',*,*,#56445,.T.); #83259=ORIENTED_EDGE('',*,*,#56443,.T.); #83260=ORIENTED_EDGE('',*,*,#56441,.T.); #83261=ORIENTED_EDGE('',*,*,#56439,.T.); #83262=ORIENTED_EDGE('',*,*,#56456,.T.); #83263=ORIENTED_EDGE('',*,*,#56460,.T.); #83264=ORIENTED_EDGE('',*,*,#56463,.T.); #83265=ORIENTED_EDGE('',*,*,#56471,.T.); #83266=ORIENTED_EDGE('',*,*,#56468,.T.); #83267=ORIENTED_EDGE('',*,*,#56465,.T.); #83268=ORIENTED_EDGE('',*,*,#56479,.T.); #83269=ORIENTED_EDGE('',*,*,#56482,.T.); #83270=ORIENTED_EDGE('',*,*,#56484,.T.); #83271=ORIENTED_EDGE('',*,*,#56489,.T.); #83272=ORIENTED_EDGE('',*,*,#56487,.T.); #83273=ORIENTED_EDGE('',*,*,#56485,.T.); #83274=ORIENTED_EDGE('',*,*,#56492,.T.); #83275=ORIENTED_EDGE('',*,*,#56496,.T.); #83276=ORIENTED_EDGE('',*,*,#56499,.T.); #83277=ORIENTED_EDGE('',*,*,#56507,.T.); #83278=ORIENTED_EDGE('',*,*,#56504,.T.); #83279=ORIENTED_EDGE('',*,*,#56501,.T.); #83280=ORIENTED_EDGE('',*,*,#56515,.T.); #83281=ORIENTED_EDGE('',*,*,#56518,.T.); #83282=ORIENTED_EDGE('',*,*,#56520,.T.); #83283=ORIENTED_EDGE('',*,*,#56525,.T.); #83284=ORIENTED_EDGE('',*,*,#56523,.T.); #83285=ORIENTED_EDGE('',*,*,#56521,.T.); #83286=ORIENTED_EDGE('',*,*,#56528,.T.); #83287=ORIENTED_EDGE('',*,*,#56532,.T.); #83288=ORIENTED_EDGE('',*,*,#56535,.T.); #83289=ORIENTED_EDGE('',*,*,#56538,.T.); #83290=ORIENTED_EDGE('',*,*,#56541,.T.); #83291=ORIENTED_EDGE('',*,*,#56544,.T.); #83292=ORIENTED_EDGE('',*,*,#56547,.T.); #83293=ORIENTED_EDGE('',*,*,#56550,.T.); #83294=ORIENTED_EDGE('',*,*,#56573,.T.); #83295=ORIENTED_EDGE('',*,*,#56570,.T.); #83296=ORIENTED_EDGE('',*,*,#56567,.T.); #83297=ORIENTED_EDGE('',*,*,#56564,.T.); #83298=ORIENTED_EDGE('',*,*,#56561,.T.); #83299=ORIENTED_EDGE('',*,*,#56558,.T.); #83300=ORIENTED_EDGE('',*,*,#56555,.T.); #83301=ORIENTED_EDGE('',*,*,#56552,.T.); #83302=ORIENTED_EDGE('',*,*,#56591,.T.); #83303=ORIENTED_EDGE('',*,*,#56594,.T.); #83304=ORIENTED_EDGE('',*,*,#56596,.T.); #83305=ORIENTED_EDGE('',*,*,#56598,.T.); #83306=ORIENTED_EDGE('',*,*,#56600,.T.); #83307=ORIENTED_EDGE('',*,*,#56602,.T.); #83308=ORIENTED_EDGE('',*,*,#56604,.T.); #83309=ORIENTED_EDGE('',*,*,#56606,.T.); #83310=ORIENTED_EDGE('',*,*,#56621,.T.); #83311=ORIENTED_EDGE('',*,*,#56619,.T.); #83312=ORIENTED_EDGE('',*,*,#56617,.T.); #83313=ORIENTED_EDGE('',*,*,#56615,.T.); #83314=ORIENTED_EDGE('',*,*,#56613,.T.); #83315=ORIENTED_EDGE('',*,*,#56611,.T.); #83316=ORIENTED_EDGE('',*,*,#56609,.T.); #83317=ORIENTED_EDGE('',*,*,#56607,.T.); #83318=ORIENTED_EDGE('',*,*,#56624,.T.); #83319=ORIENTED_EDGE('',*,*,#56628,.T.); #83320=ORIENTED_EDGE('',*,*,#56631,.T.); #83321=ORIENTED_EDGE('',*,*,#56634,.T.); #83322=ORIENTED_EDGE('',*,*,#56637,.T.); #83323=ORIENTED_EDGE('',*,*,#56640,.T.); #83324=ORIENTED_EDGE('',*,*,#56643,.T.); #83325=ORIENTED_EDGE('',*,*,#56646,.T.); #83326=ORIENTED_EDGE('',*,*,#56669,.T.); #83327=ORIENTED_EDGE('',*,*,#56666,.T.); #83328=ORIENTED_EDGE('',*,*,#56663,.T.); #83329=ORIENTED_EDGE('',*,*,#56660,.T.); #83330=ORIENTED_EDGE('',*,*,#56657,.T.); #83331=ORIENTED_EDGE('',*,*,#56654,.T.); #83332=ORIENTED_EDGE('',*,*,#56651,.T.); #83333=ORIENTED_EDGE('',*,*,#56648,.T.); #83334=ORIENTED_EDGE('',*,*,#56687,.T.); #83335=ORIENTED_EDGE('',*,*,#56690,.T.); #83336=ORIENTED_EDGE('',*,*,#56692,.T.); #83337=ORIENTED_EDGE('',*,*,#56694,.T.); #83338=ORIENTED_EDGE('',*,*,#56696,.T.); #83339=ORIENTED_EDGE('',*,*,#56698,.T.); #83340=ORIENTED_EDGE('',*,*,#56700,.T.); #83341=ORIENTED_EDGE('',*,*,#56702,.T.); #83342=ORIENTED_EDGE('',*,*,#56717,.T.); #83343=ORIENTED_EDGE('',*,*,#56715,.T.); #83344=ORIENTED_EDGE('',*,*,#56713,.T.); #83345=ORIENTED_EDGE('',*,*,#56711,.T.); #83346=ORIENTED_EDGE('',*,*,#56709,.T.); #83347=ORIENTED_EDGE('',*,*,#56707,.T.); #83348=ORIENTED_EDGE('',*,*,#56705,.T.); #83349=ORIENTED_EDGE('',*,*,#56703,.T.); #83350=ORIENTED_EDGE('',*,*,#56720,.T.); #83351=ORIENTED_EDGE('',*,*,#56724,.T.); #83352=ORIENTED_EDGE('',*,*,#56727,.T.); #83353=ORIENTED_EDGE('',*,*,#56730,.T.); #83354=ORIENTED_EDGE('',*,*,#56733,.T.); #83355=ORIENTED_EDGE('',*,*,#56736,.T.); #83356=ORIENTED_EDGE('',*,*,#56739,.T.); #83357=ORIENTED_EDGE('',*,*,#56742,.T.); #83358=ORIENTED_EDGE('',*,*,#56765,.T.); #83359=ORIENTED_EDGE('',*,*,#56762,.T.); #83360=ORIENTED_EDGE('',*,*,#56759,.T.); #83361=ORIENTED_EDGE('',*,*,#56756,.T.); #83362=ORIENTED_EDGE('',*,*,#56753,.T.); #83363=ORIENTED_EDGE('',*,*,#56750,.T.); #83364=ORIENTED_EDGE('',*,*,#56747,.T.); #83365=ORIENTED_EDGE('',*,*,#56744,.T.); #83366=ORIENTED_EDGE('',*,*,#56783,.T.); #83367=ORIENTED_EDGE('',*,*,#56786,.T.); #83368=ORIENTED_EDGE('',*,*,#56788,.T.); #83369=ORIENTED_EDGE('',*,*,#56790,.T.); #83370=ORIENTED_EDGE('',*,*,#56792,.T.); #83371=ORIENTED_EDGE('',*,*,#56794,.T.); #83372=ORIENTED_EDGE('',*,*,#56796,.T.); #83373=ORIENTED_EDGE('',*,*,#56798,.T.); #83374=ORIENTED_EDGE('',*,*,#56813,.T.); #83375=ORIENTED_EDGE('',*,*,#56811,.T.); #83376=ORIENTED_EDGE('',*,*,#56809,.T.); #83377=ORIENTED_EDGE('',*,*,#56807,.T.); #83378=ORIENTED_EDGE('',*,*,#56805,.T.); #83379=ORIENTED_EDGE('',*,*,#56803,.T.); #83380=ORIENTED_EDGE('',*,*,#56801,.T.); #83381=ORIENTED_EDGE('',*,*,#56799,.T.); #83382=ORIENTED_EDGE('',*,*,#56816,.T.); #83383=ORIENTED_EDGE('',*,*,#56820,.T.); #83384=ORIENTED_EDGE('',*,*,#56823,.T.); #83385=ORIENTED_EDGE('',*,*,#56826,.T.); #83386=ORIENTED_EDGE('',*,*,#56829,.T.); #83387=ORIENTED_EDGE('',*,*,#56832,.T.); #83388=ORIENTED_EDGE('',*,*,#56835,.T.); #83389=ORIENTED_EDGE('',*,*,#56838,.T.); #83390=ORIENTED_EDGE('',*,*,#56861,.T.); #83391=ORIENTED_EDGE('',*,*,#56858,.T.); #83392=ORIENTED_EDGE('',*,*,#56855,.T.); #83393=ORIENTED_EDGE('',*,*,#56852,.T.); #83394=ORIENTED_EDGE('',*,*,#56849,.T.); #83395=ORIENTED_EDGE('',*,*,#56846,.T.); #83396=ORIENTED_EDGE('',*,*,#56843,.T.); #83397=ORIENTED_EDGE('',*,*,#56840,.T.); #83398=ORIENTED_EDGE('',*,*,#56879,.T.); #83399=ORIENTED_EDGE('',*,*,#56882,.T.); #83400=ORIENTED_EDGE('',*,*,#56884,.T.); #83401=ORIENTED_EDGE('',*,*,#56886,.T.); #83402=ORIENTED_EDGE('',*,*,#56888,.T.); #83403=ORIENTED_EDGE('',*,*,#56890,.T.); #83404=ORIENTED_EDGE('',*,*,#56892,.T.); #83405=ORIENTED_EDGE('',*,*,#56894,.T.); #83406=ORIENTED_EDGE('',*,*,#56909,.T.); #83407=ORIENTED_EDGE('',*,*,#56907,.T.); #83408=ORIENTED_EDGE('',*,*,#56905,.T.); #83409=ORIENTED_EDGE('',*,*,#56903,.T.); #83410=ORIENTED_EDGE('',*,*,#56901,.T.); #83411=ORIENTED_EDGE('',*,*,#56899,.T.); #83412=ORIENTED_EDGE('',*,*,#56897,.T.); #83413=ORIENTED_EDGE('',*,*,#56895,.T.); #83414=ORIENTED_EDGE('',*,*,#56912,.T.); #83415=ORIENTED_EDGE('',*,*,#56916,.T.); #83416=ORIENTED_EDGE('',*,*,#56919,.T.); #83417=ORIENTED_EDGE('',*,*,#56922,.T.); #83418=ORIENTED_EDGE('',*,*,#56925,.T.); #83419=ORIENTED_EDGE('',*,*,#56928,.T.); #83420=ORIENTED_EDGE('',*,*,#56931,.T.); #83421=ORIENTED_EDGE('',*,*,#56934,.T.); #83422=ORIENTED_EDGE('',*,*,#56957,.T.); #83423=ORIENTED_EDGE('',*,*,#56954,.T.); #83424=ORIENTED_EDGE('',*,*,#56951,.T.); #83425=ORIENTED_EDGE('',*,*,#56948,.T.); #83426=ORIENTED_EDGE('',*,*,#56945,.T.); #83427=ORIENTED_EDGE('',*,*,#56942,.T.); #83428=ORIENTED_EDGE('',*,*,#56939,.T.); #83429=ORIENTED_EDGE('',*,*,#56936,.T.); #83430=ORIENTED_EDGE('',*,*,#56974,.T.); #83431=ORIENTED_EDGE('',*,*,#56975,.T.); #83432=ORIENTED_EDGE('',*,*,#56976,.T.); #83433=ORIENTED_EDGE('',*,*,#56969,.F.); #83434=ORIENTED_EDGE('',*,*,#56973,.T.); #83435=ORIENTED_EDGE('',*,*,#56977,.T.); #83436=ORIENTED_EDGE('',*,*,#56978,.T.); #83437=ORIENTED_EDGE('',*,*,#56975,.F.); #83438=ORIENTED_EDGE('',*,*,#56972,.T.); #83439=ORIENTED_EDGE('',*,*,#56979,.T.); #83440=ORIENTED_EDGE('',*,*,#56980,.T.); #83441=ORIENTED_EDGE('',*,*,#56977,.F.); #83442=ORIENTED_EDGE('',*,*,#56971,.T.); #83443=ORIENTED_EDGE('',*,*,#56961,.T.); #83444=ORIENTED_EDGE('',*,*,#56981,.T.); #83445=ORIENTED_EDGE('',*,*,#56979,.F.); #83446=ORIENTED_EDGE('',*,*,#56978,.F.); #83447=ORIENTED_EDGE('',*,*,#56980,.F.); #83448=ORIENTED_EDGE('',*,*,#56981,.F.); #83449=ORIENTED_EDGE('',*,*,#56960,.F.); #83450=ORIENTED_EDGE('',*,*,#56964,.F.); #83451=ORIENTED_EDGE('',*,*,#56967,.F.); #83452=ORIENTED_EDGE('',*,*,#56970,.F.); #83453=ORIENTED_EDGE('',*,*,#56976,.F.); #83454=ORIENTED_EDGE('',*,*,#56982,.T.); #83455=ORIENTED_EDGE('',*,*,#56983,.T.); #83456=ORIENTED_EDGE('',*,*,#56984,.T.); #83457=ORIENTED_EDGE('',*,*,#56985,.F.); #83458=ORIENTED_EDGE('',*,*,#56986,.T.); #83459=ORIENTED_EDGE('',*,*,#56985,.T.); #83460=ORIENTED_EDGE('',*,*,#56987,.T.); #83461=ORIENTED_EDGE('',*,*,#56988,.F.); #83462=ORIENTED_EDGE('',*,*,#56989,.T.); #83463=ORIENTED_EDGE('',*,*,#56988,.T.); #83464=ORIENTED_EDGE('',*,*,#56990,.T.); #83465=ORIENTED_EDGE('',*,*,#56991,.F.); #83466=ORIENTED_EDGE('',*,*,#56992,.T.); #83467=ORIENTED_EDGE('',*,*,#56991,.T.); #83468=ORIENTED_EDGE('',*,*,#56993,.T.); #83469=ORIENTED_EDGE('',*,*,#56994,.F.); #83470=ORIENTED_EDGE('',*,*,#56995,.T.); #83471=ORIENTED_EDGE('',*,*,#56994,.T.); #83472=ORIENTED_EDGE('',*,*,#56996,.T.); #83473=ORIENTED_EDGE('',*,*,#56997,.F.); #83474=ORIENTED_EDGE('',*,*,#56998,.T.); #83475=ORIENTED_EDGE('',*,*,#56997,.T.); #83476=ORIENTED_EDGE('',*,*,#56999,.T.); #83477=ORIENTED_EDGE('',*,*,#57000,.F.); #83478=ORIENTED_EDGE('',*,*,#57001,.T.); #83479=ORIENTED_EDGE('',*,*,#57000,.T.); #83480=ORIENTED_EDGE('',*,*,#57002,.T.); #83481=ORIENTED_EDGE('',*,*,#57003,.F.); #83482=ORIENTED_EDGE('',*,*,#57004,.T.); #83483=ORIENTED_EDGE('',*,*,#57003,.T.); #83484=ORIENTED_EDGE('',*,*,#57005,.T.); #83485=ORIENTED_EDGE('',*,*,#57006,.F.); #83486=ORIENTED_EDGE('',*,*,#57007,.T.); #83487=ORIENTED_EDGE('',*,*,#57006,.T.); #83488=ORIENTED_EDGE('',*,*,#57008,.T.); #83489=ORIENTED_EDGE('',*,*,#57009,.F.); #83490=ORIENTED_EDGE('',*,*,#57010,.T.); #83491=ORIENTED_EDGE('',*,*,#57009,.T.); #83492=ORIENTED_EDGE('',*,*,#57011,.T.); #83493=ORIENTED_EDGE('',*,*,#56983,.F.); #83494=ORIENTED_EDGE('',*,*,#57012,.T.); #83495=ORIENTED_EDGE('',*,*,#57013,.T.); #83496=ORIENTED_EDGE('',*,*,#56982,.F.); #83497=ORIENTED_EDGE('',*,*,#57014,.F.); #83498=ORIENTED_EDGE('',*,*,#57015,.T.); #83499=ORIENTED_EDGE('',*,*,#57014,.T.); #83500=ORIENTED_EDGE('',*,*,#56986,.F.); #83501=ORIENTED_EDGE('',*,*,#57016,.F.); #83502=ORIENTED_EDGE('',*,*,#57017,.T.); #83503=ORIENTED_EDGE('',*,*,#57016,.T.); #83504=ORIENTED_EDGE('',*,*,#56989,.F.); #83505=ORIENTED_EDGE('',*,*,#57018,.F.); #83506=ORIENTED_EDGE('',*,*,#57019,.T.); #83507=ORIENTED_EDGE('',*,*,#57018,.T.); #83508=ORIENTED_EDGE('',*,*,#56992,.F.); #83509=ORIENTED_EDGE('',*,*,#57020,.F.); #83510=ORIENTED_EDGE('',*,*,#57021,.T.); #83511=ORIENTED_EDGE('',*,*,#57020,.T.); #83512=ORIENTED_EDGE('',*,*,#56995,.F.); #83513=ORIENTED_EDGE('',*,*,#57022,.F.); #83514=ORIENTED_EDGE('',*,*,#57023,.T.); #83515=ORIENTED_EDGE('',*,*,#57022,.T.); #83516=ORIENTED_EDGE('',*,*,#56998,.F.); #83517=ORIENTED_EDGE('',*,*,#57024,.F.); #83518=ORIENTED_EDGE('',*,*,#57025,.T.); #83519=ORIENTED_EDGE('',*,*,#57024,.T.); #83520=ORIENTED_EDGE('',*,*,#57001,.F.); #83521=ORIENTED_EDGE('',*,*,#57026,.F.); #83522=ORIENTED_EDGE('',*,*,#57027,.T.); #83523=ORIENTED_EDGE('',*,*,#57026,.T.); #83524=ORIENTED_EDGE('',*,*,#57004,.F.); #83525=ORIENTED_EDGE('',*,*,#57028,.F.); #83526=ORIENTED_EDGE('',*,*,#57029,.T.); #83527=ORIENTED_EDGE('',*,*,#57028,.T.); #83528=ORIENTED_EDGE('',*,*,#57007,.F.); #83529=ORIENTED_EDGE('',*,*,#57030,.F.); #83530=ORIENTED_EDGE('',*,*,#57031,.T.); #83531=ORIENTED_EDGE('',*,*,#57030,.T.); #83532=ORIENTED_EDGE('',*,*,#57010,.F.); #83533=ORIENTED_EDGE('',*,*,#57013,.F.); #83534=ORIENTED_EDGE('',*,*,#57032,.T.); #83535=ORIENTED_EDGE('',*,*,#57033,.T.); #83536=ORIENTED_EDGE('',*,*,#57012,.F.); #83537=ORIENTED_EDGE('',*,*,#57034,.F.); #83538=ORIENTED_EDGE('',*,*,#57035,.T.); #83539=ORIENTED_EDGE('',*,*,#57034,.T.); #83540=ORIENTED_EDGE('',*,*,#57015,.F.); #83541=ORIENTED_EDGE('',*,*,#57036,.F.); #83542=ORIENTED_EDGE('',*,*,#57037,.T.); #83543=ORIENTED_EDGE('',*,*,#57036,.T.); #83544=ORIENTED_EDGE('',*,*,#57017,.F.); #83545=ORIENTED_EDGE('',*,*,#57038,.F.); #83546=ORIENTED_EDGE('',*,*,#57039,.T.); #83547=ORIENTED_EDGE('',*,*,#57038,.T.); #83548=ORIENTED_EDGE('',*,*,#57019,.F.); #83549=ORIENTED_EDGE('',*,*,#57040,.F.); #83550=ORIENTED_EDGE('',*,*,#57041,.T.); #83551=ORIENTED_EDGE('',*,*,#57040,.T.); #83552=ORIENTED_EDGE('',*,*,#57021,.F.); #83553=ORIENTED_EDGE('',*,*,#57042,.F.); #83554=ORIENTED_EDGE('',*,*,#57043,.T.); #83555=ORIENTED_EDGE('',*,*,#57042,.T.); #83556=ORIENTED_EDGE('',*,*,#57023,.F.); #83557=ORIENTED_EDGE('',*,*,#57044,.F.); #83558=ORIENTED_EDGE('',*,*,#57045,.T.); #83559=ORIENTED_EDGE('',*,*,#57044,.T.); #83560=ORIENTED_EDGE('',*,*,#57025,.F.); #83561=ORIENTED_EDGE('',*,*,#57046,.F.); #83562=ORIENTED_EDGE('',*,*,#57047,.T.); #83563=ORIENTED_EDGE('',*,*,#57046,.T.); #83564=ORIENTED_EDGE('',*,*,#57027,.F.); #83565=ORIENTED_EDGE('',*,*,#57048,.F.); #83566=ORIENTED_EDGE('',*,*,#57049,.T.); #83567=ORIENTED_EDGE('',*,*,#57048,.T.); #83568=ORIENTED_EDGE('',*,*,#57029,.F.); #83569=ORIENTED_EDGE('',*,*,#57050,.F.); #83570=ORIENTED_EDGE('',*,*,#57051,.T.); #83571=ORIENTED_EDGE('',*,*,#57050,.T.); #83572=ORIENTED_EDGE('',*,*,#57031,.F.); #83573=ORIENTED_EDGE('',*,*,#57033,.F.); #83574=ORIENTED_EDGE('',*,*,#57052,.T.); #83575=ORIENTED_EDGE('',*,*,#57053,.T.); #83576=ORIENTED_EDGE('',*,*,#57032,.F.); #83577=ORIENTED_EDGE('',*,*,#57054,.F.); #83578=ORIENTED_EDGE('',*,*,#57055,.T.); #83579=ORIENTED_EDGE('',*,*,#57054,.T.); #83580=ORIENTED_EDGE('',*,*,#57035,.F.); #83581=ORIENTED_EDGE('',*,*,#57056,.F.); #83582=ORIENTED_EDGE('',*,*,#57057,.T.); #83583=ORIENTED_EDGE('',*,*,#57056,.T.); #83584=ORIENTED_EDGE('',*,*,#57037,.F.); #83585=ORIENTED_EDGE('',*,*,#57058,.F.); #83586=ORIENTED_EDGE('',*,*,#57059,.T.); #83587=ORIENTED_EDGE('',*,*,#57058,.T.); #83588=ORIENTED_EDGE('',*,*,#57039,.F.); #83589=ORIENTED_EDGE('',*,*,#57060,.F.); #83590=ORIENTED_EDGE('',*,*,#57061,.T.); #83591=ORIENTED_EDGE('',*,*,#57060,.T.); #83592=ORIENTED_EDGE('',*,*,#57041,.F.); #83593=ORIENTED_EDGE('',*,*,#57062,.F.); #83594=ORIENTED_EDGE('',*,*,#57063,.T.); #83595=ORIENTED_EDGE('',*,*,#57062,.T.); #83596=ORIENTED_EDGE('',*,*,#57043,.F.); #83597=ORIENTED_EDGE('',*,*,#57064,.F.); #83598=ORIENTED_EDGE('',*,*,#57065,.T.); #83599=ORIENTED_EDGE('',*,*,#57064,.T.); #83600=ORIENTED_EDGE('',*,*,#57045,.F.); #83601=ORIENTED_EDGE('',*,*,#57066,.F.); #83602=ORIENTED_EDGE('',*,*,#57067,.T.); #83603=ORIENTED_EDGE('',*,*,#57066,.T.); #83604=ORIENTED_EDGE('',*,*,#57047,.F.); #83605=ORIENTED_EDGE('',*,*,#57068,.F.); #83606=ORIENTED_EDGE('',*,*,#57069,.T.); #83607=ORIENTED_EDGE('',*,*,#57068,.T.); #83608=ORIENTED_EDGE('',*,*,#57049,.F.); #83609=ORIENTED_EDGE('',*,*,#57070,.F.); #83610=ORIENTED_EDGE('',*,*,#57071,.T.); #83611=ORIENTED_EDGE('',*,*,#57070,.T.); #83612=ORIENTED_EDGE('',*,*,#57051,.F.); #83613=ORIENTED_EDGE('',*,*,#57053,.F.); #83614=ORIENTED_EDGE('',*,*,#57072,.T.); #83615=ORIENTED_EDGE('',*,*,#57073,.T.); #83616=ORIENTED_EDGE('',*,*,#57052,.F.); #83617=ORIENTED_EDGE('',*,*,#57074,.F.); #83618=ORIENTED_EDGE('',*,*,#57075,.T.); #83619=ORIENTED_EDGE('',*,*,#57074,.T.); #83620=ORIENTED_EDGE('',*,*,#57055,.F.); #83621=ORIENTED_EDGE('',*,*,#57076,.F.); #83622=ORIENTED_EDGE('',*,*,#57077,.T.); #83623=ORIENTED_EDGE('',*,*,#57076,.T.); #83624=ORIENTED_EDGE('',*,*,#57057,.F.); #83625=ORIENTED_EDGE('',*,*,#57078,.F.); #83626=ORIENTED_EDGE('',*,*,#57079,.T.); #83627=ORIENTED_EDGE('',*,*,#57078,.T.); #83628=ORIENTED_EDGE('',*,*,#57059,.F.); #83629=ORIENTED_EDGE('',*,*,#57080,.F.); #83630=ORIENTED_EDGE('',*,*,#57081,.T.); #83631=ORIENTED_EDGE('',*,*,#57080,.T.); #83632=ORIENTED_EDGE('',*,*,#57061,.F.); #83633=ORIENTED_EDGE('',*,*,#57082,.F.); #83634=ORIENTED_EDGE('',*,*,#57083,.T.); #83635=ORIENTED_EDGE('',*,*,#57082,.T.); #83636=ORIENTED_EDGE('',*,*,#57063,.F.); #83637=ORIENTED_EDGE('',*,*,#57084,.F.); #83638=ORIENTED_EDGE('',*,*,#57085,.T.); #83639=ORIENTED_EDGE('',*,*,#57084,.T.); #83640=ORIENTED_EDGE('',*,*,#57065,.F.); #83641=ORIENTED_EDGE('',*,*,#57086,.F.); #83642=ORIENTED_EDGE('',*,*,#57087,.T.); #83643=ORIENTED_EDGE('',*,*,#57086,.T.); #83644=ORIENTED_EDGE('',*,*,#57067,.F.); #83645=ORIENTED_EDGE('',*,*,#57088,.F.); #83646=ORIENTED_EDGE('',*,*,#57089,.T.); #83647=ORIENTED_EDGE('',*,*,#57088,.T.); #83648=ORIENTED_EDGE('',*,*,#57069,.F.); #83649=ORIENTED_EDGE('',*,*,#57090,.F.); #83650=ORIENTED_EDGE('',*,*,#57091,.T.); #83651=ORIENTED_EDGE('',*,*,#57090,.T.); #83652=ORIENTED_EDGE('',*,*,#57071,.F.); #83653=ORIENTED_EDGE('',*,*,#57073,.F.); #83654=ORIENTED_EDGE('',*,*,#57092,.T.); #83655=ORIENTED_EDGE('',*,*,#57093,.T.); #83656=ORIENTED_EDGE('',*,*,#57072,.F.); #83657=ORIENTED_EDGE('',*,*,#57094,.F.); #83658=ORIENTED_EDGE('',*,*,#57095,.T.); #83659=ORIENTED_EDGE('',*,*,#57094,.T.); #83660=ORIENTED_EDGE('',*,*,#57075,.F.); #83661=ORIENTED_EDGE('',*,*,#57096,.F.); #83662=ORIENTED_EDGE('',*,*,#57097,.T.); #83663=ORIENTED_EDGE('',*,*,#57096,.T.); #83664=ORIENTED_EDGE('',*,*,#57077,.F.); #83665=ORIENTED_EDGE('',*,*,#57098,.F.); #83666=ORIENTED_EDGE('',*,*,#57099,.T.); #83667=ORIENTED_EDGE('',*,*,#57098,.T.); #83668=ORIENTED_EDGE('',*,*,#57079,.F.); #83669=ORIENTED_EDGE('',*,*,#57100,.F.); #83670=ORIENTED_EDGE('',*,*,#57101,.T.); #83671=ORIENTED_EDGE('',*,*,#57100,.T.); #83672=ORIENTED_EDGE('',*,*,#57081,.F.); #83673=ORIENTED_EDGE('',*,*,#57102,.F.); #83674=ORIENTED_EDGE('',*,*,#57103,.T.); #83675=ORIENTED_EDGE('',*,*,#57102,.T.); #83676=ORIENTED_EDGE('',*,*,#57083,.F.); #83677=ORIENTED_EDGE('',*,*,#57104,.F.); #83678=ORIENTED_EDGE('',*,*,#57105,.T.); #83679=ORIENTED_EDGE('',*,*,#57104,.T.); #83680=ORIENTED_EDGE('',*,*,#57085,.F.); #83681=ORIENTED_EDGE('',*,*,#57106,.F.); #83682=ORIENTED_EDGE('',*,*,#57107,.T.); #83683=ORIENTED_EDGE('',*,*,#57106,.T.); #83684=ORIENTED_EDGE('',*,*,#57087,.F.); #83685=ORIENTED_EDGE('',*,*,#57108,.F.); #83686=ORIENTED_EDGE('',*,*,#57109,.T.); #83687=ORIENTED_EDGE('',*,*,#57108,.T.); #83688=ORIENTED_EDGE('',*,*,#57089,.F.); #83689=ORIENTED_EDGE('',*,*,#57110,.F.); #83690=ORIENTED_EDGE('',*,*,#57111,.T.); #83691=ORIENTED_EDGE('',*,*,#57110,.T.); #83692=ORIENTED_EDGE('',*,*,#57091,.F.); #83693=ORIENTED_EDGE('',*,*,#57093,.F.); #83694=ORIENTED_EDGE('',*,*,#57112,.T.); #83695=ORIENTED_EDGE('',*,*,#57113,.T.); #83696=ORIENTED_EDGE('',*,*,#57092,.F.); #83697=ORIENTED_EDGE('',*,*,#57114,.F.); #83698=ORIENTED_EDGE('',*,*,#57115,.T.); #83699=ORIENTED_EDGE('',*,*,#57114,.T.); #83700=ORIENTED_EDGE('',*,*,#57095,.F.); #83701=ORIENTED_EDGE('',*,*,#57116,.F.); #83702=ORIENTED_EDGE('',*,*,#57117,.T.); #83703=ORIENTED_EDGE('',*,*,#57116,.T.); #83704=ORIENTED_EDGE('',*,*,#57097,.F.); #83705=ORIENTED_EDGE('',*,*,#57118,.F.); #83706=ORIENTED_EDGE('',*,*,#57119,.T.); #83707=ORIENTED_EDGE('',*,*,#57118,.T.); #83708=ORIENTED_EDGE('',*,*,#57099,.F.); #83709=ORIENTED_EDGE('',*,*,#57120,.F.); #83710=ORIENTED_EDGE('',*,*,#57121,.T.); #83711=ORIENTED_EDGE('',*,*,#57120,.T.); #83712=ORIENTED_EDGE('',*,*,#57101,.F.); #83713=ORIENTED_EDGE('',*,*,#57122,.F.); #83714=ORIENTED_EDGE('',*,*,#57123,.T.); #83715=ORIENTED_EDGE('',*,*,#57122,.T.); #83716=ORIENTED_EDGE('',*,*,#57103,.F.); #83717=ORIENTED_EDGE('',*,*,#57124,.F.); #83718=ORIENTED_EDGE('',*,*,#57125,.T.); #83719=ORIENTED_EDGE('',*,*,#57124,.T.); #83720=ORIENTED_EDGE('',*,*,#57105,.F.); #83721=ORIENTED_EDGE('',*,*,#57126,.F.); #83722=ORIENTED_EDGE('',*,*,#57127,.T.); #83723=ORIENTED_EDGE('',*,*,#57126,.T.); #83724=ORIENTED_EDGE('',*,*,#57107,.F.); #83725=ORIENTED_EDGE('',*,*,#57128,.F.); #83726=ORIENTED_EDGE('',*,*,#57129,.T.); #83727=ORIENTED_EDGE('',*,*,#57128,.T.); #83728=ORIENTED_EDGE('',*,*,#57109,.F.); #83729=ORIENTED_EDGE('',*,*,#57130,.F.); #83730=ORIENTED_EDGE('',*,*,#57131,.T.); #83731=ORIENTED_EDGE('',*,*,#57130,.T.); #83732=ORIENTED_EDGE('',*,*,#57111,.F.); #83733=ORIENTED_EDGE('',*,*,#57113,.F.); #83734=ORIENTED_EDGE('',*,*,#56984,.F.); #83735=ORIENTED_EDGE('',*,*,#57132,.T.); #83736=ORIENTED_EDGE('',*,*,#57112,.F.); #83737=ORIENTED_EDGE('',*,*,#57133,.F.); #83738=ORIENTED_EDGE('',*,*,#56987,.F.); #83739=ORIENTED_EDGE('',*,*,#57133,.T.); #83740=ORIENTED_EDGE('',*,*,#57115,.F.); #83741=ORIENTED_EDGE('',*,*,#57134,.F.); #83742=ORIENTED_EDGE('',*,*,#56990,.F.); #83743=ORIENTED_EDGE('',*,*,#57134,.T.); #83744=ORIENTED_EDGE('',*,*,#57117,.F.); #83745=ORIENTED_EDGE('',*,*,#57135,.F.); #83746=ORIENTED_EDGE('',*,*,#56993,.F.); #83747=ORIENTED_EDGE('',*,*,#57135,.T.); #83748=ORIENTED_EDGE('',*,*,#57119,.F.); #83749=ORIENTED_EDGE('',*,*,#57136,.F.); #83750=ORIENTED_EDGE('',*,*,#56996,.F.); #83751=ORIENTED_EDGE('',*,*,#57136,.T.); #83752=ORIENTED_EDGE('',*,*,#57121,.F.); #83753=ORIENTED_EDGE('',*,*,#57137,.F.); #83754=ORIENTED_EDGE('',*,*,#56999,.F.); #83755=ORIENTED_EDGE('',*,*,#57137,.T.); #83756=ORIENTED_EDGE('',*,*,#57123,.F.); #83757=ORIENTED_EDGE('',*,*,#57138,.F.); #83758=ORIENTED_EDGE('',*,*,#57002,.F.); #83759=ORIENTED_EDGE('',*,*,#57138,.T.); #83760=ORIENTED_EDGE('',*,*,#57125,.F.); #83761=ORIENTED_EDGE('',*,*,#57139,.F.); #83762=ORIENTED_EDGE('',*,*,#57005,.F.); #83763=ORIENTED_EDGE('',*,*,#57139,.T.); #83764=ORIENTED_EDGE('',*,*,#57127,.F.); #83765=ORIENTED_EDGE('',*,*,#57140,.F.); #83766=ORIENTED_EDGE('',*,*,#57008,.F.); #83767=ORIENTED_EDGE('',*,*,#57140,.T.); #83768=ORIENTED_EDGE('',*,*,#57129,.F.); #83769=ORIENTED_EDGE('',*,*,#57141,.F.); #83770=ORIENTED_EDGE('',*,*,#57011,.F.); #83771=ORIENTED_EDGE('',*,*,#57141,.T.); #83772=ORIENTED_EDGE('',*,*,#57131,.F.); #83773=ORIENTED_EDGE('',*,*,#57132,.F.); #83774=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#120310,#120311,#120312,#120313, #120314,#120315),(#120316,#120317,#120318,#120319,#120320,#120321),(#120322, #120323,#120324,#120325,#120326,#120327),(#120328,#120329,#120330,#120331, #120332,#120333),(#120334,#120335,#120336,#120337,#120338,#120339),(#120340, #120341,#120342,#120343,#120344,#120345)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,4),(4,1,1,4),(0.,0.333333333333333,0.666666666666667,1.),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #83775=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#120364,#120365,#120366,#120367, #120368,#120369),(#120370,#120371,#120372,#120373,#120374,#120375),(#120376, #120377,#120378,#120379,#120380,#120381),(#120382,#120383,#120384,#120385, #120386,#120387),(#120388,#120389,#120390,#120391,#120392,#120393),(#120394, #120395,#120396,#120397,#120398,#120399)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,4),(4,1,1,4),(0.,0.333333333333333,0.666666666666667,1.),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #83776=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#120422,#120423,#120424,#120425, #120426,#120427),(#120428,#120429,#120430,#120431,#120432,#120433),(#120434, #120435,#120436,#120437,#120438,#120439),(#120440,#120441,#120442,#120443, #120444,#120445),(#120446,#120447,#120448,#120449,#120450,#120451),(#120452, #120453,#120454,#120455,#120456,#120457)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,4),(4,1,1,4),(0.,0.333333333333333,0.666666666666667,1.),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #83777=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#120480,#120481,#120482,#120483, #120484,#120485),(#120486,#120487,#120488,#120489,#120490,#120491),(#120492, #120493,#120494,#120495,#120496,#120497),(#120498,#120499,#120500,#120501, #120502,#120503),(#120504,#120505,#120506,#120507,#120508,#120509),(#120510, #120511,#120512,#120513,#120514,#120515)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,4),(4,1,1,4),(0.,0.333333333333333,0.666666666666667,1.),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #83778=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#120526,#120527,#120528,#120529, #120530,#120531),(#120532,#120533,#120534,#120535,#120536,#120537),(#120538, #120539,#120540,#120541,#120542,#120543),(#120544,#120545,#120546,#120547, #120548,#120549),(#120550,#120551,#120552,#120553,#120554,#120555),(#120556, #120557,#120558,#120559,#120560,#120561)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,4),(4,1,1,4),(0.,0.333333333333333,0.666666666666667,1.),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #83779=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121559,#121560,#121561,#121562, #121563,#121564),(#121565,#121566,#121567,#121568,#121569,#121570),(#121571, #121572,#121573,#121574,#121575,#121576),(#121577,#121578,#121579,#121580, #121581,#121582)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0263986995296379, -0.0259183000255238,0.),.UNSPECIFIED.); #83780=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121599,#121600,#121601,#121602, #121603,#121604),(#121605,#121606,#121607,#121608,#121609,#121610),(#121611, #121612,#121613,#121614,#121615,#121616),(#121617,#121618,#121619,#121620, #121621,#121622),(#121623,#121624,#121625,#121626,#121627,#121628)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0605537451632605, 0.100922908605434,0.141292072047608),.UNSPECIFIED.); #83781=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121644,#121645,#121646,#121647), (#121648,#121649,#121650,#121651),(#121652,#121653,#121654,#121655),(#121656, #121657,#121658,#121659),(#121660,#121661,#121662,#121663)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(5.53421043742205E-10, 1.09534261057466),.UNSPECIFIED.); #83782=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121675,#121676,#121677,#121678), (#121679,#121680,#121681,#121682),(#121683,#121684,#121685,#121686),(#121687, #121688,#121689,#121690),(#121691,#121692,#121693,#121694)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0136267210520832), .UNSPECIFIED.); #83783=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121706,#121707,#121708,#121709, #121710,#121711),(#121712,#121713,#121714,#121715,#121716,#121717),(#121718, #121719,#121720,#121721,#121722,#121723),(#121724,#121725,#121726,#121727, #121728,#121729),(#121730,#121731,#121732,#121733,#121734,#121735)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.5,1.),(-0.0818323921774078, 0.,0.000442751702543281),.UNSPECIFIED.); #83784=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121749,#121750,#121751,#121752), (#121753,#121754,#121755,#121756),(#121757,#121758,#121759,#121760),(#121761, #121762,#121763,#121764),(#121765,#121766,#121767,#121768)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.95717470505531, 3.38624517893605),.UNSPECIFIED.); #83785=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121790,#121791,#121792,#121793), (#121794,#121795,#121796,#121797),(#121798,#121799,#121800,#121801),(#121802, #121803,#121804,#121805),(#121806,#121807,#121808,#121809)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,1.20267706970607), .UNSPECIFIED.); #83786=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121821,#121822,#121823,#121824), (#121825,#121826,#121827,#121828),(#121829,#121830,#121831,#121832),(#121833, #121834,#121835,#121836)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.00560947760830167,0.193144958925882),.UNSPECIFIED.); #83787=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121853,#121854,#121855,#121856, #121857,#121858),(#121859,#121860,#121861,#121862,#121863,#121864),(#121865, #121866,#121867,#121868,#121869,#121870),(#121871,#121872,#121873,#121874, #121875,#121876)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000480399503906584, 0.,0.0259183000257323),.UNSPECIFIED.); #83788=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121885,#121886,#121887,#121888, #121889,#121890),(#121891,#121892,#121893,#121894,#121895,#121896),(#121897, #121898,#121899,#121900,#121901,#121902),(#121903,#121904,#121905,#121906, #121907,#121908),(#121909,#121910,#121911,#121912,#121913,#121914)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0605537451632616, 0.100922908605436,0.14129207204761),.UNSPECIFIED.); #83789=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121930,#121931,#121932,#121933), (#121934,#121935,#121936,#121937),(#121938,#121939,#121940,#121941),(#121942, #121943,#121944,#121945),(#121946,#121947,#121948,#121949)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(9.23538199708715E-10, 1.09534261094477),.UNSPECIFIED.); #83790=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121961,#121962,#121963,#121964), (#121965,#121966,#121967,#121968),(#121969,#121970,#121971,#121972),(#121973, #121974,#121975,#121976),(#121977,#121978,#121979,#121980)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(3.14397781045411E-12, 0.01362672105523),.UNSPECIFIED.); #83791=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#121992,#121993,#121994,#121995, #121996,#121997),(#121998,#121999,#122000,#122001,#122002,#122003),(#122004, #122005,#122006,#122007,#122008,#122009),(#122010,#122011,#122012,#122013, #122014,#122015)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0822751438799478, -0.0818323921779039,0.),.UNSPECIFIED.); #83792=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122029,#122030,#122031,#122032), (#122033,#122034,#122035,#122036),(#122037,#122038,#122039,#122040),(#122041, #122042,#122043,#122044),(#122045,#122046,#122047,#122048)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-5.0065053357896E-5, 0.227334080558695),.UNSPECIFIED.); #83793=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122057,#122058,#122059,#122060), (#122061,#122062,#122063,#122064),(#122065,#122066,#122067,#122068),(#122069, #122070,#122071,#122072)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-3.14467518158149, -3.13851012559809),(0.019322356192566,1.26412744016446),.UNSPECIFIED.); #83794=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122073,#122074,#122075,#122076, #122077,#122078),(#122079,#122080,#122081,#122082,#122083,#122084),(#122085, #122086,#122087,#122088,#122089,#122090),(#122091,#122092,#122093,#122094, #122095,#122096)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.025321137497113, -0.0248369541056837,0.),.UNSPECIFIED.); #83795=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122113,#122114,#122115,#122116, #122117,#122118),(#122119,#122120,#122121,#122122,#122123,#122124),(#122125, #122126,#122127,#122128,#122129,#122130),(#122131,#122132,#122133,#122134, #122135,#122136),(#122137,#122138,#122139,#122140,#122141,#122142)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0639140754914368, 0.106523459152395,0.149132842813352),.UNSPECIFIED.); #83796=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122164,#122165,#122166,#122167), (#122168,#122169,#122170,#122171),(#122172,#122173,#122174,#122175),(#122176, #122177,#122178,#122179),(#122180,#122181,#122182,#122183)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0130040602039134), .UNSPECIFIED.); #83797=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122195,#122196,#122197,#122198, #122199,#122200),(#122201,#122202,#122203,#122204,#122205,#122206),(#122207, #122208,#122209,#122210,#122211,#122212),(#122213,#122214,#122215,#122216, #122217,#122218),(#122219,#122220,#122221,#122222,#122223,#122224)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.5,1.),(-0.0782605762713911, 0.,0.000457254031061029),.UNSPECIFIED.); #83798=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122238,#122239,#122240,#122241), (#122242,#122243,#122244,#122245),(#122246,#122247,#122248,#122249),(#122250, #122251,#122252,#122253),(#122254,#122255,#122256,#122257)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.30779581636593, 1.32466407735562),.UNSPECIFIED.); #83799=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122268,#122269,#122270,#122271), (#122272,#122273,#122274,#122275),(#122276,#122277,#122278,#122279),(#122280, #122281,#122282,#122283),(#122284,#122285,#122286,#122287)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,1.33073270948824), .UNSPECIFIED.); #83800=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122299,#122300,#122301,#122302), (#122303,#122304,#122305,#122306),(#122307,#122308,#122309,#122310),(#122311, #122312,#122313,#122314)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-0.0596997573830793,0.0596997573830785),.UNSPECIFIED.); #83801=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122331,#122332,#122333,#122334, #122335,#122336),(#122337,#122338,#122339,#122340,#122341,#122342),(#122343, #122344,#122345,#122346,#122347,#122348),(#122349,#122350,#122351,#122352, #122353,#122354)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000484141454894164, 0.,0.0248369958034287),.UNSPECIFIED.); #83802=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122363,#122364,#122365,#122366, #122367,#122368),(#122369,#122370,#122371,#122372,#122373,#122374),(#122375, #122376,#122377,#122378,#122379,#122380),(#122381,#122382,#122383,#122384, #122385,#122386),(#122387,#122388,#122389,#122390,#122391,#122392)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0639140770044169, 0.106523461674028,0.149132846343639),.UNSPECIFIED.); #83803=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122414,#122415,#122416,#122417), (#122418,#122419,#122420,#122421),(#122422,#122423,#122424,#122425),(#122426, #122427,#122428,#122429),(#122430,#122431,#122432,#122433)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0250373615787474), .UNSPECIFIED.); #83804=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122445,#122446,#122447,#122448, #122449,#122450),(#122451,#122452,#122453,#122454,#122455,#122456),(#122457, #122458,#122459,#122460,#122461,#122462),(#122463,#122464,#122465,#122466, #122467,#122468)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0763160347869043, -0.0758813360701163,0.),.UNSPECIFIED.); #83805=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122482,#122483,#122484,#122485), (#122486,#122487,#122488,#122489),(#122490,#122491,#122492,#122493),(#122494, #122495,#122496,#122497),(#122498,#122499,#122500,#122501)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.14373396730406, -1.13850378211151),.UNSPECIFIED.); #83806=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122505,#122506,#122507,#122508, #122509,#122510),(#122511,#122512,#122513,#122514,#122515,#122516),(#122517, #122518,#122519,#122520,#122521,#122522),(#122523,#122524,#122525,#122526, #122527,#122528)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0253211372583188, -0.0248369958038149,0.),.UNSPECIFIED.); #83807=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122545,#122546,#122547,#122548, #122549,#122550),(#122551,#122552,#122553,#122554,#122555,#122556),(#122557, #122558,#122559,#122560,#122561,#122562),(#122563,#122564,#122565,#122566, #122567,#122568),(#122569,#122570,#122571,#122572,#122573,#122574)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0639140770044193, 0.106523461674032,0.149132846343645),.UNSPECIFIED.); #83808=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122596,#122597,#122598,#122599), (#122600,#122601,#122602,#122603),(#122604,#122605,#122606,#122607),(#122608, #122609,#122610,#122611),(#122612,#122613,#122614,#122615)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0250373615787385), .UNSPECIFIED.); #83809=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122627,#122628,#122629,#122630, #122631,#122632),(#122633,#122634,#122635,#122636,#122637,#122638),(#122639, #122640,#122641,#122642,#122643,#122644),(#122645,#122646,#122647,#122648, #122649,#122650),(#122651,#122652,#122653,#122654,#122655,#122656)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.571428571428571,1.),(-0.0758813360702306, 0.,0.000434698716677442),.UNSPECIFIED.); #83810=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122670,#122671,#122672,#122673), (#122674,#122675,#122676,#122677),(#122678,#122679,#122680,#122681),(#122682, #122683,#122684,#122685),(#122686,#122687,#122688,#122689)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.38100645185604, 1.39752385171852),.UNSPECIFIED.); #83811=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122700,#122701,#122702,#122703), (#122704,#122705,#122706,#122707),(#122708,#122709,#122710,#122711),(#122712, #122713,#122714,#122715),(#122716,#122717,#122718,#122719)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,1.30606652577422), .UNSPECIFIED.); #83812=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122731,#122732,#122733,#122734), (#122735,#122736,#122737,#122738),(#122739,#122740,#122741,#122742),(#122743, #122744,#122745,#122746)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-0.0596997573830778,0.0596997573830808),.UNSPECIFIED.); #83813=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122763,#122764,#122765,#122766, #122767,#122768),(#122769,#122770,#122771,#122772,#122773,#122774),(#122775, #122776,#122777,#122778,#122779,#122780),(#122781,#122782,#122783,#122784, #122785,#122786)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000484183391701218, 0.,0.0248369541054081),.UNSPECIFIED.); #83814=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122795,#122796,#122797,#122798, #122799,#122800),(#122801,#122802,#122803,#122804,#122805,#122806),(#122807, #122808,#122809,#122810,#122811,#122812),(#122813,#122814,#122815,#122816, #122817,#122818),(#122819,#122820,#122821,#122822,#122823,#122824)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.063914075491443, 0.106523459152405,0.149132842813367),.UNSPECIFIED.); #83815=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122846,#122847,#122848,#122849), (#122850,#122851,#122852,#122853),(#122854,#122855,#122856,#122857),(#122858, #122859,#122860,#122861),(#122862,#122863,#122864,#122865)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0130040602039146), .UNSPECIFIED.); #83816=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122877,#122878,#122879,#122880, #122881,#122882),(#122883,#122884,#122885,#122886,#122887,#122888),(#122889, #122890,#122891,#122892,#122893,#122894),(#122895,#122896,#122897,#122898, #122899,#122900)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0787178304134279, -0.078260575382369,-2.55877557293059E-15),.UNSPECIFIED.); #83817=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122914,#122915,#122916,#122917), (#122918,#122919,#122920,#122921),(#122922,#122923,#122924,#122925),(#122926, #122927,#122928,#122929),(#122930,#122931,#122932,#122933)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.13850378211151, 1.14373396730405),.UNSPECIFIED.); #83818=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122939,#122940,#122941,#122942), (#122943,#122944,#122945,#122946),(#122947,#122948,#122949,#122950),(#122951, #122952,#122953,#122954),(#122955,#122956,#122957,#122958)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.32466407735562, -1.30779581636593),.UNSPECIFIED.); #83819=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#122964,#122965,#122966,#122967), (#122968,#122969,#122970,#122971),(#122972,#122973,#122974,#122975),(#122976, #122977,#122978,#122979),(#122980,#122981,#122982,#122983)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.221312317094549, 1.55204502658279),.UNSPECIFIED.); #83820=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123002,#123003,#123004,#123005), (#123006,#123007,#123008,#123009),(#123010,#123011,#123012,#123013),(#123014, #123015,#123016,#123017),(#123018,#123019,#123020,#123021)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.429070473950794), .UNSPECIFIED.); #83821=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123038,#123039,#123040,#123041), (#123042,#123043,#123044,#123045),(#123046,#123047,#123048,#123049),(#123050, #123051,#123052,#123053),(#123054,#123055,#123056,#123057)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.221362091240206, 1.424039160955),.UNSPECIFIED.); #83822=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123080,#123081,#123082,#123083), (#123084,#123085,#123086,#123087),(#123088,#123089,#123090,#123091),(#123092, #123093,#123094,#123095),(#123096,#123097,#123098,#123099)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.39752385171852, -1.38100645185604),.UNSPECIFIED.); #83823=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123105,#123106,#123107,#123108), (#123109,#123110,#123111,#123112),(#123113,#123114,#123115,#123116),(#123117, #123118,#123119,#123120),(#123121,#123122,#123123,#123124)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.221312317094563, 1.52737884286685),.UNSPECIFIED.); #83824=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123143,#123144,#123145,#123146), (#123147,#123148,#123149,#123150),(#123151,#123152,#123153,#123154),(#123155, #123156,#123157,#123158),(#123159,#123160,#123161,#123162)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0418782409273777), .UNSPECIFIED.); #83825=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123177,#123178,#123179,#123180), (#123181,#123182,#123183,#123184),(#123185,#123186,#123187,#123188),(#123189, #123190,#123191,#123192),(#123193,#123194,#123195,#123196)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.25396282439005, 1.31997116678795),.UNSPECIFIED.); #83826=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123202,#123203,#123204,#123205), (#123206,#123207,#123208,#123209),(#123210,#123211,#123212,#123213),(#123214, #123215,#123216,#123217),(#123218,#123219,#123220,#123221)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0401205440632114), .UNSPECIFIED.); #83827=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123239,#123240,#123241,#123242, #123243,#123244),(#123245,#123246,#123247,#123248,#123249,#123250),(#123251, #123252,#123253,#123254,#123255,#123256),(#123257,#123258,#123259,#123260, #123261,#123262),(#123263,#123264,#123265,#123266,#123267,#123268)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0849421887086122, 0.141570314514354,0.198198440320095),.UNSPECIFIED.); #83828=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123290,#123291,#123292,#123293, #123294,#123295),(#123296,#123297,#123298,#123299,#123300,#123301),(#123302, #123303,#123304,#123305,#123306,#123307),(#123308,#123309,#123310,#123311, #123312,#123313),(#123314,#123315,#123316,#123317,#123318,#123319)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(4.41131786010086E-17, 0.0566281103494258,0.113256220698852,0.19819838622299),.UNSPECIFIED.); #83829=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123341,#123342,#123343,#123344), (#123345,#123346,#123347,#123348),(#123349,#123350,#123351,#123352),(#123353, #123354,#123355,#123356),(#123357,#123358,#123359,#123360)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.040120617728706), .UNSPECIFIED.); #83830=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123372,#123373,#123374,#123375), (#123376,#123377,#123378,#123379),(#123380,#123381,#123382,#123383),(#123384, #123385,#123386,#123387),(#123388,#123389,#123390,#123391)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.31997116678795, -1.25396282439005),.UNSPECIFIED.); #83831=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123397,#123398,#123399,#123400), (#123401,#123402,#123403,#123404),(#123405,#123406,#123407,#123408),(#123409, #123410,#123411,#123412),(#123413,#123414,#123415,#123416)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.36472451064302E-16, 0.0418782594706637),.UNSPECIFIED.); #83832=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123434,#123435,#123436,#123437), (#123438,#123439,#123440,#123441),(#123442,#123443,#123444,#123445),(#123446, #123447,#123448,#123449),(#123450,#123451,#123452,#123453)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(7.67570987839647E-17, 0.0418782409273783),.UNSPECIFIED.); #83833=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123465,#123466,#123467,#123468), (#123469,#123470,#123471,#123472),(#123473,#123474,#123475,#123476),(#123477, #123478,#123479,#123480),(#123481,#123482,#123483,#123484)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.25396282439005, 1.31997116678795),.UNSPECIFIED.); #83834=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123490,#123491,#123492,#123493), (#123494,#123495,#123496,#123497),(#123498,#123499,#123500,#123501),(#123502, #123503,#123504,#123505),(#123506,#123507,#123508,#123509)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0401205440632108), .UNSPECIFIED.); #83835=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123527,#123528,#123529,#123530, #123531,#123532),(#123533,#123534,#123535,#123536,#123537,#123538),(#123539, #123540,#123541,#123542,#123543,#123544),(#123545,#123546,#123547,#123548, #123549,#123550),(#123551,#123552,#123553,#123554,#123555,#123556)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(8.13908454597944E-20, 0.0849421887086121,0.141570314514354,0.198198440320095),.UNSPECIFIED.); #83836=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123580,#123581,#123582,#123583, #123584,#123585),(#123586,#123587,#123588,#123589,#123590,#123591),(#123592, #123593,#123594,#123595,#123596,#123597),(#123598,#123599,#123600,#123601, #123602,#123603),(#123604,#123605,#123606,#123607,#123608,#123609)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0849421655241386, 0.141570275873564,0.19819838622299),.UNSPECIFIED.); #83837=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123631,#123632,#123633,#123634), (#123635,#123636,#123637,#123638),(#123639,#123640,#123641,#123642),(#123643, #123644,#123645,#123646),(#123647,#123648,#123649,#123650)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0401206177287054), .UNSPECIFIED.); #83838=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123662,#123663,#123664,#123665), (#123666,#123667,#123668,#123669),(#123670,#123671,#123672,#123673),(#123674, #123675,#123676,#123677),(#123678,#123679,#123680,#123681)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.31997116678795, -1.25396282439005),.UNSPECIFIED.); #83839=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123687,#123688,#123689,#123690), (#123691,#123692,#123693,#123694),(#123695,#123696,#123697,#123698),(#123699, #123700,#123701,#123702),(#123703,#123704,#123705,#123706)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0418782594706642), .UNSPECIFIED.); #83840=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123724,#123725,#123726,#123727), (#123728,#123729,#123730,#123731),(#123732,#123733,#123734,#123735),(#123736, #123737,#123738,#123739),(#123740,#123741,#123742,#123743)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0418782409273778), .UNSPECIFIED.); #83841=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123755,#123756,#123757,#123758), (#123759,#123760,#123761,#123762),(#123763,#123764,#123765,#123766),(#123767, #123768,#123769,#123770),(#123771,#123772,#123773,#123774)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.25396282439005, 1.31997116678795),.UNSPECIFIED.); #83842=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123780,#123781,#123782,#123783), (#123784,#123785,#123786,#123787),(#123788,#123789,#123790,#123791),(#123792, #123793,#123794,#123795),(#123796,#123797,#123798,#123799)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0401205440632114), .UNSPECIFIED.); #83843=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123817,#123818,#123819,#123820, #123821,#123822),(#123823,#123824,#123825,#123826,#123827,#123828),(#123829, #123830,#123831,#123832,#123833,#123834),(#123835,#123836,#123837,#123838, #123839,#123840),(#123841,#123842,#123843,#123844,#123845,#123846)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(2.88864353371997E-20, 0.0849421887085897,0.141570314514316,0.198198440320043),.UNSPECIFIED.); #83844=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123868,#123869,#123870,#123871, #123872,#123873),(#123874,#123875,#123876,#123877,#123878,#123879),(#123880, #123881,#123882,#123883,#123884,#123885),(#123886,#123887,#123888,#123889, #123890,#123891),(#123892,#123893,#123894,#123895,#123896,#123897)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(4.52604356742835E-17, 0.0566281103494258,0.113256220698852,0.19819838622299),.UNSPECIFIED.); #83845=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123919,#123920,#123921,#123922), (#123923,#123924,#123925,#123926),(#123927,#123928,#123929,#123930),(#123931, #123932,#123933,#123934),(#123935,#123936,#123937,#123938)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0401206177287061), .UNSPECIFIED.); #83846=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123950,#123951,#123952,#123953), (#123954,#123955,#123956,#123957),(#123958,#123959,#123960,#123961),(#123962, #123963,#123964,#123965),(#123966,#123967,#123968,#123969)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.31997116678795, -1.25396282439005),.UNSPECIFIED.); #83847=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#123975,#123976,#123977,#123978), (#123979,#123980,#123981,#123982),(#123983,#123984,#123985,#123986),(#123987, #123988,#123989,#123990),(#123991,#123992,#123993,#123994)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.92758356701616E-16, 0.0418782594706638),.UNSPECIFIED.); #83848=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124012,#124013,#124014,#124015), (#124016,#124017,#124018,#124019),(#124020,#124021,#124022,#124023),(#124024, #124025,#124026,#124027),(#124028,#124029,#124030,#124031)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0418782409273779), .UNSPECIFIED.); #83849=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124043,#124044,#124045,#124046), (#124047,#124048,#124049,#124050),(#124051,#124052,#124053,#124054),(#124055, #124056,#124057,#124058),(#124059,#124060,#124061,#124062)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.25396282439005, 1.31997116678795),.UNSPECIFIED.); #83850=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124068,#124069,#124070,#124071), (#124072,#124073,#124074,#124075),(#124076,#124077,#124078,#124079),(#124080, #124081,#124082,#124083),(#124084,#124085,#124086,#124087)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0401205440632118), .UNSPECIFIED.); #83851=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124105,#124106,#124107,#124108, #124109,#124110),(#124111,#124112,#124113,#124114,#124115,#124116),(#124117, #124118,#124119,#124120,#124121,#124122),(#124123,#124124,#124125,#124126, #124127,#124128),(#124129,#124130,#124131,#124132,#124133,#124134)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(4.71799031719729E-16, 0.0566281258057418,0.113256251611483,0.198198440320095),.UNSPECIFIED.); #83852=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124156,#124157,#124158,#124159, #124160,#124161),(#124162,#124163,#124164,#124165,#124166,#124167),(#124168, #124169,#124170,#124171,#124172,#124173),(#124174,#124175,#124176,#124177, #124178,#124179),(#124180,#124181,#124182,#124183,#124184,#124185)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(7.15279187172321E-17, 0.0566281103494258,0.113256220698852,0.19819838622299),.UNSPECIFIED.); #83853=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124207,#124208,#124209,#124210), (#124211,#124212,#124213,#124214),(#124215,#124216,#124217,#124218),(#124219, #124220,#124221,#124222),(#124223,#124224,#124225,#124226)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0401206177287056), .UNSPECIFIED.); #83854=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124238,#124239,#124240,#124241), (#124242,#124243,#124244,#124245),(#124246,#124247,#124248,#124249),(#124250, #124251,#124252,#124253),(#124254,#124255,#124256,#124257)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.31997116678795, -1.25396282439005),.UNSPECIFIED.); #83855=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124263,#124264,#124265,#124266), (#124267,#124268,#124269,#124270),(#124271,#124272,#124273,#124274),(#124275, #124276,#124277,#124278),(#124279,#124280,#124281,#124282)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(4.37701552597333E-16, 0.0418782594702202),.UNSPECIFIED.); #83856=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124453,#124454,#124455,#124456), (#124457,#124458,#124459,#124460),(#124461,#124462,#124463,#124464),(#124465, #124466,#124467,#124468),(#124469,#124470,#124471,#124472)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0431763048246843), .UNSPECIFIED.); #83857=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124481,#124482,#124483,#124484), (#124485,#124486,#124487,#124488),(#124489,#124490,#124491,#124492),(#124493, #124494,#124495,#124496),(#124497,#124498,#124499,#124500)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.16798324277638, 1.25225672430072),.UNSPECIFIED.); #83858=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124507,#124508,#124509,#124510), (#124511,#124512,#124513,#124514),(#124515,#124516,#124517,#124518),(#124519, #124520,#124521,#124522),(#124523,#124524,#124525,#124526)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0402733652188626),.UNSPECIFIED.); #83859=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124533,#124534,#124535,#124536), (#124537,#124538,#124539,#124540),(#124541,#124542,#124543,#124544),(#124545, #124546,#124547,#124548),(#124549,#124550,#124551,#124552)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.211339120155114),.UNSPECIFIED.); #83860=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124559,#124560,#124561,#124562, #124563),(#124564,#124565,#124566,#124567,#124568),(#124569,#124570,#124571, #124572,#124573),(#124574,#124575,#124576,#124577,#124578),(#124579,#124580, #124581,#124582,#124583)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(4.05705774509201E-16,0.113693990284356,0.198964482997623), .UNSPECIFIED.); #83861=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124595,#124596,#124597,#124598, #124599),(#124600,#124601,#124602,#124603,#124604),(#124605,#124606,#124607, #124608,#124609),(#124610,#124611,#124612,#124613,#124614),(#124615,#124616, #124617,#124618,#124619)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(1.89506508550124E-15,0.0852705109389931,0.198964525524315), .UNSPECIFIED.); #83862=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124627,#124628,#124629,#124630), (#124631,#124632,#124633,#124634),(#124635,#124636,#124637,#124638),(#124639, #124640,#124641,#124642),(#124643,#124644,#124645,#124646)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.21133912015511),.UNSPECIFIED.); #83863=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124653,#124654,#124655,#124656), (#124657,#124658,#124659,#124660),(#124661,#124662,#124663,#124664),(#124665, #124666,#124667,#124668),(#124669,#124670,#124671,#124672)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.040273473554689),.UNSPECIFIED.); #83864=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124679,#124680,#124681,#124682), (#124683,#124684,#124685,#124686),(#124687,#124688,#124689,#124690),(#124691, #124692,#124693,#124694),(#124695,#124696,#124697,#124698)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.25225672430071, -1.16798324277638),.UNSPECIFIED.); #83865=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124705,#124706,#124707,#124708), (#124709,#124710,#124711,#124712),(#124713,#124714,#124715,#124716),(#124717, #124718,#124719,#124720),(#124721,#124722,#124723,#124724)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(7.54484478875213E-16, 0.0431763048246853),.UNSPECIFIED.); #83866=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124735,#124736,#124737,#124738), (#124739,#124740,#124741,#124742),(#124743,#124744,#124745,#124746),(#124747, #124748,#124749,#124750),(#124751,#124752,#124753,#124754)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0431762493997741), .UNSPECIFIED.); #83867=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124761,#124762,#124763,#124764), (#124765,#124766,#124767,#124768),(#124769,#124770,#124771,#124772),(#124773, #124774,#124775,#124776),(#124777,#124778,#124779,#124780)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.16798324277638, 1.25225672430072),.UNSPECIFIED.); #83868=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124787,#124788,#124789,#124790), (#124791,#124792,#124793,#124794),(#124795,#124796,#124797,#124798),(#124799, #124800,#124801,#124802),(#124803,#124804,#124805,#124806)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.16494244478662E-16, 0.0402733652188631),.UNSPECIFIED.); #83869=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124813,#124814,#124815,#124816), (#124817,#124818,#124819,#124820),(#124821,#124822,#124823,#124824),(#124825, #124826,#124827,#124828),(#124829,#124830,#124831,#124832)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.211339120155113),.UNSPECIFIED.); #83870=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124839,#124840,#124841,#124842, #124843),(#124844,#124845,#124846,#124847,#124848),(#124849,#124850,#124851, #124852,#124853),(#124854,#124855,#124856,#124857,#124858),(#124859,#124860, #124861,#124862,#124863)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(7.48041233083017E-17,0.113693990284356,0.198964482997624), .UNSPECIFIED.); #83871=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124875,#124876,#124877,#124878, #124879),(#124880,#124881,#124882,#124883,#124884),(#124885,#124886,#124887, #124888,#124889),(#124890,#124891,#124892,#124893,#124894),(#124895,#124896, #124897,#124898,#124899)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0852705109389917,0.198964525524314),.UNSPECIFIED.); #83872=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124907,#124908,#124909,#124910), (#124911,#124912,#124913,#124914),(#124915,#124916,#124917,#124918),(#124919, #124920,#124921,#124922),(#124923,#124924,#124925,#124926)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.211339120155111),.UNSPECIFIED.); #83873=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124933,#124934,#124935,#124936), (#124937,#124938,#124939,#124940),(#124941,#124942,#124943,#124944),(#124945, #124946,#124947,#124948),(#124949,#124950,#124951,#124952)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.90670516161286E-16, 0.040273473554689),.UNSPECIFIED.); #83874=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124959,#124960,#124961,#124962), (#124963,#124964,#124965,#124966),(#124967,#124968,#124969,#124970),(#124971, #124972,#124973,#124974),(#124975,#124976,#124977,#124978)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.25225672430072, -1.16798324277638),.UNSPECIFIED.); #83875=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#124985,#124986,#124987,#124988), (#124989,#124990,#124991,#124992),(#124993,#124994,#124995,#124996),(#124997, #124998,#124999,#125000),(#125001,#125002,#125003,#125004)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0431763048246846), .UNSPECIFIED.); #83876=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125022,#125023,#125024,#125025), (#125026,#125027,#125028,#125029),(#125030,#125031,#125032,#125033),(#125034, #125035,#125036,#125037),(#125038,#125039,#125040,#125041)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0431762493997746), .UNSPECIFIED.); #83877=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125053,#125054,#125055,#125056), (#125057,#125058,#125059,#125060),(#125061,#125062,#125063,#125064),(#125065, #125066,#125067,#125068),(#125069,#125070,#125071,#125072)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.16798324277638, 1.25225672430072),.UNSPECIFIED.); #83878=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125081,#125082,#125083,#125084), (#125085,#125086,#125087,#125088),(#125089,#125090,#125091,#125092),(#125093, #125094,#125095,#125096),(#125097,#125098,#125099,#125100)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0402733652188625),.UNSPECIFIED.); #83879=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125112,#125113,#125114,#125115), (#125116,#125117,#125118,#125119),(#125120,#125121,#125122,#125123),(#125124, #125125,#125126,#125127),(#125128,#125129,#125130,#125131)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.211339120155112),.UNSPECIFIED.); #83880=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125143,#125144,#125145,#125146, #125147),(#125148,#125149,#125150,#125151,#125152),(#125153,#125154,#125155, #125156,#125157),(#125158,#125159,#125160,#125161,#125162),(#125163,#125164, #125165,#125166,#125167)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(3.99298684701371E-16,0.0852704927132668,0.198964482997622), .UNSPECIFIED.); #83881=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125189,#125190,#125191,#125192, #125193),(#125194,#125195,#125196,#125197,#125198),(#125199,#125200,#125201, #125202,#125203),(#125204,#125205,#125206,#125207,#125208),(#125209,#125210, #125211,#125212,#125213)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.085270510938992,0.198964525524315),.UNSPECIFIED.); #83882=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125227,#125228,#125229,#125230), (#125231,#125232,#125233,#125234),(#125235,#125236,#125237,#125238),(#125239, #125240,#125241,#125242),(#125243,#125244,#125245,#125246)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.211339120155109),.UNSPECIFIED.); #83883=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125258,#125259,#125260,#125261), (#125262,#125263,#125264,#125265),(#125266,#125267,#125268,#125269),(#125270, #125271,#125272,#125273),(#125274,#125275,#125276,#125277)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.90458211362586E-16, 0.0402734735546892),.UNSPECIFIED.); #83884=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125289,#125290,#125291,#125292), (#125293,#125294,#125295,#125296),(#125297,#125298,#125299,#125300),(#125301, #125302,#125303,#125304),(#125305,#125306,#125307,#125308)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.25225672430072, -1.16798324277638),.UNSPECIFIED.); #83885=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125317,#125318,#125319,#125320), (#125321,#125322,#125323,#125324),(#125325,#125326,#125327,#125328),(#125329, #125330,#125331,#125332),(#125333,#125334,#125335,#125336)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0431763048246856), .UNSPECIFIED.); #83886=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125354,#125355,#125356,#125357), (#125358,#125359,#125360,#125361),(#125362,#125363,#125364,#125365),(#125366, #125367,#125368,#125369),(#125370,#125371,#125372,#125373)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0431762493997742), .UNSPECIFIED.); #83887=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125385,#125386,#125387,#125388), (#125389,#125390,#125391,#125392),(#125393,#125394,#125395,#125396),(#125397, #125398,#125399,#125400),(#125401,#125402,#125403,#125404)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.16798324277638, 1.25225672430072),.UNSPECIFIED.); #83888=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125413,#125414,#125415,#125416), (#125417,#125418,#125419,#125420),(#125421,#125422,#125423,#125424),(#125425, #125426,#125427,#125428),(#125429,#125430,#125431,#125432)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(3.03777267126876E-19, 0.0402733652188633),.UNSPECIFIED.); #83889=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125444,#125445,#125446,#125447), (#125448,#125449,#125450,#125451),(#125452,#125453,#125454,#125455),(#125456, #125457,#125458,#125459),(#125460,#125461,#125462,#125463)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.211339120155113),.UNSPECIFIED.); #83890=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125475,#125476,#125477,#125478, #125479),(#125480,#125481,#125482,#125483,#125484),(#125485,#125486,#125487, #125488,#125489),(#125490,#125491,#125492,#125493,#125494),(#125495,#125496, #125497,#125498,#125499)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.085270492713267,0.198964482997623),.UNSPECIFIED.); #83891=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125519,#125520,#125521,#125522, #125523),(#125524,#125525,#125526,#125527,#125528),(#125529,#125530,#125531, #125532,#125533),(#125534,#125535,#125536,#125537,#125538),(#125539,#125540, #125541,#125542,#125543)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0852705109389918,0.198964525524314),.UNSPECIFIED.); #83892=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125557,#125558,#125559,#125560), (#125561,#125562,#125563,#125564),(#125565,#125566,#125567,#125568),(#125569, #125570,#125571,#125572),(#125573,#125574,#125575,#125576)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.21133912015511),.UNSPECIFIED.); #83893=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125588,#125589,#125590,#125591), (#125592,#125593,#125594,#125595),(#125596,#125597,#125598,#125599),(#125600, #125601,#125602,#125603),(#125604,#125605,#125606,#125607)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.12721910862014E-16, 0.0402734735546891),.UNSPECIFIED.); #83894=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125619,#125620,#125621,#125622), (#125623,#125624,#125625,#125626),(#125627,#125628,#125629,#125630),(#125631, #125632,#125633,#125634),(#125635,#125636,#125637,#125638)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.25225672430072, -1.16798324277638),.UNSPECIFIED.); #83895=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125646,#125647,#125648,#125649), (#125650,#125651,#125652,#125653),(#125654,#125655,#125656,#125657),(#125658, #125659,#125660,#125661),(#125662,#125663,#125664,#125665)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0431763048246845), .UNSPECIFIED.); #83896=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125803,#125804,#125805,#125806), (#125807,#125808,#125809,#125810),(#125811,#125812,#125813,#125814),(#125815, #125816,#125817,#125818),(#125819,#125820,#125821,#125822)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.46794617248156),.UNSPECIFIED.); #83897=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125837,#125838,#125839,#125840, #125841),(#125842,#125843,#125844,#125845,#125846),(#125847,#125848,#125849, #125850,#125851),(#125852,#125853,#125854,#125855,#125856),(#125857,#125858, #125859,#125860,#125861)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.068466017040998,0.159754039762329),.UNSPECIFIED.); #83898=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125881,#125882,#125883,#125884, #125885),(#125886,#125887,#125888,#125889,#125890),(#125891,#125892,#125893, #125894,#125895),(#125896,#125897,#125898,#125899,#125900),(#125901,#125902, #125903,#125904,#125905)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0688491970387318,0.160648126423707),.UNSPECIFIED.); #83899=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125919,#125920,#125921,#125922), (#125923,#125924,#125925,#125926),(#125927,#125928,#125929,#125930),(#125931, #125932,#125933,#125934),(#125935,#125936,#125937,#125938)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(7.53839680999645E-17, 0.467045853804136),.UNSPECIFIED.); #83900=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125957,#125958,#125959,#125960), (#125961,#125962,#125963,#125964),(#125965,#125966,#125967,#125968),(#125969, #125970,#125971,#125972),(#125973,#125974,#125975,#125976)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.467045853804136),.UNSPECIFIED.); #83901=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#125991,#125992,#125993,#125994, #125995),(#125996,#125997,#125998,#125999,#126000),(#126001,#126002,#126003, #126004,#126005),(#126006,#126007,#126008,#126009,#126010),(#126011,#126012, #126013,#126014,#126015)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(4.0461762138028E-16,0.0917982007779704,0.160646851361448), .UNSPECIFIED.); #83902=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126035,#126036,#126037,#126038, #126039),(#126040,#126041,#126042,#126043,#126044),(#126045,#126046,#126047, #126048,#126049),(#126050,#126051,#126052,#126053,#126054),(#126055,#126056, #126057,#126058,#126059)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0912887537202602,0.159755319010455),.UNSPECIFIED.); #83903=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126073,#126074,#126075,#126076), (#126077,#126078,#126079,#126080),(#126081,#126082,#126083,#126084),(#126085, #126086,#126087,#126088),(#126089,#126090,#126091,#126092)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.64065788703832E-16, 0.467946172481561),.UNSPECIFIED.); #83904=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126117,#126118,#126119,#126120, #126121),(#126122,#126123,#126124,#126125,#126126),(#126127,#126128,#126129, #126130,#126131),(#126132,#126133,#126134,#126135,#126136),(#126137,#126138, #126139,#126140,#126141)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0374873007549083,0.0749746015098166),.UNSPECIFIED.); #83905=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126151,#126152,#126153,#126154, #126155),(#126156,#126157,#126158,#126159,#126160),(#126161,#126162,#126163, #126164,#126165),(#126166,#126167,#126168,#126169,#126170),(#126171,#126172, #126173,#126174,#126175)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0374873007558899,0.0749746015117797),.UNSPECIFIED.); #83906=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126191,#126192,#126193,#126194), (#126195,#126196,#126197,#126198),(#126199,#126200,#126201,#126202),(#126203, #126204,#126205,#126206),(#126207,#126208,#126209,#126210)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.050500243564184, 1.01349706090414),.UNSPECIFIED.); #83907=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126225,#126226,#126227,#126228), (#126229,#126230,#126231,#126232),(#126233,#126234,#126235,#126236),(#126237, #126238,#126239,#126240),(#126241,#126242,#126243,#126244)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0505002434800931, 1.01349706089193),.UNSPECIFIED.); #83908=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126467,#126468,#126469,#126470), (#126471,#126472,#126473,#126474),(#126475,#126476,#126477,#126478),(#126479, #126480,#126481,#126482),(#126483,#126484,#126485,#126486)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.0505817000605423, 1.01341681554361),.UNSPECIFIED.); #83909=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126493,#126494,#126495,#126496, #126497),(#126498,#126499,#126500,#126501,#126502),(#126503,#126504,#126505, #126506,#126507),(#126508,#126509,#126510,#126511,#126512),(#126513,#126514, #126515,#126516,#126517)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0398051181843849,0.0796102363687698),.UNSPECIFIED.); #83910=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126529,#126530,#126531,#126532, #126533),(#126534,#126535,#126536,#126537,#126538),(#126539,#126540,#126541, #126542,#126543),(#126544,#126545,#126546,#126547,#126548),(#126549,#126550, #126551,#126552,#126553)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0401351005354746,0.0802702010709491),.UNSPECIFIED.); #83911=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126561,#126562,#126563,#126564), (#126565,#126566,#126567,#126568),(#126569,#126570,#126571,#126572),(#126573, #126574,#126575,#126576),(#126577,#126578,#126579,#126580)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.26942249164224E-15, 0.467046183993258),.UNSPECIFIED.); #83912=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126587,#126588,#126589,#126590, #126591),(#126592,#126593,#126594,#126595,#126596),(#126597,#126598,#126599, #126600,#126601),(#126602,#126603,#126604,#126605,#126606),(#126607,#126608, #126609,#126610,#126611)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0345510110590108,0.0806190258043585),.UNSPECIFIED.); #83913=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126623,#126624,#126625,#126626, #126627),(#126628,#126629,#126630,#126631,#126632),(#126633,#126634,#126635, #126636,#126637),(#126638,#126639,#126640,#126641,#126642),(#126643,#126644, #126645,#126646,#126647)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0343586349403433,0.0801701481941343),.UNSPECIFIED.); #83914=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126655,#126656,#126657,#126658), (#126659,#126660,#126661,#126662),(#126663,#126664,#126665,#126666),(#126667, #126668,#126669,#126670),(#126671,#126672,#126673,#126674)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.467944620991906),.UNSPECIFIED.); #83915=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126680,#126681,#126682,#126683, #126684),(#126685,#126686,#126687,#126688,#126689),(#126690,#126691,#126692, #126693,#126694),(#126695,#126696,#126697,#126698,#126699),(#126700,#126701, #126702,#126703,#126704)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0399020167172168,0.0798040334344336),.UNSPECIFIED.); #83916=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126708,#126709,#126710,#126711, #126712),(#126713,#126714,#126715,#126716,#126717),(#126718,#126719,#126720, #126721,#126722),(#126723,#126724,#126725,#126726,#126727),(#126728,#126729, #126730,#126731,#126732)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0399015157392631,0.0798030314785262),.UNSPECIFIED.); #83917=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126734,#126735,#126736,#126737), (#126738,#126739,#126740,#126741),(#126742,#126743,#126744,#126745),(#126746, #126747,#126748,#126749),(#126750,#126751,#126752,#126753)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.61070696991109E-16, 0.467944620991907),.UNSPECIFIED.); #83918=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126755,#126756,#126757,#126758, #126759),(#126760,#126761,#126762,#126763,#126764),(#126765,#126766,#126767, #126768,#126769),(#126770,#126771,#126772,#126773,#126774),(#126775,#126776, #126777,#126778,#126779)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0343590824866076,0.0801711924687511),.UNSPECIFIED.); #83919=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126783,#126784,#126785,#126786, #126787),(#126788,#126789,#126790,#126791,#126792),(#126793,#126794,#126795, #126796,#126797),(#126798,#126799,#126800,#126801,#126802),(#126803,#126804, #126805,#126806,#126807)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0345505211574144,0.0806178827006336),.UNSPECIFIED.); #83920=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126809,#126810,#126811,#126812), (#126813,#126814,#126815,#126816),(#126817,#126818,#126819,#126820),(#126821, #126822,#126823,#126824),(#126825,#126826,#126827,#126828)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.467046183993257),.UNSPECIFIED.); #83921=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126830,#126831,#126832,#126833, #126834),(#126835,#126836,#126837,#126838,#126839),(#126840,#126841,#126842, #126843,#126844),(#126845,#126846,#126847,#126848,#126849),(#126850,#126851, #126852,#126853,#126854)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0401356569692459,0.0802713139384917),.UNSPECIFIED.); #83922=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126858,#126859,#126860,#126861, #126862),(#126863,#126864,#126865,#126866,#126867),(#126868,#126869,#126870, #126871,#126872),(#126873,#126874,#126875,#126876,#126877),(#126878,#126879, #126880,#126881,#126882)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0398051198614966,0.0796102397229931),.UNSPECIFIED.); #83923=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#126884,#126885,#126886,#126887), (#126888,#126889,#126890,#126891),(#126892,#126893,#126894,#126895),(#126896, #126897,#126898,#126899),(#126900,#126901,#126902,#126903)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.67250670278754E-16, 0.962835115483067),.UNSPECIFIED.); #83924=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127032,#127033),(#127034,#127035), (#127036,#127037),(#127038,#127039)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83925=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127054,#127055),(#127056,#127057), (#127058,#127059),(#127060,#127061)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83926=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127073,#127074),(#127075,#127076), (#127077,#127078),(#127079,#127080)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83927=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127092,#127093),(#127094,#127095), (#127096,#127097),(#127098,#127099)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83928=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127111,#127112),(#127113,#127114), (#127115,#127116),(#127117,#127118)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83929=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127130,#127131),(#127132,#127133), (#127134,#127135),(#127136,#127137)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83930=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127146,#127147),(#127148,#127149), (#127150,#127151),(#127152,#127153)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83931=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127168,#127169),(#127170,#127171), (#127172,#127173),(#127174,#127175)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83932=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127187,#127188),(#127189,#127190), (#127191,#127192),(#127193,#127194)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83933=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127206,#127207),(#127208,#127209), (#127210,#127211),(#127212,#127213)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83934=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127225,#127226),(#127227,#127228), (#127229,#127230),(#127231,#127232)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83935=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127244,#127245),(#127246,#127247), (#127248,#127249),(#127250,#127251)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83936=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127263,#127264),(#127265,#127266), (#127267,#127268),(#127269,#127270)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83937=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127282,#127283),(#127284,#127285), (#127286,#127287),(#127288,#127289)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83938=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127458,#127459),(#127460,#127461), (#127462,#127463),(#127464,#127465)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83939=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127498,#127499),(#127500,#127501), (#127502,#127503),(#127504,#127505)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83940=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127517,#127518),(#127519,#127520), (#127521,#127522),(#127523,#127524)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83941=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127536,#127537),(#127538,#127539), (#127540,#127541),(#127542,#127543)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83942=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127561,#127562),(#127563,#127564), (#127565,#127566),(#127567,#127568)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83943=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127580,#127581),(#127582,#127583), (#127584,#127585),(#127586,#127587)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83944=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127599,#127600),(#127601,#127602), (#127603,#127604),(#127605,#127606)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83945=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127658,#127659),(#127660,#127661), (#127662,#127663),(#127664,#127665)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83946=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127698,#127699),(#127700,#127701), (#127702,#127703),(#127704,#127705)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83947=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127717,#127718),(#127719,#127720), (#127721,#127722),(#127723,#127724)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83948=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127736,#127737),(#127738,#127739), (#127740,#127741),(#127742,#127743)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83949=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127752,#127753),(#127754,#127755), (#127756,#127757),(#127758,#127759)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83950=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127792,#127793),(#127794,#127795), (#127796,#127797),(#127798,#127799)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83951=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127811,#127812),(#127813,#127814), (#127815,#127816),(#127817,#127818)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83952=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127830,#127831),(#127832,#127833), (#127834,#127835),(#127836,#127837)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83953=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127846,#127847),(#127848,#127849), (#127850,#127851),(#127852,#127853)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83954=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127874,#127875),(#127876,#127877), (#127878,#127879),(#127880,#127881)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83955=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127893,#127894),(#127895,#127896), (#127897,#127898),(#127899,#127900)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83956=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127912,#127913),(#127914,#127915), (#127916,#127917),(#127918,#127919)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83957=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127949,#127950),(#127951,#127952), (#127953,#127954),(#127955,#127956)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83958=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127968,#127969),(#127970,#127971), (#127972,#127973),(#127974,#127975)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83959=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#127994,#127995),(#127996,#127997), (#127998,#127999),(#128000,#128001),(#128002,#128003)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #83960=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128023,#128024),(#128025,#128026), (#128027,#128028)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83961=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128038,#128039),(#128040,#128041), (#128042,#128043),(#128044,#128045)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83962=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128073,#128074),(#128075,#128076), (#128077,#128078),(#128079,#128080)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83963=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128095,#128096),(#128097,#128098), (#128099,#128100)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83964=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128110,#128111),(#128112,#128113), (#128114,#128115)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83965=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128131,#128132),(#128133,#128134), (#128135,#128136)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83966=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128146,#128147),(#128148,#128149), (#128150,#128151)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83967=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128161,#128162),(#128163,#128164), (#128165,#128166)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83968=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128176,#128177),(#128178,#128179), (#128180,#128181)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83969=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128204,#128205),(#128206,#128207), (#128208,#128209),(#128210,#128211)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83970=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128223,#128224),(#128225,#128226), (#128227,#128228),(#128229,#128230),(#128231,#128232)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #83971=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128246,#128247),(#128248,#128249), (#128250,#128251),(#128252,#128253)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83972=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128265,#128266),(#128267,#128268), (#128269,#128270),(#128271,#128272)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83973=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128290,#128291),(#128292,#128293), (#128294,#128295),(#128296,#128297)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83974=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128309,#128310),(#128311,#128312), (#128313,#128314),(#128315,#128316)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83975=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128328,#128329),(#128330,#128331), (#128332,#128333),(#128334,#128335)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83976=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128347,#128348),(#128349,#128350), (#128351,#128352),(#128353,#128354)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83977=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128366,#128367),(#128368,#128369), (#128370,#128371)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83978=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128391,#128392),(#128393,#128394), (#128395,#128396),(#128397,#128398)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83979=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128413,#128414),(#128415,#128416), (#128417,#128418),(#128419,#128420)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83980=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128432,#128433),(#128434,#128435), (#128436,#128437),(#128438,#128439)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83981=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128451,#128452),(#128453,#128454), (#128455,#128456)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254), .UNSPECIFIED.); #83982=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128490,#128491),(#128492,#128493), (#128494,#128495),(#128496,#128497),(#128498,#128499),(#128500,#128501), (#128502,#128503)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,1,1,1,3),(2,2),(0.,1., 2.,3.,4.,5.),(0.,0.0254),.UNSPECIFIED.); #83983=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128521,#128522),(#128523,#128524), (#128525,#128526),(#128527,#128528)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83984=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128540,#128541),(#128542,#128543), (#128544,#128545)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83985=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128555,#128556),(#128557,#128558), (#128559,#128560)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83986=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128668,#128669),(#128670,#128671), (#128672,#128673),(#128674,#128675)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83987=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128693,#128694),(#128695,#128696), (#128697,#128698),(#128699,#128700)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83988=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128737,#128738),(#128739,#128740), (#128741,#128742),(#128743,#128744)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83989=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128756,#128757),(#128758,#128759), (#128760,#128761),(#128762,#128763),(#128764,#128765)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #83990=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128779,#128780),(#128781,#128782), (#128783,#128784)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #83991=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128794,#128795),(#128796,#128797), (#128798,#128799),(#128800,#128801),(#128802,#128803)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #83992=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128817,#128818),(#128819,#128820), (#128821,#128822),(#128823,#128824),(#128825,#128826)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #83993=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128846,#128847),(#128848,#128849), (#128850,#128851),(#128852,#128853),(#128854,#128855)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #83994=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128869,#128870),(#128871,#128872), (#128873,#128874),(#128875,#128876),(#128877,#128878)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #83995=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128892,#128893),(#128894,#128895), (#128896,#128897),(#128898,#128899),(#128900,#128901)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #83996=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128915,#128916),(#128917,#128918), (#128919,#128920),(#128921,#128922)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #83997=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128975,#128976),(#128977,#128978), (#128979,#128980),(#128981,#128982)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83998=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#128997,#128998),(#128999,#129000), (#129001,#129002),(#129003,#129004)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #83999=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129016,#129017),(#129018,#129019), (#129020,#129021),(#129022,#129023)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84000=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129035,#129036),(#129037,#129038), (#129039,#129040),(#129041,#129042),(#129043,#129044)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84001=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129055,#129056),(#129057,#129058), (#129059,#129060),(#129061,#129062)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84002=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129077,#129078),(#129079,#129080), (#129081,#129082)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84003=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129092,#129093),(#129094,#129095), (#129096,#129097)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84004=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129107,#129108),(#129109,#129110), (#129111,#129112),(#129113,#129114)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84005=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129126,#129127),(#129128,#129129), (#129130,#129131),(#129132,#129133),(#129134,#129135)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #84006=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129149,#129150),(#129151,#129152), (#129153,#129154),(#129155,#129156)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84007=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129168,#129169),(#129170,#129171), (#129172,#129173),(#129174,#129175)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84008=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129187,#129188),(#129189,#129190), (#129191,#129192),(#129193,#129194)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84009=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129206,#129207),(#129208,#129209), (#129210,#129211)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84010=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129221,#129222),(#129223,#129224), (#129225,#129226)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84011=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129236,#129237),(#129238,#129239), (#129240,#129241)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84012=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129264,#129265),(#129266,#129267), (#129268,#129269)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254), .UNSPECIFIED.); #84013=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129279,#129280),(#129281,#129282), (#129283,#129284)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254), .UNSPECIFIED.); #84014=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129352,#129353),(#129354,#129355), (#129356,#129357),(#129358,#129359)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84015=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129374,#129375),(#129376,#129377), (#129378,#129379),(#129380,#129381)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84016=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129393,#129394),(#129395,#129396), (#129397,#129398)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254), .UNSPECIFIED.); #84017=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129444,#129445),(#129446,#129447), (#129448,#129449),(#129450,#129451)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84018=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129463,#129464),(#129465,#129466), (#129467,#129468),(#129469,#129470),(#129471,#129472)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #84019=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#129486,#129487),(#129488,#129489), (#129490,#129491)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84020=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133197,#133198),(#133199,#133200), (#133201,#133202)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84021=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133212,#133213),(#133214,#133215), (#133216,#133217)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84022=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133227,#133228),(#133229,#133230), (#133231,#133232)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84023=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133242,#133243),(#133244,#133245), (#133246,#133247)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84024=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133263,#133264),(#133265,#133266), (#133267,#133268)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84025=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133278,#133279),(#133280,#133281), (#133282,#133283)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84026=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133293,#133294),(#133295,#133296), (#133297,#133298),(#133299,#133300)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84027=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133371,#133372),(#133373,#133374), (#133375,#133376),(#133377,#133378)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84028=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133393,#133394),(#133395,#133396), (#133397,#133398)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84029=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133408,#133409),(#133410,#133411), (#133412,#133413)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84030=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133423,#133424),(#133425,#133426), (#133427,#133428),(#133429,#133430)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84031=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133442,#133443),(#133444,#133445), (#133446,#133447)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84032=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133493,#133494),(#133495,#133496), (#133497,#133498),(#133499,#133500)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84033=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133512,#133513),(#133514,#133515), (#133516,#133517),(#133518,#133519)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84034=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133531,#133532),(#133533,#133534), (#133535,#133536),(#133537,#133538)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84035=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133550,#133551),(#133552,#133553), (#133554,#133555),(#133556,#133557)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84036=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133636,#133637),(#133638,#133639), (#133640,#133641)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84037=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133651,#133652),(#133653,#133654), (#133655,#133656)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84038=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133682,#133683),(#133684,#133685), (#133686,#133687),(#133688,#133689)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84039=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133704,#133705),(#133706,#133707), (#133708,#133709)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84040=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133719,#133720),(#133721,#133722), (#133723,#133724)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84041=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133734,#133735),(#133736,#133737), (#133738,#133739),(#133740,#133741)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84042=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133753,#133754),(#133755,#133756), (#133757,#133758)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84043=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133804,#133805),(#133806,#133807), (#133808,#133809),(#133810,#133811)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84044=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133823,#133824),(#133825,#133826), (#133827,#133828),(#133829,#133830)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84045=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133842,#133843),(#133844,#133845), (#133846,#133847),(#133848,#133849)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84046=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#133861,#133862),(#133863,#133864), (#133865,#133866),(#133867,#133868)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84047=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134140,#134141),(#134142,#134143), (#134144,#134145),(#134146,#134147)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84048=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134180,#134181),(#134182,#134183), (#134184,#134185),(#134186,#134187)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84049=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134199,#134200),(#134201,#134202), (#134203,#134204),(#134205,#134206)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84050=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134218,#134219),(#134220,#134221), (#134222,#134223),(#134224,#134225)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84051=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134243,#134244),(#134245,#134246), (#134247,#134248),(#134249,#134250)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84052=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134262,#134263),(#134264,#134265), (#134266,#134267),(#134268,#134269)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84053=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134281,#134282),(#134283,#134284), (#134285,#134286),(#134287,#134288)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84054=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134340,#134341),(#134342,#134343), (#134344,#134345),(#134346,#134347)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84055=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134380,#134381),(#134382,#134383), (#134384,#134385),(#134386,#134387)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84056=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134399,#134400),(#134401,#134402), (#134403,#134404),(#134405,#134406)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84057=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134418,#134419),(#134420,#134421), (#134422,#134423),(#134424,#134425)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84058=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134434,#134435),(#134436,#134437), (#134438,#134439),(#134440,#134441)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84059=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134456,#134457),(#134458,#134459), (#134460,#134461),(#134462,#134463)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84060=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134493,#134494),(#134495,#134496), (#134497,#134498),(#134499,#134500)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84061=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134512,#134513),(#134514,#134515), (#134516,#134517),(#134518,#134519)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84062=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134608,#134609),(#134610,#134611), (#134612,#134613),(#134614,#134615)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84063=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134630,#134631),(#134632,#134633), (#134634,#134635),(#134636,#134637)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84064=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134679,#134680),(#134681,#134682), (#134683,#134684),(#134685,#134686)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84065=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134698,#134699),(#134700,#134701), (#134702,#134703),(#134704,#134705)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84066=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134717,#134718),(#134719,#134720), (#134721,#134722),(#134723,#134724)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84067=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134736,#134737),(#134738,#134739), (#134740,#134741),(#134742,#134743)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84068=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134755,#134756),(#134757,#134758), (#134759,#134760),(#134761,#134762)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84069=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134774,#134775),(#134776,#134777), (#134778,#134779),(#134780,#134781)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84070=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134805,#134806),(#134807,#134808), (#134809,#134810),(#134811,#134812)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84071=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134824,#134825),(#134826,#134827), (#134828,#134829),(#134830,#134831)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84072=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134843,#134844),(#134845,#134846), (#134847,#134848),(#134849,#134850)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84073=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134862,#134863),(#134864,#134865), (#134866,#134867),(#134868,#134869)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84074=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134881,#134882),(#134883,#134884), (#134885,#134886),(#134887,#134888)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84075=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134900,#134901),(#134902,#134903), (#134904,#134905),(#134906,#134907)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84076=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134917,#134918),(#134919,#134920), (#134921,#134922),(#134923,#134924)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84077=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134939,#134940),(#134941,#134942), (#134943,#134944),(#134945,#134946)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84078=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134970,#134971),(#134972,#134973), (#134974,#134975),(#134976,#134977)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84079=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#134989,#134990),(#134991,#134992), (#134993,#134994),(#134995,#134996)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84080=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135008,#135009),(#135010,#135011), (#135012,#135013),(#135014,#135015)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84081=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135027,#135028),(#135029,#135030), (#135031,#135032),(#135033,#135034)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84082=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135046,#135047),(#135048,#135049), (#135050,#135051),(#135052,#135053)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84083=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135065,#135066),(#135067,#135068), (#135069,#135070),(#135071,#135072)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84084=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135084,#135085),(#135086,#135087), (#135088,#135089),(#135090,#135091)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84085=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135103,#135104),(#135105,#135106), (#135107,#135108),(#135109,#135110)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84086=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135134,#135135),(#135136,#135137), (#135138,#135139),(#135140,#135141)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84087=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135153,#135154),(#135155,#135156), (#135157,#135158),(#135159,#135160)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84088=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135172,#135173),(#135174,#135175), (#135176,#135177),(#135178,#135179)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84089=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135191,#135192),(#135193,#135194), (#135195,#135196),(#135197,#135198)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84090=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135210,#135211),(#135212,#135213), (#135214,#135215),(#135216,#135217)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84091=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135229,#135230),(#135231,#135232), (#135233,#135234),(#135235,#135236)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84092=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135246,#135247),(#135248,#135249), (#135250,#135251),(#135252,#135253)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84093=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135286,#135287),(#135288,#135289), (#135290,#135291),(#135292,#135293)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84094=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135305,#135306),(#135307,#135308), (#135309,#135310),(#135311,#135312)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84095=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135324,#135325),(#135326,#135327), (#135328,#135329),(#135330,#135331)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84096=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135340,#135341),(#135342,#135343), (#135344,#135345),(#135346,#135347)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84097=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135362,#135363),(#135364,#135365), (#135366,#135367),(#135368,#135369)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84098=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135411,#135412),(#135413,#135414), (#135415,#135416),(#135417,#135418)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84099=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135430,#135431),(#135432,#135433), (#135434,#135435),(#135436,#135437)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84100=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135563,#135564),(#135565,#135566), (#135567,#135568),(#135569,#135570)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84101=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135603,#135604),(#135605,#135606), (#135607,#135608),(#135609,#135610)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84102=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135622,#135623),(#135624,#135625), (#135626,#135627),(#135628,#135629)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84103=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135641,#135642),(#135643,#135644), (#135645,#135646),(#135647,#135648)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84104=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135657,#135658),(#135659,#135660), (#135661,#135662),(#135663,#135664)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84105=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135679,#135680),(#135681,#135682), (#135683,#135684),(#135685,#135686)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84106=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135728,#135729),(#135730,#135731), (#135732,#135733),(#135734,#135735)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84107=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135747,#135748),(#135749,#135750), (#135751,#135752),(#135753,#135754)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84108=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135837,#135838),(#135839,#135840), (#135841,#135842),(#135843,#135844)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84109=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135877,#135878),(#135879,#135880), (#135881,#135882),(#135883,#135884)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84110=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135896,#135897),(#135898,#135899), (#135900,#135901),(#135902,#135903)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84111=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135915,#135916),(#135917,#135918), (#135919,#135920),(#135921,#135922)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84112=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135931,#135932),(#135933,#135934), (#135935,#135936),(#135937,#135938)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84113=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135953,#135954),(#135955,#135956), (#135957,#135958),(#135959,#135960)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84114=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#135990,#135991),(#135992,#135993), (#135994,#135995),(#135996,#135997)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84115=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#136009,#136010),(#136011,#136012), (#136013,#136014),(#136015,#136016)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84116=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136333,#136334,#136335,#136336, #136337,#136338),(#136339,#136340,#136341,#136342,#136343,#136344),(#136345, #136346,#136347,#136348,#136349,#136350),(#136351,#136352,#136353,#136354, #136355,#136356)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.181075865299483, -0.179935149748921,0.),.UNSPECIFIED.); #84117=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136372,#136373,#136374,#136375), (#136376,#136377,#136378,#136379),(#136380,#136381,#136382,#136383),(#136384, #136385,#136386,#136387)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (2.61641308341609E-12,0.0637164701689065),.UNSPECIFIED.); #84118=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136394,#136395,#136396,#136397, #136398),(#136399,#136400,#136401,#136402,#136403),(#136404,#136405,#136406, #136407,#136408),(#136409,#136410,#136411,#136412,#136413)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(1.9713682488088E-14,0.518652678239822, 1.21018958255956),.UNSPECIFIED.); #84119=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136421,#136422,#136423,#136424), (#136425,#136426,#136427,#136428),(#136429,#136430,#136431,#136432),(#136433, #136434,#136435,#136436),(#136437,#136438,#136439,#136440)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.79502058394945E-13, 0.0216350967898706),.UNSPECIFIED.); #84120=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136469,#136470,#136471,#136472), (#136473,#136474,#136475,#136476),(#136477,#136478,#136479,#136480),(#136481, #136482,#136483,#136484),(#136485,#136486,#136487,#136488)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.839788428391418),.UNSPECIFIED.); #84121=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136493,#136494,#136495,#136496, #136497),(#136498,#136499,#136500,#136501,#136502),(#136503,#136504,#136505, #136506,#136507),(#136508,#136509,#136510,#136511,#136512)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(0.,0.691536904320877, 1.21018958256154),.UNSPECIFIED.); #84122=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136522,#136523,#136524,#136525), (#136526,#136527,#136528,#136529),(#136530,#136531,#136532,#136533),(#136534, #136535,#136536,#136537)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.0637753693476042),.UNSPECIFIED.); #84123=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136544,#136545,#136546,#136547, #136548,#136549),(#136550,#136551,#136552,#136553,#136554,#136555),(#136556, #136557,#136558,#136559,#136560,#136561),(#136562,#136563,#136564,#136565, #136566,#136567)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.00114071554733858, 0.,0.179935149750163),.UNSPECIFIED.); #84124=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136581,#136582,#136583,#136584), (#136585,#136586,#136587,#136588),(#136589,#136590,#136591,#136592),(#136593, #136594,#136595,#136596),(#136597,#136598,#136599,#136600)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0216351206382286),.UNSPECIFIED.); #84125=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136607,#136608,#136609,#136610), (#136611,#136612,#136613,#136614),(#136615,#136616,#136617,#136618),(#136619, #136620,#136621,#136622),(#136623,#136624,#136625,#136626)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.839788404446331),.UNSPECIFIED.); #84126=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136631,#136632,#136633,#136634), (#136635,#136636,#136637,#136638),(#136639,#136640,#136641,#136642),(#136643, #136644,#136645,#136646)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.219852103604158), (0.,0.190019216388081),.UNSPECIFIED.); #84127=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136653,#136654,#136655,#136656, #136657,#136658,#136659),(#136660,#136661,#136662,#136663,#136664,#136665, #136666),(#136667,#136668,#136669,#136670,#136671,#136672,#136673),(#136674, #136675,#136676,#136677,#136678,#136679,#136680)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,4),(0.,1.),(0.238880885963103,0.685825285163918,1.13276968436473, 1.57971408356555,1.80318628316595),.UNSPECIFIED.); #84128=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136698,#136699,#136700,#136701), (#136702,#136703,#136704,#136705),(#136706,#136707,#136708,#136709),(#136710, #136711,#136712,#136713),(#136714,#136715,#136716,#136717)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.344199531693966,0.688399063387932), (1.29291365594342,1.31671118741089),.UNSPECIFIED.); #84129=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136726,#136727,#136728,#136729), (#136730,#136731,#136732,#136733),(#136734,#136735,#136736,#136737),(#136738, #136739,#136740,#136741),(#136742,#136743,#136744,#136745)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.54793595005652, 0.535012520076508),.UNSPECIFIED.); #84130=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136757,#136758,#136759,#136760, #136761),(#136762,#136763,#136764,#136765,#136766),(#136767,#136768,#136769, #136770,#136771),(#136772,#136773,#136774,#136775,#136776),(#136777,#136778, #136779,#136780,#136781)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(3.06894422452056E-9,0.294436231166098,0.68701786862897), .UNSPECIFIED.); #84131=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136795,#136796,#136797,#136798), (#136799,#136800,#136801,#136802),(#136803,#136804,#136805,#136806),(#136807, #136808,#136809,#136810),(#136811,#136812,#136813,#136814)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.0416031515661741, 0.0416031515661726),.UNSPECIFIED.); #84132=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136820,#136821,#136822,#136823, #136824),(#136825,#136826,#136827,#136828,#136829),(#136830,#136831,#136832, #136833,#136834),(#136835,#136836,#136837,#136838,#136839),(#136840,#136841, #136842,#136843,#136844)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(1.44647350540572E-15,0.294440273057328,0.68702730380043), .UNSPECIFIED.); #84133=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136858,#136859,#136860,#136861), (#136862,#136863,#136864,#136865),(#136866,#136867,#136868,#136869),(#136870, #136871,#136872,#136873),(#136874,#136875,#136876,#136877)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.537067046084122, 0.545881425032852),.UNSPECIFIED.); #84134=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136888,#136889,#136890,#136891), (#136892,#136893,#136894,#136895),(#136896,#136897,#136898,#136899),(#136900, #136901,#136902,#136903),(#136904,#136905,#136906,#136907)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.344199526827085,0.68839905365417), (0.,0.0237975052264478),.UNSPECIFIED.); #84135=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136914,#136915,#136916,#136917, #136918),(#136919,#136920,#136921,#136922,#136923),(#136924,#136925,#136926, #136927,#136928),(#136929,#136930,#136931,#136932,#136933),(#136934,#136935, #136936,#136937,#136938)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.339490275316351,0.678980550632701),(0.947499621771329,1.68629204101463, 2.2403863554471),.UNSPECIFIED.); #84136=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136946,#136947,#136948,#136949), (#136950,#136951,#136952,#136953),(#136954,#136955,#136956,#136957),(#136958, #136959,#136960,#136961)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.222624995270734), (0.444519802167655,0.634539017488337),.UNSPECIFIED.); #84137=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#136966,#136967,#136968,#136969, #136970),(#136971,#136972,#136973,#136974,#136975),(#136976,#136977,#136978, #136979,#136980),(#136981,#136982,#136983,#136984,#136985),(#136986,#136987, #136988,#136989,#136990)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.339490275315906,0.678980550631812),(0.,0.554094314431553,1.29288673367362), .UNSPECIFIED.); #84138=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137630,#137631,#137632,#137633, #137634),(#137635,#137636,#137637,#137638,#137639),(#137640,#137641,#137642, #137643,#137644),(#137645,#137646,#137647,#137648,#137649),(#137650,#137651, #137652,#137653,#137654)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149859,9.82547376793264E-15,0.785245870149879), .UNSPECIFIED.); #84139=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137658,#137659,#137660,#137661), (#137662,#137663,#137664,#137665),(#137666,#137667,#137668,#137669),(#137670, #137671,#137672,#137673),(#137674,#137675,#137676,#137677)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(4.76720995718297E-18, 0.0306080430922243),.UNSPECIFIED.); #84140=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137684,#137685,#137686,#137687, #137688,#137689,#137690),(#137691,#137692,#137693,#137694,#137695,#137696, #137697),(#137698,#137699,#137700,#137701,#137702,#137703,#137704),(#137705, #137706,#137707,#137708,#137709,#137710,#137711),(#137712,#137713,#137714, #137715,#137716,#137717,#137718)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.0114511714439616,0.0343535143318847,0.0572558572198078, 0.080158200107731),.UNSPECIFIED.); #84141=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137728,#137729,#137730,#137731), (#137732,#137733,#137734,#137735),(#137736,#137737,#137738,#137739),(#137740, #137741,#137742,#137743),(#137744,#137745,#137746,#137747)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(4.89619125498356E-16, 0.0787669195730782),.UNSPECIFIED.); #84142=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137770,#137771,#137772,#137773), (#137774,#137775,#137776,#137777),(#137778,#137779,#137780,#137781),(#137782, #137783,#137784,#137785),(#137786,#137787,#137788,#137789)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(8.75548959931301E-16, 0.233775865239553),.UNSPECIFIED.); #84143=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137801,#137802,#137803,#137804, #137805,#137806,#137807,#137808),(#137809,#137810,#137811,#137812,#137813, #137814,#137815,#137816),(#137817,#137818,#137819,#137820,#137821,#137822, #137823,#137824),(#137825,#137826,#137827,#137828,#137829,#137830,#137831, #137832),(#137833,#137834,#137835,#137836,#137837,#137838,#137839,#137840), (#137841,#137842,#137843,#137844,#137845,#137846,#137847,#137848)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,4),(0.,0.5,0.785714285714286, 1.),(0.,0.0172995942038961,0.0403657198090909,0.057665314012987,0.0691983768155844, 0.0807314396181818),.UNSPECIFIED.); #84144=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137868,#137869,#137870,#137871), (#137872,#137873,#137874,#137875),(#137876,#137877,#137878,#137879),(#137880, #137881,#137882,#137883),(#137884,#137885,#137886,#137887)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0262882963784154), .UNSPECIFIED.); #84145=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137902,#137903,#137904,#137905, #137906),(#137907,#137908,#137909,#137910,#137911),(#137912,#137913,#137914, #137915,#137916),(#137917,#137918,#137919,#137920,#137921),(#137922,#137923, #137924,#137925,#137926)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149878,-4.44089209850063E-15,0.78524587014987), .UNSPECIFIED.); #84146=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137966,#137967,#137968,#137969, #137970),(#137971,#137972,#137973,#137974,#137975),(#137976,#137977,#137978, #137979,#137980),(#137981,#137982,#137983,#137984,#137985),(#137986,#137987, #137988,#137989,#137990)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149872,-3.10862446895044E-15,0.785245870149866), .UNSPECIFIED.); #84147=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#137998,#137999,#138000,#138001), (#138002,#138003,#138004,#138005),(#138006,#138007,#138008,#138009),(#138010, #138011,#138012,#138013),(#138014,#138015,#138016,#138017)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0262882963786271), .UNSPECIFIED.); #84148=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138029,#138030,#138031,#138032, #138033,#138034,#138035),(#138036,#138037,#138038,#138039,#138040,#138041, #138042),(#138043,#138044,#138045,#138046,#138047,#138048,#138049),(#138050, #138051,#138052,#138053,#138054,#138055,#138056),(#138057,#138058,#138059, #138060,#138061,#138062,#138063),(#138064,#138065,#138066,#138067,#138068, #138069,#138070)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,4),(0.,0.5, 0.785714285714286,1.),(0.,0.0172998285113107,0.0403662665263917,0.0576660950377024, 0.0807325330527834),.UNSPECIFIED.); #84149=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138088,#138089,#138090,#138091), (#138092,#138093,#138094,#138095),(#138096,#138097,#138098,#138099),(#138100, #138101,#138102,#138103),(#138104,#138105,#138106,#138107)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.233775865456877), .UNSPECIFIED.); #84150=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138132,#138133,#138134,#138135), (#138136,#138137,#138138,#138139),(#138140,#138141,#138142,#138143),(#138144, #138145,#138146,#138147),(#138148,#138149,#138150,#138151)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0787669195730952), .UNSPECIFIED.); #84151=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138158,#138159,#138160,#138161, #138162,#138163),(#138164,#138165,#138166,#138167,#138168,#138169),(#138170, #138171,#138172,#138173,#138174,#138175),(#138176,#138177,#138178,#138179, #138180,#138181),(#138182,#138183,#138184,#138185,#138186,#138187)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0343533867820448, 0.0572556446367413,0.0801579024914378),.UNSPECIFIED.); #84152=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138196,#138197,#138198,#138199), (#138200,#138201,#138202,#138203),(#138204,#138205,#138206,#138207),(#138208, #138209,#138210,#138211),(#138212,#138213,#138214,#138215)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(4.70715750048527E-16, 0.0306080430922467),.UNSPECIFIED.); #84153=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138223,#138224,#138225,#138226, #138227),(#138228,#138229,#138230,#138231,#138232),(#138233,#138234,#138235, #138236,#138237),(#138238,#138239,#138240,#138241,#138242),(#138243,#138244, #138245,#138246,#138247)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149863,-5.10702591327572E-15,0.785245870149852), .UNSPECIFIED.); #84154=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138290,#138291,#138292,#138293, #138294,#138295),(#138296,#138297,#138298,#138299,#138300,#138301),(#138302, #138303,#138304,#138305,#138306,#138307),(#138308,#138309,#138310,#138311, #138312,#138313)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(-0.0669375340488607, -0.0286849786529693,-0.00477713153053721,4.43789394921624E-6), .UNSPECIFIED.); #84155=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138347,#138348,#138349,#138350), (#138351,#138352,#138353,#138354),(#138355,#138356,#138357,#138358),(#138359, #138360,#138361,#138362),(#138363,#138364,#138365,#138366),(#138367,#138368, #138369,#138370),(#138371,#138372,#138373,#138374)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.857142857142857, 1.),(0.,0.75645399135801),.UNSPECIFIED.); #84156=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138387,#138388,#138389,#138390, #138391),(#138392,#138393,#138394,#138395,#138396),(#138397,#138398,#138399, #138400,#138401),(#138402,#138403,#138404,#138405,#138406),(#138407,#138408, #138409,#138410,#138411)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.382132055655005,0.891641463195012),.UNSPECIFIED.); #84157=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138427,#138428,#138429,#138430), (#138431,#138432,#138433,#138434),(#138435,#138436,#138437,#138438),(#138439, #138440,#138441,#138442),(#138443,#138444,#138445,#138446)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0206341962205702),.UNSPECIFIED.); #84158=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138453,#138454,#138455,#138456), (#138457,#138458,#138459,#138460),(#138461,#138462,#138463,#138464),(#138465, #138466,#138467,#138468),(#138469,#138470,#138471,#138472),(#138473,#138474, #138475,#138476),(#138477,#138478,#138479,#138480)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.714285714285714, 1.),(0.,0.510620161491646),.UNSPECIFIED.); #84159=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138506,#138507,#138508,#138509), (#138510,#138511,#138512,#138513),(#138514,#138515,#138516,#138517),(#138518, #138519,#138520,#138521),(#138522,#138523,#138524,#138525),(#138526,#138527, #138528,#138529),(#138530,#138531,#138532,#138533)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.714285714285714, 1.),(0.,0.166091261079423),.UNSPECIFIED.); #84160=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138548,#138549,#138550,#138551, #138552),(#138553,#138554,#138555,#138556,#138557),(#138558,#138559,#138560, #138561,#138562),(#138563,#138564,#138565,#138566,#138567),(#138568,#138569, #138570,#138571,#138572)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.571428571428571,1.),.UNSPECIFIED.); #84161=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138582,#138583,#138584,#138585), (#138586,#138587,#138588,#138589),(#138590,#138591,#138592,#138593),(#138594, #138595,#138596,#138597),(#138598,#138599,#138600,#138601)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.14603782156148), .UNSPECIFIED.); #84162=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138627,#138628,#138629,#138630, #138631),(#138632,#138633,#138634,#138635,#138636),(#138637,#138638,#138639, #138640,#138641),(#138642,#138643,#138644,#138645,#138646)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(-1.65463548429609,-1.17327249018593, -0.812250244603319),.UNSPECIFIED.); #84163=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138652,#138653,#138654,#138655), (#138656,#138657,#138658,#138659),(#138660,#138661,#138662,#138663),(#138664, #138665,#138666,#138667),(#138668,#138669,#138670,#138671)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.130257252821655,0.485775932705521, 0.959800839217342),(0.274201681411216,0.911274063832063),.UNSPECIFIED.); #84164=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138682,#138683,#138684,#138685), (#138686,#138687,#138688,#138689),(#138690,#138691,#138692,#138693),(#138694, #138695,#138696,#138697),(#138698,#138699,#138700,#138701),(#138702,#138703, #138704,#138705),(#138706,#138707,#138708,#138709)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.714285714285714, 1.),(0.0355013913944386,0.546121552886093),.UNSPECIFIED.); #84165=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138730,#138731,#138732,#138733), (#138734,#138735,#138736,#138737),(#138738,#138739,#138740,#138741),(#138742, #138743,#138744,#138745),(#138746,#138747,#138748,#138749),(#138750,#138751, #138752,#138753),(#138754,#138755,#138756,#138757)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.714285714285714, 1.),(4.42887263473421E-11,0.166091261123681),.UNSPECIFIED.); #84166=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138769,#138770,#138771,#138772, #138773),(#138774,#138775,#138776,#138777,#138778),(#138779,#138780,#138781, #138782,#138783),(#138784,#138785,#138786,#138787,#138788),(#138789,#138790, #138791,#138792,#138793)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.38213205596243,0.891641463912336),.UNSPECIFIED.); #84167=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138809,#138810,#138811,#138812), (#138813,#138814,#138815,#138816),(#138817,#138818,#138819,#138820),(#138821, #138822,#138823,#138824),(#138825,#138826,#138827,#138828)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(8.81249690191157E-16, 0.020634196220612),.UNSPECIFIED.); #84168=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138842,#138843,#138844,#138845), (#138846,#138847,#138848,#138849),(#138850,#138851,#138852,#138853),(#138854, #138855,#138856,#138857),(#138858,#138859,#138860,#138861),(#138862,#138863, #138864,#138865),(#138866,#138867,#138868,#138869)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.857142857142857, 1.),(0.,0.756453991357953),.UNSPECIFIED.); #84169=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138889,#138890,#138891,#138892, #138893,#138894),(#138895,#138896,#138897,#138898,#138899,#138900),(#138901, #138902,#138903,#138904,#138905,#138906),(#138907,#138908,#138909,#138910, #138911,#138912)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(-0.0669419719427277, -0.0621604025182471,-0.0382525553958444,0.),.UNSPECIFIED.); #84170=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138934,#138935,#138936,#138937, #138938),(#138939,#138940,#138941,#138942,#138943),(#138944,#138945,#138946, #138947,#138948),(#138949,#138950,#138951,#138952,#138953),(#138954,#138955, #138956,#138957,#138958)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.571428571428571,1.),.UNSPECIFIED.); #84171=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#138964,#138965,#138966,#138967), (#138968,#138969,#138970,#138971),(#138972,#138973,#138974,#138975),(#138976, #138977,#138978,#138979),(#138980,#138981,#138982,#138983)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0944598297470017, 0.240497651308517),.UNSPECIFIED.); #84172=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139014,#139015,#139016,#139017, #139018),(#139019,#139020,#139021,#139022,#139023),(#139024,#139025,#139026, #139027,#139028),(#139029,#139030,#139031,#139032,#139033),(#139034,#139035, #139036,#139037,#139038)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.0457884551387232,0.730883061998762,1.50755457913625), .UNSPECIFIED.); #84173=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139044,#139045,#139046,#139047, #139048),(#139049,#139050,#139051,#139052,#139053),(#139054,#139055,#139056, #139057,#139058),(#139059,#139060,#139061,#139062,#139063),(#139064,#139065, #139066,#139067,#139068)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.0202815499777437,0.0473236166147354), .UNSPECIFIED.); #84174=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139085,#139086,#139087,#139088), (#139089,#139090,#139091,#139092),(#139093,#139094,#139095,#139096),(#139097, #139098,#139099,#139100),(#139101,#139102,#139103,#139104)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.163295525472245), .UNSPECIFIED.); #84175=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139116,#139117,#139118,#139119), (#139120,#139121,#139122,#139123),(#139124,#139125,#139126,#139127),(#139128, #139129,#139130,#139131),(#139132,#139133,#139134,#139135)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.195532910687776), .UNSPECIFIED.); #84176=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139144,#139145,#139146,#139147), (#139148,#139149,#139150,#139151),(#139152,#139153,#139154,#139155),(#139156, #139157,#139158,#139159),(#139160,#139161,#139162,#139163)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.0319643082794528, 6.96732337911948E-14),.UNSPECIFIED.); #84177=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139175,#139176,#139177,#139178, #139179,#139180,#139181,#139182,#139183,#139184,#139185,#139186,#139187, #139188),(#139189,#139190,#139191,#139192,#139193,#139194,#139195,#139196, #139197,#139198,#139199,#139200,#139201,#139202),(#139203,#139204,#139205, #139206,#139207,#139208,#139209,#139210,#139211,#139212,#139213,#139214, #139215,#139216),(#139217,#139218,#139219,#139220,#139221,#139222,#139223, #139224,#139225,#139226,#139227,#139228,#139229,#139230)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-7.75276177774004E-6, 0.000680965642473179,0.0013696840467241,0.00274712085522594,0.00550199447222961, 0.011011741706237,0.0165214889402443,0.019276362557248,0.0206537993657498, 0.0220312361742517,0.027540983408259,0.0385604778762737),.UNSPECIFIED.); #84178=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139263,#139264,#139265,#139266), (#139267,#139268,#139269,#139270),(#139271,#139272,#139273,#139274),(#139275, #139276,#139277,#139278),(#139279,#139280,#139281,#139282)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(3.21464169941023E-16, 0.0318966256765703),.UNSPECIFIED.); #84179=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139310,#139311,#139312,#139313, #139314),(#139315,#139316,#139317,#139318,#139319),(#139320,#139321,#139322, #139323,#139324),(#139325,#139326,#139327,#139328,#139329),(#139330,#139331, #139332,#139333,#139334)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(2.53379654771063E-13,0.0410242422278222,0.0820484844553911), .UNSPECIFIED.); #84180=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139341,#139342,#139343,#139344), (#139345,#139346,#139347,#139348),(#139349,#139350,#139351,#139352),(#139353, #139354,#139355,#139356)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (2.35132080009722,2.56237917917585),.UNSPECIFIED.); #84181=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139373,#139374,#139375,#139376, #139377,#139378,#139379,#139380,#139381,#139382,#139383),(#139384,#139385, #139386,#139387,#139388,#139389,#139390,#139391,#139392,#139393,#139394), (#139395,#139396,#139397,#139398,#139399,#139400,#139401,#139402,#139403, #139404,#139405),(#139406,#139407,#139408,#139409,#139410,#139411,#139412, #139413,#139414,#139415,#139416)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.,1.),(-0.0385682306382607,-0.037879512234006,-0.0371907938297514, -0.0358133570212421,-0.0330584834042235,-0.0275487361701862,-0.022038988936149, -0.0110194944680745,0.),.UNSPECIFIED.); #84182=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139443,#139444,#139445,#139446), (#139447,#139448,#139449,#139450),(#139451,#139452,#139453,#139454),(#139455, #139456,#139457,#139458),(#139459,#139460,#139461,#139462)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0318966256765688), .UNSPECIFIED.); #84183=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139474,#139475,#139476,#139477, #139478),(#139479,#139480,#139481,#139482,#139483),(#139484,#139485,#139486, #139487,#139488),(#139489,#139490,#139491,#139492,#139493),(#139494,#139495, #139496,#139497,#139498)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.0202815499777732,0.0473236166148041), .UNSPECIFIED.); #84184=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139515,#139516,#139517,#139518), (#139519,#139520,#139521,#139522),(#139523,#139524,#139525,#139526),(#139527, #139528,#139529,#139530),(#139531,#139532,#139533,#139534)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.163295525472178), .UNSPECIFIED.); #84185=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139545,#139546,#139547,#139548), (#139549,#139550,#139551,#139552),(#139553,#139554,#139555,#139556),(#139557, #139558,#139559,#139560),(#139561,#139562,#139563,#139564)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.195532910687774), .UNSPECIFIED.); #84186=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139569,#139570,#139571,#139572), (#139573,#139574,#139575,#139576),(#139577,#139578,#139579,#139580),(#139581, #139582,#139583,#139584),(#139585,#139586,#139587,#139588)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.0319653406013624, 5.02693133123294E-16),.UNSPECIFIED.); #84187=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139665,#139666,#139667,#139668), (#139669,#139670,#139671,#139672),(#139673,#139674,#139675,#139676),(#139677, #139678,#139679,#139680)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (2.35132080009723,2.56237917917585),.UNSPECIFIED.); #84188=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139692,#139693,#139694,#139695, #139696),(#139697,#139698,#139699,#139700,#139701),(#139702,#139703,#139704, #139705,#139706),(#139707,#139708,#139709,#139710,#139711),(#139712,#139713, #139714,#139715,#139716)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-1.38777878078145E-17,0.0410242422287839,0.0820484844575679), .UNSPECIFIED.); #84189=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139739,#139740,#139741,#139742), (#139743,#139744,#139745,#139746),(#139747,#139748,#139749,#139750),(#139751, #139752,#139753,#139754),(#139755,#139756,#139757,#139758)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.38329656711869E-13, 0.134623001567741),.UNSPECIFIED.); #84190=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139770,#139771,#139772,#139773), (#139774,#139775,#139776,#139777),(#139778,#139779,#139780,#139781),(#139782, #139783,#139784,#139785)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-0.00408266369047572,0.),.UNSPECIFIED.); #84191=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139804,#139805,#139806,#139807, #139808),(#139809,#139810,#139811,#139812,#139813),(#139814,#139815,#139816, #139817,#139818),(#139819,#139820,#139821,#139822,#139823),(#139824,#139825, #139826,#139827,#139828)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.039602807797797,0.079205615595594),.UNSPECIFIED.); #84192=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139839,#139840,#139841,#139842), (#139843,#139844,#139845,#139846),(#139847,#139848,#139849,#139850),(#139851, #139852,#139853,#139854),(#139855,#139856,#139857,#139858)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.130257252821661,0.604282159333488, 0.959800839217358),(0.274201681411216,0.911274063832062),.UNSPECIFIED.); #84193=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139869,#139870,#139871,#139872, #139873),(#139874,#139875,#139876,#139877,#139878),(#139879,#139880,#139881, #139882,#139883),(#139884,#139885,#139886,#139887,#139888)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(0.265609821949986,1.10574233539794, 2.22591901999521),.UNSPECIFIED.); #84194=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139932,#139933,#139934,#139935, #139936,#139937),(#139938,#139939,#139940,#139941,#139942,#139943),(#139944, #139945,#139946,#139947,#139948,#139949),(#139950,#139951,#139952,#139953, #139954,#139955)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(-0.0654773568658625, -0.0280593159073895,-0.00467304030834394,4.21481146517522E-6), .UNSPECIFIED.); #84195=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139964,#139965,#139966,#139967, #139968),(#139969,#139970,#139971,#139972,#139973),(#139974,#139975,#139976, #139977,#139978),(#139979,#139980,#139981,#139982,#139983),(#139984,#139985, #139986,#139987,#139988)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-1.51437051070496,-0.741303978801577,0.0317625531018112), .UNSPECIFIED.); #84196=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#139994,#139995,#139996,#139997, #139998,#139999),(#140000,#140001,#140002,#140003,#140004,#140005),(#140006, #140007,#140008,#140009,#140010,#140011),(#140012,#140013,#140014,#140015, #140016,#140017),(#140018,#140019,#140020,#140021,#140022,#140023)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(-0.00407428318219976, -0.00232457017197863,-0.0011580948318312,8.38050831621879E-6), .UNSPECIFIED.); #84197=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140038,#140039,#140040,#140041), (#140042,#140043,#140044,#140045),(#140046,#140047,#140048,#140049),(#140050, #140051,#140052,#140053),(#140054,#140055,#140056,#140057)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.134623001567601), .UNSPECIFIED.); #84198=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140068,#140069,#140070,#140071, #140072),(#140073,#140074,#140075,#140076,#140077),(#140078,#140079,#140080, #140081,#140082),(#140083,#140084,#140085,#140086,#140087),(#140088,#140089, #140090,#140091,#140092)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0396028083989979,0.0792056167979959),.UNSPECIFIED.); #84199=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140104,#140105,#140106,#140107, #140108),(#140109,#140110,#140111,#140112,#140113),(#140114,#140115,#140116, #140117,#140118),(#140119,#140120,#140121,#140122,#140123)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(0.265609821949986,1.10574233539794, 2.22591901999522),.UNSPECIFIED.); #84200=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140124,#140125,#140126,#140127, #140128,#140129,#140130,#140131,#140132,#140133,#140134,#140135,#140136, #140137,#140138,#140139,#140140,#140141,#140142,#140143,#140144,#140145), (#140146,#140147,#140148,#140149,#140150,#140151,#140152,#140153,#140154, #140155,#140156,#140157,#140158,#140159,#140160,#140161,#140162,#140163, #140164,#140165,#140166,#140167),(#140168,#140169,#140170,#140171,#140172, #140173,#140174,#140175,#140176,#140177,#140178,#140179,#140180,#140181, #140182,#140183,#140184,#140185,#140186,#140187,#140188,#140189),(#140190, #140191,#140192,#140193,#140194,#140195,#140196,#140197,#140198,#140199, #140200,#140201,#140202,#140203,#140204,#140205,#140206,#140207,#140208, #140209,#140210,#140211)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.0654815716773444,-0.0653354074548503, -0.0651892432323563,-0.0648969147873681,-0.0643122578973918,-0.0631429441174393, -0.0608043165575341,-0.0584656889976289,-0.0561270614377238,-0.0514498063179135, -0.0491111787580083,-0.0467725511981032,-0.0374180409584825,-0.0327407858386722, -0.0280635307188619,-0.0233862755990516,-0.0187090204792413,-0.014031765359431, -0.0116931377995258,0.),.UNSPECIFIED.); #84201=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140234,#140235,#140236,#140237, #140238),(#140239,#140240,#140241,#140242,#140243),(#140244,#140245,#140246, #140247,#140248),(#140249,#140250,#140251,#140252,#140253),(#140254,#140255, #140256,#140257,#140258)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.0389725235700111,0.737698993567473,1.51437051070496), .UNSPECIFIED.); #84202=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140267,#140268,#140269,#140270, #140271,#140272,#140273,#140274,#140275,#140276,#140277),(#140278,#140279, #140280,#140281,#140282,#140283,#140284,#140285,#140286,#140287,#140288), (#140289,#140290,#140291,#140292,#140293,#140294,#140295,#140296,#140297, #140298,#140299),(#140300,#140301,#140302,#140303,#140304,#140305,#140306, #140307,#140308,#140309,#140310)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.,1.),(-0.0174194846331197,-0.0167973601819369,-0.016175235730754, -0.0149309868283883,-0.0124424890236569,-0.0111982401212912,-0.00995399121892555, -0.00497699560946277,0.),.UNSPECIFIED.); #84203=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140335,#140336,#140337,#140338, #140339,#140340),(#140341,#140342,#140343,#140344,#140345,#140346),(#140347, #140348,#140349,#140350,#140351,#140352),(#140353,#140354,#140355,#140356, #140357,#140358),(#140359,#140360,#140361,#140362,#140363,#140364)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(-1.62722214288497, -0.850550625747436,-0.517691404117062,-0.0738791086098976), .UNSPECIFIED.); #84204=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140367,#140368,#140369,#140370), (#140371,#140372,#140373,#140374),(#140375,#140376,#140377,#140378),(#140379, #140380,#140381,#140382),(#140383,#140384,#140385,#140386)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.506476251589269), .UNSPECIFIED.); #84205=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140400,#140401,#140402,#140403), (#140404,#140405,#140406,#140407),(#140408,#140409,#140410,#140411),(#140412, #140413,#140414,#140415),(#140416,#140417,#140418,#140419)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.123156683277777), .UNSPECIFIED.); #84206=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140430,#140431,#140432,#140433, #140434,#140435),(#140436,#140437,#140438,#140439,#140440,#140441),(#140442, #140443,#140444,#140445,#140446,#140447),(#140448,#140449,#140450,#140451, #140452,#140453),(#140454,#140455,#140456,#140457,#140458,#140459)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(1.30828972960935E-15, 0.59458510599484,0.990975176658065,1.38736524732129),.UNSPECIFIED.); #84207=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140468,#140469,#140470,#140471), (#140472,#140473,#140474,#140475),(#140476,#140477,#140478,#140479),(#140480, #140481,#140482,#140483),(#140484,#140485,#140486,#140487)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.212092694308646), .UNSPECIFIED.); #84208=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140496,#140497,#140498,#140499), (#140500,#140501,#140502,#140503),(#140504,#140505,#140506,#140507),(#140508, #140509,#140510,#140511)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.197188118250034),.UNSPECIFIED.); #84209=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140524,#140525,#140526,#140527, #140528,#140529,#140530,#140531,#140532,#140533),(#140534,#140535,#140536, #140537,#140538,#140539,#140540,#140541,#140542,#140543),(#140544,#140545, #140546,#140547,#140548,#140549,#140550,#140551,#140552,#140553),(#140554, #140555,#140556,#140557,#140558,#140559,#140560,#140561,#140562,#140563), (#140564,#140565,#140566,#140567,#140568,#140569,#140570,#140571,#140572, #140573)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,1,1,4),(0.,0.5,1.), (-0.0154619386814479,-0.00883159602595907,-0.0066214818074628,-0.00441136758896654, -0.00220125337047028,-0.00109619626122215,-0.000543667706598083,8.8608480259822E-6), .UNSPECIFIED.); #84210=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140576,#140577,#140578,#140579, #140580,#140581,#140582),(#140583,#140584,#140585,#140586,#140587,#140588, #140589),(#140590,#140591,#140592,#140593,#140594,#140595,#140596),(#140597, #140598,#140599,#140600,#140601,#140602,#140603),(#140604,#140605,#140606, #140607,#140608,#140609,#140610)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.080695040178606,0.413554261808968,0.857366557316116, 1.19022577894648,1.63403807445363),.UNSPECIFIED.); #84211=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140624,#140625,#140626,#140627), (#140628,#140629,#140630,#140631),(#140632,#140633,#140634,#140635),(#140636, #140637,#140638,#140639),(#140640,#140641,#140642,#140643)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.521291792403274), .UNSPECIFIED.); #84212=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140674,#140675,#140676,#140677), (#140678,#140679,#140680,#140681),(#140682,#140683,#140684,#140685),(#140686, #140687,#140688,#140689)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (1.43531799290005,1.60978403369415),.UNSPECIFIED.); #84213=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140696,#140697,#140698,#140699), (#140700,#140701,#140702,#140703),(#140704,#140705,#140706,#140707),(#140708, #140709,#140710,#140711)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.103480241249461, 0.1888810702975),(0.271771022989908,0.381695175794006),.UNSPECIFIED.); #84214=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140716,#140717,#140718,#140719), (#140720,#140721,#140722,#140723),(#140724,#140725,#140726,#140727),(#140728, #140729,#140730,#140731),(#140732,#140733,#140734,#140735)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0258369101272195, 0.13659535289586),.UNSPECIFIED.); #84215=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140756,#140757,#140758,#140759), (#140760,#140761,#140762,#140763),(#140764,#140765,#140766,#140767),(#140768, #140769,#140770,#140771)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.197188118250045),.UNSPECIFIED.); #84216=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140786,#140787,#140788,#140789, #140790),(#140791,#140792,#140793,#140794,#140795),(#140796,#140797,#140798, #140799,#140800),(#140801,#140802,#140803,#140804,#140805)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(-0.0154707995294796,-0.0132606853109825, 0.),.UNSPECIFIED.); #84217=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140823,#140824,#140825,#140826, #140827,#140828),(#140829,#140830,#140831,#140832,#140833,#140834),(#140835, #140836,#140837,#140838,#140839,#140840),(#140841,#140842,#140843,#140844, #140845,#140846),(#140847,#140848,#140849,#140850,#140851,#140852)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(-1.63403807445358, -0.857366557316092,-0.524507335685741,-0.0806950401786056), .UNSPECIFIED.); #84218=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140857,#140858,#140859,#140860), (#140861,#140862,#140863,#140864),(#140865,#140866,#140867,#140868),(#140869, #140870,#140871,#140872),(#140873,#140874,#140875,#140876)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.212092694308624), .UNSPECIFIED.); #84219=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140889,#140890,#140891,#140892, #140893,#140894),(#140895,#140896,#140897,#140898,#140899,#140900),(#140901, #140902,#140903,#140904,#140905,#140906),(#140907,#140908,#140909,#140910, #140911,#140912),(#140913,#140914,#140915,#140916,#140917,#140918)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(2.1563551548973E-16, 0.594577877588865,0.990963129314774,1.38734838104068),.UNSPECIFIED.); #84220=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140927,#140928,#140929,#140930), (#140931,#140932,#140933,#140934),(#140935,#140936,#140937,#140938),(#140939, #140940,#140941,#140942),(#140943,#140944,#140945,#140946)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.123156683276489), .UNSPECIFIED.); #84221=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140953,#140954,#140955,#140956), (#140957,#140958,#140959,#140960),(#140961,#140962,#140963,#140964),(#140965, #140966,#140967,#140968),(#140969,#140970,#140971,#140972)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(3.20758919160098E-13, 0.506476251593771),.UNSPECIFIED.); #84222=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#140989,#140990,#140991,#140992, #140993,#140994,#140995,#140996,#140997,#140998),(#140999,#141000,#141001, #141002,#141003,#141004,#141005,#141006,#141007,#141008),(#141009,#141010, #141011,#141012,#141013,#141014,#141015,#141016,#141017,#141018),(#141019, #141020,#141021,#141022,#141023,#141024,#141025,#141026,#141027,#141028), (#141029,#141030,#141031,#141032,#141033,#141034,#141035,#141036,#141037, #141038)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,1,1,4),(0.,0.5,1.), (-0.0174102580156354,-0.00994476460144053,-0.00745626679670889,-0.00496776899197724, -0.0024792711872456,-0.00123502228487978,-0.000612897833696874,9.22661748603559E-6), .UNSPECIFIED.); #84223=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141041,#141042,#141043,#141044, #141045,#141046,#141047),(#141048,#141049,#141050,#141051,#141052,#141053, #141054),(#141055,#141056,#141057,#141058,#141059,#141060,#141061),(#141062, #141063,#141064,#141065,#141066,#141067,#141068),(#141069,#141070,#141071, #141072,#141073,#141074,#141075)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.0738791086098978,0.406738330240261,0.850550625747411, 1.18340984737777,1.62722214288492),.UNSPECIFIED.); #84224=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141096,#141097,#141098,#141099), (#141100,#141101,#141102,#141103),(#141104,#141105,#141106,#141107),(#141108, #141109,#141110,#141111),(#141112,#141113,#141114,#141115)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.025836910017889, 0.136595352895857),.UNSPECIFIED.); #84225=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141122,#141123,#141124,#141125), (#141126,#141127,#141128,#141129),(#141130,#141131,#141132,#141133),(#141134, #141135,#141136,#141137)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.103480241249455, 0.188881070297499),(0.271771022989909,0.381695175794013),.UNSPECIFIED.); #84226=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141142,#141143,#141144,#141145), (#141146,#141147,#141148,#141149),(#141150,#141151,#141152,#141153),(#141154, #141155,#141156,#141157)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-0.385732980754446,-0.211266939960333),.UNSPECIFIED.); #84227=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141188,#141189,#141190,#141191), (#141192,#141193,#141194,#141195),(#141196,#141197,#141198,#141199),(#141200, #141201,#141202,#141203),(#141204,#141205,#141206,#141207)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0228383491128723, 0.544130141516177),.UNSPECIFIED.); #84228=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141230,#141231,#141232,#141233, #141234,#141235,#141236,#141237,#141238,#141239),(#141240,#141241,#141242, #141243,#141244,#141245,#141246,#141247,#141248,#141249),(#141250,#141251, #141252,#141253,#141254,#141255,#141256,#141257,#141258,#141259),(#141260, #141261,#141262,#141263,#141264,#141265,#141266,#141267,#141268,#141269)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,1,1,4),(0.,1.),(-0.000469370131457246, 0.,0.0209729879383038,0.0419459758766075,0.0629189638149113,0.083891951753215, 0.104864939691519),.UNSPECIFIED.); #84229=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141294,#141295,#141296,#141297, #141298,#141299,#141300),(#141301,#141302,#141303,#141304,#141305,#141306, #141307),(#141308,#141309,#141310,#141311,#141312,#141313,#141314),(#141315, #141316,#141317,#141318,#141319,#141320,#141321),(#141322,#141323,#141324, #141325,#141326,#141327,#141328),(#141329,#141330,#141331,#141332,#141333, #141334,#141335),(#141336,#141337,#141338,#141339,#141340,#141341,#141342)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,1,1,1,4),(0.,0.285714285714286, 0.571428571428571,0.857142857142857,1.),(0.,0.036647168113435,0.0610786135223917, 0.07329433622687,0.0855100589313484),.UNSPECIFIED.); #84230=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141360,#141361,#141362,#141363, #141364,#141365,#141366),(#141367,#141368,#141369,#141370,#141371,#141372, #141373),(#141374,#141375,#141376,#141377,#141378,#141379,#141380),(#141381, #141382,#141383,#141384,#141385,#141386,#141387),(#141388,#141389,#141390, #141391,#141392,#141393,#141394),(#141395,#141396,#141397,#141398,#141399, #141400,#141401),(#141402,#141403,#141404,#141405,#141406,#141407,#141408)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,1,1,1,4),(0.,0.285714285714286, 0.571428571428571,0.857142857142857,1.),(0.,0.0418417841293054,0.0697363068821756, 0.0836835682586107,0.0976308296350458),.UNSPECIFIED.); #84231=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141429,#141430,#141431,#141432, #141433),(#141434,#141435,#141436,#141437,#141438),(#141439,#141440,#141441, #141442,#141443),(#141444,#141445,#141446,#141447,#141448),(#141449,#141450, #141451,#141452,#141453)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(6.08671238664144E-34,0.0408752352034986,0.0817504704069973), .UNSPECIFIED.); #84232=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141465,#141466,#141467,#141468, #141469),(#141470,#141471,#141472,#141473,#141474),(#141475,#141476,#141477, #141478,#141479),(#141480,#141481,#141482,#141483,#141484),(#141485,#141486, #141487,#141488,#141489)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(4.99452669263336E-16,0.0395188944086413,0.0790377888172822), .UNSPECIFIED.); #84233=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141501,#141502,#141503,#141504, #141505),(#141506,#141507,#141508,#141509,#141510),(#141511,#141512,#141513, #141514,#141515),(#141516,#141517,#141518,#141519,#141520),(#141521,#141522, #141523,#141524,#141525)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(5.42549142727004E-13,0.0378354038579058,0.075670807715269), .UNSPECIFIED.); #84234=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141542,#141543,#141544,#141545), (#141546,#141547,#141548,#141549),(#141550,#141551,#141552,#141553),(#141554, #141555,#141556,#141557),(#141558,#141559,#141560,#141561)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.91080159641775, 5.027895678282),.UNSPECIFIED.); #84235=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141589,#141590,#141591,#141592, #141593,#141594),(#141595,#141596,#141597,#141598,#141599,#141600),(#141601, #141602,#141603,#141604,#141605,#141606),(#141607,#141608,#141609,#141610, #141611,#141612),(#141613,#141614,#141615,#141616,#141617,#141618)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.0712178613223583, 0.137833866536242,0.162814868491448,0.187795870446655),.UNSPECIFIED.); #84236=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141632,#141633,#141634,#141635, #141636),(#141637,#141638,#141639,#141640,#141641),(#141642,#141643,#141644, #141645,#141646),(#141647,#141648,#141649,#141650,#141651),(#141652,#141653, #141654,#141655,#141656)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-2.4980018054066E-16,0.0378354038576689,0.0756708077153381), .UNSPECIFIED.); #84237=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141679,#141680,#141681,#141682, #141683),(#141684,#141685,#141686,#141687,#141688),(#141689,#141690,#141691, #141692,#141693),(#141694,#141695,#141696,#141697,#141698),(#141699,#141700, #141701,#141702,#141703)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0395188944086188,0.0790377888172376),.UNSPECIFIED.); #84238=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141715,#141716,#141717,#141718, #141719),(#141720,#141721,#141722,#141723,#141724),(#141725,#141726,#141727, #141728,#141729),(#141730,#141731,#141732,#141733,#141734),(#141735,#141736, #141737,#141738,#141739)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0408752352047323,0.0817504704094646),.UNSPECIFIED.); #84239=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141751,#141752,#141753,#141754, #141755,#141756,#141757,#141758),(#141759,#141760,#141761,#141762,#141763, #141764,#141765,#141766),(#141767,#141768,#141769,#141770,#141771,#141772, #141773,#141774),(#141775,#141776,#141777,#141778,#141779,#141780,#141781, #141782),(#141783,#141784,#141785,#141786,#141787,#141788,#141789,#141790), (#141791,#141792,#141793,#141794,#141795,#141796,#141797,#141798),(#141799, #141800,#141801,#141802,#141803,#141804,#141805,#141806)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,4),(4,1,1,1,1,4),(0.,0.285714285714286,0.571428571428571, 0.857142857142857,1.),(0.,0.0139477841517783,0.0278955683035566,0.0418433524553349, 0.0697389207588915,0.0976344890624481),.UNSPECIFIED.); #84240=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141826,#141827,#141828,#141829, #141830,#141831,#141832),(#141833,#141834,#141835,#141836,#141837,#141838, #141839),(#141840,#141841,#141842,#141843,#141844,#141845,#141846),(#141847, #141848,#141849,#141850,#141851,#141852,#141853),(#141854,#141855,#141856, #141857,#141858,#141859,#141860),(#141861,#141862,#141863,#141864,#141865, #141866,#141867),(#141868,#141869,#141870,#141871,#141872,#141873,#141874)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,1,1,1,4),(0.,0.285714285714286, 0.571428571428571,0.857142857142857,1.),(0.,0.0366473901060417,0.0610789835100694, 0.0732947802120833,0.0855105769140972),.UNSPECIFIED.); #84241=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141892,#141893,#141894,#141895, #141896,#141897,#141898,#141899,#141900,#141901,#141902),(#141903,#141904, #141905,#141906,#141907,#141908,#141909,#141910,#141911,#141912,#141913), (#141914,#141915,#141916,#141917,#141918,#141919,#141920,#141921,#141922, #141923,#141924),(#141925,#141926,#141927,#141928,#141929,#141930,#141931, #141932,#141933,#141934,#141935),(#141936,#141937,#141938,#141939,#141940, #141941,#141942,#141943,#141944,#141945,#141946)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,4),(4,1,1,1,1,1,2,4),(0.,0.5,1.),(1.69877234975067E-14,0.020972987938319, 0.03145948190747,0.0419459758766209,0.0629189638149229,0.0838919517532249, 0.104864939691527,0.105334309822989),.UNSPECIFIED.); #84242=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#141991,#141992,#141993,#141994), (#141995,#141996,#141997,#141998),(#141999,#142000,#142001,#142002),(#142003, #142004,#142005,#142006),(#142007,#142008,#142009,#142010)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.92567408655017, 5.04272272457333),.UNSPECIFIED.); #84243=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142017,#142018,#142019,#142020, #142021,#142022),(#142023,#142024,#142025,#142026,#142027,#142028),(#142029, #142030,#142031,#142032,#142033,#142034),(#142035,#142036,#142037,#142038, #142039,#142040)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0472799321439901, -0.046802883360135,0.),.UNSPECIFIED.); #84244=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142056,#142057,#142058,#142059, #142060,#142061,#142062,#142063,#142064,#142065),(#142066,#142067,#142068, #142069,#142070,#142071,#142072,#142073,#142074,#142075),(#142076,#142077, #142078,#142079,#142080,#142081,#142082,#142083,#142084,#142085),(#142086, #142087,#142088,#142089,#142090,#142091,#142092,#142093,#142094,#142095)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00430715560872012, 0.00452251338915612,0.00473787116959213,0.00516858673046414,0.00559930229133615, 0.00581466007177216,0.00603001785220816),.UNSPECIFIED.); #84245=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142119,#142120,#142121,#142122), (#142123,#142124,#142125,#142126),(#142127,#142128,#142129,#142130),(#142131, #142132,#142133,#142134),(#142135,#142136,#142137,#142138)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0386732914952951), .UNSPECIFIED.); #84246=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142153,#142154,#142155,#142156, #142157),(#142158,#142159,#142160,#142161,#142162),(#142163,#142164,#142165, #142166,#142167),(#142168,#142169,#142170,#142171,#142172),(#142173,#142174, #142175,#142176,#142177)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.031267705133163,0.0625354102663259),.UNSPECIFIED.); #84247=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142194,#142195,#142196,#142197, #142198),(#142199,#142200,#142201,#142202,#142203),(#142204,#142205,#142206, #142207,#142208),(#142209,#142210,#142211,#142212,#142213),(#142214,#142215, #142216,#142217,#142218)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0389169178668417,0.0778338357336834),.UNSPECIFIED.); #84248=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142230,#142231,#142232,#142233, #142234),(#142235,#142236,#142237,#142238,#142239),(#142240,#142241,#142242, #142243,#142244),(#142245,#142246,#142247,#142248,#142249),(#142250,#142251, #142252,#142253,#142254)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(3.75677757714401E-16,0.0402001775945557,0.080400355189111), .UNSPECIFIED.); #84249=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142266,#142267,#142268,#142269, #142270),(#142271,#142272,#142273,#142274,#142275),(#142276,#142277,#142278, #142279,#142280),(#142281,#142282,#142283,#142284,#142285),(#142286,#142287, #142288,#142289,#142290)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0312680527534751,0.0625361055069503),.UNSPECIFIED.); #84250=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142304,#142305,#142306,#142307), (#142308,#142309,#142310,#142311),(#142312,#142313,#142314,#142315),(#142316, #142317,#142318,#142319),(#142320,#142321,#142322,#142323)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0387209352778142), .UNSPECIFIED.); #84251=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142335,#142336,#142337,#142338, #142339,#142340),(#142341,#142342,#142343,#142344,#142345,#142346),(#142347, #142348,#142349,#142350,#142351,#142352),(#142353,#142354,#142355,#142356, #142357,#142358),(#142359,#142360,#142361,#142362,#142363,#142364)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0103753243119985, 0.020750648623997,0.0484181801226596),.UNSPECIFIED.); #84252=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142377,#142378,#142379,#142380, #142381,#142382,#142383,#142384,#142385,#142386),(#142387,#142388,#142389, #142390,#142391,#142392,#142393,#142394,#142395,#142396),(#142397,#142398, #142399,#142400,#142401,#142402,#142403,#142404,#142405,#142406),(#142407, #142408,#142409,#142410,#142411,#142412,#142413,#142414,#142415,#142416)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00430881968999522, 0.00452426067449498,0.00473970165899474,0.00517058362799426,0.00560146559699378, 0.00581690658149354,0.0060323475659933),.UNSPECIFIED.); #84253=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142443,#142444,#142445,#142446, #142447,#142448),(#142449,#142450,#142451,#142452,#142453,#142454),(#142455, #142456,#142457,#142458,#142459,#142460),(#142461,#142462,#142463,#142464, #142465,#142466)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000477124825399131, 0.,0.0467877523353669),.UNSPECIFIED.); #84254=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142479,#142480,#142481,#142482, #142483,#142484,#142485),(#142486,#142487,#142488,#142489,#142490,#142491, #142492),(#142493,#142494,#142495,#142496,#142497,#142498,#142499),(#142500, #142501,#142502,#142503,#142504,#142505,#142506),(#142507,#142508,#142509, #142510,#142511,#142512,#142513)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.0207619037899959,0.0346031729833266,0.0415238075799919, 0.0484444421766572),.UNSPECIFIED.); #84255=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142528,#142529,#142530,#142531, #142532),(#142533,#142534,#142535,#142536,#142537),(#142538,#142539,#142540, #142541,#142542),(#142543,#142544,#142545,#142546,#142547),(#142548,#142549, #142550,#142551,#142552)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.0161904593396081,0.0511226969291431,0.0860549345186782), .UNSPECIFIED.); #84256=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142582,#142583,#142584,#142585), (#142586,#142587,#142588,#142589),(#142590,#142591,#142592,#142593),(#142594, #142595,#142596,#142597),(#142598,#142599,#142600,#142601)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.53210290771625, 1.53336649006895),.UNSPECIFIED.); #84257=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142609,#142610,#142611,#142612), (#142613,#142614,#142615,#142616),(#142617,#142618,#142619,#142620),(#142621, #142622,#142623,#142624),(#142625,#142626,#142627,#142628)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.5577087250516,1.55897149221218), .UNSPECIFIED.); #84258=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142650,#142651,#142652,#142653, #142654),(#142655,#142656,#142657,#142658,#142659),(#142660,#142661,#142662, #142663,#142664),(#142665,#142666,#142667,#142668,#142669),(#142670,#142671, #142672,#142673,#142674)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(9.15139104389859E-17,0.0349183582569818,0.0698367165139636), .UNSPECIFIED.); #84259=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142684,#142685,#142686,#142687), (#142688,#142689,#142690,#142691),(#142692,#142693,#142694,#142695),(#142696, #142697,#142698,#142699)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.40878564548881), (-2.57397885671741E-15,0.0162182051673978),.UNSPECIFIED.); #84260=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142706,#142707,#142708,#142709, #142710,#142711),(#142712,#142713,#142714,#142715,#142716,#142717),(#142718, #142719,#142720,#142721,#142722,#142723),(#142724,#142725,#142726,#142727, #142728,#142729)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0472648769797132, -0.046787752154306,0.),.UNSPECIFIED.); #84261=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142745,#142746,#142747,#142748, #142749,#142750,#142751,#142752,#142753,#142754),(#142755,#142756,#142757, #142758,#142759,#142760,#142761,#142762,#142763,#142764),(#142765,#142766, #142767,#142768,#142769,#142770,#142771,#142772,#142773,#142774),(#142775, #142776,#142777,#142778,#142779,#142780,#142781,#142782,#142783,#142784)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00430881969015712, 0.00452426067466497,0.00473970165917283,0.00517058362818854,0.00560146559720425, 0.00581690658171211,0.00603234756621996),.UNSPECIFIED.); #84262=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142808,#142809,#142810,#142811), (#142812,#142813,#142814,#142815),(#142816,#142817,#142818,#142819),(#142820, #142821,#142822,#142823),(#142824,#142825,#142826,#142827)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0387209352778148), .UNSPECIFIED.); #84263=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142842,#142843,#142844,#142845, #142846),(#142847,#142848,#142849,#142850,#142851),(#142852,#142853,#142854, #142855,#142856),(#142857,#142858,#142859,#142860,#142861),(#142862,#142863, #142864,#142865,#142866)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0312680523571154,0.0625361047142308),.UNSPECIFIED.); #84264=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142883,#142884,#142885,#142886, #142887),(#142888,#142889,#142890,#142891,#142892),(#142893,#142894,#142895, #142896,#142897),(#142898,#142899,#142900,#142901,#142902),(#142903,#142904, #142905,#142906,#142907)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(6.38092154822467E-33,0.0402001777911919,0.0804003555823838), .UNSPECIFIED.); #84265=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142919,#142920,#142921,#142922, #142923),(#142924,#142925,#142926,#142927,#142928),(#142929,#142930,#142931, #142932,#142933),(#142934,#142935,#142936,#142937,#142938),(#142939,#142940, #142941,#142942,#142943)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0389169178034172,0.0778338356068344),.UNSPECIFIED.); #84266=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142955,#142956,#142957,#142958, #142959),(#142960,#142961,#142962,#142963,#142964),(#142965,#142966,#142967, #142968,#142969),(#142970,#142971,#142972,#142973,#142974),(#142975,#142976, #142977,#142978,#142979)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0312677053395502,0.0625354106791004),.UNSPECIFIED.); #84267=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#142993,#142994,#142995,#142996), (#142997,#142998,#142999,#143000),(#143001,#143002,#143003,#143004),(#143005, #143006,#143007,#143008),(#143009,#143010,#143011,#143012)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0386732914952942), .UNSPECIFIED.); #84268=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143024,#143025,#143026,#143027, #143028,#143029,#143030),(#143031,#143032,#143033,#143034,#143035,#143036, #143037),(#143038,#143039,#143040,#143041,#143042,#143043,#143044),(#143045, #143046,#143047,#143048,#143049,#143050,#143051),(#143052,#143053,#143054, #143055,#143056,#143057,#143058)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.00692077387642133,0.0138415477528427,0.0276830955056853, 0.0484454171349493),.UNSPECIFIED.); #84269=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143073,#143074,#143075,#143076, #143077,#143078,#143079,#143080,#143081,#143082),(#143083,#143084,#143085, #143086,#143087,#143088,#143089,#143090,#143091,#143092),(#143093,#143094, #143095,#143096,#143097,#143098,#143099,#143100,#143101,#143102),(#143103, #143104,#143105,#143106,#143107,#143108,#143109,#143110,#143111,#143112)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00430715561075859, 0.00452251339129652,0.00473787117183445,0.00516858673291031,0.00559930229398617, 0.0058146600745241,0.00603001785506203),.UNSPECIFIED.); #84270=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143139,#143140,#143141,#143142, #143143,#143144),(#143145,#143146,#143147,#143148,#143149,#143150),(#143151, #143152,#143153,#143154,#143155,#143156),(#143157,#143158,#143159,#143160, #143161,#143162)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000477048781540439, 0.,0.046802881092086),.UNSPECIFIED.); #84271=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143175,#143176,#143177,#143178, #143179,#143180,#143181),(#143182,#143183,#143184,#143185,#143186,#143187, #143188),(#143189,#143190,#143191,#143192,#143193,#143194,#143195),(#143196, #143197,#143198,#143199,#143200,#143201,#143202),(#143203,#143204,#143205, #143206,#143207,#143208,#143209)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.0207502279271121,0.0345837132118535,0.0415004558542242, 0.0484171984965949),.UNSPECIFIED.); #84272=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143224,#143225,#143226,#143227, #143228),(#143229,#143230,#143231,#143232,#143233),(#143234,#143235,#143236, #143237,#143238),(#143239,#143240,#143241,#143242,#143243),(#143244,#143245, #143246,#143247,#143248)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.0162182129349006,0.0511365915582026,0.0860549701815046), .UNSPECIFIED.); #84273=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143278,#143279,#143280,#143281), (#143282,#143283,#143284,#143285),(#143286,#143287,#143288,#143289),(#143290, #143291,#143292,#143293),(#143294,#143295,#143296,#143297)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.55897149397426, -1.55770872505161),.UNSPECIFIED.); #84274=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143305,#143306,#143307,#143308), (#143309,#143310,#143311,#143312),(#143313,#143314,#143315,#143316),(#143317, #143318,#143319,#143320),(#143321,#143322,#143323,#143324)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.53336649006896, -1.53210290771625),.UNSPECIFIED.); #84275=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143346,#143347,#143348,#143349, #143350),(#143351,#143352,#143353,#143354,#143355),(#143356,#143357,#143358, #143359,#143360),(#143361,#143362,#143363,#143364,#143365),(#143366,#143367, #143368,#143369,#143370)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(1.86154366269352E-17,0.0349322174586643,0.0698644349173286), .UNSPECIFIED.); #84276=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143380,#143381,#143382,#143383), (#143384,#143385,#143386,#143387),(#143388,#143389,#143390,#143391),(#143392, #143393,#143394,#143395)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.590723508650255, 1.),(-0.36430079416655,-0.348110347934343),.UNSPECIFIED.); #84277=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143408,#143409,#143410,#143411, #143412,#143413,#143414),(#143415,#143416,#143417,#143418,#143419,#143420, #143421),(#143422,#143423,#143424,#143425,#143426,#143427,#143428),(#143429, #143430,#143431,#143432,#143433,#143434,#143435),(#143436,#143437,#143438, #143439,#143440,#143441,#143442)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.0806950401786084,0.413554261808956,0.857366557316085, 1.19022577894643,1.63403807445356),.UNSPECIFIED.); #84278=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143449,#143450,#143451,#143452), (#143453,#143454,#143455,#143456),(#143457,#143458,#143459,#143460),(#143461, #143462,#143463,#143464),(#143465,#143466,#143467,#143468)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.172786291547511), .UNSPECIFIED.); #84279=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143496,#143497,#143498,#143499, #143500),(#143501,#143502,#143503,#143504,#143505),(#143506,#143507,#143508, #143509,#143510),(#143511,#143512,#143513,#143514,#143515),(#143516,#143517, #143518,#143519,#143520)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-1.50755457913621,-0.734488043856602,0.0385784914230109), .UNSPECIFIED.); #84280=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143524,#143525,#143526,#143527, #143528,#143529),(#143530,#143531,#143532,#143533,#143534,#143535),(#143536, #143537,#143538,#143539,#143540,#143541),(#143542,#143543,#143544,#143545, #143546,#143547),(#143548,#143549,#143550,#143551,#143552,#143553)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(-1.63403807445356, -0.857366557316085,-0.524507335685739,-0.0806950401786103), .UNSPECIFIED.); #84281=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143566,#143567,#143568,#143569, #143570),(#143571,#143572,#143573,#143574,#143575),(#143576,#143577,#143578, #143579,#143580),(#143581,#143582,#143583,#143584,#143585),(#143586,#143587, #143588,#143589,#143590)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-1.50755457913627,-0.730883061998777,0.0457884551387218), .UNSPECIFIED.); #84282=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143595,#143596,#143597,#143598, #143599),(#143600,#143601,#143602,#143603,#143604),(#143605,#143606,#143607, #143608,#143609),(#143610,#143611,#143612,#143613,#143614),(#143615,#143616, #143617,#143618,#143619)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0389152114691252,0.0778304229382503),.UNSPECIFIED.); #84283=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143631,#143632,#143633,#143634, #143635),(#143636,#143637,#143638,#143639,#143640),(#143641,#143642,#143643, #143644,#143645),(#143646,#143647,#143648,#143649,#143650),(#143651,#143652, #143653,#143654,#143655)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0394640953374183,0.0789281906748366),.UNSPECIFIED.); #84284=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143667,#143668,#143669,#143670, #143671),(#143672,#143673,#143674,#143675,#143676),(#143677,#143678,#143679, #143680,#143681),(#143682,#143683,#143684,#143685,#143686),(#143687,#143688, #143689,#143690,#143691)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(9.62508020290443E-13,0.0418185077098401,0.0836370154187176), .UNSPECIFIED.); #84285=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143727,#143728,#143729,#143730, #143731),(#143732,#143733,#143734,#143735,#143736),(#143737,#143738,#143739, #143740,#143741),(#143742,#143743,#143744,#143745,#143746),(#143747,#143748, #143749,#143750,#143751)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0422887563176541,0.0845775126353081),.UNSPECIFIED.); #84286=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143767,#143768,#143769,#143770, #143771),(#143772,#143773,#143774,#143775,#143776),(#143777,#143778,#143779, #143780,#143781),(#143782,#143783,#143784,#143785,#143786),(#143787,#143788, #143789,#143790,#143791)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0393467856534376,0.0786935713068753),.UNSPECIFIED.); #84287=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143797,#143798,#143799,#143800), (#143801,#143802,#143803,#143804),(#143805,#143806,#143807,#143808),(#143809, #143810,#143811,#143812),(#143813,#143814,#143815,#143816)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0749812692323427, 0.53455831841732),.UNSPECIFIED.); #84288=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143834,#143835,#143836,#143837), (#143838,#143839,#143840,#143841),(#143842,#143843,#143844,#143845),(#143846, #143847,#143848,#143849),(#143850,#143851,#143852,#143853)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.166686973811038, 0.626365209522251),.UNSPECIFIED.); #84289=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143855,#143856,#143857,#143858, #143859,#143860),(#143861,#143862,#143863,#143864,#143865,#143866),(#143867, #143868,#143869,#143870,#143871,#143872),(#143873,#143874,#143875,#143876, #143877,#143878),(#143879,#143880,#143881,#143882,#143883,#143884)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(-1.62722214288486, -0.850550625747379,-0.406738330240246,-0.0738791086098956), .UNSPECIFIED.); #84290=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143892,#143893,#143894,#143895), (#143896,#143897,#143898,#143899),(#143900,#143901,#143902,#143903),(#143904, #143905,#143906,#143907),(#143908,#143909,#143910,#143911)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.000794497539381171), .UNSPECIFIED.); #84291=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143918,#143919,#143920,#143921, #143922,#143923),(#143924,#143925,#143926,#143927,#143928,#143929),(#143930, #143931,#143932,#143933,#143934,#143935),(#143936,#143937,#143938,#143939, #143940,#143941),(#143942,#143943,#143944,#143945,#143946,#143947)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.5,1.),(0.,0.090405181534233, 0.0907028387146368),.UNSPECIFIED.); #84292=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#143965,#143966,#143967,#143968, #143969,#143970),(#143971,#143972,#143973,#143974,#143975,#143976),(#143977, #143978,#143979,#143980,#143981,#143982),(#143983,#143984,#143985,#143986, #143987,#143988),(#143989,#143990,#143991,#143992,#143993,#143994)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(-6.93889390390723E-18, 0.0365998395698721,0.0609997326164535,0.085399625663035),.UNSPECIFIED.); #84293=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144021,#144022,#144023,#144024, #144025),(#144026,#144027,#144028,#144029,#144030),(#144031,#144032,#144033, #144034,#144035),(#144036,#144037,#144038,#144039,#144040),(#144041,#144042, #144043,#144044,#144045)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(2.0510246480932E-15,0.0404429595953042,0.0808859191906063), .UNSPECIFIED.); #84294=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144057,#144058,#144059,#144060, #144061),(#144062,#144063,#144064,#144065,#144066),(#144067,#144068,#144069, #144070,#144071),(#144072,#144073,#144074,#144075,#144076),(#144077,#144078, #144079,#144080,#144081)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(1.00111344702156E-15,0.0406151323192596,0.0812302646385182), .UNSPECIFIED.); #84295=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144090,#144091,#144092,#144093, #144094),(#144095,#144096,#144097,#144098,#144099),(#144100,#144101,#144102, #144103,#144104),(#144105,#144106,#144107,#144108,#144109),(#144110,#144111, #144112,#144113,#144114)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.0389725235700091,0.737698993567467,1.51437051070494), .UNSPECIFIED.); #84296=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144117,#144118,#144119,#144120, #144121,#144122),(#144123,#144124,#144125,#144126,#144127,#144128),(#144129, #144130,#144131,#144132,#144133,#144134),(#144135,#144136,#144137,#144138, #144139,#144140)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0472288805107751, -0.0467515901678848,0.),.UNSPECIFIED.); #84297=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144156,#144157,#144158,#144159, #144160,#144161,#144162,#144163,#144164,#144165),(#144166,#144167,#144168, #144169,#144170,#144171,#144172,#144173,#144174,#144175),(#144176,#144177, #144178,#144179,#144180,#144181,#144182,#144183,#144184,#144185),(#144186, #144187,#144188,#144189,#144190,#144191,#144192,#144193,#144194,#144195)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00431304667469107, 0.00452869900842562,0.00474435134216018,0.00517565600962928,0.00560696067709839, 0.00582261301083294,0.0060382653445675),.UNSPECIFIED.); #84298=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144219,#144220,#144221,#144222), (#144223,#144224,#144225,#144226),(#144227,#144228,#144229,#144230),(#144231, #144232,#144233,#144234),(#144235,#144236,#144237,#144238)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0388364330045842), .UNSPECIFIED.); #84299=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144253,#144254,#144255,#144256, #144257),(#144258,#144259,#144260,#144261,#144262),(#144263,#144264,#144265, #144266,#144267),(#144268,#144269,#144270,#144271,#144272),(#144273,#144274, #144275,#144276,#144277)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0312690367968829,0.0625380735937657),.UNSPECIFIED.); #84300=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144294,#144295,#144296,#144297, #144298),(#144299,#144300,#144301,#144302,#144303),(#144304,#144305,#144306, #144307,#144308),(#144309,#144310,#144311,#144312,#144313),(#144314,#144315, #144316,#144317,#144318)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0424982048897048,0.0849964097794096),.UNSPECIFIED.); #84301=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144334,#144335,#144336,#144337, #144338),(#144339,#144340,#144341,#144342,#144343),(#144344,#144345,#144346, #144347,#144348),(#144349,#144350,#144351,#144352,#144353),(#144354,#144355, #144356,#144357,#144358)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(1.22975394403785E-16,0.0400917915989412,0.0801835831978823), .UNSPECIFIED.); #84302=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144370,#144371,#144372,#144373, #144374,#144375),(#144376,#144377,#144378,#144379,#144380,#144381),(#144382, #144383,#144384,#144385,#144386,#144387),(#144388,#144389,#144390,#144391, #144392,#144393),(#144394,#144395,#144396,#144397,#144398,#144399)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0197431708209748, 0.0394863416419496,0.0921347971645491),.UNSPECIFIED.); #84303=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144408,#144409,#144410,#144411), (#144412,#144413,#144414,#144415),(#144416,#144417,#144418,#144419),(#144420, #144421,#144422,#144423)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.00508313572195059),.UNSPECIFIED.); #84304=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144430,#144431,#144432,#144433, #144434,#144435,#144436,#144437),(#144438,#144439,#144440,#144441,#144442, #144443,#144444,#144445),(#144446,#144447,#144448,#144449,#144450,#144451, #144452,#144453),(#144454,#144455,#144456,#144457,#144458,#144459,#144460, #144461)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,2,4),(0.,1.),(0.,0.0329103377066647, 0.0493655065599971,0.0822758442666618,0.0825405765925368),.UNSPECIFIED.); #84305=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144470,#144471,#144472,#144473, #144474,#144475),(#144476,#144477,#144478,#144479,#144480,#144481),(#144482, #144483,#144484,#144485,#144486,#144487),(#144488,#144489,#144490,#144491, #144492,#144493),(#144494,#144495,#144496,#144497,#144498,#144499)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(9.92537755210703E-16, 0.0103952044776155,0.0207904089552299,0.0485109542288685),.UNSPECIFIED.); #84306=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144523,#144524,#144525,#144526), (#144527,#144528,#144529,#144530),(#144531,#144532,#144533,#144534),(#144535, #144536,#144537,#144538),(#144539,#144540,#144541,#144542)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-11.2241397677562, -11.073244985326),.UNSPECIFIED.); #84307=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144575,#144576,#144577,#144578), (#144579,#144580,#144581,#144582),(#144583,#144584,#144585,#144586),(#144587, #144588,#144589,#144590)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.407600933963957), (-0.0162854070074368,9.31602926990301E-16),.UNSPECIFIED.); #84308=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144597,#144598,#144599,#144600, #144601),(#144602,#144603,#144604,#144605,#144606),(#144607,#144608,#144609, #144610,#144611),(#144612,#144613,#144614,#144615,#144616),(#144617,#144618, #144619,#144620,#144621)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.0162854174672022,0.0511704001958444,0.0860553829244866), .UNSPECIFIED.); #84309=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144635,#144636,#144637,#144638, #144639,#144640),(#144641,#144642,#144643,#144644,#144645,#144646),(#144647, #144648,#144649,#144650,#144651,#144652),(#144653,#144654,#144655,#144656, #144657,#144658)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.047249923069269, -0.0467727214599875,0.),.UNSPECIFIED.); #84310=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144674,#144675,#144676,#144677, #144678,#144679,#144680,#144681,#144682,#144683),(#144684,#144685,#144686, #144687,#144688,#144689,#144690,#144691,#144692,#144693),(#144694,#144695, #144696,#144697,#144698,#144699,#144700,#144701,#144702,#144703),(#144704, #144705,#144706,#144707,#144708,#144709,#144710,#144711,#144712,#144713)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00431053233093489, 0.00452605894748163,0.00474158556402838,0.00517263879712187,0.00560369203021535, 0.0058192186467621,0.00603474526330884),.UNSPECIFIED.); #84311=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144737,#144738,#144739,#144740), (#144741,#144742,#144743,#144744),(#144745,#144746,#144747,#144748),(#144749, #144750,#144751,#144752),(#144753,#144754,#144755,#144756)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.038768645205307), .UNSPECIFIED.); #84312=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144771,#144772,#144773,#144774, #144775),(#144776,#144777,#144778,#144779,#144780),(#144781,#144782,#144783, #144784,#144785),(#144786,#144787,#144788,#144789,#144790),(#144791,#144792, #144793,#144794,#144795)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0312684346785747,0.0625368693571495),.UNSPECIFIED.); #84313=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144812,#144813,#144814,#144815, #144816),(#144817,#144818,#144819,#144820,#144821),(#144822,#144823,#144824, #144825,#144826),(#144827,#144828,#144829,#144830,#144831),(#144832,#144833, #144834,#144835,#144836)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0414755139026103,0.0829510278052206),.UNSPECIFIED.); #84314=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144848,#144849,#144850,#144851, #144852),(#144853,#144854,#144855,#144856,#144857),(#144858,#144859,#144860, #144861,#144862),(#144863,#144864,#144865,#144866,#144867),(#144868,#144869, #144870,#144871,#144872)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.037887372815538,0.075774745631076),.UNSPECIFIED.); #84315=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144884,#144885,#144886,#144887, #144888),(#144889,#144890,#144891,#144892,#144893),(#144894,#144895,#144896, #144897,#144898),(#144899,#144900,#144901,#144902,#144903),(#144904,#144905, #144906,#144907,#144908)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0312672725767748,0.0625345451535496),.UNSPECIFIED.); #84316=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144916,#144917,#144918,#144919), (#144920,#144921,#144922,#144923),(#144924,#144925,#144926,#144927),(#144928, #144929,#144930,#144931),(#144932,#144933,#144934,#144935)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0386058244286963), .UNSPECIFIED.); #84317=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144942,#144943,#144944,#144945, #144946,#144947),(#144948,#144949,#144950,#144951,#144952,#144953),(#144954, #144955,#144956,#144957,#144958,#144959),(#144960,#144961,#144962,#144963, #144964,#144965),(#144966,#144967,#144968,#144969,#144970,#144971)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(5.6756839571217E-16, 0.0103869799964629,0.0207739599929252,0.0484725733168246),.UNSPECIFIED.); #84318=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#144984,#144985,#144986,#144987, #144988,#144989,#144990,#144991,#144992,#144993),(#144994,#144995,#144996, #144997,#144998,#144999,#145000,#145001,#145002,#145003),(#145004,#145005, #145006,#145007,#145008,#145009,#145010,#145011,#145012,#145013),(#145014, #145015,#145016,#145017,#145018,#145019,#145020,#145021,#145022,#145023)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00430488217791274, 0.00452012628680837,0.00473537039570401,0.00516585861349529,0.00559634683128656, 0.0058115909401822,0.00602683504907783),.UNSPECIFIED.); #84319=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145038,#145039,#145040,#145041, #145042,#145043),(#145044,#145045,#145046,#145047,#145048,#145049),(#145050, #145051,#145052,#145053,#145054,#145055),(#145056,#145057,#145058,#145059, #145060,#145061)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000476949232355871, 0.,0.0468244960275468),.UNSPECIFIED.); #84320=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145068,#145069,#145070,#145071, #145072,#145073,#145074),(#145075,#145076,#145077,#145078,#145079,#145080, #145081),(#145082,#145083,#145084,#145085,#145086,#145087,#145088),(#145089, #145090,#145091,#145092,#145093,#145094,#145095),(#145096,#145097,#145098, #145099,#145100,#145101,#145102)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.0207336069639955,0.0345560116066591,0.041467213927991, 0.0483784162493228),.UNSPECIFIED.); #84321=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145110,#145111,#145112,#145113, #145114),(#145115,#145116,#145117,#145118,#145119),(#145120,#145121,#145122, #145123,#145124),(#145125,#145126,#145127,#145128,#145129),(#145130,#145131, #145132,#145133,#145134)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.016245986959716,0.0511505358969059,0.0860550848340958), .UNSPECIFIED.); #84322=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145167,#145168,#145169,#145170), (#145171,#145172,#145173,#145174),(#145175,#145176,#145177,#145178),(#145179, #145180,#145181,#145182),(#145183,#145184,#145185,#145186)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0501521705493828, 0.509800479894527),.UNSPECIFIED.); #84323=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145200,#145201,#145202,#145203), (#145204,#145205,#145206,#145207),(#145208,#145209,#145210,#145211),(#145212, #145213,#145214,#145215),(#145216,#145217,#145218,#145219)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.173141298101258, 0.632681504420658),.UNSPECIFIED.); #84324=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145242,#145243,#145244,#145245, #145246),(#145247,#145248,#145249,#145250,#145251),(#145252,#145253,#145254, #145255,#145256),(#145257,#145258,#145259,#145260,#145261),(#145262,#145263, #145264,#145265,#145266)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0349519264980465,0.0699038529960929),.UNSPECIFIED.); #84325=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145276,#145277,#145278,#145279), (#145280,#145281,#145282,#145283),(#145284,#145285,#145286,#145287),(#145288, #145289,#145290,#145291)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.409973715848299), (-3.16017268044726E-15,0.0161511178262365),.UNSPECIFIED.); #84326=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145298,#145299,#145300,#145301, #145302,#145303),(#145304,#145305,#145306,#145307,#145308,#145309),(#145310, #145311,#145312,#145313,#145314,#145315),(#145316,#145317,#145318,#145319, #145320,#145321)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0473014499624872, -0.0468245007251147,0.),.UNSPECIFIED.); #84327=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145337,#145338,#145339,#145340, #145341,#145342,#145343,#145344,#145345,#145346),(#145347,#145348,#145349, #145350,#145351,#145352,#145353,#145354,#145355,#145356),(#145357,#145358, #145359,#145360,#145361,#145362,#145363,#145364,#145365,#145366),(#145367, #145368,#145369,#145370,#145371,#145372,#145373,#145374,#145375,#145376)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00430488088266558, 0.00452012492679886,0.00473536897093214,0.0051658570591987,0.00559634514746526, 0.00581158919159854,0.00602683323573182),.UNSPECIFIED.); #84328=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145400,#145401,#145402,#145403), (#145404,#145405,#145406,#145407),(#145408,#145409,#145410,#145411),(#145412, #145413,#145414,#145415),(#145416,#145417,#145418,#145419)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0386058244286964), .UNSPECIFIED.); #84329=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145434,#145435,#145436,#145437, #145438),(#145439,#145440,#145441,#145442,#145443),(#145444,#145445,#145446, #145447,#145448),(#145449,#145450,#145451,#145452,#145453),(#145454,#145455, #145456,#145457,#145458)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0312672723895975,0.062534544779195),.UNSPECIFIED.); #84330=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145475,#145476,#145477,#145478, #145479),(#145480,#145481,#145482,#145483,#145484),(#145485,#145486,#145487, #145488,#145489),(#145490,#145491,#145492,#145493,#145494),(#145495,#145496, #145497,#145498,#145499)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0378873728147867,0.0757747456295734),.UNSPECIFIED.); #84331=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145511,#145512,#145513,#145514, #145515),(#145516,#145517,#145518,#145519,#145520),(#145521,#145522,#145523, #145524,#145525),(#145526,#145527,#145528,#145529,#145530),(#145531,#145532, #145533,#145534,#145535)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(1.10556714971059E-16,0.0414755139067583,0.0829510278135165), .UNSPECIFIED.); #84332=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145547,#145548,#145549,#145550, #145551),(#145552,#145553,#145554,#145555,#145556),(#145557,#145558,#145559, #145560,#145561),(#145562,#145563,#145564,#145565,#145566),(#145567,#145568, #145569,#145570,#145571)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.031268434914095,0.0625368698281901),.UNSPECIFIED.); #84333=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145585,#145586,#145587,#145588), (#145589,#145590,#145591,#145592),(#145593,#145594,#145595,#145596),(#145597, #145598,#145599,#145600),(#145601,#145602,#145603,#145604)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0387686452053074), .UNSPECIFIED.); #84334=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145616,#145617,#145618,#145619, #145620,#145621,#145622),(#145623,#145624,#145625,#145626,#145627,#145628, #145629),(#145630,#145631,#145632,#145633,#145634,#145635,#145636),(#145637, #145638,#145639,#145640,#145641,#145642,#145643),(#145644,#145645,#145646, #145647,#145648,#145649,#145650)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(2.89383906585807E-16,0.00691134389618166,0.013822687792363, 0.0276453755847258,0.0483794072732699),.UNSPECIFIED.); #84335=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145665,#145666,#145667,#145668, #145669,#145670,#145671,#145672,#145673,#145674),(#145675,#145676,#145677, #145678,#145679,#145680,#145681,#145682,#145683,#145684),(#145685,#145686, #145687,#145688,#145689,#145690,#145691,#145692,#145693,#145694),(#145695, #145696,#145697,#145698,#145699,#145700,#145701,#145702,#145703,#145704)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00431053233075584, 0.00452605894729363,0.00474158556383142,0.00517263879690701,0.00560369202998259, 0.00581921864652038,0.00603474526305818),.UNSPECIFIED.); #84336=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145731,#145732,#145733,#145734, #145735,#145736),(#145737,#145738,#145739,#145740,#145741,#145742),(#145743, #145744,#145745,#145746,#145747,#145748),(#145749,#145750,#145751,#145752, #145753,#145754)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000477201606953199, 0.,0.0467727216646567),.UNSPECIFIED.); #84337=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145767,#145768,#145769,#145770, #145771,#145772,#145773),(#145774,#145775,#145776,#145777,#145778,#145779, #145780),(#145781,#145782,#145783,#145784,#145785,#145786,#145787),(#145788, #145789,#145790,#145791,#145792,#145793,#145794),(#145795,#145796,#145797, #145798,#145799,#145800,#145801)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.0207735450258754,0.0346225750431257,0.0415470900517508, 0.048471605060376),.UNSPECIFIED.); #84338=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145816,#145817,#145818,#145819, #145820),(#145821,#145822,#145823,#145824,#145825),(#145826,#145827,#145828, #145829,#145830),(#145831,#145832,#145833,#145834,#145835),(#145836,#145837, #145838,#145839,#145840)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.0161511261079848,0.0511030727368643,0.0860550193657438), .UNSPECIFIED.); #84339=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145871,#145872,#145873,#145874), (#145875,#145876,#145877,#145878),(#145879,#145880,#145881,#145882),(#145883, #145884,#145885,#145886),(#145887,#145888,#145889,#145890)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0502385717304768, 0.509778778196622),.UNSPECIFIED.); #84340=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145904,#145905,#145906,#145907), (#145908,#145909,#145910,#145911),(#145912,#145913,#145914,#145915),(#145916, #145917,#145918,#145919),(#145920,#145921,#145922,#145923)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.174154847314519, 0.633803156856292),.UNSPECIFIED.); #84341=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145948,#145949,#145950,#145951, #145952),(#145953,#145954,#145955,#145956,#145957),(#145958,#145959,#145960, #145961,#145962),(#145963,#145964,#145965,#145966,#145967),(#145968,#145969, #145970,#145971,#145972)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(2.75387351811322E-17,0.0349045289292922,0.0698090578585844), .UNSPECIFIED.); #84342=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#145982,#145983,#145984,#145985), (#145986,#145987,#145988,#145989),(#145990,#145991,#145992,#145993),(#145994, #145995,#145996,#145997)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.40829537389577), (-2.19019496155941E-15,0.0162459791240139),.UNSPECIFIED.); #84343=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146004,#146005,#146006,#146007, #146008,#146009),(#146010,#146011,#146012,#146013,#146014,#146015),(#146016, #146017,#146018,#146019,#146020,#146021),(#146022,#146023,#146024,#146025, #146026,#146027)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000477290337607202, 0.,0.0467515880277409),.UNSPECIFIED.); #84344=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146043,#146044,#146045,#146046, #146047,#146048,#146049,#146050,#146051,#146052),(#146053,#146054,#146055, #146056,#146057,#146058,#146059,#146060,#146061,#146062),(#146063,#146064, #146065,#146066,#146067,#146068,#146069,#146070,#146071,#146072),(#146073, #146074,#146075,#146076,#146077,#146078,#146079,#146080,#146081,#146082)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,4),(0.,1.),(0.,0.00431304724709006, 0.00452869960944456,0.00474435197179906,0.00517565669650807,0.00560696142121707, 0.00582261378357158,0.00603826614592608),.UNSPECIFIED.); #84345=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146106,#146107,#146108,#146109), (#146110,#146111,#146112,#146113),(#146114,#146115,#146116,#146117),(#146118, #146119,#146120,#146121),(#146122,#146123,#146124,#146125)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0388364330045839), .UNSPECIFIED.); #84346=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146140,#146141,#146142,#146143, #146144),(#146145,#146146,#146147,#146148,#146149),(#146150,#146151,#146152, #146153,#146154),(#146155,#146156,#146157,#146158,#146159),(#146160,#146161, #146162,#146163,#146164)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0312690367983523,0.0625380735967046),.UNSPECIFIED.); #84347=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146181,#146182,#146183,#146184, #146185),(#146186,#146187,#146188,#146189,#146190),(#146191,#146192,#146193, #146194,#146195),(#146196,#146197,#146198,#146199,#146200),(#146201,#146202, #146203,#146204,#146205)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0424982048897045,0.0849964097794089),.UNSPECIFIED.); #84348=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146221,#146222,#146223,#146224, #146225),(#146226,#146227,#146228,#146229,#146230),(#146231,#146232,#146233, #146234,#146235),(#146236,#146237,#146238,#146239,#146240),(#146241,#146242, #146243,#146244,#146245)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0400917915989408,0.0801835831978815),.UNSPECIFIED.); #84349=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146257,#146258,#146259,#146260, #146261,#146262),(#146263,#146264,#146265,#146266,#146267,#146268),(#146269, #146270,#146271,#146272,#146273,#146274),(#146275,#146276,#146277,#146278, #146279,#146280),(#146281,#146282,#146283,#146284,#146285,#146286)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.039486341576858, 0.0658105692947634,0.0921347970126687),.UNSPECIFIED.); #84350=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146295,#146296,#146297,#146298), (#146299,#146300,#146301,#146302),(#146303,#146304,#146305,#146306),(#146307, #146308,#146309,#146310)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.0050831357219511),.UNSPECIFIED.); #84351=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146317,#146318,#146319,#146320, #146321,#146322,#146323,#146324),(#146325,#146326,#146327,#146328,#146329, #146330,#146331,#146332),(#146333,#146334,#146335,#146336,#146337,#146338, #146339,#146340),(#146341,#146342,#146343,#146344,#146345,#146346,#146347, #146348)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,4),(0.,1.),(-0.000264732324654377, 0.,0.0329103377066632,0.0493655065599948,0.0822758442666579), .UNSPECIFIED.); #84352=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146357,#146358,#146359,#146360, #146361,#146362,#146363),(#146364,#146365,#146366,#146367,#146368,#146369, #146370),(#146371,#146372,#146373,#146374,#146375,#146376,#146377),(#146378, #146379,#146380,#146381,#146382,#146383,#146384),(#146385,#146386,#146387, #146388,#146389,#146390,#146391)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(8.26100079342223E-16,0.00693013631782103,0.0138602726356412, 0.0277205452712817,0.0485109542247423),.UNSPECIFIED.); #84353=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146406,#146407,#146408,#146409), (#146410,#146411,#146412,#146413),(#146414,#146415,#146416,#146417),(#146418, #146419,#146420,#146421),(#146422,#146423,#146424,#146425)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0499995630767512, 0.509600048117361),.UNSPECIFIED.); #84354=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146448,#146449,#146450,#146451), (#146452,#146453,#146454,#146455),(#146456,#146457,#146458,#146459),(#146460, #146461,#146462,#146463),(#146464,#146465,#146466,#146467)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.17278052924363, 0.63246643954232),.UNSPECIFIED.); #84355=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146490,#146491,#146492,#146493, #146494),(#146495,#146496,#146497,#146498,#146499),(#146500,#146501,#146502, #146503,#146504),(#146505,#146506,#146507,#146508,#146509),(#146510,#146511, #146512,#146513,#146514)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(2.32193071806666E-17,0.0348849627932963,0.0697699255865925), .UNSPECIFIED.); #84356=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146524,#146525,#146526,#146527), (#146528,#146529,#146530,#146531),(#146532,#146533,#146534,#146535),(#146536, #146537,#146538,#146539)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.407600933963915), (0.348121226134145,0.364406635952118),.UNSPECIFIED.); #84357=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146546,#146547,#146548,#146549), (#146550,#146551,#146552,#146553),(#146554,#146555,#146556,#146557),(#146558, #146559,#146560,#146561),(#146562,#146563,#146564,#146565)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.94947152153694, -1.79857673971103),.UNSPECIFIED.); #84358=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146574,#146575,#146576,#146577, #146578,#146579,#146580),(#146581,#146582,#146583,#146584,#146585,#146586, #146587),(#146588,#146589,#146590,#146591,#146592,#146593,#146594),(#146595, #146596,#146597,#146598,#146599,#146600,#146601),(#146602,#146603,#146604, #146605,#146606,#146607,#146608)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.073879108609896,0.517691404117025,0.850550625747372, 1.18340984737772,1.62722214288485),.UNSPECIFIED.); #84359=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146620,#146621,#146622,#146623), (#146624,#146625,#146626,#146627),(#146628,#146629,#146630,#146631),(#146632, #146633,#146634,#146635),(#146636,#146637,#146638,#146639)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(9.55358857044202E-17, 0.000794497539381445),.UNSPECIFIED.); #84360=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146651,#146652,#146653,#146654, #146655,#146656,#146657,#146658),(#146659,#146660,#146661,#146662,#146663, #146664,#146665,#146666),(#146667,#146668,#146669,#146670,#146671,#146672, #146673,#146674),(#146675,#146676,#146677,#146678,#146679,#146680,#146681, #146682)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,4),(0.,1.),(-0.000297657180553509, 0.,0.0542431089204477,0.0723241452272636,0.0904051815340795), .UNSPECIFIED.); #84361=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146699,#146700,#146701,#146702), (#146703,#146704,#146705,#146706),(#146707,#146708,#146709,#146710),(#146711, #146712,#146713,#146714),(#146715,#146716,#146717,#146718)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.22205879255493, 1.27955334977132),.UNSPECIFIED.); #84362=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146763,#146764,#146765,#146766, #146767),(#146768,#146769,#146770,#146771,#146772),(#146773,#146774,#146775, #146776,#146777),(#146778,#146779,#146780,#146781,#146782),(#146783,#146784, #146785,#146786,#146787)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0404429595953024,0.0808859191906048),.UNSPECIFIED.); #84363=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146799,#146800,#146801,#146802, #146803),(#146804,#146805,#146806,#146807,#146808),(#146809,#146810,#146811, #146812,#146813),(#146814,#146815,#146816,#146817,#146818),(#146819,#146820, #146821,#146822,#146823)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0406151323192579,0.0812302646385158),.UNSPECIFIED.); #84364=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146832,#146833,#146834,#146835, #146836),(#146837,#146838,#146839,#146840,#146841),(#146842,#146843,#146844, #146845,#146846),(#146847,#146848,#146849,#146850,#146851),(#146852,#146853, #146854,#146855,#146856)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-1.51437051070497,-0.73769899356748,0.0389725235700087), .UNSPECIFIED.); #84365=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146862,#146863,#146864,#146865, #146866,#146867),(#146868,#146869,#146870,#146871,#146872,#146873),(#146874, #146875,#146876,#146877,#146878,#146879),(#146880,#146881,#146882,#146883, #146884,#146885),(#146886,#146887,#146888,#146889,#146890,#146891)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(3.84788276671275E-13, 0.024399893045985,0.0487997860915852,0.0853996256599855),.UNSPECIFIED.); #84366=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146915,#146916,#146917,#146918), (#146919,#146920,#146921,#146922),(#146923,#146924,#146925,#146926),(#146927, #146928,#146929,#146930),(#146931,#146932,#146933,#146934)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.552210764004261, 1.01182879770138),.UNSPECIFIED.); #84367=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146948,#146949,#146950,#146951), (#146952,#146953,#146954,#146955),(#146956,#146957,#146958,#146959),(#146960, #146961,#146962,#146963),(#146964,#146965,#146966,#146967)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.166601275504572, 0.626213412713533),.UNSPECIFIED.); #84368=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#146989,#146990,#146991,#146992, #146993),(#146994,#146995,#146996,#146997,#146998),(#146999,#147000,#147001, #147002,#147003),(#147004,#147005,#147006,#147007,#147008),(#147009,#147010, #147011,#147012,#147013)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-4.28042690196543E-16,0.0388115634150443,0.077623126830089), .UNSPECIFIED.); #84369=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147051,#147052,#147053,#147054, #147055),(#147056,#147057,#147058,#147059,#147060),(#147061,#147062,#147063, #147064,#147065),(#147066,#147067,#147068,#147069,#147070),(#147071,#147072, #147073,#147074,#147075)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(8.94986046483933E-16,0.0406682237728477,0.0813364475456946), .UNSPECIFIED.); #84370=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147087,#147088,#147089,#147090, #147091),(#147092,#147093,#147094,#147095,#147096),(#147097,#147098,#147099, #147100,#147101),(#147102,#147103,#147104,#147105,#147106),(#147107,#147108, #147109,#147110,#147111)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(8.4069673234816E-17,0.0406682237752445,0.081336447550489), .UNSPECIFIED.); #84371=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147123,#147124,#147125,#147126, #147127),(#147128,#147129,#147130,#147131,#147132),(#147133,#147134,#147135, #147136,#147137),(#147138,#147139,#147140,#147141,#147142),(#147143,#147144, #147145,#147146,#147147)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(1.04373925144062E-12,0.0388115634154889,0.0776231268299341), .UNSPECIFIED.); #84372=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147179,#147180,#147181,#147182, #147183),(#147184,#147185,#147186,#147187,#147188),(#147189,#147190,#147191, #147192,#147193),(#147194,#147195,#147196,#147197,#147198),(#147199,#147200, #147201,#147202,#147203)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-1.63814750619705E-15,0.0418185077114787,0.083637015422959), .UNSPECIFIED.); #84373=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147241,#147242,#147243,#147244, #147245),(#147246,#147247,#147248,#147249,#147250),(#147251,#147252,#147253, #147254,#147255),(#147256,#147257,#147258,#147259,#147260),(#147261,#147262, #147263,#147264,#147265)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(6.2627729875144E-35,0.039464095337323,0.0789281906746461), .UNSPECIFIED.); #84374=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147277,#147278,#147279,#147280, #147281),(#147282,#147283,#147284,#147285,#147286),(#147287,#147288,#147289, #147290,#147291),(#147292,#147293,#147294,#147295,#147296),(#147297,#147298, #147299,#147300,#147301)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0389152114696922,0.0778304229393844),.UNSPECIFIED.); #84375=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147310,#147311,#147312,#147313, #147314),(#147315,#147316,#147317,#147318,#147319),(#147320,#147321,#147322, #147323,#147324),(#147325,#147326,#147327,#147328,#147329),(#147330,#147331, #147332,#147333,#147334)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.0457884551387256,0.730883061998753,1.50755457913623), .UNSPECIFIED.); #84376=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147339,#147340,#147341,#147342, #147343),(#147344,#147345,#147346,#147347,#147348),(#147349,#147350,#147351, #147352,#147353),(#147354,#147355,#147356,#147357,#147358),(#147359,#147360, #147361,#147362,#147363)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0393467856533314,0.0786935713066628),.UNSPECIFIED.); #84377=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147379,#147380,#147381,#147382, #147383),(#147384,#147385,#147386,#147387,#147388),(#147389,#147390,#147391, #147392,#147393),(#147394,#147395,#147396,#147397,#147398),(#147399,#147400, #147401,#147402,#147403)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0422887563282258,0.0845775126564515),.UNSPECIFIED.); #84378=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147409,#147410,#147411,#147412), (#147413,#147414,#147415,#147416),(#147417,#147418,#147419,#147420),(#147421, #147422,#147423,#147424),(#147425,#147426,#147427,#147428)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0749007056798292, 0.534578941833084),.UNSPECIFIED.); #84379=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147446,#147447,#147448,#147449), (#147450,#147451,#147452,#147453),(#147454,#147455,#147456,#147457),(#147458, #147459,#147460,#147461),(#147462,#147463,#147464,#147465)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.162925452081857, 0.62250250133253),.UNSPECIFIED.); #84380=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147467,#147468,#147469,#147470), (#147471,#147472,#147473,#147474),(#147475,#147476,#147477,#147478),(#147479, #147480,#147481,#147482),(#147483,#147484,#147485,#147486)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.590982811170867), .UNSPECIFIED.); #84381=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147497,#147498,#147499,#147500), (#147501,#147502,#147503,#147504),(#147505,#147506,#147507,#147508),(#147509, #147510,#147511,#147512),(#147513,#147514,#147515,#147516)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.621636424994431), .UNSPECIFIED.); #84382=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147525,#147526,#147527,#147528), (#147529,#147530,#147531,#147532),(#147533,#147534,#147535,#147536),(#147537, #147538,#147539,#147540),(#147541,#147542,#147543,#147544)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0357303358279393, 0.211449065607477),.UNSPECIFIED.); #84383=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147549,#147550,#147551,#147552), (#147553,#147554,#147555,#147556),(#147557,#147558,#147559,#147560),(#147561, #147562,#147563,#147564),(#147565,#147566,#147567,#147568)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.549119986008916), .UNSPECIFIED.); #84384=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147577,#147578,#147579,#147580), (#147581,#147582,#147583,#147584),(#147585,#147586,#147587,#147588),(#147589, #147590,#147591,#147592),(#147593,#147594,#147595,#147596)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.121627840251016),.UNSPECIFIED.); #84385=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147603,#147604,#147605,#147606), (#147607,#147608,#147609,#147610),(#147611,#147612,#147613,#147614),(#147615, #147616,#147617,#147618),(#147619,#147620,#147621,#147622)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(8.3510081541004E-12, 0.0769221418495992),.UNSPECIFIED.); #84386=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147629,#147630,#147631,#147632, #147633),(#147634,#147635,#147636,#147637,#147638),(#147639,#147640,#147641, #147642,#147643),(#147644,#147645,#147646,#147647,#147648),(#147649,#147650, #147651,#147652,#147653)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.22933416413935,0.458668328278701),.UNSPECIFIED.); #84387=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147669,#147670,#147671,#147672), (#147673,#147674,#147675,#147676),(#147677,#147678,#147679,#147680),(#147681, #147682,#147683,#147684),(#147685,#147686,#147687,#147688)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.586268179932409), .UNSPECIFIED.); #84388=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147705,#147706,#147707,#147708, #147709,#147710,#147711),(#147712,#147713,#147714,#147715,#147716,#147717, #147718),(#147719,#147720,#147721,#147722,#147723,#147724,#147725),(#147726, #147727,#147728,#147729,#147730,#147731,#147732)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,4),(0.,1.),(0.,0.414183623781055,1.24255087134317,2.07091811890528, 2.89928536646739),.UNSPECIFIED.); #84389=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147760,#147761,#147762,#147763), (#147764,#147765,#147766,#147767),(#147768,#147769,#147770,#147771),(#147772, #147773,#147774,#147775),(#147776,#147777,#147778,#147779)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.589316997746466), .UNSPECIFIED.); #84390=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147802,#147803,#147804,#147805, #147806,#147807),(#147808,#147809,#147810,#147811,#147812,#147813),(#147814, #147815,#147816,#147817,#147818,#147819),(#147820,#147821,#147822,#147823, #147824,#147825),(#147826,#147827,#147828,#147829,#147830,#147831)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.033308002606941, 0.066616005213882,0.116578009124293),.UNSPECIFIED.); #84391=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147845,#147846,#147847,#147848), (#147849,#147850,#147851,#147852),(#147853,#147854,#147855,#147856),(#147857, #147858,#147859,#147860)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.296169178952909),.UNSPECIFIED.); #84392=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147872,#147873,#147874,#147875), (#147876,#147877,#147878,#147879),(#147880,#147881,#147882,#147883),(#147884, #147885,#147886,#147887),(#147888,#147889,#147890,#147891)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.351804744690704), .UNSPECIFIED.); #84393=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147907,#147908,#147909,#147910), (#147911,#147912,#147913,#147914),(#147915,#147916,#147917,#147918),(#147919, #147920,#147921,#147922),(#147923,#147924,#147925,#147926)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.654630189974396), .UNSPECIFIED.); #84394=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147945,#147946,#147947,#147948), (#147949,#147950,#147951,#147952),(#147953,#147954,#147955,#147956),(#147957, #147958,#147959,#147960),(#147961,#147962,#147963,#147964)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.630475187589924), .UNSPECIFIED.); #84395=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#147977,#147978,#147979,#147980), (#147981,#147982,#147983,#147984),(#147985,#147986,#147987,#147988),(#147989, #147990,#147991,#147992),(#147993,#147994,#147995,#147996)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.34036500439829E-12, 0.177437774885915),.UNSPECIFIED.); #84396=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148008,#148009,#148010,#148011), (#148012,#148013,#148014,#148015),(#148016,#148017,#148018,#148019),(#148020, #148021,#148022,#148023),(#148024,#148025,#148026,#148027)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.1712001378065,0.63082717073926), .UNSPECIFIED.); #84397=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148037,#148038,#148039,#148040), (#148041,#148042,#148043,#148044),(#148045,#148046,#148047,#148048),(#148049, #148050,#148051,#148052),(#148053,#148054,#148055,#148056)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.050141135240318, 0.50972269995263),.UNSPECIFIED.); #84398=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148062,#148063,#148064,#148065), (#148066,#148067,#148068,#148069),(#148070,#148071,#148072,#148073),(#148074, #148075,#148076,#148077),(#148078,#148079,#148080,#148081)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.505157785242442,0.717233020138538, 1.),(0.264866064770784,0.883473519896012),.UNSPECIFIED.); #84399=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148088,#148089,#148090,#148091), (#148092,#148093,#148094,#148095),(#148096,#148097,#148098,#148099),(#148100, #148101,#148102,#148103),(#148104,#148105,#148106,#148107)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.475121086196189), .UNSPECIFIED.); #84400=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148114,#148115,#148116,#148117), (#148118,#148119,#148120,#148121),(#148122,#148123,#148124,#148125),(#148126, #148127,#148128,#148129),(#148130,#148131,#148132,#148133)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.683688221103852), .UNSPECIFIED.); #84401=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148147,#148148,#148149,#148150), (#148151,#148152,#148153,#148154),(#148155,#148156,#148157,#148158),(#148159, #148160,#148161,#148162),(#148163,#148164,#148165,#148166)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.129362882081938),.UNSPECIFIED.); #84402=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148180,#148181,#148182,#148183, #148184,#148185),(#148186,#148187,#148188,#148189,#148190,#148191),(#148192, #148193,#148194,#148195,#148196,#148197),(#148198,#148199,#148200,#148201, #148202,#148203),(#148204,#148205,#148206,#148207,#148208,#148209),(#148210, #148211,#148212,#148213,#148214,#148215)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,4),(4,1,1,4),(0.,0.285714285714286,0.571428571428571,1.),(0.310230667589016, 0.364141308212882,0.377618968368848,0.404574288680781),.UNSPECIFIED.); #84403=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148231,#148232,#148233,#148234), (#148235,#148236,#148237,#148238),(#148239,#148240,#148241,#148242),(#148243, #148244,#148245,#148246),(#148247,#148248,#148249,#148250),(#148251,#148252, #148253,#148254)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,0.411821594171651),.UNSPECIFIED.); #84404=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148279,#148280,#148281,#148282), (#148283,#148284,#148285,#148286),(#148287,#148288,#148289,#148290),(#148291, #148292,#148293,#148294),(#148295,#148296,#148297,#148298)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.697415989725703), .UNSPECIFIED.); #84405=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148321,#148322,#148323,#148324), (#148325,#148326,#148327,#148328),(#148329,#148330,#148331,#148332),(#148333, #148334,#148335,#148336),(#148337,#148338,#148339,#148340)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.38413039299345, 0.),.UNSPECIFIED.); #84406=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148353,#148354,#148355,#148356), (#148357,#148358,#148359,#148360),(#148361,#148362,#148363,#148364),(#148365, #148366,#148367,#148368),(#148369,#148370,#148371,#148372)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.476569932193619), .UNSPECIFIED.); #84407=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148379,#148380,#148381,#148382), (#148383,#148384,#148385,#148386),(#148387,#148388,#148389,#148390),(#148391, #148392,#148393,#148394),(#148395,#148396,#148397,#148398)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.711963487141388), .UNSPECIFIED.); #84408=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148407,#148408,#148409,#148410), (#148411,#148412,#148413,#148414),(#148415,#148416,#148417,#148418),(#148419, #148420,#148421,#148422),(#148423,#148424,#148425,#148426)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.78623368114923E-17, 0.47564241248136),.UNSPECIFIED.); #84409=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148433,#148434,#148435,#148436), (#148437,#148438,#148439,#148440),(#148441,#148442,#148443,#148444),(#148445, #148446,#148447,#148448)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.409973715848306), (-0.0161511115115983,1.55116709744471E-16),.UNSPECIFIED.); #84410=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148463,#148464,#148465,#148466), (#148467,#148468,#148469,#148470),(#148471,#148472,#148473,#148474),(#148475, #148476,#148477,#148478),(#148479,#148480,#148481,#148482)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.77876712304963), .UNSPECIFIED.); #84411=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148510,#148511,#148512,#148513), (#148514,#148515,#148516,#148517),(#148518,#148519,#148520,#148521),(#148522, #148523,#148524,#148525),(#148526,#148527,#148528,#148529)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.67798555828199E-17, 0.50153452873854),.UNSPECIFIED.); #84412=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148555,#148556,#148557,#148558), (#148559,#148560,#148561,#148562),(#148563,#148564,#148565,#148566),(#148567, #148568,#148569,#148570),(#148571,#148572,#148573,#148574)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(8.64111171887429E-16, 0.786291278624816),.UNSPECIFIED.); #84413=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148587,#148588,#148589,#148590), (#148591,#148592,#148593,#148594),(#148595,#148596,#148597,#148598),(#148599, #148600,#148601,#148602),(#148603,#148604,#148605,#148606)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.785882510957401), .UNSPECIFIED.); #84414=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148631,#148632,#148633,#148634), (#148635,#148636,#148637,#148638),(#148639,#148640,#148641,#148642),(#148643, #148644,#148645,#148646),(#148647,#148648,#148649,#148650)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0256877070651654, 0.527222036311448),.UNSPECIFIED.); #84415=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148658,#148659,#148660,#148661), (#148662,#148663,#148664,#148665),(#148666,#148667,#148668,#148669),(#148670, #148671,#148672,#148673)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.409276491349769), (-0.0161904462322094,-2.79528397746633E-16),.UNSPECIFIED.); #84416=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148706,#148707,#148708,#148709), (#148710,#148711,#148712,#148713),(#148714,#148715,#148716,#148717),(#148718, #148719,#148720,#148721),(#148722,#148723,#148724,#148725)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.54094115757011E-17, 0.785882510957402),.UNSPECIFIED.); #84417=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148732,#148733,#148734,#148735), (#148736,#148737,#148738,#148739),(#148740,#148741,#148742,#148743),(#148744, #148745,#148746,#148747),(#148748,#148749,#148750,#148751)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.39608973596807E-17, 0.501534329246283),.UNSPECIFIED.); #84418=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148764,#148765,#148766,#148767), (#148768,#148769,#148770,#148771),(#148772,#148773,#148774,#148775),(#148776, #148777,#148778,#148779),(#148780,#148781,#148782,#148783)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.786291278624808), .UNSPECIFIED.); #84419=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148808,#148809,#148810,#148811), (#148812,#148813,#148814,#148815),(#148816,#148817,#148818,#148819),(#148820, #148821,#148822,#148823),(#148824,#148825,#148826,#148827)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.50153452873854), .UNSPECIFIED.); #84420=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148835,#148836,#148837,#148838), (#148839,#148840,#148841,#148842),(#148843,#148844,#148845,#148846),(#148847, #148848,#148849,#148850)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.40878564548881), (-0.0162182051673942,5.25802735439796E-15),.UNSPECIFIED.); #84421=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148864,#148865,#148866,#148867), (#148868,#148869,#148870,#148871),(#148872,#148873,#148874,#148875),(#148876, #148877,#148878,#148879),(#148880,#148881,#148882,#148883)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.475642412481342), .UNSPECIFIED.); #84422=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148896,#148897,#148898,#148899), (#148900,#148901,#148902,#148903),(#148904,#148905,#148906,#148907),(#148908, #148909,#148910,#148911),(#148912,#148913,#148914,#148915)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.93000702664954E-17, 0.778767123049668),.UNSPECIFIED.); #84423=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148922,#148923,#148924,#148925), (#148926,#148927,#148928,#148929),(#148930,#148931,#148932,#148933),(#148934, #148935,#148936,#148937),(#148938,#148939,#148940,#148941)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.476569932193619), .UNSPECIFIED.); #84424=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148948,#148949,#148950,#148951), (#148952,#148953,#148954,#148955),(#148956,#148957,#148958,#148959),(#148960, #148961,#148962,#148963)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.408295373895811), (-0.0162459791240168,1.62089693390747E-15),.UNSPECIFIED.); #84425=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#148978,#148979,#148980,#148981), (#148982,#148983,#148984,#148985),(#148986,#148987,#148988,#148989),(#148990, #148991,#148992,#148993),(#148994,#148995,#148996,#148997)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.711963487141405), .UNSPECIFIED.); #84426=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149015,#149016,#149017,#149018), (#149019,#149020,#149021,#149022),(#149023,#149024,#149025,#149026),(#149027, #149028,#149029,#149030),(#149031,#149032,#149033,#149034)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.728900361720267, 0.),.UNSPECIFIED.); #84427=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149041,#149042,#149043,#149044), (#149045,#149046,#149047,#149048),(#149049,#149050,#149051,#149052),(#149053, #149054,#149055,#149056),(#149057,#149058,#149059,#149060),(#149061,#149062, #149063,#149064)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,0.411821594171514),.UNSPECIFIED.); #84428=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149079,#149080,#149081,#149082, #149083,#149084),(#149085,#149086,#149087,#149088,#149089,#149090),(#149091, #149092,#149093,#149094,#149095,#149096),(#149097,#149098,#149099,#149100, #149101,#149102),(#149103,#149104,#149105,#149106,#149107,#149108),(#149109, #149110,#149111,#149112,#149113,#149114)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,4),(4,1,1,4),(0.,0.285714285714286,0.571428571428571,1.),(0.404574288680771, 0.431529608992741,0.445007269148725,0.498917909772664),.UNSPECIFIED.); #84429=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149127,#149128,#149129,#149130), (#149131,#149132,#149133,#149134),(#149135,#149136,#149137,#149138),(#149139, #149140,#149141,#149142),(#149143,#149144,#149145,#149146)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.129362882081966),.UNSPECIFIED.); #84430=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149160,#149161,#149162,#149163), (#149164,#149165,#149166,#149167),(#149168,#149169,#149170,#149171),(#149172, #149173,#149174,#149175),(#149176,#149177,#149178,#149179)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.697415989725719), .UNSPECIFIED.); #84431=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149194,#149195,#149196,#149197), (#149198,#149199,#149200,#149201),(#149202,#149203,#149204,#149205),(#149206, #149207,#149208,#149209),(#149210,#149211,#149212,#149213)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.475121086196189), .UNSPECIFIED.); #84432=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149242,#149243,#149244,#149245), (#149246,#149247,#149248,#149249),(#149250,#149251,#149252,#149253),(#149254, #149255,#149256,#149257),(#149258,#149259,#149260,#149261)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.683688221103853), .UNSPECIFIED.); #84433=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149280,#149281,#149282,#149283), (#149284,#149285,#149286,#149287),(#149288,#149289,#149290,#149291),(#149292, #149293,#149294,#149295)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-0.174034150690642,-0.0319246171816553),.UNSPECIFIED.); #84434=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149307,#149308,#149309,#149310), (#149311,#149312,#149313,#149314),(#149315,#149316,#149317,#149318),(#149319, #149320,#149321,#149322)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.577674006454717, 0.774112801232112),(0.256758304217456,0.347096529073327),.UNSPECIFIED.); #84435=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149327,#149328,#149329,#149330), (#149331,#149332,#149333,#149334),(#149335,#149336,#149337,#149338),(#149339, #149340,#149341,#149342),(#149343,#149344,#149345,#149346)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.183633183924417, -0.0104629033796211),.UNSPECIFIED.); #84436=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149378,#149379,#149380,#149381), (#149382,#149383,#149384,#149385),(#149386,#149387,#149388,#149389),(#149390, #149391,#149392,#149393),(#149394,#149395,#149396,#149397)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.172157604548266, 0.631758089588876),.UNSPECIFIED.); #84437=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149409,#149410,#149411,#149412), (#149413,#149414,#149415,#149416),(#149417,#149418,#149419,#149420),(#149421, #149422,#149423,#149424),(#149425,#149426,#149427,#149428)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.654630189974403), .UNSPECIFIED.); #84438=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149451,#149452,#149453,#149454), (#149455,#149456,#149457,#149458),(#149459,#149460,#149461,#149462),(#149463, #149464,#149465,#149466),(#149467,#149468,#149469,#149470)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0390304876803468, 0.216468262566273),.UNSPECIFIED.); #84439=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149482,#149483,#149484,#149485), (#149486,#149487,#149488,#149489),(#149490,#149491,#149492,#149493),(#149494, #149495,#149496,#149497),(#149498,#149499,#149500,#149501)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.22132806637055E-12, 0.630475187588726),.UNSPECIFIED.); #84440=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149513,#149514,#149515,#149516), (#149517,#149518,#149519,#149520),(#149521,#149522,#149523,#149524),(#149525, #149526,#149527,#149528),(#149529,#149530,#149531,#149532)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.589316997746471), .UNSPECIFIED.); #84441=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149551,#149552,#149553,#149554), (#149555,#149556,#149557,#149558),(#149559,#149560,#149561,#149562),(#149563, #149564,#149565,#149566),(#149567,#149568,#149569,#149570)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.351804744690732), .UNSPECIFIED.); #84442=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149586,#149587,#149588,#149589), (#149590,#149591,#149592,#149593),(#149594,#149595,#149596,#149597),(#149598, #149599,#149600,#149601)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.296169178952898),.UNSPECIFIED.); #84443=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149619,#149620,#149621,#149622), (#149623,#149624,#149625,#149626),(#149627,#149628,#149629,#149630),(#149631, #149632,#149633,#149634),(#149635,#149636,#149637,#149638)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.166777542556149, 0.626359107199834),.UNSPECIFIED.); #84444=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149648,#149649,#149650,#149651), (#149652,#149653,#149654,#149655),(#149656,#149657,#149658,#149659),(#149660, #149661,#149662,#149663),(#149664,#149665,#149666,#149667)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0500872458500117, 0.509714278719811),.UNSPECIFIED.); #84445=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149685,#149686,#149687,#149688, #149689),(#149690,#149691,#149692,#149693,#149694),(#149695,#149696,#149697, #149698,#149699),(#149700,#149701,#149702,#149703,#149704),(#149705,#149706, #149707,#149708,#149709)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.229334164139351,0.458668328278702),.UNSPECIFIED.); #84446=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149719,#149720,#149721,#149722), (#149723,#149724,#149725,#149726),(#149727,#149728,#149729,#149730),(#149731, #149732,#149733,#149734),(#149735,#149736,#149737,#149738)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.076922141836897),.UNSPECIFIED.); #84447=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149745,#149746,#149747,#149748), (#149749,#149750,#149751,#149752),(#149753,#149754,#149755,#149756),(#149757, #149758,#149759,#149760),(#149761,#149762,#149763,#149764)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.86940718503162E-13, 0.121627840313366),.UNSPECIFIED.); #84448=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149770,#149771,#149772,#149773), (#149774,#149775,#149776,#149777),(#149778,#149779,#149780,#149781),(#149782, #149783,#149784,#149785),(#149786,#149787,#149788,#149789)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0574945572025471),.UNSPECIFIED.); #84449=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149790,#149791,#149792,#149793), (#149794,#149795,#149796,#149797),(#149798,#149799,#149800,#149801),(#149802, #149803,#149804,#149805),(#149806,#149807,#149808,#149809)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.586268179932409), .UNSPECIFIED.); #84450=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149824,#149825,#149826,#149827), (#149828,#149829,#149830,#149831),(#149832,#149833,#149834,#149835),(#149836, #149837,#149838,#149839),(#149840,#149841,#149842,#149843)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.175718729895121), .UNSPECIFIED.); #84451=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149855,#149856,#149857,#149858), (#149859,#149860,#149861,#149862),(#149863,#149864,#149865,#149866),(#149867, #149868,#149869,#149870),(#149871,#149872,#149873,#149874)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.621636424994433), .UNSPECIFIED.); #84452=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149897,#149898,#149899,#149900), (#149901,#149902,#149903,#149904),(#149905,#149906,#149907,#149908),(#149909, #149910,#149911,#149912),(#149913,#149914,#149915,#149916)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.54911998600892), .UNSPECIFIED.); #84453=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149928,#149929,#149930,#149931), (#149932,#149933,#149934,#149935),(#149936,#149937,#149938,#149939),(#149940, #149941,#149942,#149943)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.966503642630584, 1.),(0.823227493704395,0.922163135731926),.UNSPECIFIED.); #84454=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149950,#149951,#149952,#149953), (#149954,#149955,#149956,#149957),(#149958,#149959,#149960,#149961),(#149962, #149963,#149964,#149965)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.0941538893573484),.UNSPECIFIED.); #84455=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#149967,#149968,#149969,#149970), (#149971,#149972,#149973,#149974),(#149975,#149976,#149977,#149978),(#149979, #149980,#149981,#149982),(#149983,#149984,#149985,#149986)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.215485630508196,0.502799804519125), (0.271893749801142,0.922163135732114),.UNSPECIFIED.); #84456=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150002,#150003,#150004,#150005), (#150006,#150007,#150008,#150009),(#150010,#150011,#150012,#150013),(#150014, #150015,#150016,#150017),(#150018,#150019,#150020,#150021)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.163250978505926, 0.622869012203046),.UNSPECIFIED.); #84457=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150036,#150037,#150038,#150039), (#150040,#150041,#150042,#150043),(#150044,#150045,#150046,#150047),(#150048, #150049,#150050,#150051),(#150052,#150053,#150054,#150055)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.590982811170867), .UNSPECIFIED.); #84458=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150070,#150071,#150072,#150073), (#150074,#150075,#150076,#150077),(#150078,#150079,#150080,#150081),(#150082, #150083,#150084,#150085),(#150086,#150087,#150088,#150089)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0445493849278971, 0.22836392491398),.UNSPECIFIED.); #84459=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150101,#150102,#150103,#150104), (#150105,#150106,#150107,#150108),(#150109,#150110,#150111,#150112),(#150113, #150114,#150115,#150116),(#150117,#150118,#150119,#150120)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(8.12501585572485E-13, 0.675343925422507),.UNSPECIFIED.); #84460=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150132,#150133,#150134,#150135), (#150136,#150137,#150138,#150139),(#150140,#150141,#150142,#150143),(#150144, #150145,#150146,#150147),(#150148,#150149,#150150,#150151)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.619221602347647), .UNSPECIFIED.); #84461=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150171,#150172,#150173,#150174), (#150175,#150176,#150177,#150178),(#150179,#150180,#150181,#150182),(#150183, #150184,#150185,#150186),(#150187,#150188,#150189,#150190)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0342767718002156, 0.623993861428855),.UNSPECIFIED.); #84462=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150197,#150198,#150199,#150200), (#150201,#150202,#150203,#150204),(#150205,#150206,#150207,#150208),(#150209, #150210,#150211,#150212),(#150213,#150214,#150215,#150216)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.61730566851015), .UNSPECIFIED.); #84463=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150225,#150226,#150227,#150228), (#150229,#150230,#150231,#150232),(#150233,#150234,#150235,#150236),(#150237, #150238,#150239,#150240),(#150241,#150242,#150243,#150244)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.168977459060656, 0.62855760582402),.UNSPECIFIED.); #84464=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150258,#150259,#150260,#150261), (#150262,#150263,#150264,#150265),(#150266,#150267,#150268,#150269),(#150270, #150271,#150272,#150273),(#150274,#150275,#150276,#150277)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.166799001857189, 0.626364908102794),.UNSPECIFIED.); #84465=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150278,#150279,#150280,#150281), (#150282,#150283,#150284,#150285),(#150286,#150287,#150288,#150289),(#150290, #150291,#150292,#150293)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.0725111436097902), (0.271307233124256,0.884543557964006),.UNSPECIFIED.); #84466=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150300,#150301,#150302,#150303), (#150304,#150305,#150306,#150307),(#150308,#150309,#150310,#150311),(#150312, #150313,#150314,#150315),(#150316,#150317,#150318,#150319)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.62077015738081), .UNSPECIFIED.); #84467=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150342,#150343,#150344,#150345), (#150346,#150347,#150348,#150349),(#150350,#150351,#150352,#150353),(#150354, #150355,#150356,#150357),(#150358,#150359,#150360,#150361)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0453053145672285, 0.229264510155699),.UNSPECIFIED.); #84468=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150373,#150374,#150375,#150376), (#150377,#150378,#150379,#150380),(#150381,#150382,#150383,#150384),(#150385, #150386,#150387,#150388),(#150389,#150390,#150391,#150392)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.677874012591187), .UNSPECIFIED.); #84469=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150404,#150405,#150406,#150407), (#150408,#150409,#150410,#150411),(#150412,#150413,#150414,#150415),(#150416, #150417,#150418,#150419),(#150420,#150421,#150422,#150423)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.620770157380808), .UNSPECIFIED.); #84470=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150442,#150443,#150444,#150445), (#150446,#150447,#150448,#150449),(#150450,#150451,#150452,#150453),(#150454, #150455,#150456,#150457),(#150458,#150459,#150460,#150461)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.677874012603171), .UNSPECIFIED.); #84471=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150475,#150476,#150477,#150478), (#150479,#150480,#150481,#150482),(#150483,#150484,#150485,#150486),(#150487, #150488,#150489,#150490),(#150491,#150492,#150493,#150494)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.15025708146739E-11, 0.183959195588607),.UNSPECIFIED.); #84472=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150505,#150506,#150507,#150508), (#150509,#150510,#150511,#150512),(#150513,#150514,#150515,#150516),(#150517, #150518,#150519,#150520),(#150521,#150522,#150523,#150524)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.169036141450648, 0.628656030563272),.UNSPECIFIED.); #84473=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150538,#150539,#150540,#150541), (#150542,#150543,#150544,#150545),(#150546,#150547,#150548,#150549),(#150550, #150551,#150552,#150553),(#150554,#150555,#150556,#150557)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.050107440693989, 0.509727329681575),.UNSPECIFIED.); #84474=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150563,#150564,#150565,#150566, #150567),(#150568,#150569,#150570,#150571,#150572),(#150573,#150574,#150575, #150576,#150577),(#150578,#150579,#150580,#150581,#150582)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(0.,1.27504061146897,2.97509476009427), .UNSPECIFIED.); #84475=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150602,#150603,#150604,#150605), (#150606,#150607,#150608,#150609),(#150610,#150611,#150612,#150613),(#150614, #150615,#150616,#150617)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.5,0.633252081703626), (0.26584687618838,0.880635220232373),.UNSPECIFIED.); #84476=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150629,#150630,#150631,#150632), (#150633,#150634,#150635,#150636),(#150637,#150638,#150639,#150640),(#150641, #150642,#150643,#150644),(#150645,#150646,#150647,#150648)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.619221602347649), .UNSPECIFIED.); #84477=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150671,#150672,#150673,#150674), (#150675,#150676,#150677,#150678),(#150679,#150680,#150681,#150682),(#150683, #150684,#150685,#150686),(#150687,#150688,#150689,#150690)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0316121765432559, 0.204398468036011),.UNSPECIFIED.); #84478=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150692,#150693,#150694,#150695), (#150696,#150697,#150698,#150699),(#150700,#150701,#150702,#150703),(#150704, #150705,#150706,#150707),(#150708,#150709,#150710,#150711)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.6173056692638), .UNSPECIFIED.); #84479=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150722,#150723,#150724,#150725), (#150726,#150727,#150728,#150729),(#150730,#150731,#150732,#150733),(#150734, #150735,#150736,#150737),(#150738,#150739,#150740,#150741)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.589718374926714), .UNSPECIFIED.); #84480=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150751,#150752,#150753,#150754), (#150755,#150756,#150757,#150758),(#150759,#150760,#150761,#150762),(#150763, #150764,#150765,#150766),(#150767,#150768,#150769,#150770)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.67534392553473), .UNSPECIFIED.); #84481=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150784,#150785,#150786,#150787), (#150788,#150789,#150790,#150791),(#150792,#150793,#150794,#150795),(#150796, #150797,#150798,#150799),(#150800,#150801,#150802,#150803)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(5.70333106313006E-11, 0.183814540059589),.UNSPECIFIED.); #84482=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150814,#150815,#150816,#150817), (#150818,#150819,#150820,#150821),(#150822,#150823,#150824,#150825),(#150826, #150827,#150828,#150829),(#150830,#150831,#150832,#150833)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.552242450829829, 1.01180835696111),.UNSPECIFIED.); #84483=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150843,#150844,#150845,#150846), (#150847,#150848,#150849,#150850),(#150851,#150852,#150853,#150854),(#150855, #150856,#150857,#150858),(#150859,#150860,#150861,#150862)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0501185221541737, 0.509698669049376),.UNSPECIFIED.); #84484=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150868,#150869,#150870,#150871, #150872),(#150873,#150874,#150875,#150876,#150877),(#150878,#150879,#150880, #150881,#150882),(#150883,#150884,#150885,#150886,#150887)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(0.,1.27504236555003,2.97509885295007), .UNSPECIFIED.); #84485=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150904,#150905,#150906,#150907), (#150908,#150909,#150910,#150911),(#150912,#150913,#150914,#150915),(#150916, #150917,#150918,#150919),(#150920,#150921,#150922,#150923)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.648393801522125,0.799082172298357, 1.),(0.26655169678612,0.884543557964009),.UNSPECIFIED.); #84486=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#150968,#150969,#150970,#150971), (#150972,#150973,#150974,#150975),(#150976,#150977,#150978,#150979),(#150980, #150981,#150982,#150983),(#150984,#150985,#150986,#150987)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0501219871593385, 0.509807897458028),.UNSPECIFIED.); #84487=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151004,#151005,#151006,#151007), (#151008,#151009,#151010,#151011),(#151012,#151013,#151014,#151015),(#151016, #151017,#151018,#151019),(#151020,#151021,#151022,#151023)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.050112857553948, 0.509724994762909),.UNSPECIFIED.); #84488=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151070,#151071,#151072,#151073), (#151074,#151075,#151076,#151077),(#151078,#151079,#151080,#151081),(#151082, #151083,#151084,#151085),(#151086,#151087,#151088,#151089)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-9.00812660552022, -8.85724107442152),.UNSPECIFIED.); #84489=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151098,#151099,#151100,#151101), (#151102,#151103,#151104,#151105),(#151106,#151107,#151108,#151109),(#151110, #151111,#151112,#151113),(#151114,#151115,#151116,#151117)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-4.16542056625049, -4.01453503514847),.UNSPECIFIED.); #84490=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151122,#151123,#151124,#151125), (#151126,#151127,#151128,#151129),(#151130,#151131,#151132,#151133),(#151134, #151135,#151136,#151137),(#151138,#151139,#151140,#151141)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-6.58681426558748, -6.43592887402099),.UNSPECIFIED.); #84491=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151206,#151207,#151208,#151209), (#151210,#151211,#151212,#151213),(#151214,#151215,#151216,#151217),(#151218, #151219,#151220,#151221)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,2.18211117169953),.UNSPECIFIED.); #84492=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151231,#151232,#151233,#151234), (#151235,#151236,#151237,#151238),(#151239,#151240,#151241,#151242),(#151243, #151244,#151245,#151246),(#151247,#151248,#151249,#151250),(#151251,#151252, #151253,#151254),(#151255,#151256,#151257,#151258),(#151259,#151260,#151261, #151262),(#151263,#151264,#151265,#151266)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,4),(4,4),(0.,0.214285714285714,0.285714285714286,0.428571428571429, 0.571428571428571,0.714285714285714,1.),(0.0164552771412057,0.764087570314959), .UNSPECIFIED.); #84493=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151301,#151302,#151303,#151304, #151305,#151306),(#151307,#151308,#151309,#151310,#151311,#151312),(#151313, #151314,#151315,#151316,#151317,#151318),(#151319,#151320,#151321,#151322, #151323,#151324)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.27635499399829, 1.),(0.,2.85840981976513,3.93031350217705,5.00221718458897), .UNSPECIFIED.); #84494=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151351,#151352,#151353,#151354), (#151355,#151356,#151357,#151358),(#151359,#151360,#151361,#151362),(#151363, #151364,#151365,#151366),(#151367,#151368,#151369,#151370),(#151371,#151372, #151373,#151374)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.5,0.642857142857143, 0.785714285714286,1.),(0.0173921269702932,0.746445348958769), .UNSPECIFIED.); #84495=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151410,#151411,#151412,#151413), (#151414,#151415,#151416,#151417),(#151418,#151419,#151420,#151421),(#151422, #151423,#151424,#151425),(#151426,#151427,#151428,#151429)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.0212945991198177, 0.711240726495933),.UNSPECIFIED.); #84496=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151442,#151443,#151444,#151445, #151446,#151447),(#151448,#151449,#151450,#151451,#151452,#151453),(#151454, #151455,#151456,#151457,#151458,#151459),(#151460,#151461,#151462,#151463, #151464,#151465)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.288980744431043, 1.),(0.,0.643681996670623,1.07280332778437,1.50192465889812), .UNSPECIFIED.); #84497=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151478,#151479,#151480,#151481, #151482),(#151483,#151484,#151485,#151486,#151487),(#151488,#151489,#151490, #151491,#151492),(#151493,#151494,#151495,#151496,#151497)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(0.166415489358357,0.647778483468543, 1.00880072905118),.UNSPECIFIED.); #84498=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151503,#151504,#151505,#151506), (#151507,#151508,#151509,#151510),(#151511,#151512,#151513,#151514),(#151515, #151516,#151517,#151518),(#151519,#151520,#151521,#151522),(#151523,#151524, #151525,#151526)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.5,0.642857142857143, 0.785714285714286,1.),(0.0173921269702932,0.746445348958771), .UNSPECIFIED.); #84499=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151549,#151550,#151551,#151552, #151553,#151554),(#151555,#151556,#151557,#151558,#151559,#151560),(#151561, #151562,#151563,#151564,#151565,#151566),(#151567,#151568,#151569,#151570, #151571,#151572)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,0.711019255569019), (-1.50193442472521,-1.07281030337515,-0.643686182025092,0.), .UNSPECIFIED.); #84500=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151632,#151633,#151634,#151635), (#151636,#151637,#151638,#151639),(#151640,#151641,#151642,#151643),(#151644, #151645,#151646,#151647),(#151648,#151649,#151650,#151651),(#151652,#151653, #151654,#151655),(#151656,#151657,#151658,#151659),(#151660,#151661,#151662, #151663),(#151664,#151665,#151666,#151667)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,4),(4,4),(0.,0.214285714285714,0.285714285714286,0.428571428571429, 0.571428571428571,0.714285714285714,1.),(0.0164552771411991,0.764087570314972), .UNSPECIFIED.); #84501=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151717,#151718,#151719,#151720, #151721,#151722),(#151723,#151724,#151725,#151726,#151727,#151728),(#151729, #151730,#151731,#151732,#151733,#151734),(#151735,#151736,#151737,#151738, #151739,#151740)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,0.723645006001678), (-5.00187537469987,-2.14366087487137,-1.07183043743569,0.), .UNSPECIFIED.); #84502=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#151764,#151765,#151766,#151767), (#151768,#151769,#151770,#151771),(#151772,#151773,#151774,#151775),(#151776, #151777,#151778,#151779)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.966503642627102, 1.),(0.823227493704396,0.922163135731927),.UNSPECIFIED.); #84503=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#152196,#152197,#152198,#152199), (#152200,#152201,#152202,#152203),(#152204,#152205,#152206,#152207),(#152208, #152209,#152210,#152211),(#152212,#152213,#152214,#152215)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.51466643892676, 1.56643300366526),.UNSPECIFIED.); #84504=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#152221,#152222,#152223,#152224), (#152225,#152226,#152227,#152228),(#152229,#152230,#152231,#152232),(#152233, #152234,#152235,#152236),(#152237,#152238,#152239,#152240)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-5.12630304001608, -4.76851886825283),.UNSPECIFIED.); #84505=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#152307,#152308,#152309,#152310), (#152311,#152312,#152313,#152314),(#152315,#152316,#152317,#152318),(#152319, #152320,#152321,#152322)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.511182305489908, 1.),(1.15688226716498,1.56643300366674),.UNSPECIFIED.); #84506=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#152418,#152419,#152420,#152421), (#152422,#152423,#152424,#152425),(#152426,#152427,#152428,#152429),(#152430, #152431,#152432,#152433),(#152434,#152435,#152436,#152437)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.56643300366526, -1.15688226716351),.UNSPECIFIED.); #84507=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#152443,#152444,#152445,#152446), (#152447,#152448,#152449,#152450),(#152451,#152452,#152453,#152454),(#152455, #152456,#152457,#152458),(#152459,#152460,#152461,#152462)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.56643300366674, -1.15688226716498),.UNSPECIFIED.); #84508=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#152919,#152920,#152921,#152922, #152923,#152924,#152925,#152926,#152927,#152928,#152929,#152930),(#152931, #152932,#152933,#152934,#152935,#152936,#152937,#152938,#152939,#152940, #152941,#152942),(#152943,#152944,#152945,#152946,#152947,#152948,#152949, #152950,#152951,#152952,#152953,#152954),(#152955,#152956,#152957,#152958, #152959,#152960,#152961,#152962,#152963,#152964,#152965,#152966),(#152967, #152968,#152969,#152970,#152971,#152972,#152973,#152974,#152975,#152976, #152977,#152978)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,1,1,1,1, 4),(0.,0.5,1.),(0.,0.413243610597668,0.826487221195336,1.03310902649417, 1.239730831793,1.44635263709184,1.65297444239067,2.06621805298834,2.47946166358601, 2.89270527418367),.UNSPECIFIED.); #84509=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#152995,#152996,#152997,#152998, #152999,#153000,#153001,#153002,#153003,#153004),(#153005,#153006,#153007, #153008,#153009,#153010,#153011,#153012,#153013,#153014),(#153015,#153016, #153017,#153018,#153019,#153020,#153021,#153022,#153023,#153024),(#153025, #153026,#153027,#153028,#153029,#153030,#153031,#153032,#153033,#153034), (#153035,#153036,#153037,#153038,#153039,#153040,#153041,#153042,#153043, #153044)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,1,1,4),(0.,0.5,1.), (6.03789103795207E-16,0.379145695170179,0.758291390340358,1.13743708551054, 1.51658278068071,1.89572847585089,2.27487417102107,2.65401986619125), .UNSPECIFIED.); #84510=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#153081,#153082,#153083,#153084, #153085,#153086,#153087,#153088,#153089,#153090,#153091,#153092),(#153093, #153094,#153095,#153096,#153097,#153098,#153099,#153100,#153101,#153102, #153103,#153104),(#153105,#153106,#153107,#153108,#153109,#153110,#153111, #153112,#153113,#153114,#153115,#153116),(#153117,#153118,#153119,#153120, #153121,#153122,#153123,#153124,#153125,#153126,#153127,#153128),(#153129, #153130,#153131,#153132,#153133,#153134,#153135,#153136,#153137,#153138, #153139,#153140)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,1,1,1,1, 4),(0.,0.5,1.),(0.,0.413243639886918,0.826487279773836,1.03310909971729, 1.23973091966075,1.44635273960421,1.65297455954767,2.06621819943459,2.47946183932151, 2.89270547920843),.UNSPECIFIED.); #84511=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#153157,#153158,#153159,#153160, #153161,#153162,#153163,#153164,#153165),(#153166,#153167,#153168,#153169, #153170,#153171,#153172,#153173,#153174),(#153175,#153176,#153177,#153178, #153179,#153180,#153181,#153182,#153183),(#153184,#153185,#153186,#153187, #153188,#153189,#153190,#153191,#153192),(#153193,#153194,#153195,#153196, #153197,#153198,#153199,#153200,#153201)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,4),(4,1,1,1,1,1,4),(0.,0.5,1.),(1.2510155828928E-14,0.379145460081257, 1.13743638024375,1.51658184032499,1.89572730040624,2.27487276048748,2.65401822056873), .UNSPECIFIED.); #84512=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#153404,#153405,#153406,#153407, #153408,#153409,#153410,#153411,#153412,#153413,#153414,#153415,#153416, #153417,#153418,#153419),(#153420,#153421,#153422,#153423,#153424,#153425, #153426,#153427,#153428,#153429,#153430,#153431,#153432,#153433,#153434, #153435),(#153436,#153437,#153438,#153439,#153440,#153441,#153442,#153443, #153444,#153445,#153446,#153447,#153448,#153449,#153450,#153451),(#153452, #153453,#153454,#153455,#153456,#153457,#153458,#153459,#153460,#153461, #153462,#153463,#153464,#153465,#153466,#153467)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.095249992251419),(104.185078250607, 105.148546607421,106.112014964234,107.075483321048,108.038951677862,109.002420034675, 109.965888391489,110.929356748303,111.892825105116,112.85629346193,113.819761818744, 114.783230175557,115.746698532371,116.710166889184),.UNSPECIFIED.); #84513=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#153477,#153478,#153479,#153480, #153481,#153482,#153483,#153484,#153485,#153486,#153487,#153488,#153489, #153490,#153491,#153492),(#153493,#153494,#153495,#153496,#153497,#153498, #153499,#153500,#153501,#153502,#153503,#153504,#153505,#153506,#153507, #153508),(#153509,#153510,#153511,#153512,#153513,#153514,#153515,#153516, #153517,#153518,#153519,#153520,#153521,#153522,#153523,#153524),(#153525, #153526,#153527,#153528,#153529,#153530,#153531,#153532,#153533,#153534, #153535,#153536,#153537,#153538,#153539,#153540)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0952499922514203),(101.043485788693, 102.006954145507,102.970422502321,103.933890859134,104.897359215948,105.860827572762, 106.824295929575,107.787764286389,108.751232643203,109.714701000016,110.67816935683, 111.641637713644,112.605106070457,113.568574427271),.UNSPECIFIED.); #84514=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#153718,#153719,#153720,#153721, #153722,#153723,#153724,#153725,#153726,#153727,#153728,#153729,#153730, #153731,#153732,#153733),(#153734,#153735,#153736,#153737,#153738,#153739, #153740,#153741,#153742,#153743,#153744,#153745,#153746,#153747,#153748, #153749),(#153750,#153751,#153752,#153753,#153754,#153755,#153756,#153757, #153758,#153759,#153760,#153761,#153762,#153763,#153764,#153765),(#153766, #153767,#153768,#153769,#153770,#153771,#153772,#153773,#153774,#153775, #153776,#153777,#153778,#153779,#153780,#153781)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.095249992251419),(104.185078250607, 105.148546607421,106.112014964234,107.075483321048,108.038951677862,109.002420034675, 109.965888391489,110.929356748303,111.892825105116,112.85629346193,113.819761818744, 114.783230175557,115.746698532371,116.710166889184),.UNSPECIFIED.); #84515=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#153791,#153792,#153793,#153794, #153795,#153796,#153797,#153798,#153799,#153800,#153801,#153802,#153803, #153804,#153805,#153806),(#153807,#153808,#153809,#153810,#153811,#153812, #153813,#153814,#153815,#153816,#153817,#153818,#153819,#153820,#153821, #153822),(#153823,#153824,#153825,#153826,#153827,#153828,#153829,#153830, #153831,#153832,#153833,#153834,#153835,#153836,#153837,#153838),(#153839, #153840,#153841,#153842,#153843,#153844,#153845,#153846,#153847,#153848, #153849,#153850,#153851,#153852,#153853,#153854)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0952499922514203),(101.043485788693, 102.006954145507,102.970422502321,103.933890859134,104.897359215948,105.860827572762, 106.824295929575,107.787764286389,108.751232643203,109.714701000016,110.67816935683, 111.641637713644,112.605106070457,113.568574427271),.UNSPECIFIED.); #84516=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#153942,#153943),(#153944,#153945), (#153946,#153947),(#153948,#153949),(#153950,#153951),(#153952,#153953), (#153954,#153955),(#153956,#153957),(#153958,#153959),(#153960,#153961), (#153962,#153963),(#153964,#153965),(#153966,#153967),(#153968,#153969), (#153970,#153971),(#153972,#153973),(#153974,#153975),(#153976,#153977), (#153978,#153979),(#153980,#153981),(#153982,#153983),(#153984,#153985), (#153986,#153987),(#153988,#153989),(#153990,#153991),(#153992,#153993), (#153994,#153995),(#153996,#153997),(#153998,#153999),(#154000,#154001), (#154002,#154003),(#154004,#154005),(#154006,#154007),(#154008,#154009), (#154010,#154011),(#154012,#154013),(#154014,#154015),(#154016,#154017), (#154018,#154019),(#154020,#154021),(#154022,#154023),(#154024,#154025)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(8.94647910297763,8.98633966425452, 9.0262002255314,9.04613050616983,9.0760259271275,9.08599106744671,9.10592134808516, 9.11588648840438,9.14578190936204,9.16571219000048,9.2255030319158,9.26536359319268, 9.34508471574644,9.38494527702332,9.46466639957708,9.50452696085396,9.58424808340772, 9.6241086446846,9.68389948659992,9.70382976723836,9.73372518819602,9.74369032851524, 9.76362060915368,9.7735857494729,9.823411451069,9.86327201234588,9.94299313489964, 9.98285369617652,10.0625748187303,10.1024353800072,10.2220170638378,10.3017381863916, 10.4213198702222,10.4611804314991,10.5409015540528,10.5807621153297,10.6604832378835, 10.7003437991604,10.7800649217141,10.8597860442679),(0.,8.128), .UNSPECIFIED.); #84517=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#154151,#154152,#154153,#154154, #154155,#154156,#154157,#154158,#154159,#154160,#154161,#154162,#154163, #154164,#154165,#154166,#154167,#154168,#154169,#154170,#154171,#154172, #154173,#154174,#154175,#154176,#154177,#154178,#154179,#154180,#154181, #154182,#154183,#154184,#154185,#154186,#154187,#154188,#154189,#154190, #154191,#154192,#154193,#154194,#154195,#154196,#154197,#154198,#154199, #154200,#154201,#154202,#154203,#154204,#154205,#154206,#154207,#154208), (#154209,#154210,#154211,#154212,#154213,#154214,#154215,#154216,#154217, #154218,#154219,#154220,#154221,#154222,#154223,#154224,#154225,#154226, #154227,#154228,#154229,#154230,#154231,#154232,#154233,#154234,#154235, #154236,#154237,#154238,#154239,#154240,#154241,#154242,#154243,#154244, #154245,#154246,#154247,#154248,#154249,#154250,#154251,#154252,#154253, #154254,#154255,#154256,#154257,#154258,#154259,#154260,#154261,#154262, #154263,#154264,#154265,#154266),(#154267,#154268,#154269,#154270,#154271, #154272,#154273,#154274,#154275,#154276,#154277,#154278,#154279,#154280, #154281,#154282,#154283,#154284,#154285,#154286,#154287,#154288,#154289, #154290,#154291,#154292,#154293,#154294,#154295,#154296,#154297,#154298, #154299,#154300,#154301,#154302,#154303,#154304,#154305,#154306,#154307, #154308,#154309,#154310,#154311,#154312,#154313,#154314,#154315,#154316, #154317,#154318,#154319,#154320,#154321,#154322,#154323,#154324),(#154325, #154326,#154327,#154328,#154329,#154330,#154331,#154332,#154333,#154334, #154335,#154336,#154337,#154338,#154339,#154340,#154341,#154342,#154343, #154344,#154345,#154346,#154347,#154348,#154349,#154350,#154351,#154352, #154353,#154354,#154355,#154356,#154357,#154358,#154359,#154360,#154361, #154362,#154363,#154364,#154365,#154366,#154367,#154368,#154369,#154370, #154371,#154372,#154373,#154374,#154375,#154376,#154377,#154378,#154379, #154380,#154381,#154382),(#154383,#154384,#154385,#154386,#154387,#154388, #154389,#154390,#154391,#154392,#154393,#154394,#154395,#154396,#154397, #154398,#154399,#154400,#154401,#154402,#154403,#154404,#154405,#154406, #154407,#154408,#154409,#154410,#154411,#154412,#154413,#154414,#154415, #154416,#154417,#154418,#154419,#154420,#154421,#154422,#154423,#154424, #154425,#154426,#154427,#154428,#154429,#154430,#154431,#154432,#154433, #154434,#154435,#154436,#154437,#154438,#154439,#154440)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,4),(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,4),(0.,0.571428571428571,1.),(4.498033528461E-13,0.229911116015311, 0.296929081519464,0.402105156924252,0.447187879005617,0.49932464171033, 0.509296346760134,0.528859693649562,0.538457654425966,0.567018898199619, 0.585932970478042,0.642125498063147,0.679083896139583,0.751709158564986, 0.787369994140653,0.857496094398944,0.891898565455,0.95924685889588,0.991970209522738, 1.03976687954345,1.05533194169496,1.078326379546,1.0858984493466,1.1008951217551, 1.1083531444693,1.13082300687607,1.14585187541747,1.17604591869273,1.20637150259352), .UNSPECIFIED.); #84518=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#154593,#154594,#154595,#154596, #154597,#154598,#154599,#154600,#154601,#154602,#154603,#154604,#154605, #154606,#154607,#154608,#154609,#154610,#154611,#154612,#154613,#154614, #154615,#154616,#154617,#154618,#154619,#154620,#154621,#154622,#154623, #154624,#154625,#154626,#154627,#154628,#154629,#154630,#154631,#154632, #154633,#154634,#154635,#154636,#154637,#154638,#154639,#154640,#154641, #154642,#154643,#154644,#154645,#154646,#154647,#154648,#154649,#154650), (#154651,#154652,#154653,#154654,#154655,#154656,#154657,#154658,#154659, #154660,#154661,#154662,#154663,#154664,#154665,#154666,#154667,#154668, #154669,#154670,#154671,#154672,#154673,#154674,#154675,#154676,#154677, #154678,#154679,#154680,#154681,#154682,#154683,#154684,#154685,#154686, #154687,#154688,#154689,#154690,#154691,#154692,#154693,#154694,#154695, #154696,#154697,#154698,#154699,#154700,#154701,#154702,#154703,#154704, #154705,#154706,#154707,#154708),(#154709,#154710,#154711,#154712,#154713, #154714,#154715,#154716,#154717,#154718,#154719,#154720,#154721,#154722, #154723,#154724,#154725,#154726,#154727,#154728,#154729,#154730,#154731, #154732,#154733,#154734,#154735,#154736,#154737,#154738,#154739,#154740, #154741,#154742,#154743,#154744,#154745,#154746,#154747,#154748,#154749, #154750,#154751,#154752,#154753,#154754,#154755,#154756,#154757,#154758, #154759,#154760,#154761,#154762,#154763,#154764,#154765,#154766),(#154767, #154768,#154769,#154770,#154771,#154772,#154773,#154774,#154775,#154776, #154777,#154778,#154779,#154780,#154781,#154782,#154783,#154784,#154785, #154786,#154787,#154788,#154789,#154790,#154791,#154792,#154793,#154794, #154795,#154796,#154797,#154798,#154799,#154800,#154801,#154802,#154803, #154804,#154805,#154806,#154807,#154808,#154809,#154810,#154811,#154812, #154813,#154814,#154815,#154816,#154817,#154818,#154819,#154820,#154821, #154822,#154823,#154824),(#154825,#154826,#154827,#154828,#154829,#154830, #154831,#154832,#154833,#154834,#154835,#154836,#154837,#154838,#154839, #154840,#154841,#154842,#154843,#154844,#154845,#154846,#154847,#154848, #154849,#154850,#154851,#154852,#154853,#154854,#154855,#154856,#154857, #154858,#154859,#154860,#154861,#154862,#154863,#154864,#154865,#154866, #154867,#154868,#154869,#154870,#154871,#154872,#154873,#154874,#154875, #154876,#154877,#154878,#154879,#154880,#154881,#154882)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,4),(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,4),(0.,0.571428571428571,1.),(0.,0.0303201003976051,0.0604948574869943, 0.0755076591747452,0.0979440615986093,0.105388235106768,0.12035256136474, 0.127905896823397,0.150832830186071,0.166342514370438,0.213914570034855, 0.246431346552652,0.313325158597784,0.347601662048513,0.417768459423004, 0.453522345114648,0.526175271466438,0.563062984737193,0.619187203263646, 0.63812210825022,0.666752502637945,0.676383242648883,0.696026485257117, 0.706035162838857,0.758239475374476,0.803235501402645,0.907882989605471, 0.974696896302921,1.20457793387252),.UNSPECIFIED.); #84519=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#154945,#154946),(#154947,#154948), (#154949,#154950),(#154951,#154952),(#154953,#154954),(#154955,#154956), (#154957,#154958),(#154959,#154960),(#154961,#154962),(#154963,#154964), (#154965,#154966),(#154967,#154968),(#154969,#154970),(#154971,#154972), (#154973,#154974),(#154975,#154976),(#154977,#154978),(#154979,#154980), (#154981,#154982),(#154983,#154984),(#154985,#154986),(#154987,#154988), (#154989,#154990),(#154991,#154992),(#154993,#154994),(#154995,#154996), (#154997,#154998),(#154999,#155000),(#155001,#155002),(#155003,#155004), (#155005,#155006),(#155007,#155008),(#155009,#155010),(#155011,#155012), (#155013,#155014),(#155015,#155016),(#155017,#155018),(#155019,#155020), (#155021,#155022),(#155023,#155024),(#155025,#155026),(#155027,#155028)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(1.24285323473505,1.3225743572888, 1.40229547984256,1.44215604111943,1.52187716367319,1.56173772495007,1.64145884750382, 1.6813194087807,1.80090109261133,1.88062221516508,2.00020389899571,2.04006446027259, 2.11978558282634,2.15964614410322,2.23936726665698,2.27922782793385,2.32905352952995, 2.33901866984917,2.35894895048761,2.36891409080683,2.39880951176448,2.41873979240292, 2.47853063431824,2.51839119559511,2.59811231814887,2.63797287942575,2.7176940019795, 2.75755456325638,2.83727568581013,2.87713624708701,2.93692708900232,2.95685736964076, 2.98675279059842,2.99671793091764,3.01664821155608,3.0266133518753,3.05650877283295, 3.07643905347139,3.11629961474827,3.15616017602515),(0.,8.128), .UNSPECIFIED.); #84520=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155130,#155131,#155132,#155133, #155134,#155135),(#155136,#155137,#155138,#155139,#155140,#155141),(#155142, #155143,#155144,#155145,#155146,#155147),(#155148,#155149,#155150,#155151, #155152,#155153)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000143019766034437, 0.,0.0361237335787988),.UNSPECIFIED.); #84521=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155162,#155163,#155164,#155165), (#155166,#155167,#155168,#155169),(#155170,#155171,#155172,#155173),(#155174, #155175,#155176,#155177)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (9.19359809672124E-12,0.0895266913325423),.UNSPECIFIED.); #84522=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155184,#155185,#155186,#155187), (#155188,#155189,#155190,#155191),(#155192,#155193,#155194,#155195),(#155196, #155197,#155198,#155199),(#155200,#155201,#155202,#155203)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.137476925913764), .UNSPECIFIED.); #84523=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155221,#155222,#155223,#155224), (#155225,#155226,#155227,#155228),(#155229,#155230,#155231,#155232),(#155233, #155234,#155235,#155236),(#155237,#155238,#155239,#155240)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.137476925891562), .UNSPECIFIED.); #84524=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155247,#155248,#155249,#155250), (#155251,#155252,#155253,#155254),(#155255,#155256,#155257,#155258),(#155259, #155260,#155261,#155262),(#155263,#155264,#155265,#155266)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(7.54864538977318E-13, 0.0886252857479287),.UNSPECIFIED.); #84525=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155271,#155272,#155273,#155274), (#155275,#155276,#155277,#155278),(#155279,#155280,#155281,#155282),(#155283, #155284,#155285,#155286)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.0895253076724079),.UNSPECIFIED.); #84526=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155295,#155296,#155297,#155298, #155299,#155300),(#155301,#155302,#155303,#155304,#155305,#155306),(#155307, #155308,#155309,#155310,#155311,#155312),(#155313,#155314,#155315,#155316, #155317,#155318)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0362667532786928, -0.0361237335128051,0.),.UNSPECIFIED.); #84527=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155325,#155326,#155327,#155328), (#155329,#155330,#155331,#155332),(#155333,#155334,#155335,#155336),(#155337, #155338,#155339,#155340),(#155341,#155342,#155343,#155344)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0886252857755896), .UNSPECIFIED.); #84528=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155349,#155350,#155351,#155352), (#155353,#155354,#155355,#155356),(#155357,#155358,#155359,#155360),(#155361, #155362,#155363,#155364),(#155365,#155366,#155367,#155368)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.18450722745864),.UNSPECIFIED.); #84529=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155418,#155419,#155420,#155421), (#155422,#155423,#155424,#155425),(#155426,#155427,#155428,#155429),(#155430, #155431,#155432,#155433),(#155434,#155435,#155436,#155437)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.0735634245049099, 0.257738035847304),.UNSPECIFIED.); #84530=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155446,#155447,#155448,#155449), (#155450,#155451,#155452,#155453),(#155454,#155455,#155456,#155457),(#155458, #155459,#155460,#155461)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.613371600712494), (-0.073554231427113,7.95040758699129E-17),.UNSPECIFIED.); #84531=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155468,#155469,#155470,#155471), (#155472,#155473,#155474,#155475),(#155476,#155477,#155478,#155479),(#155480, #155481,#155482,#155483)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.206913626960086), (1.71483748365018E-16,0.0326130815353158),.UNSPECIFIED.); #84532=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155540,#155541,#155542,#155543), (#155544,#155545,#155546,#155547),(#155548,#155549,#155550,#155551),(#155552, #155553,#155554,#155555),(#155556,#155557,#155558,#155559)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0244302414132372), .UNSPECIFIED.); #84533=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155571,#155572,#155573,#155574, #155575,#155576),(#155577,#155578,#155579,#155580,#155581,#155582),(#155583, #155584,#155585,#155586,#155587,#155588),(#155589,#155590,#155591,#155592, #155593,#155594)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000437057773405045, 0.,0.0682474814890424),.UNSPECIFIED.); #84534=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155608,#155609,#155610,#155611), (#155612,#155613,#155614,#155615),(#155616,#155617,#155618,#155619),(#155620, #155621,#155622,#155623)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.360022828210357),.UNSPECIFIED.); #84535=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155662,#155663,#155664,#155665), (#155666,#155667,#155668,#155669),(#155670,#155671,#155672,#155673),(#155674, #155675,#155676,#155677),(#155678,#155679,#155680,#155681)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0244302414132377), .UNSPECIFIED.); #84536=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155688,#155689,#155690,#155691, #155692,#155693),(#155694,#155695,#155696,#155697,#155698,#155699),(#155700, #155701,#155702,#155703,#155704,#155705),(#155706,#155707,#155708,#155709, #155710,#155711),(#155712,#155713,#155714,#155715,#155716,#155717)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.571428571428571,1.),(0., 0.0682474814890004,0.0686845392624477),.UNSPECIFIED.); #84537=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155731,#155732,#155733,#155734, #155735,#155736),(#155737,#155738,#155739,#155740,#155741,#155742),(#155743, #155744,#155745,#155746,#155747,#155748),(#155749,#155750,#155751,#155752, #155753,#155754),(#155755,#155756,#155757,#155758,#155759,#155760)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.0276912319837268, 0.254762700717253,0.406143679872937,0.557524659028621),.UNSPECIFIED.); #84538=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155783,#155784,#155785,#155786), (#155787,#155788,#155789,#155790),(#155791,#155792,#155793,#155794),(#155795, #155796,#155797,#155798),(#155799,#155800,#155801,#155802)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.294456225257816,0.68706452560157), (0.,0.0436568261493189),.UNSPECIFIED.); #84539=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155827,#155828,#155829,#155830), (#155831,#155832,#155833,#155834),(#155835,#155836,#155837,#155838),(#155839, #155840,#155841,#155842)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (2.10306933510683,2.77383127774624),.UNSPECIFIED.); #84540=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155858,#155859,#155860,#155861), (#155862,#155863,#155864,#155865),(#155866,#155867,#155868,#155869),(#155870, #155871,#155872,#155873),(#155874,#155875,#155876,#155877)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.294456225257815,0.687064525601568), (0.,0.043656826149319),.UNSPECIFIED.); #84541=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#155937,#155938,#155939,#155940), (#155941,#155942,#155943,#155944),(#155945,#155946,#155947,#155948),(#155949, #155950,#155951,#155952)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0503647020428575,0.721126644682268),.UNSPECIFIED.); #84542=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156000,#156001,#156002,#156003), (#156004,#156005,#156006,#156007),(#156008,#156009,#156010,#156011),(#156012, #156013,#156014,#156015)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.355376133330593),.UNSPECIFIED.); #84543=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156022,#156023,#156024,#156025), (#156026,#156027,#156028,#156029),(#156030,#156031,#156032,#156033),(#156034, #156035,#156036,#156037)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0503703480002068,0.405746481331039),.UNSPECIFIED.); #84544=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156044,#156045,#156046,#156047), (#156048,#156049,#156050,#156051),(#156052,#156053,#156054,#156055),(#156056, #156057,#156058,#156059)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (2.20105685043594,2.56107967862082),.UNSPECIFIED.); #84545=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156090,#156091,#156092,#156093), (#156094,#156095,#156096,#156097),(#156098,#156099,#156100,#156101),(#156102, #156103,#156104,#156105),(#156106,#156107,#156108,#156109),(#156110,#156111, #156112,#156113)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.364400679723404,2.77234442377072), .UNSPECIFIED.); #84546=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156134,#156135,#156136,#156137), (#156138,#156139,#156140,#156141),(#156142,#156143,#156144,#156145),(#156146, #156147,#156148,#156149),(#156150,#156151,#156152,#156153)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.0404721645083902, -0.0352566657463411),.UNSPECIFIED.); #84547=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156162,#156163,#156164,#156165, #156166,#156167),(#156168,#156169,#156170,#156171,#156172,#156173),(#156174, #156175,#156176,#156177,#156178,#156179),(#156180,#156181,#156182,#156183, #156184,#156185),(#156186,#156187,#156188,#156189,#156190,#156191)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(2.60962506509432E-14, 0.0513693768873697,0.0856156281455987,0.119861879403828),.UNSPECIFIED.); #84548=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156207,#156208,#156209,#156210), (#156211,#156212,#156213,#156214),(#156215,#156216,#156217,#156218),(#156219, #156220,#156221,#156222),(#156223,#156224,#156225,#156226)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0541937929171773, 0.336608978001203),.UNSPECIFIED.); #84549=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156238,#156239,#156240,#156241), (#156242,#156243,#156244,#156245),(#156246,#156247,#156248,#156249),(#156250, #156251,#156252,#156253),(#156254,#156255,#156256,#156257)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.201866381968015, 0.193866607703332),.UNSPECIFIED.); #84550=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156271,#156272,#156273,#156274), (#156275,#156276,#156277,#156278),(#156279,#156280,#156281,#156282),(#156283, #156284,#156285,#156286),(#156287,#156288,#156289,#156290)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.0404972894067107, -0.0352817939615744),.UNSPECIFIED.); #84551=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156299,#156300,#156301,#156302, #156303,#156304),(#156305,#156306,#156307,#156308,#156309,#156310),(#156311, #156312,#156313,#156314,#156315,#156316),(#156317,#156318,#156319,#156320, #156321,#156322),(#156323,#156324,#156325,#156326,#156327,#156328)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(2.8403840674307E-14, 0.0343085203488176,0.0686170406976067,0.120079821220791),.UNSPECIFIED.); #84552=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156344,#156345,#156346,#156347), (#156348,#156349,#156350,#156351),(#156352,#156353,#156354,#156355),(#156356, #156357,#156358,#156359),(#156360,#156361,#156362,#156363)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.0394671010241837, 0.0425638644156652),.UNSPECIFIED.); #84553=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156375,#156376,#156377,#156378, #156379),(#156380,#156381,#156382,#156383,#156384),(#156385,#156386,#156387, #156388,#156389),(#156390,#156391,#156392,#156393,#156394),(#156395,#156396, #156397,#156398,#156399)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(8.65845650658668E-12,0.182642013067854,0.319623522862251), .UNSPECIFIED.); #84554=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156413,#156414,#156415,#156416), (#156417,#156418,#156419,#156420),(#156421,#156422,#156423,#156424),(#156425, #156426,#156427,#156428),(#156429,#156430,#156431,#156432)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.0352194835435562, 0.035219483543554),.UNSPECIFIED.); #84555=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156438,#156439,#156440,#156441, #156442),(#156443,#156444,#156445,#156446,#156447),(#156448,#156449,#156450, #156451,#156452),(#156453,#156454,#156455,#156456,#156457),(#156458,#156459, #156460,#156461,#156462)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.136981087273795,0.319622536972187),.UNSPECIFIED.); #84556=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156476,#156477,#156478,#156479), (#156480,#156481,#156482,#156483),(#156484,#156485,#156486,#156487),(#156488, #156489,#156490,#156491),(#156492,#156493,#156494,#156495)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.0424047743243668, 0.0396261910141497),.UNSPECIFIED.); #84557=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156507,#156508,#156509,#156510, #156511,#156512),(#156513,#156514,#156515,#156516,#156517,#156518),(#156519, #156520,#156521,#156522,#156523,#156524),(#156525,#156526,#156527,#156528, #156529,#156530),(#156531,#156532,#156533,#156534,#156535,#156536)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(2.0964133302129E-15, 0.0514627292942452,0.0857712154904073,0.120079701686569),.UNSPECIFIED.); #84558=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156552,#156553,#156554,#156555), (#156556,#156557,#156558,#156559),(#156560,#156561,#156562,#156563),(#156564, #156565,#156566,#156567),(#156568,#156569,#156570,#156571)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0352817939615715, 0.0404972894067079),.UNSPECIFIED.); #84559=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156576,#156577,#156578,#156579), (#156580,#156581,#156582,#156583),(#156584,#156585,#156586,#156587),(#156588, #156589,#156590,#156591),(#156592,#156593,#156594,#156595)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.193866607703331, 0.201866381968016),.UNSPECIFIED.); #84560=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156609,#156610,#156611,#156612, #156613,#156614,#156615,#156616),(#156617,#156618,#156619,#156620,#156621, #156622,#156623,#156624),(#156625,#156626,#156627,#156628,#156629,#156630, #156631,#156632),(#156633,#156634,#156635,#156636,#156637,#156638,#156639, #156640)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,4),(0.,1.),(-0.00075990070923421, 0.,0.118490048100402,0.157986730800536,0.19748341350067),.UNSPECIFIED.); #84561=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156661,#156662,#156663,#156664), (#156665,#156666,#156667,#156668),(#156669,#156670,#156671,#156672),(#156673, #156674,#156675,#156676),(#156677,#156678,#156679,#156680)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.152201318956449, 0.172906199352691),.UNSPECIFIED.); #84562=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156692,#156693,#156694,#156695, #156696),(#156697,#156698,#156699,#156700,#156701),(#156702,#156703,#156704, #156705,#156706),(#156707,#156708,#156709,#156710,#156711),(#156712,#156713, #156714,#156715,#156716)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0761618273016869,0.152323654603374),.UNSPECIFIED.); #84563=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156730,#156731,#156732,#156733), (#156734,#156735,#156736,#156737),(#156738,#156739,#156740,#156741),(#156742, #156743,#156744,#156745),(#156746,#156747,#156748,#156749)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.335316263146429, -0.0529010788434064),.UNSPECIFIED.); #84564=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156764,#156765,#156766,#156767, #156768,#156769),(#156770,#156771,#156772,#156773,#156774,#156775),(#156776, #156777,#156778,#156779,#156780,#156781),(#156782,#156783,#156784,#156785, #156786,#156787),(#156788,#156789,#156790,#156791,#156792,#156793)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0342463585344151, 0.0684927170688301,0.119862254870453),.UNSPECIFIED.); #84565=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156809,#156810,#156811,#156812), (#156813,#156814,#156815,#156816),(#156817,#156818,#156819,#156820),(#156821, #156822,#156823,#156824),(#156825,#156826,#156827,#156828)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0352566657463431, 0.0404721645083928),.UNSPECIFIED.); #84566=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156833,#156834,#156835,#156836), (#156837,#156838,#156839,#156840),(#156841,#156842,#156843,#156844),(#156845, #156846,#156847,#156848),(#156849,#156850,#156851,#156852)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(3.49343638753628E-16, 0.39046087478146),.UNSPECIFIED.); #84567=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156869,#156870,#156871,#156872), (#156873,#156874,#156875,#156876),(#156877,#156878,#156879,#156880),(#156881, #156882,#156883,#156884),(#156885,#156886,#156887,#156888)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.390488491856693), .UNSPECIFIED.); #84568=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156900,#156901,#156902,#156903), (#156904,#156905,#156906,#156907),(#156908,#156909,#156910,#156911),(#156912, #156913,#156914,#156915),(#156916,#156917,#156918,#156919)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0207049568433609), .UNSPECIFIED.); #84569=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156934,#156935,#156936,#156937, #156938,#156939,#156940,#156941),(#156942,#156943,#156944,#156945,#156946, #156947,#156948,#156949),(#156950,#156951,#156952,#156953,#156954,#156955, #156956,#156957),(#156958,#156959,#156960,#156961,#156962,#156963,#156964, #156965),(#156966,#156967,#156968,#156969,#156970,#156971,#156972,#156973)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,2,4),(0.,0.5,1.),(1.89264772201985E-15, 0.0789933654000531,0.118490048100079,0.19748341350013,0.198243314209908), .UNSPECIFIED.); #84570=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#156991,#156992,#156993,#156994, #156995),(#156996,#156997,#156998,#156999,#157000),(#157001,#157002,#157003, #157004,#157005),(#157006,#157007,#157008,#157009,#157010),(#157011,#157012, #157013,#157014,#157015)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(8.61663437366658E-16,0.0761633673687504,0.1523267347375), .UNSPECIFIED.); #84571=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157141,#157142,#157143,#157144), (#157145,#157146,#157147,#157148),(#157149,#157150,#157151,#157152),(#157153, #157154,#157155,#157156),(#157157,#157158,#157159,#157160)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.86303030213005, 2.03681738241061),.UNSPECIFIED.); #84572=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157167,#157168,#157169,#157170), (#157171,#157172,#157173,#157174),(#157175,#157176,#157177,#157178),(#157179, #157180,#157181,#157182),(#157183,#157184,#157185,#157186)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 2.07209572946561),.UNSPECIFIED.); #84573=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157203,#157204,#157205,#157206, #157207,#157208,#157209,#157210),(#157211,#157212,#157213,#157214,#157215, #157216,#157217,#157218),(#157219,#157220,#157221,#157222,#157223,#157224, #157225,#157226),(#157227,#157228,#157229,#157230,#157231,#157232,#157233, #157234)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,4),(0.,1.),(-0.000681332754477647, 0.,0.116997329742204,0.155996439656272,0.19499554957034),.UNSPECIFIED.); #84574=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157253,#157254,#157255,#157256), (#157257,#157258,#157259,#157260),(#157261,#157262,#157263,#157264),(#157265, #157266,#157267,#157268),(#157269,#157270,#157271,#157272)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.674564504026825, 0.0086746553240828),.UNSPECIFIED.); #84575=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157282,#157283,#157284,#157285), (#157286,#157287,#157288,#157289),(#157290,#157291,#157292,#157293),(#157294, #157295,#157296,#157297),(#157298,#157299,#157300,#157301)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.00867465532418965, 0.674564504026718),.UNSPECIFIED.); #84576=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157305,#157306,#157307,#157308, #157309,#157310,#157311,#157312),(#157313,#157314,#157315,#157316,#157317, #157318,#157319,#157320),(#157321,#157322,#157323,#157324,#157325,#157326, #157327,#157328),(#157329,#157330,#157331,#157332,#157333,#157334,#157335, #157336),(#157337,#157338,#157339,#157340,#157341,#157342,#157343,#157344)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,2,4),(0.,0.5,1.),(0.,0.116997329700147, 0.155996439600196,0.194995549500245,0.195676882338128),.UNSPECIFIED.); #84577=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157355,#157356,#157357,#157358, #157359),(#157360,#157361,#157362,#157363,#157364),(#157365,#157366,#157367, #157368,#157369),(#157370,#157371,#157372,#157373,#157374),(#157375,#157376, #157377,#157378,#157379)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149869,0.112177981449981,0.785245870149869), .UNSPECIFIED.); #84578=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157389,#157390,#157391,#157392, #157393),(#157394,#157395,#157396,#157397,#157398),(#157399,#157400,#157401, #157402,#157403),(#157404,#157405,#157406,#157407,#157408),(#157409,#157410, #157411,#157412,#157413)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149867,-0.112177981449979,0.78524587014987), .UNSPECIFIED.); #84579=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157430,#157431,#157432,#157433, #157434),(#157435,#157436,#157437,#157438,#157439),(#157440,#157441,#157442, #157443,#157444),(#157445,#157446,#157447,#157448,#157449),(#157450,#157451, #157452,#157453,#157454)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149869,-0.112177981449981,0.785245870149869), .UNSPECIFIED.); #84580=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157462,#157463,#157464,#157465, #157466),(#157467,#157468,#157469,#157470,#157471),(#157472,#157473,#157474, #157475,#157476),(#157477,#157478,#157479,#157480,#157481),(#157482,#157483, #157484,#157485,#157486)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149867,-0.11217798144998,0.785245870149869), .UNSPECIFIED.); #84581=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157500,#157501,#157502,#157503, #157504),(#157505,#157506,#157507,#157508,#157509),(#157510,#157511,#157512, #157513,#157514),(#157515,#157516,#157517,#157518,#157519),(#157520,#157521, #157522,#157523,#157524)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149868,-0.112177981449981,0.785245870149868), .UNSPECIFIED.); #84582=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157532,#157533,#157534,#157535, #157536),(#157537,#157538,#157539,#157540,#157541),(#157542,#157543,#157544, #157545,#157546),(#157547,#157548,#157549,#157550,#157551),(#157552,#157553, #157554,#157555,#157556)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149869,-0.112177981449982,0.785245870149866), .UNSPECIFIED.); #84583=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157570,#157571,#157572,#157573, #157574),(#157575,#157576,#157577,#157578,#157579),(#157580,#157581,#157582, #157583,#157584),(#157585,#157586,#157587,#157588,#157589),(#157590,#157591, #157592,#157593,#157594)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.785245870149871,0.11217798144998,0.785245870149869), .UNSPECIFIED.); #84584=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157602,#157603,#157604,#157605, #157606),(#157607,#157608,#157609,#157610,#157611),(#157612,#157613,#157614, #157615,#157616),(#157617,#157618,#157619,#157620,#157621),(#157622,#157623, #157624,#157625,#157626)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.78524587014987,0.112177981449979,0.785245870149865), .UNSPECIFIED.); #84585=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157636,#157637,#157638,#157639), (#157640,#157641,#157642,#157643),(#157644,#157645,#157646,#157647),(#157648, #157649,#157650,#157651),(#157652,#157653,#157654,#157655)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.173787080280563), .UNSPECIFIED.); #84586=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157687,#157688,#157689,#157690), (#157691,#157692,#157693,#157694),(#157695,#157696,#157697,#157698),(#157699, #157700,#157701,#157702),(#157703,#157704,#157705,#157706)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.0604387475310938, -0.0563135694809161),.UNSPECIFIED.); #84587=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157710,#157711,#157712,#157713), (#157714,#157715,#157716,#157717),(#157718,#157719,#157720,#157721),(#157722, #157723,#157724,#157725),(#157726,#157727,#157728,#157729)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0563135695925721, 0.0604387476427972),.UNSPECIFIED.); #84588=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157846,#157847,#157848,#157849, #157850,#157851),(#157852,#157853,#157854,#157855,#157856,#157857),(#157858, #157859,#157860,#157861,#157862,#157863),(#157864,#157865,#157866,#157867, #157868,#157869),(#157870,#157871,#157872,#157873,#157874,#157875)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.0276912319837281, 0.254762700717254,0.406143679872938,0.557524659028622),.UNSPECIFIED.); #84589=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157921,#157922,#157923,#157924, #157925,#157926,#157927,#157928,#157929,#157930),(#157931,#157932,#157933, #157934,#157935,#157936,#157937,#157938,#157939,#157940),(#157941,#157942, #157943,#157944,#157945,#157946,#157947,#157948,#157949,#157950),(#157951, #157952,#157953,#157954,#157955,#157956,#157957,#157958,#157959,#157960), (#157961,#157962,#157963,#157964,#157965,#157966,#157967,#157968,#157969, #157970)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,1,1,1,1,4),(0.,0.571428571428571, 1.),(-0.000482895652281054,0.,0.0193099909613257,0.0386199819226514,0.0579299728839772, 0.0772399638453029,0.0965499548066286),.UNSPECIFIED.); #84590=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#157993,#157994,#157995,#157996), (#157997,#157998,#157999,#158000),(#158001,#158002,#158003,#158004),(#158005, #158006,#158007,#158008),(#158009,#158010,#158011,#158012)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(8.39889318400801E-12, 0.0664173287886358),.UNSPECIFIED.); #84591=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158021,#158022,#158023,#158024), (#158025,#158026,#158027,#158028),(#158029,#158030,#158031,#158032),(#158033, #158034,#158035,#158036),(#158037,#158038,#158039,#158040)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0664173218647434),.UNSPECIFIED.); #84592=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158042,#158043,#158044,#158045, #158046,#158047,#158048,#158049,#158050),(#158051,#158052,#158053,#158054, #158055,#158056,#158057,#158058,#158059),(#158060,#158061,#158062,#158063, #158064,#158065,#158066,#158067,#158068),(#158069,#158070,#158071,#158072, #158073,#158074,#158075,#158076,#158077),(#158078,#158079,#158080,#158081, #158082,#158083,#158084,#158085,#158086)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,4),(4,1,1,1,2,4),(0.,0.571428571428571,1.),(7.78298352508278E-12,0.0193099909674681, 0.0386199819271533,0.0579299728868384,0.0965499548062087,0.0970328504588895), .UNSPECIFIED.); #84593=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158101,#158102,#158103,#158104), (#158105,#158106,#158107,#158108),(#158109,#158110,#158111,#158112),(#158113, #158114,#158115,#158116),(#158117,#158118,#158119,#158120),(#158121,#158122, #158123,#158124)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.613956803827745,3.02190054587451), .UNSPECIFIED.); #84594=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158237,#158238,#158239,#158240), (#158241,#158242,#158243,#158244),(#158245,#158246,#158247,#158248),(#158249, #158250,#158251,#158252)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.299201516985681, 1.),(0.,0.0573910913974949),.UNSPECIFIED.); #84595=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158254,#158255,#158256,#158257), (#158258,#158259,#158260,#158261),(#158262,#158263,#158264,#158265),(#158266, #158267,#158268,#158269)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.276201262600088, 1.),(0.,0.0980642812589056),.UNSPECIFIED.); #84596=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158270,#158271,#158272,#158273), (#158274,#158275,#158276,#158277),(#158278,#158279,#158280,#158281),(#158282, #158283,#158284,#158285)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.289824105156362, 1.),(0.,0.130269582469631),.UNSPECIFIED.); #84597=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158287,#158288,#158289,#158290, #158291),(#158292,#158293,#158294,#158295,#158296),(#158297,#158298,#158299, #158300,#158301),(#158302,#158303,#158304,#158305,#158306)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.289935474786268,1.),(0.,1.85401812468154, 3.2445317181927),.UNSPECIFIED.); #84598=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158308,#158309,#158310,#158311, #158312),(#158313,#158314,#158315,#158316,#158317),(#158318,#158319,#158320, #158321,#158322),(#158323,#158324,#158325,#158326,#158327)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,0.710064525213694),(-3.24453169136698, -1.39051358201442,0.),.UNSPECIFIED.); #84599=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158329,#158330,#158331,#158332), (#158333,#158334,#158335,#158336),(#158337,#158338,#158339,#158340),(#158341, #158342,#158343,#158344)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.710176163620266), (-0.130269582469645,0.),.UNSPECIFIED.); #84600=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158351,#158352,#158353,#158354, #158355),(#158356,#158357,#158358,#158359,#158360),(#158361,#158362,#158363, #158364,#158365),(#158366,#158367,#158368,#158369,#158370),(#158371,#158372, #158373,#158374,#158375)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.589656670806521,-0.336946669032298,0.),.UNSPECIFIED.); #84601=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158377,#158378,#158379,#158380), (#158381,#158382,#158383,#158384),(#158385,#158386,#158387,#158388),(#158389, #158390,#158391,#158392),(#158393,#158394,#158395,#158396)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.163542593286707, 0.),.UNSPECIFIED.); #84602=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158398,#158399,#158400,#158401), (#158402,#158403,#158404,#158405),(#158406,#158407,#158408,#158409),(#158410, #158411,#158412,#158413),(#158414,#158415,#158416,#158417)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-12.9737575729813, -11.5896271799878),.UNSPECIFIED.); #84603=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158418,#158419,#158420,#158421), (#158422,#158423,#158424,#158425),(#158426,#158427,#158428,#158429),(#158430, #158431,#158432,#158433),(#158434,#158435,#158436,#158437)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-2.88465258598308, -2.15575198882056),.UNSPECIFIED.); #84604=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158443,#158444,#158445,#158446), (#158447,#158448,#158449,#158450),(#158451,#158452,#158453,#158454),(#158455, #158456,#158457,#158458)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0573602168638772,0.199469751288553),.UNSPECIFIED.); #84605=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158463,#158464,#158465,#158466), (#158467,#158468,#158469,#158470),(#158471,#158472,#158473,#158474),(#158475, #158476,#158477,#158478),(#158479,#158480,#158481,#158482)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-0.163542593286694, 0.),.UNSPECIFIED.); #84606=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158484,#158485,#158486,#158487, #158488),(#158489,#158490,#158491,#158492,#158493),(#158494,#158495,#158496, #158497,#158498),(#158499,#158500,#158501,#158502,#158503),(#158504,#158505, #158506,#158507,#158508)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-1.10043847389121,-0.847728472116965,-0.510781803084638), .UNSPECIFIED.); #84607=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158509,#158510,#158511,#158512), (#158513,#158514,#158515,#158516),(#158517,#158518,#158519,#158520),(#158521, #158522,#158523,#158524),(#158525,#158526,#158527,#158528)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.233308619698266, -0.0601383397857304),.UNSPECIFIED.); #84608=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158534,#158535,#158536,#158537), (#158538,#158539,#158540,#158541),(#158542,#158543,#158544,#158545),(#158546, #158547,#158548,#158549),(#158550,#158551,#158552,#158553)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.38294964952666, 0.),.UNSPECIFIED.); #84609=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158560,#158561,#158562,#158563), (#158564,#158565,#158566,#158567),(#158568,#158569,#158570,#158571),(#158572, #158573,#158574,#158575),(#158576,#158577,#158578,#158579)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.0639441162929636, 0.),.UNSPECIFIED.); #84610=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158586,#158587,#158588,#158589), (#158590,#158591,#158592,#158593),(#158594,#158595,#158596,#158597),(#158598, #158599,#158600,#158601),(#158602,#158603,#158604,#158605)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.488116318941506, -0.438838866289442),.UNSPECIFIED.); #84611=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158610,#158611,#158612,#158613), (#158614,#158615,#158616,#158617),(#158618,#158619,#158620,#158621),(#158622, #158623,#158624,#158625)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.61337160073731), (-0.0735542314271179,-1.86140499750926E-17),.UNSPECIFIED.); #84612=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158632,#158633,#158634,#158635), (#158636,#158637,#158638,#158639),(#158640,#158641,#158642,#158643),(#158644, #158645,#158646,#158647)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.206913627029254), (1.66708703420716,1.69970011574872),.UNSPECIFIED.); #84613=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158688,#158689,#158690,#158691, #158692,#158693,#158694,#158695,#158696,#158697,#158698),(#158699,#158700, #158701,#158702,#158703,#158704,#158705,#158706,#158707,#158708,#158709), (#158710,#158711,#158712,#158713,#158714,#158715,#158716,#158717,#158718, #158719,#158720),(#158721,#158722,#158723,#158724,#158725,#158726,#158727, #158728,#158729,#158730,#158731)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.,1.),(0.20371840160614,0.393163573386755,0.456311963980294, 0.519460354573832,0.58260874516737,0.614182940464139,0.629970038112524, 0.637863586936716,0.645757135760909),.UNSPECIFIED.); #84614=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158745,#158746,#158747,#158748), (#158749,#158750,#158751,#158752),(#158753,#158754,#158755,#158756),(#158757, #158758,#158759,#158760)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.27803911250251), (0.543565279338839,0.881669393400963),.UNSPECIFIED.); #84615=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158762,#158763,#158764,#158765), (#158766,#158767,#158768,#158769),(#158770,#158771,#158772,#158773),(#158774, #158775,#158776,#158777)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.26095525884061,0.881509543902471),.UNSPECIFIED.); #84616=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158794,#158795,#158796,#158797), (#158798,#158799,#158800,#158801),(#158802,#158803,#158804,#158805),(#158806, #158807,#158808,#158809),(#158810,#158811,#158812,#158813)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.0492774525149669, 0.),.UNSPECIFIED.); #84617=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158820,#158821,#158822,#158823), (#158824,#158825,#158826,#158827),(#158828,#158829,#158830,#158831),(#158832, #158833,#158834,#158835),(#158836,#158837,#158838,#158839)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.0639441162927846, 0.),.UNSPECIFIED.); #84618=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158846,#158847,#158848,#158849), (#158850,#158851,#158852,#158853),(#158854,#158855,#158856,#158857),(#158858, #158859,#158860,#158861),(#158862,#158863,#158864,#158865)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.382949649710817, 0.),.UNSPECIFIED.); #84619=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158870,#158871,#158872,#158873), (#158874,#158875,#158876,#158877),(#158878,#158879,#158880,#158881),(#158882, #158883,#158884,#158885)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.26095525884061,0.881509543902467),.UNSPECIFIED.); #84620=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158901,#158902,#158903,#158904), (#158905,#158906,#158907,#158908),(#158909,#158910,#158911,#158912),(#158913, #158914,#158915,#158916)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.507593688904403,0.801669659097975),.UNSPECIFIED.); #84621=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158918,#158919,#158920,#158921), (#158922,#158923,#158924,#158925),(#158926,#158927,#158928,#158929),(#158930, #158931,#158932,#158933)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,2.03438883684374),.UNSPECIFIED.); #84622=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158934,#158935,#158936,#158937, #158938),(#158939,#158940,#158941,#158942,#158943),(#158944,#158945,#158946, #158947,#158948),(#158949,#158950,#158951,#158952,#158953)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(2.20290901829408,3.0955491192697, 3.98818922024532),.UNSPECIFIED.); #84623=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158959,#158960,#158961,#158962), (#158963,#158964,#158965,#158966),(#158967,#158968,#158969,#158970),(#158971, #158972,#158973,#158974)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.00120749772149275,0.042970206031773),.UNSPECIFIED.); #84624=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#158980,#158981,#158982,#158983, #158984),(#158985,#158986,#158987,#158988,#158989),(#158990,#158991,#158992, #158993,#158994),(#158995,#158996,#158997,#158998,#158999)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(0.,0.840385582856138, 1.96089969333099),.UNSPECIFIED.); #84625=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159000,#159001,#159002,#159003), (#159004,#159005,#159006,#159007),(#159008,#159009,#159010,#159011),(#159012, #159013,#159014,#159015)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.0725111436102147), (0.271307233124254,0.884543557964005),.UNSPECIFIED.); #84626=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159016,#159017,#159018,#159019), (#159020,#159021,#159022,#159023),(#159024,#159025,#159026,#159027),(#159028, #159029,#159030,#159031)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.08186703922246,0.),.UNSPECIFIED.); #84627=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159033,#159034,#159035,#159036), (#159037,#159038,#159039,#159040),(#159041,#159042,#159043,#159044),(#159045, #159046,#159047,#159048)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (2.12995781922659,3.21182485836991),.UNSPECIFIED.); #84628=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159053,#159054,#159055,#159056), (#159057,#159058,#159059,#159060),(#159061,#159062,#159063,#159064),(#159065, #159066,#159067,#159068)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.5,0.633252081703528), (0.26584687618838,0.880635220552794),.UNSPECIFIED.); #84629=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159069,#159070,#159071,#159072), (#159073,#159074,#159075,#159076),(#159077,#159078,#159079,#159080),(#159081, #159082,#159083,#159084)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-0.0417627083111852,0.),.UNSPECIFIED.); #84630=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159086,#159087,#159088,#159089, #159090),(#159091,#159092,#159093,#159094,#159095),(#159096,#159097,#159098, #159099,#159100),(#159101,#159102,#159103,#159104,#159105)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(-3.21182558943747,-2.37144000657938, -1.25092589610191),.UNSPECIFIED.); #84631=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159111,#159112,#159113,#159114), (#159115,#159116,#159117,#159118),(#159119,#159120,#159121,#159122),(#159123, #159124,#159125,#159126),(#159127,#159128,#159129,#159130)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.648393801522123,0.799082172298356, 1.),(0.266551696786119,0.884543557964011),.UNSPECIFIED.); #84632=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159135,#159136,#159137,#159138, #159139),(#159140,#159141,#159142,#159143,#159144),(#159145,#159146,#159147, #159148,#159149),(#159150,#159151,#159152,#159153,#159154)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(-2.21405024228069,-1.3214101356594, -0.42877002903811),.UNSPECIFIED.); #84633=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159155,#159156,#159157,#159158), (#159159,#159160,#159161,#159162),(#159163,#159164,#159165,#159166),(#159167, #159168,#159169,#159170)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-0.294075970193125,0.),.UNSPECIFIED.); #84634=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159172,#159173,#159174,#159175), (#159176,#159177,#159178,#159179),(#159180,#159181,#159182,#159183),(#159184, #159185,#159186,#159187)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-4.41692590564765,-2.38253706880391),.UNSPECIFIED.); #84635=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159192,#159193,#159194,#159195), (#159196,#159197,#159198,#159199),(#159200,#159201,#159202,#159203),(#159204, #159205,#159206,#159207),(#159208,#159209,#159210,#159211)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.505157785242443,0.717233020138539, 1.),(0.264866064770782,0.883473519894873),.UNSPECIFIED.); #84636=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159218,#159219,#159220,#159221), (#159222,#159223,#159224,#159225),(#159226,#159227,#159228,#159229),(#159230, #159231,#159232,#159233)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.318578537993576),.UNSPECIFIED.); #84637=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159241,#159242,#159243,#159244, #159245,#159246,#159247,#159248),(#159249,#159250,#159251,#159252,#159253, #159254,#159255,#159256),(#159257,#159258,#159259,#159260,#159261,#159262, #159263,#159264),(#159265,#159266,#159267,#159268,#159269,#159270,#159271, #159272)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,4),(0.,1.),(0.,0.414184141126867, 0.828368282253734,1.2425524233806,2.07092070563433,2.89928898788807), .UNSPECIFIED.); #84638=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159282,#159283,#159284,#159285), (#159286,#159287,#159288,#159289),(#159290,#159291,#159292,#159293),(#159294, #159295,#159296,#159297)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.246957076585375),.UNSPECIFIED.); #84639=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159298,#159299,#159300,#159301), (#159302,#159303,#159304,#159305),(#159306,#159307,#159308,#159309),(#159310, #159311,#159312,#159313)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (4.84791962921439E-12,0.0707051104850295),.UNSPECIFIED.); #84640=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159314,#159315,#159316,#159317), (#159318,#159319,#159320,#159321),(#159322,#159323,#159324,#159325),(#159326, #159327,#159328,#159329)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.0294992175725784),.UNSPECIFIED.); #84641=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159330,#159331,#159332,#159333), (#159334,#159335,#159336,#159337),(#159338,#159339,#159340,#159341),(#159342, #159343,#159344,#159345)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.0941538893573901),.UNSPECIFIED.); #84642=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159347,#159348,#159349,#159350), (#159351,#159352,#159353,#159354),(#159355,#159356,#159357,#159358),(#159359, #159360,#159361,#159362)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (6.91596481763986E-11,0.0294992176417363),.UNSPECIFIED.); #84643=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159363,#159364,#159365,#159366), (#159367,#159368,#159369,#159370),(#159371,#159372,#159373,#159374),(#159375, #159376,#159377,#159378)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.318578537993538),.UNSPECIFIED.); #84644=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159385,#159386,#159387,#159388), (#159389,#159390,#159391,#159392),(#159393,#159394,#159395,#159396),(#159397, #159398,#159399,#159400)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.0707051104801887),.UNSPECIFIED.); #84645=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159405,#159406,#159407,#159408, #159409,#159410,#159411,#159412,#159413,#159414,#159415),(#159416,#159417, #159418,#159419,#159420,#159421,#159422,#159423,#159424,#159425,#159426), (#159427,#159428,#159429,#159430,#159431,#159432,#159433,#159434,#159435, #159436,#159437),(#159438,#159439,#159440,#159441,#159442,#159443,#159444, #159445,#159446,#159447,#159448)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.,1.),(0.203718401619467,0.393163573394363,0.456311963985995, 0.519460354577627,0.582608745169259,0.614182940465075,0.629970038112983, 0.637863586936937,0.645757135760891),.UNSPECIFIED.); #84646=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159473,#159474,#159475,#159476), (#159477,#159478,#159479,#159480),(#159481,#159482,#159483,#159484),(#159485, #159486,#159487,#159488)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (7.68315847338608E-10,0.246957077353684),.UNSPECIFIED.); #84647=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159542,#159543,#159544,#159545, #159546),(#159547,#159548,#159549,#159550,#159551),(#159552,#159553,#159554, #159555,#159556),(#159557,#159558,#159559,#159560,#159561),(#159562,#159563, #159564,#159565,#159566)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(9.61414249393669,10.2611224767363,11.1237624538025), .UNSPECIFIED.); #84648=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159567,#159568,#159569,#159570, #159571),(#159572,#159573,#159574,#159575,#159576),(#159577,#159578,#159579, #159580,#159581),(#159582,#159583,#159584,#159585,#159586),(#159587,#159588, #159589,#159590,#159591)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(9.72432238394553,10.3708492585445,11.2328850913431), .UNSPECIFIED.); #84649=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159592,#159593,#159594,#159595, #159596,#159597,#159598,#159599),(#159600,#159601,#159602,#159603,#159604, #159605,#159606,#159607),(#159608,#159609,#159610,#159611,#159612,#159613, #159614,#159615),(#159616,#159617,#159618,#159619,#159620,#159621,#159622, #159623),(#159624,#159625,#159626,#159627,#159628,#159629,#159630,#159631)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,4),(0.,0.5,1.),(7.81101218225391, 8.55792386690736,8.93137970923408,9.67829139388753,9.95838327563257,10.425203078541), .UNSPECIFIED.); #84650=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159633,#159634,#159635,#159636, #159637,#159638,#159639,#159640,#159641,#159642),(#159643,#159644,#159645, #159646,#159647,#159648,#159649,#159650,#159651,#159652),(#159653,#159654, #159655,#159656,#159657,#159658,#159659,#159660,#159661,#159662),(#159663, #159664,#159665,#159666,#159667,#159668,#159669,#159670,#159671,#159672), (#159673,#159674,#159675,#159676,#159677,#159678,#159679,#159680,#159681, #159682)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,1,1,4),(0.,0.571428571428571, 1.),(0.,0.215288615909354,0.430577231818708,0.645865847728062,0.861154463637416, 1.07644307954677,1.29173169545612,1.50702031136548),.UNSPECIFIED.); #84651=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159694,#159695,#159696,#159697, #159698,#159699,#159700,#159701),(#159702,#159703,#159704,#159705,#159706, #159707,#159708,#159709),(#159710,#159711,#159712,#159713,#159714,#159715, #159716,#159717),(#159718,#159719,#159720,#159721,#159722,#159723,#159724, #159725),(#159726,#159727,#159728,#159729,#159730,#159731,#159732,#159733)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,4),(0.,0.5,1.),(20.8504061570739, 21.2238619994006,21.5973178417273,22.3442295263808,22.9044132898708,23.4645970533609), .UNSPECIFIED.); #84652=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159736,#159737,#159738,#159739), (#159740,#159741,#159742,#159743),(#159744,#159745,#159746,#159747),(#159748, #159749,#159750,#159751),(#159752,#159753,#159754,#159755)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.97537933896515, 7.0474750684311),.UNSPECIFIED.); #84653=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159757,#159758,#159759,#159760), (#159761,#159762,#159763,#159764),(#159765,#159766,#159767,#159768),(#159769, #159770,#159771,#159772),(#159773,#159774,#159775,#159776)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(3.91879784928108E-12, 0.448867950188998),.UNSPECIFIED.); #84654=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159778,#159779,#159780,#159781, #159782,#159783,#159784),(#159785,#159786,#159787,#159788,#159789,#159790, #159791),(#159792,#159793,#159794,#159795,#159796,#159797,#159798),(#159799, #159800,#159801,#159802,#159803,#159804,#159805),(#159806,#159807,#159808, #159809,#159810,#159811,#159812)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.571428571428571,1.),(2.4315459153918,2.92590499901832,3.17308454083157, 3.66744362445809,4.1618027080846),.UNSPECIFIED.); #84655=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159837,#159838,#159839,#159840), (#159841,#159842,#159843,#159844),(#159845,#159846,#159847,#159848),(#159849, #159850,#159851,#159852)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (1.75836562562227,4.92035497048853),.UNSPECIFIED.); #84656=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159870,#159871,#159872,#159873, #159874,#159875),(#159876,#159877,#159878,#159879,#159880,#159881),(#159882, #159883,#159884,#159885,#159886,#159887),(#159888,#159889,#159890,#159891, #159892,#159893),(#159894,#159895,#159896,#159897,#159898,#159899)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.540830583597421,0.901384305995701,1.26193802839398),.UNSPECIFIED.); #84657=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159901,#159902,#159903,#159904), (#159905,#159906,#159907,#159908),(#159909,#159910,#159911,#159912),(#159913, #159914,#159915,#159916),(#159917,#159918,#159919,#159920)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.674331809679418),.UNSPECIFIED.); #84658=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159922,#159923,#159924,#159925, #159926),(#159927,#159928,#159929,#159930,#159931),(#159932,#159933,#159934, #159935,#159936),(#159937,#159938,#159939,#159940,#159941),(#159942,#159943, #159944,#159945,#159946)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,2.44287683636578,5.70004595152016), .UNSPECIFIED.); #84659=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159948,#159949,#159950,#159951), (#159952,#159953,#159954,#159955),(#159956,#159957,#159958,#159959),(#159960, #159961,#159962,#159963),(#159964,#159965,#159966,#159967)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.674330533047222),.UNSPECIFIED.); #84660=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#159969,#159970,#159971,#159972, #159973,#159974),(#159975,#159976,#159977,#159978,#159979,#159980),(#159981, #159982,#159983,#159984,#159985,#159986),(#159987,#159988,#159989,#159990, #159991,#159992),(#159993,#159994,#159995,#159996,#159997,#159998)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.540797195823775,0.901328659706292,1.26186012358881),.UNSPECIFIED.); #84661=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160000,#160001,#160002,#160003), (#160004,#160005,#160006,#160007),(#160008,#160009,#160010,#160011),(#160012, #160013,#160014,#160015)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (1.75843885694422,4.92051473707006),.UNSPECIFIED.); #84662=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160056,#160057,#160058,#160059, #160060,#160061,#160062),(#160063,#160064,#160065,#160066,#160067,#160068, #160069),(#160070,#160071,#160072,#160073,#160074,#160075,#160076),(#160077, #160078,#160079,#160080,#160081,#160082,#160083),(#160084,#160085,#160086, #160087,#160088,#160089,#160090)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.571428571428571,1.),(2.35277067587208,2.84743580800165,3.34210094013122, 3.589433506196,4.08409863832557),.UNSPECIFIED.); #84663=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160096,#160097,#160098,#160099), (#160100,#160101,#160102,#160103),(#160104,#160105,#160106,#160107),(#160108, #160109,#160110,#160111),(#160112,#160113,#160114,#160115)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.44886795018799),.UNSPECIFIED.); #84664=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160174,#160175,#160176,#160177, #160178,#160179,#160180,#160181),(#160182,#160183,#160184,#160185,#160186, #160187,#160188,#160189),(#160190,#160191,#160192,#160193,#160194,#160195, #160196,#160197),(#160198,#160199,#160200,#160201,#160202,#160203,#160204, #160205)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,4),(0.,1.),(-0.768272840370321, -0.76431893742785,-0.30572757497114,-0.15286378748557,0.),.UNSPECIFIED.); #84665=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160217,#160218,#160219,#160220, #160221,#160222,#160223,#160224,#160225,#160226,#160227),(#160228,#160229, #160230,#160231,#160232,#160233,#160234,#160235,#160236,#160237,#160238), (#160239,#160240,#160241,#160242,#160243,#160244,#160245,#160246,#160247, #160248,#160249),(#160250,#160251,#160252,#160253,#160254,#160255,#160256, #160257,#160258,#160259,#160260),(#160261,#160262,#160263,#160264,#160265, #160266,#160267,#160268,#160269,#160270,#160271)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,4),(4,1,1,1,1,1,2,4),(0.,0.5,1.),(-0.764318937926102,-0.611455150340881, -0.458591362755661,-0.382159468963051,-0.305727575170441,-0.15286378758522, 0.,0.00395390298215414),.UNSPECIFIED.); #84666=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160283,#160284,#160285,#160286, #160287,#160288,#160289,#160290),(#160291,#160292,#160293,#160294,#160295, #160296,#160297,#160298),(#160299,#160300,#160301,#160302,#160303,#160304, #160305,#160306),(#160307,#160308,#160309,#160310,#160311,#160312,#160313, #160314),(#160315,#160316,#160317,#160318,#160319,#160320,#160321,#160322)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,4),(0.,0.571428571428571, 1.),(0.,0.332765907950546,0.554609846584244,0.665531815901093,0.720992800559517, 0.776453785217942),.UNSPECIFIED.); #84667=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160333,#160334,#160335,#160336), (#160337,#160338,#160339,#160340),(#160341,#160342,#160343,#160344),(#160345, #160346,#160347,#160348)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.723798658153575), (-0.0980642812589087,0.),.UNSPECIFIED.); #84668=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160349,#160350,#160351,#160352), (#160353,#160354,#160355,#160356),(#160357,#160358,#160359,#160360),(#160361, #160362,#160363,#160364)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.308292827805897, 1.),(0.,0.728708964800022),.UNSPECIFIED.); #84669=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160371,#160372,#160373,#160374), (#160375,#160376,#160377,#160378),(#160379,#160380,#160381,#160382),(#160383, #160384,#160385,#160386)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.681932914645179, 1.),(0.,0.285606538283204),.UNSPECIFIED.); #84670=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160393,#160394,#160395,#160396), (#160397,#160398,#160399,#160400),(#160401,#160402,#160403,#160404),(#160405, #160406,#160407,#160408)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.482530222145906),.UNSPECIFIED.); #84671=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160415,#160416,#160417,#160418), (#160419,#160420,#160421,#160422),(#160423,#160424,#160425,#160426),(#160427, #160428,#160429,#160430)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.87102878059612),.UNSPECIFIED.); #84672=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160442,#160443,#160444,#160445, #160446,#160447),(#160448,#160449,#160450,#160451,#160452,#160453),(#160454, #160455,#160456,#160457,#160458,#160459),(#160460,#160461,#160462,#160463, #160464,#160465)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(0., 1.05908939392225,2.11817878784449,3.70681287872786),.UNSPECIFIED.); #84673=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160481,#160482,#160483,#160484, #160485),(#160486,#160487,#160488,#160489,#160490),(#160491,#160492,#160493, #160494,#160495),(#160496,#160497,#160498,#160499,#160500)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(-3.70334493597951,-2.116197106274, 0.),.UNSPECIFIED.); #84674=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160514,#160515,#160516,#160517), (#160518,#160519,#160520,#160521),(#160522,#160523,#160524,#160525),(#160526, #160527,#160528,#160529)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.87102878060287,0.),.UNSPECIFIED.); #84675=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160540,#160541,#160542,#160543), (#160544,#160545,#160546,#160547),(#160548,#160549,#160550,#160551),(#160552, #160553,#160554,#160555)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-0.482530222145896,0.),.UNSPECIFIED.); #84676=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160562,#160563,#160564,#160565), (#160566,#160567,#160568,#160569),(#160570,#160571,#160572,#160573),(#160574, #160575,#160576,#160577)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.318067085354781), (-0.285606538283202,0.),.UNSPECIFIED.); #84677=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160584,#160585,#160586,#160587), (#160588,#160589,#160590,#160591),(#160592,#160593,#160594,#160595),(#160596, #160597,#160598,#160599)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.691707172194025), (-0.728708964583434,0.),.UNSPECIFIED.); #84678=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160605,#160606,#160607,#160608), (#160609,#160610,#160611,#160612),(#160613,#160614,#160615,#160616),(#160617, #160618,#160619,#160620)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.700799314018187), (-0.0573910913974923,0.),.UNSPECIFIED.); #84679=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160622,#160623,#160624,#160625), (#160626,#160627,#160628,#160629),(#160630,#160631,#160632,#160633),(#160634, #160635,#160636,#160637)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.00766055785866681,0.765379036431783),.UNSPECIFIED.); #84680=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160674,#160675,#160676,#160677), (#160678,#160679,#160680,#160681),(#160682,#160683,#160684,#160685),(#160686, #160687,#160688,#160689),(#160690,#160691,#160692,#160693)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.021294599119818, 0.710253701856174),.UNSPECIFIED.); #84681=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160700,#160701,#160702,#160703), (#160704,#160705,#160706,#160707),(#160708,#160709,#160710,#160711),(#160712, #160713,#160714,#160715)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,2.18211119776325),.UNSPECIFIED.); #84682=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160722,#160723,#160724,#160725, #160726,#160727),(#160728,#160729,#160730,#160731,#160732,#160733),(#160734, #160735,#160736,#160737,#160738,#160739),(#160740,#160741,#160742,#160743, #160744,#160745)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(0., 0.503485988036578,1.00697197607316,1.76220095812802),.UNSPECIFIED.); #84683=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160754,#160755,#160756,#160757, #160758,#160759),(#160760,#160761,#160762,#160763,#160764,#160765),(#160766, #160767,#160768,#160769,#160770,#160771),(#160772,#160773,#160774,#160775, #160776,#160777),(#160778,#160779,#160780,#160781,#160782,#160783)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (-0.228346675861178,-0.0978628610906205,-0.0326209537053418,-1.27024814999951E-11), .UNSPECIFIED.); #84684=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160798,#160799,#160800,#160801), (#160802,#160803,#160804,#160805),(#160806,#160807,#160808,#160809),(#160810, #160811,#160812,#160813),(#160814,#160815,#160816,#160817)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.197566565604529, 0.380215768470244),.UNSPECIFIED.); #84685=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160826,#160827,#160828,#160829, #160830,#160831,#160832),(#160833,#160834,#160835,#160836,#160837,#160838, #160839),(#160840,#160841,#160842,#160843,#160844,#160845,#160846),(#160847, #160848,#160849,#160850,#160851,#160852,#160853),(#160854,#160855,#160856, #160857,#160858,#160859,#160860)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(2.62261884058805E-15,0.272215261136111,0.544430522272219, 0.680538152840274,0.952753413976382),.UNSPECIFIED.); #84686=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160870,#160871,#160872,#160873), (#160874,#160875,#160876,#160877),(#160878,#160879,#160880,#160881),(#160882, #160883,#160884,#160885),(#160886,#160887,#160888,#160889)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.32779234324747E-10, 0.0555609502183893),.UNSPECIFIED.); #84687=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160896,#160897,#160898,#160899, #160900),(#160901,#160902,#160903,#160904,#160905),(#160906,#160907,#160908, #160909,#160910),(#160911,#160912,#160913,#160914,#160915),(#160916,#160917, #160918,#160919,#160920)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,1.31289499553103,2.2975662421793),.UNSPECIFIED.); #84688=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160934,#160935,#160936,#160937, #160938),(#160939,#160940,#160941,#160942,#160943),(#160944,#160945,#160946, #160947,#160948),(#160949,#160950,#160951,#160952,#160953),(#160954,#160955, #160956,#160957,#160958)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(2.91797150655773E-16,2.09325553687601,3.66319718953301), .UNSPECIFIED.); #84689=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#160972,#160973,#160974,#160975, #160976,#160977),(#160978,#160979,#160980,#160981,#160982,#160983),(#160984, #160985,#160986,#160987,#160988,#160989),(#160990,#160991,#160992,#160993, #160994,#160995),(#160996,#160997,#160998,#160999,#161000,#161001)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,2.08891780996738, 2.87226198870515,3.65560616744291),.UNSPECIFIED.); #84690=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161017,#161018,#161019,#161020, #161021),(#161022,#161023,#161024,#161025,#161026),(#161027,#161028,#161029, #161030,#161031),(#161032,#161033,#161034,#161035,#161036),(#161037,#161038, #161039,#161040,#161041)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.984598906035071,2.29739744741517),.UNSPECIFIED.); #84691=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161055,#161056,#161057,#161058), (#161059,#161060,#161061,#161062),(#161063,#161064,#161065,#161066),(#161067, #161068,#161069,#161070),(#161071,#161072,#161073,#161074)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0555609500855894), .UNSPECIFIED.); #84692=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161086,#161087,#161088,#161089, #161090,#161091,#161092),(#161093,#161094,#161095,#161096,#161097,#161098, #161099),(#161100,#161101,#161102,#161103,#161104,#161105,#161106),(#161107, #161108,#161109,#161110,#161111,#161112,#161113),(#161114,#161115,#161116, #161117,#161118,#161119,#161120)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.272168113674035,0.408252170511052,0.680420284185087, 0.952588397859121),.UNSPECIFIED.); #84693=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161138,#161139,#161140,#161141), (#161142,#161143,#161144,#161145),(#161146,#161147,#161148,#161149),(#161150, #161151,#161152,#161153),(#161154,#161155,#161156,#161157)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.182649202865695), .UNSPECIFIED.); #84694=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161169,#161170,#161171,#161172), (#161173,#161174,#161175,#161176),(#161177,#161178,#161179,#161180),(#161181, #161182,#161183,#161184),(#161185,#161186,#161187,#161188)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.172251692475377), .UNSPECIFIED.); #84695=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161193,#161194,#161195,#161196, #161197,#161198),(#161199,#161200,#161201,#161202,#161203,#161204),(#161205, #161206,#161207,#161208,#161209,#161210),(#161211,#161212,#161213,#161214, #161215,#161216),(#161217,#161218,#161219,#161220,#161221,#161222)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (-0.228346675848567,-0.195725722155915,-0.13048381477061,0.), .UNSPECIFIED.); #84696=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161240,#161241,#161242,#161243, #161244,#161245),(#161246,#161247,#161248,#161249,#161250,#161251),(#161252, #161253,#161254,#161255,#161256,#161257),(#161258,#161259,#161260,#161261, #161262,#161263)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(0., 0.503489870827958,1.00697974165592,1.76221454789785),.UNSPECIFIED.); #84697=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161270,#161271,#161272,#161273, #161274,#161275,#161276),(#161277,#161278,#161279,#161280,#161281,#161282, #161283),(#161284,#161285,#161286,#161287,#161288,#161289,#161290),(#161291, #161292,#161293,#161294,#161295,#161296,#161297),(#161298,#161299,#161300, #161301,#161302,#161303,#161304)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.571428571428571,1.),(0.,0.0554609851757356,0.110921970351471, 0.332765911054414,0.776453792460298),.UNSPECIFIED.); #84698=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161312,#161313,#161314,#161315), (#161316,#161317,#161318,#161319),(#161320,#161321,#161322,#161323),(#161324, #161325,#161326,#161327),(#161328,#161329,#161330,#161331)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(3.39886308235228E-15, 0.172691342976828),.UNSPECIFIED.); #84699=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161340,#161341,#161342,#161343, #161344),(#161345,#161346,#161347,#161348,#161349),(#161350,#161351,#161352, #161353,#161354),(#161355,#161356,#161357,#161358,#161359),(#161360,#161361, #161362,#161363,#161364)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-0.872188651501401,-0.502297973703638,-0.00911040330662115), .UNSPECIFIED.); #84700=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161370,#161371,#161372,#161373), (#161374,#161375,#161376,#161377),(#161378,#161379,#161380,#161381),(#161382, #161383,#161384,#161385),(#161386,#161387,#161388,#161389),(#161390,#161391, #161392,#161393)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.189906234604454, 0.316510391007424,0.443114547410394),(0.687485597585708,0.904658308999598), .UNSPECIFIED.); #84701=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161405,#161406,#161407,#161408), (#161409,#161410,#161411,#161412),(#161413,#161414,#161415,#161416),(#161417, #161418,#161419,#161420),(#161421,#161422,#161423,#161424),(#161425,#161426, #161427,#161428),(#161429,#161430,#161431,#161432),(#161433,#161434,#161435, #161436),(#161437,#161438,#161439,#161440)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,4),(4,4),(0.,0.142857142857143,0.285714285714286,0.428571428571429, 0.571428571428571,0.857142857142857,1.),(0.581368113047933,0.874517364720464), .UNSPECIFIED.); #84702=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161451,#161452,#161453,#161454), (#161455,#161456,#161457,#161458),(#161459,#161460,#161461,#161462),(#161463, #161464,#161465,#161466),(#161467,#161468,#161469,#161470),(#161471,#161472, #161473,#161474),(#161475,#161476,#161477,#161478)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.857142857142857, 1.),(0.00560991458425906,0.100842234112995),.UNSPECIFIED.); #84703=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161480,#161481,#161482,#161483), (#161484,#161485,#161486,#161487),(#161488,#161489,#161490,#161491),(#161492, #161493,#161494,#161495),(#161496,#161497,#161498,#161499),(#161500,#161501, #161502,#161503),(#161504,#161505,#161506,#161507)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.10714285714286,0.214285714285719,0.357142857142866, 0.500000000000012),(0.00275220105691535,0.0923751787022597), .UNSPECIFIED.); #84704=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161512,#161513,#161514,#161515), (#161516,#161517,#161518,#161519),(#161520,#161521,#161522,#161523),(#161524, #161525,#161526,#161527),(#161528,#161529,#161530,#161531),(#161532,#161533, #161534,#161535),(#161536,#161537,#161538,#161539)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.10714285714286,0.214285714285719,0.357142857142866, 0.500000000000012),(0.00275220105691534,0.0923751787022645), .UNSPECIFIED.); #84705=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161541,#161542,#161543,#161544), (#161545,#161546,#161547,#161548),(#161549,#161550,#161551,#161552),(#161553, #161554,#161555,#161556),(#161557,#161558,#161559,#161560),(#161561,#161562, #161563,#161564),(#161565,#161566,#161567,#161568)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.857142857142857, 1.),(0.00560991458425941,0.101622290593612),.UNSPECIFIED.); #84706=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161570,#161571,#161572,#161573), (#161574,#161575,#161576,#161577),(#161578,#161579,#161580,#161581),(#161582, #161583,#161584,#161585)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-2.01940612349339E-15, 1.),(0.00766055785866692,0.765379036431798),.UNSPECIFIED.); #84707=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161597,#161598,#161599,#161600, #161601,#161602),(#161603,#161604,#161605,#161606,#161607,#161608),(#161609, #161610,#161611,#161612,#161613,#161614),(#161615,#161616,#161617,#161618, #161619,#161620),(#161621,#161622,#161623,#161624,#161625,#161626),(#161627, #161628,#161629,#161630,#161631,#161632)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,4),(4,1,1,4),(0.,0.333333333333333,0.666666666666667,1.),(0.,0.333333333333333, 0.666666666666667,1.),.UNSPECIFIED.); #84708=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161634,#161635,#161636,#161637), (#161638,#161639,#161640,#161641),(#161642,#161643,#161644,#161645),(#161646, #161647,#161648,#161649),(#161650,#161651,#161652,#161653)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.468165819664234, 3.06613257279053),.UNSPECIFIED.); #84709=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161664,#161665,#161666,#161667), (#161668,#161669,#161670,#161671),(#161672,#161673,#161674,#161675),(#161676, #161677,#161678,#161679),(#161680,#161681,#161682,#161683)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(3.93428564626211E-15, 0.00757900097039432),.UNSPECIFIED.); #84710=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161690,#161691,#161692,#161693, #161694,#161695,#161696,#161697,#161698,#161699),(#161700,#161701,#161702, #161703,#161704,#161705,#161706,#161707,#161708,#161709),(#161710,#161711, #161712,#161713,#161714,#161715,#161716,#161717,#161718,#161719),(#161720, #161721,#161722,#161723,#161724,#161725,#161726,#161727,#161728,#161729), (#161730,#161731,#161732,#161733,#161734,#161735,#161736,#161737,#161738, #161739)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,1,1,4),(0.,0.5,1.), (0.,0.53437718571399,1.06875437142798,1.33594296428497,1.60313155714197, 1.73672585357047,1.80352300178472,1.87032014999896),.UNSPECIFIED.); #84711=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161751,#161752,#161753,#161754), (#161755,#161756,#161757,#161758),(#161759,#161760,#161761,#161762),(#161763, #161764,#161765,#161766)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.466402376219753),.UNSPECIFIED.); #84712=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161768,#161769,#161770,#161771), (#161772,#161773,#161774,#161775),(#161776,#161777,#161778,#161779),(#161780, #161781,#161782,#161783)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.149088664041235),.UNSPECIFIED.); #84713=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161790,#161791,#161792,#161793, #161794,#161795),(#161796,#161797,#161798,#161799,#161800,#161801),(#161802, #161803,#161804,#161805,#161806,#161807),(#161808,#161809,#161810,#161811, #161812,#161813)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(0., 0.648793879271287,1.29758775854257,3.02770476993267),.UNSPECIFIED.); #84714=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161822,#161823,#161824,#161825, #161826),(#161827,#161828,#161829,#161830,#161831),(#161832,#161833,#161834, #161835,#161836),(#161837,#161838,#161839,#161840,#161841)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(1.20204490932012E-10, 0.219878766301279,0.384787840937085),.UNSPECIFIED.); #84715=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161849,#161850,#161851,#161852, #161853,#161854),(#161855,#161856,#161857,#161858,#161859,#161860),(#161861, #161862,#161863,#161864,#161865,#161866),(#161867,#161868,#161869,#161870, #161871,#161872)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(1.10531820596567E-14, 0.454934329043669,0.682401493565498,1.59227015165281),.UNSPECIFIED.); #84716=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161881,#161882,#161883,#161884), (#161885,#161886,#161887,#161888),(#161889,#161890,#161891,#161892),(#161893, #161894,#161895,#161896)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.102094972921361),.UNSPECIFIED.); #84717=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161903,#161904,#161905,#161906), (#161907,#161908,#161909,#161910),(#161911,#161912,#161913,#161914),(#161915, #161916,#161917,#161918)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,2.87477480009825),.UNSPECIFIED.); #84718=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161925,#161926,#161927,#161928), (#161929,#161930,#161931,#161932),(#161933,#161934,#161935,#161936),(#161937, #161938,#161939,#161940)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,2.87477643363629),.UNSPECIFIED.); #84719=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161947,#161948,#161949,#161950), (#161951,#161952,#161953,#161954),(#161955,#161956,#161957,#161958),(#161959, #161960,#161961,#161962)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (7.33064878058329E-13,0.102094972921352),.UNSPECIFIED.); #84720=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#161969,#161970,#161971,#161972, #161973,#161974),(#161975,#161976,#161977,#161978,#161979,#161980),(#161981, #161982,#161983,#161984,#161985,#161986),(#161987,#161988,#161989,#161990, #161991,#161992)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(2.5018200927107E-16, 0.68239262449037,1.13732104081728,1.5922494571442),.UNSPECIFIED.); #84721=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162001,#162002,#162003,#162004, #162005),(#162006,#162007,#162008,#162009,#162010),(#162011,#162012,#162013, #162014,#162015),(#162016,#162017,#162018,#162019,#162020)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,1.),(4.57601636187845E-15, 0.164842057133064,0.384631466643809),.UNSPECIFIED.); #84722=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162028,#162029,#162030,#162031, #162032,#162033),(#162034,#162035,#162036,#162037,#162038,#162039),(#162040, #162041,#162042,#162043,#162044,#162045),(#162046,#162047,#162048,#162049, #162050,#162051)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,1.),(0., 1.73007837452596,2.3788577649732,3.02763715542043),.UNSPECIFIED.); #84723=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162060,#162061,#162062,#162063), (#162064,#162065,#162066,#162067),(#162068,#162069,#162070,#162071),(#162072, #162073,#162074,#162075)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (4.91691790759989E-15,0.149088664041195),.UNSPECIFIED.); #84724=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162082,#162083,#162084,#162085), (#162086,#162087,#162088,#162089),(#162090,#162091,#162092,#162093),(#162094, #162095,#162096,#162097)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (2.18651234658905E-14,0.466402376219783),.UNSPECIFIED.); #84725=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162104,#162105,#162106,#162107, #162108,#162109,#162110,#162111,#162112,#162113),(#162114,#162115,#162116, #162117,#162118,#162119,#162120,#162121,#162122,#162123),(#162124,#162125, #162126,#162127,#162128,#162129,#162130,#162131,#162132,#162133),(#162134, #162135,#162136,#162137,#162138,#162139,#162140,#162141,#162142,#162143), (#162144,#162145,#162146,#162147,#162148,#162149,#162150,#162151,#162152, #162153)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,1,1,4),(0.,0.5,1.), (1.98879657365766E-15,0.0668160617187818,0.133632123437562,0.267264246875121, 0.534528493750241,0.80179274062536,1.3363212343756,1.87084972812584), .UNSPECIFIED.); #84726=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162166,#162167,#162168,#162169), (#162170,#162171,#162172,#162173),(#162174,#162175,#162176,#162177),(#162178, #162179,#162180,#162181),(#162182,#162183,#162184,#162185)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.58997529866794E-16, 0.00757900097036515),.UNSPECIFIED.); #84727=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162192,#162193,#162194,#162195), (#162196,#162197,#162198,#162199),(#162200,#162201,#162202,#162203),(#162204, #162205,#162206,#162207),(#162208,#162209,#162210,#162211)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,2.5979667921217), .UNSPECIFIED.); #84728=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162216,#162217,#162218,#162219, #162220),(#162221,#162222,#162223,#162224,#162225),(#162226,#162227,#162228, #162229,#162230),(#162231,#162232,#162233,#162234,#162235),(#162236,#162237, #162238,#162239,#162240)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-1.00140135389632,-0.631511321100284,-0.13832461070557), .UNSPECIFIED.); #84729=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162328,#162329,#162330,#162331, #162332),(#162333,#162334,#162335,#162336,#162337),(#162338,#162339,#162340, #162341,#162342),(#162343,#162344,#162345,#162346,#162347),(#162348,#162349, #162350,#162351,#162352)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(4.34707588220017E-15,2.54802931015925,5.94540172370491), .UNSPECIFIED.); #84730=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162435,#162436,#162437,#162438), (#162439,#162440,#162441,#162442),(#162443,#162444,#162445,#162446),(#162447, #162448,#162449,#162450),(#162451,#162452,#162453,#162454)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.215485630508156,0.50279980451903), (0.271893749801143,0.92216313573212),.UNSPECIFIED.); #84731=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162455,#162456,#162457,#162458, #162459),(#162460,#162461,#162462,#162463,#162464),(#162465,#162466,#162467, #162468,#162469),(#162470,#162471,#162472,#162473,#162474),(#162475,#162476, #162477,#162478,#162479)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,2.37668120781713,5.54558948490663), .UNSPECIFIED.); #84732=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162488,#162489,#162490,#162491, #162492),(#162493,#162494,#162495,#162496,#162497),(#162498,#162499,#162500, #162501,#162502),(#162503,#162504,#162505,#162506,#162507),(#162508,#162509, #162510,#162511,#162512)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.316086241018133,0.737534562375643), .UNSPECIFIED.); #84733=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162514,#162515,#162516,#162517, #162518),(#162519,#162520,#162521,#162522,#162523),(#162524,#162525,#162526, #162527,#162528),(#162529,#162530,#162531,#162532,#162533),(#162534,#162535, #162536,#162537,#162538)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.316086240815888,0.737534561903739), .UNSPECIFIED.); #84734=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162546,#162547,#162548,#162549, #162550,#162551,#162552,#162553,#162554,#162555,#162556,#162557,#162558, #162559,#162560,#162561,#162562,#162563,#162564,#162565,#162566,#162567, #162568,#162569,#162570,#162571,#162572,#162573,#162574,#162575,#162576, #162577),(#162578,#162579,#162580,#162581,#162582,#162583,#162584,#162585, #162586,#162587,#162588,#162589,#162590,#162591,#162592,#162593,#162594, #162595,#162596,#162597,#162598,#162599,#162600,#162601,#162602,#162603, #162604,#162605,#162606,#162607,#162608,#162609),(#162610,#162611,#162612, #162613,#162614,#162615,#162616,#162617,#162618,#162619,#162620,#162621, #162622,#162623,#162624,#162625,#162626,#162627,#162628,#162629,#162630, #162631,#162632,#162633,#162634,#162635,#162636,#162637,#162638,#162639, #162640,#162641),(#162642,#162643,#162644,#162645,#162646,#162647,#162648, #162649,#162650,#162651,#162652,#162653,#162654,#162655,#162656,#162657, #162658,#162659,#162660,#162661,#162662,#162663,#162664,#162665,#162666, #162667,#162668,#162669,#162670,#162671,#162672,#162673),(#162674,#162675, #162676,#162677,#162678,#162679,#162680,#162681,#162682,#162683,#162684, #162685,#162686,#162687,#162688,#162689,#162690,#162691,#162692,#162693, #162694,#162695,#162696,#162697,#162698,#162699,#162700,#162701,#162702, #162703,#162704,#162705)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,4),(0.,0.571428571428571,1.),(2.97436176319978E-14, 0.0371422946889163,0.0746621607305561,0.0935668899544013,0.122154301040608, 0.131735985906695,0.151156463916988,0.161044953699101,0.191447889322557, 0.212380436324347,0.278827270874706,0.326710657351375,0.434840990724914, 0.498171788901046,0.66858555022922,0.731748340833569),.UNSPECIFIED.); #84735=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162740,#162741,#162742,#162743, #162744,#162745,#162746,#162747,#162748,#162749,#162750,#162751,#162752, #162753,#162754,#162755,#162756,#162757,#162758,#162759,#162760,#162761, #162762,#162763,#162764,#162765,#162766,#162767,#162768,#162769),(#162770, #162771,#162772,#162773,#162774,#162775,#162776,#162777,#162778,#162779, #162780,#162781,#162782,#162783,#162784,#162785,#162786,#162787,#162788, #162789,#162790,#162791,#162792,#162793,#162794,#162795,#162796,#162797, #162798,#162799),(#162800,#162801,#162802,#162803,#162804,#162805,#162806, #162807,#162808,#162809,#162810,#162811,#162812,#162813,#162814,#162815, #162816,#162817,#162818,#162819,#162820,#162821,#162822,#162823,#162824, #162825,#162826,#162827,#162828,#162829),(#162830,#162831,#162832,#162833, #162834,#162835,#162836,#162837,#162838,#162839,#162840,#162841,#162842, #162843,#162844,#162845,#162846,#162847,#162848,#162849,#162850,#162851, #162852,#162853,#162854,#162855,#162856,#162857,#162858,#162859),(#162860, #162861,#162862,#162863,#162864,#162865,#162866,#162867,#162868,#162869, #162870,#162871,#162872,#162873,#162874,#162875,#162876,#162877,#162878, #162879,#162880,#162881,#162882,#162883,#162884,#162885,#162886,#162887, #162888,#162889)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,2,2,2,2,2,2,2, 2,2,2,2,2,4),(0.,0.5,1.),(1.36340358895188E-14,0.0922769035715064,0.437380328081134, 0.613089552452178,0.880840660128224,0.971234211122543,1.1079164615524,1.1538849248347, 1.24761615773669,1.29540145390104,1.54511718231813,1.76036119766396,2.25465278136583, 2.55654459823145,3.12972706593555),.UNSPECIFIED.); #84736=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162920,#162921,#162922,#162923), (#162924,#162925,#162926,#162927),(#162928,#162929,#162930,#162931),(#162932, #162933,#162934,#162935),(#162936,#162937,#162938,#162939)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.42333604754745E-14, 0.476662502182532),.UNSPECIFIED.); #84737=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162944,#162945,#162946,#162947), (#162948,#162949,#162950,#162951),(#162952,#162953,#162954,#162955),(#162956, #162957,#162958,#162959),(#162960,#162961,#162962,#162963)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.0657024853428E-15, 0.476662124423586),.UNSPECIFIED.); #84738=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#162964,#162965,#162966,#162967, #162968,#162969,#162970,#162971,#162972,#162973,#162974,#162975,#162976, #162977,#162978,#162979,#162980,#162981,#162982,#162983,#162984,#162985, #162986,#162987,#162988,#162989,#162990,#162991,#162992,#162993),(#162994, #162995,#162996,#162997,#162998,#162999,#163000,#163001,#163002,#163003, #163004,#163005,#163006,#163007,#163008,#163009,#163010,#163011,#163012, #163013,#163014,#163015,#163016,#163017,#163018,#163019,#163020,#163021, #163022,#163023),(#163024,#163025,#163026,#163027,#163028,#163029,#163030, #163031,#163032,#163033,#163034,#163035,#163036,#163037,#163038,#163039, #163040,#163041,#163042,#163043,#163044,#163045,#163046,#163047,#163048, #163049,#163050,#163051,#163052,#163053),(#163054,#163055,#163056,#163057, #163058,#163059,#163060,#163061,#163062,#163063,#163064,#163065,#163066, #163067,#163068,#163069,#163070,#163071,#163072,#163073,#163074,#163075, #163076,#163077,#163078,#163079,#163080,#163081,#163082,#163083),(#163084, #163085,#163086,#163087,#163088,#163089,#163090,#163091,#163092,#163093, #163094,#163095,#163096,#163097,#163098,#163099,#163100,#163101,#163102, #163103,#163104,#163105,#163106,#163107,#163108,#163109,#163110,#163111, #163112,#163113)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,2,2,2,2,2,2,2, 2,2,2,2,2,4),(0.,0.5,1.),(0.,0.573137152849042,0.874975991158221,1.36917976976992, 1.58442939409503,1.83419406498411,1.88199042998532,1.97574271938562,2.02172116257744, 2.15843157181741,2.24884236586636,2.51663699622078,2.69236769486347,3.03749377148308, 3.12977179846946),.UNSPECIFIED.); #84739=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#163115,#163116,#163117,#163118, #163119,#163120,#163121,#163122,#163123,#163124,#163125,#163126,#163127, #163128,#163129,#163130,#163131,#163132,#163133,#163134,#163135,#163136, #163137,#163138,#163139,#163140,#163141,#163142,#163143,#163144,#163145, #163146),(#163147,#163148,#163149,#163150,#163151,#163152,#163153,#163154, #163155,#163156,#163157,#163158,#163159,#163160,#163161,#163162,#163163, #163164,#163165,#163166,#163167,#163168,#163169,#163170,#163171,#163172, #163173,#163174,#163175,#163176,#163177,#163178),(#163179,#163180,#163181, #163182,#163183,#163184,#163185,#163186,#163187,#163188,#163189,#163190, #163191,#163192,#163193,#163194,#163195,#163196,#163197,#163198,#163199, #163200,#163201,#163202,#163203,#163204,#163205,#163206,#163207,#163208, #163209,#163210),(#163211,#163212,#163213,#163214,#163215,#163216,#163217, #163218,#163219,#163220,#163221,#163222,#163223,#163224,#163225,#163226, #163227,#163228,#163229,#163230,#163231,#163232,#163233,#163234,#163235, #163236,#163237,#163238,#163239,#163240,#163241,#163242),(#163243,#163244, #163245,#163246,#163247,#163248,#163249,#163250,#163251,#163252,#163253, #163254,#163255,#163256,#163257,#163258,#163259,#163260,#163261,#163262, #163263,#163264,#163265,#163266,#163267,#163268,#163269,#163270,#163271, #163272,#163273,#163274)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,4),(0.,0.571428571428571,1.),(0.,0.0631368053444859, 0.233503870150237,0.296707053785371,0.40509930065355,0.453342540919715, 0.520216177932506,0.541259930234447,0.571803954402213,0.581733067581212, 0.60122575845265,0.610839367432608,0.63950746416197,0.658453588693368,0.696027624996517, 0.733187503444589),.UNSPECIFIED.); #84740=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#163291,#163292,#163293,#163294), (#163295,#163296,#163297,#163298),(#163299,#163300,#163301,#163302),(#163303, #163304,#163305,#163306),(#163307,#163308,#163309,#163310),(#163311,#163312, #163313,#163314),(#163315,#163316,#163317,#163318),(#163319,#163320,#163321, #163322),(#163323,#163324,#163325,#163326)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,4),(4,4),(0.,0.142857142857143,0.285714285714286,0.428571428571429, 0.571428571428571,0.857142857142857,1.),(0.581368113047923,0.874517364720464), .UNSPECIFIED.); #84741=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#163328,#163329,#163330,#163331), (#163332,#163333,#163334,#163335),(#163336,#163337,#163338,#163339),(#163340, #163341,#163342,#163343),(#163344,#163345,#163346,#163347),(#163348,#163349, #163350,#163351)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.189906234604877, 0.316510391008128,0.443114547411379),(0.687485597585644,0.904658308999597), .UNSPECIFIED.); #84742=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#163352,#163353,#163354,#163355), (#163356,#163357,#163358,#163359),(#163360,#163361,#163362,#163363),(#163364, #163365,#163366,#163367)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.577674006454709, 0.774112801232057),(0.256758304217458,0.34709652907331),.UNSPECIFIED.); #84743=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#163368,#163369,#163370,#163371), (#163372,#163373,#163374,#163375),(#163376,#163377,#163378,#163379),(#163380, #163381,#163382,#163383)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.278039112439535), (0.54356527933875,0.881669393467722),.UNSPECIFIED.); #84744=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#167968,#167969,#167970,#167971, #167972,#167973),(#167974,#167975,#167976,#167977,#167978,#167979),(#167980, #167981,#167982,#167983,#167984,#167985),(#167986,#167987,#167988,#167989, #167990,#167991)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000686778319029098, 0.,0.126098089973374),.UNSPECIFIED.); #84745=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168008,#168009,#168010,#168011), (#168012,#168013,#168014,#168015),(#168016,#168017,#168018,#168019),(#168020, #168021,#168022,#168023),(#168024,#168025,#168026,#168027)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.139919107116652), .UNSPECIFIED.); #84746=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168048,#168049,#168050,#168051), (#168052,#168053,#168054,#168055),(#168056,#168057,#168058,#168059),(#168060, #168061,#168062,#168063),(#168064,#168065,#168066,#168067)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.139919107116643), .UNSPECIFIED.); #84747=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168079,#168080,#168081,#168082), (#168083,#168084,#168085,#168086),(#168087,#168088,#168089,#168090),(#168091, #168092,#168093,#168094),(#168095,#168096,#168097,#168098)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0225283286757467), .UNSPECIFIED.); #84748=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168107,#168108,#168109,#168110, #168111,#168112),(#168113,#168114,#168115,#168116,#168117,#168118),(#168119, #168120,#168121,#168122,#168123,#168124),(#168125,#168126,#168127,#168128, #168129,#168130),(#168131,#168132,#168133,#168134,#168135,#168136)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.571428571428571,1.),(0., 0.126098089973374,0.126784868292404),.UNSPECIFIED.); #84749=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168153,#168154,#168155,#168156), (#168157,#168158,#168159,#168160),(#168161,#168162,#168163,#168164),(#168165, #168166,#168167,#168168),(#168169,#168170,#168171,#168172)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.82954956783021E-12, 0.0225283286775858),.UNSPECIFIED.); #84750=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168181,#168182,#168183,#168184, #168185),(#168186,#168187,#168188,#168189,#168190),(#168191,#168192,#168193, #168194,#168195),(#168196,#168197,#168198,#168199,#168200),(#168201,#168202, #168203,#168204,#168205)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0859275678932975,0.200497658417694),.UNSPECIFIED.); #84751=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168229,#168230,#168231,#168232, #168233),(#168234,#168235,#168236,#168237,#168238),(#168239,#168240,#168241, #168242,#168243),(#168244,#168245,#168246,#168247,#168248),(#168249,#168250, #168251,#168252,#168253)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(8.23280744206826E-17,0.085926409185757,0.200494954766766), .UNSPECIFIED.); #84752=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168265,#168266,#168267,#168268, #168269,#168270),(#168271,#168272,#168273,#168274,#168275,#168276),(#168277, #168278,#168279,#168280,#168281,#168282),(#168283,#168284,#168285,#168286, #168287,#168288)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0916213922130927, -0.0909272735196965,0.),.UNSPECIFIED.); #84753=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168305,#168306,#168307,#168308), (#168309,#168310,#168311,#168312),(#168313,#168314,#168315,#168316),(#168317, #168318,#168319,#168320)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (9.37486948459975E-13,0.00813757557712166),.UNSPECIFIED.); #84754=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168332,#168333,#168334,#168335), (#168336,#168337,#168338,#168339),(#168340,#168341,#168342,#168343),(#168344, #168345,#168346,#168347),(#168348,#168349,#168350,#168351)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.121968706756319), .UNSPECIFIED.); #84755=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168372,#168373,#168374,#168375), (#168376,#168377,#168378,#168379),(#168380,#168381,#168382,#168383),(#168384, #168385,#168386,#168387),(#168388,#168389,#168390,#168391)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.121968706756319), .UNSPECIFIED.); #84756=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168403,#168404,#168405,#168406), (#168407,#168408,#168409,#168410),(#168411,#168412,#168413,#168414),(#168415, #168416,#168417,#168418),(#168419,#168420,#168421,#168422)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0687726512063544), .UNSPECIFIED.); #84757=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168431,#168432,#168433,#168434), (#168435,#168436,#168437,#168438),(#168439,#168440,#168441,#168442),(#168443, #168444,#168445,#168446)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,0.00813757546317667),.UNSPECIFIED.); #84758=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168461,#168462,#168463,#168464, #168465,#168466),(#168467,#168468,#168469,#168470,#168471,#168472),(#168473, #168474,#168475,#168476,#168477,#168478),(#168479,#168480,#168481,#168482, #168483,#168484)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000694118693396118, 0.,0.0909272735906779),.UNSPECIFIED.); #84759=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168498,#168499,#168500,#168501), (#168502,#168503,#168504,#168505),(#168506,#168507,#168508,#168509),(#168510, #168511,#168512,#168513),(#168514,#168515,#168516,#168517)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.068772655765147), .UNSPECIFIED.); #84760=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168754,#168755,#168756,#168757, #168758,#168759),(#168760,#168761,#168762,#168763,#168764,#168765),(#168766, #168767,#168768,#168769,#168770,#168771),(#168772,#168773,#168774,#168775, #168776,#168777)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000866084445177727, 0.,0.182661834970278),.UNSPECIFIED.); #84761=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168786,#168787,#168788,#168789, #168790,#168791),(#168792,#168793,#168794,#168795,#168796,#168797),(#168798, #168799,#168800,#168801,#168802,#168803),(#168804,#168805,#168806,#168807, #168808,#168809),(#168810,#168811,#168812,#168813,#168814,#168815)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.571428571428571,1.),(0., 0.182661834969321,0.183527919415455),.UNSPECIFIED.); #84762=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168838,#168839,#168840,#168841, #168842,#168843,#168844),(#168845,#168846,#168847,#168848,#168849,#168850, #168851),(#168852,#168853,#168854,#168855,#168856,#168857,#168858),(#168859, #168860,#168861,#168862,#168863,#168864,#168865),(#168866,#168867,#168868, #168869,#168870,#168871,#168872)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.181095947116019,0.301826578526699,0.332009236379369, 0.422557209937378),.UNSPECIFIED.); #84763=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168895,#168896,#168897,#168898), (#168899,#168900,#168901,#168902),(#168903,#168904,#168905,#168906),(#168907, #168908,#168909,#168910),(#168911,#168912,#168913,#168914)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0834402416870341), .UNSPECIFIED.); #84764=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168919,#168920,#168921,#168922, #168923,#168924),(#168925,#168926,#168927,#168928,#168929,#168930),(#168931, #168932,#168933,#168934,#168935,#168936),(#168937,#168938,#168939,#168940, #168941,#168942),(#168943,#168944,#168945,#168946,#168947,#168948)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.571428571428571,1.),(0., 0.18266183497115,0.183527919415456),.UNSPECIFIED.); #84765=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168957,#168958,#168959,#168960, #168961,#168962),(#168963,#168964,#168965,#168966,#168967,#168968),(#168969, #168970,#168971,#168972,#168973,#168974),(#168975,#168976,#168977,#168978, #168979,#168980)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000866084446517176, 0.,0.182661834968939),.UNSPECIFIED.); #84766=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#168989,#168990,#168991,#168992), (#168993,#168994,#168995,#168996),(#168997,#168998,#168999,#169000),(#169001, #169002,#169003,#169004),(#169005,#169006,#169007,#169008)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.12701797770316E-16, 0.083440241687034),.UNSPECIFIED.); #84767=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169031,#169032,#169033,#169034, #169035,#169036,#169037),(#169038,#169039,#169040,#169041,#169042,#169043, #169044),(#169045,#169046,#169047,#169048,#169049,#169050,#169051),(#169052, #169053,#169054,#169055,#169056,#169057,#169058),(#169059,#169060,#169061, #169062,#169063,#169064,#169065)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.181095947116018,0.301826578526697,0.332009236379367, 0.422557209937376),.UNSPECIFIED.); #84768=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169098,#169099,#169100,#169101), (#169102,#169103,#169104,#169105),(#169106,#169107,#169108,#169109),(#169110, #169111,#169112,#169113),(#169114,#169115,#169116,#169117)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0834402416870339), .UNSPECIFIED.); #84769=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169122,#169123,#169124,#169125), (#169126,#169127,#169128,#169129),(#169130,#169131,#169132,#169133),(#169134, #169135,#169136,#169137),(#169138,#169139,#169140,#169141)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0834402416870338), .UNSPECIFIED.); #84770=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169148,#169149,#169150,#169151, #169152,#169153),(#169154,#169155,#169156,#169157,#169158,#169159),(#169160, #169161,#169162,#169163,#169164,#169165),(#169166,#169167,#169168,#169169, #169170,#169171)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.295068634812886, 1.),(-0.000928654518812049,0.,0.192560738442248),.UNSPECIFIED.); #84771=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169192,#169193,#169194,#169195, #169196,#169197),(#169198,#169199,#169200,#169201,#169202,#169203),(#169204, #169205,#169206,#169207,#169208,#169209),(#169210,#169211,#169212,#169213, #169214,#169215)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.295068634812769, 1.),(-0.00092865451881205,0.,0.192560738442249),.UNSPECIFIED.); #84772=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169229,#169230,#169231,#169232, #169233,#169234),(#169235,#169236,#169237,#169238,#169239,#169240),(#169241, #169242,#169243,#169244,#169245,#169246),(#169247,#169248,#169249,#169250, #169251,#169252),(#169253,#169254,#169255,#169256,#169257,#169258)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.295068634812473,0.697886557776774, 1.),(0.370621311024035,0.563182049466284,0.564110703985095), .UNSPECIFIED.); #84773=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169265,#169266,#169267,#169268, #169269,#169270),(#169271,#169272,#169273,#169274,#169275,#169276),(#169277, #169278,#169279,#169280,#169281,#169282),(#169283,#169284,#169285,#169286, #169287,#169288),(#169289,#169290,#169291,#169292,#169293,#169294)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.295068634812007,0.597182077035433, 1.),(0.370621311024035,0.563182049466284,0.564110703985096), .UNSPECIFIED.); #84774=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169343,#169344,#169345,#169346), (#169347,#169348,#169349,#169350),(#169351,#169352,#169353,#169354),(#169355, #169356,#169357,#169358),(#169359,#169360,#169361,#169362)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.266360553098244), .UNSPECIFIED.); #84775=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169377,#169378,#169379,#169380, #169381),(#169382,#169383,#169384,#169385,#169386),(#169387,#169388,#169389, #169390,#169391),(#169392,#169393,#169394,#169395,#169396),(#169397,#169398, #169399,#169400,#169401)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.216961310539761,0.506243057926109),.UNSPECIFIED.); #84776=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169415,#169416,#169417,#169418), (#169419,#169420,#169421,#169422),(#169423,#169424,#169425,#169426),(#169427, #169428,#169429,#169430),(#169431,#169432,#169433,#169434)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,2.37547810664693), .UNSPECIFIED.); #84777=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169446,#169447,#169448,#169449), (#169450,#169451,#169452,#169453),(#169454,#169455,#169456,#169457),(#169458, #169459,#169460,#169461),(#169462,#169463,#169464,#169465)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.411170690245427), .UNSPECIFIED.); #84778=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169477,#169478,#169479,#169480), (#169481,#169482,#169483,#169484),(#169485,#169486,#169487,#169488),(#169489, #169490,#169491,#169492),(#169493,#169494,#169495,#169496)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.35235875811831, 1.58292339044232),.UNSPECIFIED.); #84779=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169508,#169509,#169510,#169511, #169512),(#169513,#169514,#169515,#169516,#169517),(#169518,#169519,#169520, #169521,#169522),(#169523,#169524,#169525,#169526,#169527),(#169528,#169529, #169530,#169531,#169532)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.229991195489319,0.536646122808412), .UNSPECIFIED.); #84780=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169552,#169553,#169554,#169555), (#169556,#169557,#169558,#169559),(#169560,#169561,#169562,#169563),(#169564, #169565,#169566,#169567),(#169568,#169569,#169570,#169571),(#169572,#169573, #169574,#169575)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.0315277354671108,0.326100826087755), .UNSPECIFIED.); #84781=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169587,#169588,#169589,#169590), (#169591,#169592,#169593,#169594),(#169595,#169596,#169597,#169598),(#169599, #169600,#169601,#169602),(#169603,#169604,#169605,#169606),(#169607,#169608, #169609,#169610)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,0.0315258875498621),.UNSPECIFIED.); #84782=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169622,#169623,#169624,#169625, #169626),(#169627,#169628,#169629,#169630,#169631),(#169632,#169633,#169634, #169635,#169636),(#169637,#169638,#169639,#169640,#169641),(#169642,#169643, #169644,#169645,#169646)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.367124132289326,0.399469756392538,0.423728974469948), .UNSPECIFIED.); #84783=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169663,#169664,#169665,#169666), (#169667,#169668,#169669,#169670),(#169671,#169672,#169673,#169674),(#169675, #169676,#169677,#169678),(#169679,#169680,#169681,#169682)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.38996969430881, -0.709116840125493),.UNSPECIFIED.); #84784=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169688,#169689,#169690,#169691), (#169692,#169693,#169694,#169695),(#169696,#169697,#169698,#169699),(#169700, #169701,#169702,#169703),(#169704,#169705,#169706,#169707)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-0.855036144449063, -0.304810020072958),.UNSPECIFIED.); #84785=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169713,#169714,#169715,#169716), (#169717,#169718,#169719,#169720),(#169721,#169722,#169723,#169724),(#169725, #169726,#169727,#169728),(#169729,#169730,#169731,#169732)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.38996969430881, -0.709116840125341),.UNSPECIFIED.); #84786=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169741,#169742,#169743,#169744, #169745),(#169746,#169747,#169748,#169749,#169750),(#169751,#169752,#169753, #169754,#169755),(#169756,#169757,#169758,#169759,#169760),(#169761,#169762, #169763,#169764,#169765)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.367135280007954,0.391393248296745,0.423737206015133), .UNSPECIFIED.); #84787=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169779,#169780,#169781,#169782), (#169783,#169784,#169785,#169786),(#169787,#169788,#169789,#169790),(#169791, #169792,#169793,#169794),(#169795,#169796,#169797,#169798),(#169799,#169800, #169801,#169802)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(-0.11714058305475,3.32067080010827E-16), .UNSPECIFIED.); #84788=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169814,#169815,#169816,#169817), (#169818,#169819,#169820,#169821),(#169822,#169823,#169824,#169825),(#169826, #169827,#169828,#169829),(#169830,#169831,#169832,#169833),(#169834,#169835, #169836,#169837)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.26814336400025),.UNSPECIFIED.); #84789=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169858,#169859,#169860,#169861, #169862),(#169863,#169864,#169865,#169866,#169867),(#169868,#169869,#169870, #169871,#169872),(#169873,#169874,#169875,#169876,#169877),(#169878,#169879, #169880,#169881,#169882)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.229991462707054,0.53664674631646), .UNSPECIFIED.); #84790=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169896,#169897,#169898,#169899), (#169900,#169901,#169902,#169903),(#169904,#169905,#169906,#169907),(#169908, #169909,#169910,#169911),(#169912,#169913,#169914,#169915)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.58292339190798, -1.35235875811807),.UNSPECIFIED.); #84791=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169924,#169925,#169926,#169927), (#169928,#169929,#169930,#169931),(#169932,#169933,#169934,#169935),(#169936, #169937,#169938,#169939),(#169940,#169941,#169942,#169943)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,2.37547810559253), .UNSPECIFIED.); #84792=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169958,#169959,#169960,#169961, #169962),(#169963,#169964,#169965,#169966,#169967),(#169968,#169969,#169970, #169971,#169972),(#169973,#169974,#169975,#169976,#169977),(#169978,#169979, #169980,#169981,#169982)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.2892816900128,0.5062429575224),.UNSPECIFIED.); #84793=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#169996,#169997,#169998,#169999), (#170000,#170001,#170002,#170003),(#170004,#170005,#170006,#170007),(#170008, #170009,#170010,#170011),(#170012,#170013,#170014,#170015)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.266360553098172), .UNSPECIFIED.); #84794=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170027,#170028,#170029,#170030), (#170031,#170032,#170033,#170034),(#170035,#170036,#170037,#170038),(#170039, #170040,#170041,#170042),(#170043,#170044,#170045,#170046)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,1.64753664756314), .UNSPECIFIED.); #84795=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170058,#170059,#170060,#170061), (#170062,#170063,#170064,#170065),(#170066,#170067,#170068,#170069),(#170070, #170071,#170072,#170073),(#170074,#170075,#170076,#170077)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.395285935419132),.UNSPECIFIED.); #84796=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170092,#170093,#170094,#170095, #170096,#170097),(#170098,#170099,#170100,#170101,#170102,#170103),(#170104, #170105,#170106,#170107,#170108,#170109),(#170110,#170111,#170112,#170113, #170114,#170115),(#170116,#170117,#170118,#170119,#170120,#170121)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (1.09347276814254E-14,0.553471308357213,1.10694261671441,1.93714957925022), .UNSPECIFIED.); #84797=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170137,#170138,#170139,#170140), (#170141,#170142,#170143,#170144),(#170145,#170146,#170147,#170148),(#170149, #170150,#170151,#170152),(#170153,#170154,#170155,#170156)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.395285935419099),.UNSPECIFIED.); #84798=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170168,#170169,#170170,#170171, #170172),(#170173,#170174,#170175,#170176,#170177),(#170178,#170179,#170180, #170181,#170182),(#170183,#170184,#170185,#170186,#170187),(#170188,#170189, #170190,#170191,#170192)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.57755649881056,1.34763183055797), .UNSPECIFIED.); #84799=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170209,#170210,#170211,#170212), (#170213,#170214,#170215,#170216),(#170217,#170218,#170219,#170220),(#170221, #170222,#170223,#170224),(#170225,#170226,#170227,#170228)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.411170690245455), .UNSPECIFIED.); #84800=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170240,#170241,#170242,#170243, #170244),(#170245,#170246,#170247,#170248,#170249),(#170250,#170251,#170252, #170253,#170254),(#170255,#170256,#170257,#170258,#170259),(#170260,#170261, #170262,#170263,#170264)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.410156998434913,0.957032996348131), .UNSPECIFIED.); #84801=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170278,#170279,#170280,#170281), (#170282,#170283,#170284,#170285),(#170286,#170287,#170288,#170289),(#170290, #170291,#170292,#170293),(#170294,#170295,#170296,#170297)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.696550878894372),.UNSPECIFIED.); #84802=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170306,#170307,#170308,#170309), (#170310,#170311,#170312,#170313),(#170314,#170315,#170316,#170317),(#170318, #170319,#170320,#170321),(#170322,#170323,#170324,#170325),(#170326,#170327, #170328,#170329)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(7.26923381345053E-11,0.294573087635605), .UNSPECIFIED.); #84803=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170341,#170342,#170343,#170344), (#170345,#170346,#170347,#170348),(#170349,#170350,#170351,#170352),(#170353, #170354,#170355,#170356),(#170357,#170358,#170359,#170360),(#170361,#170362, #170363,#170364)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(8.56350396404375E-13,0.03152588754982), .UNSPECIFIED.); #84804=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170373,#170374,#170375,#170376), (#170377,#170378,#170379,#170380),(#170381,#170382,#170383,#170384),(#170385, #170386,#170387,#170388),(#170389,#170390,#170391,#170392)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.304810020074053, 0.855036144449065),.UNSPECIFIED.); #84805=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170401,#170402,#170403,#170404), (#170405,#170406,#170407,#170408),(#170409,#170410,#170411,#170412),(#170413, #170414,#170415,#170416),(#170417,#170418,#170419,#170420),(#170421,#170422, #170423,#170424)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(1.16436918620433,1.28150976925921),.UNSPECIFIED.); #84806=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170436,#170437,#170438,#170439), (#170440,#170441,#170442,#170443),(#170444,#170445,#170446,#170447),(#170448, #170449,#170450,#170451),(#170452,#170453,#170454,#170455),(#170456,#170457, #170458,#170459)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(8.42462558964991E-17,1.26814336398766), .UNSPECIFIED.); #84807=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170468,#170469,#170470,#170471), (#170472,#170473,#170474,#170475),(#170476,#170477,#170478,#170479),(#170480, #170481,#170482,#170483),(#170484,#170485,#170486,#170487)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.696538974549789),.UNSPECIFIED.); #84808=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170499,#170500,#170501,#170502, #170503),(#170504,#170505,#170506,#170507,#170508),(#170509,#170510,#170511, #170512,#170513),(#170514,#170515,#170516,#170517,#170518),(#170519,#170520, #170521,#170522,#170523)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.410163592687321,0.957048382937082), .UNSPECIFIED.); #84809=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170534,#170535,#170536,#170537), (#170538,#170539,#170540,#170541),(#170542,#170543,#170544,#170545),(#170546, #170547,#170548,#170549),(#170550,#170551,#170552,#170553)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,1.64753664670817), .UNSPECIFIED.); #84810=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170571,#170572,#170573,#170574, #170575),(#170576,#170577,#170578,#170579,#170580),(#170581,#170582,#170583, #170584,#170585),(#170586,#170587,#170588,#170589,#170590),(#170591,#170592, #170593,#170594,#170595)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.577563541738052,1.34764826405546), .UNSPECIFIED.); #84811=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170647,#170648,#170649,#170650, #170651),(#170652,#170653,#170654,#170655,#170656),(#170657,#170658,#170659, #170660,#170661),(#170662,#170663,#170664,#170665,#170666),(#170667,#170668, #170669,#170670,#170671)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.571094450360759,1.33255371750844), .UNSPECIFIED.); #84812=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170681,#170682,#170683,#170684, #170685),(#170686,#170687,#170688,#170689,#170690),(#170691,#170692,#170693, #170694,#170695),(#170696,#170697,#170698,#170699,#170700),(#170701,#170702, #170703,#170704,#170705)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.38729122111789,0.903679515941743),(1.31697574508677E-12,0.297303296662169, 0.693707692209972),.UNSPECIFIED.); #84813=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170713,#170714,#170715,#170716), (#170717,#170718,#170719,#170720),(#170721,#170722,#170723,#170724),(#170725, #170726,#170727,#170728),(#170729,#170730,#170731,#170732)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.35178713363853,0.820836645156571), (0.,0.412261301667098),.UNSPECIFIED.); #84814=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170739,#170740,#170741,#170742), (#170743,#170744,#170745,#170746),(#170747,#170748,#170749,#170750),(#170751, #170752,#170753,#170754),(#170755,#170756,#170757,#170758)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.214005509311485,0.663145218276351, 1.),(1.53766965364355,1.80516889582393),.UNSPECIFIED.); #84815=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170765,#170766,#170767,#170768, #170769,#170770),(#170771,#170772,#170773,#170774,#170775,#170776),(#170777, #170778,#170779,#170780,#170781,#170782),(#170783,#170784,#170785,#170786, #170787,#170788),(#170789,#170790,#170791,#170792,#170793,#170794)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.214005509311483,0.66314521827635, 1.),(-0.175029923954063,-0.159121234159175,-0.148515440962583,-0.137909647765991), .UNSPECIFIED.); #84816=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170803,#170804,#170805,#170806, #170807,#170808),(#170809,#170810,#170811,#170812,#170813,#170814),(#170815, #170816,#170817,#170818,#170819,#170820),(#170821,#170822,#170823,#170824, #170825,#170826),(#170827,#170828,#170829,#170830,#170831,#170832)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.216619378012762,0.552353930293007, 1.),(-3.15201524746364,-1.87036707239749,-1.38974900674769,-0.90913094109788), .UNSPECIFIED.); #84817=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170841,#170842,#170843,#170844), (#170845,#170846,#170847,#170848),(#170849,#170850,#170851,#170852),(#170853, #170854,#170855,#170856),(#170857,#170858,#170859,#170860)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.338097904076555,0.788895109511963), (2.47288539087602,4.03684387208548),.UNSPECIFIED.); #84818=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170869,#170870,#170871,#170872, #170873,#170874),(#170875,#170876,#170877,#170878,#170879,#170880),(#170881, #170882,#170883,#170884,#170885,#170886),(#170887,#170888,#170889,#170890, #170891,#170892),(#170893,#170894,#170895,#170896,#170897,#170898)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.889751719866084,1.48291953311014,2.0760873463542),.UNSPECIFIED.); #84819=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170912,#170913,#170914,#170915), (#170916,#170917,#170918,#170919),(#170920,#170921,#170922,#170923),(#170924, #170925,#170926,#170927)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(4.02469987937321E-5, 0.430379111014093),(0.189062942767708,0.237461635903262),.UNSPECIFIED.); #84820=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#170953,#170954,#170955,#170956), (#170957,#170958,#170959,#170960),(#170961,#170962,#170963,#170964),(#170965, #170966,#170967,#170968),(#170969,#170970,#170971,#170972)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.71739676868723, -1.3297328351954),.UNSPECIFIED.); #84821=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171024,#171025,#171026,#171027, #171028,#171029),(#171030,#171031,#171032,#171033,#171034,#171035),(#171036, #171037,#171038,#171039,#171040,#171041),(#171042,#171043,#171044,#171045, #171046,#171047),(#171048,#171049,#171050,#171051,#171052,#171053)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(-1.07917154949134, -0.319072151976472,0.187660779700104,0.694393711376679),.UNSPECIFIED.); #84822=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171067,#171068,#171069,#171070, #171071,#171072,#171073,#171074,#171075,#171076,#171077),(#171078,#171079, #171080,#171081,#171082,#171083,#171084,#171085,#171086,#171087,#171088), (#171089,#171090,#171091,#171092,#171093,#171094,#171095,#171096,#171097, #171098,#171099),(#171100,#171101,#171102,#171103,#171104,#171105,#171106, #171107,#171108,#171109,#171110),(#171111,#171112,#171113,#171114,#171115, #171116,#171117,#171118,#171119,#171120,#171121),(#171122,#171123,#171124, #171125,#171126,#171127,#171128,#171129,#171130,#171131,#171132),(#171133, #171134,#171135,#171136,#171137,#171138,#171139,#171140,#171141,#171142, #171143)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0., 0.285714285714286,0.5,0.785714285714286,1.),(2.01519267934759E-16,0.322945697927778, 0.645891395855556,0.968837093783334,1.13030994274722,1.29178279171111,1.61472848963889, 1.93767418756667,2.26061988549445),.UNSPECIFIED.); #84823=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171235,#171236,#171237,#171238, #171239,#171240),(#171241,#171242,#171243,#171244,#171245,#171246),(#171247, #171248,#171249,#171250,#171251,#171252),(#171253,#171254,#171255,#171256, #171257,#171258),(#171259,#171260,#171261,#171262,#171263,#171264)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.248830436212617,0.497660872425233,0.870906526744158), .UNSPECIFIED.); #84824=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171329,#171330,#171331,#171332, #171333,#171334),(#171335,#171336,#171337,#171338,#171339,#171340),(#171341, #171342,#171343,#171344,#171345,#171346),(#171347,#171348,#171349,#171350, #171351,#171352),(#171353,#171354,#171355,#171356,#171357,#171358)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(-0.694393711376604, 0.0657056861382189,0.572438617814768,1.07917154949132),.UNSPECIFIED.); #84825=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171364,#171365,#171366,#171367, #171368,#171369,#171370,#171371,#171372,#171373,#171374),(#171375,#171376, #171377,#171378,#171379,#171380,#171381,#171382,#171383,#171384,#171385), (#171386,#171387,#171388,#171389,#171390,#171391,#171392,#171393,#171394, #171395,#171396),(#171397,#171398,#171399,#171400,#171401,#171402,#171403, #171404,#171405,#171406,#171407),(#171408,#171409,#171410,#171411,#171412, #171413,#171414,#171415,#171416,#171417,#171418),(#171419,#171420,#171421, #171422,#171423,#171424,#171425,#171426,#171427,#171428,#171429),(#171430, #171431,#171432,#171433,#171434,#171435,#171436,#171437,#171438,#171439, #171440)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0., 0.285714285714286,0.5,0.785714285714286,1.),(8.61996847966886E-17,0.322946067518181, 0.645892135036362,0.968838202554544,1.13031123631363,1.29178427007272,1.61473033759091, 1.93767640510909,2.26062247262727),.UNSPECIFIED.); #84826=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171517,#171518,#171519,#171520, #171521,#171522),(#171523,#171524,#171525,#171526,#171527,#171528),(#171529, #171530,#171531,#171532,#171533,#171534),(#171535,#171536,#171537,#171538, #171539,#171540),(#171541,#171542,#171543,#171544,#171545,#171546)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.19310194326071,0.441932379473336,0.690762815685961,1.0640084700049), .UNSPECIFIED.); #84827=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171561,#171562,#171563,#171564), (#171565,#171566,#171567,#171568),(#171569,#171570,#171571,#171572),(#171573, #171574,#171575,#171576),(#171577,#171578,#171579,#171580)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.00131129734570179, 0.311910583088842),.UNSPECIFIED.); #84828=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171630,#171631,#171632,#171633), (#171634,#171635,#171636,#171637),(#171638,#171639,#171640,#171641),(#171642, #171643,#171644,#171645),(#171646,#171647,#171648,#171649)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.469049511518042,0.820836645156573), (0.,0.412261301667129),.UNSPECIFIED.); #84829=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171658,#171659,#171660,#171661, #171662),(#171663,#171664,#171665,#171666,#171667),(#171668,#171669,#171670, #171671,#171672),(#171673,#171674,#171675,#171676,#171677),(#171678,#171679, #171680,#171681,#171682)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.516388294824647,0.903679515943133),(2.10785237844026E-15,0.297307036261454, 0.693716417943391),.UNSPECIFIED.); #84830=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171690,#171691,#171692,#171693, #171694),(#171695,#171696,#171697,#171698,#171699),(#171700,#171701,#171702, #171703,#171704),(#171705,#171706,#171707,#171708,#171709),(#171710,#171711, #171712,#171713,#171714)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.571094483515232,1.33255379486888), .UNSPECIFIED.); #84831=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171727,#171728,#171729,#171730, #171731,#171732),(#171733,#171734,#171735,#171736,#171737,#171738),(#171739, #171740,#171741,#171742,#171743,#171744),(#171745,#171746,#171747,#171748, #171749,#171750),(#171751,#171752,#171753,#171754,#171755,#171756)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (2.23942812629047E-12,0.716742802981251,1.43348560596026,2.50859981042878), .UNSPECIFIED.); #84832=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171774,#171775,#171776,#171777, #171778,#171779,#171780,#171781),(#171782,#171783,#171784,#171785,#171786, #171787,#171788,#171789),(#171790,#171791,#171792,#171793,#171794,#171795, #171796,#171797),(#171798,#171799,#171800,#171801,#171802,#171803,#171804, #171805),(#171806,#171807,#171808,#171809,#171810,#171811,#171812,#171813)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,2,4),(0.,0.571428571428571,1.), (-0.982627262799894,-0.50770478447378,-0.115864771339509,0.), .UNSPECIFIED.); #84833=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171839,#171840,#171841,#171842), (#171843,#171844,#171845,#171846),(#171847,#171848,#171849,#171850),(#171851, #171852,#171853,#171854),(#171855,#171856,#171857,#171858)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 1.09909120704054),.UNSPECIFIED.); #84834=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171868,#171869,#171870,#171871, #171872,#171873,#171874,#171875),(#171876,#171877,#171878,#171879,#171880, #171881,#171882,#171883),(#171884,#171885,#171886,#171887,#171888,#171889, #171890,#171891),(#171892,#171893,#171894,#171895,#171896,#171897,#171898, #171899),(#171900,#171901,#171902,#171903,#171904,#171905,#171906,#171907)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,2,4),(0.,0.571428571428571,1.), (-0.982627262896989,-0.86676249155748,-0.474922478423211,0.), .UNSPECIFIED.); #84835=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171925,#171926,#171927,#171928), (#171929,#171930,#171931,#171932),(#171933,#171934,#171935,#171936),(#171937, #171938,#171939,#171940),(#171941,#171942,#171943,#171944)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.29773554049677E-10, 0.310599285872858),.UNSPECIFIED.); #84836=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#171986,#171987,#171988,#171989, #171990,#171991),(#171992,#171993,#171994,#171995,#171996,#171997),(#171998, #171999,#172000,#172001,#172002,#172003),(#172004,#172005,#172006,#172007, #172008,#172009),(#172010,#172011,#172012,#172013,#172014,#172015)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.511918785458876,1.58703298991291,2.30377579288227,3.02051859585163), .UNSPECIFIED.); #84837=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172028,#172029,#172030,#172031, #172032,#172033),(#172034,#172035,#172036,#172037,#172038,#172039),(#172040, #172041,#172042,#172043,#172044,#172045),(#172046,#172047,#172048,#172049, #172050,#172051),(#172052,#172053,#172054,#172055,#172056,#172057)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,1.02439373176291,1.70732288627151,2.39025204078012),.UNSPECIFIED.); #84838=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172075,#172076,#172077,#172078), (#172079,#172080,#172081,#172082),(#172083,#172084,#172085,#172086),(#172087, #172088,#172089,#172090),(#172091,#172092,#172093,#172094)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0624133273091963),.UNSPECIFIED.); #84839=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172099,#172100,#172101,#172102, #172103,#172104),(#172105,#172106,#172107,#172108,#172109,#172110),(#172111, #172112,#172113,#172114,#172115,#172116),(#172117,#172118,#172119,#172120, #172121,#172122),(#172123,#172124,#172125,#172126,#172127,#172128)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.889751928301728,1.48291988050288,2.07608783270403),.UNSPECIFIED.); #84840=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172144,#172145,#172146,#172147), (#172148,#172149,#172150,#172151),(#172152,#172153,#172154,#172155),(#172156, #172157,#172158,#172159),(#172160,#172161,#172162,#172163)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(6.79164198050208E-15, 0.0624133273173712),.UNSPECIFIED.); #84841=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172172,#172173,#172174,#172175), (#172176,#172177,#172178,#172179),(#172180,#172181,#172182,#172183),(#172184, #172185,#172186,#172187),(#172188,#172189,#172190,#172191)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.338097904076556,0.788895109511964), (0.,1.56395848202123),.UNSPECIFIED.); #84842=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172200,#172201,#172202,#172203, #172204),(#172205,#172206,#172207,#172208,#172209),(#172210,#172211,#172212, #172213,#172214),(#172215,#172216,#172217,#172218,#172219),(#172220,#172221, #172222,#172223,#172224)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0.216619378012764, 0.664265447719756,1.),(-4.48803206376013,-3.52673415769845,-2.24500361628289), .UNSPECIFIED.); #84843=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172232,#172233,#172234,#172235, #172236),(#172237,#172238,#172239,#172240,#172241),(#172242,#172243,#172244, #172245,#172246),(#172247,#172248,#172249,#172250,#172251),(#172252,#172253, #172254,#172255,#172256)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0.214005509311482, 0.550860291035132,1.),(-0.17503002779188,-0.153818367662657,-0.137909622565741), .UNSPECIFIED.); #84844=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172264,#172265,#172266,#172267), (#172268,#172269,#172270,#172271),(#172272,#172273,#172274,#172275),(#172276, #172277,#172278,#172279),(#172280,#172281,#172282,#172283)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.214005509311483,0.550860291035133, 1.),(1.83501243363665,2.1024410198509),.UNSPECIFIED.); #84845=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172324,#172325,#172326,#172327, #172328),(#172329,#172330,#172331,#172332,#172333),(#172334,#172335,#172336, #172337,#172338),(#172339,#172340,#172341,#172342,#172343),(#172344,#172345, #172346,#172347,#172348)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(2.78370146033758E-16,0.329862921484309,0.577260112597541), .UNSPECIFIED.); #84846=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172392,#172393,#172394,#172395, #172396),(#172397,#172398,#172399,#172400,#172401),(#172402,#172403,#172404, #172405,#172406),(#172407,#172408,#172409,#172410,#172411),(#172412,#172413, #172414,#172415,#172416)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.247400197454533,0.577267127393911), .UNSPECIFIED.); #84847=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172429,#172430,#172431,#172432), (#172433,#172434,#172435,#172436),(#172437,#172438,#172439,#172440),(#172441, #172442,#172443,#172444),(#172445,#172446,#172447,#172448)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(1.3297328351953, 1.71739676868724),.UNSPECIFIED.); #84848=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172469,#172470,#172471,#172472, #172473,#172474),(#172475,#172476,#172477,#172478,#172479,#172480),(#172481, #172482,#172483,#172484,#172485,#172486),(#172487,#172488,#172489,#172490, #172491,#172492)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.107569042244097, -0.106975021710758,0.),.UNSPECIFIED.); #84849=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172509,#172510,#172511,#172512), (#172513,#172514,#172515,#172516),(#172517,#172518,#172519,#172520),(#172521, #172522,#172523,#172524),(#172525,#172526,#172527,#172528)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.147631429060125),.UNSPECIFIED.); #84850=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172546,#172547,#172548,#172549), (#172550,#172551,#172552,#172553),(#172554,#172555,#172556,#172557),(#172558, #172559,#172560,#172561),(#172562,#172563,#172564,#172565)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.147631428848823),.UNSPECIFIED.); #84851=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172577,#172578,#172579,#172580, #172581,#172582),(#172583,#172584,#172585,#172586,#172587,#172588),(#172589, #172590,#172591,#172592,#172593,#172594),(#172595,#172596,#172597,#172598, #172599,#172600),(#172601,#172602,#172603,#172604,#172605,#172606)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.571428571428571,1.),(-0.106975021710757, 0.,0.000594020533339272),.UNSPECIFIED.); #84852=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172620,#172621,#172622,#172623), (#172624,#172625,#172626,#172627),(#172628,#172629,#172630,#172631),(#172632, #172633,#172634,#172635),(#172636,#172637,#172638,#172639)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.928519608472554, 1.60427363671873),.UNSPECIFIED.); #84853=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172659,#172660,#172661,#172662), (#172663,#172664,#172665,#172666),(#172667,#172668,#172669,#172670),(#172671, #172672,#172673,#172674),(#172675,#172676,#172677,#172678)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.60427363671873, -0.928519608472554),.UNSPECIFIED.); #84854=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172692,#172693,#172694,#172695, #172696,#172697),(#172698,#172699,#172700,#172701,#172702,#172703),(#172704, #172705,#172706,#172707,#172708,#172709),(#172710,#172711,#172712,#172713, #172714,#172715)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.107025981446899, -0.106437567312107,0.),.UNSPECIFIED.); #84855=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172724,#172725,#172726,#172727), (#172728,#172729,#172730,#172731),(#172732,#172733,#172734,#172735),(#172736, #172737,#172738,#172739),(#172740,#172741,#172742,#172743)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.146122194999869),.UNSPECIFIED.); #84856=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172754,#172755,#172756,#172757), (#172758,#172759,#172760,#172761),(#172762,#172763,#172764,#172765),(#172766, #172767,#172768,#172769),(#172770,#172771,#172772,#172773)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.146122204571203),.UNSPECIFIED.); #84857=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172780,#172781,#172782,#172783, #172784,#172785),(#172786,#172787,#172788,#172789,#172790,#172791),(#172792, #172793,#172794,#172795,#172796,#172797),(#172798,#172799,#172800,#172801, #172802,#172803),(#172804,#172805,#172806,#172807,#172808,#172809)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.571428571428571,1.),(-0.106437567312107, 0.,0.000588414134791877),.UNSPECIFIED.); #84858=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172828,#172829,#172830,#172831, #172832),(#172833,#172834,#172835,#172836,#172837),(#172838,#172839,#172840, #172841,#172842),(#172843,#172844,#172845,#172846,#172847),(#172848,#172849, #172850,#172851,#172852)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.00015229903425133,0.897663172034252,1.57079632678425), .UNSPECIFIED.); #84859=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172864,#172865,#172866,#172867, #172868),(#172869,#172870,#172871,#172872,#172873),(#172874,#172875,#172876, #172877,#172878),(#172879,#172880,#172881,#172882,#172883),(#172884,#172885, #172886,#172887,#172888)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-1.57079632678425,-0.897663172034252,-0.00015229903425081), .UNSPECIFIED.); #84860=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172941,#172942,#172943,#172944, #172945),(#172946,#172947,#172948,#172949,#172950),(#172951,#172952,#172953, #172954,#172955),(#172956,#172957,#172958,#172959,#172960),(#172961,#172962, #172963,#172964,#172965)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0852607340130502,0.198941712697117),.UNSPECIFIED.); #84861=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#172985,#172986,#172987,#172988, #172989),(#172990,#172991,#172992,#172993,#172994),(#172995,#172996,#172997, #172998,#172999),(#173000,#173001,#173002,#173003,#173004),(#173005,#173006, #173007,#173008,#173009)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(1.00659992839631E-16,0.113680977416233,0.198941710478407), .UNSPECIFIED.); #84862=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173020,#173021,#173022,#173023, #173024),(#173025,#173026,#173027,#173028,#173029),(#173030,#173031,#173032, #173033,#173034),(#173035,#173036,#173037,#173038,#173039),(#173040,#173041, #173042,#173043,#173044)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-2.28359620488688E-17,0.372146432997184,0.86834167699343), .UNSPECIFIED.); #84863=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173052,#173053,#173054,#173055, #173056),(#173057,#173058,#173059,#173060,#173061),(#173062,#173063,#173064, #173065,#173066),(#173067,#173068,#173069,#173070,#173071),(#173072,#173073, #173074,#173075,#173076)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-0.868344109792286,-0.496196634167021,2.28359620488689E-17), .UNSPECIFIED.); #84864=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173082,#173083,#173084,#173085, #173086),(#173087,#173088,#173089,#173090,#173091),(#173092,#173093,#173094, #173095,#173096),(#173097,#173098,#173099,#173100,#173101),(#173102,#173103, #173104,#173105,#173106)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.154951938338867,0.271165892093017), .UNSPECIFIED.); #84865=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173114,#173115,#173116,#173117), (#173118,#173119,#173120,#173121),(#173122,#173123,#173124,#173125),(#173126, #173127,#173128,#173129)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (2.61509564003503E-16,1.46316558393865),.UNSPECIFIED.); #84866=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173136,#173137,#173138,#173139, #173140),(#173141,#173142,#173143,#173144,#173145),(#173146,#173147,#173148, #173149,#173150),(#173151,#173152,#173153,#173154,#173155),(#173156,#173157, #173158,#173159,#173160)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.0832035279421675,0.194141565198391), .UNSPECIFIED.); #84867=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173168,#173169,#173170,#173171, #173172),(#173173,#173174,#173175,#173176,#173177),(#173178,#173179,#173180, #173181,#173182),(#173183,#173184,#173185,#173186,#173187),(#173188,#173189, #173190,#173191,#173192)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.766928968567607,1.78950092665775), .UNSPECIFIED.); #84868=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173253,#173254,#173255,#173256, #173257),(#173258,#173259,#173260,#173261,#173262),(#173263,#173264,#173265, #173266,#173267),(#173268,#173269,#173270,#173271,#173272),(#173273,#173274, #173275,#173276,#173277)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.,0.0832040668783476,0.194142822716144), .UNSPECIFIED.); #84869=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173285,#173286,#173287,#173288), (#173289,#173290,#173291,#173292),(#173293,#173294,#173295,#173296),(#173297, #173298,#173299,#173300)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.46316558393881),.UNSPECIFIED.); #84870=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173307,#173308,#173309,#173310, #173311,#173312),(#173313,#173314,#173315,#173316,#173317,#173318),(#173319, #173320,#173321,#173322,#173323,#173324),(#173325,#173326,#173327,#173328, #173329,#173330),(#173331,#173332,#173333,#173334,#173335,#173336)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.0774754591068205,0.154950918213641,0.271164106873872), .UNSPECIFIED.); #84871=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173352,#173353,#173354,#173355, #173356,#173357,#173358,#173359,#173360,#173361,#173362,#173363),(#173364, #173365,#173366,#173367,#173368,#173369,#173370,#173371,#173372,#173373, #173374,#173375),(#173376,#173377,#173378,#173379,#173380,#173381,#173382, #173383,#173384,#173385,#173386,#173387),(#173388,#173389,#173390,#173391, #173392,#173393,#173394,#173395,#173396,#173397,#173398,#173399),(#173400, #173401,#173402,#173403,#173404,#173405,#173406,#173407,#173408,#173409, #173410,#173411),(#173412,#173413,#173414,#173415,#173416,#173417,#173418, #173419,#173420,#173421,#173422,#173423),(#173424,#173425,#173426,#173427, #173428,#173429,#173430,#173431,#173432,#173433,#173434,#173435)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,2,2,2,2,4),(0.123908108967278, 0.338817760985978,0.553727413004678,0.661182239014029,0.876091891032729), (0.,0.213975919746729,0.320963879620093,0.547309253080062,0.773654626540031, 0.904172254145041),.UNSPECIFIED.); #84872=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173576,#173577,#173578,#173579, #173580),(#173581,#173582,#173583,#173584,#173585),(#173586,#173587,#173588, #173589,#173590),(#173591,#173592,#173593,#173594,#173595),(#173596,#173597, #173598,#173599,#173600)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-1.56206968053493,-0.892611246019956,1.64844514723945E-15), .UNSPECIFIED.); #84873=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173611,#173612,#173613,#173614, #173615),(#173616,#173617,#173618,#173619,#173620),(#173621,#173622,#173623, #173624,#173625),(#173626,#173627,#173628,#173629,#173630),(#173631,#173632, #173633,#173634,#173635)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-1.55334303427436,-0.887624591013667,5.92803583998602E-13), .UNSPECIFIED.); #84874=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173642,#173643,#173644,#173645, #173646),(#173647,#173648,#173649,#173650,#173651),(#173652,#173653,#173654, #173655,#173656),(#173657,#173658,#173659,#173660,#173661),(#173662,#173663, #173664,#173665,#173666)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-0.463541000075436,0.209592154674565,1.10710302767457), .UNSPECIFIED.); #84875=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173674,#173675,#173676,#173677, #173678),(#173679,#173680,#173681,#173682,#173683),(#173684,#173685,#173686, #173687,#173688),(#173689,#173690,#173691,#173692,#173693),(#173694,#173695, #173696,#173697,#173698)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-1.10710302767457,-0.433969872924566,0.463541000075435), .UNSPECIFIED.); #84876=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173706,#173707,#173708,#173709, #173710),(#173711,#173712,#173713,#173714,#173715),(#173716,#173717,#173718, #173719,#173720),(#173721,#173722,#173723,#173724,#173725),(#173726,#173727, #173728,#173729,#173730)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-5.9254137814455E-13,0.665718443260102,1.55334303427436), .UNSPECIFIED.); #84877=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173738,#173739,#173740,#173741, #173742),(#173743,#173744,#173745,#173746,#173747),(#173748,#173749,#173750, #173751,#173752),(#173753,#173754,#173755,#173756,#173757),(#173758,#173759, #173760,#173761,#173762)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(-1.49880108324396E-15,0.669458434514966,1.56206968053492), .UNSPECIFIED.); #84878=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173778,#173779,#173780,#173781, #173782,#173783),(#173784,#173785,#173786,#173787,#173788,#173789),(#173790, #173791,#173792,#173793,#173794,#173795),(#173796,#173797,#173798,#173799, #173800,#173801),(#173802,#173803,#173804,#173805,#173806,#173807)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.489289179944576,1.13459634812188,1.77990351629919,2.74786426856515), .UNSPECIFIED.); #84879=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173852,#173853,#173854,#173855), (#173856,#173857,#173858,#173859),(#173860,#173861,#173862,#173863),(#173864, #173865,#173866,#173867),(#173868,#173869,#173870,#173871)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.901319969067186),.UNSPECIFIED.); #84880=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173883,#173884,#173885,#173886, #173887),(#173888,#173889,#173890,#173891,#173892),(#173893,#173894,#173895, #173896,#173897),(#173898,#173899,#173900,#173901,#173902),(#173903,#173904, #173905,#173906,#173907)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(5.00426512922813E-13,0.424405318177677,0.990279075747246), .UNSPECIFIED.); #84881=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173921,#173922,#173923,#173924), (#173925,#173926,#173927,#173928),(#173929,#173930,#173931,#173932),(#173933, #173934,#173935,#173936),(#173937,#173938,#173939,#173940)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.679764914491596),.UNSPECIFIED.); #84882=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173952,#173953,#173954,#173955, #173956,#173957),(#173958,#173959,#173960,#173961,#173962,#173963),(#173964, #173965,#173966,#173967,#173968,#173969),(#173970,#173971,#173972,#173973, #173974,#173975),(#173976,#173977,#173978,#173979,#173980,#173981)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.252367284207318,0.42061214034553,0.588856996483742),.UNSPECIFIED.); #84883=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#173997,#173998,#173999,#174000, #174001,#174002,#174003,#174004,#174005,#174006),(#174007,#174008,#174009, #174010,#174011,#174012,#174013,#174014,#174015,#174016),(#174017,#174018, #174019,#174020,#174021,#174022,#174023,#174024,#174025,#174026),(#174027, #174028,#174029,#174030,#174031,#174032,#174033,#174034,#174035,#174036), (#174037,#174038,#174039,#174040,#174041,#174042,#174043,#174044,#174045, #174046)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,1,1,1,1,4),(0.,0.571428571428571, 1.),(4.93830136044119E-16,1.58736712527147,3.17473425054294,4.76210137581441, 6.34946850108589,7.93683562635736,9.52420275162883,11.1115698769003), .UNSPECIFIED.); #84884=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174070,#174071,#174072,#174073, #174074,#174075),(#174076,#174077,#174078,#174079,#174080,#174081),(#174082, #174083,#174084,#174085,#174086,#174087),(#174088,#174089,#174090,#174091, #174092,#174093),(#174094,#174095,#174096,#174097,#174098,#174099)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.252362775406238,0.420604625677063,0.588846475947888), .UNSPECIFIED.); #84885=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174115,#174116,#174117,#174118), (#174119,#174120,#174121,#174122),(#174123,#174124,#174125,#174126),(#174127, #174128,#174129,#174130),(#174131,#174132,#174133,#174134)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.679764914491602),.UNSPECIFIED.); #84886=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174146,#174147,#174148,#174149, #174150),(#174151,#174152,#174153,#174154,#174155),(#174156,#174157,#174158, #174159,#174160),(#174161,#174162,#174163,#174164,#174165),(#174166,#174167, #174168,#174169,#174170)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(8.89617244297778E-16,0.565876787313105,0.990284377797932), .UNSPECIFIED.); #84887=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174184,#174185,#174186,#174187), (#174188,#174189,#174190,#174191),(#174192,#174193,#174194,#174195),(#174196, #174197,#174198,#174199),(#174200,#174201,#174202,#174203)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.901319975092165),.UNSPECIFIED.); #84888=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174215,#174216,#174217,#174218, #174219,#174220),(#174221,#174222,#174223,#174224,#174225,#174226),(#174227, #174228,#174229,#174230,#174231,#174232),(#174233,#174234,#174235,#174236, #174237,#174238),(#174239,#174240,#174241,#174242,#174243,#174244)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (9.57623689853841E-13,0.967960752279157,1.61326792046462,2.25857508865009), .UNSPECIFIED.); #84889=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174286,#174287,#174288,#174289), (#174290,#174291,#174292,#174293),(#174294,#174295,#174296,#174297),(#174298, #174299,#174300,#174301),(#174302,#174303,#174304,#174305)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.327042530592129),.UNSPECIFIED.); #84890=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174312,#174313,#174314,#174315), (#174316,#174317,#174318,#174319),(#174320,#174321,#174322,#174323),(#174324, #174325,#174326,#174327),(#174328,#174329,#174330,#174331)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-2.00821913410015E-5, 0.327022448400725),.UNSPECIFIED.); #84891=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174337,#174338,#174339,#174340, #174341,#174342,#174343,#174344,#174345,#174346,#174347,#174348,#174349, #174350,#174351,#174352,#174353,#174354,#174355,#174356,#174357,#174358, #174359,#174360,#174361,#174362),(#174363,#174364,#174365,#174366,#174367, #174368,#174369,#174370,#174371,#174372,#174373,#174374,#174375,#174376, #174377,#174378,#174379,#174380,#174381,#174382,#174383,#174384,#174385, #174386,#174387,#174388),(#174389,#174390,#174391,#174392,#174393,#174394, #174395,#174396,#174397,#174398,#174399,#174400,#174401,#174402,#174403, #174404,#174405,#174406,#174407,#174408,#174409,#174410,#174411,#174412, #174413,#174414),(#174415,#174416,#174417,#174418,#174419,#174420,#174421, #174422,#174423,#174424,#174425,#174426,#174427,#174428,#174429,#174430, #174431,#174432,#174433,#174434,#174435,#174436,#174437,#174438,#174439, #174440),(#174441,#174442,#174443,#174444,#174445,#174446,#174447,#174448, #174449,#174450,#174451,#174452,#174453,#174454,#174455,#174456,#174457, #174458,#174459,#174460,#174461,#174462,#174463,#174464,#174465,#174466), (#174467,#174468,#174469,#174470,#174471,#174472,#174473,#174474,#174475, #174476,#174477,#174478,#174479,#174480,#174481,#174482,#174483,#174484, #174485,#174486,#174487,#174488,#174489,#174490,#174491,#174492)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,2,1,1,1,2,1,1,1,1,1,2,1,1,2,1,1, 1,1,4),(0.,0.285714285714286,0.571428571428571,1.),(-0.0597566915828805, 0.,0.15300694437844,0.310506945633181,0.373774847156598,0.408397854904352, 0.46859081878346,0.627315897048424,0.786651305272404,0.946470274338157, 1.10763784957637,1.24254380606051,1.28213756851404,1.4724048332555,1.64058619298805, 1.67436946337504,1.67590783161218,1.89114146571588,2.03893236325099,2.10790100211451), .UNSPECIFIED.); #84892=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174519,#174520,#174521,#174522, #174523,#174524,#174525,#174526,#174527,#174528,#174529,#174530,#174531, #174532,#174533,#174534,#174535,#174536,#174537,#174538,#174539,#174540, #174541,#174542,#174543,#174544),(#174545,#174546,#174547,#174548,#174549, #174550,#174551,#174552,#174553,#174554,#174555,#174556,#174557,#174558, #174559,#174560,#174561,#174562,#174563,#174564,#174565,#174566,#174567, #174568,#174569,#174570),(#174571,#174572,#174573,#174574,#174575,#174576, #174577,#174578,#174579,#174580,#174581,#174582,#174583,#174584,#174585, #174586,#174587,#174588,#174589,#174590,#174591,#174592,#174593,#174594, #174595,#174596),(#174597,#174598,#174599,#174600,#174601,#174602,#174603, #174604,#174605,#174606,#174607,#174608,#174609,#174610,#174611,#174612, #174613,#174614,#174615,#174616,#174617,#174618,#174619,#174620,#174621, #174622),(#174623,#174624,#174625,#174626,#174627,#174628,#174629,#174630, #174631,#174632,#174633,#174634,#174635,#174636,#174637,#174638,#174639, #174640,#174641,#174642,#174643,#174644,#174645,#174646,#174647,#174648), (#174649,#174650,#174651,#174652,#174653,#174654,#174655,#174656,#174657, #174658,#174659,#174660,#174661,#174662,#174663,#174664,#174665,#174666, #174667,#174668,#174669,#174670,#174671,#174672,#174673,#174674)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,2,1,1,2,1,1,1,1,1,2,1,1, 1,2,4),(0.,0.285714285714286,0.571428571428571,1.),(0.0102344067659358, 0.0792029792615922,0.227036463215859,0.442301308869513,0.443764459694552, 0.477547858568154,0.645820042811065,0.836088360157403,0.87558873289072, 1.01057419563282,1.17172645036936,1.33153399471117,1.49085800929655,1.6495717224819, 1.70973121992977,1.7443546184804,1.80764423487317,1.96513280890068,2.11812166728509, 2.17788467140901),.UNSPECIFIED.); #84893=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174696,#174697,#174698,#174699), (#174700,#174701,#174702,#174703),(#174704,#174705,#174706,#174707),(#174708, #174709,#174710,#174711)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(6.31830322370931, 6.7475669309095),(0.189062942767707,0.23746163590326),.UNSPECIFIED.); #84894=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174713,#174714,#174715,#174716), (#174717,#174718,#174719,#174720),(#174721,#174722,#174723,#174724),(#174725, #174726,#174727,#174728),(#174729,#174730,#174731,#174732),(#174733,#174734, #174735,#174736),(#174737,#174738,#174739,#174740),(#174741,#174742,#174743, #174744),(#174745,#174746,#174747,#174748)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,4),(4,4),(-3.12866734253007,-2.84179807696841,-2.26805954584508, -1.98119028028342,-1.69432101472175,-1.40745174916009,-1.12058248359842), (0.180938315195208,0.29237136047692),.UNSPECIFIED.); #84895=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#174780,#174781,#174782,#174783, #174784,#174785,#174786,#174787,#174788,#174789),(#174790,#174791,#174792, #174793,#174794,#174795,#174796,#174797,#174798,#174799),(#174800,#174801, #174802,#174803,#174804,#174805,#174806,#174807,#174808,#174809),(#174810, #174811,#174812,#174813,#174814,#174815,#174816,#174817,#174818,#174819), (#174820,#174821,#174822,#174823,#174824,#174825,#174826,#174827,#174828, #174829)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,2,2,4),(0.294111637149203, 0.470587376735602,0.705888362850801),(-0.00153363078386837,-1.38777878078145E-17, 0.106987959873364,0.213975919746729,0.320963879620093,0.353228735934369), .UNSPECIFIED.); #84896=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#174989,#174990),(#174991,#174992), (#174993,#174994),(#174995,#174996)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84897=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175011,#175012),(#175013,#175014), (#175015,#175016),(#175017,#175018)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84898=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175030,#175031),(#175032,#175033), (#175034,#175035),(#175036,#175037)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84899=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175049,#175050),(#175051,#175052), (#175053,#175054)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254), .UNSPECIFIED.); #84900=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175088,#175089),(#175090,#175091), (#175092,#175093),(#175094,#175095),(#175096,#175097),(#175098,#175099), (#175100,#175101)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,1,1,1,3),(2,2),(0.,1., 2.,3.,4.,5.),(0.,0.0254),.UNSPECIFIED.); #84901=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175119,#175120),(#175121,#175122), (#175123,#175124),(#175125,#175126)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84902=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175138,#175139),(#175140,#175141), (#175142,#175143)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84903=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175153,#175154),(#175155,#175156), (#175157,#175158)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84904=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175178,#175179),(#175180,#175181), (#175182,#175183),(#175184,#175185),(#175186,#175187)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84905=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175204,#175205),(#175206,#175207), (#175208,#175209),(#175210,#175211)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84906=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175259,#175260),(#175261,#175262), (#175263,#175264)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84907=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175274,#175275),(#175276,#175277), (#175278,#175279),(#175280,#175281),(#175282,#175283)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #84908=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175315,#175316),(#175317,#175318), (#175319,#175320)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84909=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175330,#175331),(#175332,#175333), (#175334,#175335)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84910=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175345,#175346),(#175347,#175348), (#175349,#175350),(#175351,#175352)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84911=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175364,#175365),(#175366,#175367), (#175368,#175369),(#175370,#175371),(#175372,#175373)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #84912=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175406,#175407),(#175408,#175409), (#175410,#175411)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254), .UNSPECIFIED.); #84913=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175421,#175422),(#175423,#175424), (#175425,#175426)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254), .UNSPECIFIED.); #84914=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175640,#175641),(#175642,#175643), (#175644,#175645)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254), .UNSPECIFIED.); #84915=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175658,#175659),(#175660,#175661), (#175662,#175663),(#175664,#175665)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84916=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175677,#175678),(#175679,#175680), (#175681,#175682),(#175683,#175684),(#175685,#175686)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84917=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175715,#175716),(#175717,#175718), (#175719,#175720)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254), .UNSPECIFIED.); #84918=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175733,#175734),(#175735,#175736), (#175737,#175738),(#175739,#175740),(#175741,#175742)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84919=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175756,#175757),(#175758,#175759), (#175760,#175761),(#175762,#175763),(#175764,#175765)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84920=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175803,#175804),(#175805,#175806), (#175807,#175808),(#175809,#175810),(#175811,#175812),(#175813,#175814)), .UNSPECIFIED.,.F.,.F.,.F.,(3,1,1,1,3),(2,2),(0.,1.,2.,3.,4.),(0.,0.0254), .UNSPECIFIED.); #84921=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175830,#175831),(#175832,#175833), (#175834,#175835),(#175836,#175837)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84922=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175849,#175850),(#175851,#175852), (#175853,#175854),(#175855,#175856)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84923=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175868,#175869),(#175870,#175871), (#175872,#175873),(#175874,#175875),(#175876,#175877)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #84924=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175901,#175902),(#175903,#175904), (#175905,#175906),(#175907,#175908),(#175909,#175910)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84925=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175927,#175928),(#175929,#175930), (#175931,#175932),(#175933,#175934)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254),.UNSPECIFIED.); #84926=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175982,#175983),(#175984,#175985), (#175986,#175987)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84927=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#175997,#175998),(#175999,#176000), (#176001,#176002),(#176003,#176004),(#176005,#176006)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #84928=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#176038,#176039),(#176040,#176041), (#176042,#176043)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84929=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#176053,#176054),(#176055,#176056), (#176057,#176058)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254), .UNSPECIFIED.); #84930=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#176068,#176069),(#176070,#176071), (#176072,#176073),(#176074,#176075)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254),.UNSPECIFIED.); #84931=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#176087,#176088),(#176089,#176090), (#176091,#176092),(#176093,#176094),(#176095,#176096)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254),.UNSPECIFIED.); #84932=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#177997,#177998,#177999,#178000, #178001,#178002,#178003,#178004,#178005),(#178006,#178007,#178008,#178009, #178010,#178011,#178012,#178013,#178014),(#178015,#178016,#178017,#178018, #178019,#178020,#178021,#178022,#178023),(#178024,#178025,#178026,#178027, #178028,#178029,#178030,#178031,#178032)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 4),(4,1,1,1,1,1,4),(0.,1.),(0.714183836650625,0.782237599519076,0.918345125255979, 0.98639888812443,1.05445265099288,1.12250641386133,1.19056017672978), .UNSPECIFIED.); #84933=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#178224,#178225,#178226,#178227, #178228,#178229,#178230,#178231,#178232),(#178233,#178234,#178235,#178236, #178237,#178238,#178239,#178240,#178241),(#178242,#178243,#178244,#178245, #178246,#178247,#178248,#178249,#178250),(#178251,#178252,#178253,#178254, #178255,#178256,#178257,#178258,#178259)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 4),(4,1,1,1,1,1,4),(0.,1.),(0.714183836650623,0.782237599519075,0.918345125255977, 0.986398888124428,1.05445265099288,1.12250641386133,1.19056017672978), .UNSPECIFIED.); #84934=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#178803,#178804,#178805,#178806, #178807,#178808),(#178809,#178810,#178811,#178812,#178813,#178814),(#178815, #178816,#178817,#178818,#178819,#178820),(#178821,#178822,#178823,#178824, #178825,#178826),(#178827,#178828,#178829,#178830,#178831,#178832)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.00858362868803414,0.0143060478133902,0.0200284669387463), .UNSPECIFIED.); #84935=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#178859,#178860,#178861,#178862, #178863,#178864),(#178865,#178866,#178867,#178868,#178869,#178870),(#178871, #178872,#178873,#178874,#178875,#178876),(#178877,#178878,#178879,#178880, #178881,#178882),(#178883,#178884,#178885,#178886,#178887,#178888)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.00858364290457671,0.0143060715076278,0.020028500110679), .UNSPECIFIED.); #84936=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#178910,#178911,#178912,#178913, #178914,#178915),(#178916,#178917,#178918,#178919,#178920,#178921),(#178922, #178923,#178924,#178925,#178926,#178927),(#178928,#178929,#178930,#178931, #178932,#178933),(#178934,#178935,#178936,#178937,#178938,#178939)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (4.51823164953301E-31,0.00858362868803418,0.0143060478133903,0.0200284669387464), .UNSPECIFIED.); #84937=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#178963,#178964,#178965,#178966, #178967,#178968),(#178969,#178970,#178971,#178972,#178973,#178974),(#178975, #178976,#178977,#178978,#178979,#178980),(#178981,#178982,#178983,#178984, #178985,#178986),(#178987,#178988,#178989,#178990,#178991,#178992)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.,0.00858364290457678,0.014306071507628,0.0200285001106791), .UNSPECIFIED.); #84938=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#179194,#179195,#179196,#179197, #179198,#179199),(#179200,#179201,#179202,#179203,#179204,#179205),(#179206, #179207,#179208,#179209,#179210,#179211),(#179212,#179213,#179214,#179215, #179216,#179217),(#179218,#179219,#179220,#179221,#179222,#179223)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.571428571428571,1.), (0.0809814718201393,0.0896602762263098,0.0954461458304235,0.101232015434537), .UNSPECIFIED.); #84939=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#179261,#179262,#179263,#179264, #179265),(#179266,#179267,#179268,#179269,#179270),(#179271,#179272,#179273, #179274,#179275),(#179276,#179277,#179278,#179279,#179280),(#179281,#179282, #179283,#179284,#179285)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.571428571428571,1.),(0.00674855931843281,0.0154273637371002,0.0269991029619901), .UNSPECIFIED.); #84940=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#179394,#179395,#179396,#179397, #179398,#179399),(#179400,#179401,#179402,#179403,#179404,#179405),(#179406, #179407,#179408,#179409,#179410,#179411),(#179412,#179413,#179414,#179415, #179416,#179417)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0720301475582152, -0.0716846342306991,0.),.UNSPECIFIED.); #84941=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#179434,#179435,#179436,#179437, #179438,#179439),(#179440,#179441,#179442,#179443,#179444,#179445),(#179446, #179447,#179448,#179449,#179450,#179451),(#179452,#179453,#179454,#179455, #179456,#179457)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.0716846342279997, 0.,0.00034551333022201),.UNSPECIFIED.); #84942=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#179484,#179485,#179486,#179487, #179488),(#179489,#179490,#179491,#179492,#179493),(#179494,#179495,#179496, #179497,#179498),(#179499,#179500,#179501,#179502,#179503),(#179504,#179505, #179506,#179507,#179508)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(1.34866257532265E-15,0.0737007140094902,0.128976249516607), .UNSPECIFIED.); #84943=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#179519,#179520,#179521,#179522, #179523),(#179524,#179525,#179526,#179527,#179528),(#179529,#179530,#179531, #179532,#179533),(#179534,#179535,#179536,#179537,#179538),(#179539,#179540, #179541,#179542,#179543)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0552755349830407,0.128976248293762),.UNSPECIFIED.); #84944=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#179554,#179555,#179556,#179557, #179558,#179559),(#179560,#179561,#179562,#179563,#179564,#179565),(#179566, #179567,#179568,#179569,#179570,#179571),(#179572,#179573,#179574,#179575, #179576,#179577)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.630435385931655, 1.),(-0.190287178534257,-0.189480204776333,-0.124395325015467), .UNSPECIFIED.); #84945=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#180016,#180017,#180018,#180019, #180020,#180021),(#180022,#180023,#180024,#180025,#180026,#180027),(#180028, #180029,#180030,#180031,#180032,#180033),(#180034,#180035,#180036,#180037, #180038,#180039)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.630435385932728, 1.),(-0.0650848797608649,0.,0.00080697375792461),.UNSPECIFIED.); #84946=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180101,#180102),(#180103,#180104), (#180105,#180106)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84947=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180116,#180117),(#180118,#180119), (#180120,#180121)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84948=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180167,#180168),(#180169,#180170), (#180171,#180172)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84949=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180182,#180183),(#180184,#180185), (#180186,#180187)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84950=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180234,#180235),(#180236,#180237), (#180238,#180239)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84951=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180249,#180250),(#180251,#180252), (#180253,#180254)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84952=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180300,#180301),(#180302,#180303), (#180304,#180305)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84953=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180315,#180316),(#180317,#180318), (#180319,#180320)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84954=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180367,#180368),(#180369,#180370), (#180371,#180372)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84955=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180382,#180383),(#180384,#180385), (#180386,#180387)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84956=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180433,#180434),(#180435,#180436), (#180437,#180438)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84957=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180448,#180449),(#180450,#180451), (#180452,#180453)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84958=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180500,#180501),(#180502,#180503), (#180504,#180505)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84959=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180515,#180516),(#180517,#180518), (#180519,#180520)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84960=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180566,#180567),(#180568,#180569), (#180570,#180571)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84961=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#180581,#180582),(#180583,#180584), (#180585,#180586)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.00634999999999986), .UNSPECIFIED.); #84962=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181476,#181477),(#181478,#181479), (#181480,#181481),(#181482,#181483),(#181484,#181485)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84963=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181502,#181503),(#181504,#181505), (#181506,#181507),(#181508,#181509)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #84964=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181557,#181558),(#181559,#181560), (#181561,#181562)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #84965=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181572,#181573),(#181574,#181575), (#181576,#181577),(#181578,#181579),(#181580,#181581)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #84966=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181613,#181614),(#181615,#181616), (#181617,#181618)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #84967=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181628,#181629),(#181630,#181631), (#181632,#181633)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #84968=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181643,#181644),(#181645,#181646), (#181647,#181648),(#181649,#181650)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #84969=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181662,#181663),(#181664,#181665), (#181666,#181667),(#181668,#181669),(#181670,#181671)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #84970=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181695,#181696),(#181697,#181698), (#181699,#181700)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84971=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181713,#181714),(#181715,#181716), (#181717,#181718),(#181719,#181720)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #84972=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181732,#181733),(#181734,#181735), (#181736,#181737),(#181738,#181739),(#181740,#181741)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84973=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181770,#181771),(#181772,#181773), (#181774,#181775)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84974=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181788,#181789),(#181790,#181791), (#181792,#181793),(#181794,#181795),(#181796,#181797)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84975=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181811,#181812),(#181813,#181814), (#181815,#181816),(#181817,#181818),(#181819,#181820)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84976=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181858,#181859),(#181860,#181861), (#181862,#181863),(#181864,#181865),(#181866,#181867),(#181868,#181869)), .UNSPECIFIED.,.F.,.F.,.F.,(3,1,1,1,3),(2,2),(0.,1.,2.,3.,4.),(0.,0.0254000000000001), .UNSPECIFIED.); #84977=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181885,#181886),(#181887,#181888), (#181889,#181890),(#181891,#181892)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #84978=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181904,#181905),(#181906,#181907), (#181908,#181909),(#181910,#181911)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #84979=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#181923,#181924),(#181925,#181926), (#181927,#181928),(#181929,#181930),(#181931,#181932)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #84980=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182111,#182112),(#182113,#182114), (#182115,#182116)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84981=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182126,#182127),(#182128,#182129), (#182130,#182131)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84982=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182199,#182200),(#182201,#182202), (#182203,#182204),(#182205,#182206),(#182207,#182208)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(-3.,-2.,-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84983=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182225,#182226),(#182227,#182228), (#182229,#182230),(#182231,#182232)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #84984=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182280,#182281),(#182282,#182283), (#182284,#182285)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #84985=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182295,#182296),(#182297,#182298), (#182299,#182300),(#182301,#182302),(#182303,#182304)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #84986=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182336,#182337),(#182338,#182339), (#182340,#182341)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #84987=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182351,#182352),(#182353,#182354), (#182355,#182356)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #84988=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182366,#182367),(#182368,#182369), (#182370,#182371),(#182372,#182373)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #84989=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182385,#182386),(#182387,#182388), (#182389,#182390),(#182391,#182392),(#182393,#182394)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #84990=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182418,#182419),(#182420,#182421), (#182422,#182423),(#182424,#182425)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #84991=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182440,#182441),(#182442,#182443), (#182444,#182445),(#182446,#182447)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #84992=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182459,#182460),(#182461,#182462), (#182463,#182464),(#182465,#182466)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #84993=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182478,#182479),(#182480,#182481), (#182482,#182483)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #84994=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182517,#182518),(#182519,#182520), (#182521,#182522),(#182523,#182524),(#182525,#182526),(#182527,#182528), (#182529,#182530)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,1,1,1,3),(2,2),(0.,1., 2.,3.,4.,5.),(0.,0.0254000000000001),.UNSPECIFIED.); #84995=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182548,#182549),(#182550,#182551), (#182552,#182553),(#182554,#182555)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #84996=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182567,#182568),(#182569,#182570), (#182571,#182572)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #84997=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182582,#182583),(#182584,#182585), (#182586,#182587)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #84998=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182607,#182608),(#182609,#182610), (#182611,#182612),(#182613,#182614)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #84999=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182629,#182630),(#182631,#182632), (#182633,#182634),(#182635,#182636)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #85000=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182648,#182649),(#182650,#182651), (#182652,#182653)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #85001=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182699,#182700),(#182701,#182702), (#182703,#182704),(#182705,#182706)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #85002=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182718,#182719),(#182720,#182721), (#182722,#182723),(#182724,#182725),(#182726,#182727)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #85003=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182741,#182742),(#182743,#182744), (#182745,#182746)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #85004=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182775,#182776),(#182777,#182778), (#182779,#182780)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #85005=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182790,#182791),(#182792,#182793), (#182794,#182795)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #85006=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182973,#182974),(#182975,#182976), (#182977,#182978),(#182979,#182980)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #85007=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#182995,#182996),(#182997,#182998), (#182999,#183000),(#183001,#183002)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #85008=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183014,#183015),(#183016,#183017), (#183018,#183019)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #85009=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183065,#183066),(#183067,#183068), (#183069,#183070),(#183071,#183072)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #85010=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183084,#183085),(#183086,#183087), (#183088,#183089),(#183090,#183091),(#183092,#183093)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #85011=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183107,#183108),(#183109,#183110), (#183111,#183112)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #85012=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183205,#183206),(#183207,#183208), (#183209,#183210),(#183211,#183212)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #85013=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183227,#183228),(#183229,#183230), (#183231,#183232),(#183233,#183234)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #85014=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183246,#183247),(#183248,#183249), (#183250,#183251),(#183252,#183253)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(-2.,-1.,0.),(0.,0.0254000000000001),.UNSPECIFIED.); #85015=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183265,#183266),(#183267,#183268), (#183269,#183270)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,0.0254000000000001), .UNSPECIFIED.); #85016=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183304,#183305),(#183306,#183307), (#183308,#183309),(#183310,#183311),(#183312,#183313),(#183314,#183315), (#183316,#183317)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,1,1,1,3),(2,2),(0.,1., 2.,3.,4.,5.),(0.,0.0254000000000001),.UNSPECIFIED.); #85017=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183335,#183336),(#183337,#183338), (#183339,#183340),(#183341,#183342)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #85018=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183354,#183355),(#183356,#183357), (#183358,#183359)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #85019=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183369,#183370),(#183371,#183372), (#183373,#183374)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #85020=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183434,#183435),(#183436,#183437), (#183438,#183439),(#183440,#183441)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #85021=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183453,#183454),(#183455,#183456), (#183457,#183458),(#183459,#183460),(#183461,#183462)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #85022=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183476,#183477),(#183478,#183479), (#183480,#183481)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.,1.),(0.,0.0254000000000001), .UNSPECIFIED.); #85023=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183491,#183492),(#183493,#183494), (#183495,#183496),(#183497,#183498),(#183499,#183500)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #85024=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183514,#183515),(#183516,#183517), (#183518,#183519),(#183520,#183521),(#183522,#183523)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #85025=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183543,#183544),(#183545,#183546), (#183547,#183548),(#183549,#183550),(#183551,#183552)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #85026=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183566,#183567),(#183568,#183569), (#183570,#183571),(#183572,#183573),(#183574,#183575)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #85027=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183589,#183590),(#183591,#183592), (#183593,#183594),(#183595,#183596),(#183597,#183598)),.UNSPECIFIED.,.F., .F.,.F.,(3,1,1,3),(2,2),(0.,1.,2.,3.),(0.,0.0254000000000001), .UNSPECIFIED.); #85028=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#183612,#183613),(#183614,#183615), (#183616,#183617),(#183618,#183619)),.UNSPECIFIED.,.F.,.F.,.F.,(3,1,3), (2,2),(0.,1.,2.),(0.,0.0254000000000001),.UNSPECIFIED.); #85029=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#183799,#183800,#183801,#183802, #183803,#183804),(#183805,#183806,#183807,#183808,#183809,#183810),(#183811, #183812,#183813,#183814,#183815,#183816),(#183817,#183818,#183819,#183820, #183821,#183822)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(-1.3878599404028E-15, 0.072878727213022),(-0.536003268235257,-0.44748360359719,-0.388470493838479, -0.329457384079767),.UNSPECIFIED.); #85030=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#183857,#183858,#183859,#183860, #183861),(#183862,#183863,#183864,#183865,#183866),(#183867,#183868,#183869, #183870,#183871),(#183872,#183873,#183874,#183875,#183876)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(-6.09545337526896E-16,0.072878727213022), (-0.169030643677371,-0.0965889392442119,7.11376913341398E-17), .UNSPECIFIED.); #85031=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#183898,#183899,#183900,#183901, #183902,#183903),(#183904,#183905,#183906,#183907,#183908,#183909),(#183910, #183911,#183912,#183913,#183914,#183915),(#183916,#183917,#183918,#183919, #183920,#183921)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,4),(0.,0.0728787272130219), (-0.558572223818063,-0.470052559179996,-0.411039449421285,-0.352026339662574), .UNSPECIFIED.); #85032=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#183989,#183990,#183991,#183992, #183993),(#183994,#183995,#183996,#183997,#183998),(#183999,#184000,#184001, #184002,#184003),(#184004,#184005,#184006,#184007,#184008)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(0.,0.0728787272130219),(-0.888029607897831, -0.791440668653619,-0.71899896422046),.UNSPECIFIED.); #85033=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#184291,#184292,#184293,#184294, #184295,#184296,#184297,#184298,#184299,#184300,#184301,#184302,#184303, #184304,#184305,#184306,#184307,#184308,#184309,#184310,#184311,#184312, #184313,#184314,#184315,#184316,#184317,#184318,#184319,#184320,#184321, #184322,#184323,#184324,#184325,#184326,#184327,#184328,#184329,#184330, #184331,#184332,#184333),(#184334,#184335,#184336,#184337,#184338,#184339, #184340,#184341,#184342,#184343,#184344,#184345,#184346,#184347,#184348, #184349,#184350,#184351,#184352,#184353,#184354,#184355,#184356,#184357, #184358,#184359,#184360,#184361,#184362,#184363,#184364,#184365,#184366, #184367,#184368,#184369,#184370,#184371,#184372,#184373,#184374,#184375, #184376),(#184377,#184378,#184379,#184380,#184381,#184382,#184383,#184384, #184385,#184386,#184387,#184388,#184389,#184390,#184391,#184392,#184393, #184394,#184395,#184396,#184397,#184398,#184399,#184400,#184401,#184402, #184403,#184404,#184405,#184406,#184407,#184408,#184409,#184410,#184411, #184412,#184413,#184414,#184415,#184416,#184417,#184418,#184419),(#184420, #184421,#184422,#184423,#184424,#184425,#184426,#184427,#184428,#184429, #184430,#184431,#184432,#184433,#184434,#184435,#184436,#184437,#184438, #184439,#184440,#184441,#184442,#184443,#184444,#184445,#184446,#184447, #184448,#184449,#184450,#184451,#184452,#184453,#184454,#184455,#184456, #184457,#184458,#184459,#184460,#184461,#184462),(#184463,#184464,#184465, #184466,#184467,#184468,#184469,#184470,#184471,#184472,#184473,#184474, #184475,#184476,#184477,#184478,#184479,#184480,#184481,#184482,#184483, #184484,#184485,#184486,#184487,#184488,#184489,#184490,#184491,#184492, #184493,#184494,#184495,#184496,#184497,#184498,#184499,#184500,#184501, #184502,#184503,#184504,#184505),(#184506,#184507,#184508,#184509,#184510, #184511,#184512,#184513,#184514,#184515,#184516,#184517,#184518,#184519, #184520,#184521,#184522,#184523,#184524,#184525,#184526,#184527,#184528, #184529,#184530,#184531,#184532,#184533,#184534,#184535,#184536,#184537, #184538,#184539,#184540,#184541,#184542,#184543,#184544,#184545,#184546, #184547,#184548),(#184549,#184550,#184551,#184552,#184553,#184554,#184555, #184556,#184557,#184558,#184559,#184560,#184561,#184562,#184563,#184564, #184565,#184566,#184567,#184568,#184569,#184570,#184571,#184572,#184573, #184574,#184575,#184576,#184577,#184578,#184579,#184580,#184581,#184582, #184583,#184584,#184585,#184586,#184587,#184588,#184589,#184590,#184591), (#184592,#184593,#184594,#184595,#184596,#184597,#184598,#184599,#184600, #184601,#184602,#184603,#184604,#184605,#184606,#184607,#184608,#184609, #184610,#184611,#184612,#184613,#184614,#184615,#184616,#184617,#184618, #184619,#184620,#184621,#184622,#184623,#184624,#184625,#184626,#184627, #184628,#184629,#184630,#184631,#184632,#184633,#184634),(#184635,#184636, #184637,#184638,#184639,#184640,#184641,#184642,#184643,#184644,#184645, #184646,#184647,#184648,#184649,#184650,#184651,#184652,#184653,#184654, #184655,#184656,#184657,#184658,#184659,#184660,#184661,#184662,#184663, #184664,#184665,#184666,#184667,#184668,#184669,#184670,#184671,#184672, #184673,#184674,#184675,#184676,#184677),(#184678,#184679,#184680,#184681, #184682,#184683,#184684,#184685,#184686,#184687,#184688,#184689,#184690, #184691,#184692,#184693,#184694,#184695,#184696,#184697,#184698,#184699, #184700,#184701,#184702,#184703,#184704,#184705,#184706,#184707,#184708, #184709,#184710,#184711,#184712,#184713,#184714,#184715,#184716,#184717, #184718,#184719,#184720)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,1,1,1,4),(4, 1,1,1,1,1,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,1,1, 1,4),(-3.14159265358979,-2.24399475256414,-1.34639685153848,-0.448798950512828, 0.448798950512828,1.34639685153848,2.24399475256414,3.14159265358979),(0., 0.523598775598299,1.0471975511966,1.5707963267949,2.0943951023932,2.61799387799149, 3.14159265358979,3.79609112308767,4.45058959258554,5.10508806208341,5.75958653158129, 6.41408500107916,7.06858347057704,7.72308194007491,8.37758040957278,9.03207887907066, 9.68657734856853,10.3410758180664,10.6683250528153,10.9955742875643,11.4319066005629, 11.8682389135614,12.30457122656,12.9590696960579,13.6135681655558,14.2680666350536, 14.9225651045515,15.5770635740494,16.2315620435473,16.8860605130451,17.540558982543, 18.1950574520409,18.8495559215388,19.3731546971371,19.8967534727354,20.4203522483337, 20.943951023932,21.4675497995303,21.9911485751286),.UNSPECIFIED.); #85034=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#184762,#184763,#184764,#184765), (#184766,#184767,#184768,#184769),(#184770,#184771,#184772,#184773),(#184774, #184775,#184776,#184777),(#184778,#184779,#184780,#184781)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0341852065192621), .UNSPECIFIED.); #85035=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#184802,#184803,#184804,#184805), (#184806,#184807,#184808,#184809),(#184810,#184811,#184812,#184813),(#184814, #184815,#184816,#184817),(#184818,#184819,#184820,#184821)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.91216493369636E-17, 0.0341852065192621),.UNSPECIFIED.); #85036=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#184839,#184840,#184841,#184842), (#184843,#184844,#184845,#184846),(#184847,#184848,#184849,#184850),(#184851, #184852,#184853,#184854),(#184855,#184856,#184857,#184858)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.034185206519262), .UNSPECIFIED.); #85037=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#184876,#184877,#184878,#184879), (#184880,#184881,#184882,#184883),(#184884,#184885,#184886,#184887),(#184888, #184889,#184890,#184891),(#184892,#184893,#184894,#184895)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0341852065192623), .UNSPECIFIED.); #85038=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#184922,#184923,#184924,#184925), (#184926,#184927,#184928,#184929),(#184930,#184931,#184932,#184933),(#184934, #184935,#184936,#184937)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0505641708893651,0.79357228387751),.UNSPECIFIED.); #85039=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#184954,#184955,#184956,#184957), (#184958,#184959,#184960,#184961),(#184962,#184963,#184964,#184965),(#184966, #184967,#184968,#184969)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0505541704620743,0.793562283450219),.UNSPECIFIED.); #85040=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#184988,#184989,#184990,#184991), (#184992,#184993,#184994,#184995),(#184996,#184997,#184998,#184999),(#185000, #185001,#185002,#185003),(#185004,#185005,#185006,#185007)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0344070829014155), .UNSPECIFIED.); #85041=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185027,#185028,#185029,#185030), (#185031,#185032,#185033,#185034),(#185035,#185036,#185037,#185038),(#185039, #185040,#185041,#185042),(#185043,#185044,#185045,#185046)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0344070829014156), .UNSPECIFIED.); #85042=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185057,#185058,#185059,#185060), (#185061,#185062,#185063,#185064),(#185065,#185066,#185067,#185068),(#185069, #185070,#185071,#185072),(#185073,#185074,#185075,#185076)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0344070829014155), .UNSPECIFIED.); #85043=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185087,#185088,#185089,#185090), (#185091,#185092,#185093,#185094),(#185095,#185096,#185097,#185098),(#185099, #185100,#185101,#185102),(#185103,#185104,#185105,#185106)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0344070829014156), .UNSPECIFIED.); #85044=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185121,#185122,#185123,#185124), (#185125,#185126,#185127,#185128),(#185129,#185130,#185131,#185132),(#185133, #185134,#185135,#185136)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.050564170889365,0.79357228387751),.UNSPECIFIED.); #85045=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185146,#185147,#185148,#185149), (#185150,#185151,#185152,#185153),(#185154,#185155,#185156,#185157),(#185158, #185159,#185160,#185161)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.050564170889365,0.79357228387751),.UNSPECIFIED.); #85046=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185220,#185221,#185222,#185223, #185224,#185225),(#185226,#185227,#185228,#185229,#185230,#185231),(#185232, #185233,#185234,#185235,#185236,#185237),(#185238,#185239,#185240,#185241, #185242,#185243)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.00887515789645156, -0.00847310706347302,0.),.UNSPECIFIED.); #85047=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185260,#185261,#185262,#185263, #185264,#185265,#185266,#185267),(#185268,#185269,#185270,#185271,#185272, #185273,#185274,#185275),(#185276,#185277,#185278,#185279,#185280,#185281, #185282,#185283),(#185284,#185285,#185286,#185287,#185288,#185289,#185290, #185291)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,4),(0.,1.),(0.,7.21038448468979E-5, 0.000144207689693796,0.000252363456964143,0.00036051922423449,0.000504726913928285), .UNSPECIFIED.); #85048=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185311,#185312,#185313,#185314, #185315,#185316,#185317,#185318,#185319,#185320,#185321,#185322,#185323, #185324,#185325,#185326,#185327,#185328),(#185329,#185330,#185331,#185332, #185333,#185334,#185335,#185336,#185337,#185338,#185339,#185340,#185341, #185342,#185343,#185344,#185345,#185346),(#185347,#185348,#185349,#185350, #185351,#185352,#185353,#185354,#185355,#185356,#185357,#185358,#185359, #185360,#185361,#185362,#185363,#185364),(#185365,#185366,#185367,#185368, #185369,#185370,#185371,#185372,#185373,#185374,#185375,#185376,#185377, #185378,#185379,#185380,#185381,#185382),(#185383,#185384,#185385,#185386, #185387,#185388,#185389,#185390,#185391,#185392,#185393,#185394,#185395, #185396,#185397,#185398,#185399,#185400)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.5,1.),(0.,0.000333014346336858, 0.000666028692673716,0.00133205738534743,0.00266411477069486,0.00399617215604229, 0.00532822954138972,0.00799234431208459,0.0106564590827794,0.0159846886241692, 0.0213129181655589,0.0266411477069486,0.0319693772483383,0.0426258363311178, 0.0639387544966767,0.0745952135794561),.UNSPECIFIED.); #85049=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185440,#185441,#185442,#185443), (#185444,#185445,#185446,#185447),(#185448,#185449,#185450,#185451),(#185452, #185453,#185454,#185455),(#185456,#185457,#185458,#185459)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0260304081078809), .UNSPECIFIED.); #85050=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185477,#185478,#185479,#185480), (#185481,#185482,#185483,#185484),(#185485,#185486,#185487,#185488),(#185489, #185490,#185491,#185492),(#185493,#185494,#185495,#185496)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0260304081085115), .UNSPECIFIED.); #85051=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185508,#185509,#185510,#185511, #185512,#185513,#185514,#185515,#185516,#185517,#185518,#185519,#185520, #185521,#185522,#185523,#185524,#185525),(#185526,#185527,#185528,#185529, #185530,#185531,#185532,#185533,#185534,#185535,#185536,#185537,#185538, #185539,#185540,#185541,#185542,#185543),(#185544,#185545,#185546,#185547, #185548,#185549,#185550,#185551,#185552,#185553,#185554,#185555,#185556, #185557,#185558,#185559,#185560,#185561),(#185562,#185563,#185564,#185565, #185566,#185567,#185568,#185569,#185570,#185571,#185572,#185573,#185574, #185575,#185576,#185577,#185578,#185579),(#185580,#185581,#185582,#185583, #185584,#185585,#185586,#185587,#185588,#185589,#185590,#185591,#185592, #185593,#185594,#185595,#185596,#185597)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.5,1.),(0.,0.000666019694290764, 0.00133203938858153,0.00199805908287229,0.00266407877716306,0.00399611816574459, 0.00532815755432611,0.00799223633148917,0.0106563151086522,0.0159844726629783, 0.0213126302173045,0.0266407877716306,0.0319689453259567,0.0532815755432611, 0.0639378906519134,0.0745942057605656),.UNSPECIFIED.); #85052=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185637,#185638,#185639,#185640, #185641,#185642,#185643,#185644),(#185645,#185646,#185647,#185648,#185649, #185650,#185651,#185652),(#185653,#185654,#185655,#185656,#185657,#185658, #185659,#185660),(#185661,#185662,#185663,#185664,#185665,#185666,#185667, #185668)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,4),(0.,1.),(0.,7.206157461351E-5, 0.000108092361920265,0.000252215511147285,0.00036030787306755,0.00050443102229457), .UNSPECIFIED.); #85053=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185688,#185689,#185690,#185691, #185692,#185693),(#185694,#185695,#185696,#185697,#185698,#185699),(#185700, #185701,#185702,#185703,#185704,#185705),(#185706,#185707,#185708,#185709, #185710,#185711)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000402050832962517, 0.,0.00847310706348996),.UNSPECIFIED.); #85054=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185729,#185730,#185731,#185732), (#185733,#185734,#185735,#185736),(#185737,#185738,#185739,#185740),(#185741, #185742,#185743,#185744)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.0842304449915981), (2.03496010696447E-17,0.0190798334622969),.UNSPECIFIED.); #85055=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185751,#185752,#185753,#185754, #185755),(#185756,#185757,#185758,#185759,#185760),(#185761,#185762,#185763, #185764,#185765),(#185766,#185767,#185768,#185769,#185770),(#185771,#185772, #185773,#185774,#185775)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0354741409684497,0.0709482819368994),.UNSPECIFIED.); #85056=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185829,#185830,#185831,#185832, #185833),(#185834,#185835,#185836,#185837,#185838),(#185839,#185840,#185841, #185842,#185843),(#185844,#185845,#185846,#185847,#185848),(#185849,#185850, #185851,#185852,#185853)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(-0.00866522150059834,0.0177632788006069,0.053001279202214), .UNSPECIFIED.); #85057=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185863,#185864,#185865,#185866), (#185867,#185868,#185869,#185870),(#185871,#185872,#185873,#185874),(#185875, #185876,#185877,#185878)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,0.0842304449916066), (-6.59922638053089E-16,0.0190798334622564),.UNSPECIFIED.); #85058=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185887,#185888,#185889,#185890, #185891,#185892),(#185893,#185894,#185895,#185896,#185897,#185898),(#185899, #185900,#185901,#185902,#185903,#185904),(#185905,#185906,#185907,#185908, #185909,#185910),(#185911,#185912,#185913,#185914,#185915,#185916)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.571428571428571,1.),(0., 0.0746290327339193,0.0749166461116395),.UNSPECIFIED.); #85059=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185933,#185934,#185935,#185936), (#185937,#185938,#185939,#185940),(#185941,#185942,#185943,#185944),(#185945, #185946,#185947,#185948),(#185949,#185950,#185951,#185952)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0149557931655802), .UNSPECIFIED.); #85060=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#185964,#185965,#185966,#185967), (#185968,#185969,#185970,#185971),(#185972,#185973,#185974,#185975),(#185976, #185977,#185978,#185979),(#185980,#185981,#185982,#185983)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.00631902734716256), .UNSPECIFIED.); #85061=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186000,#186001,#186002,#186003), (#186004,#186005,#186006,#186007),(#186008,#186009,#186010,#186011),(#186012, #186013,#186014,#186015),(#186016,#186017,#186018,#186019)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0106580857665534), .UNSPECIFIED.); #85062=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186026,#186027,#186028,#186029), (#186030,#186031,#186032,#186033),(#186034,#186035,#186036,#186037),(#186038, #186039,#186040,#186041),(#186042,#186043,#186044,#186045)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.00631902734873818), .UNSPECIFIED.); #85063=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186057,#186058,#186059,#186060, #186061,#186062),(#186063,#186064,#186065,#186066,#186067,#186068),(#186069, #186070,#186071,#186072,#186073,#186074),(#186075,#186076,#186077,#186078, #186079,#186080)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.00028761337773116, 0.,0.0746290327338815),.UNSPECIFIED.); #85064=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186097,#186098,#186099,#186100), (#186101,#186102,#186103,#186104),(#186105,#186106,#186107,#186108),(#186109, #186110,#186111,#186112),(#186113,#186114,#186115,#186116)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(4.89861018083662E-17, 0.014955743252677),.UNSPECIFIED.); #85065=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186125,#186126,#186127,#186128), (#186129,#186130,#186131,#186132),(#186133,#186134,#186135,#186136),(#186137, #186138,#186139,#186140),(#186141,#186142,#186143,#186144)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0106580857368315), .UNSPECIFIED.); #85066=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186149,#186150,#186151,#186152), (#186153,#186154,#186155,#186156),(#186157,#186158,#186159,#186160),(#186161, #186162,#186163,#186164),(#186165,#186166,#186167,#186168)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.187917744278455,0.535952996730546, 1.),(0.320100583862039,0.37006178097391),.UNSPECIFIED.); #85067=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186180,#186181,#186182,#186183, #186184),(#186185,#186186,#186187,#186188,#186189),(#186190,#186191,#186192, #186193,#186194),(#186195,#186196,#186197,#186198,#186199),(#186200,#186201, #186202,#186203,#186204)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0505294727656823,0.117902103119925),.UNSPECIFIED.); #85068=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186226,#186227,#186228,#186229, #186230),(#186231,#186232,#186233,#186234,#186235),(#186236,#186237,#186238, #186239,#186240),(#186241,#186242,#186243,#186244,#186245),(#186246,#186247, #186248,#186249,#186250)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0673726693732212,0.117902171403137),.UNSPECIFIED.); #85069=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186260,#186261,#186262,#186263), (#186264,#186265,#186266,#186267),(#186268,#186269,#186270,#186271),(#186272, #186273,#186274,#186275),(#186276,#186277,#186278,#186279)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.348035252452377,0.812082255722214), (0.320100583862039,0.370061780973919),.UNSPECIFIED.); #85070=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186293,#186294,#186295,#186296, #186297,#186298),(#186299,#186300,#186301,#186302,#186303,#186304),(#186305, #186306,#186307,#186308,#186309,#186310),(#186311,#186312,#186313,#186314, #186315,#186316),(#186317,#186318,#186319,#186320,#186321,#186322)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,2,4),(0.,0.571428571428571,1.),(0., 0.0746290327338889,0.0749166461116136),.UNSPECIFIED.); #85071=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186339,#186340,#186341,#186342), (#186343,#186344,#186345,#186346),(#186347,#186348,#186349,#186350),(#186351, #186352,#186353,#186354),(#186355,#186356,#186357,#186358)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0149557931656168), .UNSPECIFIED.); #85072=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186370,#186371,#186372,#186373), (#186374,#186375,#186376,#186377),(#186378,#186379,#186380,#186381),(#186382, #186383,#186384,#186385),(#186386,#186387,#186388,#186389)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.00631902734715331), .UNSPECIFIED.); #85073=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186406,#186407,#186408,#186409), (#186410,#186411,#186412,#186413),(#186414,#186415,#186416,#186417),(#186418, #186419,#186420,#186421),(#186422,#186423,#186424,#186425)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0106580857664147), .UNSPECIFIED.); #85074=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186432,#186433,#186434,#186435), (#186436,#186437,#186438,#186439),(#186440,#186441,#186442,#186443),(#186444, #186445,#186446,#186447),(#186448,#186449,#186450,#186451)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.00631902734884126), .UNSPECIFIED.); #85075=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186463,#186464,#186465,#186466, #186467,#186468),(#186469,#186470,#186471,#186472,#186473,#186474),(#186475, #186476,#186477,#186478,#186479,#186480),(#186481,#186482,#186483,#186484, #186485,#186486)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,4),(0.,1.),(-0.000287613377733261, 0.,0.0746290327339076),.UNSPECIFIED.); #85076=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186503,#186504,#186505,#186506), (#186507,#186508,#186509,#186510),(#186511,#186512,#186513,#186514),(#186515, #186516,#186517,#186518),(#186519,#186520,#186521,#186522)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0149557432525458), .UNSPECIFIED.); #85077=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186531,#186532,#186533,#186534), (#186535,#186536,#186537,#186538),(#186539,#186540,#186541,#186542),(#186543, #186544,#186545,#186546),(#186547,#186548,#186549,#186550)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0106580857680978), .UNSPECIFIED.); #85078=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186555,#186556,#186557,#186558), (#186559,#186560,#186561,#186562),(#186563,#186564,#186565,#186566),(#186567, #186568,#186569,#186570),(#186571,#186572,#186573,#186574)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.187917744278421,0.535952996730526, 1.),(0.320100583975124,0.370061781086996),.UNSPECIFIED.); #85079=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186586,#186587,#186588,#186589, #186590),(#186591,#186592,#186593,#186594,#186595),(#186596,#186597,#186598, #186599,#186600),(#186601,#186602,#186603,#186604,#186605),(#186606,#186607, #186608,#186609,#186610)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0505295020299184,0.117902171403143),.UNSPECIFIED.); #85080=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186633,#186634,#186635,#186636, #186637,#186638),(#186639,#186640,#186641,#186642,#186643,#186644),(#186645, #186646,#186647,#186648,#186649,#186650),(#186651,#186652,#186653,#186654, #186655,#186656),(#186657,#186658,#186659,#186660,#186661,#186662)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,4),(0.,0.5,1.),(0.,0.0505294727656823, 0.0842157879428038,0.117902103119925),.UNSPECIFIED.); #85081=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186673,#186674,#186675,#186676), (#186677,#186678,#186679,#186680),(#186681,#186682,#186683,#186684),(#186685, #186686,#186687,#186688),(#186689,#186690,#186691,#186692)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.348035252451919,0.812082255721145), (0.320100583975124,0.370061781086995),.UNSPECIFIED.); #85082=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186746,#186747,#186748,#186749), (#186750,#186751,#186752,#186753),(#186754,#186755,#186756,#186757),(#186758, #186759,#186760,#186761),(#186762,#186763,#186764,#186765)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0510251852912262, 1.01341975564086),.UNSPECIFIED.); #85083=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186784,#186785,#186786,#186787), (#186788,#186789,#186790,#186791),(#186792,#186793,#186794,#186795),(#186796, #186797,#186798,#186799),(#186800,#186801,#186802,#186803)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0511138289256528, 1.01350839927528),.UNSPECIFIED.); #85084=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186827,#186828,#186829,#186830), (#186831,#186832,#186833,#186834),(#186835,#186836,#186837,#186838),(#186839, #186840,#186841,#186842),(#186843,#186844,#186845,#186846)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 5.54159154638434E-5),.UNSPECIFIED.); #85085=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186861,#186862,#186863,#186864, #186865,#186866,#186867,#186868),(#186869,#186870,#186871,#186872,#186873, #186874,#186875,#186876),(#186877,#186878,#186879,#186880,#186881,#186882, #186883,#186884),(#186885,#186886,#186887,#186888,#186889,#186890,#186891, #186892),(#186893,#186894,#186895,#186896,#186897,#186898,#186899,#186900)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,2,4),(0.,0.571428571428571,1.), (-0.0110144487310797,-0.00660866923864779,-0.00440577949243186,0.,0.000140047165665835), .UNSPECIFIED.); #85086=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186918,#186919,#186920,#186921), (#186922,#186923,#186924,#186925),(#186926,#186927,#186928,#186929),(#186930, #186931,#186932,#186933),(#186934,#186935,#186936,#186937)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 5.54159154641202E-5),.UNSPECIFIED.); #85087=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#186952,#186953,#186954,#186955, #186956,#186957,#186958,#186959),(#186960,#186961,#186962,#186963,#186964, #186965,#186966,#186967),(#186968,#186969,#186970,#186971,#186972,#186973, #186974,#186975),(#186976,#186977,#186978,#186979,#186980,#186981,#186982, #186983),(#186984,#186985,#186986,#186987,#186988,#186989,#186990,#186991)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,2,4),(0.,0.571428571428571,1.), (0.,0.00440578382769382,0.00660867574154073,0.0110144595692346,0.0111544964497297), .UNSPECIFIED.); #85088=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187009,#187010,#187011,#187012), (#187013,#187014,#187015,#187016),(#187017,#187018,#187019,#187020),(#187021, #187022,#187023,#187024),(#187025,#187026,#187027,#187028)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.57301687898925E-16, 5.54169049480448E-5),.UNSPECIFIED.); #85089=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187043,#187044,#187045,#187046, #187047,#187048,#187049,#187050),(#187051,#187052,#187053,#187054,#187055, #187056,#187057,#187058),(#187059,#187060,#187061,#187062,#187063,#187064, #187065,#187066),(#187067,#187068,#187069,#187070,#187071,#187072,#187073, #187074),(#187075,#187076,#187077,#187078,#187079,#187080,#187081,#187082)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,2,4),(0.,0.5,1.),(-0.0128312335163501, -0.00769874010981008,-0.00513249340654005,0.,0.000178666877747356), .UNSPECIFIED.); #85090=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187100,#187101,#187102,#187103), (#187104,#187105,#187106,#187107),(#187108,#187109,#187110,#187111),(#187112, #187113,#187114,#187115),(#187116,#187117,#187118,#187119)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(3.37457902304384E-18, 5.54169049477129E-5),.UNSPECIFIED.); #85091=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187134,#187135,#187136,#187137, #187138,#187139,#187140,#187141,#187142),(#187143,#187144,#187145,#187146, #187147,#187148,#187149,#187150,#187151),(#187152,#187153,#187154,#187155, #187156,#187157,#187158,#187159,#187160),(#187161,#187162,#187163,#187164, #187165,#187166,#187167,#187168,#187169),(#187170,#187171,#187172,#187173, #187174,#187175,#187176,#187177,#187178)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,4),(4,1,1,1,2,4),(0.,0.5,1.),(5.17209620414936E-17,0.0025662467032701, 0.00769874010981018,0.0102649868130802,0.0128312335163503,0.0130099003940978), .UNSPECIFIED.); #85092=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187210,#187211,#187212,#187213), (#187214,#187215,#187216,#187217),(#187218,#187219,#187220,#187221),(#187222, #187223,#187224,#187225),(#187226,#187227,#187228,#187229)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.0686241473495457, 0.338163941610718),.UNSPECIFIED.); #85093=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187251,#187252,#187253,#187254), (#187255,#187256,#187257,#187258),(#187259,#187260,#187261,#187262),(#187263, #187264,#187265,#187266),(#187267,#187268,#187269,#187270)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.31911270571798), .UNSPECIFIED.); #85094=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187295,#187296,#187297,#187298), (#187299,#187300,#187301,#187302),(#187303,#187304,#187305,#187306),(#187307, #187308,#187309,#187310),(#187311,#187312,#187313,#187314)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 5.5415915463899E-5),.UNSPECIFIED.); #85095=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187329,#187330,#187331,#187332, #187333,#187334,#187335,#187336),(#187337,#187338,#187339,#187340,#187341, #187342,#187343,#187344),(#187345,#187346,#187347,#187348,#187349,#187350, #187351,#187352),(#187353,#187354,#187355,#187356,#187357,#187358,#187359, #187360),(#187361,#187362,#187363,#187364,#187365,#187366,#187367,#187368)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,2,4),(0.,0.571428571428571,1.), (-0.0110144604771682,-0.00660867628630094,-0.0044057841908673,0.,0.000140036018882969), .UNSPECIFIED.); #85096=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187386,#187387,#187388,#187389), (#187390,#187391,#187392,#187393),(#187394,#187395,#187396,#187397),(#187398, #187399,#187400,#187401),(#187402,#187403,#187404,#187405)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(3.44234247461746E-18, 5.54159154640214E-5),.UNSPECIFIED.); #85097=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187420,#187421,#187422,#187423, #187424,#187425,#187426,#187427),(#187428,#187429,#187430,#187431,#187432, #187433,#187434,#187435),(#187436,#187437,#187438,#187439,#187440,#187441, #187442,#187443),(#187444,#187445,#187446,#187447,#187448,#187449,#187450, #187451),(#187452,#187453,#187454,#187455,#187456,#187457,#187458,#187459)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,1,2,4),(0.,0.571428571428571,1.), (0.,0.00440577949243147,0.00660866923864721,0.0110144487310787,0.0111544958967452), .UNSPECIFIED.); #85098=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187477,#187478,#187479,#187480), (#187481,#187482,#187483,#187484),(#187485,#187486,#187487,#187488),(#187489, #187490,#187491,#187492),(#187493,#187494,#187495,#187496)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.68439280160874E-16, 5.54169049480089E-5),.UNSPECIFIED.); #85099=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187511,#187512,#187513,#187514, #187515,#187516,#187517,#187518,#187519),(#187520,#187521,#187522,#187523, #187524,#187525,#187526,#187527,#187528),(#187529,#187530,#187531,#187532, #187533,#187534,#187535,#187536,#187537),(#187538,#187539,#187540,#187541, #187542,#187543,#187544,#187545,#187546),(#187547,#187548,#187549,#187550, #187551,#187552,#187553,#187554,#187555)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,4),(4,1,1,1,2,4),(0.,0.5,1.),(-0.0128312335163509,-0.0102649868130807, -0.00513249340654036,-0.00256624670327018,0.,0.000178666877747478), .UNSPECIFIED.); #85100=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187575,#187576,#187577,#187578), (#187579,#187580,#187581,#187582),(#187583,#187584,#187585,#187586),(#187587, #187588,#187589,#187590),(#187591,#187592,#187593,#187594)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(3.37457902300999E-18, 5.54169049477127E-5),.UNSPECIFIED.); #85101=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187609,#187610,#187611,#187612, #187613,#187614,#187615,#187616,#187617),(#187618,#187619,#187620,#187621, #187622,#187623,#187624,#187625,#187626),(#187627,#187628,#187629,#187630, #187631,#187632,#187633,#187634,#187635),(#187636,#187637,#187638,#187639, #187640,#187641,#187642,#187643,#187644),(#187645,#187646,#187647,#187648, #187649,#187650,#187651,#187652,#187653)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,4),(4,1,1,1,2,4),(0.,0.5,1.),(0.,0.00256624670327018,0.00769874010981055, 0.0102649868130807,0.0128312335163509,0.0130099003940983),.UNSPECIFIED.); #85102=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187685,#187686,#187687,#187688), (#187689,#187690,#187691,#187692),(#187693,#187694,#187695,#187696),(#187697, #187698,#187699,#187700),(#187701,#187702,#187703,#187704)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.0687712270043174, 0.338311021265489),.UNSPECIFIED.); #85103=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187726,#187727,#187728,#187729), (#187730,#187731,#187732,#187733),(#187734,#187735,#187736,#187737),(#187738, #187739,#187740,#187741),(#187742,#187743,#187744,#187745)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0442855231246629, 0.363398228842643),.UNSPECIFIED.); #85104=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187772,#187773,#187774,#187775), (#187776,#187777,#187778,#187779),(#187780,#187781,#187782,#187783),(#187784, #187785,#187786,#187787),(#187788,#187789,#187790,#187791)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.0511136576714125, 0.86801820800737),.UNSPECIFIED.); #85105=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187813,#187814,#187815,#187816, #187817),(#187818,#187819,#187820,#187821,#187822),(#187823,#187824,#187825, #187826,#187827),(#187828,#187829,#187830,#187831,#187832),(#187833,#187834, #187835,#187836,#187837)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0245796903731028,0.0573526108705731),.UNSPECIFIED.); #85106=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187848,#187849,#187850,#187851, #187852),(#187853,#187854,#187855,#187856,#187857),(#187858,#187859,#187860, #187861,#187862),(#187863,#187864,#187865,#187866,#187867),(#187868,#187869, #187870,#187871,#187872)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0245796903850008,0.0573526108983352),.UNSPECIFIED.); #85107=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187878,#187879,#187880,#187881), (#187882,#187883,#187884,#187885),(#187886,#187887,#187888,#187889),(#187890, #187891,#187892,#187893),(#187894,#187895,#187896,#187897)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.0687712270043174, 0.33831102126549),.UNSPECIFIED.); #85108=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187913,#187914,#187915,#187916, #187917),(#187918,#187919,#187920,#187921,#187922),(#187923,#187924,#187925, #187926,#187927),(#187928,#187929,#187930,#187931,#187932),(#187933,#187934, #187935,#187936,#187937)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0245796903731002,0.0573526108705672),.UNSPECIFIED.); #85109=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187948,#187949,#187950,#187951, #187952),(#187953,#187954,#187955,#187956,#187957),(#187958,#187959,#187960, #187961,#187962),(#187963,#187964,#187965,#187966,#187967),(#187968,#187969, #187970,#187971,#187972)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0245796903849986,0.0573526108983301),.UNSPECIFIED.); #85110=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#187978,#187979,#187980,#187981), (#187982,#187983,#187984,#187985),(#187986,#187987,#187988,#187989),(#187990, #187991,#187992,#187993),(#187994,#187995,#187996,#187997)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.0687712271174027, 0.338311021378575),.UNSPECIFIED.); #85111=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188009,#188010,#188011,#188012), (#188013,#188014,#188015,#188016),(#188017,#188018,#188019,#188020),(#188021, #188022,#188023,#188024),(#188025,#188026,#188027,#188028)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.00816983308067967), .UNSPECIFIED.); #85112=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188043,#188044,#188045,#188046, #188047,#188048,#188049),(#188050,#188051,#188052,#188053,#188054,#188055, #188056),(#188057,#188058,#188059,#188060,#188061,#188062,#188063),(#188064, #188065,#188066,#188067,#188068,#188069,#188070),(#188071,#188072,#188073, #188074,#188075,#188076,#188077)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.0194318551576896,0.0388637103153792,0.0647728505256319, 0.0906819907358847),.UNSPECIFIED.); #85113=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188095,#188096,#188097,#188098), (#188099,#188100,#188101,#188102),(#188103,#188104,#188105,#188106),(#188107, #188108,#188109,#188110),(#188111,#188112,#188113,#188114)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0204702665421777),.UNSPECIFIED.); #85114=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188125,#188126,#188127,#188128, #188129),(#188130,#188131,#188132,#188133,#188134),(#188135,#188136,#188137, #188138,#188139),(#188140,#188141,#188142,#188143,#188144),(#188145,#188146, #188147,#188148,#188149)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(9.09242871883999E-13,0.0254636994729524,0.0594152987690098), .UNSPECIFIED.); #85115=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188158,#188159,#188160,#188161, #188162),(#188163,#188164,#188165,#188166,#188167),(#188168,#188169,#188170, #188171,#188172),(#188173,#188174,#188175,#188176,#188177),(#188178,#188179, #188180,#188181,#188182)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0254636542015716,0.0594151931370005),.UNSPECIFIED.); #85116=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188190,#188191,#188192,#188193), (#188194,#188195,#188196,#188197),(#188198,#188199,#188200,#188201),(#188202, #188203,#188204,#188205),(#188206,#188207,#188208,#188209)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.51337530663147E-16, 0.0204702659534856),.UNSPECIFIED.); #85117=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188221,#188222,#188223,#188224, #188225,#188226,#188227),(#188228,#188229,#188230,#188231,#188232,#188233, #188234),(#188235,#188236,#188237,#188238,#188239,#188240,#188241),(#188242, #188243,#188244,#188245,#188246,#188247,#188248),(#188249,#188250,#188251, #188252,#188253,#188254,#188255)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(1.38763879083087E-16,0.0194315897531044,0.0388631795062087, 0.064771965843681,0.0906807521811534),.UNSPECIFIED.); #85118=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188273,#188274,#188275,#188276), (#188277,#188278,#188279,#188280),(#188281,#188282,#188283,#188284),(#188285, #188286,#188287,#188288),(#188289,#188290,#188291,#188292)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.80394754847453E-14, 0.00816983308049704),.UNSPECIFIED.); #85119=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188310,#188311,#188312,#188313), (#188314,#188315,#188316,#188317),(#188318,#188319,#188320,#188321),(#188322, #188323,#188324,#188325),(#188326,#188327,#188328,#188329)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(7.32883212915944E-17, 0.00816983308067905),.UNSPECIFIED.); #85120=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188341,#188342,#188343,#188344, #188345,#188346,#188347),(#188348,#188349,#188350,#188351,#188352,#188353, #188354),(#188355,#188356,#188357,#188358,#188359,#188360,#188361),(#188362, #188363,#188364,#188365,#188366,#188367,#188368),(#188369,#188370,#188371, #188372,#188373,#188374,#188375)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.0194318552410752,0.0388637104821504,0.064772850803584, 0.0906819911250176),.UNSPECIFIED.); #85121=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188393,#188394,#188395,#188396), (#188397,#188398,#188399,#188400),(#188401,#188402,#188403,#188404),(#188405, #188406,#188407,#188408),(#188409,#188410,#188411,#188412)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0204702665421822),.UNSPECIFIED.); #85122=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188423,#188424,#188425,#188426, #188427),(#188428,#188429,#188430,#188431,#188432),(#188433,#188434,#188435, #188436,#188437),(#188438,#188439,#188440,#188441,#188442),(#188443,#188444, #188445,#188446,#188447)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(9.09142851770691E-13,0.0254636994729524,0.0594152987690101), .UNSPECIFIED.); #85123=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188456,#188457,#188458,#188459, #188460),(#188461,#188462,#188463,#188464,#188465),(#188466,#188467,#188468, #188469,#188470),(#188471,#188472,#188473,#188474,#188475),(#188476,#188477, #188478,#188479,#188480)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.025463654201591,0.0594151931370457),.UNSPECIFIED.); #85124=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188488,#188489,#188490,#188491), (#188492,#188493,#188494,#188495),(#188496,#188497,#188498,#188499),(#188500, #188501,#188502,#188503),(#188504,#188505,#188506,#188507)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.0204702659534899),.UNSPECIFIED.); #85125=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188519,#188520,#188521,#188522, #188523,#188524,#188525),(#188526,#188527,#188528,#188529,#188530,#188531, #188532),(#188533,#188534,#188535,#188536,#188537,#188538,#188539),(#188540, #188541,#188542,#188543,#188544,#188545,#188546),(#188547,#188548,#188549, #188550,#188551,#188552,#188553)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4, 1,1,1,4),(0.,0.5,1.),(0.,0.0194315897542188,0.0388631795084376,0.0647719658473959, 0.0906807521863543),.UNSPECIFIED.); #85126=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188571,#188572,#188573,#188574), (#188575,#188576,#188577,#188578),(#188579,#188580,#188581,#188582),(#188583, #188584,#188585,#188586),(#188587,#188588,#188589,#188590)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.81051729803016E-14, 0.00816983308049732),.UNSPECIFIED.); #85127=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188611,#188612,#188613,#188614), (#188615,#188616,#188617,#188618),(#188619,#188620,#188621,#188622),(#188623, #188624,#188625,#188626),(#188627,#188628,#188629,#188630)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(2.65087283219997E-16, 0.0454241117233226),.UNSPECIFIED.); #85128=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188632,#188633,#188634,#188635), (#188636,#188637,#188638,#188639),(#188640,#188641,#188642,#188643),(#188644, #188645,#188646,#188647),(#188648,#188649,#188650,#188651)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-1.67198811731232E-16, 0.0454238054908238),.UNSPECIFIED.); #85129=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188675,#188676,#188677,#188678), (#188679,#188680,#188681,#188682),(#188683,#188684,#188685,#188686),(#188687, #188688,#188689,#188690),(#188691,#188692,#188693,#188694)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(1.05249253417969E-16, 0.0454238054977934),.UNSPECIFIED.); #85130=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188699,#188700,#188701,#188702), (#188703,#188704,#188705,#188706),(#188707,#188708,#188709,#188710),(#188711, #188712,#188713,#188714),(#188715,#188716,#188717,#188718)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0444326025836071, 0.363545308301587),.UNSPECIFIED.); #85131=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188719,#188720,#188721,#188722), (#188723,#188724,#188725,#188726),(#188727,#188728,#188729,#188730),(#188731, #188732,#188733,#188734),(#188735,#188736,#188737,#188738)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.0442855231246632, 0.363398228842643),.UNSPECIFIED.); #85132=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188740,#188741,#188742,#188743), (#188744,#188745,#188746,#188747),(#188748,#188749,#188750,#188751),(#188752, #188753,#188754,#188755),(#188756,#188757,#188758,#188759)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(-2.74826808180165E-16, 0.0454241117147729),.UNSPECIFIED.); #85133=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188803,#188804,#188805,#188806), (#188807,#188808,#188809,#188810),(#188811,#188812,#188813,#188814),(#188815, #188816,#188817,#188818),(#188819,#188820,#188821,#188822)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0283171480495291), .UNSPECIFIED.); #85134=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188835,#188836,#188837,#188838), (#188839,#188840,#188841,#188842),(#188843,#188844,#188845,#188846),(#188847, #188848,#188849,#188850),(#188851,#188852,#188853,#188854)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0283171480495293), .UNSPECIFIED.); #85135=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188865,#188866,#188867,#188868), (#188869,#188870,#188871,#188872),(#188873,#188874,#188875,#188876),(#188877, #188878,#188879,#188880),(#188881,#188882,#188883,#188884)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(7.9289548742181E-35, 0.0283171480495292),.UNSPECIFIED.); #85136=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188895,#188896,#188897,#188898), (#188899,#188900,#188901,#188902),(#188903,#188904,#188905,#188906),(#188907, #188908,#188909,#188910),(#188911,#188912,#188913,#188914)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.,0.0283171480495291), .UNSPECIFIED.); #85137=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188935,#188936,#188937,#188938), (#188939,#188940,#188941,#188942),(#188943,#188944,#188945,#188946),(#188947, #188948,#188949,#188950)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0505904305122874,0.245468773765061),.UNSPECIFIED.); #85138=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188964,#188965,#188966,#188967), (#188968,#188969,#188970,#188971),(#188972,#188973,#188974,#188975),(#188976, #188977,#188978,#188979)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0505904305122873,0.245468773765061),.UNSPECIFIED.); #85139=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#188998,#188999,#189000,#189001, #189002),(#189003,#189004,#189005,#189006,#189007),(#189008,#189009,#189010, #189011,#189012),(#189013,#189014,#189015,#189016,#189017),(#189018,#189019, #189020,#189021,#189022)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0359137486735689,0.0718274973471378),.UNSPECIFIED.); #85140=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#189045,#189046,#189047,#189048, #189049),(#189050,#189051,#189052,#189053,#189054),(#189055,#189056,#189057, #189058,#189059),(#189060,#189061,#189062,#189063,#189064),(#189065,#189066, #189067,#189068,#189069)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0., 0.5,1.),(0.,0.0359137486735689,0.0718274973471377),.UNSPECIFIED.); #85141=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#189077,#189078,#189079,#189080), (#189081,#189082,#189083,#189084),(#189085,#189086,#189087,#189088),(#189089, #189090,#189091,#189092),(#189093,#189094,#189095,#189096)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0.196224123347774, 1.01312867368373),.UNSPECIFIED.); #85142=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#189129,#189130,#189131,#189132), (#189133,#189134,#189135,#189136),(#189137,#189138,#189139,#189140),(#189141, #189142,#189143,#189144)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0505804301002232,0.245458773352997),.UNSPECIFIED.); #85143=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#189164,#189165,#189166,#189167), (#189168,#189169,#189170,#189171),(#189172,#189173,#189174,#189175),(#189176, #189177,#189178,#189179)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0505904305122873,0.245468773765061),.UNSPECIFIED.); #85144=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#189185,#189186,#189187,#189188), (#189189,#189190,#189191,#189192),(#189193,#189194,#189195,#189196),(#189197, #189198,#189199,#189200),(#189201,#189202,#189203,#189204)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.867894015474794, 1.01352504266273),.UNSPECIFIED.); #85145=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#189209,#189210,#189211,#189212), (#189213,#189214,#189215,#189216),(#189217,#189218,#189219,#189220),(#189221, #189222,#189223,#189224),(#189225,#189226,#189227,#189228)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.5,1.),(0.867805370427013, 1.01343639761494),.UNSPECIFIED.); #85146=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#189964,#189965,#189966,#189967), (#189968,#189969,#189970,#189971),(#189972,#189973,#189974,#189975),(#189976, #189977,#189978,#189979)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85147=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190024,#190025,#190026,#190027), (#190028,#190029,#190030,#190031),(#190032,#190033,#190034,#190035),(#190036, #190037,#190038,#190039)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85148=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190047,#190048,#190049,#190050), (#190051,#190052,#190053,#190054),(#190055,#190056,#190057,#190058),(#190059, #190060,#190061,#190062)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85149=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190070,#190071,#190072,#190073), (#190074,#190075,#190076,#190077),(#190078,#190079,#190080,#190081),(#190082, #190083,#190084,#190085)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85150=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190102,#190103,#190104,#190105), (#190106,#190107,#190108,#190109),(#190110,#190111,#190112,#190113),(#190114, #190115,#190116,#190117)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85151=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190162,#190163,#190164,#190165), (#190166,#190167,#190168,#190169),(#190170,#190171,#190172,#190173),(#190174, #190175,#190176,#190177)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85152=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190185,#190186,#190187,#190188), (#190189,#190190,#190191,#190192),(#190193,#190194,#190195,#190196),(#190197, #190198,#190199,#190200)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85153=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190208,#190209,#190210,#190211), (#190212,#190213,#190214,#190215),(#190216,#190217,#190218,#190219),(#190220, #190221,#190222,#190223)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85154=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190240,#190241,#190242,#190243), (#190244,#190245,#190246,#190247),(#190248,#190249,#190250,#190251),(#190252, #190253,#190254,#190255)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85155=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190300,#190301,#190302,#190303), (#190304,#190305,#190306,#190307),(#190308,#190309,#190310,#190311),(#190312, #190313,#190314,#190315)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85156=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190323,#190324,#190325,#190326), (#190327,#190328,#190329,#190330),(#190331,#190332,#190333,#190334),(#190335, #190336,#190337,#190338)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85157=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190346,#190347,#190348,#190349), (#190350,#190351,#190352,#190353),(#190354,#190355,#190356,#190357),(#190358, #190359,#190360,#190361)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85158=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190378,#190379,#190380,#190381), (#190382,#190383,#190384,#190385),(#190386,#190387,#190388,#190389),(#190390, #190391,#190392,#190393)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85159=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190438,#190439,#190440,#190441), (#190442,#190443,#190444,#190445),(#190446,#190447,#190448,#190449),(#190450, #190451,#190452,#190453)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85160=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190461,#190462,#190463,#190464), (#190465,#190466,#190467,#190468),(#190469,#190470,#190471,#190472),(#190473, #190474,#190475,#190476)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85161=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190484,#190485,#190486,#190487), (#190488,#190489,#190490,#190491),(#190492,#190493,#190494,#190495),(#190496, #190497,#190498,#190499)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85162=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190516,#190517,#190518,#190519), (#190520,#190521,#190522,#190523),(#190524,#190525,#190526,#190527),(#190528, #190529,#190530,#190531)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85163=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190576,#190577,#190578,#190579), (#190580,#190581,#190582,#190583),(#190584,#190585,#190586,#190587),(#190588, #190589,#190590,#190591)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85164=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190599,#190600,#190601,#190602), (#190603,#190604,#190605,#190606),(#190607,#190608,#190609,#190610),(#190611, #190612,#190613,#190614)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85165=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190622,#190623,#190624,#190625), (#190626,#190627,#190628,#190629),(#190630,#190631,#190632,#190633),(#190634, #190635,#190636,#190637)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85166=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190743,#190744,#190745,#190746), (#190747,#190748,#190749,#190750),(#190751,#190752,#190753,#190754),(#190755, #190756,#190757,#190758)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85167=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190788,#190789,#190790,#190791), (#190792,#190793,#190794,#190795),(#190796,#190797,#190798,#190799),(#190800, #190801,#190802,#190803)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85168=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190808,#190809,#190810,#190811), (#190812,#190813,#190814,#190815),(#190816,#190817,#190818,#190819),(#190820, #190821,#190822,#190823)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85169=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190831,#190832,#190833,#190834), (#190835,#190836,#190837,#190838),(#190839,#190840,#190841,#190842),(#190843, #190844,#190845,#190846)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85170=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190870,#190871,#190872,#190873), (#190874,#190875,#190876,#190877),(#190878,#190879,#190880,#190881),(#190882, #190883,#190884,#190885)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85171=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190894,#190895,#190896,#190897), (#190898,#190899,#190900,#190901),(#190902,#190903,#190904,#190905),(#190906, #190907,#190908,#190909)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257123,1.),.UNSPECIFIED.); #85172=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190946,#190947,#190948,#190949), (#190950,#190951,#190952,#190953),(#190954,#190955,#190956,#190957),(#190958, #190959,#190960,#190961)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85173=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#190970,#190971,#190972,#190973), (#190974,#190975,#190976,#190977),(#190978,#190979,#190980,#190981),(#190982, #190983,#190984,#190985)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257118,1.),.UNSPECIFIED.); #85174=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191022,#191023,#191024,#191025), (#191026,#191027,#191028,#191029),(#191030,#191031,#191032,#191033),(#191034, #191035,#191036,#191037)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85175=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191046,#191047,#191048,#191049), (#191050,#191051,#191052,#191053),(#191054,#191055,#191056,#191057),(#191058, #191059,#191060,#191061)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257123,1.),.UNSPECIFIED.); #85176=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191098,#191099,#191100,#191101), (#191102,#191103,#191104,#191105),(#191106,#191107,#191108,#191109),(#191110, #191111,#191112,#191113)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85177=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191122,#191123,#191124,#191125), (#191126,#191127,#191128,#191129),(#191130,#191131,#191132,#191133),(#191134, #191135,#191136,#191137)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257123,1.),.UNSPECIFIED.); #85178=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191174,#191175,#191176,#191177), (#191178,#191179,#191180,#191181),(#191182,#191183,#191184,#191185),(#191186, #191187,#191188,#191189)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85179=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191198,#191199,#191200,#191201), (#191202,#191203,#191204,#191205),(#191206,#191207,#191208,#191209),(#191210, #191211,#191212,#191213)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.013275094625712,1.),.UNSPECIFIED.); #85180=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191250,#191251,#191252,#191253), (#191254,#191255,#191256,#191257),(#191258,#191259,#191260,#191261),(#191262, #191263,#191264,#191265)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85181=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191274,#191275,#191276,#191277), (#191278,#191279,#191280,#191281),(#191282,#191283,#191284,#191285),(#191286, #191287,#191288,#191289)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257124,1.),.UNSPECIFIED.); #85182=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191326,#191327,#191328,#191329), (#191330,#191331,#191332,#191333),(#191334,#191335,#191336,#191337),(#191338, #191339,#191340,#191341)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85183=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191350,#191351,#191352,#191353), (#191354,#191355,#191356,#191357),(#191358,#191359,#191360,#191361),(#191362, #191363,#191364,#191365)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257123,1.),.UNSPECIFIED.); #85184=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191402,#191403,#191404,#191405), (#191406,#191407,#191408,#191409),(#191410,#191411,#191412,#191413),(#191414, #191415,#191416,#191417)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85185=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191426,#191427,#191428,#191429), (#191430,#191431,#191432,#191433),(#191434,#191435,#191436,#191437),(#191438, #191439,#191440,#191441)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257123,1.),.UNSPECIFIED.); #85186=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191478,#191479,#191480,#191481), (#191482,#191483,#191484,#191485),(#191486,#191487,#191488,#191489),(#191490, #191491,#191492,#191493)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85187=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191502,#191503,#191504,#191505), (#191506,#191507,#191508,#191509),(#191510,#191511,#191512,#191513),(#191514, #191515,#191516,#191517)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257118,1.),.UNSPECIFIED.); #85188=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191554,#191555,#191556,#191557), (#191558,#191559,#191560,#191561),(#191562,#191563,#191564,#191565),(#191566, #191567,#191568,#191569)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85189=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191578,#191579,#191580,#191581), (#191582,#191583,#191584,#191585),(#191586,#191587,#191588,#191589),(#191590, #191591,#191592,#191593)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257121,1.),.UNSPECIFIED.); #85190=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191630,#191631,#191632,#191633), (#191634,#191635,#191636,#191637),(#191638,#191639,#191640,#191641),(#191642, #191643,#191644,#191645)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85191=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191654,#191655,#191656,#191657), (#191658,#191659,#191660,#191661),(#191662,#191663,#191664,#191665),(#191666, #191667,#191668,#191669)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257121,1.),.UNSPECIFIED.); #85192=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191728,#191729,#191730,#191731), (#191732,#191733,#191734,#191735),(#191736,#191737,#191738,#191739),(#191740, #191741,#191742,#191743)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #85193=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#191752,#191753,#191754,#191755), (#191756,#191757,#191758,#191759),(#191760,#191761,#191762,#191763),(#191764, #191765,#191766,#191767)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.0132750946257124,1.),.UNSPECIFIED.); #85194=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#191861,#191862),(#191863,#191864), (#191865,#191866)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85195=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#191876,#191877),(#191878,#191879), (#191880,#191881)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85196=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#191915,#191916),(#191917,#191918), (#191919,#191920)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85197=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#191929,#191930),(#191931,#191932), (#191933,#191934)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85198=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192123,#192124),(#192125,#192126), (#192127,#192128)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85199=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192146,#192147),(#192148,#192149), (#192150,#192151)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85200=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192203,#192204),(#192205,#192206), (#192207,#192208)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85201=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192226,#192227),(#192228,#192229), (#192230,#192231)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85202=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192335,#192336),(#192337,#192338), (#192339,#192340)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85203=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192350,#192351),(#192352,#192353), (#192354,#192355)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85204=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192389,#192390),(#192391,#192392), (#192393,#192394)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85205=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192403,#192404),(#192405,#192406), (#192407,#192408)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85206=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192449,#192450),(#192451,#192452), (#192453,#192454)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85207=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192472,#192473),(#192474,#192475), (#192476,#192477)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85208=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192529,#192530),(#192531,#192532), (#192533,#192534)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85209=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192552,#192553),(#192554,#192555), (#192556,#192557)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85210=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192661,#192662),(#192663,#192664), (#192665,#192666)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85211=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192676,#192677),(#192678,#192679), (#192680,#192681)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85212=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192715,#192716),(#192717,#192718), (#192719,#192720)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85213=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192729,#192730),(#192731,#192732), (#192733,#192734)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85214=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192775,#192776),(#192777,#192778), (#192779,#192780)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85215=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192798,#192799),(#192800,#192801), (#192802,#192803)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85216=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192855,#192856),(#192857,#192858), (#192859,#192860)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85217=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192878,#192879),(#192880,#192881), (#192882,#192883)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85218=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#192987,#192988),(#192989,#192990), (#192991,#192992)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85219=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193002,#193003),(#193004,#193005), (#193006,#193007)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85220=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193041,#193042),(#193043,#193044), (#193045,#193046)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85221=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193055,#193056),(#193057,#193058), (#193059,#193060)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85222=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193101,#193102),(#193103,#193104), (#193105,#193106)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85223=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193124,#193125),(#193126,#193127), (#193128,#193129)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85224=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193181,#193182),(#193183,#193184), (#193185,#193186)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85225=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193204,#193205),(#193206,#193207), (#193208,#193209)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85226=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193313,#193314),(#193315,#193316), (#193317,#193318)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85227=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193328,#193329),(#193330,#193331), (#193332,#193333)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85228=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193367,#193368),(#193369,#193370), (#193371,#193372)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85229=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193381,#193382),(#193383,#193384), (#193385,#193386)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85230=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193427,#193428),(#193429,#193430), (#193431,#193432)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85231=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193450,#193451),(#193452,#193453), (#193454,#193455)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85232=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193507,#193508),(#193509,#193510), (#193511,#193512)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85233=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#193530,#193531),(#193532,#193533), (#193534,#193535)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(-1.,0.),(0.,4.667685567811), .UNSPECIFIED.); #85234=ADVANCED_FACE('',(#18511),#83774,.T.); #85235=ADVANCED_FACE('',(#18512),#83775,.T.); #85236=ADVANCED_FACE('',(#18513),#83776,.T.); #85237=ADVANCED_FACE('',(#18514),#83777,.T.); #85238=ADVANCED_FACE('',(#18515),#83778,.T.); #85239=ADVANCED_FACE('',(#18516),#17787,.F.); #85240=ADVANCED_FACE('',(#18517),#17788,.F.); #85241=ADVANCED_FACE('',(#18518),#17789,.F.); #85242=ADVANCED_FACE('',(#18519),#17790,.F.); #85243=ADVANCED_FACE('',(#18520),#17791,.F.); #85244=ADVANCED_FACE('',(#18521),#17792,.F.); #85245=ADVANCED_FACE('',(#18522),#17793,.F.); #85246=ADVANCED_FACE('',(#18523),#4674,.T.); #85247=ADVANCED_FACE('',(#18524),#2387,.F.); #85248=ADVANCED_FACE('',(#18525),#1966,.T.); #85249=ADVANCED_FACE('',(#18526),#1967,.T.); #85250=ADVANCED_FACE('',(#18527),#1968,.T.); #85251=ADVANCED_FACE('',(#18528),#1969,.T.); #85252=ADVANCED_FACE('',(#18529),#1970,.T.); #85253=ADVANCED_FACE('',(#18530),#1971,.T.); #85254=ADVANCED_FACE('',(#18531),#1972,.T.); #85255=ADVANCED_FACE('',(#18532),#1973,.T.); #85256=ADVANCED_FACE('',(#18533),#1974,.F.); #85257=ADVANCED_FACE('',(#18534),#4675,.T.); #85258=ADVANCED_FACE('',(#18535),#1975,.F.); #85259=ADVANCED_FACE('',(#18536),#4676,.T.); #85260=ADVANCED_FACE('',(#18537,#1694),#2388,.F.); #85261=ADVANCED_FACE('',(#18538),#1976,.T.); #85262=ADVANCED_FACE('',(#18539),#1977,.T.); #85263=ADVANCED_FACE('',(#18540),#1978,.T.); #85264=ADVANCED_FACE('',(#18541),#1979,.T.); #85265=ADVANCED_FACE('',(#18542),#1980,.T.); #85266=ADVANCED_FACE('',(#18543),#1981,.T.); #85267=ADVANCED_FACE('',(#18544),#1982,.T.); #85268=ADVANCED_FACE('',(#18545),#1983,.T.); #85269=ADVANCED_FACE('',(#18546),#1472,.T.); #85270=ADVANCED_FACE('',(#18547),#1473,.T.); #85271=ADVANCED_FACE('',(#18548),#1474,.T.); #85272=ADVANCED_FACE('',(#18549),#1475,.T.); #85273=ADVANCED_FACE('',(#18550,#1695),#2389,.F.); #85274=ADVANCED_FACE('',(#18551),#1984,.T.); #85275=ADVANCED_FACE('',(#18552),#1985,.T.); #85276=ADVANCED_FACE('',(#18553),#1986,.T.); #85277=ADVANCED_FACE('',(#18554),#1987,.T.); #85278=ADVANCED_FACE('',(#18555),#1988,.T.); #85279=ADVANCED_FACE('',(#18556),#1989,.T.); #85280=ADVANCED_FACE('',(#18557),#1990,.T.); #85281=ADVANCED_FACE('',(#18558),#1991,.T.); #85282=ADVANCED_FACE('',(#18559),#1476,.T.); #85283=ADVANCED_FACE('',(#18560),#1477,.T.); #85284=ADVANCED_FACE('',(#18561),#1478,.T.); #85285=ADVANCED_FACE('',(#18562),#1479,.T.); #85286=ADVANCED_FACE('',(#18563,#1696),#2390,.F.); #85287=ADVANCED_FACE('',(#18564),#1992,.F.); #85288=ADVANCED_FACE('',(#18565),#1993,.F.); #85289=ADVANCED_FACE('',(#18566),#1994,.F.); #85290=ADVANCED_FACE('',(#18567),#1995,.F.); #85291=ADVANCED_FACE('',(#18568),#1996,.F.); #85292=ADVANCED_FACE('',(#18569),#1997,.F.); #85293=ADVANCED_FACE('',(#18570),#1998,.F.); #85294=ADVANCED_FACE('',(#18571),#1999,.F.); #85295=ADVANCED_FACE('',(#18572),#4677,.F.); #85296=ADVANCED_FACE('',(#18573),#2000,.F.); #85297=ADVANCED_FACE('',(#18574),#4678,.F.); #85298=ADVANCED_FACE('',(#18575),#2001,.F.); #85299=ADVANCED_FACE('',(#18576),#1480,.T.); #85300=ADVANCED_FACE('',(#18577),#1481,.T.); #85301=ADVANCED_FACE('',(#18578),#1482,.T.); #85302=ADVANCED_FACE('',(#18579),#2002,.T.); #85303=ADVANCED_FACE('',(#18580),#2003,.T.); #85304=ADVANCED_FACE('',(#18581),#2004,.T.); #85305=ADVANCED_FACE('',(#18582),#2005,.T.); #85306=ADVANCED_FACE('',(#18583),#2006,.T.); #85307=ADVANCED_FACE('',(#18584),#2007,.T.); #85308=ADVANCED_FACE('',(#18585),#2008,.T.); #85309=ADVANCED_FACE('',(#18586),#2009,.T.); #85310=ADVANCED_FACE('',(#18587),#1483,.F.); #85311=ADVANCED_FACE('',(#18588),#1484,.F.); #85312=ADVANCED_FACE('',(#18589),#1485,.F.); #85313=ADVANCED_FACE('',(#18590),#1486,.F.); #85314=ADVANCED_FACE('',(#18591),#2010,.F.); #85315=ADVANCED_FACE('',(#18592),#2011,.F.); #85316=ADVANCED_FACE('',(#18593),#2012,.F.); #85317=ADVANCED_FACE('',(#18594),#2013,.F.); #85318=ADVANCED_FACE('',(#18595),#2014,.F.); #85319=ADVANCED_FACE('',(#18596),#2015,.F.); #85320=ADVANCED_FACE('',(#18597),#2016,.F.); #85321=ADVANCED_FACE('',(#18598),#2017,.F.); #85322=ADVANCED_FACE('',(#18599),#4679,.T.); #85323=ADVANCED_FACE('',(#18600),#1487,.F.); #85324=ADVANCED_FACE('',(#18601),#1488,.F.); #85325=ADVANCED_FACE('',(#18602),#1489,.F.); #85326=ADVANCED_FACE('',(#18603),#83779,.F.); #85327=ADVANCED_FACE('',(#18604),#83780,.F.); #85328=ADVANCED_FACE('',(#18605),#83781,.F.); #85329=ADVANCED_FACE('',(#18606),#83782,.F.); #85330=ADVANCED_FACE('',(#18607),#83783,.F.); #85331=ADVANCED_FACE('',(#18608),#83784,.T.); #85332=ADVANCED_FACE('',(#18609),#2391,.T.); #85333=ADVANCED_FACE('',(#18610),#83785,.T.); #85334=ADVANCED_FACE('',(#18611),#83786,.T.); #85335=ADVANCED_FACE('',(#18612),#83787,.F.); #85336=ADVANCED_FACE('',(#18613),#83788,.F.); #85337=ADVANCED_FACE('',(#18614),#83789,.F.); #85338=ADVANCED_FACE('',(#18615),#83790,.F.); #85339=ADVANCED_FACE('',(#18616),#83791,.F.); #85340=ADVANCED_FACE('',(#18617),#83792,.T.); #85341=ADVANCED_FACE('',(#18618),#83793,.T.); #85342=ADVANCED_FACE('',(#18619),#83794,.F.); #85343=ADVANCED_FACE('',(#18620),#83795,.F.); #85344=ADVANCED_FACE('',(#18621),#17794,.T.); #85345=ADVANCED_FACE('',(#18622),#83796,.F.); #85346=ADVANCED_FACE('',(#18623),#83797,.F.); #85347=ADVANCED_FACE('',(#18624),#83798,.T.); #85348=ADVANCED_FACE('',(#18625),#2392,.T.); #85349=ADVANCED_FACE('',(#18626),#83799,.T.); #85350=ADVANCED_FACE('',(#18627),#83800,.T.); #85351=ADVANCED_FACE('',(#18628),#83801,.F.); #85352=ADVANCED_FACE('',(#18629),#83802,.F.); #85353=ADVANCED_FACE('',(#18630),#17795,.T.); #85354=ADVANCED_FACE('',(#18631),#83803,.F.); #85355=ADVANCED_FACE('',(#18632),#83804,.F.); #85356=ADVANCED_FACE('',(#18633),#83805,.T.); #85357=ADVANCED_FACE('',(#18634),#2393,.T.); #85358=ADVANCED_FACE('',(#18635),#83806,.F.); #85359=ADVANCED_FACE('',(#18636),#83807,.F.); #85360=ADVANCED_FACE('',(#18637),#17796,.T.); #85361=ADVANCED_FACE('',(#18638),#83808,.F.); #85362=ADVANCED_FACE('',(#18639),#83809,.F.); #85363=ADVANCED_FACE('',(#18640),#83810,.T.); #85364=ADVANCED_FACE('',(#18641),#2394,.T.); #85365=ADVANCED_FACE('',(#18642),#83811,.T.); #85366=ADVANCED_FACE('',(#18643),#83812,.T.); #85367=ADVANCED_FACE('',(#18644),#83813,.F.); #85368=ADVANCED_FACE('',(#18645),#83814,.F.); #85369=ADVANCED_FACE('',(#18646),#17797,.T.); #85370=ADVANCED_FACE('',(#18647),#83815,.F.); #85371=ADVANCED_FACE('',(#18648),#83816,.F.); #85372=ADVANCED_FACE('',(#18649),#83817,.T.); #85373=ADVANCED_FACE('',(#18650),#2395,.T.); #85374=ADVANCED_FACE('',(#18651),#871,.F.); #85375=ADVANCED_FACE('',(#18652),#83818,.T.); #85376=ADVANCED_FACE('',(#18653),#83819,.T.); #85377=ADVANCED_FACE('',(#18654),#872,.F.); #85378=ADVANCED_FACE('',(#18655),#2396,.T.); #85379=ADVANCED_FACE('',(#18656),#83820,.T.); #85380=ADVANCED_FACE('',(#18657),#83821,.T.); #85381=ADVANCED_FACE('',(#18658),#873,.F.); #85382=ADVANCED_FACE('',(#18659),#2397,.T.); #85383=ADVANCED_FACE('',(#18660),#874,.F.); #85384=ADVANCED_FACE('',(#18661),#875,.F.); #85385=ADVANCED_FACE('',(#18662),#83822,.T.); #85386=ADVANCED_FACE('',(#18663),#83823,.T.); #85387=ADVANCED_FACE('',(#18664),#876,.F.); #85388=ADVANCED_FACE('',(#18665),#2398,.T.); #85389=ADVANCED_FACE('',(#18666),#83824,.F.); #85390=ADVANCED_FACE('',(#18667),#83825,.F.); #85391=ADVANCED_FACE('',(#18668),#83826,.F.); #85392=ADVANCED_FACE('',(#18669),#17798,.T.); #85393=ADVANCED_FACE('',(#18670),#83827,.F.); #85394=ADVANCED_FACE('',(#18671),#2018,.T.); #85395=ADVANCED_FACE('',(#18672),#83828,.F.); #85396=ADVANCED_FACE('',(#18673),#17799,.T.); #85397=ADVANCED_FACE('',(#18674),#83829,.F.); #85398=ADVANCED_FACE('',(#18675),#83830,.F.); #85399=ADVANCED_FACE('',(#18676),#83831,.F.); #85400=ADVANCED_FACE('',(#18677),#2019,.T.); #85401=ADVANCED_FACE('',(#18678),#83832,.F.); #85402=ADVANCED_FACE('',(#18679),#83833,.F.); #85403=ADVANCED_FACE('',(#18680),#83834,.F.); #85404=ADVANCED_FACE('',(#18681),#17800,.T.); #85405=ADVANCED_FACE('',(#18682),#83835,.F.); #85406=ADVANCED_FACE('',(#18683),#2020,.T.); #85407=ADVANCED_FACE('',(#18684),#83836,.F.); #85408=ADVANCED_FACE('',(#18685),#17801,.T.); #85409=ADVANCED_FACE('',(#18686),#83837,.F.); #85410=ADVANCED_FACE('',(#18687),#83838,.F.); #85411=ADVANCED_FACE('',(#18688),#83839,.F.); #85412=ADVANCED_FACE('',(#18689),#2021,.T.); #85413=ADVANCED_FACE('',(#18690),#83840,.F.); #85414=ADVANCED_FACE('',(#18691),#83841,.F.); #85415=ADVANCED_FACE('',(#18692),#83842,.F.); #85416=ADVANCED_FACE('',(#18693),#17802,.T.); #85417=ADVANCED_FACE('',(#18694),#83843,.F.); #85418=ADVANCED_FACE('',(#18695),#2022,.T.); #85419=ADVANCED_FACE('',(#18696),#83844,.F.); #85420=ADVANCED_FACE('',(#18697),#17803,.T.); #85421=ADVANCED_FACE('',(#18698),#83845,.F.); #85422=ADVANCED_FACE('',(#18699),#83846,.F.); #85423=ADVANCED_FACE('',(#18700),#83847,.F.); #85424=ADVANCED_FACE('',(#18701),#2023,.T.); #85425=ADVANCED_FACE('',(#18702),#83848,.F.); #85426=ADVANCED_FACE('',(#18703),#83849,.F.); #85427=ADVANCED_FACE('',(#18704),#83850,.F.); #85428=ADVANCED_FACE('',(#18705),#17804,.T.); #85429=ADVANCED_FACE('',(#18706),#83851,.F.); #85430=ADVANCED_FACE('',(#18707),#2024,.T.); #85431=ADVANCED_FACE('',(#18708),#83852,.F.); #85432=ADVANCED_FACE('',(#18709),#17805,.T.); #85433=ADVANCED_FACE('',(#18710),#83853,.F.); #85434=ADVANCED_FACE('',(#18711),#83854,.F.); #85435=ADVANCED_FACE('',(#18712),#83855,.F.); #85436=ADVANCED_FACE('',(#18713),#2025,.T.); #85437=ADVANCED_FACE('',(#18714),#2399,.T.); #85438=ADVANCED_FACE('',(#18715),#17806,.T.); #85439=ADVANCED_FACE('',(#18716),#2400,.F.); #85440=ADVANCED_FACE('',(#18717),#17807,.F.); #85441=ADVANCED_FACE('',(#18718),#2401,.F.); #85442=ADVANCED_FACE('',(#18719),#17808,.F.); #85443=ADVANCED_FACE('',(#18720),#2402,.F.); #85444=ADVANCED_FACE('',(#18721),#17809,.T.); #85445=ADVANCED_FACE('',(#18722),#2403,.T.); #85446=ADVANCED_FACE('',(#18723),#17810,.T.); #85447=ADVANCED_FACE('',(#18724),#2404,.F.); #85448=ADVANCED_FACE('',(#18725),#17811,.T.); #85449=ADVANCED_FACE('',(#18726),#2405,.T.); #85450=ADVANCED_FACE('',(#18727),#17812,.T.); #85451=ADVANCED_FACE('',(#18728),#2406,.F.); #85452=ADVANCED_FACE('',(#18729),#17813,.F.); #85453=ADVANCED_FACE('',(#18730),#2407,.F.); #85454=ADVANCED_FACE('',(#18731),#17814,.F.); #85455=ADVANCED_FACE('',(#18732),#2408,.F.); #85456=ADVANCED_FACE('',(#18733),#17815,.T.); #85457=ADVANCED_FACE('',(#18734),#2409,.T.); #85458=ADVANCED_FACE('',(#18735),#17816,.T.); #85459=ADVANCED_FACE('',(#18736),#2410,.F.); #85460=ADVANCED_FACE('',(#18737),#17817,.T.); #85461=ADVANCED_FACE('',(#18738),#17818,.T.); #85462=ADVANCED_FACE('',(#18739),#83856,.F.); #85463=ADVANCED_FACE('',(#18740),#83857,.F.); #85464=ADVANCED_FACE('',(#18741),#83858,.F.); #85465=ADVANCED_FACE('',(#18742),#83859,.F.); #85466=ADVANCED_FACE('',(#18743),#83860,.F.); #85467=ADVANCED_FACE('',(#18744),#2026,.T.); #85468=ADVANCED_FACE('',(#18745),#83861,.F.); #85469=ADVANCED_FACE('',(#18746),#83862,.F.); #85470=ADVANCED_FACE('',(#18747),#83863,.F.); #85471=ADVANCED_FACE('',(#18748),#83864,.F.); #85472=ADVANCED_FACE('',(#18749),#83865,.F.); #85473=ADVANCED_FACE('',(#18750),#2027,.T.); #85474=ADVANCED_FACE('',(#18751),#83866,.F.); #85475=ADVANCED_FACE('',(#18752),#83867,.F.); #85476=ADVANCED_FACE('',(#18753),#83868,.F.); #85477=ADVANCED_FACE('',(#18754),#83869,.F.); #85478=ADVANCED_FACE('',(#18755),#83870,.F.); #85479=ADVANCED_FACE('',(#18756),#2028,.T.); #85480=ADVANCED_FACE('',(#18757),#83871,.F.); #85481=ADVANCED_FACE('',(#18758),#83872,.F.); #85482=ADVANCED_FACE('',(#18759),#83873,.F.); #85483=ADVANCED_FACE('',(#18760),#83874,.F.); #85484=ADVANCED_FACE('',(#18761),#83875,.F.); #85485=ADVANCED_FACE('',(#18762),#2029,.T.); #85486=ADVANCED_FACE('',(#18763),#83876,.F.); #85487=ADVANCED_FACE('',(#18764),#83877,.F.); #85488=ADVANCED_FACE('',(#18765),#83878,.F.); #85489=ADVANCED_FACE('',(#18766),#83879,.F.); #85490=ADVANCED_FACE('',(#18767),#83880,.F.); #85491=ADVANCED_FACE('',(#18768),#2030,.T.); #85492=ADVANCED_FACE('',(#18769),#83881,.F.); #85493=ADVANCED_FACE('',(#18770),#83882,.F.); #85494=ADVANCED_FACE('',(#18771),#83883,.F.); #85495=ADVANCED_FACE('',(#18772),#83884,.F.); #85496=ADVANCED_FACE('',(#18773),#83885,.F.); #85497=ADVANCED_FACE('',(#18774),#2031,.T.); #85498=ADVANCED_FACE('',(#18775),#83886,.F.); #85499=ADVANCED_FACE('',(#18776),#83887,.F.); #85500=ADVANCED_FACE('',(#18777),#83888,.F.); #85501=ADVANCED_FACE('',(#18778),#83889,.F.); #85502=ADVANCED_FACE('',(#18779),#83890,.F.); #85503=ADVANCED_FACE('',(#18780),#2032,.T.); #85504=ADVANCED_FACE('',(#18781),#83891,.F.); #85505=ADVANCED_FACE('',(#18782),#83892,.F.); #85506=ADVANCED_FACE('',(#18783),#83893,.F.); #85507=ADVANCED_FACE('',(#18784),#83894,.F.); #85508=ADVANCED_FACE('',(#18785),#83895,.F.); #85509=ADVANCED_FACE('',(#18786),#2033,.T.); #85510=ADVANCED_FACE('',(#18787),#2411,.T.); #85511=ADVANCED_FACE('',(#18788),#17819,.T.); #85512=ADVANCED_FACE('',(#18789),#2412,.F.); #85513=ADVANCED_FACE('',(#18790),#17820,.F.); #85514=ADVANCED_FACE('',(#18791),#2413,.F.); #85515=ADVANCED_FACE('',(#18792),#17821,.F.); #85516=ADVANCED_FACE('',(#18793),#2414,.F.); #85517=ADVANCED_FACE('',(#18794),#17822,.T.); #85518=ADVANCED_FACE('',(#18795),#2415,.T.); #85519=ADVANCED_FACE('',(#18796),#17823,.T.); #85520=ADVANCED_FACE('',(#18797),#2416,.F.); #85521=ADVANCED_FACE('',(#18798),#17824,.T.); #85522=ADVANCED_FACE('',(#18799),#2417,.T.); #85523=ADVANCED_FACE('',(#18800),#17825,.T.); #85524=ADVANCED_FACE('',(#18801),#2418,.F.); #85525=ADVANCED_FACE('',(#18802),#17826,.F.); #85526=ADVANCED_FACE('',(#18803),#2419,.F.); #85527=ADVANCED_FACE('',(#18804),#17827,.F.); #85528=ADVANCED_FACE('',(#18805),#2420,.F.); #85529=ADVANCED_FACE('',(#18806),#17828,.T.); #85530=ADVANCED_FACE('',(#18807),#2421,.T.); #85531=ADVANCED_FACE('',(#18808),#1490,.F.); #85532=ADVANCED_FACE('',(#18809),#2034,.T.); #85533=ADVANCED_FACE('',(#18810,#1697),#2422,.F.); #85534=ADVANCED_FACE('',(#18811),#1491,.T.); #85535=ADVANCED_FACE('',(#18812),#2035,.F.); #85536=ADVANCED_FACE('',(#18813),#83896,.T.); #85537=ADVANCED_FACE('',(#18814),#83897,.T.); #85538=ADVANCED_FACE('',(#18815),#2036,.F.); #85539=ADVANCED_FACE('',(#18816),#83898,.T.); #85540=ADVANCED_FACE('',(#18817),#83899,.T.); #85541=ADVANCED_FACE('',(#18818),#2037,.F.); #85542=ADVANCED_FACE('',(#18819),#83900,.T.); #85543=ADVANCED_FACE('',(#18820),#83901,.T.); #85544=ADVANCED_FACE('',(#18821),#2038,.F.); #85545=ADVANCED_FACE('',(#18822),#83902,.T.); #85546=ADVANCED_FACE('',(#18823),#83903,.T.); #85547=ADVANCED_FACE('',(#18824),#2039,.F.); #85548=ADVANCED_FACE('',(#18825),#2040,.T.); #85549=ADVANCED_FACE('',(#18826),#83904,.F.); #85550=ADVANCED_FACE('',(#18827),#83905,.F.); #85551=ADVANCED_FACE('',(#18828),#17829,.T.); #85552=ADVANCED_FACE('',(#18829),#2041,.T.); #85553=ADVANCED_FACE('',(#18830),#83906,.T.); #85554=ADVANCED_FACE('',(#18831),#17830,.T.); #85555=ADVANCED_FACE('',(#18832),#83907,.T.); #85556=ADVANCED_FACE('',(#18833),#877,.T.); #85557=ADVANCED_FACE('',(#18834),#878,.T.); #85558=ADVANCED_FACE('',(#18835),#879,.F.); #85559=ADVANCED_FACE('',(#18836),#880,.F.); #85560=ADVANCED_FACE('',(#18837),#881,.F.); #85561=ADVANCED_FACE('',(#18838),#882,.F.); #85562=ADVANCED_FACE('',(#18839),#883,.F.); #85563=ADVANCED_FACE('',(#18840),#884,.F.); #85564=ADVANCED_FACE('',(#18841),#17831,.F.); #85565=ADVANCED_FACE('',(#18842),#2423,.F.); #85566=ADVANCED_FACE('',(#18843),#17832,.F.); #85567=ADVANCED_FACE('',(#18844),#2424,.F.); #85568=ADVANCED_FACE('',(#18845),#17833,.T.); #85569=ADVANCED_FACE('',(#18846),#2425,.F.); #85570=ADVANCED_FACE('',(#18847),#17834,.T.); #85571=ADVANCED_FACE('',(#18848),#2426,.F.); #85572=ADVANCED_FACE('',(#18849),#17835,.F.); #85573=ADVANCED_FACE('',(#18850),#2427,.F.); #85574=ADVANCED_FACE('',(#18851),#17836,.F.); #85575=ADVANCED_FACE('',(#18852),#2428,.F.); #85576=ADVANCED_FACE('',(#18853),#1492,.F.); #85577=ADVANCED_FACE('',(#18854),#2042,.F.); #85578=ADVANCED_FACE('',(#18855,#1698),#2429,.F.); #85579=ADVANCED_FACE('',(#18856),#1493,.F.); #85580=ADVANCED_FACE('',(#18857),#2043,.F.); #85581=ADVANCED_FACE('',(#18858),#83908,.F.); #85582=ADVANCED_FACE('',(#18859),#83909,.F.); #85583=ADVANCED_FACE('',(#18860),#2044,.T.); #85584=ADVANCED_FACE('',(#18861),#83910,.F.); #85585=ADVANCED_FACE('',(#18862),#83911,.F.); #85586=ADVANCED_FACE('',(#18863),#83912,.F.); #85587=ADVANCED_FACE('',(#18864),#2045,.T.); #85588=ADVANCED_FACE('',(#18865),#83913,.F.); #85589=ADVANCED_FACE('',(#18866),#83914,.F.); #85590=ADVANCED_FACE('',(#18867),#83915,.F.); #85591=ADVANCED_FACE('',(#18868),#2046,.T.); #85592=ADVANCED_FACE('',(#18869),#83916,.F.); #85593=ADVANCED_FACE('',(#18870),#83917,.F.); #85594=ADVANCED_FACE('',(#18871),#83918,.F.); #85595=ADVANCED_FACE('',(#18872),#2047,.T.); #85596=ADVANCED_FACE('',(#18873),#83919,.F.); #85597=ADVANCED_FACE('',(#18874),#83920,.F.); #85598=ADVANCED_FACE('',(#18875),#83921,.F.); #85599=ADVANCED_FACE('',(#18876),#2048,.T.); #85600=ADVANCED_FACE('',(#18877),#83922,.F.); #85601=ADVANCED_FACE('',(#18878),#83923,.F.); #85602=ADVANCED_FACE('',(#18879),#2430,.F.); #85603=ADVANCED_FACE('',(#18880),#17837,.T.); #85604=ADVANCED_FACE('',(#18881),#2431,.F.); #85605=ADVANCED_FACE('',(#18882),#17838,.T.); #85606=ADVANCED_FACE('',(#18883),#2432,.F.); #85607=ADVANCED_FACE('',(#18884),#17839,.F.); #85608=ADVANCED_FACE('',(#18885),#2433,.F.); #85609=ADVANCED_FACE('',(#18886),#17840,.F.); #85610=ADVANCED_FACE('',(#18887),#2434,.F.); #85611=ADVANCED_FACE('',(#18888),#2435,.F.); #85612=ADVANCED_FACE('',(#18889),#2436,.F.); #85613=ADVANCED_FACE('',(#18890),#2437,.F.); #85614=ADVANCED_FACE('',(#18891),#2438,.F.); #85615=ADVANCED_FACE('',(#18892),#2439,.F.); #85616=ADVANCED_FACE('',(#18893),#2440,.F.); #85617=ADVANCED_FACE('',(#18894),#2441,.F.); #85618=ADVANCED_FACE('',(#18895),#2442,.F.); #85619=ADVANCED_FACE('',(#18896),#2443,.F.); #85620=ADVANCED_FACE('',(#18897),#2444,.F.); #85621=ADVANCED_FACE('',(#18898),#2445,.F.); #85622=ADVANCED_FACE('',(#18899),#2446,.F.); #85623=ADVANCED_FACE('',(#18900),#2447,.F.); #85624=ADVANCED_FACE('',(#18901),#2448,.F.); #85625=ADVANCED_FACE('',(#18902),#2449,.F.); #85626=ADVANCED_FACE('',(#18903),#2450,.F.); #85627=ADVANCED_FACE('',(#18904),#2451,.F.); #85628=ADVANCED_FACE('',(#18905),#2452,.F.); #85629=ADVANCED_FACE('',(#18906),#2453,.F.); #85630=ADVANCED_FACE('',(#18907),#2454,.F.); #85631=ADVANCED_FACE('',(#18908),#2455,.F.); #85632=ADVANCED_FACE('',(#18909),#83924,.T.); #85633=ADVANCED_FACE('',(#18910),#83925,.T.); #85634=ADVANCED_FACE('',(#18911),#83926,.T.); #85635=ADVANCED_FACE('',(#18912),#83927,.T.); #85636=ADVANCED_FACE('',(#18913),#83928,.T.); #85637=ADVANCED_FACE('',(#18914),#83929,.T.); #85638=ADVANCED_FACE('',(#18915),#83930,.F.); #85639=ADVANCED_FACE('',(#18916),#83931,.F.); #85640=ADVANCED_FACE('',(#18917),#83932,.F.); #85641=ADVANCED_FACE('',(#18918),#83933,.F.); #85642=ADVANCED_FACE('',(#18919),#83934,.F.); #85643=ADVANCED_FACE('',(#18920),#83935,.F.); #85644=ADVANCED_FACE('',(#18921),#83936,.F.); #85645=ADVANCED_FACE('',(#18922),#83937,.F.); #85646=ADVANCED_FACE('',(#18923,#1699),#2456,.F.); #85647=ADVANCED_FACE('',(#18924),#2457,.F.); #85648=ADVANCED_FACE('',(#18925),#2458,.F.); #85649=ADVANCED_FACE('',(#18926),#2459,.F.); #85650=ADVANCED_FACE('',(#18927),#2460,.F.); #85651=ADVANCED_FACE('',(#18928),#2461,.F.); #85652=ADVANCED_FACE('',(#18929),#2462,.F.); #85653=ADVANCED_FACE('',(#18930),#2463,.F.); #85654=ADVANCED_FACE('',(#18931),#2464,.F.); #85655=ADVANCED_FACE('',(#18932),#2465,.F.); #85656=ADVANCED_FACE('',(#18933),#2466,.F.); #85657=ADVANCED_FACE('',(#18934),#2467,.F.); #85658=ADVANCED_FACE('',(#18935),#2468,.F.); #85659=ADVANCED_FACE('',(#18936),#2469,.F.); #85660=ADVANCED_FACE('',(#18937),#2470,.F.); #85661=ADVANCED_FACE('',(#18938),#2471,.F.); #85662=ADVANCED_FACE('',(#18939),#2472,.F.); #85663=ADVANCED_FACE('',(#18940),#2473,.F.); #85664=ADVANCED_FACE('',(#18941),#2474,.F.); #85665=ADVANCED_FACE('',(#18942),#2475,.F.); #85666=ADVANCED_FACE('',(#18943),#2476,.F.); #85667=ADVANCED_FACE('',(#18944),#2477,.F.); #85668=ADVANCED_FACE('',(#18945),#2478,.F.); #85669=ADVANCED_FACE('',(#18946),#2479,.F.); #85670=ADVANCED_FACE('',(#18947),#2480,.F.); #85671=ADVANCED_FACE('',(#18948),#2481,.F.); #85672=ADVANCED_FACE('',(#18949),#2482,.F.); #85673=ADVANCED_FACE('',(#18950),#2483,.F.); #85674=ADVANCED_FACE('',(#18951),#2484,.F.); #85675=ADVANCED_FACE('',(#18952),#2485,.F.); #85676=ADVANCED_FACE('',(#18953),#83938,.T.); #85677=ADVANCED_FACE('',(#18954),#2486,.T.); #85678=ADVANCED_FACE('',(#18955),#2487,.T.); #85679=ADVANCED_FACE('',(#18956),#2488,.T.); #85680=ADVANCED_FACE('',(#18957),#83939,.T.); #85681=ADVANCED_FACE('',(#18958),#83940,.T.); #85682=ADVANCED_FACE('',(#18959),#83941,.T.); #85683=ADVANCED_FACE('',(#18960),#2489,.F.); #85684=ADVANCED_FACE('',(#18961),#83942,.F.); #85685=ADVANCED_FACE('',(#18962),#83943,.F.); #85686=ADVANCED_FACE('',(#18963),#83944,.F.); #85687=ADVANCED_FACE('',(#18964),#2490,.F.); #85688=ADVANCED_FACE('',(#18965),#2491,.F.); #85689=ADVANCED_FACE('',(#18966),#2492,.F.); #85690=ADVANCED_FACE('',(#18967),#2493,.F.); #85691=ADVANCED_FACE('',(#18968),#2494,.F.); #85692=ADVANCED_FACE('',(#18969),#2495,.F.); #85693=ADVANCED_FACE('',(#18970),#2496,.F.); #85694=ADVANCED_FACE('',(#18971,#1700),#2497,.F.); #85695=ADVANCED_FACE('',(#18972),#83945,.T.); #85696=ADVANCED_FACE('',(#18973),#2498,.T.); #85697=ADVANCED_FACE('',(#18974),#2499,.T.); #85698=ADVANCED_FACE('',(#18975),#2500,.T.); #85699=ADVANCED_FACE('',(#18976),#83946,.T.); #85700=ADVANCED_FACE('',(#18977),#83947,.T.); #85701=ADVANCED_FACE('',(#18978),#83948,.T.); #85702=ADVANCED_FACE('',(#18979),#83949,.T.); #85703=ADVANCED_FACE('',(#18980),#2501,.T.); #85704=ADVANCED_FACE('',(#18981),#2502,.T.); #85705=ADVANCED_FACE('',(#18982),#2503,.T.); #85706=ADVANCED_FACE('',(#18983),#83950,.T.); #85707=ADVANCED_FACE('',(#18984),#83951,.T.); #85708=ADVANCED_FACE('',(#18985),#83952,.T.); #85709=ADVANCED_FACE('',(#18986),#83953,.F.); #85710=ADVANCED_FACE('',(#18987),#2504,.F.); #85711=ADVANCED_FACE('',(#18988),#83954,.F.); #85712=ADVANCED_FACE('',(#18989),#83955,.F.); #85713=ADVANCED_FACE('',(#18990),#83956,.F.); #85714=ADVANCED_FACE('',(#18991),#2505,.F.); #85715=ADVANCED_FACE('',(#18992),#2506,.F.); #85716=ADVANCED_FACE('',(#18993),#2507,.F.); #85717=ADVANCED_FACE('',(#18994),#83957,.F.); #85718=ADVANCED_FACE('',(#18995),#83958,.F.); #85719=ADVANCED_FACE('',(#18996,#1701,#1702),#2508,.F.); #85720=ADVANCED_FACE('',(#18997),#2509,.F.); #85721=ADVANCED_FACE('',(#18998),#83959,.F.); #85722=ADVANCED_FACE('',(#18999),#2510,.F.); #85723=ADVANCED_FACE('',(#19000),#83960,.F.); #85724=ADVANCED_FACE('',(#19001),#83961,.F.); #85725=ADVANCED_FACE('',(#19002),#2511,.F.); #85726=ADVANCED_FACE('',(#19003),#2512,.F.); #85727=ADVANCED_FACE('',(#19004),#2513,.F.); #85728=ADVANCED_FACE('',(#19005),#2514,.F.); #85729=ADVANCED_FACE('',(#19006),#83962,.F.); #85730=ADVANCED_FACE('',(#19007),#83963,.F.); #85731=ADVANCED_FACE('',(#19008),#83964,.F.); #85732=ADVANCED_FACE('',(#19009),#2515,.F.); #85733=ADVANCED_FACE('',(#19010),#83965,.F.); #85734=ADVANCED_FACE('',(#19011),#83966,.F.); #85735=ADVANCED_FACE('',(#19012),#83967,.F.); #85736=ADVANCED_FACE('',(#19013),#83968,.F.); #85737=ADVANCED_FACE('',(#19014),#2516,.F.); #85738=ADVANCED_FACE('',(#19015),#2517,.F.); #85739=ADVANCED_FACE('',(#19016),#2518,.F.); #85740=ADVANCED_FACE('',(#19017),#83969,.F.); #85741=ADVANCED_FACE('',(#19018),#83970,.F.); #85742=ADVANCED_FACE('',(#19019),#83971,.F.); #85743=ADVANCED_FACE('',(#19020),#83972,.F.); #85744=ADVANCED_FACE('',(#19021),#2519,.F.); #85745=ADVANCED_FACE('',(#19022),#83973,.F.); #85746=ADVANCED_FACE('',(#19023),#83974,.F.); #85747=ADVANCED_FACE('',(#19024),#83975,.F.); #85748=ADVANCED_FACE('',(#19025),#83976,.F.); #85749=ADVANCED_FACE('',(#19026),#83977,.F.); #85750=ADVANCED_FACE('',(#19027),#2520,.F.); #85751=ADVANCED_FACE('',(#19028),#2521,.F.); #85752=ADVANCED_FACE('',(#19029),#2522,.F.); #85753=ADVANCED_FACE('',(#19030),#83978,.T.); #85754=ADVANCED_FACE('',(#19031),#83979,.T.); #85755=ADVANCED_FACE('',(#19032),#83980,.T.); #85756=ADVANCED_FACE('',(#19033),#83981,.T.); #85757=ADVANCED_FACE('',(#19034),#2523,.T.); #85758=ADVANCED_FACE('',(#19035),#2524,.T.); #85759=ADVANCED_FACE('',(#19036),#2525,.T.); #85760=ADVANCED_FACE('',(#19037),#2526,.F.); #85761=ADVANCED_FACE('',(#19038),#83982,.F.); #85762=ADVANCED_FACE('',(#19039),#83983,.F.); #85763=ADVANCED_FACE('',(#19040),#83984,.F.); #85764=ADVANCED_FACE('',(#19041),#83985,.F.); #85765=ADVANCED_FACE('',(#19042),#2527,.F.); #85766=ADVANCED_FACE('',(#19043),#2528,.F.); #85767=ADVANCED_FACE('',(#19044,#1703),#2529,.F.); #85768=ADVANCED_FACE('',(#19045),#2530,.F.); #85769=ADVANCED_FACE('',(#19046),#2531,.F.); #85770=ADVANCED_FACE('',(#19047),#2532,.F.); #85771=ADVANCED_FACE('',(#19048),#2533,.F.); #85772=ADVANCED_FACE('',(#19049),#2534,.F.); #85773=ADVANCED_FACE('',(#19050),#2535,.F.); #85774=ADVANCED_FACE('',(#19051),#2536,.F.); #85775=ADVANCED_FACE('',(#19052),#2537,.F.); #85776=ADVANCED_FACE('',(#19053),#2538,.F.); #85777=ADVANCED_FACE('',(#19054),#2539,.F.); #85778=ADVANCED_FACE('',(#19055),#2540,.F.); #85779=ADVANCED_FACE('',(#19056),#2541,.F.); #85780=ADVANCED_FACE('',(#19057),#2542,.F.); #85781=ADVANCED_FACE('',(#19058),#2543,.F.); #85782=ADVANCED_FACE('',(#19059),#2544,.F.); #85783=ADVANCED_FACE('',(#19060),#83986,.F.); #85784=ADVANCED_FACE('',(#19061),#2545,.F.); #85785=ADVANCED_FACE('',(#19062),#83987,.F.); #85786=ADVANCED_FACE('',(#19063),#2546,.F.); #85787=ADVANCED_FACE('',(#19064),#2547,.F.); #85788=ADVANCED_FACE('',(#19065),#2548,.F.); #85789=ADVANCED_FACE('',(#19066),#2549,.F.); #85790=ADVANCED_FACE('',(#19067),#2550,.F.); #85791=ADVANCED_FACE('',(#19068),#83988,.F.); #85792=ADVANCED_FACE('',(#19069),#83989,.F.); #85793=ADVANCED_FACE('',(#19070),#83990,.F.); #85794=ADVANCED_FACE('',(#19071),#83991,.F.); #85795=ADVANCED_FACE('',(#19072),#83992,.F.); #85796=ADVANCED_FACE('',(#19073),#2551,.F.); #85797=ADVANCED_FACE('',(#19074),#83993,.F.); #85798=ADVANCED_FACE('',(#19075),#83994,.F.); #85799=ADVANCED_FACE('',(#19076),#83995,.F.); #85800=ADVANCED_FACE('',(#19077),#83996,.F.); #85801=ADVANCED_FACE('',(#19078),#2552,.F.); #85802=ADVANCED_FACE('',(#19079),#2553,.F.); #85803=ADVANCED_FACE('',(#19080),#2554,.F.); #85804=ADVANCED_FACE('',(#19081),#2555,.F.); #85805=ADVANCED_FACE('',(#19082),#2556,.F.); #85806=ADVANCED_FACE('',(#19083),#2557,.F.); #85807=ADVANCED_FACE('',(#19084),#2558,.F.); #85808=ADVANCED_FACE('',(#19085),#2559,.F.); #85809=ADVANCED_FACE('',(#19086),#2560,.F.); #85810=ADVANCED_FACE('',(#19087),#83997,.T.); #85811=ADVANCED_FACE('',(#19088),#83998,.T.); #85812=ADVANCED_FACE('',(#19089),#83999,.T.); #85813=ADVANCED_FACE('',(#19090),#84000,.T.); #85814=ADVANCED_FACE('',(#19091),#84001,.F.); #85815=ADVANCED_FACE('',(#19092),#84002,.F.); #85816=ADVANCED_FACE('',(#19093),#84003,.F.); #85817=ADVANCED_FACE('',(#19094),#84004,.F.); #85818=ADVANCED_FACE('',(#19095),#84005,.F.); #85819=ADVANCED_FACE('',(#19096),#84006,.F.); #85820=ADVANCED_FACE('',(#19097),#84007,.F.); #85821=ADVANCED_FACE('',(#19098),#84008,.F.); #85822=ADVANCED_FACE('',(#19099),#84009,.F.); #85823=ADVANCED_FACE('',(#19100),#84010,.F.); #85824=ADVANCED_FACE('',(#19101),#84011,.F.); #85825=ADVANCED_FACE('',(#19102),#2561,.F.); #85826=ADVANCED_FACE('',(#19103,#1704),#2562,.F.); #85827=ADVANCED_FACE('',(#19104),#2563,.T.); #85828=ADVANCED_FACE('',(#19105),#84012,.T.); #85829=ADVANCED_FACE('',(#19106),#84013,.T.); #85830=ADVANCED_FACE('',(#19107),#2564,.T.); #85831=ADVANCED_FACE('',(#19108),#2565,.T.); #85832=ADVANCED_FACE('',(#19109),#2566,.F.); #85833=ADVANCED_FACE('',(#19110),#2567,.F.); #85834=ADVANCED_FACE('',(#19111),#2568,.F.); #85835=ADVANCED_FACE('',(#19112),#2569,.F.); #85836=ADVANCED_FACE('',(#19113),#2570,.F.); #85837=ADVANCED_FACE('',(#19114),#2571,.F.); #85838=ADVANCED_FACE('',(#19115),#2572,.F.); #85839=ADVANCED_FACE('',(#19116),#2573,.F.); #85840=ADVANCED_FACE('',(#19117,#1705),#2574,.F.); #85841=ADVANCED_FACE('',(#19118),#84014,.T.); #85842=ADVANCED_FACE('',(#19119),#84015,.T.); #85843=ADVANCED_FACE('',(#19120),#84016,.T.); #85844=ADVANCED_FACE('',(#19121),#2575,.T.); #85845=ADVANCED_FACE('',(#19122),#2576,.T.); #85846=ADVANCED_FACE('',(#19123),#2577,.T.); #85847=ADVANCED_FACE('',(#19124),#2578,.F.); #85848=ADVANCED_FACE('',(#19125),#2579,.F.); #85849=ADVANCED_FACE('',(#19126),#2580,.F.); #85850=ADVANCED_FACE('',(#19127),#84017,.F.); #85851=ADVANCED_FACE('',(#19128),#84018,.F.); #85852=ADVANCED_FACE('',(#19129),#84019,.F.); #85853=ADVANCED_FACE('',(#19130),#2581,.F.); #85854=ADVANCED_FACE('',(#19131),#2582,.F.); #85855=ADVANCED_FACE('',(#19132,#1706),#2583,.F.); #85856=ADVANCED_FACE('',(#19133),#2584,.F.); #85857=ADVANCED_FACE('',(#19134),#2585,.F.); #85858=ADVANCED_FACE('',(#19135),#2586,.F.); #85859=ADVANCED_FACE('',(#19136),#2587,.F.); #85860=ADVANCED_FACE('',(#19137),#2588,.F.); #85861=ADVANCED_FACE('',(#19138),#2589,.F.); #85862=ADVANCED_FACE('',(#19139),#2590,.F.); #85863=ADVANCED_FACE('',(#19140),#2591,.F.); #85864=ADVANCED_FACE('',(#19141),#2592,.F.); #85865=ADVANCED_FACE('',(#19142),#2593,.F.); #85866=ADVANCED_FACE('',(#19143),#2594,.F.); #85867=ADVANCED_FACE('',(#19144),#2595,.F.); #85868=ADVANCED_FACE('',(#19145),#2596,.F.); #85869=ADVANCED_FACE('',(#19146),#2597,.F.); #85870=ADVANCED_FACE('',(#19147),#2598,.F.); #85871=ADVANCED_FACE('',(#19148),#2599,.F.); #85872=ADVANCED_FACE('',(#19149),#2600,.F.); #85873=ADVANCED_FACE('',(#19150),#2601,.F.); #85874=ADVANCED_FACE('',(#19151),#2602,.F.); #85875=ADVANCED_FACE('',(#19152),#2603,.F.); #85876=ADVANCED_FACE('',(#19153),#2604,.F.); #85877=ADVANCED_FACE('',(#19154),#2605,.F.); #85878=ADVANCED_FACE('',(#19155),#2606,.F.); #85879=ADVANCED_FACE('',(#19156),#2607,.F.); #85880=ADVANCED_FACE('',(#19157),#2608,.T.); #85881=ADVANCED_FACE('',(#19158),#2609,.T.); #85882=ADVANCED_FACE('',(#19159),#2610,.T.); #85883=ADVANCED_FACE('',(#19160),#2611,.T.); #85884=ADVANCED_FACE('',(#19161),#2612,.T.); #85885=ADVANCED_FACE('',(#19162),#2613,.T.); #85886=ADVANCED_FACE('',(#19163),#2614,.T.); #85887=ADVANCED_FACE('',(#19164),#2615,.T.); #85888=ADVANCED_FACE('',(#19165),#2616,.T.); #85889=ADVANCED_FACE('',(#19166),#2617,.T.); #85890=ADVANCED_FACE('',(#19167),#2618,.T.); #85891=ADVANCED_FACE('',(#19168),#2619,.T.); #85892=ADVANCED_FACE('',(#19169),#2620,.T.); #85893=ADVANCED_FACE('',(#19170),#2621,.T.); #85894=ADVANCED_FACE('',(#19171),#2622,.T.); #85895=ADVANCED_FACE('',(#19172),#2623,.T.); #85896=ADVANCED_FACE('',(#19173),#2624,.T.); #85897=ADVANCED_FACE('',(#19174),#2625,.T.); #85898=ADVANCED_FACE('',(#19175),#2626,.F.); #85899=ADVANCED_FACE('',(#19176),#2627,.F.); #85900=ADVANCED_FACE('',(#19177),#2628,.F.); #85901=ADVANCED_FACE('',(#19178),#2629,.F.); #85902=ADVANCED_FACE('',(#19179),#2630,.F.); #85903=ADVANCED_FACE('',(#19180),#2631,.F.); #85904=ADVANCED_FACE('',(#19181),#2632,.F.); #85905=ADVANCED_FACE('',(#19182),#2633,.F.); #85906=ADVANCED_FACE('',(#19183),#2634,.F.); #85907=ADVANCED_FACE('',(#19184),#2635,.F.); #85908=ADVANCED_FACE('',(#19185),#2636,.F.); #85909=ADVANCED_FACE('',(#19186),#2637,.F.); #85910=ADVANCED_FACE('',(#19187),#2638,.F.); #85911=ADVANCED_FACE('',(#19188),#2639,.F.); #85912=ADVANCED_FACE('',(#19189),#2640,.F.); #85913=ADVANCED_FACE('',(#19190),#2641,.F.); #85914=ADVANCED_FACE('',(#19191),#2642,.F.); #85915=ADVANCED_FACE('',(#19192),#2643,.F.); #85916=ADVANCED_FACE('',(#19193),#2644,.F.); #85917=ADVANCED_FACE('',(#19194),#2645,.F.); #85918=ADVANCED_FACE('',(#19195),#2646,.F.); #85919=ADVANCED_FACE('',(#19196),#2647,.F.); #85920=ADVANCED_FACE('',(#19197),#2648,.F.); #85921=ADVANCED_FACE('',(#19198),#2649,.F.); #85922=ADVANCED_FACE('',(#19199),#2650,.F.); #85923=ADVANCED_FACE('',(#19200),#2651,.F.); #85924=ADVANCED_FACE('',(#19201,#1707),#2652,.F.); #85925=ADVANCED_FACE('',(#19202),#2653,.F.); #85926=ADVANCED_FACE('',(#19203),#2654,.F.); #85927=ADVANCED_FACE('',(#19204),#2655,.F.); #85928=ADVANCED_FACE('',(#19205),#2656,.F.); #85929=ADVANCED_FACE('',(#19206),#2657,.F.); #85930=ADVANCED_FACE('',(#19207),#2658,.F.); #85931=ADVANCED_FACE('',(#19208),#2659,.F.); #85932=ADVANCED_FACE('',(#19209),#2660,.F.); #85933=ADVANCED_FACE('',(#19210),#2661,.F.); #85934=ADVANCED_FACE('',(#19211),#2662,.F.); #85935=ADVANCED_FACE('',(#19212),#2663,.F.); #85936=ADVANCED_FACE('',(#19213),#2664,.F.); #85937=ADVANCED_FACE('',(#19214),#2665,.F.); #85938=ADVANCED_FACE('',(#19215),#2666,.F.); #85939=ADVANCED_FACE('',(#19216),#2667,.F.); #85940=ADVANCED_FACE('',(#19217),#2668,.F.); #85941=ADVANCED_FACE('',(#19218),#2669,.F.); #85942=ADVANCED_FACE('',(#19219),#2670,.F.); #85943=ADVANCED_FACE('',(#19220),#2671,.F.); #85944=ADVANCED_FACE('',(#19221),#2672,.F.); #85945=ADVANCED_FACE('',(#19222),#2673,.F.); #85946=ADVANCED_FACE('',(#19223),#2674,.F.); #85947=ADVANCED_FACE('',(#19224),#2675,.F.); #85948=ADVANCED_FACE('',(#19225),#2676,.F.); #85949=ADVANCED_FACE('',(#19226),#2677,.F.); #85950=ADVANCED_FACE('',(#19227),#2678,.F.); #85951=ADVANCED_FACE('',(#19228),#2679,.F.); #85952=ADVANCED_FACE('',(#19229),#2680,.F.); #85953=ADVANCED_FACE('',(#19230),#2681,.F.); #85954=ADVANCED_FACE('',(#19231),#2682,.F.); #85955=ADVANCED_FACE('',(#19232),#2683,.F.); #85956=ADVANCED_FACE('',(#19233),#2684,.F.); #85957=ADVANCED_FACE('',(#19234),#2685,.F.); #85958=ADVANCED_FACE('',(#19235),#2686,.F.); #85959=ADVANCED_FACE('',(#19236),#2687,.F.); #85960=ADVANCED_FACE('',(#19237),#2688,.F.); #85961=ADVANCED_FACE('',(#19238),#2689,.F.); #85962=ADVANCED_FACE('',(#19239),#2690,.F.); #85963=ADVANCED_FACE('',(#19240),#2691,.F.); #85964=ADVANCED_FACE('',(#19241),#2692,.F.); #85965=ADVANCED_FACE('',(#19242),#2693,.F.); #85966=ADVANCED_FACE('',(#19243),#2694,.F.); #85967=ADVANCED_FACE('',(#19244),#2695,.F.); #85968=ADVANCED_FACE('',(#19245),#2696,.F.); #85969=ADVANCED_FACE('',(#19246),#2697,.F.); #85970=ADVANCED_FACE('',(#19247),#2698,.F.); #85971=ADVANCED_FACE('',(#19248),#2699,.F.); #85972=ADVANCED_FACE('',(#19249),#2700,.F.); #85973=ADVANCED_FACE('',(#19250),#2701,.F.); #85974=ADVANCED_FACE('',(#19251),#2702,.F.); #85975=ADVANCED_FACE('',(#19252),#2703,.F.); #85976=ADVANCED_FACE('',(#19253),#2704,.F.); #85977=ADVANCED_FACE('',(#19254),#2705,.F.); #85978=ADVANCED_FACE('',(#19255),#2706,.F.); #85979=ADVANCED_FACE('',(#19256),#2707,.F.); #85980=ADVANCED_FACE('',(#19257),#2708,.F.); #85981=ADVANCED_FACE('',(#19258),#2709,.F.); #85982=ADVANCED_FACE('',(#19259),#2710,.F.); #85983=ADVANCED_FACE('',(#19260),#2711,.F.); #85984=ADVANCED_FACE('',(#19261),#2712,.F.); #85985=ADVANCED_FACE('',(#19262),#2713,.F.); #85986=ADVANCED_FACE('',(#19263),#2714,.F.); #85987=ADVANCED_FACE('',(#19264),#2715,.F.); #85988=ADVANCED_FACE('',(#19265),#2716,.F.); #85989=ADVANCED_FACE('',(#19266),#2717,.F.); #85990=ADVANCED_FACE('',(#19267),#2718,.F.); #85991=ADVANCED_FACE('',(#19268),#2719,.F.); #85992=ADVANCED_FACE('',(#19269),#2720,.F.); #85993=ADVANCED_FACE('',(#19270),#2721,.F.); #85994=ADVANCED_FACE('',(#19271),#2722,.F.); #85995=ADVANCED_FACE('',(#19272),#2723,.F.); #85996=ADVANCED_FACE('',(#19273),#2724,.F.); #85997=ADVANCED_FACE('',(#19274),#2725,.F.); #85998=ADVANCED_FACE('',(#19275),#2726,.F.); #85999=ADVANCED_FACE('',(#19276),#2727,.F.); #86000=ADVANCED_FACE('',(#19277),#2728,.F.); #86001=ADVANCED_FACE('',(#19278),#2729,.F.); #86002=ADVANCED_FACE('',(#19279),#2730,.F.); #86003=ADVANCED_FACE('',(#19280),#2731,.F.); #86004=ADVANCED_FACE('',(#19281),#2732,.F.); #86005=ADVANCED_FACE('',(#19282),#2733,.F.); #86006=ADVANCED_FACE('',(#19283),#2734,.F.); #86007=ADVANCED_FACE('',(#19284),#2735,.F.); #86008=ADVANCED_FACE('',(#19285),#2736,.F.); #86009=ADVANCED_FACE('',(#19286),#2737,.F.); #86010=ADVANCED_FACE('',(#19287),#2738,.F.); #86011=ADVANCED_FACE('',(#19288),#2739,.T.); #86012=ADVANCED_FACE('',(#19289),#2740,.T.); #86013=ADVANCED_FACE('',(#19290),#2741,.T.); #86014=ADVANCED_FACE('',(#19291),#2742,.F.); #86015=ADVANCED_FACE('',(#19292),#2743,.F.); #86016=ADVANCED_FACE('',(#19293),#2744,.F.); #86017=ADVANCED_FACE('',(#19294),#2745,.F.); #86018=ADVANCED_FACE('',(#19295),#2746,.F.); #86019=ADVANCED_FACE('',(#19296),#2747,.F.); #86020=ADVANCED_FACE('',(#19297),#2748,.F.); #86021=ADVANCED_FACE('',(#19298),#2749,.F.); #86022=ADVANCED_FACE('',(#19299),#2750,.F.); #86023=ADVANCED_FACE('',(#19300),#2751,.F.); #86024=ADVANCED_FACE('',(#19301),#2752,.F.); #86025=ADVANCED_FACE('',(#19302,#1708),#2753,.F.); #86026=ADVANCED_FACE('',(#19303),#2754,.F.); #86027=ADVANCED_FACE('',(#19304),#2755,.F.); #86028=ADVANCED_FACE('',(#19305),#17841,.F.); #86029=ADVANCED_FACE('',(#19306),#2756,.F.); #86030=ADVANCED_FACE('',(#19307),#2757,.F.); #86031=ADVANCED_FACE('',(#19308),#2758,.F.); #86032=ADVANCED_FACE('',(#19309),#17842,.T.); #86033=ADVANCED_FACE('',(#19310),#2759,.F.); #86034=ADVANCED_FACE('',(#19311),#2760,.F.); #86035=ADVANCED_FACE('',(#19312),#2761,.F.); #86036=ADVANCED_FACE('',(#19313),#2762,.F.); #86037=ADVANCED_FACE('',(#19314),#2763,.F.); #86038=ADVANCED_FACE('',(#19315),#2764,.F.); #86039=ADVANCED_FACE('',(#19316),#17843,.F.); #86040=ADVANCED_FACE('',(#19317),#2765,.F.); #86041=ADVANCED_FACE('',(#19318),#2766,.F.); #86042=ADVANCED_FACE('',(#19319),#2767,.F.); #86043=ADVANCED_FACE('',(#19320),#17844,.T.); #86044=ADVANCED_FACE('',(#19321),#2768,.F.); #86045=ADVANCED_FACE('',(#19322),#2769,.F.); #86046=ADVANCED_FACE('',(#19323),#2770,.F.); #86047=ADVANCED_FACE('',(#19324),#2771,.F.); #86048=ADVANCED_FACE('',(#19325),#2772,.F.); #86049=ADVANCED_FACE('',(#19326),#2773,.F.); #86050=ADVANCED_FACE('',(#19327),#2774,.F.); #86051=ADVANCED_FACE('',(#19328),#2775,.F.); #86052=ADVANCED_FACE('',(#19329),#17845,.T.); #86053=ADVANCED_FACE('',(#19330),#2776,.F.); #86054=ADVANCED_FACE('',(#19331),#2777,.F.); #86055=ADVANCED_FACE('',(#19332),#2778,.F.); #86056=ADVANCED_FACE('',(#19333),#2779,.F.); #86057=ADVANCED_FACE('',(#19334),#2780,.F.); #86058=ADVANCED_FACE('',(#19335),#2781,.F.); #86059=ADVANCED_FACE('',(#19336),#17846,.F.); #86060=ADVANCED_FACE('',(#19337),#2782,.F.); #86061=ADVANCED_FACE('',(#19338),#2783,.F.); #86062=ADVANCED_FACE('',(#19339),#17847,.T.); #86063=ADVANCED_FACE('',(#19340),#2784,.T.); #86064=ADVANCED_FACE('',(#19341),#17848,.F.); #86065=ADVANCED_FACE('',(#19342),#2785,.T.); #86066=ADVANCED_FACE('',(#19343),#17849,.T.); #86067=ADVANCED_FACE('',(#19344),#17850,.F.); #86068=ADVANCED_FACE('',(#19345),#2786,.T.); #86069=ADVANCED_FACE('',(#19346),#17851,.T.); #86070=ADVANCED_FACE('',(#19347),#2787,.T.); #86071=ADVANCED_FACE('',(#19348),#2788,.T.); #86072=ADVANCED_FACE('',(#19349),#17852,.T.); #86073=ADVANCED_FACE('',(#19350),#2789,.T.); #86074=ADVANCED_FACE('',(#19351),#17853,.F.); #86075=ADVANCED_FACE('',(#19352),#2790,.T.); #86076=ADVANCED_FACE('',(#19353),#17854,.T.); #86077=ADVANCED_FACE('',(#19354),#2791,.T.); #86078=ADVANCED_FACE('',(#19355),#17855,.F.); #86079=ADVANCED_FACE('',(#19356),#2792,.T.); #86080=ADVANCED_FACE('',(#19357),#17856,.F.); #86081=ADVANCED_FACE('',(#19358),#2793,.T.); #86082=ADVANCED_FACE('',(#19359),#17857,.T.); #86083=ADVANCED_FACE('',(#19360),#17858,.T.); #86084=ADVANCED_FACE('',(#19361),#2794,.T.); #86085=ADVANCED_FACE('',(#19362),#17859,.F.); #86086=ADVANCED_FACE('',(#19363),#2795,.T.); #86087=ADVANCED_FACE('',(#19364),#17860,.T.); #86088=ADVANCED_FACE('',(#19365),#2796,.T.); #86089=ADVANCED_FACE('',(#19366),#17861,.F.); #86090=ADVANCED_FACE('',(#19367),#2797,.T.); #86091=ADVANCED_FACE('',(#19368),#2798,.T.); #86092=ADVANCED_FACE('',(#19369),#17862,.T.); #86093=ADVANCED_FACE('',(#19370),#2799,.T.); #86094=ADVANCED_FACE('',(#19371),#17863,.F.); #86095=ADVANCED_FACE('',(#19372),#2800,.T.); #86096=ADVANCED_FACE('',(#19373),#17864,.T.); #86097=ADVANCED_FACE('',(#19374),#2801,.T.); #86098=ADVANCED_FACE('',(#19375),#17865,.F.); #86099=ADVANCED_FACE('',(#19376),#17866,.T.); #86100=ADVANCED_FACE('',(#19377),#2802,.T.); #86101=ADVANCED_FACE('',(#19378),#17867,.F.); #86102=ADVANCED_FACE('',(#19379),#2803,.T.); #86103=ADVANCED_FACE('',(#19380),#2804,.T.); #86104=ADVANCED_FACE('',(#19381),#17868,.T.); #86105=ADVANCED_FACE('',(#19382),#2805,.T.); #86106=ADVANCED_FACE('',(#19383),#17869,.F.); #86107=ADVANCED_FACE('',(#19384),#2806,.T.); #86108=ADVANCED_FACE('',(#19385),#17870,.T.); #86109=ADVANCED_FACE('',(#19386),#2807,.T.); #86110=ADVANCED_FACE('',(#19387),#17871,.F.); #86111=ADVANCED_FACE('',(#19388),#17872,.F.); #86112=ADVANCED_FACE('',(#19389,#1709,#1710,#1711,#1712,#1713,#1714,#1715, #1716,#1717,#1718,#1719,#1720,#1721),#2808,.F.); #86113=ADVANCED_FACE('',(#19390),#2809,.T.); #86114=ADVANCED_FACE('',(#19391),#2810,.T.); #86115=ADVANCED_FACE('',(#19392),#2811,.T.); #86116=ADVANCED_FACE('',(#19393),#2812,.T.); #86117=ADVANCED_FACE('',(#19394),#2813,.T.); #86118=ADVANCED_FACE('',(#19395),#2814,.T.); #86119=ADVANCED_FACE('',(#19396),#2815,.T.); #86120=ADVANCED_FACE('',(#19397),#2816,.T.); #86121=ADVANCED_FACE('',(#19398),#2817,.T.); #86122=ADVANCED_FACE('',(#19399),#2818,.T.); #86123=ADVANCED_FACE('',(#19400),#2819,.T.); #86124=ADVANCED_FACE('',(#19401),#2820,.T.); #86125=ADVANCED_FACE('',(#19402),#2821,.T.); #86126=ADVANCED_FACE('',(#19403),#2822,.T.); #86127=ADVANCED_FACE('',(#19404),#2823,.T.); #86128=ADVANCED_FACE('',(#19405),#2824,.T.); #86129=ADVANCED_FACE('',(#19406),#2825,.T.); #86130=ADVANCED_FACE('',(#19407),#2826,.T.); #86131=ADVANCED_FACE('',(#19408),#2827,.T.); #86132=ADVANCED_FACE('',(#19409),#2828,.T.); #86133=ADVANCED_FACE('',(#19410),#2829,.F.); #86134=ADVANCED_FACE('',(#19411),#2830,.F.); #86135=ADVANCED_FACE('',(#19412),#2831,.F.); #86136=ADVANCED_FACE('',(#19413),#2832,.F.); #86137=ADVANCED_FACE('',(#19414),#2833,.F.); #86138=ADVANCED_FACE('',(#19415),#2834,.F.); #86139=ADVANCED_FACE('',(#19416),#2835,.F.); #86140=ADVANCED_FACE('',(#19417),#2836,.F.); #86141=ADVANCED_FACE('',(#19418),#2837,.F.); #86142=ADVANCED_FACE('',(#19419),#2838,.F.); #86143=ADVANCED_FACE('',(#19420),#2839,.F.); #86144=ADVANCED_FACE('',(#19421),#2840,.F.); #86145=ADVANCED_FACE('',(#19422),#2841,.F.); #86146=ADVANCED_FACE('',(#19423),#2842,.F.); #86147=ADVANCED_FACE('',(#19424),#2843,.F.); #86148=ADVANCED_FACE('',(#19425),#2844,.F.); #86149=ADVANCED_FACE('',(#19426),#2845,.F.); #86150=ADVANCED_FACE('',(#19427),#2846,.F.); #86151=ADVANCED_FACE('',(#19428),#2847,.F.); #86152=ADVANCED_FACE('',(#19429),#2848,.F.); #86153=ADVANCED_FACE('',(#19430),#2849,.F.); #86154=ADVANCED_FACE('',(#19431),#2850,.F.); #86155=ADVANCED_FACE('',(#19432),#2851,.F.); #86156=ADVANCED_FACE('',(#19433),#2852,.F.); #86157=ADVANCED_FACE('',(#19434),#2853,.F.); #86158=ADVANCED_FACE('',(#19435),#2854,.F.); #86159=ADVANCED_FACE('',(#19436),#2855,.F.); #86160=ADVANCED_FACE('',(#19437),#2856,.F.); #86161=ADVANCED_FACE('',(#19438),#2857,.F.); #86162=ADVANCED_FACE('',(#19439),#2858,.F.); #86163=ADVANCED_FACE('',(#19440),#2859,.F.); #86164=ADVANCED_FACE('',(#19441),#2860,.F.); #86165=ADVANCED_FACE('',(#19442),#2861,.F.); #86166=ADVANCED_FACE('',(#19443),#2862,.F.); #86167=ADVANCED_FACE('',(#19444),#2863,.F.); #86168=ADVANCED_FACE('',(#19445),#2864,.F.); #86169=ADVANCED_FACE('',(#19446),#2865,.F.); #86170=ADVANCED_FACE('',(#19447),#2866,.F.); #86171=ADVANCED_FACE('',(#19448),#2867,.F.); #86172=ADVANCED_FACE('',(#19449),#2868,.F.); #86173=ADVANCED_FACE('',(#19450,#1722),#2869,.F.); #86174=ADVANCED_FACE('',(#19451),#2870,.F.); #86175=ADVANCED_FACE('',(#19452),#2871,.F.); #86176=ADVANCED_FACE('',(#19453),#2872,.F.); #86177=ADVANCED_FACE('',(#19454),#2873,.F.); #86178=ADVANCED_FACE('',(#19455),#2874,.F.); #86179=ADVANCED_FACE('',(#19456),#2875,.F.); #86180=ADVANCED_FACE('',(#19457),#2876,.F.); #86181=ADVANCED_FACE('',(#19458),#2877,.F.); #86182=ADVANCED_FACE('',(#19459),#2878,.F.); #86183=ADVANCED_FACE('',(#19460),#2879,.F.); #86184=ADVANCED_FACE('',(#19461),#2880,.F.); #86185=ADVANCED_FACE('',(#19462),#2881,.F.); #86186=ADVANCED_FACE('',(#19463),#2882,.F.); #86187=ADVANCED_FACE('',(#19464),#2883,.F.); #86188=ADVANCED_FACE('',(#19465),#2884,.F.); #86189=ADVANCED_FACE('',(#19466),#2885,.F.); #86190=ADVANCED_FACE('',(#19467),#2886,.F.); #86191=ADVANCED_FACE('',(#19468),#2887,.F.); #86192=ADVANCED_FACE('',(#19469),#2888,.F.); #86193=ADVANCED_FACE('',(#19470),#2889,.F.); #86194=ADVANCED_FACE('',(#19471),#2890,.F.); #86195=ADVANCED_FACE('',(#19472),#2891,.F.); #86196=ADVANCED_FACE('',(#19473),#2892,.F.); #86197=ADVANCED_FACE('',(#19474),#2893,.F.); #86198=ADVANCED_FACE('',(#19475),#2894,.F.); #86199=ADVANCED_FACE('',(#19476),#2895,.F.); #86200=ADVANCED_FACE('',(#19477),#2896,.F.); #86201=ADVANCED_FACE('',(#19478),#2897,.F.); #86202=ADVANCED_FACE('',(#19479),#2898,.F.); #86203=ADVANCED_FACE('',(#19480),#2899,.F.); #86204=ADVANCED_FACE('',(#19481),#2900,.F.); #86205=ADVANCED_FACE('',(#19482),#2901,.F.); #86206=ADVANCED_FACE('',(#19483),#2902,.F.); #86207=ADVANCED_FACE('',(#19484),#2903,.F.); #86208=ADVANCED_FACE('',(#19485),#2904,.F.); #86209=ADVANCED_FACE('',(#19486),#2905,.F.); #86210=ADVANCED_FACE('',(#19487),#2906,.F.); #86211=ADVANCED_FACE('',(#19488),#2907,.F.); #86212=ADVANCED_FACE('',(#19489),#2908,.F.); #86213=ADVANCED_FACE('',(#19490),#2909,.F.); #86214=ADVANCED_FACE('',(#19491),#2910,.F.); #86215=ADVANCED_FACE('',(#19492),#2911,.F.); #86216=ADVANCED_FACE('',(#19493),#2912,.F.); #86217=ADVANCED_FACE('',(#19494),#2913,.F.); #86218=ADVANCED_FACE('',(#19495),#2914,.F.); #86219=ADVANCED_FACE('',(#19496),#2915,.F.); #86220=ADVANCED_FACE('',(#19497),#2916,.F.); #86221=ADVANCED_FACE('',(#19498),#2917,.F.); #86222=ADVANCED_FACE('',(#19499),#2918,.F.); #86223=ADVANCED_FACE('',(#19500),#2919,.F.); #86224=ADVANCED_FACE('',(#19501),#2920,.F.); #86225=ADVANCED_FACE('',(#19502),#2921,.F.); #86226=ADVANCED_FACE('',(#19503),#2922,.F.); #86227=ADVANCED_FACE('',(#19504),#2923,.F.); #86228=ADVANCED_FACE('',(#19505),#2924,.F.); #86229=ADVANCED_FACE('',(#19506),#2925,.F.); #86230=ADVANCED_FACE('',(#19507),#2926,.F.); #86231=ADVANCED_FACE('',(#19508),#2927,.F.); #86232=ADVANCED_FACE('',(#19509),#2928,.T.); #86233=ADVANCED_FACE('',(#19510),#2929,.T.); #86234=ADVANCED_FACE('',(#19511),#2930,.T.); #86235=ADVANCED_FACE('',(#19512),#2931,.T.); #86236=ADVANCED_FACE('',(#19513),#2932,.T.); #86237=ADVANCED_FACE('',(#19514),#2933,.T.); #86238=ADVANCED_FACE('',(#19515),#2934,.T.); #86239=ADVANCED_FACE('',(#19516),#2935,.T.); #86240=ADVANCED_FACE('',(#19517),#2936,.T.); #86241=ADVANCED_FACE('',(#19518),#2937,.T.); #86242=ADVANCED_FACE('',(#19519),#2938,.T.); #86243=ADVANCED_FACE('',(#19520),#2939,.T.); #86244=ADVANCED_FACE('',(#19521),#2940,.T.); #86245=ADVANCED_FACE('',(#19522),#2941,.T.); #86246=ADVANCED_FACE('',(#19523),#2942,.T.); #86247=ADVANCED_FACE('',(#19524),#2943,.T.); #86248=ADVANCED_FACE('',(#19525),#2944,.T.); #86249=ADVANCED_FACE('',(#19526),#2945,.T.); #86250=ADVANCED_FACE('',(#19527),#2946,.T.); #86251=ADVANCED_FACE('',(#19528),#2947,.T.); #86252=ADVANCED_FACE('',(#19529),#2948,.T.); #86253=ADVANCED_FACE('',(#19530),#2949,.T.); #86254=ADVANCED_FACE('',(#19531),#2950,.T.); #86255=ADVANCED_FACE('',(#19532),#2951,.T.); #86256=ADVANCED_FACE('',(#19533),#2952,.T.); #86257=ADVANCED_FACE('',(#19534),#2953,.T.); #86258=ADVANCED_FACE('',(#19535),#2954,.T.); #86259=ADVANCED_FACE('',(#19536),#2955,.T.); #86260=ADVANCED_FACE('',(#19537),#2956,.T.); #86261=ADVANCED_FACE('',(#19538),#2957,.T.); #86262=ADVANCED_FACE('',(#19539),#2958,.T.); #86263=ADVANCED_FACE('',(#19540),#2959,.T.); #86264=ADVANCED_FACE('',(#19541),#2960,.F.); #86265=ADVANCED_FACE('',(#19542),#2961,.F.); #86266=ADVANCED_FACE('',(#19543),#2962,.F.); #86267=ADVANCED_FACE('',(#19544),#2963,.F.); #86268=ADVANCED_FACE('',(#19545),#2964,.F.); #86269=ADVANCED_FACE('',(#19546),#2965,.F.); #86270=ADVANCED_FACE('',(#19547),#2966,.F.); #86271=ADVANCED_FACE('',(#19548),#2967,.F.); #86272=ADVANCED_FACE('',(#19549),#2968,.F.); #86273=ADVANCED_FACE('',(#19550),#2969,.F.); #86274=ADVANCED_FACE('',(#19551),#2970,.F.); #86275=ADVANCED_FACE('',(#19552),#2971,.F.); #86276=ADVANCED_FACE('',(#19553),#2972,.F.); #86277=ADVANCED_FACE('',(#19554),#2973,.F.); #86278=ADVANCED_FACE('',(#19555),#2974,.F.); #86279=ADVANCED_FACE('',(#19556),#2975,.F.); #86280=ADVANCED_FACE('',(#19557),#2976,.F.); #86281=ADVANCED_FACE('',(#19558),#2977,.F.); #86282=ADVANCED_FACE('',(#19559),#2978,.F.); #86283=ADVANCED_FACE('',(#19560),#2979,.F.); #86284=ADVANCED_FACE('',(#19561),#2980,.F.); #86285=ADVANCED_FACE('',(#19562),#2981,.F.); #86286=ADVANCED_FACE('',(#19563),#2982,.F.); #86287=ADVANCED_FACE('',(#19564),#2983,.F.); #86288=ADVANCED_FACE('',(#19565),#2984,.F.); #86289=ADVANCED_FACE('',(#19566),#2985,.F.); #86290=ADVANCED_FACE('',(#19567),#2986,.F.); #86291=ADVANCED_FACE('',(#19568),#2987,.F.); #86292=ADVANCED_FACE('',(#19569),#2988,.F.); #86293=ADVANCED_FACE('',(#19570),#2989,.F.); #86294=ADVANCED_FACE('',(#19571),#2990,.F.); #86295=ADVANCED_FACE('',(#19572),#2991,.F.); #86296=ADVANCED_FACE('',(#19573),#2992,.F.); #86297=ADVANCED_FACE('',(#19574),#2993,.F.); #86298=ADVANCED_FACE('',(#19575,#1723,#1724),#2994,.F.); #86299=ADVANCED_FACE('',(#19576),#2995,.F.); #86300=ADVANCED_FACE('',(#19577),#2996,.F.); #86301=ADVANCED_FACE('',(#19578),#2997,.F.); #86302=ADVANCED_FACE('',(#19579),#2998,.F.); #86303=ADVANCED_FACE('',(#19580),#2999,.F.); #86304=ADVANCED_FACE('',(#19581),#3000,.F.); #86305=ADVANCED_FACE('',(#19582),#3001,.F.); #86306=ADVANCED_FACE('',(#19583),#3002,.F.); #86307=ADVANCED_FACE('',(#19584),#3003,.T.); #86308=ADVANCED_FACE('',(#19585),#3004,.T.); #86309=ADVANCED_FACE('',(#19586),#3005,.T.); #86310=ADVANCED_FACE('',(#19587),#3006,.T.); #86311=ADVANCED_FACE('',(#19588),#3007,.T.); #86312=ADVANCED_FACE('',(#19589),#3008,.T.); #86313=ADVANCED_FACE('',(#19590),#3009,.T.); #86314=ADVANCED_FACE('',(#19591),#3010,.T.); #86315=ADVANCED_FACE('',(#19592),#3011,.T.); #86316=ADVANCED_FACE('',(#19593),#3012,.T.); #86317=ADVANCED_FACE('',(#19594),#3013,.T.); #86318=ADVANCED_FACE('',(#19595),#3014,.T.); #86319=ADVANCED_FACE('',(#19596),#3015,.T.); #86320=ADVANCED_FACE('',(#19597),#3016,.T.); #86321=ADVANCED_FACE('',(#19598),#3017,.T.); #86322=ADVANCED_FACE('',(#19599),#3018,.T.); #86323=ADVANCED_FACE('',(#19600),#3019,.T.); #86324=ADVANCED_FACE('',(#19601),#3020,.T.); #86325=ADVANCED_FACE('',(#19602),#3021,.T.); #86326=ADVANCED_FACE('',(#19603),#3022,.T.); #86327=ADVANCED_FACE('',(#19604),#3023,.F.); #86328=ADVANCED_FACE('',(#19605),#3024,.F.); #86329=ADVANCED_FACE('',(#19606),#3025,.F.); #86330=ADVANCED_FACE('',(#19607),#3026,.F.); #86331=ADVANCED_FACE('',(#19608),#3027,.F.); #86332=ADVANCED_FACE('',(#19609),#3028,.F.); #86333=ADVANCED_FACE('',(#19610),#3029,.F.); #86334=ADVANCED_FACE('',(#19611),#3030,.F.); #86335=ADVANCED_FACE('',(#19612),#3031,.F.); #86336=ADVANCED_FACE('',(#19613),#3032,.F.); #86337=ADVANCED_FACE('',(#19614),#3033,.F.); #86338=ADVANCED_FACE('',(#19615),#3034,.F.); #86339=ADVANCED_FACE('',(#19616),#3035,.F.); #86340=ADVANCED_FACE('',(#19617),#3036,.F.); #86341=ADVANCED_FACE('',(#19618),#3037,.F.); #86342=ADVANCED_FACE('',(#19619),#3038,.F.); #86343=ADVANCED_FACE('',(#19620),#3039,.F.); #86344=ADVANCED_FACE('',(#19621),#3040,.F.); #86345=ADVANCED_FACE('',(#19622),#3041,.F.); #86346=ADVANCED_FACE('',(#19623),#3042,.F.); #86347=ADVANCED_FACE('',(#19624),#3043,.F.); #86348=ADVANCED_FACE('',(#19625),#3044,.F.); #86349=ADVANCED_FACE('',(#19626),#3045,.F.); #86350=ADVANCED_FACE('',(#19627),#3046,.F.); #86351=ADVANCED_FACE('',(#19628),#3047,.F.); #86352=ADVANCED_FACE('',(#19629),#3048,.F.); #86353=ADVANCED_FACE('',(#19630),#3049,.F.); #86354=ADVANCED_FACE('',(#19631),#3050,.F.); #86355=ADVANCED_FACE('',(#19632),#3051,.F.); #86356=ADVANCED_FACE('',(#19633),#3052,.F.); #86357=ADVANCED_FACE('',(#19634),#3053,.F.); #86358=ADVANCED_FACE('',(#19635),#3054,.F.); #86359=ADVANCED_FACE('',(#19636),#3055,.F.); #86360=ADVANCED_FACE('',(#19637),#3056,.F.); #86361=ADVANCED_FACE('',(#19638),#3057,.F.); #86362=ADVANCED_FACE('',(#19639),#3058,.F.); #86363=ADVANCED_FACE('',(#19640),#3059,.F.); #86364=ADVANCED_FACE('',(#19641),#3060,.F.); #86365=ADVANCED_FACE('',(#19642),#3061,.F.); #86366=ADVANCED_FACE('',(#19643),#3062,.F.); #86367=ADVANCED_FACE('',(#19644,#1725),#3063,.F.); #86368=ADVANCED_FACE('',(#19645),#3064,.F.); #86369=ADVANCED_FACE('',(#19646),#3065,.F.); #86370=ADVANCED_FACE('',(#19647),#3066,.F.); #86371=ADVANCED_FACE('',(#19648),#3067,.F.); #86372=ADVANCED_FACE('',(#19649),#3068,.F.); #86373=ADVANCED_FACE('',(#19650),#3069,.F.); #86374=ADVANCED_FACE('',(#19651),#3070,.F.); #86375=ADVANCED_FACE('',(#19652),#3071,.F.); #86376=ADVANCED_FACE('',(#19653),#3072,.F.); #86377=ADVANCED_FACE('',(#19654),#3073,.F.); #86378=ADVANCED_FACE('',(#19655),#3074,.F.); #86379=ADVANCED_FACE('',(#19656),#3075,.F.); #86380=ADVANCED_FACE('',(#19657),#3076,.F.); #86381=ADVANCED_FACE('',(#19658),#3077,.F.); #86382=ADVANCED_FACE('',(#19659),#3078,.F.); #86383=ADVANCED_FACE('',(#19660),#3079,.F.); #86384=ADVANCED_FACE('',(#19661),#3080,.F.); #86385=ADVANCED_FACE('',(#19662),#3081,.F.); #86386=ADVANCED_FACE('',(#19663),#3082,.F.); #86387=ADVANCED_FACE('',(#19664),#3083,.F.); #86388=ADVANCED_FACE('',(#19665),#3084,.F.); #86389=ADVANCED_FACE('',(#19666),#3085,.F.); #86390=ADVANCED_FACE('',(#19667),#3086,.F.); #86391=ADVANCED_FACE('',(#19668),#3087,.F.); #86392=ADVANCED_FACE('',(#19669),#3088,.F.); #86393=ADVANCED_FACE('',(#19670),#3089,.F.); #86394=ADVANCED_FACE('',(#19671),#3090,.F.); #86395=ADVANCED_FACE('',(#19672),#3091,.F.); #86396=ADVANCED_FACE('',(#19673),#3092,.F.); #86397=ADVANCED_FACE('',(#19674),#3093,.F.); #86398=ADVANCED_FACE('',(#19675),#3094,.F.); #86399=ADVANCED_FACE('',(#19676),#3095,.F.); #86400=ADVANCED_FACE('',(#19677),#3096,.F.); #86401=ADVANCED_FACE('',(#19678),#3097,.F.); #86402=ADVANCED_FACE('',(#19679),#3098,.F.); #86403=ADVANCED_FACE('',(#19680),#3099,.F.); #86404=ADVANCED_FACE('',(#19681),#3100,.F.); #86405=ADVANCED_FACE('',(#19682),#3101,.F.); #86406=ADVANCED_FACE('',(#19683),#3102,.F.); #86407=ADVANCED_FACE('',(#19684),#3103,.F.); #86408=ADVANCED_FACE('',(#19685),#3104,.F.); #86409=ADVANCED_FACE('',(#19686),#3105,.F.); #86410=ADVANCED_FACE('',(#19687),#3106,.F.); #86411=ADVANCED_FACE('',(#19688),#3107,.F.); #86412=ADVANCED_FACE('',(#19689),#3108,.F.); #86413=ADVANCED_FACE('',(#19690),#3109,.T.); #86414=ADVANCED_FACE('',(#19691),#3110,.T.); #86415=ADVANCED_FACE('',(#19692),#3111,.T.); #86416=ADVANCED_FACE('',(#19693),#3112,.T.); #86417=ADVANCED_FACE('',(#19694),#3113,.T.); #86418=ADVANCED_FACE('',(#19695),#3114,.T.); #86419=ADVANCED_FACE('',(#19696),#3115,.T.); #86420=ADVANCED_FACE('',(#19697),#3116,.T.); #86421=ADVANCED_FACE('',(#19698),#3117,.T.); #86422=ADVANCED_FACE('',(#19699),#3118,.T.); #86423=ADVANCED_FACE('',(#19700),#3119,.T.); #86424=ADVANCED_FACE('',(#19701),#3120,.T.); #86425=ADVANCED_FACE('',(#19702),#3121,.T.); #86426=ADVANCED_FACE('',(#19703),#3122,.T.); #86427=ADVANCED_FACE('',(#19704),#3123,.T.); #86428=ADVANCED_FACE('',(#19705),#3124,.T.); #86429=ADVANCED_FACE('',(#19706),#3125,.T.); #86430=ADVANCED_FACE('',(#19707),#3126,.T.); #86431=ADVANCED_FACE('',(#19708),#3127,.T.); #86432=ADVANCED_FACE('',(#19709),#3128,.T.); #86433=ADVANCED_FACE('',(#19710),#3129,.T.); #86434=ADVANCED_FACE('',(#19711),#3130,.T.); #86435=ADVANCED_FACE('',(#19712),#3131,.T.); #86436=ADVANCED_FACE('',(#19713),#3132,.T.); #86437=ADVANCED_FACE('',(#19714),#3133,.T.); #86438=ADVANCED_FACE('',(#19715),#3134,.T.); #86439=ADVANCED_FACE('',(#19716),#3135,.T.); #86440=ADVANCED_FACE('',(#19717),#3136,.T.); #86441=ADVANCED_FACE('',(#19718),#3137,.T.); #86442=ADVANCED_FACE('',(#19719),#3138,.T.); #86443=ADVANCED_FACE('',(#19720),#3139,.T.); #86444=ADVANCED_FACE('',(#19721),#3140,.T.); #86445=ADVANCED_FACE('',(#19722),#3141,.F.); #86446=ADVANCED_FACE('',(#19723),#3142,.F.); #86447=ADVANCED_FACE('',(#19724),#3143,.F.); #86448=ADVANCED_FACE('',(#19725),#3144,.F.); #86449=ADVANCED_FACE('',(#19726),#3145,.F.); #86450=ADVANCED_FACE('',(#19727),#3146,.F.); #86451=ADVANCED_FACE('',(#19728),#3147,.F.); #86452=ADVANCED_FACE('',(#19729),#3148,.F.); #86453=ADVANCED_FACE('',(#19730),#3149,.F.); #86454=ADVANCED_FACE('',(#19731),#3150,.F.); #86455=ADVANCED_FACE('',(#19732),#3151,.F.); #86456=ADVANCED_FACE('',(#19733),#3152,.F.); #86457=ADVANCED_FACE('',(#19734),#3153,.F.); #86458=ADVANCED_FACE('',(#19735),#3154,.F.); #86459=ADVANCED_FACE('',(#19736),#3155,.F.); #86460=ADVANCED_FACE('',(#19737),#3156,.F.); #86461=ADVANCED_FACE('',(#19738),#3157,.F.); #86462=ADVANCED_FACE('',(#19739),#3158,.F.); #86463=ADVANCED_FACE('',(#19740),#3159,.F.); #86464=ADVANCED_FACE('',(#19741),#3160,.F.); #86465=ADVANCED_FACE('',(#19742),#3161,.F.); #86466=ADVANCED_FACE('',(#19743),#3162,.F.); #86467=ADVANCED_FACE('',(#19744),#3163,.F.); #86468=ADVANCED_FACE('',(#19745),#3164,.F.); #86469=ADVANCED_FACE('',(#19746),#3165,.F.); #86470=ADVANCED_FACE('',(#19747),#3166,.F.); #86471=ADVANCED_FACE('',(#19748),#3167,.F.); #86472=ADVANCED_FACE('',(#19749),#3168,.F.); #86473=ADVANCED_FACE('',(#19750),#3169,.F.); #86474=ADVANCED_FACE('',(#19751),#3170,.F.); #86475=ADVANCED_FACE('',(#19752),#3171,.F.); #86476=ADVANCED_FACE('',(#19753),#3172,.F.); #86477=ADVANCED_FACE('',(#19754),#3173,.F.); #86478=ADVANCED_FACE('',(#19755),#3174,.F.); #86479=ADVANCED_FACE('',(#19756,#1726,#1727),#3175,.F.); #86480=ADVANCED_FACE('',(#19757),#3176,.F.); #86481=ADVANCED_FACE('',(#19758),#3177,.F.); #86482=ADVANCED_FACE('',(#19759),#3178,.F.); #86483=ADVANCED_FACE('',(#19760),#3179,.F.); #86484=ADVANCED_FACE('',(#19761),#3180,.F.); #86485=ADVANCED_FACE('',(#19762),#3181,.F.); #86486=ADVANCED_FACE('',(#19763),#3182,.F.); #86487=ADVANCED_FACE('',(#19764),#3183,.F.); #86488=ADVANCED_FACE('',(#19765),#3184,.F.); #86489=ADVANCED_FACE('',(#19766),#84020,.F.); #86490=ADVANCED_FACE('',(#19767),#84021,.F.); #86491=ADVANCED_FACE('',(#19768),#84022,.F.); #86492=ADVANCED_FACE('',(#19769),#84023,.F.); #86493=ADVANCED_FACE('',(#19770),#3185,.F.); #86494=ADVANCED_FACE('',(#19771),#84024,.F.); #86495=ADVANCED_FACE('',(#19772),#84025,.F.); #86496=ADVANCED_FACE('',(#19773),#84026,.F.); #86497=ADVANCED_FACE('',(#19774),#3186,.F.); #86498=ADVANCED_FACE('',(#19775),#3187,.F.); #86499=ADVANCED_FACE('',(#19776),#3188,.F.); #86500=ADVANCED_FACE('',(#19777),#3189,.F.); #86501=ADVANCED_FACE('',(#19778),#3190,.F.); #86502=ADVANCED_FACE('',(#19779),#3191,.F.); #86503=ADVANCED_FACE('',(#19780),#3192,.F.); #86504=ADVANCED_FACE('',(#19781),#3193,.F.); #86505=ADVANCED_FACE('',(#19782),#3194,.F.); #86506=ADVANCED_FACE('',(#19783),#3195,.F.); #86507=ADVANCED_FACE('',(#19784),#3196,.F.); #86508=ADVANCED_FACE('',(#19785),#3197,.F.); #86509=ADVANCED_FACE('',(#19786),#84027,.F.); #86510=ADVANCED_FACE('',(#19787),#84028,.F.); #86511=ADVANCED_FACE('',(#19788),#84029,.F.); #86512=ADVANCED_FACE('',(#19789),#84030,.F.); #86513=ADVANCED_FACE('',(#19790),#84031,.F.); #86514=ADVANCED_FACE('',(#19791),#3198,.F.); #86515=ADVANCED_FACE('',(#19792),#3199,.F.); #86516=ADVANCED_FACE('',(#19793),#3200,.F.); #86517=ADVANCED_FACE('',(#19794),#3201,.F.); #86518=ADVANCED_FACE('',(#19795),#3202,.F.); #86519=ADVANCED_FACE('',(#19796),#3203,.F.); #86520=ADVANCED_FACE('',(#19797),#84032,.F.); #86521=ADVANCED_FACE('',(#19798),#84033,.F.); #86522=ADVANCED_FACE('',(#19799),#84034,.F.); #86523=ADVANCED_FACE('',(#19800),#84035,.F.); #86524=ADVANCED_FACE('',(#19801),#3204,.F.); #86525=ADVANCED_FACE('',(#19802),#3205,.F.); #86526=ADVANCED_FACE('',(#19803),#3206,.F.); #86527=ADVANCED_FACE('',(#19804),#3207,.F.); #86528=ADVANCED_FACE('',(#19805),#3208,.F.); #86529=ADVANCED_FACE('',(#19806),#3209,.F.); #86530=ADVANCED_FACE('',(#19807),#3210,.F.); #86531=ADVANCED_FACE('',(#19808),#3211,.F.); #86532=ADVANCED_FACE('',(#19809),#3212,.F.); #86533=ADVANCED_FACE('',(#19810),#3213,.F.); #86534=ADVANCED_FACE('',(#19811),#3214,.F.); #86535=ADVANCED_FACE('',(#19812),#3215,.F.); #86536=ADVANCED_FACE('',(#19813),#84036,.F.); #86537=ADVANCED_FACE('',(#19814),#84037,.F.); #86538=ADVANCED_FACE('',(#19815),#3216,.F.); #86539=ADVANCED_FACE('',(#19816),#3217,.F.); #86540=ADVANCED_FACE('',(#19817),#3218,.F.); #86541=ADVANCED_FACE('',(#19818),#3219,.F.); #86542=ADVANCED_FACE('',(#19819),#84038,.F.); #86543=ADVANCED_FACE('',(#19820),#84039,.F.); #86544=ADVANCED_FACE('',(#19821),#84040,.F.); #86545=ADVANCED_FACE('',(#19822),#84041,.F.); #86546=ADVANCED_FACE('',(#19823),#84042,.F.); #86547=ADVANCED_FACE('',(#19824),#3220,.F.); #86548=ADVANCED_FACE('',(#19825),#3221,.F.); #86549=ADVANCED_FACE('',(#19826),#3222,.F.); #86550=ADVANCED_FACE('',(#19827),#3223,.F.); #86551=ADVANCED_FACE('',(#19828),#3224,.F.); #86552=ADVANCED_FACE('',(#19829),#3225,.F.); #86553=ADVANCED_FACE('',(#19830),#84043,.F.); #86554=ADVANCED_FACE('',(#19831),#84044,.F.); #86555=ADVANCED_FACE('',(#19832),#84045,.F.); #86556=ADVANCED_FACE('',(#19833),#84046,.F.); #86557=ADVANCED_FACE('',(#19834),#3226,.F.); #86558=ADVANCED_FACE('',(#19835),#3227,.F.); #86559=ADVANCED_FACE('',(#19836),#3228,.F.); #86560=ADVANCED_FACE('',(#19837),#3229,.F.); #86561=ADVANCED_FACE('',(#19838),#3230,.F.); #86562=ADVANCED_FACE('',(#19839),#3231,.F.); #86563=ADVANCED_FACE('',(#19840),#3232,.F.); #86564=ADVANCED_FACE('',(#19841),#3233,.F.); #86565=ADVANCED_FACE('',(#19842),#3234,.F.); #86566=ADVANCED_FACE('',(#19843),#3235,.F.); #86567=ADVANCED_FACE('',(#19844),#3236,.F.); #86568=ADVANCED_FACE('',(#19845),#3237,.F.); #86569=ADVANCED_FACE('',(#19846),#3238,.F.); #86570=ADVANCED_FACE('',(#19847),#3239,.F.); #86571=ADVANCED_FACE('',(#19848),#3240,.F.); #86572=ADVANCED_FACE('',(#19849),#3241,.F.); #86573=ADVANCED_FACE('',(#19850),#3242,.F.); #86574=ADVANCED_FACE('',(#19851),#3243,.F.); #86575=ADVANCED_FACE('',(#19852),#3244,.F.); #86576=ADVANCED_FACE('',(#19853),#3245,.F.); #86577=ADVANCED_FACE('',(#19854),#3246,.F.); #86578=ADVANCED_FACE('',(#19855),#3247,.F.); #86579=ADVANCED_FACE('',(#19856),#3248,.F.); #86580=ADVANCED_FACE('',(#19857),#3249,.F.); #86581=ADVANCED_FACE('',(#19858),#3250,.F.); #86582=ADVANCED_FACE('',(#19859),#3251,.F.); #86583=ADVANCED_FACE('',(#19860),#3252,.F.); #86584=ADVANCED_FACE('',(#19861),#3253,.F.); #86585=ADVANCED_FACE('',(#19862),#3254,.F.); #86586=ADVANCED_FACE('',(#19863),#3255,.F.); #86587=ADVANCED_FACE('',(#19864),#3256,.F.); #86588=ADVANCED_FACE('',(#19865),#3257,.F.); #86589=ADVANCED_FACE('',(#19866),#3258,.F.); #86590=ADVANCED_FACE('',(#19867),#3259,.F.); #86591=ADVANCED_FACE('',(#19868),#3260,.F.); #86592=ADVANCED_FACE('',(#19869),#3261,.F.); #86593=ADVANCED_FACE('',(#19870),#3262,.T.); #86594=ADVANCED_FACE('',(#19871),#3263,.T.); #86595=ADVANCED_FACE('',(#19872),#3264,.T.); #86596=ADVANCED_FACE('',(#19873),#3265,.F.); #86597=ADVANCED_FACE('',(#19874),#3266,.F.); #86598=ADVANCED_FACE('',(#19875),#3267,.F.); #86599=ADVANCED_FACE('',(#19876),#3268,.F.); #86600=ADVANCED_FACE('',(#19877),#3269,.F.); #86601=ADVANCED_FACE('',(#19878),#3270,.F.); #86602=ADVANCED_FACE('',(#19879),#3271,.F.); #86603=ADVANCED_FACE('',(#19880),#3272,.F.); #86604=ADVANCED_FACE('',(#19881,#1728),#3273,.F.); #86605=ADVANCED_FACE('',(#19882),#84047,.T.); #86606=ADVANCED_FACE('',(#19883),#3274,.T.); #86607=ADVANCED_FACE('',(#19884),#3275,.T.); #86608=ADVANCED_FACE('',(#19885),#3276,.T.); #86609=ADVANCED_FACE('',(#19886),#84048,.T.); #86610=ADVANCED_FACE('',(#19887),#84049,.T.); #86611=ADVANCED_FACE('',(#19888),#84050,.T.); #86612=ADVANCED_FACE('',(#19889),#3277,.F.); #86613=ADVANCED_FACE('',(#19890),#84051,.F.); #86614=ADVANCED_FACE('',(#19891),#84052,.F.); #86615=ADVANCED_FACE('',(#19892),#84053,.F.); #86616=ADVANCED_FACE('',(#19893),#3278,.F.); #86617=ADVANCED_FACE('',(#19894),#3279,.F.); #86618=ADVANCED_FACE('',(#19895),#3280,.F.); #86619=ADVANCED_FACE('',(#19896),#3281,.F.); #86620=ADVANCED_FACE('',(#19897),#3282,.F.); #86621=ADVANCED_FACE('',(#19898),#3283,.F.); #86622=ADVANCED_FACE('',(#19899),#3284,.F.); #86623=ADVANCED_FACE('',(#19900,#1729),#3285,.F.); #86624=ADVANCED_FACE('',(#19901),#84054,.T.); #86625=ADVANCED_FACE('',(#19902),#3286,.T.); #86626=ADVANCED_FACE('',(#19903),#3287,.T.); #86627=ADVANCED_FACE('',(#19904),#3288,.T.); #86628=ADVANCED_FACE('',(#19905),#84055,.T.); #86629=ADVANCED_FACE('',(#19906),#84056,.T.); #86630=ADVANCED_FACE('',(#19907),#84057,.T.); #86631=ADVANCED_FACE('',(#19908),#84058,.F.); #86632=ADVANCED_FACE('',(#19909),#84059,.F.); #86633=ADVANCED_FACE('',(#19910),#3289,.F.); #86634=ADVANCED_FACE('',(#19911),#3290,.F.); #86635=ADVANCED_FACE('',(#19912),#3291,.F.); #86636=ADVANCED_FACE('',(#19913),#84060,.F.); #86637=ADVANCED_FACE('',(#19914),#84061,.F.); #86638=ADVANCED_FACE('',(#19915,#1730),#3292,.F.); #86639=ADVANCED_FACE('',(#19916),#3293,.F.); #86640=ADVANCED_FACE('',(#19917),#3294,.F.); #86641=ADVANCED_FACE('',(#19918),#3295,.F.); #86642=ADVANCED_FACE('',(#19919),#3296,.F.); #86643=ADVANCED_FACE('',(#19920),#3297,.F.); #86644=ADVANCED_FACE('',(#19921),#3298,.F.); #86645=ADVANCED_FACE('',(#19922),#3299,.F.); #86646=ADVANCED_FACE('',(#19923),#3300,.F.); #86647=ADVANCED_FACE('',(#19924),#3301,.F.); #86648=ADVANCED_FACE('',(#19925),#3302,.F.); #86649=ADVANCED_FACE('',(#19926),#3303,.F.); #86650=ADVANCED_FACE('',(#19927),#3304,.F.); #86651=ADVANCED_FACE('',(#19928),#3305,.F.); #86652=ADVANCED_FACE('',(#19929),#3306,.F.); #86653=ADVANCED_FACE('',(#19930),#84062,.F.); #86654=ADVANCED_FACE('',(#19931),#84063,.F.); #86655=ADVANCED_FACE('',(#19932),#3307,.F.); #86656=ADVANCED_FACE('',(#19933),#3308,.F.); #86657=ADVANCED_FACE('',(#19934),#3309,.F.); #86658=ADVANCED_FACE('',(#19935),#3310,.F.); #86659=ADVANCED_FACE('',(#19936),#3311,.F.); #86660=ADVANCED_FACE('',(#19937),#84064,.F.); #86661=ADVANCED_FACE('',(#19938),#84065,.F.); #86662=ADVANCED_FACE('',(#19939),#84066,.F.); #86663=ADVANCED_FACE('',(#19940),#84067,.F.); #86664=ADVANCED_FACE('',(#19941),#84068,.F.); #86665=ADVANCED_FACE('',(#19942),#84069,.F.); #86666=ADVANCED_FACE('',(#19943),#3312,.F.); #86667=ADVANCED_FACE('',(#19944),#3313,.F.); #86668=ADVANCED_FACE('',(#19945),#84070,.F.); #86669=ADVANCED_FACE('',(#19946),#84071,.F.); #86670=ADVANCED_FACE('',(#19947),#84072,.F.); #86671=ADVANCED_FACE('',(#19948),#84073,.F.); #86672=ADVANCED_FACE('',(#19949),#84074,.F.); #86673=ADVANCED_FACE('',(#19950),#84075,.F.); #86674=ADVANCED_FACE('',(#19951),#3314,.F.); #86675=ADVANCED_FACE('',(#19952),#84076,.F.); #86676=ADVANCED_FACE('',(#19953),#84077,.F.); #86677=ADVANCED_FACE('',(#19954),#3315,.F.); #86678=ADVANCED_FACE('',(#19955),#3316,.F.); #86679=ADVANCED_FACE('',(#19956),#84078,.F.); #86680=ADVANCED_FACE('',(#19957),#84079,.F.); #86681=ADVANCED_FACE('',(#19958),#84080,.F.); #86682=ADVANCED_FACE('',(#19959),#84081,.F.); #86683=ADVANCED_FACE('',(#19960),#84082,.F.); #86684=ADVANCED_FACE('',(#19961),#84083,.F.); #86685=ADVANCED_FACE('',(#19962),#84084,.F.); #86686=ADVANCED_FACE('',(#19963),#84085,.F.); #86687=ADVANCED_FACE('',(#19964),#3317,.F.); #86688=ADVANCED_FACE('',(#19965),#3318,.F.); #86689=ADVANCED_FACE('',(#19966),#84086,.F.); #86690=ADVANCED_FACE('',(#19967),#84087,.F.); #86691=ADVANCED_FACE('',(#19968),#84088,.F.); #86692=ADVANCED_FACE('',(#19969),#84089,.F.); #86693=ADVANCED_FACE('',(#19970),#84090,.F.); #86694=ADVANCED_FACE('',(#19971),#84091,.F.); #86695=ADVANCED_FACE('',(#19972),#3319,.F.); #86696=ADVANCED_FACE('',(#19973),#84092,.T.); #86697=ADVANCED_FACE('',(#19974),#3320,.T.); #86698=ADVANCED_FACE('',(#19975),#3321,.T.); #86699=ADVANCED_FACE('',(#19976),#3322,.T.); #86700=ADVANCED_FACE('',(#19977),#84093,.T.); #86701=ADVANCED_FACE('',(#19978),#84094,.T.); #86702=ADVANCED_FACE('',(#19979),#84095,.T.); #86703=ADVANCED_FACE('',(#19980),#84096,.F.); #86704=ADVANCED_FACE('',(#19981),#84097,.F.); #86705=ADVANCED_FACE('',(#19982),#3323,.F.); #86706=ADVANCED_FACE('',(#19983),#3324,.F.); #86707=ADVANCED_FACE('',(#19984),#3325,.F.); #86708=ADVANCED_FACE('',(#19985),#3326,.F.); #86709=ADVANCED_FACE('',(#19986),#3327,.F.); #86710=ADVANCED_FACE('',(#19987),#84098,.F.); #86711=ADVANCED_FACE('',(#19988),#84099,.F.); #86712=ADVANCED_FACE('',(#19989,#1731),#3328,.F.); #86713=ADVANCED_FACE('',(#19990),#3329,.T.); #86714=ADVANCED_FACE('',(#19991),#3330,.T.); #86715=ADVANCED_FACE('',(#19992),#3331,.T.); #86716=ADVANCED_FACE('',(#19993),#3332,.F.); #86717=ADVANCED_FACE('',(#19994),#3333,.F.); #86718=ADVANCED_FACE('',(#19995),#3334,.F.); #86719=ADVANCED_FACE('',(#19996),#3335,.F.); #86720=ADVANCED_FACE('',(#19997),#3336,.F.); #86721=ADVANCED_FACE('',(#19998),#3337,.F.); #86722=ADVANCED_FACE('',(#19999),#3338,.F.); #86723=ADVANCED_FACE('',(#20000),#3339,.F.); #86724=ADVANCED_FACE('',(#20001,#1732),#3340,.F.); #86725=ADVANCED_FACE('',(#20002),#3341,.F.); #86726=ADVANCED_FACE('',(#20003),#3342,.F.); #86727=ADVANCED_FACE('',(#20004),#3343,.F.); #86728=ADVANCED_FACE('',(#20005),#3344,.F.); #86729=ADVANCED_FACE('',(#20006),#3345,.F.); #86730=ADVANCED_FACE('',(#20007),#3346,.F.); #86731=ADVANCED_FACE('',(#20008),#3347,.F.); #86732=ADVANCED_FACE('',(#20009),#3348,.F.); #86733=ADVANCED_FACE('',(#20010),#3349,.F.); #86734=ADVANCED_FACE('',(#20011),#84100,.T.); #86735=ADVANCED_FACE('',(#20012),#3350,.T.); #86736=ADVANCED_FACE('',(#20013),#3351,.T.); #86737=ADVANCED_FACE('',(#20014),#3352,.T.); #86738=ADVANCED_FACE('',(#20015),#84101,.T.); #86739=ADVANCED_FACE('',(#20016),#84102,.T.); #86740=ADVANCED_FACE('',(#20017),#84103,.T.); #86741=ADVANCED_FACE('',(#20018),#84104,.F.); #86742=ADVANCED_FACE('',(#20019),#84105,.F.); #86743=ADVANCED_FACE('',(#20020),#3353,.F.); #86744=ADVANCED_FACE('',(#20021),#3354,.F.); #86745=ADVANCED_FACE('',(#20022),#3355,.F.); #86746=ADVANCED_FACE('',(#20023),#3356,.F.); #86747=ADVANCED_FACE('',(#20024),#3357,.F.); #86748=ADVANCED_FACE('',(#20025),#84106,.F.); #86749=ADVANCED_FACE('',(#20026),#84107,.F.); #86750=ADVANCED_FACE('',(#20027,#1733),#3358,.F.); #86751=ADVANCED_FACE('',(#20028),#3359,.F.); #86752=ADVANCED_FACE('',(#20029),#3360,.F.); #86753=ADVANCED_FACE('',(#20030),#3361,.F.); #86754=ADVANCED_FACE('',(#20031),#3362,.F.); #86755=ADVANCED_FACE('',(#20032),#3363,.F.); #86756=ADVANCED_FACE('',(#20033),#3364,.F.); #86757=ADVANCED_FACE('',(#20034),#3365,.F.); #86758=ADVANCED_FACE('',(#20035),#3366,.F.); #86759=ADVANCED_FACE('',(#20036),#3367,.F.); #86760=ADVANCED_FACE('',(#20037),#3368,.F.); #86761=ADVANCED_FACE('',(#20038),#3369,.F.); #86762=ADVANCED_FACE('',(#20039),#3370,.F.); #86763=ADVANCED_FACE('',(#20040),#3371,.F.); #86764=ADVANCED_FACE('',(#20041),#84108,.T.); #86765=ADVANCED_FACE('',(#20042),#3372,.T.); #86766=ADVANCED_FACE('',(#20043),#3373,.T.); #86767=ADVANCED_FACE('',(#20044),#3374,.T.); #86768=ADVANCED_FACE('',(#20045),#84109,.T.); #86769=ADVANCED_FACE('',(#20046),#84110,.T.); #86770=ADVANCED_FACE('',(#20047),#84111,.T.); #86771=ADVANCED_FACE('',(#20048),#84112,.F.); #86772=ADVANCED_FACE('',(#20049),#84113,.F.); #86773=ADVANCED_FACE('',(#20050),#3375,.F.); #86774=ADVANCED_FACE('',(#20051),#3376,.F.); #86775=ADVANCED_FACE('',(#20052),#3377,.F.); #86776=ADVANCED_FACE('',(#20053),#84114,.F.); #86777=ADVANCED_FACE('',(#20054),#84115,.F.); #86778=ADVANCED_FACE('',(#20055,#1734),#3378,.F.); #86779=ADVANCED_FACE('',(#20056),#3379,.F.); #86780=ADVANCED_FACE('',(#20057),#3380,.F.); #86781=ADVANCED_FACE('',(#20058),#3381,.F.); #86782=ADVANCED_FACE('',(#20059),#3382,.F.); #86783=ADVANCED_FACE('',(#20060),#3383,.F.); #86784=ADVANCED_FACE('',(#20061,#1735,#1736,#1737,#1738,#1739,#1740,#1741, #1742,#1743,#1744,#1745,#1746,#1747,#1748,#1749,#1750,#1751,#1752,#1753, #1754,#1755,#1756,#1757,#1758,#1759,#1760,#1761,#1762,#1763,#1764,#1765, #1766,#1767,#1768,#1769,#1770,#1771,#1772,#1773,#1774,#1775,#1776,#1777, #1778,#1779,#1780),#3384,.F.); #86785=ADVANCED_FACE('',(#20062),#3385,.F.); #86786=ADVANCED_FACE('',(#20063),#3386,.F.); #86787=ADVANCED_FACE('',(#20064),#3387,.F.); #86788=ADVANCED_FACE('',(#20065),#3388,.F.); #86789=ADVANCED_FACE('',(#20066),#3389,.F.); #86790=ADVANCED_FACE('',(#20067),#3390,.F.); #86791=ADVANCED_FACE('',(#20068,#1781,#1782,#1783),#3391,.F.); #86792=ADVANCED_FACE('',(#20069),#3392,.F.); #86793=ADVANCED_FACE('',(#20070,#1784),#3393,.F.); #86794=ADVANCED_FACE('',(#20071,#1785),#3394,.F.); #86795=ADVANCED_FACE('',(#20072),#3395,.F.); #86796=ADVANCED_FACE('',(#20073,#1786),#3396,.F.); #86797=ADVANCED_FACE('',(#20074),#3397,.F.); #86798=ADVANCED_FACE('',(#20075),#3398,.F.); #86799=ADVANCED_FACE('',(#20076,#1787),#3399,.F.); #86800=ADVANCED_FACE('',(#20077,#1788),#3400,.F.); #86801=ADVANCED_FACE('',(#20078,#1789),#3401,.F.); #86802=ADVANCED_FACE('',(#20079),#3402,.F.); #86803=ADVANCED_FACE('',(#20080,#1790),#3403,.F.); #86804=ADVANCED_FACE('',(#20081,#1791),#3404,.F.); #86805=ADVANCED_FACE('',(#20082,#1792),#3405,.F.); #86806=ADVANCED_FACE('',(#20083),#3406,.F.); #86807=ADVANCED_FACE('',(#20084,#1793,#1794),#3407,.F.); #86808=ADVANCED_FACE('',(#20085,#1795,#1796),#3408,.F.); #86809=ADVANCED_FACE('',(#20086,#1797,#1798),#3409,.F.); #86810=ADVANCED_FACE('',(#20087),#3410,.F.); #86811=ADVANCED_FACE('',(#20088),#3411,.F.); #86812=ADVANCED_FACE('',(#20089),#3412,.F.); #86813=ADVANCED_FACE('',(#20090),#3413,.F.); #86814=ADVANCED_FACE('',(#20091),#3414,.F.); #86815=ADVANCED_FACE('',(#20092),#3415,.F.); #86816=ADVANCED_FACE('',(#20093),#3416,.F.); #86817=ADVANCED_FACE('',(#20094),#3417,.F.); #86818=ADVANCED_FACE('',(#20095),#3418,.F.); #86819=ADVANCED_FACE('',(#20096),#3419,.F.); #86820=ADVANCED_FACE('',(#20097),#2049,.T.); #86821=ADVANCED_FACE('',(#20098,#1799,#1800),#3420,.T.); #86822=ADVANCED_FACE('',(#20099),#84116,.F.); #86823=ADVANCED_FACE('',(#20100),#84117,.F.); #86824=ADVANCED_FACE('',(#20101),#84118,.F.); #86825=ADVANCED_FACE('',(#20102),#84119,.F.); #86826=ADVANCED_FACE('',(#20103),#17873,.T.); #86827=ADVANCED_FACE('',(#20104),#2050,.T.); #86828=ADVANCED_FACE('',(#20105),#17874,.T.); #86829=ADVANCED_FACE('',(#20106),#2051,.T.); #86830=ADVANCED_FACE('',(#20107),#17875,.T.); #86831=ADVANCED_FACE('',(#20108),#84120,.F.); #86832=ADVANCED_FACE('',(#20109),#84121,.F.); #86833=ADVANCED_FACE('',(#20110),#84122,.F.); #86834=ADVANCED_FACE('',(#20111),#84123,.F.); #86835=ADVANCED_FACE('',(#20112),#84124,.F.); #86836=ADVANCED_FACE('',(#20113),#84125,.F.); #86837=ADVANCED_FACE('',(#20114),#84126,.T.); #86838=ADVANCED_FACE('',(#20115),#84127,.T.); #86839=ADVANCED_FACE('',(#20116),#84128,.T.); #86840=ADVANCED_FACE('',(#20117),#84129,.T.); #86841=ADVANCED_FACE('',(#20118),#84130,.T.); #86842=ADVANCED_FACE('',(#20119),#84131,.T.); #86843=ADVANCED_FACE('',(#20120),#84132,.T.); #86844=ADVANCED_FACE('',(#20121),#84133,.T.); #86845=ADVANCED_FACE('',(#20122),#84134,.T.); #86846=ADVANCED_FACE('',(#20123),#84135,.T.); #86847=ADVANCED_FACE('',(#20124),#84136,.T.); #86848=ADVANCED_FACE('',(#20125),#84137,.T.); #86849=ADVANCED_FACE('',(#20126),#3421,.T.); #86850=ADVANCED_FACE('',(#20127),#1494,.T.); #86851=ADVANCED_FACE('',(#20128),#3422,.T.); #86852=ADVANCED_FACE('',(#20129),#1495,.T.); #86853=ADVANCED_FACE('',(#20130),#3423,.T.); #86854=ADVANCED_FACE('',(#20131),#2052,.F.); #86855=ADVANCED_FACE('',(#20132),#2053,.F.); #86856=ADVANCED_FACE('',(#20133),#2054,.F.); #86857=ADVANCED_FACE('',(#20134),#2055,.F.); #86858=ADVANCED_FACE('',(#20135),#2056,.F.); #86859=ADVANCED_FACE('',(#20136),#2057,.F.); #86860=ADVANCED_FACE('',(#20137),#2058,.F.); #86861=ADVANCED_FACE('',(#20138),#2059,.F.); #86862=ADVANCED_FACE('',(#20139),#1496,.T.); #86863=ADVANCED_FACE('',(#20140),#1497,.T.); #86864=ADVANCED_FACE('',(#20141),#2060,.F.); #86865=ADVANCED_FACE('',(#20142),#4680,.F.); #86866=ADVANCED_FACE('',(#20143),#2061,.F.); #86867=ADVANCED_FACE('',(#20144),#17876,.T.); #86868=ADVANCED_FACE('',(#20145),#17877,.T.); #86869=ADVANCED_FACE('',(#20146),#2062,.T.); #86870=ADVANCED_FACE('',(#20147),#17878,.T.); #86871=ADVANCED_FACE('',(#20148),#17879,.T.); #86872=ADVANCED_FACE('',(#20149),#17880,.T.); #86873=ADVANCED_FACE('',(#20150),#17881,.T.); #86874=ADVANCED_FACE('',(#20151),#2063,.T.); #86875=ADVANCED_FACE('',(#20152),#885,.T.); #86876=ADVANCED_FACE('',(#20153),#886,.T.); #86877=ADVANCED_FACE('',(#20154),#887,.T.); #86878=ADVANCED_FACE('',(#20155),#888,.T.); #86879=ADVANCED_FACE('',(#20156),#1498,.F.); #86880=ADVANCED_FACE('',(#20157),#3424,.T.); #86881=ADVANCED_FACE('',(#20158),#17882,.T.); #86882=ADVANCED_FACE('',(#20159),#3425,.T.); #86883=ADVANCED_FACE('',(#20160),#17883,.T.); #86884=ADVANCED_FACE('',(#20161),#3426,.T.); #86885=ADVANCED_FACE('',(#20162),#3427,.F.); #86886=ADVANCED_FACE('',(#20163),#17884,.T.); #86887=ADVANCED_FACE('',(#20164),#17885,.T.); #86888=ADVANCED_FACE('',(#20165),#2064,.T.); #86889=ADVANCED_FACE('',(#20166),#17886,.T.); #86890=ADVANCED_FACE('',(#20167),#889,.T.); #86891=ADVANCED_FACE('',(#20168),#17887,.T.); #86892=ADVANCED_FACE('',(#20169),#890,.T.); #86893=ADVANCED_FACE('',(#20170),#17888,.T.); #86894=ADVANCED_FACE('',(#20171),#2065,.T.); #86895=ADVANCED_FACE('',(#20172),#17889,.T.); #86896=ADVANCED_FACE('',(#20173),#891,.T.); #86897=ADVANCED_FACE('',(#20174),#892,.T.); #86898=ADVANCED_FACE('',(#20175),#1499,.T.); #86899=ADVANCED_FACE('',(#20176),#3428,.T.); #86900=ADVANCED_FACE('',(#20177),#17890,.T.); #86901=ADVANCED_FACE('',(#20178),#3429,.T.); #86902=ADVANCED_FACE('',(#20179),#17891,.T.); #86903=ADVANCED_FACE('',(#20180),#3430,.T.); #86904=ADVANCED_FACE('',(#20181),#3431,.F.); #86905=ADVANCED_FACE('',(#20182),#17892,.T.); #86906=ADVANCED_FACE('',(#20183),#17893,.T.); #86907=ADVANCED_FACE('',(#20184),#2066,.T.); #86908=ADVANCED_FACE('',(#20185),#17894,.T.); #86909=ADVANCED_FACE('',(#20186),#17895,.T.); #86910=ADVANCED_FACE('',(#20187),#17896,.T.); #86911=ADVANCED_FACE('',(#20188),#17897,.T.); #86912=ADVANCED_FACE('',(#20189),#2067,.T.); #86913=ADVANCED_FACE('',(#20190),#893,.T.); #86914=ADVANCED_FACE('',(#20191),#894,.T.); #86915=ADVANCED_FACE('',(#20192),#895,.T.); #86916=ADVANCED_FACE('',(#20193),#896,.T.); #86917=ADVANCED_FACE('',(#20194),#1500,.T.); #86918=ADVANCED_FACE('',(#20195),#3432,.T.); #86919=ADVANCED_FACE('',(#20196),#17898,.T.); #86920=ADVANCED_FACE('',(#20197),#3433,.T.); #86921=ADVANCED_FACE('',(#20198),#17899,.T.); #86922=ADVANCED_FACE('',(#20199),#3434,.T.); #86923=ADVANCED_FACE('',(#20200),#3435,.F.); #86924=ADVANCED_FACE('',(#20201),#17900,.T.); #86925=ADVANCED_FACE('',(#20202),#17901,.T.); #86926=ADVANCED_FACE('',(#20203),#2068,.T.); #86927=ADVANCED_FACE('',(#20204),#17902,.T.); #86928=ADVANCED_FACE('',(#20205),#897,.T.); #86929=ADVANCED_FACE('',(#20206),#17903,.T.); #86930=ADVANCED_FACE('',(#20207),#898,.T.); #86931=ADVANCED_FACE('',(#20208),#17904,.T.); #86932=ADVANCED_FACE('',(#20209),#2069,.T.); #86933=ADVANCED_FACE('',(#20210),#17905,.T.); #86934=ADVANCED_FACE('',(#20211),#899,.T.); #86935=ADVANCED_FACE('',(#20212),#900,.T.); #86936=ADVANCED_FACE('',(#20213),#1501,.T.); #86937=ADVANCED_FACE('',(#20214),#3436,.T.); #86938=ADVANCED_FACE('',(#20215),#17906,.T.); #86939=ADVANCED_FACE('',(#20216),#3437,.F.); #86940=ADVANCED_FACE('',(#20217),#17907,.T.); #86941=ADVANCED_FACE('',(#20218),#3438,.T.); #86942=ADVANCED_FACE('',(#20219),#3439,.F.); #86943=ADVANCED_FACE('',(#20220),#2070,.F.); #86944=ADVANCED_FACE('',(#20221),#17908,.F.); #86945=ADVANCED_FACE('',(#20222),#84138,.T.); #86946=ADVANCED_FACE('',(#20223),#17909,.F.); #86947=ADVANCED_FACE('',(#20224),#84139,.T.); #86948=ADVANCED_FACE('',(#20225),#84140,.T.); #86949=ADVANCED_FACE('',(#20226),#84141,.T.); #86950=ADVANCED_FACE('',(#20227),#17910,.F.); #86951=ADVANCED_FACE('',(#20228),#2071,.F.); #86952=ADVANCED_FACE('',(#20229),#17911,.F.); #86953=ADVANCED_FACE('',(#20230),#84142,.T.); #86954=ADVANCED_FACE('',(#20231),#84143,.T.); #86955=ADVANCED_FACE('',(#20232),#84144,.T.); #86956=ADVANCED_FACE('',(#20233),#17912,.F.); #86957=ADVANCED_FACE('',(#20234),#84145,.T.); #86958=ADVANCED_FACE('',(#20235),#17913,.F.); #86959=ADVANCED_FACE('',(#20236),#2072,.F.); #86960=ADVANCED_FACE('',(#20237),#3440,.F.); #86961=ADVANCED_FACE('',(#20238),#17914,.F.); #86962=ADVANCED_FACE('',(#20239),#84146,.T.); #86963=ADVANCED_FACE('',(#20240),#17915,.F.); #86964=ADVANCED_FACE('',(#20241),#84147,.T.); #86965=ADVANCED_FACE('',(#20242),#84148,.T.); #86966=ADVANCED_FACE('',(#20243),#84149,.T.); #86967=ADVANCED_FACE('',(#20244),#17916,.F.); #86968=ADVANCED_FACE('',(#20245),#2073,.F.); #86969=ADVANCED_FACE('',(#20246),#17917,.F.); #86970=ADVANCED_FACE('',(#20247),#84150,.T.); #86971=ADVANCED_FACE('',(#20248),#84151,.T.); #86972=ADVANCED_FACE('',(#20249),#84152,.T.); #86973=ADVANCED_FACE('',(#20250),#17918,.F.); #86974=ADVANCED_FACE('',(#20251),#84153,.T.); #86975=ADVANCED_FACE('',(#20252),#17919,.F.); #86976=ADVANCED_FACE('',(#20253),#2074,.F.); #86977=ADVANCED_FACE('',(#20254),#2075,.F.); #86978=ADVANCED_FACE('',(#20255),#3441,.T.); #86979=ADVANCED_FACE('',(#20256),#17920,.T.); #86980=ADVANCED_FACE('',(#20257),#3442,.T.); #86981=ADVANCED_FACE('',(#20258),#17921,.T.); #86982=ADVANCED_FACE('',(#20259),#3443,.T.); #86983=ADVANCED_FACE('',(#20260),#84154,.T.); #86984=ADVANCED_FACE('',(#20261),#17922,.F.); #86985=ADVANCED_FACE('',(#20262),#84155,.T.); #86986=ADVANCED_FACE('',(#20263),#901,.F.); #86987=ADVANCED_FACE('',(#20264),#84156,.T.); #86988=ADVANCED_FACE('',(#20265),#84157,.T.); #86989=ADVANCED_FACE('',(#20266),#84158,.T.); #86990=ADVANCED_FACE('',(#20267),#84159,.T.); #86991=ADVANCED_FACE('',(#20268),#902,.F.); #86992=ADVANCED_FACE('',(#20269),#903,.F.); #86993=ADVANCED_FACE('',(#20270),#84160,.T.); #86994=ADVANCED_FACE('',(#20271),#17923,.F.); #86995=ADVANCED_FACE('',(#20272),#84161,.T.); #86996=ADVANCED_FACE('',(#20273),#4681,.T.); #86997=ADVANCED_FACE('',(#20274),#84162,.T.); #86998=ADVANCED_FACE('',(#20275),#84163,.F.); #86999=ADVANCED_FACE('',(#20276),#84164,.T.); #87000=ADVANCED_FACE('',(#20277),#84165,.T.); #87001=ADVANCED_FACE('',(#20278),#84166,.T.); #87002=ADVANCED_FACE('',(#20279),#84167,.T.); #87003=ADVANCED_FACE('',(#20280),#904,.F.); #87004=ADVANCED_FACE('',(#20281),#84168,.T.); #87005=ADVANCED_FACE('',(#20282),#905,.F.); #87006=ADVANCED_FACE('',(#20283),#906,.F.); #87007=ADVANCED_FACE('',(#20284),#84169,.T.); #87008=ADVANCED_FACE('',(#20285),#17924,.F.); #87009=ADVANCED_FACE('',(#20286),#84170,.T.); #87010=ADVANCED_FACE('',(#20287),#84171,.T.); #87011=ADVANCED_FACE('',(#20288),#17925,.F.); #87012=ADVANCED_FACE('',(#20289),#3444,.T.); #87013=ADVANCED_FACE('',(#20290),#1502,.F.); #87014=ADVANCED_FACE('',(#20291),#84172,.F.); #87015=ADVANCED_FACE('',(#20292),#84173,.T.); #87016=ADVANCED_FACE('',(#20293),#84174,.T.); #87017=ADVANCED_FACE('',(#20294),#84175,.T.); #87018=ADVANCED_FACE('',(#20295),#84176,.T.); #87019=ADVANCED_FACE('',(#20296),#84177,.T.); #87020=ADVANCED_FACE('',(#20297),#84178,.T.); #87021=ADVANCED_FACE('',(#20298),#2076,.F.); #87022=ADVANCED_FACE('',(#20299),#3445,.T.); #87023=ADVANCED_FACE('',(#20300),#17926,.T.); #87024=ADVANCED_FACE('',(#20301),#3446,.F.); #87025=ADVANCED_FACE('',(#20302),#84179,.F.); #87026=ADVANCED_FACE('',(#20303),#84180,.T.); #87027=ADVANCED_FACE('',(#20304),#84181,.T.); #87028=ADVANCED_FACE('',(#20305),#84182,.T.); #87029=ADVANCED_FACE('',(#20306),#84183,.T.); #87030=ADVANCED_FACE('',(#20307),#84184,.T.); #87031=ADVANCED_FACE('',(#20308),#84185,.T.); #87032=ADVANCED_FACE('',(#20309),#84186,.T.); #87033=ADVANCED_FACE('',(#20310),#2077,.F.); #87034=ADVANCED_FACE('',(#20311),#1503,.T.); #87035=ADVANCED_FACE('',(#20312),#84187,.T.); #87036=ADVANCED_FACE('',(#20313),#84188,.F.); #87037=ADVANCED_FACE('',(#20314),#3447,.F.); #87038=ADVANCED_FACE('',(#20315),#17927,.T.); #87039=ADVANCED_FACE('',(#20316),#84189,.T.); #87040=ADVANCED_FACE('',(#20317),#84190,.T.); #87041=ADVANCED_FACE('',(#20318),#84191,.T.); #87042=ADVANCED_FACE('',(#20319),#84192,.T.); #87043=ADVANCED_FACE('',(#20320),#84193,.T.); #87044=ADVANCED_FACE('',(#20321),#1504,.T.); #87045=ADVANCED_FACE('',(#20322),#84194,.T.); #87046=ADVANCED_FACE('',(#20323),#84195,.F.); #87047=ADVANCED_FACE('',(#20324),#84196,.T.); #87048=ADVANCED_FACE('',(#20325),#84197,.T.); #87049=ADVANCED_FACE('',(#20326),#84198,.T.); #87050=ADVANCED_FACE('',(#20327),#17928,.T.); #87051=ADVANCED_FACE('',(#20328),#84199,.T.); #87052=ADVANCED_FACE('',(#20329),#84200,.T.); #87053=ADVANCED_FACE('',(#20330),#84201,.F.); #87054=ADVANCED_FACE('',(#20331),#17929,.F.); #87055=ADVANCED_FACE('',(#20332),#84202,.T.); #87056=ADVANCED_FACE('',(#20333),#17930,.F.); #87057=ADVANCED_FACE('',(#20334),#84203,.T.); #87058=ADVANCED_FACE('',(#20335),#84204,.T.); #87059=ADVANCED_FACE('',(#20336),#84205,.T.); #87060=ADVANCED_FACE('',(#20337),#84206,.T.); #87061=ADVANCED_FACE('',(#20338),#84207,.T.); #87062=ADVANCED_FACE('',(#20339),#907,.F.); #87063=ADVANCED_FACE('',(#20340),#84208,.T.); #87064=ADVANCED_FACE('',(#20341),#908,.F.); #87065=ADVANCED_FACE('',(#20342),#909,.F.); #87066=ADVANCED_FACE('',(#20343),#84209,.T.); #87067=ADVANCED_FACE('',(#20344),#84210,.T.); #87068=ADVANCED_FACE('',(#20345),#3448,.T.); #87069=ADVANCED_FACE('',(#20346),#84211,.T.); #87070=ADVANCED_FACE('',(#20347),#2078,.F.); #87071=ADVANCED_FACE('',(#20348),#4682,.F.); #87072=ADVANCED_FACE('',(#20349),#84212,.T.); #87073=ADVANCED_FACE('',(#20350),#84213,.T.); #87074=ADVANCED_FACE('',(#20351),#84214,.T.); #87075=ADVANCED_FACE('',(#20352),#3449,.T.); #87076=ADVANCED_FACE('',(#20353),#17931,.T.); #87077=ADVANCED_FACE('',(#20354),#84215,.T.); #87078=ADVANCED_FACE('',(#20355),#84216,.T.); #87079=ADVANCED_FACE('',(#20356),#17932,.F.); #87080=ADVANCED_FACE('',(#20357),#84217,.T.); #87081=ADVANCED_FACE('',(#20358),#910,.F.); #87082=ADVANCED_FACE('',(#20359),#84218,.T.); #87083=ADVANCED_FACE('',(#20360),#84219,.T.); #87084=ADVANCED_FACE('',(#20361),#84220,.T.); #87085=ADVANCED_FACE('',(#20362),#84221,.T.); #87086=ADVANCED_FACE('',(#20363),#911,.F.); #87087=ADVANCED_FACE('',(#20364),#17933,.F.); #87088=ADVANCED_FACE('',(#20365),#912,.F.); #87089=ADVANCED_FACE('',(#20366),#84222,.T.); #87090=ADVANCED_FACE('',(#20367),#84223,.T.); #87091=ADVANCED_FACE('',(#20368),#17934,.T.); #87092=ADVANCED_FACE('',(#20369),#3450,.F.); #87093=ADVANCED_FACE('',(#20370),#84224,.T.); #87094=ADVANCED_FACE('',(#20371),#84225,.F.); #87095=ADVANCED_FACE('',(#20372),#84226,.T.); #87096=ADVANCED_FACE('',(#20373),#4683,.T.); #87097=ADVANCED_FACE('',(#20374),#2079,.F.); #87098=ADVANCED_FACE('',(#20375),#84227,.T.); #87099=ADVANCED_FACE('',(#20376),#3451,.F.); #87100=ADVANCED_FACE('',(#20377),#17935,.T.); #87101=ADVANCED_FACE('',(#20378),#17936,.T.); #87102=ADVANCED_FACE('',(#20379),#3452,.T.); #87103=ADVANCED_FACE('',(#20380),#17937,.T.); #87104=ADVANCED_FACE('',(#20381),#84228,.F.); #87105=ADVANCED_FACE('',(#20382),#84229,.F.); #87106=ADVANCED_FACE('',(#20383),#84230,.F.); #87107=ADVANCED_FACE('',(#20384),#17938,.T.); #87108=ADVANCED_FACE('',(#20385),#84231,.F.); #87109=ADVANCED_FACE('',(#20386),#2080,.T.); #87110=ADVANCED_FACE('',(#20387),#84232,.F.); #87111=ADVANCED_FACE('',(#20388),#17939,.T.); #87112=ADVANCED_FACE('',(#20389),#84233,.F.); #87113=ADVANCED_FACE('',(#20390),#84234,.F.); #87114=ADVANCED_FACE('',(#20391),#2081,.F.); #87115=ADVANCED_FACE('',(#20392),#84235,.T.); #87116=ADVANCED_FACE('',(#20393),#84236,.F.); #87117=ADVANCED_FACE('',(#20394),#17940,.T.); #87118=ADVANCED_FACE('',(#20395),#84237,.F.); #87119=ADVANCED_FACE('',(#20396),#2082,.T.); #87120=ADVANCED_FACE('',(#20397),#84238,.F.); #87121=ADVANCED_FACE('',(#20398),#17941,.T.); #87122=ADVANCED_FACE('',(#20399),#84239,.F.); #87123=ADVANCED_FACE('',(#20400),#84240,.F.); #87124=ADVANCED_FACE('',(#20401),#84241,.F.); #87125=ADVANCED_FACE('',(#20402),#2083,.F.); #87126=ADVANCED_FACE('',(#20403),#84242,.F.); #87127=ADVANCED_FACE('',(#20404),#84243,.F.); #87128=ADVANCED_FACE('',(#20405),#84244,.F.); #87129=ADVANCED_FACE('',(#20406),#84245,.F.); #87130=ADVANCED_FACE('',(#20407),#84246,.F.); #87131=ADVANCED_FACE('',(#20408),#17942,.T.); #87132=ADVANCED_FACE('',(#20409),#84247,.F.); #87133=ADVANCED_FACE('',(#20410),#2084,.T.); #87134=ADVANCED_FACE('',(#20411),#84248,.F.); #87135=ADVANCED_FACE('',(#20412),#17943,.T.); #87136=ADVANCED_FACE('',(#20413),#84249,.F.); #87137=ADVANCED_FACE('',(#20414),#84250,.F.); #87138=ADVANCED_FACE('',(#20415),#84251,.F.); #87139=ADVANCED_FACE('',(#20416),#84252,.F.); #87140=ADVANCED_FACE('',(#20417),#84253,.F.); #87141=ADVANCED_FACE('',(#20418),#84254,.F.); #87142=ADVANCED_FACE('',(#20419),#84255,.T.); #87143=ADVANCED_FACE('',(#20420),#3453,.T.); #87144=ADVANCED_FACE('',(#20421),#84256,.T.); #87145=ADVANCED_FACE('',(#20422),#17944,.F.); #87146=ADVANCED_FACE('',(#20423),#84257,.T.); #87147=ADVANCED_FACE('',(#20424),#3454,.T.); #87148=ADVANCED_FACE('',(#20425),#84258,.T.); #87149=ADVANCED_FACE('',(#20426),#84259,.T.); #87150=ADVANCED_FACE('',(#20427),#17945,.F.); #87151=ADVANCED_FACE('',(#20428),#84260,.F.); #87152=ADVANCED_FACE('',(#20429),#84261,.F.); #87153=ADVANCED_FACE('',(#20430),#84262,.F.); #87154=ADVANCED_FACE('',(#20431),#84263,.F.); #87155=ADVANCED_FACE('',(#20432),#17946,.T.); #87156=ADVANCED_FACE('',(#20433),#84264,.F.); #87157=ADVANCED_FACE('',(#20434),#2085,.T.); #87158=ADVANCED_FACE('',(#20435),#84265,.F.); #87159=ADVANCED_FACE('',(#20436),#17947,.T.); #87160=ADVANCED_FACE('',(#20437),#84266,.F.); #87161=ADVANCED_FACE('',(#20438),#84267,.F.); #87162=ADVANCED_FACE('',(#20439),#84268,.F.); #87163=ADVANCED_FACE('',(#20440),#84269,.F.); #87164=ADVANCED_FACE('',(#20441),#84270,.F.); #87165=ADVANCED_FACE('',(#20442),#84271,.F.); #87166=ADVANCED_FACE('',(#20443),#84272,.T.); #87167=ADVANCED_FACE('',(#20444),#3455,.T.); #87168=ADVANCED_FACE('',(#20445),#84273,.T.); #87169=ADVANCED_FACE('',(#20446),#17948,.F.); #87170=ADVANCED_FACE('',(#20447),#84274,.T.); #87171=ADVANCED_FACE('',(#20448),#3456,.F.); #87172=ADVANCED_FACE('',(#20449),#84275,.T.); #87173=ADVANCED_FACE('',(#20450),#84276,.T.); #87174=ADVANCED_FACE('',(#20451),#17949,.F.); #87175=ADVANCED_FACE('',(#20452),#17950,.T.); #87176=ADVANCED_FACE('',(#20453),#84277,.F.); #87177=ADVANCED_FACE('',(#20454),#17951,.T.); #87178=ADVANCED_FACE('',(#20455),#84278,.T.); #87179=ADVANCED_FACE('',(#20456),#3457,.F.); #87180=ADVANCED_FACE('',(#20457),#84279,.F.); #87181=ADVANCED_FACE('',(#20458),#3458,.F.); #87182=ADVANCED_FACE('',(#20459),#84280,.F.); #87183=ADVANCED_FACE('',(#20460),#17952,.T.); #87184=ADVANCED_FACE('',(#20461),#17953,.F.); #87185=ADVANCED_FACE('',(#20462),#17954,.T.); #87186=ADVANCED_FACE('',(#20463),#3459,.T.); #87187=ADVANCED_FACE('',(#20464),#17955,.T.); #87188=ADVANCED_FACE('',(#20465),#84281,.F.); #87189=ADVANCED_FACE('',(#20466),#17956,.T.); #87190=ADVANCED_FACE('',(#20467),#84282,.F.); #87191=ADVANCED_FACE('',(#20468),#2086,.T.); #87192=ADVANCED_FACE('',(#20469),#84283,.F.); #87193=ADVANCED_FACE('',(#20470),#17957,.T.); #87194=ADVANCED_FACE('',(#20471),#84284,.F.); #87195=ADVANCED_FACE('',(#20472),#17958,.F.); #87196=ADVANCED_FACE('',(#20473),#3460,.T.); #87197=ADVANCED_FACE('',(#20474),#3461,.F.); #87198=ADVANCED_FACE('',(#20475),#84285,.F.); #87199=ADVANCED_FACE('',(#20476),#2087,.T.); #87200=ADVANCED_FACE('',(#20477),#2088,.T.); #87201=ADVANCED_FACE('',(#20478),#84286,.F.); #87202=ADVANCED_FACE('',(#20479),#84287,.T.); #87203=ADVANCED_FACE('',(#20480),#1505,.F.); #87204=ADVANCED_FACE('',(#20481),#1506,.F.); #87205=ADVANCED_FACE('',(#20482),#84288,.T.); #87206=ADVANCED_FACE('',(#20483),#84289,.F.); #87207=ADVANCED_FACE('',(#20484),#17959,.T.); #87208=ADVANCED_FACE('',(#20485),#84290,.F.); #87209=ADVANCED_FACE('',(#20486),#84291,.F.); #87210=ADVANCED_FACE('',(#20487),#2089,.F.); #87211=ADVANCED_FACE('',(#20488),#3462,.F.); #87212=ADVANCED_FACE('',(#20489),#17960,.F.); #87213=ADVANCED_FACE('',(#20490),#84292,.F.); #87214=ADVANCED_FACE('',(#20491),#17961,.T.); #87215=ADVANCED_FACE('',(#20492),#84293,.F.); #87216=ADVANCED_FACE('',(#20493),#2090,.T.); #87217=ADVANCED_FACE('',(#20494),#84294,.F.); #87218=ADVANCED_FACE('',(#20495),#17962,.T.); #87219=ADVANCED_FACE('',(#20496),#84295,.F.); #87220=ADVANCED_FACE('',(#20497),#17963,.F.); #87221=ADVANCED_FACE('',(#20498),#84296,.F.); #87222=ADVANCED_FACE('',(#20499),#84297,.F.); #87223=ADVANCED_FACE('',(#20500),#84298,.F.); #87224=ADVANCED_FACE('',(#20501),#84299,.F.); #87225=ADVANCED_FACE('',(#20502),#17964,.T.); #87226=ADVANCED_FACE('',(#20503),#84300,.F.); #87227=ADVANCED_FACE('',(#20504),#2091,.T.); #87228=ADVANCED_FACE('',(#20505),#2092,.T.); #87229=ADVANCED_FACE('',(#20506),#84301,.F.); #87230=ADVANCED_FACE('',(#20507),#17965,.T.); #87231=ADVANCED_FACE('',(#20508),#84302,.F.); #87232=ADVANCED_FACE('',(#20509),#84303,.F.); #87233=ADVANCED_FACE('',(#20510),#84304,.F.); #87234=ADVANCED_FACE('',(#20511),#84305,.F.); #87235=ADVANCED_FACE('',(#20512),#2093,.T.); #87236=ADVANCED_FACE('',(#20513),#84306,.T.); #87237=ADVANCED_FACE('',(#20514),#17966,.F.); #87238=ADVANCED_FACE('',(#20515),#84307,.T.); #87239=ADVANCED_FACE('',(#20516),#84308,.T.); #87240=ADVANCED_FACE('',(#20517),#84309,.F.); #87241=ADVANCED_FACE('',(#20518),#84310,.F.); #87242=ADVANCED_FACE('',(#20519),#84311,.F.); #87243=ADVANCED_FACE('',(#20520),#84312,.F.); #87244=ADVANCED_FACE('',(#20521),#17967,.T.); #87245=ADVANCED_FACE('',(#20522),#84313,.F.); #87246=ADVANCED_FACE('',(#20523),#2094,.T.); #87247=ADVANCED_FACE('',(#20524),#84314,.F.); #87248=ADVANCED_FACE('',(#20525),#17968,.T.); #87249=ADVANCED_FACE('',(#20526),#84315,.F.); #87250=ADVANCED_FACE('',(#20527),#84316,.F.); #87251=ADVANCED_FACE('',(#20528),#84317,.F.); #87252=ADVANCED_FACE('',(#20529),#84318,.F.); #87253=ADVANCED_FACE('',(#20530),#84319,.F.); #87254=ADVANCED_FACE('',(#20531),#84320,.F.); #87255=ADVANCED_FACE('',(#20532),#84321,.T.); #87256=ADVANCED_FACE('',(#20533),#3463,.F.); #87257=ADVANCED_FACE('',(#20534),#84322,.T.); #87258=ADVANCED_FACE('',(#20535),#1507,.F.); #87259=ADVANCED_FACE('',(#20536),#84323,.T.); #87260=ADVANCED_FACE('',(#20537),#3464,.F.); #87261=ADVANCED_FACE('',(#20538),#84324,.T.); #87262=ADVANCED_FACE('',(#20539),#84325,.T.); #87263=ADVANCED_FACE('',(#20540),#17969,.F.); #87264=ADVANCED_FACE('',(#20541),#84326,.F.); #87265=ADVANCED_FACE('',(#20542),#84327,.F.); #87266=ADVANCED_FACE('',(#20543),#84328,.F.); #87267=ADVANCED_FACE('',(#20544),#84329,.F.); #87268=ADVANCED_FACE('',(#20545),#17970,.T.); #87269=ADVANCED_FACE('',(#20546),#84330,.F.); #87270=ADVANCED_FACE('',(#20547),#2095,.T.); #87271=ADVANCED_FACE('',(#20548),#84331,.F.); #87272=ADVANCED_FACE('',(#20549),#17971,.T.); #87273=ADVANCED_FACE('',(#20550),#84332,.F.); #87274=ADVANCED_FACE('',(#20551),#84333,.F.); #87275=ADVANCED_FACE('',(#20552),#84334,.F.); #87276=ADVANCED_FACE('',(#20553),#84335,.F.); #87277=ADVANCED_FACE('',(#20554),#84336,.F.); #87278=ADVANCED_FACE('',(#20555),#84337,.F.); #87279=ADVANCED_FACE('',(#20556),#84338,.T.); #87280=ADVANCED_FACE('',(#20557),#3465,.T.); #87281=ADVANCED_FACE('',(#20558),#84339,.T.); #87282=ADVANCED_FACE('',(#20559),#1508,.F.); #87283=ADVANCED_FACE('',(#20560),#84340,.T.); #87284=ADVANCED_FACE('',(#20561),#3466,.T.); #87285=ADVANCED_FACE('',(#20562),#84341,.T.); #87286=ADVANCED_FACE('',(#20563),#84342,.T.); #87287=ADVANCED_FACE('',(#20564),#17972,.F.); #87288=ADVANCED_FACE('',(#20565),#84343,.F.); #87289=ADVANCED_FACE('',(#20566),#84344,.F.); #87290=ADVANCED_FACE('',(#20567),#84345,.F.); #87291=ADVANCED_FACE('',(#20568),#84346,.F.); #87292=ADVANCED_FACE('',(#20569),#17973,.T.); #87293=ADVANCED_FACE('',(#20570),#84347,.F.); #87294=ADVANCED_FACE('',(#20571),#2096,.T.); #87295=ADVANCED_FACE('',(#20572),#2097,.T.); #87296=ADVANCED_FACE('',(#20573),#84348,.F.); #87297=ADVANCED_FACE('',(#20574),#17974,.T.); #87298=ADVANCED_FACE('',(#20575),#84349,.F.); #87299=ADVANCED_FACE('',(#20576),#84350,.F.); #87300=ADVANCED_FACE('',(#20577),#84351,.F.); #87301=ADVANCED_FACE('',(#20578),#84352,.F.); #87302=ADVANCED_FACE('',(#20579),#84353,.T.); #87303=ADVANCED_FACE('',(#20580),#1509,.F.); #87304=ADVANCED_FACE('',(#20581),#1510,.F.); #87305=ADVANCED_FACE('',(#20582),#84354,.T.); #87306=ADVANCED_FACE('',(#20583),#3467,.T.); #87307=ADVANCED_FACE('',(#20584),#84355,.T.); #87308=ADVANCED_FACE('',(#20585),#84356,.T.); #87309=ADVANCED_FACE('',(#20586),#17975,.F.); #87310=ADVANCED_FACE('',(#20587),#84357,.T.); #87311=ADVANCED_FACE('',(#20588),#17976,.T.); #87312=ADVANCED_FACE('',(#20589),#84358,.F.); #87313=ADVANCED_FACE('',(#20590),#17977,.T.); #87314=ADVANCED_FACE('',(#20591),#84359,.F.); #87315=ADVANCED_FACE('',(#20592),#84360,.F.); #87316=ADVANCED_FACE('',(#20593),#84361,.T.); #87317=ADVANCED_FACE('',(#20594),#3468,.T.); #87318=ADVANCED_FACE('',(#20595),#17978,.T.); #87319=ADVANCED_FACE('',(#20596),#84362,.F.); #87320=ADVANCED_FACE('',(#20597),#2098,.T.); #87321=ADVANCED_FACE('',(#20598),#84363,.F.); #87322=ADVANCED_FACE('',(#20599),#17979,.T.); #87323=ADVANCED_FACE('',(#20600),#84364,.F.); #87324=ADVANCED_FACE('',(#20601),#17980,.T.); #87325=ADVANCED_FACE('',(#20602),#84365,.F.); #87326=ADVANCED_FACE('',(#20603),#17981,.F.); #87327=ADVANCED_FACE('',(#20604),#3469,.T.); #87328=ADVANCED_FACE('',(#20605),#84366,.T.); #87329=ADVANCED_FACE('',(#20606),#1511,.F.); #87330=ADVANCED_FACE('',(#20607),#84367,.T.); #87331=ADVANCED_FACE('',(#20608),#3470,.T.); #87332=ADVANCED_FACE('',(#20609),#84368,.F.); #87333=ADVANCED_FACE('',(#20610),#17982,.T.); #87334=ADVANCED_FACE('',(#20611),#84369,.F.); #87335=ADVANCED_FACE('',(#20612),#2099,.T.); #87336=ADVANCED_FACE('',(#20613),#84370,.F.); #87337=ADVANCED_FACE('',(#20614),#17983,.T.); #87338=ADVANCED_FACE('',(#20615),#84371,.F.); #87339=ADVANCED_FACE('',(#20616),#84372,.F.); #87340=ADVANCED_FACE('',(#20617),#17984,.T.); #87341=ADVANCED_FACE('',(#20618),#84373,.F.); #87342=ADVANCED_FACE('',(#20619),#2100,.T.); #87343=ADVANCED_FACE('',(#20620),#84374,.F.); #87344=ADVANCED_FACE('',(#20621),#17985,.T.); #87345=ADVANCED_FACE('',(#20622),#84375,.F.); #87346=ADVANCED_FACE('',(#20623),#17986,.F.); #87347=ADVANCED_FACE('',(#20624),#17987,.T.); #87348=ADVANCED_FACE('',(#20625),#84376,.F.); #87349=ADVANCED_FACE('',(#20626),#2101,.T.); #87350=ADVANCED_FACE('',(#20627),#2102,.T.); #87351=ADVANCED_FACE('',(#20628),#84377,.F.); #87352=ADVANCED_FACE('',(#20629),#84378,.T.); #87353=ADVANCED_FACE('',(#20630),#1512,.F.); #87354=ADVANCED_FACE('',(#20631),#1513,.F.); #87355=ADVANCED_FACE('',(#20632),#84379,.T.); #87356=ADVANCED_FACE('',(#20633),#84380,.T.); #87357=ADVANCED_FACE('',(#20634),#913,.F.); #87358=ADVANCED_FACE('',(#20635),#2103,.F.); #87359=ADVANCED_FACE('',(#20636),#2104,.F.); #87360=ADVANCED_FACE('',(#20637),#914,.F.); #87361=ADVANCED_FACE('',(#20638),#915,.F.); #87362=ADVANCED_FACE('',(#20639),#84381,.T.); #87363=ADVANCED_FACE('',(#20640),#84382,.T.); #87364=ADVANCED_FACE('',(#20641),#84383,.T.); #87365=ADVANCED_FACE('',(#20642),#84384,.T.); #87366=ADVANCED_FACE('',(#20643),#84385,.T.); #87367=ADVANCED_FACE('',(#20644),#84386,.T.); #87368=ADVANCED_FACE('',(#20645),#916,.F.); #87369=ADVANCED_FACE('',(#20646),#2105,.F.); #87370=ADVANCED_FACE('',(#20647),#917,.F.); #87371=ADVANCED_FACE('',(#20648),#84387,.T.); #87372=ADVANCED_FACE('',(#20649),#918,.F.); #87373=ADVANCED_FACE('',(#20650),#919,.F.); #87374=ADVANCED_FACE('',(#20651),#2106,.F.); #87375=ADVANCED_FACE('',(#20652),#84388,.T.); #87376=ADVANCED_FACE('',(#20653),#84389,.T.); #87377=ADVANCED_FACE('',(#20654),#2107,.F.); #87378=ADVANCED_FACE('',(#20655),#84390,.T.); #87379=ADVANCED_FACE('',(#20656),#84391,.T.); #87380=ADVANCED_FACE('',(#20657),#84392,.T.); #87381=ADVANCED_FACE('',(#20658),#920,.F.); #87382=ADVANCED_FACE('',(#20659),#84393,.T.); #87383=ADVANCED_FACE('',(#20660),#921,.F.); #87384=ADVANCED_FACE('',(#20661),#922,.F.); #87385=ADVANCED_FACE('',(#20662),#923,.F.); #87386=ADVANCED_FACE('',(#20663),#84394,.T.); #87387=ADVANCED_FACE('',(#20664),#84395,.T.); #87388=ADVANCED_FACE('',(#20665),#924,.F.); #87389=ADVANCED_FACE('',(#20666),#3471,.T.); #87390=ADVANCED_FACE('',(#20667),#84396,.T.); #87391=ADVANCED_FACE('',(#20668),#1514,.F.); #87392=ADVANCED_FACE('',(#20669),#84397,.T.); #87393=ADVANCED_FACE('',(#20670),#3472,.T.); #87394=ADVANCED_FACE('',(#20671),#84398,.T.); #87395=ADVANCED_FACE('',(#20672),#84399,.T.); #87396=ADVANCED_FACE('',(#20673),#84400,.T.); #87397=ADVANCED_FACE('',(#20674),#84401,.T.); #87398=ADVANCED_FACE('',(#20675),#84402,.T.); #87399=ADVANCED_FACE('',(#20676),#84403,.T.); #87400=ADVANCED_FACE('',(#20677),#925,.F.); #87401=ADVANCED_FACE('',(#20678),#926,.F.); #87402=ADVANCED_FACE('',(#20679),#2108,.F.); #87403=ADVANCED_FACE('',(#20680),#2109,.F.); #87404=ADVANCED_FACE('',(#20681),#927,.F.); #87405=ADVANCED_FACE('',(#20682),#84404,.T.); #87406=ADVANCED_FACE('',(#20683),#928,.F.); #87407=ADVANCED_FACE('',(#20684),#929,.F.); #87408=ADVANCED_FACE('',(#20685),#2110,.F.); #87409=ADVANCED_FACE('',(#20686),#84405,.T.); #87410=ADVANCED_FACE('',(#20687),#1515,.T.); #87411=ADVANCED_FACE('',(#20688),#2111,.T.); #87412=ADVANCED_FACE('',(#20689),#84406,.T.); #87413=ADVANCED_FACE('',(#20690),#84407,.T.); #87414=ADVANCED_FACE('',(#20691),#84408,.T.); #87415=ADVANCED_FACE('',(#20692),#84409,.T.); #87416=ADVANCED_FACE('',(#20693),#17988,.F.); #87417=ADVANCED_FACE('',(#20694),#930,.F.); #87418=ADVANCED_FACE('',(#20695),#2112,.F.); #87419=ADVANCED_FACE('',(#20696),#931,.F.); #87420=ADVANCED_FACE('',(#20697),#84410,.T.); #87421=ADVANCED_FACE('',(#20698),#17989,.F.); #87422=ADVANCED_FACE('',(#20699),#932,.F.); #87423=ADVANCED_FACE('',(#20700),#933,.F.); #87424=ADVANCED_FACE('',(#20701),#2113,.F.); #87425=ADVANCED_FACE('',(#20702),#3473,.T.); #87426=ADVANCED_FACE('',(#20703),#2114,.F.); #87427=ADVANCED_FACE('',(#20704),#84411,.T.); #87428=ADVANCED_FACE('',(#20705),#17990,.F.); #87429=ADVANCED_FACE('',(#20706),#84412,.T.); #87430=ADVANCED_FACE('',(#20707),#2115,.F.); #87431=ADVANCED_FACE('',(#20708),#934,.F.); #87432=ADVANCED_FACE('',(#20709),#84413,.T.); #87433=ADVANCED_FACE('',(#20710),#17991,.F.); #87434=ADVANCED_FACE('',(#20711),#84414,.T.); #87435=ADVANCED_FACE('',(#20712),#935,.F.); #87436=ADVANCED_FACE('',(#20713),#84415,.T.); #87437=ADVANCED_FACE('',(#20714),#17992,.F.); #87438=ADVANCED_FACE('',(#20715),#2116,.F.); #87439=ADVANCED_FACE('',(#20716),#3474,.T.); #87440=ADVANCED_FACE('',(#20717),#2117,.T.); #87441=ADVANCED_FACE('',(#20718),#1516,.T.); #87442=ADVANCED_FACE('',(#20719),#17993,.F.); #87443=ADVANCED_FACE('',(#20720),#84416,.T.); #87444=ADVANCED_FACE('',(#20721),#84417,.T.); #87445=ADVANCED_FACE('',(#20722),#2118,.F.); #87446=ADVANCED_FACE('',(#20723),#936,.F.); #87447=ADVANCED_FACE('',(#20724),#84418,.T.); #87448=ADVANCED_FACE('',(#20725),#17994,.F.); #87449=ADVANCED_FACE('',(#20726),#84419,.T.); #87450=ADVANCED_FACE('',(#20727),#937,.F.); #87451=ADVANCED_FACE('',(#20728),#84420,.T.); #87452=ADVANCED_FACE('',(#20729),#17995,.F.); #87453=ADVANCED_FACE('',(#20730),#2119,.F.); #87454=ADVANCED_FACE('',(#20731),#3475,.T.); #87455=ADVANCED_FACE('',(#20732),#2120,.T.); #87456=ADVANCED_FACE('',(#20733),#1517,.T.); #87457=ADVANCED_FACE('',(#20734),#84421,.T.); #87458=ADVANCED_FACE('',(#20735),#17996,.F.); #87459=ADVANCED_FACE('',(#20736),#84422,.T.); #87460=ADVANCED_FACE('',(#20737),#84423,.T.); #87461=ADVANCED_FACE('',(#20738),#84424,.T.); #87462=ADVANCED_FACE('',(#20739),#17997,.F.); #87463=ADVANCED_FACE('',(#20740),#938,.F.); #87464=ADVANCED_FACE('',(#20741),#2121,.F.); #87465=ADVANCED_FACE('',(#20742),#939,.F.); #87466=ADVANCED_FACE('',(#20743),#84425,.T.); #87467=ADVANCED_FACE('',(#20744),#940,.F.); #87468=ADVANCED_FACE('',(#20745),#941,.F.); #87469=ADVANCED_FACE('',(#20746),#2122,.F.); #87470=ADVANCED_FACE('',(#20747),#84426,.T.); #87471=ADVANCED_FACE('',(#20748),#84427,.T.); #87472=ADVANCED_FACE('',(#20749),#84428,.T.); #87473=ADVANCED_FACE('',(#20750),#84429,.T.); #87474=ADVANCED_FACE('',(#20751),#84430,.T.); #87475=ADVANCED_FACE('',(#20752),#84431,.T.); #87476=ADVANCED_FACE('',(#20753),#942,.F.); #87477=ADVANCED_FACE('',(#20754),#2123,.F.); #87478=ADVANCED_FACE('',(#20755),#2124,.F.); #87479=ADVANCED_FACE('',(#20756),#943,.F.); #87480=ADVANCED_FACE('',(#20757),#84432,.T.); #87481=ADVANCED_FACE('',(#20758),#944,.F.); #87482=ADVANCED_FACE('',(#20759),#945,.F.); #87483=ADVANCED_FACE('',(#20760),#946,.F.); #87484=ADVANCED_FACE('',(#20761),#84433,.T.); #87485=ADVANCED_FACE('',(#20762),#84434,.F.); #87486=ADVANCED_FACE('',(#20763),#84435,.T.); #87487=ADVANCED_FACE('',(#20764),#1518,.T.); #87488=ADVANCED_FACE('',(#20765),#2125,.T.); #87489=ADVANCED_FACE('',(#20766),#3476,.F.); #87490=ADVANCED_FACE('',(#20767),#84436,.T.); #87491=ADVANCED_FACE('',(#20768),#1519,.F.); #87492=ADVANCED_FACE('',(#20769),#1520,.F.); #87493=ADVANCED_FACE('',(#20770),#84437,.T.); #87494=ADVANCED_FACE('',(#20771),#2126,.F.); #87495=ADVANCED_FACE('',(#20772),#84438,.T.); #87496=ADVANCED_FACE('',(#20773),#84439,.T.); #87497=ADVANCED_FACE('',(#20774),#84440,.T.); #87498=ADVANCED_FACE('',(#20775),#947,.F.); #87499=ADVANCED_FACE('',(#20776),#948,.F.); #87500=ADVANCED_FACE('',(#20777),#949,.F.); #87501=ADVANCED_FACE('',(#20778),#84441,.T.); #87502=ADVANCED_FACE('',(#20779),#950,.F.); #87503=ADVANCED_FACE('',(#20780),#84442,.T.); #87504=ADVANCED_FACE('',(#20781),#951,.F.); #87505=ADVANCED_FACE('',(#20782),#3477,.F.); #87506=ADVANCED_FACE('',(#20783),#84443,.T.); #87507=ADVANCED_FACE('',(#20784),#1521,.F.); #87508=ADVANCED_FACE('',(#20785),#84444,.T.); #87509=ADVANCED_FACE('',(#20786),#3478,.F.); #87510=ADVANCED_FACE('',(#20787),#1522,.T.); #87511=ADVANCED_FACE('',(#20788),#84445,.T.); #87512=ADVANCED_FACE('',(#20789),#84446,.T.); #87513=ADVANCED_FACE('',(#20790),#84447,.T.); #87514=ADVANCED_FACE('',(#20791),#84448,.T.); #87515=ADVANCED_FACE('',(#20792),#84449,.T.); #87516=ADVANCED_FACE('',(#20793),#84450,.T.); #87517=ADVANCED_FACE('',(#20794),#84451,.T.); #87518=ADVANCED_FACE('',(#20795),#952,.F.); #87519=ADVANCED_FACE('',(#20796),#2127,.F.); #87520=ADVANCED_FACE('',(#20797),#953,.F.); #87521=ADVANCED_FACE('',(#20798),#84452,.T.); #87522=ADVANCED_FACE('',(#20799),#954,.F.); #87523=ADVANCED_FACE('',(#20800),#955,.F.); #87524=ADVANCED_FACE('',(#20801),#84453,.F.); #87525=ADVANCED_FACE('',(#20802),#84454,.T.); #87526=ADVANCED_FACE('',(#20803),#84455,.F.); #87527=ADVANCED_FACE('',(#20804),#84456,.T.); #87528=ADVANCED_FACE('',(#20805),#1523,.F.); #87529=ADVANCED_FACE('',(#20806),#2128,.F.); #87530=ADVANCED_FACE('',(#20807),#2129,.F.); #87531=ADVANCED_FACE('',(#20808),#84457,.T.); #87532=ADVANCED_FACE('',(#20809),#956,.F.); #87533=ADVANCED_FACE('',(#20810),#957,.F.); #87534=ADVANCED_FACE('',(#20811),#958,.F.); #87535=ADVANCED_FACE('',(#20812),#2130,.F.); #87536=ADVANCED_FACE('',(#20813),#84458,.T.); #87537=ADVANCED_FACE('',(#20814),#84459,.T.); #87538=ADVANCED_FACE('',(#20815),#84460,.T.); #87539=ADVANCED_FACE('',(#20816),#959,.F.); #87540=ADVANCED_FACE('',(#20817),#960,.F.); #87541=ADVANCED_FACE('',(#20818),#961,.F.); #87542=ADVANCED_FACE('',(#20819),#84461,.T.); #87543=ADVANCED_FACE('',(#20820),#84462,.T.); #87544=ADVANCED_FACE('',(#20821),#962,.F.); #87545=ADVANCED_FACE('',(#20822),#84463,.T.); #87546=ADVANCED_FACE('',(#20823),#1524,.F.); #87547=ADVANCED_FACE('',(#20824),#84464,.T.); #87548=ADVANCED_FACE('',(#20825),#84465,.F.); #87549=ADVANCED_FACE('',(#20826),#84466,.T.); #87550=ADVANCED_FACE('',(#20827),#2131,.F.); #87551=ADVANCED_FACE('',(#20828),#84467,.T.); #87552=ADVANCED_FACE('',(#20829),#84468,.T.); #87553=ADVANCED_FACE('',(#20830),#84469,.T.); #87554=ADVANCED_FACE('',(#20831),#963,.F.); #87555=ADVANCED_FACE('',(#20832),#964,.F.); #87556=ADVANCED_FACE('',(#20833),#965,.F.); #87557=ADVANCED_FACE('',(#20834),#84470,.T.); #87558=ADVANCED_FACE('',(#20835),#84471,.T.); #87559=ADVANCED_FACE('',(#20836),#966,.F.); #87560=ADVANCED_FACE('',(#20837),#84472,.T.); #87561=ADVANCED_FACE('',(#20838),#1525,.F.); #87562=ADVANCED_FACE('',(#20839),#84473,.T.); #87563=ADVANCED_FACE('',(#20840),#3479,.F.); #87564=ADVANCED_FACE('',(#20841),#84474,.T.); #87565=ADVANCED_FACE('',(#20842),#84475,.F.); #87566=ADVANCED_FACE('',(#20843),#84476,.T.); #87567=ADVANCED_FACE('',(#20844),#2132,.F.); #87568=ADVANCED_FACE('',(#20845),#84477,.T.); #87569=ADVANCED_FACE('',(#20846),#84478,.T.); #87570=ADVANCED_FACE('',(#20847),#967,.F.); #87571=ADVANCED_FACE('',(#20848),#84479,.T.); #87572=ADVANCED_FACE('',(#20849),#968,.F.); #87573=ADVANCED_FACE('',(#20850),#969,.F.); #87574=ADVANCED_FACE('',(#20851),#84480,.T.); #87575=ADVANCED_FACE('',(#20852),#84481,.T.); #87576=ADVANCED_FACE('',(#20853),#970,.F.); #87577=ADVANCED_FACE('',(#20854),#84482,.T.); #87578=ADVANCED_FACE('',(#20855),#1526,.F.); #87579=ADVANCED_FACE('',(#20856),#84483,.T.); #87580=ADVANCED_FACE('',(#20857),#3480,.T.); #87581=ADVANCED_FACE('',(#20858),#84484,.T.); #87582=ADVANCED_FACE('',(#20859),#84485,.T.); #87583=ADVANCED_FACE('',(#20860),#2133,.F.); #87584=ADVANCED_FACE('',(#20861),#3481,.F.); #87585=ADVANCED_FACE('',(#20862),#2134,.F.); #87586=ADVANCED_FACE('',(#20863),#4684,.F.); #87587=ADVANCED_FACE('',(#20864),#84486,.T.); #87588=ADVANCED_FACE('',(#20865),#2135,.F.); #87589=ADVANCED_FACE('',(#20866),#84487,.T.); #87590=ADVANCED_FACE('',(#20867),#2136,.F.); #87591=ADVANCED_FACE('',(#20868),#2137,.F.); #87592=ADVANCED_FACE('',(#20869),#3482,.F.); #87593=ADVANCED_FACE('',(#20870),#2138,.F.); #87594=ADVANCED_FACE('',(#20871),#3483,.T.); #87595=ADVANCED_FACE('',(#20872),#2139,.F.); #87596=ADVANCED_FACE('',(#20873),#2140,.T.); #87597=ADVANCED_FACE('',(#20874),#84488,.T.); #87598=ADVANCED_FACE('',(#20875),#2141,.T.); #87599=ADVANCED_FACE('',(#20876),#2142,.T.); #87600=ADVANCED_FACE('',(#20877),#84489,.T.); #87601=ADVANCED_FACE('',(#20878),#84490,.T.); #87602=ADVANCED_FACE('',(#20879),#2143,.T.); #87603=ADVANCED_FACE('',(#20880),#2144,.T.); #87604=ADVANCED_FACE('',(#20881),#2145,.T.); #87605=ADVANCED_FACE('',(#20882),#2146,.T.); #87606=ADVANCED_FACE('',(#20883),#17998,.F.); #87607=ADVANCED_FACE('',(#20884),#17999,.F.); #87608=ADVANCED_FACE('',(#20885),#3484,.T.); #87609=ADVANCED_FACE('',(#20886),#3485,.F.); #87610=ADVANCED_FACE('',(#20887),#3486,.F.); #87611=ADVANCED_FACE('',(#20888),#3487,.T.); #87612=ADVANCED_FACE('',(#20889),#84491,.F.); #87613=ADVANCED_FACE('',(#20890),#1527,.F.); #87614=ADVANCED_FACE('',(#20891),#84492,.F.); #87615=ADVANCED_FACE('',(#20892),#84493,.F.); #87616=ADVANCED_FACE('',(#20893),#2147,.T.); #87617=ADVANCED_FACE('',(#20894),#84494,.F.); #87618=ADVANCED_FACE('',(#20895),#84495,.F.); #87619=ADVANCED_FACE('',(#20896),#84496,.F.); #87620=ADVANCED_FACE('',(#20897),#84497,.T.); #87621=ADVANCED_FACE('',(#20898),#84498,.T.); #87622=ADVANCED_FACE('',(#20899),#84499,.F.); #87623=ADVANCED_FACE('',(#20900),#4685,.F.); #87624=ADVANCED_FACE('',(#20901),#84500,.T.); #87625=ADVANCED_FACE('',(#20902),#2148,.T.); #87626=ADVANCED_FACE('',(#20903),#84501,.F.); #87627=ADVANCED_FACE('',(#20904),#1528,.T.); #87628=ADVANCED_FACE('',(#20905),#1529,.T.); #87629=ADVANCED_FACE('',(#20906),#1530,.T.); #87630=ADVANCED_FACE('',(#20907),#84502,.T.); #87631=ADVANCED_FACE('',(#20908),#1531,.T.); #87632=ADVANCED_FACE('',(#20909),#3488,.F.); #87633=ADVANCED_FACE('',(#20910),#3489,.F.); #87634=ADVANCED_FACE('',(#20911),#4686,.T.); #87635=ADVANCED_FACE('',(#20912),#4687,.T.); #87636=ADVANCED_FACE('',(#20913),#2149,.F.); #87637=ADVANCED_FACE('',(#20914),#2150,.F.); #87638=ADVANCED_FACE('',(#20915),#2151,.F.); #87639=ADVANCED_FACE('',(#20916),#2152,.T.); #87640=ADVANCED_FACE('',(#20917),#4688,.F.); #87641=ADVANCED_FACE('',(#20918),#4689,.F.); #87642=ADVANCED_FACE('',(#20919),#4690,.F.); #87643=ADVANCED_FACE('',(#20920),#2153,.T.); #87644=ADVANCED_FACE('',(#20921),#1532,.F.); #87645=ADVANCED_FACE('',(#20922),#1533,.T.); #87646=ADVANCED_FACE('',(#20923),#1534,.T.); #87647=ADVANCED_FACE('',(#20924),#4691,.F.); #87648=ADVANCED_FACE('',(#20925),#4692,.F.); #87649=ADVANCED_FACE('',(#20926),#18000,.T.); #87650=ADVANCED_FACE('',(#20927),#3490,.F.); #87651=ADVANCED_FACE('',(#20928,#1801),#3491,.F.); #87652=ADVANCED_FACE('',(#20929),#18001,.T.); #87653=ADVANCED_FACE('',(#20930),#3492,.F.); #87654=ADVANCED_FACE('',(#20931),#18002,.T.); #87655=ADVANCED_FACE('',(#20932),#3493,.F.); #87656=ADVANCED_FACE('',(#20933),#3494,.F.); #87657=ADVANCED_FACE('',(#20934),#18003,.T.); #87658=ADVANCED_FACE('',(#20935),#3495,.F.); #87659=ADVANCED_FACE('',(#20936),#18004,.F.); #87660=ADVANCED_FACE('',(#20937),#84503,.T.); #87661=ADVANCED_FACE('',(#20938),#84504,.T.); #87662=ADVANCED_FACE('',(#20939),#18005,.F.); #87663=ADVANCED_FACE('',(#20940),#84505,.T.); #87664=ADVANCED_FACE('',(#20941),#3496,.F.); #87665=ADVANCED_FACE('',(#20942),#3497,.F.); #87666=ADVANCED_FACE('',(#20943),#3498,.F.); #87667=ADVANCED_FACE('',(#20944),#18006,.F.); #87668=ADVANCED_FACE('',(#20945),#84506,.T.); #87669=ADVANCED_FACE('',(#20946),#84507,.T.); #87670=ADVANCED_FACE('',(#20947),#18007,.F.); #87671=ADVANCED_FACE('',(#20948),#3499,.F.); #87672=ADVANCED_FACE('',(#20949),#18008,.F.); #87673=ADVANCED_FACE('',(#20950),#3500,.F.); #87674=ADVANCED_FACE('',(#20951),#18009,.F.); #87675=ADVANCED_FACE('',(#20952),#3501,.F.); #87676=ADVANCED_FACE('',(#20953),#18010,.F.); #87677=ADVANCED_FACE('',(#20954),#3502,.F.); #87678=ADVANCED_FACE('',(#20955),#18011,.F.); #87679=ADVANCED_FACE('',(#20956),#3503,.F.); #87680=ADVANCED_FACE('',(#20957),#18012,.F.); #87681=ADVANCED_FACE('',(#20958),#18013,.F.); #87682=ADVANCED_FACE('',(#20959),#3504,.F.); #87683=ADVANCED_FACE('',(#20960),#18014,.F.); #87684=ADVANCED_FACE('',(#20961),#3505,.F.); #87685=ADVANCED_FACE('',(#20962),#18015,.F.); #87686=ADVANCED_FACE('',(#20963),#18016,.F.); #87687=ADVANCED_FACE('',(#20964),#3506,.F.); #87688=ADVANCED_FACE('',(#20965),#18017,.F.); #87689=ADVANCED_FACE('',(#20966),#3507,.F.); #87690=ADVANCED_FACE('',(#20967),#18018,.F.); #87691=ADVANCED_FACE('',(#20968),#3508,.F.); #87692=ADVANCED_FACE('',(#20969),#18019,.F.); #87693=ADVANCED_FACE('',(#20970),#3509,.F.); #87694=ADVANCED_FACE('',(#20971),#18020,.F.); #87695=ADVANCED_FACE('',(#20972),#18021,.F.); #87696=ADVANCED_FACE('',(#20973),#3510,.F.); #87697=ADVANCED_FACE('',(#20974),#18022,.F.); #87698=ADVANCED_FACE('',(#20975),#18023,.T.); #87699=ADVANCED_FACE('',(#20976),#18024,.F.); #87700=ADVANCED_FACE('',(#20977),#2154,.T.); #87701=ADVANCED_FACE('',(#20978),#1535,.T.); #87702=ADVANCED_FACE('',(#20979,#1802),#3511,.F.); #87703=ADVANCED_FACE('',(#20980),#2155,.T.); #87704=ADVANCED_FACE('',(#20981),#1536,.T.); #87705=ADVANCED_FACE('',(#20982,#1803),#3512,.F.); #87706=ADVANCED_FACE('',(#20983),#84508,.T.); #87707=ADVANCED_FACE('',(#20984),#84509,.T.); #87708=ADVANCED_FACE('',(#20985),#18025,.T.); #87709=ADVANCED_FACE('',(#20986),#84510,.T.); #87710=ADVANCED_FACE('',(#20987),#84511,.T.); #87711=ADVANCED_FACE('',(#20988),#18026,.T.); #87712=ADVANCED_FACE('',(#20989),#3513,.F.); #87713=ADVANCED_FACE('',(#20990),#3514,.F.); #87714=ADVANCED_FACE('',(#20991,#1804),#3515,.F.); #87715=ADVANCED_FACE('',(#20992),#3516,.F.); #87716=ADVANCED_FACE('',(#20993),#3517,.F.); #87717=ADVANCED_FACE('',(#20994),#18027,.F.); #87718=ADVANCED_FACE('',(#20995),#84512,.T.); #87719=ADVANCED_FACE('',(#20996),#84513,.T.); #87720=ADVANCED_FACE('',(#20997),#18028,.F.); #87721=ADVANCED_FACE('',(#20998),#3518,.F.); #87722=ADVANCED_FACE('',(#20999),#3519,.F.); #87723=ADVANCED_FACE('',(#21000,#1805),#3520,.F.); #87724=ADVANCED_FACE('',(#21001),#3521,.F.); #87725=ADVANCED_FACE('',(#21002),#3522,.F.); #87726=ADVANCED_FACE('',(#21003),#18029,.F.); #87727=ADVANCED_FACE('',(#21004),#84514,.T.); #87728=ADVANCED_FACE('',(#21005),#84515,.T.); #87729=ADVANCED_FACE('',(#21006),#18030,.F.); #87730=ADVANCED_FACE('',(#21007),#3523,.F.); #87731=ADVANCED_FACE('',(#21008),#3524,.F.); #87732=ADVANCED_FACE('',(#21009),#3525,.F.); #87733=ADVANCED_FACE('',(#21010),#3526,.F.); #87734=ADVANCED_FACE('',(#21011),#3527,.F.); #87735=ADVANCED_FACE('',(#21012),#3528,.F.); #87736=ADVANCED_FACE('',(#21013),#3529,.F.); #87737=ADVANCED_FACE('',(#21014),#3530,.F.); #87738=ADVANCED_FACE('',(#21015),#3531,.F.); #87739=ADVANCED_FACE('',(#21016),#3532,.F.); #87740=ADVANCED_FACE('',(#21017),#3533,.F.); #87741=ADVANCED_FACE('',(#21018),#3534,.F.); #87742=ADVANCED_FACE('',(#21019),#3535,.F.); #87743=ADVANCED_FACE('',(#21020),#3536,.F.); #87744=ADVANCED_FACE('',(#21021),#3537,.F.); #87745=ADVANCED_FACE('',(#21022),#84516,.T.); #87746=ADVANCED_FACE('',(#21023),#84517,.T.); #87747=ADVANCED_FACE('',(#21024),#1537,.F.); #87748=ADVANCED_FACE('',(#21025),#84518,.T.); #87749=ADVANCED_FACE('',(#21026),#84519,.T.); #87750=ADVANCED_FACE('',(#21027),#18031,.F.); #87751=ADVANCED_FACE('',(#21028),#84520,.F.); #87752=ADVANCED_FACE('',(#21029),#84521,.F.); #87753=ADVANCED_FACE('',(#21030),#84522,.F.); #87754=ADVANCED_FACE('',(#21031),#18032,.T.); #87755=ADVANCED_FACE('',(#21032),#2156,.T.); #87756=ADVANCED_FACE('',(#21033),#18033,.T.); #87757=ADVANCED_FACE('',(#21034),#84523,.F.); #87758=ADVANCED_FACE('',(#21035),#84524,.F.); #87759=ADVANCED_FACE('',(#21036),#84525,.F.); #87760=ADVANCED_FACE('',(#21037),#84526,.F.); #87761=ADVANCED_FACE('',(#21038),#84527,.F.); #87762=ADVANCED_FACE('',(#21039),#84528,.T.); #87763=ADVANCED_FACE('',(#21040),#3538,.T.); #87764=ADVANCED_FACE('',(#21041),#1538,.T.); #87765=ADVANCED_FACE('',(#21042),#1539,.T.); #87766=ADVANCED_FACE('',(#21043),#3539,.T.); #87767=ADVANCED_FACE('',(#21044),#84529,.T.); #87768=ADVANCED_FACE('',(#21045),#84530,.T.); #87769=ADVANCED_FACE('',(#21046),#84531,.T.); #87770=ADVANCED_FACE('',(#21047),#2157,.T.); #87771=ADVANCED_FACE('',(#21048),#2158,.T.); #87772=ADVANCED_FACE('',(#21049),#2159,.T.); #87773=ADVANCED_FACE('',(#21050),#2160,.T.); #87774=ADVANCED_FACE('',(#21051),#2161,.T.); #87775=ADVANCED_FACE('',(#21052),#2162,.T.); #87776=ADVANCED_FACE('',(#21053),#2163,.T.); #87777=ADVANCED_FACE('',(#21054),#2164,.T.); #87778=ADVANCED_FACE('',(#21055),#1540,.T.); #87779=ADVANCED_FACE('',(#21056),#1541,.T.); #87780=ADVANCED_FACE('',(#21057),#1542,.T.); #87781=ADVANCED_FACE('',(#21058),#1543,.T.); #87782=ADVANCED_FACE('',(#21059),#1544,.T.); #87783=ADVANCED_FACE('',(#21060),#1545,.T.); #87784=ADVANCED_FACE('',(#21061),#1546,.T.); #87785=ADVANCED_FACE('',(#21062),#1547,.T.); #87786=ADVANCED_FACE('',(#21063),#18034,.F.); #87787=ADVANCED_FACE('',(#21064),#84532,.T.); #87788=ADVANCED_FACE('',(#21065),#84533,.T.); #87789=ADVANCED_FACE('',(#21066),#84534,.F.); #87790=ADVANCED_FACE('',(#21067),#3540,.F.); #87791=ADVANCED_FACE('',(#21068),#18035,.F.); #87792=ADVANCED_FACE('',(#21069),#84535,.T.); #87793=ADVANCED_FACE('',(#21070),#84536,.T.); #87794=ADVANCED_FACE('',(#21071),#84537,.F.); #87795=ADVANCED_FACE('',(#21072),#18036,.F.); #87796=ADVANCED_FACE('',(#21073),#84538,.T.); #87797=ADVANCED_FACE('',(#21074),#84539,.F.); #87798=ADVANCED_FACE('',(#21075),#18037,.F.); #87799=ADVANCED_FACE('',(#21076),#84540,.T.); #87800=ADVANCED_FACE('',(#21077),#971,.T.); #87801=ADVANCED_FACE('',(#21078),#2165,.T.); #87802=ADVANCED_FACE('',(#21079),#972,.T.); #87803=ADVANCED_FACE('',(#21080),#973,.T.); #87804=ADVANCED_FACE('',(#21081),#84541,.F.); #87805=ADVANCED_FACE('',(#21082),#2166,.T.); #87806=ADVANCED_FACE('',(#21083),#974,.T.); #87807=ADVANCED_FACE('',(#21084),#2167,.T.); #87808=ADVANCED_FACE('',(#21085),#18038,.T.); #87809=ADVANCED_FACE('',(#21086),#18039,.T.); #87810=ADVANCED_FACE('',(#21087),#84542,.F.); #87811=ADVANCED_FACE('',(#21088),#18040,.T.); #87812=ADVANCED_FACE('',(#21089),#84543,.F.); #87813=ADVANCED_FACE('',(#21090),#84544,.F.); #87814=ADVANCED_FACE('',(#21091,#1806),#3541,.F.); #87815=ADVANCED_FACE('',(#21092),#1548,.T.); #87816=ADVANCED_FACE('',(#21093),#84545,.T.); #87817=ADVANCED_FACE('',(#21094),#3542,.T.); #87818=ADVANCED_FACE('',(#21095),#84546,.T.); #87819=ADVANCED_FACE('',(#21096),#84547,.T.); #87820=ADVANCED_FACE('',(#21097),#84548,.T.); #87821=ADVANCED_FACE('',(#21098),#84549,.T.); #87822=ADVANCED_FACE('',(#21099),#84550,.T.); #87823=ADVANCED_FACE('',(#21100),#84551,.T.); #87824=ADVANCED_FACE('',(#21101),#84552,.T.); #87825=ADVANCED_FACE('',(#21102),#84553,.T.); #87826=ADVANCED_FACE('',(#21103),#84554,.T.); #87827=ADVANCED_FACE('',(#21104),#84555,.T.); #87828=ADVANCED_FACE('',(#21105),#84556,.T.); #87829=ADVANCED_FACE('',(#21106),#84557,.T.); #87830=ADVANCED_FACE('',(#21107),#84558,.T.); #87831=ADVANCED_FACE('',(#21108),#84559,.T.); #87832=ADVANCED_FACE('',(#21109),#84560,.T.); #87833=ADVANCED_FACE('',(#21110),#84561,.T.); #87834=ADVANCED_FACE('',(#21111),#84562,.T.); #87835=ADVANCED_FACE('',(#21112),#84563,.T.); #87836=ADVANCED_FACE('',(#21113),#84564,.T.); #87837=ADVANCED_FACE('',(#21114),#84565,.T.); #87838=ADVANCED_FACE('',(#21115),#84566,.T.); #87839=ADVANCED_FACE('',(#21116),#975,.F.); #87840=ADVANCED_FACE('',(#21117),#976,.F.); #87841=ADVANCED_FACE('',(#21118),#977,.F.); #87842=ADVANCED_FACE('',(#21119),#978,.F.); #87843=ADVANCED_FACE('',(#21120),#84567,.T.); #87844=ADVANCED_FACE('',(#21121),#84568,.T.); #87845=ADVANCED_FACE('',(#21122),#84569,.T.); #87846=ADVANCED_FACE('',(#21123),#84570,.T.); #87847=ADVANCED_FACE('',(#21124),#18041,.T.); #87848=ADVANCED_FACE('',(#21125),#3543,.T.); #87849=ADVANCED_FACE('',(#21126),#3544,.T.); #87850=ADVANCED_FACE('',(#21127),#3545,.F.); #87851=ADVANCED_FACE('',(#21128),#18042,.F.); #87852=ADVANCED_FACE('',(#21129),#3546,.F.); #87853=ADVANCED_FACE('',(#21130),#18043,.F.); #87854=ADVANCED_FACE('',(#21131),#3547,.F.); #87855=ADVANCED_FACE('',(#21132),#18044,.T.); #87856=ADVANCED_FACE('',(#21133),#3548,.T.); #87857=ADVANCED_FACE('',(#21134),#18045,.T.); #87858=ADVANCED_FACE('',(#21135,#1807,#1808),#3549,.T.); #87859=ADVANCED_FACE('',(#21136),#18046,.T.); #87860=ADVANCED_FACE('',(#21137),#3550,.T.); #87861=ADVANCED_FACE('',(#21138),#18047,.T.); #87862=ADVANCED_FACE('',(#21139),#3551,.F.); #87863=ADVANCED_FACE('',(#21140),#18048,.F.); #87864=ADVANCED_FACE('',(#21141),#3552,.F.); #87865=ADVANCED_FACE('',(#21142),#18049,.F.); #87866=ADVANCED_FACE('',(#21143),#3553,.F.); #87867=ADVANCED_FACE('',(#21144),#18050,.T.); #87868=ADVANCED_FACE('',(#21145),#18051,.T.); #87869=ADVANCED_FACE('',(#21146),#3554,.T.); #87870=ADVANCED_FACE('',(#21147),#3555,.T.); #87871=ADVANCED_FACE('',(#21148),#84571,.F.); #87872=ADVANCED_FACE('',(#21149),#84572,.F.); #87873=ADVANCED_FACE('',(#21150),#84573,.T.); #87874=ADVANCED_FACE('',(#21151),#84574,.T.); #87875=ADVANCED_FACE('',(#21152),#18052,.F.); #87876=ADVANCED_FACE('',(#21153),#18053,.T.); #87877=ADVANCED_FACE('',(#21154),#18054,.T.); #87878=ADVANCED_FACE('',(#21155),#18055,.F.); #87879=ADVANCED_FACE('',(#21156),#84575,.T.); #87880=ADVANCED_FACE('',(#21157),#84576,.T.); #87881=ADVANCED_FACE('',(#21158),#18056,.T.); #87882=ADVANCED_FACE('',(#21159),#84577,.F.); #87883=ADVANCED_FACE('',(#21160),#18057,.T.); #87884=ADVANCED_FACE('',(#21161),#84578,.F.); #87885=ADVANCED_FACE('',(#21162),#18058,.T.); #87886=ADVANCED_FACE('',(#21163),#18059,.T.); #87887=ADVANCED_FACE('',(#21164),#18060,.T.); #87888=ADVANCED_FACE('',(#21165),#84579,.F.); #87889=ADVANCED_FACE('',(#21166),#18061,.T.); #87890=ADVANCED_FACE('',(#21167),#84580,.F.); #87891=ADVANCED_FACE('',(#21168),#18062,.T.); #87892=ADVANCED_FACE('',(#21169),#979,.T.); #87893=ADVANCED_FACE('',(#21170),#18063,.T.); #87894=ADVANCED_FACE('',(#21171),#84581,.F.); #87895=ADVANCED_FACE('',(#21172),#18064,.T.); #87896=ADVANCED_FACE('',(#21173),#84582,.F.); #87897=ADVANCED_FACE('',(#21174),#18065,.T.); #87898=ADVANCED_FACE('',(#21175),#980,.T.); #87899=ADVANCED_FACE('',(#21176),#18066,.T.); #87900=ADVANCED_FACE('',(#21177),#84583,.F.); #87901=ADVANCED_FACE('',(#21178),#18067,.T.); #87902=ADVANCED_FACE('',(#21179),#84584,.F.); #87903=ADVANCED_FACE('',(#21180),#18068,.T.); #87904=ADVANCED_FACE('',(#21181),#981,.T.); #87905=ADVANCED_FACE('',(#21182),#982,.T.); #87906=ADVANCED_FACE('',(#21183),#3556,.F.); #87907=ADVANCED_FACE('',(#21184),#84585,.F.); #87908=ADVANCED_FACE('',(#21185),#983,.T.); #87909=ADVANCED_FACE('',(#21186),#1549,.T.); #87910=ADVANCED_FACE('',(#21187),#84586,.F.); #87911=ADVANCED_FACE('',(#21188),#984,.T.); #87912=ADVANCED_FACE('',(#21189),#84587,.F.); #87913=ADVANCED_FACE('',(#21190),#1550,.T.); #87914=ADVANCED_FACE('',(#21191),#18069,.T.); #87915=ADVANCED_FACE('',(#21192),#84588,.F.); #87916=ADVANCED_FACE('',(#21193),#2168,.F.); #87917=ADVANCED_FACE('',(#21194),#18070,.F.); #87918=ADVANCED_FACE('',(#21195),#84589,.T.); #87919=ADVANCED_FACE('',(#21196),#84590,.T.); #87920=ADVANCED_FACE('',(#21197),#2169,.F.); #87921=ADVANCED_FACE('',(#21198),#84591,.T.); #87922=ADVANCED_FACE('',(#21199),#84592,.T.); #87923=ADVANCED_FACE('',(#21200),#1551,.T.); #87924=ADVANCED_FACE('',(#21201),#84593,.T.); #87925=ADVANCED_FACE('',(#21202),#3557,.F.); #87926=ADVANCED_FACE('',(#21203),#3558,.F.); #87927=ADVANCED_FACE('',(#21204),#18071,.F.); #87928=ADVANCED_FACE('',(#21205),#3559,.F.); #87929=ADVANCED_FACE('',(#21206),#18072,.F.); #87930=ADVANCED_FACE('',(#21207),#18073,.F.); #87931=ADVANCED_FACE('',(#21208),#3560,.F.); #87932=ADVANCED_FACE('',(#21209),#3561,.F.); #87933=ADVANCED_FACE('',(#21210),#3562,.T.); #87934=ADVANCED_FACE('',(#21211),#84594,.F.); #87935=ADVANCED_FACE('',(#21212),#84595,.F.); #87936=ADVANCED_FACE('',(#21213),#84596,.F.); #87937=ADVANCED_FACE('',(#21214),#84597,.F.); #87938=ADVANCED_FACE('',(#21215),#84598,.F.); #87939=ADVANCED_FACE('',(#21216),#84599,.F.); #87940=ADVANCED_FACE('',(#21217),#18074,.F.); #87941=ADVANCED_FACE('',(#21218),#2170,.F.); #87942=ADVANCED_FACE('',(#21219),#985,.F.); #87943=ADVANCED_FACE('',(#21220),#84600,.T.); #87944=ADVANCED_FACE('',(#21221),#84601,.T.); #87945=ADVANCED_FACE('',(#21222),#84602,.T.); #87946=ADVANCED_FACE('',(#21223),#84603,.T.); #87947=ADVANCED_FACE('',(#21224),#986,.F.); #87948=ADVANCED_FACE('',(#21225),#84604,.T.); #87949=ADVANCED_FACE('',(#21226),#84605,.T.); #87950=ADVANCED_FACE('',(#21227),#84606,.T.); #87951=ADVANCED_FACE('',(#21228),#84607,.T.); #87952=ADVANCED_FACE('',(#21229),#3563,.T.); #87953=ADVANCED_FACE('',(#21230),#84608,.T.); #87954=ADVANCED_FACE('',(#21231),#84609,.T.); #87955=ADVANCED_FACE('',(#21232),#84610,.T.); #87956=ADVANCED_FACE('',(#21233),#84611,.T.); #87957=ADVANCED_FACE('',(#21234),#84612,.T.); #87958=ADVANCED_FACE('',(#21235),#1552,.T.); #87959=ADVANCED_FACE('',(#21236),#84613,.T.); #87960=ADVANCED_FACE('',(#21237),#84614,.F.); #87961=ADVANCED_FACE('',(#21238),#84615,.F.); #87962=ADVANCED_FACE('',(#21239),#84616,.T.); #87963=ADVANCED_FACE('',(#21240),#84617,.T.); #87964=ADVANCED_FACE('',(#21241),#84618,.T.); #87965=ADVANCED_FACE('',(#21242),#84619,.T.); #87966=ADVANCED_FACE('',(#21243),#84620,.T.); #87967=ADVANCED_FACE('',(#21244),#84621,.T.); #87968=ADVANCED_FACE('',(#21245),#84622,.T.); #87969=ADVANCED_FACE('',(#21246),#84623,.T.); #87970=ADVANCED_FACE('',(#21247),#84624,.T.); #87971=ADVANCED_FACE('',(#21248),#84625,.T.); #87972=ADVANCED_FACE('',(#21249),#84626,.T.); #87973=ADVANCED_FACE('',(#21250),#84627,.T.); #87974=ADVANCED_FACE('',(#21251),#84628,.T.); #87975=ADVANCED_FACE('',(#21252),#84629,.T.); #87976=ADVANCED_FACE('',(#21253),#84630,.T.); #87977=ADVANCED_FACE('',(#21254),#84631,.F.); #87978=ADVANCED_FACE('',(#21255),#84632,.T.); #87979=ADVANCED_FACE('',(#21256),#84633,.T.); #87980=ADVANCED_FACE('',(#21257),#84634,.T.); #87981=ADVANCED_FACE('',(#21258),#84635,.F.); #87982=ADVANCED_FACE('',(#21259),#84636,.T.); #87983=ADVANCED_FACE('',(#21260),#84637,.T.); #87984=ADVANCED_FACE('',(#21261),#84638,.T.); #87985=ADVANCED_FACE('',(#21262),#84639,.T.); #87986=ADVANCED_FACE('',(#21263),#84640,.T.); #87987=ADVANCED_FACE('',(#21264),#84641,.T.); #87988=ADVANCED_FACE('',(#21265),#84642,.T.); #87989=ADVANCED_FACE('',(#21266),#84643,.T.); #87990=ADVANCED_FACE('',(#21267),#84644,.T.); #87991=ADVANCED_FACE('',(#21268),#84645,.T.); #87992=ADVANCED_FACE('',(#21269),#84646,.T.); #87993=ADVANCED_FACE('',(#21270),#1553,.T.); #87994=ADVANCED_FACE('',(#21271),#1554,.T.); #87995=ADVANCED_FACE('',(#21272),#1555,.T.); #87996=ADVANCED_FACE('',(#21273),#1556,.T.); #87997=ADVANCED_FACE('',(#21274),#84647,.T.); #87998=ADVANCED_FACE('',(#21275),#84648,.T.); #87999=ADVANCED_FACE('',(#21276),#84649,.T.); #88000=ADVANCED_FACE('',(#21277),#84650,.T.); #88001=ADVANCED_FACE('',(#21278),#84651,.T.); #88002=ADVANCED_FACE('',(#21279),#2171,.F.); #88003=ADVANCED_FACE('',(#21280),#2172,.F.); #88004=ADVANCED_FACE('',(#21281),#84652,.F.); #88005=ADVANCED_FACE('',(#21282),#84653,.F.); #88006=ADVANCED_FACE('',(#21283),#84654,.F.); #88007=ADVANCED_FACE('',(#21284),#84655,.F.); #88008=ADVANCED_FACE('',(#21285),#84656,.F.); #88009=ADVANCED_FACE('',(#21286),#84657,.F.); #88010=ADVANCED_FACE('',(#21287),#84658,.F.); #88011=ADVANCED_FACE('',(#21288),#84659,.F.); #88012=ADVANCED_FACE('',(#21289),#84660,.F.); #88013=ADVANCED_FACE('',(#21290),#84661,.F.); #88014=ADVANCED_FACE('',(#21291),#18075,.T.); #88015=ADVANCED_FACE('',(#21292),#18076,.T.); #88016=ADVANCED_FACE('',(#21293),#84662,.F.); #88017=ADVANCED_FACE('',(#21294),#84663,.F.); #88018=ADVANCED_FACE('',(#21295),#3564,.F.); #88019=ADVANCED_FACE('',(#21296),#2173,.T.); #88020=ADVANCED_FACE('',(#21297),#18077,.T.); #88021=ADVANCED_FACE('',(#21298,#1809),#3565,.F.); #88022=ADVANCED_FACE('',(#21299),#84664,.T.); #88023=ADVANCED_FACE('',(#21300),#2174,.F.); #88024=ADVANCED_FACE('',(#21301),#84665,.T.); #88025=ADVANCED_FACE('',(#21302),#84666,.F.); #88026=ADVANCED_FACE('',(#21303),#84667,.F.); #88027=ADVANCED_FACE('',(#21304),#84668,.F.); #88028=ADVANCED_FACE('',(#21305),#84669,.F.); #88029=ADVANCED_FACE('',(#21306),#84670,.F.); #88030=ADVANCED_FACE('',(#21307),#84671,.F.); #88031=ADVANCED_FACE('',(#21308),#84672,.F.); #88032=ADVANCED_FACE('',(#21309),#84673,.F.); #88033=ADVANCED_FACE('',(#21310),#84674,.F.); #88034=ADVANCED_FACE('',(#21311),#84675,.F.); #88035=ADVANCED_FACE('',(#21312),#84676,.F.); #88036=ADVANCED_FACE('',(#21313),#84677,.F.); #88037=ADVANCED_FACE('',(#21314),#84678,.F.); #88038=ADVANCED_FACE('',(#21315),#3566,.T.); #88039=ADVANCED_FACE('',(#21316),#84679,.F.); #88040=ADVANCED_FACE('',(#21317),#84680,.T.); #88041=ADVANCED_FACE('',(#21318),#84681,.F.); #88042=ADVANCED_FACE('',(#21319),#84682,.F.); #88043=ADVANCED_FACE('',(#21320),#84683,.F.); #88044=ADVANCED_FACE('',(#21321),#84684,.F.); #88045=ADVANCED_FACE('',(#21322),#84685,.F.); #88046=ADVANCED_FACE('',(#21323),#84686,.F.); #88047=ADVANCED_FACE('',(#21324),#84687,.F.); #88048=ADVANCED_FACE('',(#21325),#84688,.F.); #88049=ADVANCED_FACE('',(#21326),#84689,.F.); #88050=ADVANCED_FACE('',(#21327),#84690,.F.); #88051=ADVANCED_FACE('',(#21328),#84691,.F.); #88052=ADVANCED_FACE('',(#21329),#84692,.F.); #88053=ADVANCED_FACE('',(#21330),#84693,.F.); #88054=ADVANCED_FACE('',(#21331),#84694,.F.); #88055=ADVANCED_FACE('',(#21332),#84695,.F.); #88056=ADVANCED_FACE('',(#21333),#84696,.F.); #88057=ADVANCED_FACE('',(#21334),#84697,.F.); #88058=ADVANCED_FACE('',(#21335),#84698,.F.); #88059=ADVANCED_FACE('',(#21336),#84699,.T.); #88060=ADVANCED_FACE('',(#21337),#84700,.F.); #88061=ADVANCED_FACE('',(#21338),#84701,.F.); #88062=ADVANCED_FACE('',(#21339),#84702,.F.); #88063=ADVANCED_FACE('',(#21340),#84703,.F.); #88064=ADVANCED_FACE('',(#21341),#84704,.T.); #88065=ADVANCED_FACE('',(#21342),#84705,.T.); #88066=ADVANCED_FACE('',(#21343),#84706,.T.); #88067=ADVANCED_FACE('',(#21344),#84707,.T.); #88068=ADVANCED_FACE('',(#21345),#84708,.F.); #88069=ADVANCED_FACE('',(#21346),#84709,.F.); #88070=ADVANCED_FACE('',(#21347),#84710,.F.); #88071=ADVANCED_FACE('',(#21348),#84711,.F.); #88072=ADVANCED_FACE('',(#21349),#84712,.F.); #88073=ADVANCED_FACE('',(#21350),#84713,.F.); #88074=ADVANCED_FACE('',(#21351),#84714,.F.); #88075=ADVANCED_FACE('',(#21352),#84715,.F.); #88076=ADVANCED_FACE('',(#21353),#84716,.F.); #88077=ADVANCED_FACE('',(#21354),#84717,.F.); #88078=ADVANCED_FACE('',(#21355),#84718,.F.); #88079=ADVANCED_FACE('',(#21356),#84719,.F.); #88080=ADVANCED_FACE('',(#21357),#84720,.F.); #88081=ADVANCED_FACE('',(#21358),#84721,.F.); #88082=ADVANCED_FACE('',(#21359),#84722,.F.); #88083=ADVANCED_FACE('',(#21360),#84723,.F.); #88084=ADVANCED_FACE('',(#21361),#84724,.F.); #88085=ADVANCED_FACE('',(#21362),#84725,.F.); #88086=ADVANCED_FACE('',(#21363),#84726,.F.); #88087=ADVANCED_FACE('',(#21364),#84727,.F.); #88088=ADVANCED_FACE('',(#21365),#84728,.T.); #88089=ADVANCED_FACE('',(#21366),#1557,.F.); #88090=ADVANCED_FACE('',(#21367),#1558,.F.); #88091=ADVANCED_FACE('',(#21368),#1559,.F.); #88092=ADVANCED_FACE('',(#21369),#84729,.T.); #88093=ADVANCED_FACE('',(#21370),#3567,.F.); #88094=ADVANCED_FACE('',(#21371),#3568,.T.); #88095=ADVANCED_FACE('',(#21372),#3569,.F.); #88096=ADVANCED_FACE('',(#21373),#3570,.T.); #88097=ADVANCED_FACE('',(#21374),#3571,.F.); #88098=ADVANCED_FACE('',(#21375),#3572,.F.); #88099=ADVANCED_FACE('',(#21376),#3573,.F.); #88100=ADVANCED_FACE('',(#21377),#3574,.F.); #88101=ADVANCED_FACE('',(#21378),#3575,.T.); #88102=ADVANCED_FACE('',(#21379),#3576,.F.); #88103=ADVANCED_FACE('',(#21380),#3577,.T.); #88104=ADVANCED_FACE('',(#21381),#18078,.F.); #88105=ADVANCED_FACE('',(#21382),#3578,.F.); #88106=ADVANCED_FACE('',(#21383),#18079,.F.); #88107=ADVANCED_FACE('',(#21384),#2175,.F.); #88108=ADVANCED_FACE('',(#21385),#2176,.F.); #88109=ADVANCED_FACE('',(#21386),#2177,.F.); #88110=ADVANCED_FACE('',(#21387),#18080,.F.); #88111=ADVANCED_FACE('',(#21388),#3579,.F.); #88112=ADVANCED_FACE('',(#21389),#1560,.F.); #88113=ADVANCED_FACE('',(#21390),#1561,.F.); #88114=ADVANCED_FACE('',(#21391),#1562,.F.); #88115=ADVANCED_FACE('',(#21392),#3580,.F.); #88116=ADVANCED_FACE('',(#21393),#3581,.T.); #88117=ADVANCED_FACE('',(#21394),#84730,.T.); #88118=ADVANCED_FACE('',(#21395),#84731,.T.); #88119=ADVANCED_FACE('',(#21396),#84732,.T.); #88120=ADVANCED_FACE('',(#21397),#84733,.T.); #88121=ADVANCED_FACE('',(#21398),#84734,.T.); #88122=ADVANCED_FACE('',(#21399),#84735,.T.); #88123=ADVANCED_FACE('',(#21400),#84736,.T.); #88124=ADVANCED_FACE('',(#21401),#84737,.T.); #88125=ADVANCED_FACE('',(#21402),#84738,.T.); #88126=ADVANCED_FACE('',(#21403),#84739,.T.); #88127=ADVANCED_FACE('',(#21404),#18081,.T.); #88128=ADVANCED_FACE('',(#21405),#3582,.F.); #88129=ADVANCED_FACE('',(#21406),#18082,.T.); #88130=ADVANCED_FACE('',(#21407),#1563,.F.); #88131=ADVANCED_FACE('',(#21408),#3583,.F.); #88132=ADVANCED_FACE('',(#21409),#3584,.F.); #88133=ADVANCED_FACE('',(#21410),#3585,.F.); #88134=ADVANCED_FACE('',(#21411),#1564,.F.); #88135=ADVANCED_FACE('',(#21412),#1565,.F.); #88136=ADVANCED_FACE('',(#21413),#1566,.F.); #88137=ADVANCED_FACE('',(#21414),#84740,.T.); #88138=ADVANCED_FACE('',(#21415),#84741,.T.); #88139=ADVANCED_FACE('',(#21416),#84742,.T.); #88140=ADVANCED_FACE('',(#21417),#84743,.T.); #88141=ADVANCED_FACE('',(#21418),#1567,.F.); #88142=ADVANCED_FACE('',(#21419),#1568,.F.); #88143=ADVANCED_FACE('',(#21420),#1569,.F.); #88144=ADVANCED_FACE('',(#21421),#1570,.F.); #88145=ADVANCED_FACE('',(#21422),#1571,.F.); #88146=ADVANCED_FACE('',(#21423),#1572,.F.); #88147=ADVANCED_FACE('',(#21424),#1573,.F.); #88148=ADVANCED_FACE('',(#21425),#1574,.F.); #88149=ADVANCED_FACE('',(#21426),#1575,.F.); #88150=ADVANCED_FACE('',(#21427),#1576,.F.); #88151=ADVANCED_FACE('',(#21428),#1577,.F.); #88152=ADVANCED_FACE('',(#21429),#1578,.F.); #88153=ADVANCED_FACE('',(#21430),#1579,.F.); #88154=ADVANCED_FACE('',(#21431),#1580,.F.); #88155=ADVANCED_FACE('',(#21432),#1581,.F.); #88156=ADVANCED_FACE('',(#21433),#1582,.F.); #88157=ADVANCED_FACE('',(#21434),#18083,.F.); #88158=ADVANCED_FACE('',(#21435),#3586,.F.); #88159=ADVANCED_FACE('',(#21436),#18084,.F.); #88160=ADVANCED_FACE('',(#21437),#3587,.F.); #88161=ADVANCED_FACE('',(#21438),#18085,.F.); #88162=ADVANCED_FACE('',(#21439),#18086,.F.); #88163=ADVANCED_FACE('',(#21440),#3588,.F.); #88164=ADVANCED_FACE('',(#21441),#18087,.F.); #88165=ADVANCED_FACE('',(#21442),#3589,.F.); #88166=ADVANCED_FACE('',(#21443),#3590,.F.); #88167=ADVANCED_FACE('',(#21444),#18088,.F.); #88168=ADVANCED_FACE('',(#21445),#3591,.F.); #88169=ADVANCED_FACE('',(#21446),#18089,.F.); #88170=ADVANCED_FACE('',(#21447),#3592,.F.); #88171=ADVANCED_FACE('',(#21448),#18090,.F.); #88172=ADVANCED_FACE('',(#21449),#3593,.F.); #88173=ADVANCED_FACE('',(#21450,#1810),#3594,.T.); #88174=ADVANCED_FACE('',(#21451,#1811,#1812,#1813),#3595,.F.); #88175=ADVANCED_FACE('',(#21452),#3596,.T.); #88176=ADVANCED_FACE('',(#21453),#3597,.T.); #88177=ADVANCED_FACE('',(#21454),#3598,.T.); #88178=ADVANCED_FACE('',(#21455),#3599,.T.); #88179=ADVANCED_FACE('',(#21456),#3600,.T.); #88180=ADVANCED_FACE('',(#21457),#3601,.T.); #88181=ADVANCED_FACE('',(#21458),#3602,.T.); #88182=ADVANCED_FACE('',(#21459),#3603,.T.); #88183=ADVANCED_FACE('',(#21460),#3604,.T.); #88184=ADVANCED_FACE('',(#21461),#3605,.T.); #88185=ADVANCED_FACE('',(#21462),#3606,.T.); #88186=ADVANCED_FACE('',(#21463),#3607,.T.); #88187=ADVANCED_FACE('',(#21464),#3608,.T.); #88188=ADVANCED_FACE('',(#21465),#3609,.T.); #88189=ADVANCED_FACE('',(#21466),#3610,.T.); #88190=ADVANCED_FACE('',(#21467),#1583,.F.); #88191=ADVANCED_FACE('',(#21468),#1584,.F.); #88192=ADVANCED_FACE('',(#21469),#1585,.F.); #88193=ADVANCED_FACE('',(#21470),#1586,.F.); #88194=ADVANCED_FACE('',(#21471),#1587,.F.); #88195=ADVANCED_FACE('',(#21472),#1588,.F.); #88196=ADVANCED_FACE('',(#21473),#1589,.F.); #88197=ADVANCED_FACE('',(#21474),#1590,.F.); #88198=ADVANCED_FACE('',(#21475),#1591,.F.); #88199=ADVANCED_FACE('',(#21476),#1592,.F.); #88200=ADVANCED_FACE('',(#21477),#1593,.F.); #88201=ADVANCED_FACE('',(#21478),#1594,.F.); #88202=ADVANCED_FACE('',(#21479),#1595,.F.); #88203=ADVANCED_FACE('',(#21480),#1596,.F.); #88204=ADVANCED_FACE('',(#21481),#1597,.F.); #88205=ADVANCED_FACE('',(#21482),#1598,.F.); #88206=ADVANCED_FACE('',(#21483),#18091,.F.); #88207=ADVANCED_FACE('',(#21484),#3611,.T.); #88208=ADVANCED_FACE('',(#21485),#18092,.F.); #88209=ADVANCED_FACE('',(#21486),#3612,.T.); #88210=ADVANCED_FACE('',(#21487),#3613,.T.); #88211=ADVANCED_FACE('',(#21488),#18093,.F.); #88212=ADVANCED_FACE('',(#21489),#3614,.T.); #88213=ADVANCED_FACE('',(#21490),#18094,.F.); #88214=ADVANCED_FACE('',(#21491),#3615,.T.); #88215=ADVANCED_FACE('',(#21492),#18095,.F.); #88216=ADVANCED_FACE('',(#21493),#3616,.T.); #88217=ADVANCED_FACE('',(#21494),#18096,.F.); #88218=ADVANCED_FACE('',(#21495),#3617,.T.); #88219=ADVANCED_FACE('',(#21496),#18097,.F.); #88220=ADVANCED_FACE('',(#21497),#3618,.T.); #88221=ADVANCED_FACE('',(#21498),#18098,.F.); #88222=ADVANCED_FACE('',(#21499,#1814),#3619,.F.); #88223=ADVANCED_FACE('',(#21500,#1815,#1816,#1817),#3620,.T.); #88224=ADVANCED_FACE('',(#21501),#1599,.T.); #88225=ADVANCED_FACE('',(#21502),#1600,.F.); #88226=ADVANCED_FACE('',(#21503),#3621,.T.); #88227=ADVANCED_FACE('',(#21504),#3622,.T.); #88228=ADVANCED_FACE('',(#21505),#18099,.T.); #88229=ADVANCED_FACE('',(#21506),#18100,.T.); #88230=ADVANCED_FACE('',(#21507),#18101,.T.); #88231=ADVANCED_FACE('',(#21508),#18102,.T.); #88232=ADVANCED_FACE('',(#21509),#18103,.T.); #88233=ADVANCED_FACE('',(#21510),#18104,.T.); #88234=ADVANCED_FACE('',(#21511),#18105,.T.); #88235=ADVANCED_FACE('',(#21512),#18106,.T.); #88236=ADVANCED_FACE('',(#21513),#18107,.T.); #88237=ADVANCED_FACE('',(#21514),#18108,.T.); #88238=ADVANCED_FACE('',(#21515),#18109,.T.); #88239=ADVANCED_FACE('',(#21516),#18110,.T.); #88240=ADVANCED_FACE('',(#21517),#18111,.T.); #88241=ADVANCED_FACE('',(#21518),#18112,.T.); #88242=ADVANCED_FACE('',(#21519),#18113,.T.); #88243=ADVANCED_FACE('',(#21520),#18114,.T.); #88244=ADVANCED_FACE('',(#21521),#18115,.T.); #88245=ADVANCED_FACE('',(#21522),#18116,.T.); #88246=ADVANCED_FACE('',(#21523),#18117,.T.); #88247=ADVANCED_FACE('',(#21524),#18118,.T.); #88248=ADVANCED_FACE('',(#21525),#1601,.T.); #88249=ADVANCED_FACE('',(#21526),#18119,.T.); #88250=ADVANCED_FACE('',(#21527),#18120,.T.); #88251=ADVANCED_FACE('',(#21528),#18121,.T.); #88252=ADVANCED_FACE('',(#21529),#18122,.T.); #88253=ADVANCED_FACE('',(#21530),#18123,.T.); #88254=ADVANCED_FACE('',(#21531),#18124,.T.); #88255=ADVANCED_FACE('',(#21532),#18125,.T.); #88256=ADVANCED_FACE('',(#21533),#18126,.T.); #88257=ADVANCED_FACE('',(#21534),#18127,.T.); #88258=ADVANCED_FACE('',(#21535),#18128,.T.); #88259=ADVANCED_FACE('',(#21536),#18129,.T.); #88260=ADVANCED_FACE('',(#21537),#18130,.T.); #88261=ADVANCED_FACE('',(#21538),#18131,.T.); #88262=ADVANCED_FACE('',(#21539),#18132,.T.); #88263=ADVANCED_FACE('',(#21540),#18133,.T.); #88264=ADVANCED_FACE('',(#21541),#18134,.T.); #88265=ADVANCED_FACE('',(#21542),#18135,.T.); #88266=ADVANCED_FACE('',(#21543),#18136,.T.); #88267=ADVANCED_FACE('',(#21544),#18137,.T.); #88268=ADVANCED_FACE('',(#21545),#3623,.T.); #88269=ADVANCED_FACE('',(#21546),#3624,.T.); #88270=ADVANCED_FACE('',(#21547),#3625,.T.); #88271=ADVANCED_FACE('',(#21548),#3626,.T.); #88272=ADVANCED_FACE('',(#21549),#3627,.T.); #88273=ADVANCED_FACE('',(#21550),#3628,.T.); #88274=ADVANCED_FACE('',(#21551),#3629,.T.); #88275=ADVANCED_FACE('',(#21552),#3630,.T.); #88276=ADVANCED_FACE('',(#21553),#3631,.T.); #88277=ADVANCED_FACE('',(#21554),#3632,.T.); #88278=ADVANCED_FACE('',(#21555),#3633,.T.); #88279=ADVANCED_FACE('',(#21556),#3634,.T.); #88280=ADVANCED_FACE('',(#21557),#3635,.T.); #88281=ADVANCED_FACE('',(#21558),#3636,.T.); #88282=ADVANCED_FACE('',(#21559),#3637,.T.); #88283=ADVANCED_FACE('',(#21560),#3638,.T.); #88284=ADVANCED_FACE('',(#21561,#1818),#3639,.F.); #88285=ADVANCED_FACE('',(#21562),#18138,.T.); #88286=ADVANCED_FACE('',(#21563),#4693,.T.); #88287=ADVANCED_FACE('',(#21564),#1602,.T.); #88288=ADVANCED_FACE('',(#21565),#1603,.T.); #88289=ADVANCED_FACE('',(#21566),#1604,.T.); #88290=ADVANCED_FACE('',(#21567),#1605,.T.); #88291=ADVANCED_FACE('',(#21568),#1606,.T.); #88292=ADVANCED_FACE('',(#21569),#1607,.T.); #88293=ADVANCED_FACE('',(#21570),#1608,.T.); #88294=ADVANCED_FACE('',(#21571),#1609,.T.); #88295=ADVANCED_FACE('',(#21572),#18139,.T.); #88296=ADVANCED_FACE('',(#21573),#3640,.T.); #88297=ADVANCED_FACE('',(#21574),#18140,.T.); #88298=ADVANCED_FACE('',(#21575),#3641,.T.); #88299=ADVANCED_FACE('',(#21576),#18141,.T.); #88300=ADVANCED_FACE('',(#21577),#3642,.T.); #88301=ADVANCED_FACE('',(#21578),#18142,.T.); #88302=ADVANCED_FACE('',(#21579),#3643,.T.); #88303=ADVANCED_FACE('',(#21580),#1610,.T.); #88304=ADVANCED_FACE('',(#21581),#1611,.T.); #88305=ADVANCED_FACE('',(#21582),#1612,.T.); #88306=ADVANCED_FACE('',(#21583),#1613,.T.); #88307=ADVANCED_FACE('',(#21584),#1614,.T.); #88308=ADVANCED_FACE('',(#21585),#1615,.T.); #88309=ADVANCED_FACE('',(#21586),#1616,.T.); #88310=ADVANCED_FACE('',(#21587),#1617,.T.); #88311=ADVANCED_FACE('',(#21588),#3644,.T.); #88312=ADVANCED_FACE('',(#21589),#18143,.T.); #88313=ADVANCED_FACE('',(#21590),#18144,.T.); #88314=ADVANCED_FACE('',(#21591),#18145,.T.); #88315=ADVANCED_FACE('',(#21592),#18146,.T.); #88316=ADVANCED_FACE('',(#21593),#3645,.T.); #88317=ADVANCED_FACE('',(#21594),#3646,.T.); #88318=ADVANCED_FACE('',(#21595),#3647,.T.); #88319=ADVANCED_FACE('',(#21596,#1819,#1820,#1821),#3648,.T.); #88320=ADVANCED_FACE('',(#21597),#1618,.T.); #88321=ADVANCED_FACE('',(#21598),#3649,.T.); #88322=ADVANCED_FACE('',(#21599),#18147,.T.); #88323=ADVANCED_FACE('',(#21600),#4694,.T.); #88324=ADVANCED_FACE('',(#21601),#3650,.T.); #88325=ADVANCED_FACE('',(#21602),#1619,.T.); #88326=ADVANCED_FACE('',(#21603),#3651,.T.); #88327=ADVANCED_FACE('',(#21604),#1620,.T.); #88328=ADVANCED_FACE('',(#21605),#18148,.T.); #88329=ADVANCED_FACE('',(#21606),#3652,.T.); #88330=ADVANCED_FACE('',(#21607,#1822),#3653,.F.); #88331=ADVANCED_FACE('',(#21608),#2178,.F.); #88332=ADVANCED_FACE('',(#21609),#18149,.F.); #88333=ADVANCED_FACE('',(#21610),#3654,.T.); #88334=ADVANCED_FACE('',(#21611),#84744,.T.); #88335=ADVANCED_FACE('',(#21612),#84745,.T.); #88336=ADVANCED_FACE('',(#21613),#18150,.F.); #88337=ADVANCED_FACE('',(#21614),#84746,.T.); #88338=ADVANCED_FACE('',(#21615),#84747,.T.); #88339=ADVANCED_FACE('',(#21616),#84748,.T.); #88340=ADVANCED_FACE('',(#21617),#84749,.T.); #88341=ADVANCED_FACE('',(#21618),#84750,.F.); #88342=ADVANCED_FACE('',(#21619),#3655,.T.); #88343=ADVANCED_FACE('',(#21620),#84751,.F.); #88344=ADVANCED_FACE('',(#21621),#18151,.T.); #88345=ADVANCED_FACE('',(#21622),#84752,.T.); #88346=ADVANCED_FACE('',(#21623),#84753,.T.); #88347=ADVANCED_FACE('',(#21624),#84754,.T.); #88348=ADVANCED_FACE('',(#21625),#18152,.F.); #88349=ADVANCED_FACE('',(#21626),#84755,.T.); #88350=ADVANCED_FACE('',(#21627),#84756,.T.); #88351=ADVANCED_FACE('',(#21628),#84757,.T.); #88352=ADVANCED_FACE('',(#21629),#84758,.T.); #88353=ADVANCED_FACE('',(#21630),#84759,.T.); #88354=ADVANCED_FACE('',(#21631),#18153,.T.); #88355=ADVANCED_FACE('',(#21632),#2179,.T.); #88356=ADVANCED_FACE('',(#21633),#18154,.T.); #88357=ADVANCED_FACE('',(#21634),#2180,.T.); #88358=ADVANCED_FACE('',(#21635),#2181,.T.); #88359=ADVANCED_FACE('',(#21636,#1823),#3656,.T.); #88360=ADVANCED_FACE('',(#21637),#18155,.T.); #88361=ADVANCED_FACE('',(#21638),#18156,.F.); #88362=ADVANCED_FACE('',(#21639),#2182,.F.); #88363=ADVANCED_FACE('',(#21640),#18157,.F.); #88364=ADVANCED_FACE('',(#21641),#2183,.F.); #88365=ADVANCED_FACE('',(#21642),#18158,.T.); #88366=ADVANCED_FACE('',(#21643),#18159,.T.); #88367=ADVANCED_FACE('',(#21644),#18160,.T.); #88368=ADVANCED_FACE('',(#21645,#1824),#3657,.F.); #88369=ADVANCED_FACE('',(#21646),#2184,.T.); #88370=ADVANCED_FACE('',(#21647),#18161,.T.); #88371=ADVANCED_FACE('',(#21648),#2185,.T.); #88372=ADVANCED_FACE('',(#21649,#1825),#3658,.T.); #88373=ADVANCED_FACE('',(#21650),#2186,.T.); #88374=ADVANCED_FACE('',(#21651),#18162,.T.); #88375=ADVANCED_FACE('',(#21652),#2187,.T.); #88376=ADVANCED_FACE('',(#21653),#2188,.T.); #88377=ADVANCED_FACE('',(#21654),#2189,.T.); #88378=ADVANCED_FACE('',(#21655),#18163,.T.); #88379=ADVANCED_FACE('',(#21656),#18164,.T.); #88380=ADVANCED_FACE('',(#21657),#3659,.T.); #88381=ADVANCED_FACE('',(#21658),#84760,.F.); #88382=ADVANCED_FACE('',(#21659),#84761,.F.); #88383=ADVANCED_FACE('',(#21660),#18165,.T.); #88384=ADVANCED_FACE('',(#21661),#2190,.T.); #88385=ADVANCED_FACE('',(#21662),#18166,.T.); #88386=ADVANCED_FACE('',(#21663),#84762,.F.); #88387=ADVANCED_FACE('',(#21664),#18167,.T.); #88388=ADVANCED_FACE('',(#21665),#2191,.T.); #88389=ADVANCED_FACE('',(#21666),#18168,.T.); #88390=ADVANCED_FACE('',(#21667),#84763,.F.); #88391=ADVANCED_FACE('',(#21668),#84764,.F.); #88392=ADVANCED_FACE('',(#21669),#84765,.F.); #88393=ADVANCED_FACE('',(#21670),#84766,.F.); #88394=ADVANCED_FACE('',(#21671),#18169,.T.); #88395=ADVANCED_FACE('',(#21672),#2192,.T.); #88396=ADVANCED_FACE('',(#21673),#18170,.T.); #88397=ADVANCED_FACE('',(#21674),#84767,.F.); #88398=ADVANCED_FACE('',(#21675),#18171,.T.); #88399=ADVANCED_FACE('',(#21676),#2193,.T.); #88400=ADVANCED_FACE('',(#21677),#18172,.T.); #88401=ADVANCED_FACE('',(#21678),#84768,.F.); #88402=ADVANCED_FACE('',(#21679),#84769,.F.); #88403=ADVANCED_FACE('',(#21680),#3660,.T.); #88404=ADVANCED_FACE('',(#21681),#84770,.T.); #88405=ADVANCED_FACE('',(#21682),#3661,.T.); #88406=ADVANCED_FACE('',(#21683),#18173,.T.); #88407=ADVANCED_FACE('',(#21684),#3662,.T.); #88408=ADVANCED_FACE('',(#21685),#2194,.F.); #88409=ADVANCED_FACE('',(#21686),#84771,.T.); #88410=ADVANCED_FACE('',(#21687),#18174,.T.); #88411=ADVANCED_FACE('',(#21688),#2195,.F.); #88412=ADVANCED_FACE('',(#21689),#84772,.T.); #88413=ADVANCED_FACE('',(#21690),#84773,.T.); #88414=ADVANCED_FACE('',(#21691),#3663,.T.); #88415=ADVANCED_FACE('',(#21692),#3664,.T.); #88416=ADVANCED_FACE('',(#21693),#1621,.F.); #88417=ADVANCED_FACE('',(#21694),#18175,.F.); #88418=ADVANCED_FACE('',(#21695),#1622,.F.); #88419=ADVANCED_FACE('',(#21696),#2196,.F.); #88420=ADVANCED_FACE('',(#21697),#18176,.T.); #88421=ADVANCED_FACE('',(#21698),#2197,.F.); #88422=ADVANCED_FACE('',(#21699,#1826),#3665,.F.); #88423=ADVANCED_FACE('',(#21700,#1827),#3666,.F.); #88424=ADVANCED_FACE('',(#21701),#18177,.T.); #88425=ADVANCED_FACE('',(#21702),#1623,.F.); #88426=ADVANCED_FACE('',(#21703),#3667,.T.); #88427=ADVANCED_FACE('',(#21704),#18178,.T.); #88428=ADVANCED_FACE('',(#21705),#3668,.T.); #88429=ADVANCED_FACE('',(#21706),#84774,.F.); #88430=ADVANCED_FACE('',(#21707),#84775,.F.); #88431=ADVANCED_FACE('',(#21708),#84776,.F.); #88432=ADVANCED_FACE('',(#21709),#84777,.F.); #88433=ADVANCED_FACE('',(#21710),#84778,.F.); #88434=ADVANCED_FACE('',(#21711),#84779,.F.); #88435=ADVANCED_FACE('',(#21712),#2198,.T.); #88436=ADVANCED_FACE('',(#21713),#84780,.F.); #88437=ADVANCED_FACE('',(#21714),#84781,.F.); #88438=ADVANCED_FACE('',(#21715),#84782,.F.); #88439=ADVANCED_FACE('',(#21716),#84783,.F.); #88440=ADVANCED_FACE('',(#21717),#84784,.F.); #88441=ADVANCED_FACE('',(#21718),#84785,.F.); #88442=ADVANCED_FACE('',(#21719),#84786,.F.); #88443=ADVANCED_FACE('',(#21720),#84787,.F.); #88444=ADVANCED_FACE('',(#21721),#84788,.F.); #88445=ADVANCED_FACE('',(#21722),#2199,.T.); #88446=ADVANCED_FACE('',(#21723),#84789,.F.); #88447=ADVANCED_FACE('',(#21724),#84790,.F.); #88448=ADVANCED_FACE('',(#21725),#84791,.F.); #88449=ADVANCED_FACE('',(#21726),#84792,.F.); #88450=ADVANCED_FACE('',(#21727),#84793,.F.); #88451=ADVANCED_FACE('',(#21728),#84794,.F.); #88452=ADVANCED_FACE('',(#21729),#84795,.F.); #88453=ADVANCED_FACE('',(#21730),#84796,.F.); #88454=ADVANCED_FACE('',(#21731),#84797,.F.); #88455=ADVANCED_FACE('',(#21732),#84798,.F.); #88456=ADVANCED_FACE('',(#21733),#2200,.T.); #88457=ADVANCED_FACE('',(#21734),#84799,.F.); #88458=ADVANCED_FACE('',(#21735),#84800,.F.); #88459=ADVANCED_FACE('',(#21736),#84801,.F.); #88460=ADVANCED_FACE('',(#21737),#84802,.F.); #88461=ADVANCED_FACE('',(#21738),#84803,.F.); #88462=ADVANCED_FACE('',(#21739),#84804,.F.); #88463=ADVANCED_FACE('',(#21740),#18179,.T.); #88464=ADVANCED_FACE('',(#21741),#84805,.F.); #88465=ADVANCED_FACE('',(#21742),#84806,.F.); #88466=ADVANCED_FACE('',(#21743),#84807,.F.); #88467=ADVANCED_FACE('',(#21744),#84808,.F.); #88468=ADVANCED_FACE('',(#21745),#84809,.F.); #88469=ADVANCED_FACE('',(#21746),#2201,.T.); #88470=ADVANCED_FACE('',(#21747),#84810,.F.); #88471=ADVANCED_FACE('',(#21748),#3669,.T.); #88472=ADVANCED_FACE('',(#21749),#18180,.F.); #88473=ADVANCED_FACE('',(#21750),#3670,.F.); #88474=ADVANCED_FACE('',(#21751),#1624,.T.); #88475=ADVANCED_FACE('',(#21752),#84811,.T.); #88476=ADVANCED_FACE('',(#21753),#84812,.T.); #88477=ADVANCED_FACE('',(#21754),#84813,.T.); #88478=ADVANCED_FACE('',(#21755),#84814,.T.); #88479=ADVANCED_FACE('',(#21756),#84815,.T.); #88480=ADVANCED_FACE('',(#21757),#84816,.T.); #88481=ADVANCED_FACE('',(#21758),#84817,.T.); #88482=ADVANCED_FACE('',(#21759),#987,.F.); #88483=ADVANCED_FACE('',(#21760),#84818,.T.); #88484=ADVANCED_FACE('',(#21761),#84819,.T.); #88485=ADVANCED_FACE('',(#21762),#18181,.T.); #88486=ADVANCED_FACE('',(#21763),#18182,.T.); #88487=ADVANCED_FACE('',(#21764),#18183,.T.); #88488=ADVANCED_FACE('',(#21765),#84820,.F.); #88489=ADVANCED_FACE('',(#21766),#3671,.F.); #88490=ADVANCED_FACE('',(#21767),#3672,.F.); #88491=ADVANCED_FACE('',(#21768),#18184,.F.); #88492=ADVANCED_FACE('',(#21769,#1828),#3673,.F.); #88493=ADVANCED_FACE('',(#21770),#3674,.F.); #88494=ADVANCED_FACE('',(#21771),#84821,.F.); #88495=ADVANCED_FACE('',(#21772),#84822,.F.); #88496=ADVANCED_FACE('',(#21773),#1625,.T.); #88497=ADVANCED_FACE('',(#21774),#1626,.T.); #88498=ADVANCED_FACE('',(#21775),#84823,.F.); #88499=ADVANCED_FACE('',(#21776),#1627,.F.); #88500=ADVANCED_FACE('',(#21777),#2202,.F.); #88501=ADVANCED_FACE('',(#21778,#1829),#3675,.F.); #88502=ADVANCED_FACE('',(#21779),#2203,.F.); #88503=ADVANCED_FACE('',(#21780),#1628,.F.); #88504=ADVANCED_FACE('',(#21781,#1830),#3676,.T.); #88505=ADVANCED_FACE('',(#21782),#84824,.F.); #88506=ADVANCED_FACE('',(#21783),#84825,.F.); #88507=ADVANCED_FACE('',(#21784),#1629,.T.); #88508=ADVANCED_FACE('',(#21785),#1630,.T.); #88509=ADVANCED_FACE('',(#21786),#84826,.F.); #88510=ADVANCED_FACE('',(#21787),#84827,.T.); #88511=ADVANCED_FACE('',(#21788),#84828,.T.); #88512=ADVANCED_FACE('',(#21789),#84829,.T.); #88513=ADVANCED_FACE('',(#21790),#84830,.T.); #88514=ADVANCED_FACE('',(#21791),#84831,.T.); #88515=ADVANCED_FACE('',(#21792),#84832,.T.); #88516=ADVANCED_FACE('',(#21793),#84833,.T.); #88517=ADVANCED_FACE('',(#21794),#988,.F.); #88518=ADVANCED_FACE('',(#21795),#84834,.T.); #88519=ADVANCED_FACE('',(#21796),#84835,.T.); #88520=ADVANCED_FACE('',(#21797),#84836,.T.); #88521=ADVANCED_FACE('',(#21798),#989,.F.); #88522=ADVANCED_FACE('',(#21799),#84837,.T.); #88523=ADVANCED_FACE('',(#21800),#84838,.T.); #88524=ADVANCED_FACE('',(#21801),#84839,.T.); #88525=ADVANCED_FACE('',(#21802),#84840,.T.); #88526=ADVANCED_FACE('',(#21803),#84841,.T.); #88527=ADVANCED_FACE('',(#21804),#990,.F.); #88528=ADVANCED_FACE('',(#21805),#84842,.T.); #88529=ADVANCED_FACE('',(#21806),#84843,.T.); #88530=ADVANCED_FACE('',(#21807),#84844,.T.); #88531=ADVANCED_FACE('',(#21808),#4695,.F.); #88532=ADVANCED_FACE('',(#21809),#991,.F.); #88533=ADVANCED_FACE('',(#21810),#18185,.F.); #88534=ADVANCED_FACE('',(#21811),#3677,.F.); #88535=ADVANCED_FACE('',(#21812),#1631,.T.); #88536=ADVANCED_FACE('',(#21813),#2204,.T.); #88537=ADVANCED_FACE('',(#21814),#1632,.F.); #88538=ADVANCED_FACE('',(#21815),#2205,.F.); #88539=ADVANCED_FACE('',(#21816),#3678,.F.); #88540=ADVANCED_FACE('',(#21817),#992,.T.); #88541=ADVANCED_FACE('',(#21818),#84845,.F.); #88542=ADVANCED_FACE('',(#21819),#2206,.T.); #88543=ADVANCED_FACE('',(#21820),#2207,.T.); #88544=ADVANCED_FACE('',(#21821),#18186,.T.); #88545=ADVANCED_FACE('',(#21822),#2208,.T.); #88546=ADVANCED_FACE('',(#21823),#2209,.T.); #88547=ADVANCED_FACE('',(#21824),#2210,.T.); #88548=ADVANCED_FACE('',(#21825),#18187,.T.); #88549=ADVANCED_FACE('',(#21826),#2211,.T.); #88550=ADVANCED_FACE('',(#21827),#2212,.T.); #88551=ADVANCED_FACE('',(#21828),#84846,.F.); #88552=ADVANCED_FACE('',(#21829),#84847,.F.); #88553=ADVANCED_FACE('',(#21830),#18188,.T.); #88554=ADVANCED_FACE('',(#21831),#993,.T.); #88555=ADVANCED_FACE('',(#21832),#3679,.F.); #88556=ADVANCED_FACE('',(#21833),#18189,.F.); #88557=ADVANCED_FACE('',(#21834),#18190,.T.); #88558=ADVANCED_FACE('',(#21835),#84848,.F.); #88559=ADVANCED_FACE('',(#21836),#84849,.F.); #88560=ADVANCED_FACE('',(#21837),#18191,.T.); #88561=ADVANCED_FACE('',(#21838),#84850,.F.); #88562=ADVANCED_FACE('',(#21839),#84851,.F.); #88563=ADVANCED_FACE('',(#21840),#84852,.T.); #88564=ADVANCED_FACE('',(#21841),#18192,.F.); #88565=ADVANCED_FACE('',(#21842),#84853,.T.); #88566=ADVANCED_FACE('',(#21843),#84854,.F.); #88567=ADVANCED_FACE('',(#21844),#84855,.F.); #88568=ADVANCED_FACE('',(#21845),#18193,.T.); #88569=ADVANCED_FACE('',(#21846),#84856,.F.); #88570=ADVANCED_FACE('',(#21847),#84857,.F.); #88571=ADVANCED_FACE('',(#21848),#18194,.F.); #88572=ADVANCED_FACE('',(#21849),#18195,.F.); #88573=ADVANCED_FACE('',(#21850),#84858,.T.); #88574=ADVANCED_FACE('',(#21851),#18196,.F.); #88575=ADVANCED_FACE('',(#21852),#84859,.T.); #88576=ADVANCED_FACE('',(#21853),#18197,.F.); #88577=ADVANCED_FACE('',(#21854,#1831),#3680,.T.); #88578=ADVANCED_FACE('',(#21855),#18198,.F.); #88579=ADVANCED_FACE('',(#21856),#3681,.F.); #88580=ADVANCED_FACE('',(#21857),#18199,.F.); #88581=ADVANCED_FACE('',(#21858,#1832),#3682,.F.); #88582=ADVANCED_FACE('',(#21859),#18200,.F.); #88583=ADVANCED_FACE('',(#21860),#84860,.T.); #88584=ADVANCED_FACE('',(#21861),#18201,.F.); #88585=ADVANCED_FACE('',(#21862),#84861,.T.); #88586=ADVANCED_FACE('',(#21863),#84862,.T.); #88587=ADVANCED_FACE('',(#21864),#18202,.F.); #88588=ADVANCED_FACE('',(#21865),#84863,.T.); #88589=ADVANCED_FACE('',(#21866),#2213,.T.); #88590=ADVANCED_FACE('',(#21867),#84864,.F.); #88591=ADVANCED_FACE('',(#21868),#84865,.F.); #88592=ADVANCED_FACE('',(#21869),#84866,.F.); #88593=ADVANCED_FACE('',(#21870),#84867,.F.); #88594=ADVANCED_FACE('',(#21871),#84868,.F.); #88595=ADVANCED_FACE('',(#21872),#84869,.F.); #88596=ADVANCED_FACE('',(#21873),#84870,.F.); #88597=ADVANCED_FACE('',(#21874),#2214,.T.); #88598=ADVANCED_FACE('',(#21875),#18203,.T.); #88599=ADVANCED_FACE('',(#21876),#84871,.F.); #88600=ADVANCED_FACE('',(#21877),#3683,.T.); #88601=ADVANCED_FACE('',(#21878),#18204,.T.); #88602=ADVANCED_FACE('',(#21879),#84872,.F.); #88603=ADVANCED_FACE('',(#21880),#3684,.T.); #88604=ADVANCED_FACE('',(#21881),#3685,.T.); #88605=ADVANCED_FACE('',(#21882),#84873,.F.); #88606=ADVANCED_FACE('',(#21883),#18205,.T.); #88607=ADVANCED_FACE('',(#21884),#84874,.F.); #88608=ADVANCED_FACE('',(#21885),#18206,.T.); #88609=ADVANCED_FACE('',(#21886),#84875,.F.); #88610=ADVANCED_FACE('',(#21887),#18207,.T.); #88611=ADVANCED_FACE('',(#21888),#84876,.F.); #88612=ADVANCED_FACE('',(#21889),#18208,.T.); #88613=ADVANCED_FACE('',(#21890),#84877,.F.); #88614=ADVANCED_FACE('',(#21891),#18209,.T.); #88615=ADVANCED_FACE('',(#21892),#18210,.T.); #88616=ADVANCED_FACE('',(#21893),#3686,.T.); #88617=ADVANCED_FACE('',(#21894),#18211,.F.); #88618=ADVANCED_FACE('',(#21895),#3687,.F.); #88619=ADVANCED_FACE('',(#21896),#3688,.F.); #88620=ADVANCED_FACE('',(#21897),#18212,.F.); #88621=ADVANCED_FACE('',(#21898),#84878,.F.); #88622=ADVANCED_FACE('',(#21899),#84879,.F.); #88623=ADVANCED_FACE('',(#21900),#84880,.F.); #88624=ADVANCED_FACE('',(#21901),#84881,.F.); #88625=ADVANCED_FACE('',(#21902),#84882,.F.); #88626=ADVANCED_FACE('',(#21903),#84883,.F.); #88627=ADVANCED_FACE('',(#21904),#84884,.F.); #88628=ADVANCED_FACE('',(#21905),#84885,.F.); #88629=ADVANCED_FACE('',(#21906),#84886,.F.); #88630=ADVANCED_FACE('',(#21907),#84887,.F.); #88631=ADVANCED_FACE('',(#21908),#84888,.F.); #88632=ADVANCED_FACE('',(#21909),#84889,.F.); #88633=ADVANCED_FACE('',(#21910),#84890,.F.); #88634=ADVANCED_FACE('',(#21911),#84891,.T.); #88635=ADVANCED_FACE('',(#21912),#84892,.T.); #88636=ADVANCED_FACE('',(#21913),#3689,.T.); #88637=ADVANCED_FACE('',(#21914),#1633,.F.); #88638=ADVANCED_FACE('',(#21915),#1634,.T.); #88639=ADVANCED_FACE('',(#21916),#3690,.T.); #88640=ADVANCED_FACE('',(#21917),#1635,.T.); #88641=ADVANCED_FACE('',(#21918),#1636,.T.); #88642=ADVANCED_FACE('',(#21919),#1637,.T.); #88643=ADVANCED_FACE('',(#21920),#3691,.T.); #88644=ADVANCED_FACE('',(#21921),#1638,.T.); #88645=ADVANCED_FACE('',(#21922),#1639,.F.); #88646=ADVANCED_FACE('',(#21923),#18213,.T.); #88647=ADVANCED_FACE('',(#21924),#84893,.T.); #88648=ADVANCED_FACE('',(#21925),#84894,.T.); #88649=ADVANCED_FACE('',(#21926),#994,.T.); #88650=ADVANCED_FACE('',(#21927),#3692,.F.); #88651=ADVANCED_FACE('',(#21928),#18214,.F.); #88652=ADVANCED_FACE('',(#21929),#18215,.F.); #88653=ADVANCED_FACE('',(#21930),#4696,.F.); #88654=ADVANCED_FACE('',(#21931),#4697,.T.); #88655=ADVANCED_FACE('',(#21932),#84895,.T.); #88656=ADVANCED_FACE('',(#21933),#3693,.F.); #88657=ADVANCED_FACE('',(#21934),#995,.T.); #88658=ADVANCED_FACE('',(#21935,#1833),#3694,.T.); #88659=ADVANCED_FACE('',(#21936),#18216,.T.); #88660=ADVANCED_FACE('',(#21937),#2215,.F.); #88661=ADVANCED_FACE('',(#21938,#1834),#3695,.T.); #88662=ADVANCED_FACE('',(#21939),#2216,.T.); #88663=ADVANCED_FACE('',(#21940),#18217,.F.); #88664=ADVANCED_FACE('',(#21941),#1640,.F.); #88665=ADVANCED_FACE('',(#21942),#4698,.T.); #88666=ADVANCED_FACE('',(#21943),#18218,.T.); #88667=ADVANCED_FACE('',(#21944),#3696,.F.); #88668=ADVANCED_FACE('',(#21945),#2217,.T.); #88669=ADVANCED_FACE('',(#21946),#18219,.F.); #88670=ADVANCED_FACE('',(#21947,#1835),#3697,.F.); #88671=ADVANCED_FACE('',(#21948),#2218,.F.); #88672=ADVANCED_FACE('',(#21949,#1836),#3698,.F.); #88673=ADVANCED_FACE('',(#21950),#18220,.T.); #88674=ADVANCED_FACE('',(#21951),#3699,.T.); #88675=ADVANCED_FACE('',(#21952),#84896,.T.); #88676=ADVANCED_FACE('',(#21953),#84897,.T.); #88677=ADVANCED_FACE('',(#21954),#84898,.T.); #88678=ADVANCED_FACE('',(#21955),#84899,.T.); #88679=ADVANCED_FACE('',(#21956),#3700,.T.); #88680=ADVANCED_FACE('',(#21957),#3701,.T.); #88681=ADVANCED_FACE('',(#21958),#3702,.T.); #88682=ADVANCED_FACE('',(#21959),#3703,.F.); #88683=ADVANCED_FACE('',(#21960),#84900,.F.); #88684=ADVANCED_FACE('',(#21961),#84901,.F.); #88685=ADVANCED_FACE('',(#21962),#84902,.F.); #88686=ADVANCED_FACE('',(#21963),#84903,.F.); #88687=ADVANCED_FACE('',(#21964),#3704,.F.); #88688=ADVANCED_FACE('',(#21965),#3705,.F.); #88689=ADVANCED_FACE('',(#21966,#1837),#3706,.T.); #88690=ADVANCED_FACE('',(#21967),#84904,.T.); #88691=ADVANCED_FACE('',(#21968),#84905,.T.); #88692=ADVANCED_FACE('',(#21969),#3707,.T.); #88693=ADVANCED_FACE('',(#21970),#3708,.T.); #88694=ADVANCED_FACE('',(#21971),#3709,.T.); #88695=ADVANCED_FACE('',(#21972),#3710,.F.); #88696=ADVANCED_FACE('',(#21973),#3711,.F.); #88697=ADVANCED_FACE('',(#21974),#3712,.F.); #88698=ADVANCED_FACE('',(#21975),#84906,.F.); #88699=ADVANCED_FACE('',(#21976),#84907,.F.); #88700=ADVANCED_FACE('',(#21977),#3713,.F.); #88701=ADVANCED_FACE('',(#21978),#3714,.F.); #88702=ADVANCED_FACE('',(#21979),#3715,.F.); #88703=ADVANCED_FACE('',(#21980),#84908,.F.); #88704=ADVANCED_FACE('',(#21981),#84909,.F.); #88705=ADVANCED_FACE('',(#21982),#84910,.F.); #88706=ADVANCED_FACE('',(#21983),#84911,.F.); #88707=ADVANCED_FACE('',(#21984),#3716,.F.); #88708=ADVANCED_FACE('',(#21985),#3717,.F.); #88709=ADVANCED_FACE('',(#21986,#1838),#3718,.T.); #88710=ADVANCED_FACE('',(#21987),#3719,.T.); #88711=ADVANCED_FACE('',(#21988),#84912,.T.); #88712=ADVANCED_FACE('',(#21989),#84913,.T.); #88713=ADVANCED_FACE('',(#21990),#3720,.T.); #88714=ADVANCED_FACE('',(#21991),#3721,.T.); #88715=ADVANCED_FACE('',(#21992),#3722,.F.); #88716=ADVANCED_FACE('',(#21993),#3723,.F.); #88717=ADVANCED_FACE('',(#21994),#3724,.F.); #88718=ADVANCED_FACE('',(#21995),#3725,.F.); #88719=ADVANCED_FACE('',(#21996),#3726,.F.); #88720=ADVANCED_FACE('',(#21997),#3727,.F.); #88721=ADVANCED_FACE('',(#21998),#3728,.F.); #88722=ADVANCED_FACE('',(#21999),#3729,.F.); #88723=ADVANCED_FACE('',(#22000,#1839),#3730,.F.); #88724=ADVANCED_FACE('',(#22001),#3731,.F.); #88725=ADVANCED_FACE('',(#22002),#3732,.F.); #88726=ADVANCED_FACE('',(#22003),#3733,.F.); #88727=ADVANCED_FACE('',(#22004),#3734,.F.); #88728=ADVANCED_FACE('',(#22005),#3735,.F.); #88729=ADVANCED_FACE('',(#22006),#3736,.F.); #88730=ADVANCED_FACE('',(#22007),#3737,.F.); #88731=ADVANCED_FACE('',(#22008),#3738,.F.); #88732=ADVANCED_FACE('',(#22009),#3739,.F.); #88733=ADVANCED_FACE('',(#22010),#3740,.F.); #88734=ADVANCED_FACE('',(#22011),#3741,.F.); #88735=ADVANCED_FACE('',(#22012),#3742,.F.); #88736=ADVANCED_FACE('',(#22013),#3743,.F.); #88737=ADVANCED_FACE('',(#22014),#3744,.F.); #88738=ADVANCED_FACE('',(#22015),#3745,.F.); #88739=ADVANCED_FACE('',(#22016),#3746,.F.); #88740=ADVANCED_FACE('',(#22017),#3747,.F.); #88741=ADVANCED_FACE('',(#22018),#3748,.F.); #88742=ADVANCED_FACE('',(#22019),#3749,.F.); #88743=ADVANCED_FACE('',(#22020),#3750,.F.); #88744=ADVANCED_FACE('',(#22021),#3751,.F.); #88745=ADVANCED_FACE('',(#22022),#3752,.F.); #88746=ADVANCED_FACE('',(#22023),#3753,.F.); #88747=ADVANCED_FACE('',(#22024),#3754,.F.); #88748=ADVANCED_FACE('',(#22025),#3755,.F.); #88749=ADVANCED_FACE('',(#22026),#3756,.F.); #88750=ADVANCED_FACE('',(#22027),#84914,.T.); #88751=ADVANCED_FACE('',(#22028),#84915,.T.); #88752=ADVANCED_FACE('',(#22029),#84916,.T.); #88753=ADVANCED_FACE('',(#22030),#3757,.T.); #88754=ADVANCED_FACE('',(#22031),#3758,.T.); #88755=ADVANCED_FACE('',(#22032),#3759,.T.); #88756=ADVANCED_FACE('',(#22033),#84917,.T.); #88757=ADVANCED_FACE('',(#22034),#84918,.T.); #88758=ADVANCED_FACE('',(#22035),#84919,.T.); #88759=ADVANCED_FACE('',(#22036),#3760,.T.); #88760=ADVANCED_FACE('',(#22037),#3761,.T.); #88761=ADVANCED_FACE('',(#22038),#3762,.T.); #88762=ADVANCED_FACE('',(#22039),#3763,.F.); #88763=ADVANCED_FACE('',(#22040),#84920,.F.); #88764=ADVANCED_FACE('',(#22041),#84921,.F.); #88765=ADVANCED_FACE('',(#22042),#84922,.F.); #88766=ADVANCED_FACE('',(#22043),#84923,.F.); #88767=ADVANCED_FACE('',(#22044),#3764,.F.); #88768=ADVANCED_FACE('',(#22045),#3765,.F.); #88769=ADVANCED_FACE('',(#22046,#1840,#1841),#3766,.T.); #88770=ADVANCED_FACE('',(#22047),#84924,.T.); #88771=ADVANCED_FACE('',(#22048),#84925,.T.); #88772=ADVANCED_FACE('',(#22049),#3767,.T.); #88773=ADVANCED_FACE('',(#22050),#3768,.T.); #88774=ADVANCED_FACE('',(#22051),#3769,.T.); #88775=ADVANCED_FACE('',(#22052),#3770,.F.); #88776=ADVANCED_FACE('',(#22053),#3771,.F.); #88777=ADVANCED_FACE('',(#22054),#3772,.F.); #88778=ADVANCED_FACE('',(#22055),#84926,.F.); #88779=ADVANCED_FACE('',(#22056),#84927,.F.); #88780=ADVANCED_FACE('',(#22057),#3773,.F.); #88781=ADVANCED_FACE('',(#22058),#3774,.F.); #88782=ADVANCED_FACE('',(#22059),#3775,.F.); #88783=ADVANCED_FACE('',(#22060),#84928,.F.); #88784=ADVANCED_FACE('',(#22061),#84929,.F.); #88785=ADVANCED_FACE('',(#22062),#84930,.F.); #88786=ADVANCED_FACE('',(#22063),#84931,.F.); #88787=ADVANCED_FACE('',(#22064),#3776,.F.); #88788=ADVANCED_FACE('',(#22065),#3777,.F.); #88789=ADVANCED_FACE('',(#22066,#1842),#3778,.T.); #88790=ADVANCED_FACE('',(#22067),#3779,.F.); #88791=ADVANCED_FACE('',(#22068),#3780,.F.); #88792=ADVANCED_FACE('',(#22069),#3781,.F.); #88793=ADVANCED_FACE('',(#22070),#3782,.F.); #88794=ADVANCED_FACE('',(#22071),#3783,.F.); #88795=ADVANCED_FACE('',(#22072),#3784,.F.); #88796=ADVANCED_FACE('',(#22073),#3785,.F.); #88797=ADVANCED_FACE('',(#22074),#3786,.F.); #88798=ADVANCED_FACE('',(#22075),#3787,.F.); #88799=ADVANCED_FACE('',(#22076),#3788,.F.); #88800=ADVANCED_FACE('',(#22077),#3789,.F.); #88801=ADVANCED_FACE('',(#22078),#3790,.F.); #88802=ADVANCED_FACE('',(#22079),#3791,.F.); #88803=ADVANCED_FACE('',(#22080,#1843,#1844,#1845,#1846,#1847,#1848,#1849, #1850,#1851,#1852,#1853),#3792,.T.); #88804=ADVANCED_FACE('',(#22081),#3793,.T.); #88805=ADVANCED_FACE('',(#22082),#3794,.T.); #88806=ADVANCED_FACE('',(#22083),#3795,.T.); #88807=ADVANCED_FACE('',(#22084),#3796,.T.); #88808=ADVANCED_FACE('',(#22085),#3797,.T.); #88809=ADVANCED_FACE('',(#22086),#18221,.F.); #88810=ADVANCED_FACE('',(#22087),#3798,.F.); #88811=ADVANCED_FACE('',(#22088),#18222,.F.); #88812=ADVANCED_FACE('',(#22089),#3799,.F.); #88813=ADVANCED_FACE('',(#22090),#18223,.T.); #88814=ADVANCED_FACE('',(#22091),#3800,.F.); #88815=ADVANCED_FACE('',(#22092),#18224,.T.); #88816=ADVANCED_FACE('',(#22093),#18225,.T.); #88817=ADVANCED_FACE('',(#22094),#18226,.T.); #88818=ADVANCED_FACE('',(#22095),#3801,.F.); #88819=ADVANCED_FACE('',(#22096),#18227,.T.); #88820=ADVANCED_FACE('',(#22097),#3802,.T.); #88821=ADVANCED_FACE('',(#22098),#18228,.T.); #88822=ADVANCED_FACE('',(#22099),#3803,.F.); #88823=ADVANCED_FACE('',(#22100),#3804,.T.); #88824=ADVANCED_FACE('',(#22101),#2219,.T.); #88825=ADVANCED_FACE('',(#22102),#18229,.F.); #88826=ADVANCED_FACE('',(#22103),#18230,.F.); #88827=ADVANCED_FACE('',(#22104),#2220,.F.); #88828=ADVANCED_FACE('',(#22105),#2221,.F.); #88829=ADVANCED_FACE('',(#22106),#2222,.T.); #88830=ADVANCED_FACE('',(#22107),#18231,.F.); #88831=ADVANCED_FACE('',(#22108,#1854,#1855,#1856),#3805,.F.); #88832=ADVANCED_FACE('',(#22109),#3806,.T.); #88833=ADVANCED_FACE('',(#22110),#18232,.F.); #88834=ADVANCED_FACE('',(#22111),#18233,.T.); #88835=ADVANCED_FACE('',(#22112),#18234,.T.); #88836=ADVANCED_FACE('',(#22113),#3807,.T.); #88837=ADVANCED_FACE('',(#22114,#1857),#3808,.T.); #88838=ADVANCED_FACE('',(#22115),#18235,.T.); #88839=ADVANCED_FACE('',(#22116),#18236,.F.); #88840=ADVANCED_FACE('',(#22117),#18237,.T.); #88841=ADVANCED_FACE('',(#22118),#18238,.F.); #88842=ADVANCED_FACE('',(#22119),#18239,.F.); #88843=ADVANCED_FACE('',(#22120),#3809,.T.); #88844=ADVANCED_FACE('',(#22121),#18240,.F.); #88845=ADVANCED_FACE('',(#22122),#3810,.T.); #88846=ADVANCED_FACE('',(#22123),#1641,.F.); #88847=ADVANCED_FACE('',(#22124),#18241,.F.); #88848=ADVANCED_FACE('',(#22125),#1642,.F.); #88849=ADVANCED_FACE('',(#22126),#18242,.F.); #88850=ADVANCED_FACE('',(#22127),#3811,.F.); #88851=ADVANCED_FACE('',(#22128),#18243,.F.); #88852=ADVANCED_FACE('',(#22129),#3812,.F.); #88853=ADVANCED_FACE('',(#22130),#18244,.F.); #88854=ADVANCED_FACE('',(#22131),#3813,.F.); #88855=ADVANCED_FACE('',(#22132),#18245,.F.); #88856=ADVANCED_FACE('',(#22133),#3814,.F.); #88857=ADVANCED_FACE('',(#22134),#18246,.F.); #88858=ADVANCED_FACE('',(#22135),#18247,.T.); #88859=ADVANCED_FACE('',(#22136),#3815,.T.); #88860=ADVANCED_FACE('',(#22137),#3816,.T.); #88861=ADVANCED_FACE('',(#22138),#3817,.T.); #88862=ADVANCED_FACE('',(#22139),#3818,.T.); #88863=ADVANCED_FACE('',(#22140,#1858),#3819,.F.); #88864=ADVANCED_FACE('',(#22141),#18248,.F.); #88865=ADVANCED_FACE('',(#22142),#18249,.T.); #88866=ADVANCED_FACE('',(#22143),#3820,.T.); #88867=ADVANCED_FACE('',(#22144),#3821,.T.); #88868=ADVANCED_FACE('',(#22145),#3822,.T.); #88869=ADVANCED_FACE('',(#22146),#3823,.T.); #88870=ADVANCED_FACE('',(#22147),#3824,.T.); #88871=ADVANCED_FACE('',(#22148),#3825,.F.); #88872=ADVANCED_FACE('',(#22149),#18250,.F.); #88873=ADVANCED_FACE('',(#22150),#3826,.T.); #88874=ADVANCED_FACE('',(#22151),#3827,.F.); #88875=ADVANCED_FACE('',(#22152),#18251,.T.); #88876=ADVANCED_FACE('',(#22153),#3828,.T.); #88877=ADVANCED_FACE('',(#22154),#3829,.T.); #88878=ADVANCED_FACE('',(#22155),#3830,.T.); #88879=ADVANCED_FACE('',(#22156),#3831,.T.); #88880=ADVANCED_FACE('',(#22157),#18252,.F.); #88881=ADVANCED_FACE('',(#22158),#3832,.T.); #88882=ADVANCED_FACE('',(#22159),#18253,.T.); #88883=ADVANCED_FACE('',(#22160),#18254,.T.); #88884=ADVANCED_FACE('',(#22161),#3833,.T.); #88885=ADVANCED_FACE('',(#22162),#3834,.F.); #88886=ADVANCED_FACE('',(#22163),#18255,.F.); #88887=ADVANCED_FACE('',(#22164),#3835,.F.); #88888=ADVANCED_FACE('',(#22165),#18256,.F.); #88889=ADVANCED_FACE('',(#22166),#2223,.T.); #88890=ADVANCED_FACE('',(#22167),#4699,.T.); #88891=ADVANCED_FACE('',(#22168),#3836,.F.); #88892=ADVANCED_FACE('',(#22169),#2224,.T.); #88893=ADVANCED_FACE('',(#22170),#4700,.T.); #88894=ADVANCED_FACE('',(#22171),#3837,.F.); #88895=ADVANCED_FACE('',(#22172),#2225,.T.); #88896=ADVANCED_FACE('',(#22173),#4701,.T.); #88897=ADVANCED_FACE('',(#22174),#3838,.F.); #88898=ADVANCED_FACE('',(#22175,#1859,#1860,#1861,#1862,#1863),#3839,.T.); #88899=ADVANCED_FACE('',(#22176),#2226,.F.); #88900=ADVANCED_FACE('',(#22177),#4702,.F.); #88901=ADVANCED_FACE('',(#22178),#3840,.T.); #88902=ADVANCED_FACE('',(#22179),#2227,.F.); #88903=ADVANCED_FACE('',(#22180),#4703,.F.); #88904=ADVANCED_FACE('',(#22181),#3841,.T.); #88905=ADVANCED_FACE('',(#22182),#2228,.F.); #88906=ADVANCED_FACE('',(#22183),#4704,.F.); #88907=ADVANCED_FACE('',(#22184),#3842,.T.); #88908=ADVANCED_FACE('',(#22185,#1864,#1865,#1866,#1867,#1868),#3843,.F.); #88909=ADVANCED_FACE('',(#22186),#2229,.T.); #88910=ADVANCED_FACE('',(#22187),#4705,.T.); #88911=ADVANCED_FACE('',(#22188),#3844,.F.); #88912=ADVANCED_FACE('',(#22189),#2230,.F.); #88913=ADVANCED_FACE('',(#22190),#4706,.F.); #88914=ADVANCED_FACE('',(#22191),#3845,.T.); #88915=ADVANCED_FACE('',(#22192),#18257,.T.); #88916=ADVANCED_FACE('',(#22193),#2231,.T.); #88917=ADVANCED_FACE('',(#22194),#1643,.T.); #88918=ADVANCED_FACE('',(#22195),#996,.T.); #88919=ADVANCED_FACE('',(#22196),#18258,.F.); #88920=ADVANCED_FACE('',(#22197),#1644,.T.); #88921=ADVANCED_FACE('',(#22198,#1869),#18259,.T.); #88922=ADVANCED_FACE('',(#22199),#3846,.F.); #88923=ADVANCED_FACE('',(#22200),#2232,.F.); #88924=ADVANCED_FACE('',(#22201,#1870),#3847,.F.); #88925=ADVANCED_FACE('',(#22202),#18260,.T.); #88926=ADVANCED_FACE('',(#22203),#2233,.T.); #88927=ADVANCED_FACE('',(#22204),#18261,.T.); #88928=ADVANCED_FACE('',(#22205),#2234,.T.); #88929=ADVANCED_FACE('',(#22206,#1871),#3848,.T.); #88930=ADVANCED_FACE('',(#22207),#2235,.F.); #88931=ADVANCED_FACE('',(#22208),#18262,.F.); #88932=ADVANCED_FACE('',(#22209),#18263,.T.); #88933=ADVANCED_FACE('',(#22210,#1872),#3849,.F.); #88934=ADVANCED_FACE('',(#22211,#1873),#3850,.T.); #88935=ADVANCED_FACE('',(#22212),#2236,.T.); #88936=ADVANCED_FACE('',(#22213,#1874),#3851,.T.); #88937=ADVANCED_FACE('',(#22214),#1645,.T.); #88938=ADVANCED_FACE('',(#22215),#2237,.T.); #88939=ADVANCED_FACE('',(#22216,#1875),#3852,.T.); #88940=ADVANCED_FACE('',(#22217),#18264,.F.); #88941=ADVANCED_FACE('',(#22218),#2238,.T.); #88942=ADVANCED_FACE('',(#22219),#1646,.T.); #88943=ADVANCED_FACE('',(#22220),#18265,.F.); #88944=ADVANCED_FACE('',(#22221,#1876),#3853,.F.); #88945=ADVANCED_FACE('',(#22222),#1647,.T.); #88946=ADVANCED_FACE('',(#22223),#18266,.T.); #88947=ADVANCED_FACE('',(#22224),#3854,.F.); #88948=ADVANCED_FACE('',(#22225,#1877),#3855,.T.); #88949=ADVANCED_FACE('',(#22226),#18267,.T.); #88950=ADVANCED_FACE('',(#22227),#1648,.F.); #88951=ADVANCED_FACE('',(#22228),#3856,.F.); #88952=ADVANCED_FACE('',(#22229),#3857,.F.); #88953=ADVANCED_FACE('',(#22230),#3858,.F.); #88954=ADVANCED_FACE('',(#22231),#3859,.F.); #88955=ADVANCED_FACE('',(#22232),#3860,.F.); #88956=ADVANCED_FACE('',(#22233),#3861,.F.); #88957=ADVANCED_FACE('',(#22234),#3862,.T.); #88958=ADVANCED_FACE('',(#22235),#1649,.T.); #88959=ADVANCED_FACE('',(#22236),#18268,.T.); #88960=ADVANCED_FACE('',(#22237,#1878),#3863,.F.); #88961=ADVANCED_FACE('',(#22238),#18269,.T.); #88962=ADVANCED_FACE('',(#22239,#1879),#3864,.F.); #88963=ADVANCED_FACE('',(#22240),#18270,.T.); #88964=ADVANCED_FACE('',(#22241,#1880),#3865,.F.); #88965=ADVANCED_FACE('',(#22242,#1881),#3866,.T.); #88966=ADVANCED_FACE('',(#22243),#18271,.T.); #88967=ADVANCED_FACE('',(#22244),#3867,.T.); #88968=ADVANCED_FACE('',(#22245),#3868,.T.); #88969=ADVANCED_FACE('',(#22246),#3869,.T.); #88970=ADVANCED_FACE('',(#22247),#3870,.T.); #88971=ADVANCED_FACE('',(#22248,#1882),#3871,.F.); #88972=ADVANCED_FACE('',(#22249,#1883),#3872,.T.); #88973=ADVANCED_FACE('',(#22250,#1884),#3873,.T.); #88974=ADVANCED_FACE('',(#22251),#18272,.F.); #88975=ADVANCED_FACE('',(#22252),#2239,.T.); #88976=ADVANCED_FACE('',(#22253),#18273,.T.); #88977=ADVANCED_FACE('',(#22254),#2240,.F.); #88978=ADVANCED_FACE('',(#22255),#3874,.T.); #88979=ADVANCED_FACE('',(#22256),#3875,.T.); #88980=ADVANCED_FACE('',(#22257),#3876,.T.); #88981=ADVANCED_FACE('',(#22258),#3877,.T.); #88982=ADVANCED_FACE('',(#22259),#18274,.T.); #88983=ADVANCED_FACE('',(#22260),#18275,.T.); #88984=ADVANCED_FACE('',(#22261),#4707,.F.); #88985=ADVANCED_FACE('',(#22262),#2241,.T.); #88986=ADVANCED_FACE('',(#22263),#4708,.F.); #88987=ADVANCED_FACE('',(#22264),#18276,.T.); #88988=ADVANCED_FACE('',(#22265),#4709,.F.); #88989=ADVANCED_FACE('',(#22266),#18277,.T.); #88990=ADVANCED_FACE('',(#22267),#4710,.F.); #88991=ADVANCED_FACE('',(#22268),#18278,.T.); #88992=ADVANCED_FACE('',(#22269),#4711,.F.); #88993=ADVANCED_FACE('',(#22270),#2242,.T.); #88994=ADVANCED_FACE('',(#22271),#4712,.F.); #88995=ADVANCED_FACE('',(#22272),#18279,.T.); #88996=ADVANCED_FACE('',(#22273),#3878,.T.); #88997=ADVANCED_FACE('',(#22274),#18280,.T.); #88998=ADVANCED_FACE('',(#22275),#18281,.F.); #88999=ADVANCED_FACE('',(#22276),#18282,.T.); #89000=ADVANCED_FACE('',(#22277),#3879,.T.); #89001=ADVANCED_FACE('',(#22278),#3880,.T.); #89002=ADVANCED_FACE('',(#22279),#18283,.T.); #89003=ADVANCED_FACE('',(#22280),#4713,.F.); #89004=ADVANCED_FACE('',(#22281),#2243,.T.); #89005=ADVANCED_FACE('',(#22282),#4714,.F.); #89006=ADVANCED_FACE('',(#22283),#18284,.T.); #89007=ADVANCED_FACE('',(#22284),#4715,.F.); #89008=ADVANCED_FACE('',(#22285),#2244,.T.); #89009=ADVANCED_FACE('',(#22286),#4716,.F.); #89010=ADVANCED_FACE('',(#22287),#18285,.T.); #89011=ADVANCED_FACE('',(#22288),#4717,.F.); #89012=ADVANCED_FACE('',(#22289),#18286,.T.); #89013=ADVANCED_FACE('',(#22290),#4718,.F.); #89014=ADVANCED_FACE('',(#22291),#18287,.T.); #89015=ADVANCED_FACE('',(#22292),#3881,.T.); #89016=ADVANCED_FACE('',(#22293),#18288,.T.); #89017=ADVANCED_FACE('',(#22294),#18289,.F.); #89018=ADVANCED_FACE('',(#22295),#18290,.T.); #89019=ADVANCED_FACE('',(#22296),#3882,.T.); #89020=ADVANCED_FACE('',(#22297),#18291,.F.); #89021=ADVANCED_FACE('',(#22298),#3883,.F.); #89022=ADVANCED_FACE('',(#22299),#18292,.F.); #89023=ADVANCED_FACE('',(#22300),#84932,.T.); #89024=ADVANCED_FACE('',(#22301),#2245,.T.); #89025=ADVANCED_FACE('',(#22302),#2246,.T.); #89026=ADVANCED_FACE('',(#22303),#2247,.T.); #89027=ADVANCED_FACE('',(#22304),#2248,.T.); #89028=ADVANCED_FACE('',(#22305),#2249,.T.); #89029=ADVANCED_FACE('',(#22306),#18293,.T.); #89030=ADVANCED_FACE('',(#22307),#2250,.T.); #89031=ADVANCED_FACE('',(#22308),#18294,.T.); #89032=ADVANCED_FACE('',(#22309),#3884,.T.); #89033=ADVANCED_FACE('',(#22310),#3885,.T.); #89034=ADVANCED_FACE('',(#22311),#18295,.T.); #89035=ADVANCED_FACE('',(#22312),#3886,.T.); #89036=ADVANCED_FACE('',(#22313),#3887,.F.); #89037=ADVANCED_FACE('',(#22314),#18296,.T.); #89038=ADVANCED_FACE('',(#22315),#2251,.T.); #89039=ADVANCED_FACE('',(#22316),#18297,.T.); #89040=ADVANCED_FACE('',(#22317),#18298,.F.); #89041=ADVANCED_FACE('',(#22318),#18299,.T.); #89042=ADVANCED_FACE('',(#22319),#18300,.F.); #89043=ADVANCED_FACE('',(#22320),#18301,.F.); #89044=ADVANCED_FACE('',(#22321),#18302,.T.); #89045=ADVANCED_FACE('',(#22322),#18303,.T.); #89046=ADVANCED_FACE('',(#22323),#2252,.T.); #89047=ADVANCED_FACE('',(#22324),#84933,.T.); #89048=ADVANCED_FACE('',(#22325),#2253,.T.); #89049=ADVANCED_FACE('',(#22326),#18304,.T.); #89050=ADVANCED_FACE('',(#22327),#2254,.T.); #89051=ADVANCED_FACE('',(#22328),#3888,.F.); #89052=ADVANCED_FACE('',(#22329),#18305,.T.); #89053=ADVANCED_FACE('',(#22330),#18306,.F.); #89054=ADVANCED_FACE('',(#22331),#3889,.T.); #89055=ADVANCED_FACE('',(#22332),#3890,.T.); #89056=ADVANCED_FACE('',(#22333),#3891,.T.); #89057=ADVANCED_FACE('',(#22334),#3892,.F.); #89058=ADVANCED_FACE('',(#22335),#3893,.T.); #89059=ADVANCED_FACE('',(#22336),#3894,.F.); #89060=ADVANCED_FACE('',(#22337),#18307,.T.); #89061=ADVANCED_FACE('',(#22338),#18308,.T.); #89062=ADVANCED_FACE('',(#22339),#18309,.F.); #89063=ADVANCED_FACE('',(#22340,#1885),#3895,.T.); #89064=ADVANCED_FACE('',(#22341),#18310,.T.); #89065=ADVANCED_FACE('',(#22342),#18311,.T.); #89066=ADVANCED_FACE('',(#22343),#18312,.T.); #89067=ADVANCED_FACE('',(#22344),#18313,.T.); #89068=ADVANCED_FACE('',(#22345,#1886),#3896,.T.); #89069=ADVANCED_FACE('',(#22346),#18314,.T.); #89070=ADVANCED_FACE('',(#22347),#3897,.F.); #89071=ADVANCED_FACE('',(#22348),#3898,.F.); #89072=ADVANCED_FACE('',(#22349),#3899,.F.); #89073=ADVANCED_FACE('',(#22350),#3900,.F.); #89074=ADVANCED_FACE('',(#22351),#3901,.F.); #89075=ADVANCED_FACE('',(#22352),#3902,.F.); #89076=ADVANCED_FACE('',(#22353),#3903,.F.); #89077=ADVANCED_FACE('',(#22354),#3904,.F.); #89078=ADVANCED_FACE('',(#22355),#18315,.T.); #89079=ADVANCED_FACE('',(#22356),#3905,.T.); #89080=ADVANCED_FACE('',(#22357,#1887),#3906,.T.); #89081=ADVANCED_FACE('',(#22358),#18316,.T.); #89082=ADVANCED_FACE('',(#22359),#3907,.T.); #89083=ADVANCED_FACE('',(#22360,#1888),#3908,.T.); #89084=ADVANCED_FACE('',(#22361),#18317,.T.); #89085=ADVANCED_FACE('',(#22362),#18318,.T.); #89086=ADVANCED_FACE('',(#22363),#18319,.T.); #89087=ADVANCED_FACE('',(#22364),#3909,.F.); #89088=ADVANCED_FACE('',(#22365),#18320,.F.); #89089=ADVANCED_FACE('',(#22366),#18321,.T.); #89090=ADVANCED_FACE('',(#22367),#3910,.F.); #89091=ADVANCED_FACE('',(#22368),#3911,.T.); #89092=ADVANCED_FACE('',(#22369),#18322,.T.); #89093=ADVANCED_FACE('',(#22370),#3912,.F.); #89094=ADVANCED_FACE('',(#22371),#3913,.T.); #89095=ADVANCED_FACE('',(#22372,#1889),#3914,.T.); #89096=ADVANCED_FACE('',(#22373),#18323,.T.); #89097=ADVANCED_FACE('',(#22374),#3915,.T.); #89098=ADVANCED_FACE('',(#22375),#18324,.T.); #89099=ADVANCED_FACE('',(#22376),#3916,.F.); #89100=ADVANCED_FACE('',(#22377),#18325,.F.); #89101=ADVANCED_FACE('',(#22378),#18326,.T.); #89102=ADVANCED_FACE('',(#22379),#18327,.T.); #89103=ADVANCED_FACE('',(#22380),#3917,.T.); #89104=ADVANCED_FACE('',(#22381),#18328,.T.); #89105=ADVANCED_FACE('',(#22382,#1890),#3918,.T.); #89106=ADVANCED_FACE('',(#22383),#18329,.T.); #89107=ADVANCED_FACE('',(#22384),#18330,.T.); #89108=ADVANCED_FACE('',(#22385),#3919,.F.); #89109=ADVANCED_FACE('',(#22386),#3920,.F.); #89110=ADVANCED_FACE('',(#22387),#3921,.F.); #89111=ADVANCED_FACE('',(#22388),#3922,.F.); #89112=ADVANCED_FACE('',(#22389),#3923,.F.); #89113=ADVANCED_FACE('',(#22390),#3924,.F.); #89114=ADVANCED_FACE('',(#22391),#3925,.F.); #89115=ADVANCED_FACE('',(#22392),#3926,.F.); #89116=ADVANCED_FACE('',(#22393),#18331,.T.); #89117=ADVANCED_FACE('',(#22394),#3927,.T.); #89118=ADVANCED_FACE('',(#22395,#1891),#3928,.T.); #89119=ADVANCED_FACE('',(#22396),#18332,.T.); #89120=ADVANCED_FACE('',(#22397),#3929,.T.); #89121=ADVANCED_FACE('',(#22398,#1892),#3930,.T.); #89122=ADVANCED_FACE('',(#22399),#18333,.F.); #89123=ADVANCED_FACE('',(#22400),#3931,.F.); #89124=ADVANCED_FACE('',(#22401),#18334,.T.); #89125=ADVANCED_FACE('',(#22402),#18335,.T.); #89126=ADVANCED_FACE('',(#22403),#18336,.T.); #89127=ADVANCED_FACE('',(#22404),#18337,.T.); #89128=ADVANCED_FACE('',(#22405),#3932,.F.); #89129=ADVANCED_FACE('',(#22406),#3933,.T.); #89130=ADVANCED_FACE('',(#22407),#18338,.T.); #89131=ADVANCED_FACE('',(#22408),#3934,.F.); #89132=ADVANCED_FACE('',(#22409),#3935,.F.); #89133=ADVANCED_FACE('',(#22410),#3936,.F.); #89134=ADVANCED_FACE('',(#22411),#3937,.F.); #89135=ADVANCED_FACE('',(#22412),#18339,.T.); #89136=ADVANCED_FACE('',(#22413),#18340,.T.); #89137=ADVANCED_FACE('',(#22414,#1893),#3938,.T.); #89138=ADVANCED_FACE('',(#22415),#18341,.T.); #89139=ADVANCED_FACE('',(#22416),#3939,.T.); #89140=ADVANCED_FACE('',(#22417),#18342,.F.); #89141=ADVANCED_FACE('',(#22418),#3940,.F.); #89142=ADVANCED_FACE('',(#22419),#18343,.T.); #89143=ADVANCED_FACE('',(#22420),#18344,.T.); #89144=ADVANCED_FACE('',(#22421),#3941,.T.); #89145=ADVANCED_FACE('',(#22422),#18345,.T.); #89146=ADVANCED_FACE('',(#22423,#1894),#3942,.T.); #89147=ADVANCED_FACE('',(#22424),#3943,.T.); #89148=ADVANCED_FACE('',(#22425),#3944,.F.); #89149=ADVANCED_FACE('',(#22426),#18346,.T.); #89150=ADVANCED_FACE('',(#22427),#84934,.T.); #89151=ADVANCED_FACE('',(#22428),#2255,.F.); #89152=ADVANCED_FACE('',(#22429),#84935,.T.); #89153=ADVANCED_FACE('',(#22430),#18347,.F.); #89154=ADVANCED_FACE('',(#22431),#84936,.T.); #89155=ADVANCED_FACE('',(#22432),#2256,.F.); #89156=ADVANCED_FACE('',(#22433),#84937,.T.); #89157=ADVANCED_FACE('',(#22434),#18348,.F.); #89158=ADVANCED_FACE('',(#22435),#3945,.T.); #89159=ADVANCED_FACE('',(#22436),#18349,.T.); #89160=ADVANCED_FACE('',(#22437),#3946,.T.); #89161=ADVANCED_FACE('',(#22438),#18350,.T.); #89162=ADVANCED_FACE('',(#22439),#18351,.T.); #89163=ADVANCED_FACE('',(#22440),#2257,.T.); #89164=ADVANCED_FACE('',(#22441),#18352,.T.); #89165=ADVANCED_FACE('',(#22442),#997,.T.); #89166=ADVANCED_FACE('',(#22443),#2258,.T.); #89167=ADVANCED_FACE('',(#22444),#998,.T.); #89168=ADVANCED_FACE('',(#22445),#18353,.T.); #89169=ADVANCED_FACE('',(#22446),#999,.T.); #89170=ADVANCED_FACE('',(#22447),#1000,.T.); #89171=ADVANCED_FACE('',(#22448),#18354,.T.); #89172=ADVANCED_FACE('',(#22449),#3947,.T.); #89173=ADVANCED_FACE('',(#22450),#18355,.T.); #89174=ADVANCED_FACE('',(#22451),#18356,.F.); #89175=ADVANCED_FACE('',(#22452),#2259,.F.); #89176=ADVANCED_FACE('',(#22453),#18357,.T.); #89177=ADVANCED_FACE('',(#22454),#3948,.T.); #89178=ADVANCED_FACE('',(#22455),#18358,.F.); #89179=ADVANCED_FACE('',(#22456),#2260,.F.); #89180=ADVANCED_FACE('',(#22457),#3949,.T.); #89181=ADVANCED_FACE('',(#22458),#18359,.T.); #89182=ADVANCED_FACE('',(#22459),#18360,.T.); #89183=ADVANCED_FACE('',(#22460),#84938,.F.); #89184=ADVANCED_FACE('',(#22461),#1001,.T.); #89185=ADVANCED_FACE('',(#22462),#2261,.T.); #89186=ADVANCED_FACE('',(#22463),#3950,.F.); #89187=ADVANCED_FACE('',(#22464),#84939,.F.); #89188=ADVANCED_FACE('',(#22465),#18361,.T.); #89189=ADVANCED_FACE('',(#22466),#18362,.T.); #89190=ADVANCED_FACE('',(#22467),#1002,.T.); #89191=ADVANCED_FACE('',(#22468),#2262,.F.); #89192=ADVANCED_FACE('',(#22469),#3951,.F.); #89193=ADVANCED_FACE('',(#22470),#3952,.T.); #89194=ADVANCED_FACE('',(#22471),#3953,.F.); #89195=ADVANCED_FACE('',(#22472),#3954,.F.); #89196=ADVANCED_FACE('',(#22473),#3955,.F.); #89197=ADVANCED_FACE('',(#22474),#18363,.F.); #89198=ADVANCED_FACE('',(#22475),#3956,.T.); #89199=ADVANCED_FACE('',(#22476),#84940,.T.); #89200=ADVANCED_FACE('',(#22477),#84941,.T.); #89201=ADVANCED_FACE('',(#22478),#2263,.F.); #89202=ADVANCED_FACE('',(#22479),#84942,.T.); #89203=ADVANCED_FACE('',(#22480),#84943,.T.); #89204=ADVANCED_FACE('',(#22481),#84944,.F.); #89205=ADVANCED_FACE('',(#22482),#2264,.T.); #89206=ADVANCED_FACE('',(#22483),#3957,.F.); #89207=ADVANCED_FACE('',(#22484),#2265,.F.); #89208=ADVANCED_FACE('',(#22485),#1650,.T.); #89209=ADVANCED_FACE('',(#22486),#18364,.T.); #89210=ADVANCED_FACE('',(#22487),#2266,.T.); #89211=ADVANCED_FACE('',(#22488),#18365,.T.); #89212=ADVANCED_FACE('',(#22489),#2267,.T.); #89213=ADVANCED_FACE('',(#22490,#1895),#3958,.T.); #89214=ADVANCED_FACE('',(#22491,#1896,#1897),#18366,.T.); #89215=ADVANCED_FACE('',(#22492),#2268,.T.); #89216=ADVANCED_FACE('',(#22493,#1898),#3959,.F.); #89217=ADVANCED_FACE('',(#22494),#18367,.T.); #89218=ADVANCED_FACE('',(#22495),#84945,.F.); #89219=ADVANCED_FACE('',(#22496),#2269,.T.); #89220=ADVANCED_FACE('',(#22497),#3960,.F.); #89221=ADVANCED_FACE('',(#22498),#3961,.F.); #89222=ADVANCED_FACE('',(#22499),#3962,.F.); #89223=ADVANCED_FACE('',(#22500),#18368,.T.); #89224=ADVANCED_FACE('',(#22501),#18369,.T.); #89225=ADVANCED_FACE('',(#22502),#18370,.T.); #89226=ADVANCED_FACE('',(#22503),#3963,.F.); #89227=ADVANCED_FACE('',(#22504),#3964,.F.); #89228=ADVANCED_FACE('',(#22505),#3965,.F.); #89229=ADVANCED_FACE('',(#22506),#3966,.T.); #89230=ADVANCED_FACE('',(#22507),#3967,.F.); #89231=ADVANCED_FACE('',(#22508),#3968,.F.); #89232=ADVANCED_FACE('',(#22509),#84946,.F.); #89233=ADVANCED_FACE('',(#22510),#84947,.F.); #89234=ADVANCED_FACE('',(#22511),#3969,.F.); #89235=ADVANCED_FACE('',(#22512),#3970,.F.); #89236=ADVANCED_FACE('',(#22513),#3971,.F.); #89237=ADVANCED_FACE('',(#22514),#3972,.F.); #89238=ADVANCED_FACE('',(#22515),#3973,.F.); #89239=ADVANCED_FACE('',(#22516),#3974,.F.); #89240=ADVANCED_FACE('',(#22517),#84948,.F.); #89241=ADVANCED_FACE('',(#22518),#84949,.F.); #89242=ADVANCED_FACE('',(#22519),#3975,.F.); #89243=ADVANCED_FACE('',(#22520),#3976,.F.); #89244=ADVANCED_FACE('',(#22521),#3977,.F.); #89245=ADVANCED_FACE('',(#22522),#3978,.F.); #89246=ADVANCED_FACE('',(#22523),#3979,.T.); #89247=ADVANCED_FACE('',(#22524),#3980,.F.); #89248=ADVANCED_FACE('',(#22525),#3981,.F.); #89249=ADVANCED_FACE('',(#22526),#84950,.F.); #89250=ADVANCED_FACE('',(#22527),#84951,.F.); #89251=ADVANCED_FACE('',(#22528),#3982,.F.); #89252=ADVANCED_FACE('',(#22529),#3983,.F.); #89253=ADVANCED_FACE('',(#22530),#3984,.F.); #89254=ADVANCED_FACE('',(#22531),#3985,.F.); #89255=ADVANCED_FACE('',(#22532),#3986,.F.); #89256=ADVANCED_FACE('',(#22533),#3987,.F.); #89257=ADVANCED_FACE('',(#22534),#84952,.F.); #89258=ADVANCED_FACE('',(#22535),#84953,.F.); #89259=ADVANCED_FACE('',(#22536),#3988,.F.); #89260=ADVANCED_FACE('',(#22537),#3989,.F.); #89261=ADVANCED_FACE('',(#22538),#3990,.F.); #89262=ADVANCED_FACE('',(#22539),#3991,.F.); #89263=ADVANCED_FACE('',(#22540),#3992,.T.); #89264=ADVANCED_FACE('',(#22541),#3993,.F.); #89265=ADVANCED_FACE('',(#22542),#3994,.F.); #89266=ADVANCED_FACE('',(#22543),#84954,.F.); #89267=ADVANCED_FACE('',(#22544),#84955,.F.); #89268=ADVANCED_FACE('',(#22545),#3995,.F.); #89269=ADVANCED_FACE('',(#22546),#3996,.F.); #89270=ADVANCED_FACE('',(#22547),#3997,.F.); #89271=ADVANCED_FACE('',(#22548),#3998,.F.); #89272=ADVANCED_FACE('',(#22549),#3999,.F.); #89273=ADVANCED_FACE('',(#22550),#4000,.F.); #89274=ADVANCED_FACE('',(#22551),#84956,.F.); #89275=ADVANCED_FACE('',(#22552),#84957,.F.); #89276=ADVANCED_FACE('',(#22553),#4001,.F.); #89277=ADVANCED_FACE('',(#22554),#4002,.F.); #89278=ADVANCED_FACE('',(#22555),#4003,.F.); #89279=ADVANCED_FACE('',(#22556),#4004,.F.); #89280=ADVANCED_FACE('',(#22557),#4005,.T.); #89281=ADVANCED_FACE('',(#22558),#4006,.F.); #89282=ADVANCED_FACE('',(#22559),#4007,.F.); #89283=ADVANCED_FACE('',(#22560),#84958,.F.); #89284=ADVANCED_FACE('',(#22561),#84959,.F.); #89285=ADVANCED_FACE('',(#22562),#4008,.F.); #89286=ADVANCED_FACE('',(#22563),#4009,.F.); #89287=ADVANCED_FACE('',(#22564),#4010,.F.); #89288=ADVANCED_FACE('',(#22565),#4011,.F.); #89289=ADVANCED_FACE('',(#22566),#4012,.F.); #89290=ADVANCED_FACE('',(#22567),#4013,.F.); #89291=ADVANCED_FACE('',(#22568),#84960,.F.); #89292=ADVANCED_FACE('',(#22569),#84961,.F.); #89293=ADVANCED_FACE('',(#22570),#4014,.F.); #89294=ADVANCED_FACE('',(#22571),#4015,.F.); #89295=ADVANCED_FACE('',(#22572),#4016,.F.); #89296=ADVANCED_FACE('',(#22573),#4017,.F.); #89297=ADVANCED_FACE('',(#22574),#4018,.T.); #89298=ADVANCED_FACE('',(#22575),#2270,.F.); #89299=ADVANCED_FACE('',(#22576),#4019,.F.); #89300=ADVANCED_FACE('',(#22577),#4020,.F.); #89301=ADVANCED_FACE('',(#22578),#4021,.F.); #89302=ADVANCED_FACE('',(#22579),#4022,.F.); #89303=ADVANCED_FACE('',(#22580),#4023,.F.); #89304=ADVANCED_FACE('',(#22581),#4024,.F.); #89305=ADVANCED_FACE('',(#22582),#4025,.F.); #89306=ADVANCED_FACE('',(#22583),#4026,.F.); #89307=ADVANCED_FACE('',(#22584),#4027,.F.); #89308=ADVANCED_FACE('',(#22585),#4028,.F.); #89309=ADVANCED_FACE('',(#22586),#4029,.F.); #89310=ADVANCED_FACE('',(#22587),#4030,.F.); #89311=ADVANCED_FACE('',(#22588),#4031,.F.); #89312=ADVANCED_FACE('',(#22589),#4032,.F.); #89313=ADVANCED_FACE('',(#22590),#4033,.F.); #89314=ADVANCED_FACE('',(#22591),#4034,.F.); #89315=ADVANCED_FACE('',(#22592),#4035,.F.); #89316=ADVANCED_FACE('',(#22593),#4036,.F.); #89317=ADVANCED_FACE('',(#22594),#4037,.F.); #89318=ADVANCED_FACE('',(#22595),#4038,.F.); #89319=ADVANCED_FACE('',(#22596),#4039,.F.); #89320=ADVANCED_FACE('',(#22597),#4040,.F.); #89321=ADVANCED_FACE('',(#22598),#4041,.F.); #89322=ADVANCED_FACE('',(#22599),#4042,.F.); #89323=ADVANCED_FACE('',(#22600),#4043,.F.); #89324=ADVANCED_FACE('',(#22601),#4044,.F.); #89325=ADVANCED_FACE('',(#22602),#4045,.F.); #89326=ADVANCED_FACE('',(#22603),#4046,.F.); #89327=ADVANCED_FACE('',(#22604),#4047,.F.); #89328=ADVANCED_FACE('',(#22605),#4048,.F.); #89329=ADVANCED_FACE('',(#22606),#18371,.F.); #89330=ADVANCED_FACE('',(#22607),#4049,.T.); #89331=ADVANCED_FACE('',(#22608),#4050,.T.); #89332=ADVANCED_FACE('',(#22609),#18372,.F.); #89333=ADVANCED_FACE('',(#22610),#18373,.F.); #89334=ADVANCED_FACE('',(#22611),#4051,.T.); #89335=ADVANCED_FACE('',(#22612),#18374,.F.); #89336=ADVANCED_FACE('',(#22613),#4052,.T.); #89337=ADVANCED_FACE('',(#22614),#4053,.T.); #89338=ADVANCED_FACE('',(#22615),#18375,.F.); #89339=ADVANCED_FACE('',(#22616),#4054,.F.); #89340=ADVANCED_FACE('',(#22617),#4055,.F.); #89341=ADVANCED_FACE('',(#22618),#4056,.F.); #89342=ADVANCED_FACE('',(#22619),#4057,.F.); #89343=ADVANCED_FACE('',(#22620),#4058,.F.); #89344=ADVANCED_FACE('',(#22621),#4059,.F.); #89345=ADVANCED_FACE('',(#22622),#4060,.F.); #89346=ADVANCED_FACE('',(#22623),#4061,.F.); #89347=ADVANCED_FACE('',(#22624),#4062,.F.); #89348=ADVANCED_FACE('',(#22625),#4063,.F.); #89349=ADVANCED_FACE('',(#22626),#4064,.F.); #89350=ADVANCED_FACE('',(#22627),#4065,.F.); #89351=ADVANCED_FACE('',(#22628),#4066,.F.); #89352=ADVANCED_FACE('',(#22629),#4067,.F.); #89353=ADVANCED_FACE('',(#22630),#4068,.F.); #89354=ADVANCED_FACE('',(#22631),#4069,.F.); #89355=ADVANCED_FACE('',(#22632),#4070,.F.); #89356=ADVANCED_FACE('',(#22633),#4071,.F.); #89357=ADVANCED_FACE('',(#22634),#4072,.T.); #89358=ADVANCED_FACE('',(#22635),#2271,.T.); #89359=ADVANCED_FACE('',(#22636),#4073,.F.); #89360=ADVANCED_FACE('',(#22637),#4074,.F.); #89361=ADVANCED_FACE('',(#22638),#4075,.T.); #89362=ADVANCED_FACE('',(#22639),#4076,.T.); #89363=ADVANCED_FACE('',(#22640),#4077,.F.); #89364=ADVANCED_FACE('',(#22641),#4078,.F.); #89365=ADVANCED_FACE('',(#22642),#4079,.F.); #89366=ADVANCED_FACE('',(#22643),#4080,.F.); #89367=ADVANCED_FACE('',(#22644),#4081,.F.); #89368=ADVANCED_FACE('',(#22645),#4082,.T.); #89369=ADVANCED_FACE('',(#22646),#4083,.T.); #89370=ADVANCED_FACE('',(#22647),#18376,.T.); #89371=ADVANCED_FACE('',(#22648),#2272,.T.); #89372=ADVANCED_FACE('',(#22649),#4084,.F.); #89373=ADVANCED_FACE('',(#22650),#4085,.F.); #89374=ADVANCED_FACE('',(#22651),#4086,.T.); #89375=ADVANCED_FACE('',(#22652),#4087,.T.); #89376=ADVANCED_FACE('',(#22653),#4088,.F.); #89377=ADVANCED_FACE('',(#22654),#4089,.F.); #89378=ADVANCED_FACE('',(#22655),#4090,.F.); #89379=ADVANCED_FACE('',(#22656),#4091,.F.); #89380=ADVANCED_FACE('',(#22657),#4092,.F.); #89381=ADVANCED_FACE('',(#22658,#1899),#4093,.T.); #89382=ADVANCED_FACE('',(#22659),#2273,.F.); #89383=ADVANCED_FACE('',(#22660,#1900,#1901,#1902,#1903,#1904),#4094,.T.); #89384=ADVANCED_FACE('',(#22661),#2274,.T.); #89385=ADVANCED_FACE('',(#22662),#4095,.F.); #89386=ADVANCED_FACE('',(#22663),#4096,.F.); #89387=ADVANCED_FACE('',(#22664),#4097,.F.); #89388=ADVANCED_FACE('',(#22665),#4098,.F.); #89389=ADVANCED_FACE('',(#22666),#4099,.F.); #89390=ADVANCED_FACE('',(#22667),#4100,.F.); #89391=ADVANCED_FACE('',(#22668),#4101,.T.); #89392=ADVANCED_FACE('',(#22669),#4102,.T.); #89393=ADVANCED_FACE('',(#22670),#2275,.T.); #89394=ADVANCED_FACE('',(#22671),#4103,.F.); #89395=ADVANCED_FACE('',(#22672),#4104,.T.); #89396=ADVANCED_FACE('',(#22673),#4105,.T.); #89397=ADVANCED_FACE('',(#22674),#18377,.F.); #89398=ADVANCED_FACE('',(#22675),#4106,.T.); #89399=ADVANCED_FACE('',(#22676),#18378,.F.); #89400=ADVANCED_FACE('',(#22677),#18379,.F.); #89401=ADVANCED_FACE('',(#22678),#18380,.F.); #89402=ADVANCED_FACE('',(#22679),#4107,.T.); #89403=ADVANCED_FACE('',(#22680),#18381,.F.); #89404=ADVANCED_FACE('',(#22681),#4108,.T.); #89405=ADVANCED_FACE('',(#22682),#4109,.T.); #89406=ADVANCED_FACE('',(#22683),#18382,.T.); #89407=ADVANCED_FACE('',(#22684),#18383,.T.); #89408=ADVANCED_FACE('',(#22685),#18384,.T.); #89409=ADVANCED_FACE('',(#22686),#4110,.T.); #89410=ADVANCED_FACE('',(#22687),#2276,.T.); #89411=ADVANCED_FACE('',(#22688),#1651,.F.); #89412=ADVANCED_FACE('',(#22689),#18385,.F.); #89413=ADVANCED_FACE('',(#22690,#1905),#4111,.F.); #89414=ADVANCED_FACE('',(#22691),#1652,.F.); #89415=ADVANCED_FACE('',(#22692,#1906),#4112,.F.); #89416=ADVANCED_FACE('',(#22693),#2277,.T.); #89417=ADVANCED_FACE('',(#22694),#18386,.T.); #89418=ADVANCED_FACE('',(#22695),#1653,.T.); #89419=ADVANCED_FACE('',(#22696),#4113,.T.); #89420=ADVANCED_FACE('',(#22697),#4114,.T.); #89421=ADVANCED_FACE('',(#22698),#4115,.T.); #89422=ADVANCED_FACE('',(#22699),#1654,.T.); #89423=ADVANCED_FACE('',(#22700),#4116,.T.); #89424=ADVANCED_FACE('',(#22701),#4117,.T.); #89425=ADVANCED_FACE('',(#22702),#4118,.T.); #89426=ADVANCED_FACE('',(#22703),#4119,.F.); #89427=ADVANCED_FACE('',(#22704),#4120,.F.); #89428=ADVANCED_FACE('',(#22705),#4121,.F.); #89429=ADVANCED_FACE('',(#22706),#4122,.F.); #89430=ADVANCED_FACE('',(#22707),#4123,.F.); #89431=ADVANCED_FACE('',(#22708),#4124,.F.); #89432=ADVANCED_FACE('',(#22709),#4125,.F.); #89433=ADVANCED_FACE('',(#22710),#4126,.F.); #89434=ADVANCED_FACE('',(#22711),#4127,.F.); #89435=ADVANCED_FACE('',(#22712),#4128,.F.); #89436=ADVANCED_FACE('',(#22713),#4129,.F.); #89437=ADVANCED_FACE('',(#22714),#4130,.F.); #89438=ADVANCED_FACE('',(#22715),#4131,.F.); #89439=ADVANCED_FACE('',(#22716),#4132,.F.); #89440=ADVANCED_FACE('',(#22717),#4133,.F.); #89441=ADVANCED_FACE('',(#22718),#4134,.F.); #89442=ADVANCED_FACE('',(#22719),#4135,.F.); #89443=ADVANCED_FACE('',(#22720),#4136,.F.); #89444=ADVANCED_FACE('',(#22721),#4137,.F.); #89445=ADVANCED_FACE('',(#22722),#4138,.F.); #89446=ADVANCED_FACE('',(#22723),#4139,.F.); #89447=ADVANCED_FACE('',(#22724),#4140,.F.); #89448=ADVANCED_FACE('',(#22725),#4141,.F.); #89449=ADVANCED_FACE('',(#22726),#4142,.F.); #89450=ADVANCED_FACE('',(#22727),#4143,.F.); #89451=ADVANCED_FACE('',(#22728),#4144,.F.); #89452=ADVANCED_FACE('',(#22729),#4145,.F.); #89453=ADVANCED_FACE('',(#22730),#4146,.F.); #89454=ADVANCED_FACE('',(#22731),#4147,.F.); #89455=ADVANCED_FACE('',(#22732),#4148,.F.); #89456=ADVANCED_FACE('',(#22733),#4149,.F.); #89457=ADVANCED_FACE('',(#22734),#4150,.F.); #89458=ADVANCED_FACE('',(#22735),#4151,.F.); #89459=ADVANCED_FACE('',(#22736),#4152,.F.); #89460=ADVANCED_FACE('',(#22737),#4153,.F.); #89461=ADVANCED_FACE('',(#22738),#4154,.F.); #89462=ADVANCED_FACE('',(#22739),#4155,.F.); #89463=ADVANCED_FACE('',(#22740),#4156,.F.); #89464=ADVANCED_FACE('',(#22741),#4157,.F.); #89465=ADVANCED_FACE('',(#22742),#4158,.F.); #89466=ADVANCED_FACE('',(#22743),#4159,.F.); #89467=ADVANCED_FACE('',(#22744),#4160,.F.); #89468=ADVANCED_FACE('',(#22745),#4161,.F.); #89469=ADVANCED_FACE('',(#22746),#4162,.F.); #89470=ADVANCED_FACE('',(#22747),#4163,.F.); #89471=ADVANCED_FACE('',(#22748),#4164,.F.); #89472=ADVANCED_FACE('',(#22749),#4165,.F.); #89473=ADVANCED_FACE('',(#22750),#4166,.F.); #89474=ADVANCED_FACE('',(#22751),#4167,.F.); #89475=ADVANCED_FACE('',(#22752),#4168,.F.); #89476=ADVANCED_FACE('',(#22753),#4169,.F.); #89477=ADVANCED_FACE('',(#22754),#4170,.F.); #89478=ADVANCED_FACE('',(#22755),#4171,.F.); #89479=ADVANCED_FACE('',(#22756),#4172,.F.); #89480=ADVANCED_FACE('',(#22757),#4173,.F.); #89481=ADVANCED_FACE('',(#22758),#4174,.F.); #89482=ADVANCED_FACE('',(#22759),#4175,.F.); #89483=ADVANCED_FACE('',(#22760),#84962,.T.); #89484=ADVANCED_FACE('',(#22761),#84963,.T.); #89485=ADVANCED_FACE('',(#22762),#4176,.T.); #89486=ADVANCED_FACE('',(#22763),#4177,.T.); #89487=ADVANCED_FACE('',(#22764),#4178,.T.); #89488=ADVANCED_FACE('',(#22765),#4179,.F.); #89489=ADVANCED_FACE('',(#22766),#4180,.F.); #89490=ADVANCED_FACE('',(#22767),#4181,.F.); #89491=ADVANCED_FACE('',(#22768),#84964,.F.); #89492=ADVANCED_FACE('',(#22769),#84965,.F.); #89493=ADVANCED_FACE('',(#22770),#4182,.F.); #89494=ADVANCED_FACE('',(#22771),#4183,.F.); #89495=ADVANCED_FACE('',(#22772),#4184,.F.); #89496=ADVANCED_FACE('',(#22773),#84966,.F.); #89497=ADVANCED_FACE('',(#22774),#84967,.F.); #89498=ADVANCED_FACE('',(#22775),#84968,.F.); #89499=ADVANCED_FACE('',(#22776),#84969,.F.); #89500=ADVANCED_FACE('',(#22777),#4185,.F.); #89501=ADVANCED_FACE('',(#22778),#4186,.F.); #89502=ADVANCED_FACE('',(#22779,#1907),#4187,.T.); #89503=ADVANCED_FACE('',(#22780),#84970,.T.); #89504=ADVANCED_FACE('',(#22781),#84971,.T.); #89505=ADVANCED_FACE('',(#22782),#84972,.T.); #89506=ADVANCED_FACE('',(#22783),#4188,.T.); #89507=ADVANCED_FACE('',(#22784),#4189,.T.); #89508=ADVANCED_FACE('',(#22785),#4190,.T.); #89509=ADVANCED_FACE('',(#22786),#84973,.T.); #89510=ADVANCED_FACE('',(#22787),#84974,.T.); #89511=ADVANCED_FACE('',(#22788),#84975,.T.); #89512=ADVANCED_FACE('',(#22789),#4191,.T.); #89513=ADVANCED_FACE('',(#22790),#4192,.T.); #89514=ADVANCED_FACE('',(#22791),#4193,.T.); #89515=ADVANCED_FACE('',(#22792),#4194,.F.); #89516=ADVANCED_FACE('',(#22793),#84976,.F.); #89517=ADVANCED_FACE('',(#22794),#84977,.F.); #89518=ADVANCED_FACE('',(#22795),#84978,.F.); #89519=ADVANCED_FACE('',(#22796),#84979,.F.); #89520=ADVANCED_FACE('',(#22797),#4195,.F.); #89521=ADVANCED_FACE('',(#22798),#4196,.F.); #89522=ADVANCED_FACE('',(#22799,#1908,#1909),#4197,.T.); #89523=ADVANCED_FACE('',(#22800),#4198,.F.); #89524=ADVANCED_FACE('',(#22801),#4199,.F.); #89525=ADVANCED_FACE('',(#22802),#4200,.F.); #89526=ADVANCED_FACE('',(#22803),#4201,.F.); #89527=ADVANCED_FACE('',(#22804),#4202,.F.); #89528=ADVANCED_FACE('',(#22805),#4203,.F.); #89529=ADVANCED_FACE('',(#22806),#4204,.F.); #89530=ADVANCED_FACE('',(#22807),#4205,.F.); #89531=ADVANCED_FACE('',(#22808),#4206,.F.); #89532=ADVANCED_FACE('',(#22809),#4207,.F.); #89533=ADVANCED_FACE('',(#22810),#4208,.F.); #89534=ADVANCED_FACE('',(#22811),#4209,.F.); #89535=ADVANCED_FACE('',(#22812),#4210,.F.); #89536=ADVANCED_FACE('',(#22813),#4211,.F.); #89537=ADVANCED_FACE('',(#22814),#4212,.F.); #89538=ADVANCED_FACE('',(#22815),#4213,.F.); #89539=ADVANCED_FACE('',(#22816),#4214,.F.); #89540=ADVANCED_FACE('',(#22817),#4215,.F.); #89541=ADVANCED_FACE('',(#22818),#4216,.F.); #89542=ADVANCED_FACE('',(#22819),#4217,.F.); #89543=ADVANCED_FACE('',(#22820),#4218,.F.); #89544=ADVANCED_FACE('',(#22821),#4219,.F.); #89545=ADVANCED_FACE('',(#22822),#4220,.F.); #89546=ADVANCED_FACE('',(#22823),#4221,.F.); #89547=ADVANCED_FACE('',(#22824),#4222,.F.); #89548=ADVANCED_FACE('',(#22825),#4223,.F.); #89549=ADVANCED_FACE('',(#22826),#4224,.T.); #89550=ADVANCED_FACE('',(#22827),#84980,.T.); #89551=ADVANCED_FACE('',(#22828),#84981,.T.); #89552=ADVANCED_FACE('',(#22829),#4225,.T.); #89553=ADVANCED_FACE('',(#22830),#4226,.T.); #89554=ADVANCED_FACE('',(#22831),#4227,.F.); #89555=ADVANCED_FACE('',(#22832),#4228,.F.); #89556=ADVANCED_FACE('',(#22833),#4229,.F.); #89557=ADVANCED_FACE('',(#22834),#4230,.F.); #89558=ADVANCED_FACE('',(#22835),#4231,.F.); #89559=ADVANCED_FACE('',(#22836),#4232,.F.); #89560=ADVANCED_FACE('',(#22837),#4233,.F.); #89561=ADVANCED_FACE('',(#22838),#4234,.F.); #89562=ADVANCED_FACE('',(#22839,#1910),#4235,.F.); #89563=ADVANCED_FACE('',(#22840),#84982,.T.); #89564=ADVANCED_FACE('',(#22841),#84983,.T.); #89565=ADVANCED_FACE('',(#22842),#4236,.T.); #89566=ADVANCED_FACE('',(#22843),#4237,.T.); #89567=ADVANCED_FACE('',(#22844),#4238,.T.); #89568=ADVANCED_FACE('',(#22845),#4239,.F.); #89569=ADVANCED_FACE('',(#22846),#4240,.F.); #89570=ADVANCED_FACE('',(#22847),#4241,.F.); #89571=ADVANCED_FACE('',(#22848),#84984,.F.); #89572=ADVANCED_FACE('',(#22849),#84985,.F.); #89573=ADVANCED_FACE('',(#22850),#4242,.F.); #89574=ADVANCED_FACE('',(#22851),#4243,.F.); #89575=ADVANCED_FACE('',(#22852),#4244,.F.); #89576=ADVANCED_FACE('',(#22853),#84986,.F.); #89577=ADVANCED_FACE('',(#22854),#84987,.F.); #89578=ADVANCED_FACE('',(#22855),#84988,.F.); #89579=ADVANCED_FACE('',(#22856),#84989,.F.); #89580=ADVANCED_FACE('',(#22857),#4245,.F.); #89581=ADVANCED_FACE('',(#22858),#4246,.F.); #89582=ADVANCED_FACE('',(#22859,#1911),#4247,.T.); #89583=ADVANCED_FACE('',(#22860),#84990,.T.); #89584=ADVANCED_FACE('',(#22861),#84991,.T.); #89585=ADVANCED_FACE('',(#22862),#84992,.T.); #89586=ADVANCED_FACE('',(#22863),#84993,.T.); #89587=ADVANCED_FACE('',(#22864),#4248,.T.); #89588=ADVANCED_FACE('',(#22865),#4249,.T.); #89589=ADVANCED_FACE('',(#22866),#4250,.T.); #89590=ADVANCED_FACE('',(#22867),#4251,.F.); #89591=ADVANCED_FACE('',(#22868),#84994,.F.); #89592=ADVANCED_FACE('',(#22869),#84995,.F.); #89593=ADVANCED_FACE('',(#22870),#84996,.F.); #89594=ADVANCED_FACE('',(#22871),#84997,.F.); #89595=ADVANCED_FACE('',(#22872),#4252,.F.); #89596=ADVANCED_FACE('',(#22873),#4253,.F.); #89597=ADVANCED_FACE('',(#22874,#1912),#4254,.T.); #89598=ADVANCED_FACE('',(#22875),#84998,.T.); #89599=ADVANCED_FACE('',(#22876),#84999,.T.); #89600=ADVANCED_FACE('',(#22877),#85000,.T.); #89601=ADVANCED_FACE('',(#22878),#4255,.T.); #89602=ADVANCED_FACE('',(#22879),#4256,.T.); #89603=ADVANCED_FACE('',(#22880),#4257,.T.); #89604=ADVANCED_FACE('',(#22881),#4258,.F.); #89605=ADVANCED_FACE('',(#22882),#4259,.F.); #89606=ADVANCED_FACE('',(#22883),#4260,.F.); #89607=ADVANCED_FACE('',(#22884),#85001,.F.); #89608=ADVANCED_FACE('',(#22885),#85002,.F.); #89609=ADVANCED_FACE('',(#22886),#85003,.F.); #89610=ADVANCED_FACE('',(#22887),#4261,.F.); #89611=ADVANCED_FACE('',(#22888),#4262,.F.); #89612=ADVANCED_FACE('',(#22889,#1913),#4263,.T.); #89613=ADVANCED_FACE('',(#22890),#4264,.T.); #89614=ADVANCED_FACE('',(#22891),#85004,.T.); #89615=ADVANCED_FACE('',(#22892),#85005,.T.); #89616=ADVANCED_FACE('',(#22893),#4265,.T.); #89617=ADVANCED_FACE('',(#22894),#4266,.T.); #89618=ADVANCED_FACE('',(#22895),#4267,.F.); #89619=ADVANCED_FACE('',(#22896),#4268,.F.); #89620=ADVANCED_FACE('',(#22897),#4269,.F.); #89621=ADVANCED_FACE('',(#22898),#4270,.F.); #89622=ADVANCED_FACE('',(#22899),#4271,.F.); #89623=ADVANCED_FACE('',(#22900),#4272,.F.); #89624=ADVANCED_FACE('',(#22901),#4273,.F.); #89625=ADVANCED_FACE('',(#22902),#4274,.F.); #89626=ADVANCED_FACE('',(#22903,#1914),#4275,.F.); #89627=ADVANCED_FACE('',(#22904),#4276,.F.); #89628=ADVANCED_FACE('',(#22905),#4277,.F.); #89629=ADVANCED_FACE('',(#22906),#4278,.F.); #89630=ADVANCED_FACE('',(#22907),#4279,.F.); #89631=ADVANCED_FACE('',(#22908),#4280,.F.); #89632=ADVANCED_FACE('',(#22909),#4281,.F.); #89633=ADVANCED_FACE('',(#22910),#4282,.F.); #89634=ADVANCED_FACE('',(#22911),#4283,.F.); #89635=ADVANCED_FACE('',(#22912),#4284,.F.); #89636=ADVANCED_FACE('',(#22913),#4285,.F.); #89637=ADVANCED_FACE('',(#22914),#4286,.F.); #89638=ADVANCED_FACE('',(#22915),#4287,.F.); #89639=ADVANCED_FACE('',(#22916),#4288,.F.); #89640=ADVANCED_FACE('',(#22917),#4289,.F.); #89641=ADVANCED_FACE('',(#22918),#4290,.F.); #89642=ADVANCED_FACE('',(#22919),#4291,.F.); #89643=ADVANCED_FACE('',(#22920),#4292,.F.); #89644=ADVANCED_FACE('',(#22921),#4293,.F.); #89645=ADVANCED_FACE('',(#22922),#4294,.F.); #89646=ADVANCED_FACE('',(#22923),#4295,.F.); #89647=ADVANCED_FACE('',(#22924),#85006,.T.); #89648=ADVANCED_FACE('',(#22925),#85007,.T.); #89649=ADVANCED_FACE('',(#22926),#85008,.T.); #89650=ADVANCED_FACE('',(#22927),#4296,.T.); #89651=ADVANCED_FACE('',(#22928),#4297,.T.); #89652=ADVANCED_FACE('',(#22929),#4298,.T.); #89653=ADVANCED_FACE('',(#22930),#4299,.F.); #89654=ADVANCED_FACE('',(#22931),#4300,.F.); #89655=ADVANCED_FACE('',(#22932),#4301,.F.); #89656=ADVANCED_FACE('',(#22933),#85009,.F.); #89657=ADVANCED_FACE('',(#22934),#85010,.F.); #89658=ADVANCED_FACE('',(#22935),#85011,.F.); #89659=ADVANCED_FACE('',(#22936),#4302,.F.); #89660=ADVANCED_FACE('',(#22937),#4303,.F.); #89661=ADVANCED_FACE('',(#22938,#1915),#4304,.T.); #89662=ADVANCED_FACE('',(#22939),#4305,.F.); #89663=ADVANCED_FACE('',(#22940),#4306,.F.); #89664=ADVANCED_FACE('',(#22941),#4307,.F.); #89665=ADVANCED_FACE('',(#22942),#4308,.F.); #89666=ADVANCED_FACE('',(#22943),#4309,.F.); #89667=ADVANCED_FACE('',(#22944),#4310,.F.); #89668=ADVANCED_FACE('',(#22945),#4311,.F.); #89669=ADVANCED_FACE('',(#22946),#4312,.F.); #89670=ADVANCED_FACE('',(#22947),#4313,.F.); #89671=ADVANCED_FACE('',(#22948),#4314,.F.); #89672=ADVANCED_FACE('',(#22949),#4315,.F.); #89673=ADVANCED_FACE('',(#22950),#4316,.F.); #89674=ADVANCED_FACE('',(#22951),#4317,.F.); #89675=ADVANCED_FACE('',(#22952),#85012,.T.); #89676=ADVANCED_FACE('',(#22953),#85013,.T.); #89677=ADVANCED_FACE('',(#22954),#85014,.T.); #89678=ADVANCED_FACE('',(#22955),#85015,.T.); #89679=ADVANCED_FACE('',(#22956),#4318,.T.); #89680=ADVANCED_FACE('',(#22957),#4319,.T.); #89681=ADVANCED_FACE('',(#22958),#4320,.T.); #89682=ADVANCED_FACE('',(#22959),#4321,.F.); #89683=ADVANCED_FACE('',(#22960),#85016,.F.); #89684=ADVANCED_FACE('',(#22961),#85017,.F.); #89685=ADVANCED_FACE('',(#22962),#85018,.F.); #89686=ADVANCED_FACE('',(#22963),#85019,.F.); #89687=ADVANCED_FACE('',(#22964),#4322,.F.); #89688=ADVANCED_FACE('',(#22965),#4323,.F.); #89689=ADVANCED_FACE('',(#22966,#1916),#4324,.T.); #89690=ADVANCED_FACE('',(#22967),#4325,.F.); #89691=ADVANCED_FACE('',(#22968),#4326,.F.); #89692=ADVANCED_FACE('',(#22969),#4327,.F.); #89693=ADVANCED_FACE('',(#22970),#4328,.F.); #89694=ADVANCED_FACE('',(#22971),#4329,.F.); #89695=ADVANCED_FACE('',(#22972),#4330,.F.); #89696=ADVANCED_FACE('',(#22973),#4331,.F.); #89697=ADVANCED_FACE('',(#22974),#85020,.F.); #89698=ADVANCED_FACE('',(#22975),#85021,.F.); #89699=ADVANCED_FACE('',(#22976),#85022,.F.); #89700=ADVANCED_FACE('',(#22977),#85023,.F.); #89701=ADVANCED_FACE('',(#22978),#85024,.F.); #89702=ADVANCED_FACE('',(#22979),#4332,.F.); #89703=ADVANCED_FACE('',(#22980),#85025,.F.); #89704=ADVANCED_FACE('',(#22981),#85026,.F.); #89705=ADVANCED_FACE('',(#22982),#85027,.F.); #89706=ADVANCED_FACE('',(#22983),#85028,.F.); #89707=ADVANCED_FACE('',(#22984),#4333,.F.); #89708=ADVANCED_FACE('',(#22985),#4334,.F.); #89709=ADVANCED_FACE('',(#22986),#4335,.F.); #89710=ADVANCED_FACE('',(#22987),#4336,.T.); #89711=ADVANCED_FACE('',(#22988,#1917,#1918,#1919,#1920,#1921,#1922,#1923, #1924,#1925,#1926,#1927,#1928,#1929,#1930,#1931,#1932,#1933,#1934,#1935, #1936,#1937),#4337,.T.); #89712=ADVANCED_FACE('',(#22989),#4338,.T.); #89713=ADVANCED_FACE('',(#22990),#4339,.T.); #89714=ADVANCED_FACE('',(#22991),#4340,.T.); #89715=ADVANCED_FACE('',(#22992),#4341,.T.); #89716=ADVANCED_FACE('',(#22993),#4342,.T.); #89717=ADVANCED_FACE('',(#22994),#4343,.T.); #89718=ADVANCED_FACE('',(#22995),#4344,.T.); #89719=ADVANCED_FACE('',(#22996),#4345,.T.); #89720=ADVANCED_FACE('',(#22997),#4346,.T.); #89721=ADVANCED_FACE('',(#22998),#18387,.F.); #89722=ADVANCED_FACE('',(#22999),#4347,.F.); #89723=ADVANCED_FACE('',(#23000),#18388,.F.); #89724=ADVANCED_FACE('',(#23001),#4348,.F.); #89725=ADVANCED_FACE('',(#23002),#18389,.T.); #89726=ADVANCED_FACE('',(#23003),#4349,.F.); #89727=ADVANCED_FACE('',(#23004),#4350,.T.); #89728=ADVANCED_FACE('',(#23005),#18390,.T.); #89729=ADVANCED_FACE('',(#23006),#4351,.T.); #89730=ADVANCED_FACE('',(#23007),#4352,.T.); #89731=ADVANCED_FACE('',(#23008),#18391,.T.); #89732=ADVANCED_FACE('',(#23009),#4353,.T.); #89733=ADVANCED_FACE('',(#23010),#18392,.T.); #89734=ADVANCED_FACE('',(#23011),#4354,.T.); #89735=ADVANCED_FACE('',(#23012),#18393,.T.); #89736=ADVANCED_FACE('',(#23013),#4355,.T.); #89737=ADVANCED_FACE('',(#23014),#18394,.T.); #89738=ADVANCED_FACE('',(#23015),#4356,.T.); #89739=ADVANCED_FACE('',(#23016),#85029,.T.); #89740=ADVANCED_FACE('',(#23017),#18395,.F.); #89741=ADVANCED_FACE('',(#23018),#85030,.T.); #89742=ADVANCED_FACE('',(#23019),#4357,.F.); #89743=ADVANCED_FACE('',(#23020),#85031,.T.); #89744=ADVANCED_FACE('',(#23021),#18396,.F.); #89745=ADVANCED_FACE('',(#23022),#4358,.F.); #89746=ADVANCED_FACE('',(#23023),#18397,.F.); #89747=ADVANCED_FACE('',(#23024),#4359,.F.); #89748=ADVANCED_FACE('',(#23025),#18398,.F.); #89749=ADVANCED_FACE('',(#23026),#18399,.T.); #89750=ADVANCED_FACE('',(#23027),#18400,.T.); #89751=ADVANCED_FACE('',(#23028),#85032,.T.); #89752=ADVANCED_FACE('',(#23029),#4360,.T.); #89753=ADVANCED_FACE('',(#23030),#18401,.F.); #89754=ADVANCED_FACE('',(#23031),#18402,.F.); #89755=ADVANCED_FACE('',(#23032,#1938),#4361,.T.); #89756=ADVANCED_FACE('',(#23033),#4362,.T.); #89757=ADVANCED_FACE('',(#23034),#18403,.F.); #89758=ADVANCED_FACE('',(#23035),#4363,.T.); #89759=ADVANCED_FACE('',(#23036),#18404,.T.); #89760=ADVANCED_FACE('',(#23037,#1939),#4364,.T.); #89761=ADVANCED_FACE('',(#23038),#4365,.F.); #89762=ADVANCED_FACE('',(#23039),#4366,.T.); #89763=ADVANCED_FACE('',(#23040),#4367,.T.); #89764=ADVANCED_FACE('',(#23041),#4368,.T.); #89765=ADVANCED_FACE('',(#23042),#4369,.T.); #89766=ADVANCED_FACE('',(#23043),#4370,.T.); #89767=ADVANCED_FACE('',(#23044),#4371,.T.); #89768=ADVANCED_FACE('',(#23045),#4372,.T.); #89769=ADVANCED_FACE('',(#23046),#4373,.T.); #89770=ADVANCED_FACE('',(#23047),#18405,.T.); #89771=ADVANCED_FACE('',(#23048,#1940),#4374,.T.); #89772=ADVANCED_FACE('',(#23049),#4375,.F.); #89773=ADVANCED_FACE('',(#23050),#18406,.T.); #89774=ADVANCED_FACE('',(#23051),#4376,.T.); #89775=ADVANCED_FACE('',(#23052),#4377,.T.); #89776=ADVANCED_FACE('',(#23053),#18407,.T.); #89777=ADVANCED_FACE('',(#23054),#4378,.T.); #89778=ADVANCED_FACE('',(#23055),#18408,.F.); #89779=ADVANCED_FACE('',(#23056),#4379,.T.); #89780=ADVANCED_FACE('',(#23057,#1941),#4380,.T.); #89781=ADVANCED_FACE('',(#23058),#4381,.F.); #89782=ADVANCED_FACE('',(#23059),#18409,.T.); #89783=ADVANCED_FACE('',(#23060),#18410,.T.); #89784=ADVANCED_FACE('',(#23061),#18411,.F.); #89785=ADVANCED_FACE('',(#23062),#18412,.F.); #89786=ADVANCED_FACE('',(#23063),#4382,.T.); #89787=ADVANCED_FACE('',(#23064),#4383,.T.); #89788=ADVANCED_FACE('',(#23065),#4384,.T.); #89789=ADVANCED_FACE('',(#23066),#18413,.F.); #89790=ADVANCED_FACE('',(#23067),#4385,.T.); #89791=ADVANCED_FACE('',(#23068),#4386,.T.); #89792=ADVANCED_FACE('',(#23069),#18414,.F.); #89793=ADVANCED_FACE('',(#23070),#4387,.F.); #89794=ADVANCED_FACE('',(#23071),#4388,.T.); #89795=ADVANCED_FACE('',(#23072),#4389,.F.); #89796=ADVANCED_FACE('',(#23073),#4390,.F.); #89797=ADVANCED_FACE('',(#23074),#85033,.T.); #89798=ADVANCED_FACE('',(#23075),#85034,.F.); #89799=ADVANCED_FACE('',(#23076),#2278,.T.); #89800=ADVANCED_FACE('',(#23077),#85035,.F.); #89801=ADVANCED_FACE('',(#23078),#18415,.T.); #89802=ADVANCED_FACE('',(#23079),#85036,.F.); #89803=ADVANCED_FACE('',(#23080),#2279,.T.); #89804=ADVANCED_FACE('',(#23081),#85037,.F.); #89805=ADVANCED_FACE('',(#23082),#18416,.T.); #89806=ADVANCED_FACE('',(#23083),#1655,.F.); #89807=ADVANCED_FACE('',(#23084),#85038,.T.); #89808=ADVANCED_FACE('',(#23085),#4391,.F.); #89809=ADVANCED_FACE('',(#23086),#85039,.T.); #89810=ADVANCED_FACE('',(#23087,#1942),#4392,.F.); #89811=ADVANCED_FACE('',(#23088),#85040,.F.); #89812=ADVANCED_FACE('',(#23089),#2280,.T.); #89813=ADVANCED_FACE('',(#23090),#85041,.F.); #89814=ADVANCED_FACE('',(#23091),#18417,.T.); #89815=ADVANCED_FACE('',(#23092),#85042,.F.); #89816=ADVANCED_FACE('',(#23093),#2281,.T.); #89817=ADVANCED_FACE('',(#23094),#85043,.F.); #89818=ADVANCED_FACE('',(#23095),#18418,.T.); #89819=ADVANCED_FACE('',(#23096),#85044,.T.); #89820=ADVANCED_FACE('',(#23097),#4393,.F.); #89821=ADVANCED_FACE('',(#23098),#85045,.T.); #89822=ADVANCED_FACE('',(#23099,#1943),#4394,.T.); #89823=ADVANCED_FACE('',(#23100),#1656,.F.); #89824=ADVANCED_FACE('',(#23101),#85046,.T.); #89825=ADVANCED_FACE('',(#23102),#85047,.T.); #89826=ADVANCED_FACE('',(#23103),#85048,.T.); #89827=ADVANCED_FACE('',(#23104),#85049,.T.); #89828=ADVANCED_FACE('',(#23105),#2282,.F.); #89829=ADVANCED_FACE('',(#23106),#85050,.T.); #89830=ADVANCED_FACE('',(#23107),#85051,.T.); #89831=ADVANCED_FACE('',(#23108),#85052,.T.); #89832=ADVANCED_FACE('',(#23109),#85053,.T.); #89833=ADVANCED_FACE('',(#23110),#18419,.T.); #89834=ADVANCED_FACE('',(#23111),#85054,.F.); #89835=ADVANCED_FACE('',(#23112),#85055,.F.); #89836=ADVANCED_FACE('',(#23113,#1944),#4395,.T.); #89837=ADVANCED_FACE('',(#23114),#85056,.F.); #89838=ADVANCED_FACE('',(#23115),#85057,.F.); #89839=ADVANCED_FACE('',(#23116),#18420,.T.); #89840=ADVANCED_FACE('',(#23117),#85058,.T.); #89841=ADVANCED_FACE('',(#23118),#85059,.T.); #89842=ADVANCED_FACE('',(#23119),#85060,.T.); #89843=ADVANCED_FACE('',(#23120),#2283,.F.); #89844=ADVANCED_FACE('',(#23121),#85061,.T.); #89845=ADVANCED_FACE('',(#23122),#85062,.T.); #89846=ADVANCED_FACE('',(#23123),#85063,.T.); #89847=ADVANCED_FACE('',(#23124),#85064,.T.); #89848=ADVANCED_FACE('',(#23125),#85065,.T.); #89849=ADVANCED_FACE('',(#23126),#85066,.F.); #89850=ADVANCED_FACE('',(#23127),#85067,.F.); #89851=ADVANCED_FACE('',(#23128),#1657,.F.); #89852=ADVANCED_FACE('',(#23129),#85068,.F.); #89853=ADVANCED_FACE('',(#23130),#85069,.F.); #89854=ADVANCED_FACE('',(#23131),#18421,.T.); #89855=ADVANCED_FACE('',(#23132),#85070,.T.); #89856=ADVANCED_FACE('',(#23133),#85071,.T.); #89857=ADVANCED_FACE('',(#23134),#85072,.T.); #89858=ADVANCED_FACE('',(#23135),#2284,.F.); #89859=ADVANCED_FACE('',(#23136),#85073,.T.); #89860=ADVANCED_FACE('',(#23137),#85074,.T.); #89861=ADVANCED_FACE('',(#23138),#85075,.T.); #89862=ADVANCED_FACE('',(#23139),#85076,.T.); #89863=ADVANCED_FACE('',(#23140),#85077,.T.); #89864=ADVANCED_FACE('',(#23141),#85078,.F.); #89865=ADVANCED_FACE('',(#23142),#85079,.F.); #89866=ADVANCED_FACE('',(#23143),#1658,.F.); #89867=ADVANCED_FACE('',(#23144),#85080,.F.); #89868=ADVANCED_FACE('',(#23145),#85081,.F.); #89869=ADVANCED_FACE('',(#23146),#18422,.T.); #89870=ADVANCED_FACE('',(#23147),#2285,.T.); #89871=ADVANCED_FACE('',(#23148),#18423,.T.); #89872=ADVANCED_FACE('',(#23149),#1003,.T.); #89873=ADVANCED_FACE('',(#23150),#2286,.T.); #89874=ADVANCED_FACE('',(#23151),#1004,.T.); #89875=ADVANCED_FACE('',(#23152),#18424,.T.); #89876=ADVANCED_FACE('',(#23153),#1005,.T.); #89877=ADVANCED_FACE('',(#23154),#1006,.T.); #89878=ADVANCED_FACE('',(#23155),#85082,.F.); #89879=ADVANCED_FACE('',(#23156),#1659,.T.); #89880=ADVANCED_FACE('',(#23157),#85083,.F.); #89881=ADVANCED_FACE('',(#23158),#4396,.T.); #89882=ADVANCED_FACE('',(#23159),#4397,.T.); #89883=ADVANCED_FACE('',(#23160),#85084,.T.); #89884=ADVANCED_FACE('',(#23161),#85085,.T.); #89885=ADVANCED_FACE('',(#23162),#85086,.T.); #89886=ADVANCED_FACE('',(#23163),#85087,.T.); #89887=ADVANCED_FACE('',(#23164),#85088,.T.); #89888=ADVANCED_FACE('',(#23165),#85089,.T.); #89889=ADVANCED_FACE('',(#23166),#85090,.T.); #89890=ADVANCED_FACE('',(#23167),#85091,.T.); #89891=ADVANCED_FACE('',(#23168),#18425,.F.); #89892=ADVANCED_FACE('',(#23169),#2287,.F.); #89893=ADVANCED_FACE('',(#23170),#18426,.F.); #89894=ADVANCED_FACE('',(#23171),#2288,.F.); #89895=ADVANCED_FACE('',(#23172),#85092,.T.); #89896=ADVANCED_FACE('',(#23173),#4398,.F.); #89897=ADVANCED_FACE('',(#23174),#85093,.T.); #89898=ADVANCED_FACE('',(#23175),#1660,.F.); #89899=ADVANCED_FACE('',(#23176),#4399,.T.); #89900=ADVANCED_FACE('',(#23177),#85094,.T.); #89901=ADVANCED_FACE('',(#23178),#85095,.T.); #89902=ADVANCED_FACE('',(#23179),#85096,.T.); #89903=ADVANCED_FACE('',(#23180),#85097,.T.); #89904=ADVANCED_FACE('',(#23181),#85098,.T.); #89905=ADVANCED_FACE('',(#23182),#85099,.T.); #89906=ADVANCED_FACE('',(#23183),#85100,.T.); #89907=ADVANCED_FACE('',(#23184),#85101,.T.); #89908=ADVANCED_FACE('',(#23185),#18427,.F.); #89909=ADVANCED_FACE('',(#23186),#2289,.F.); #89910=ADVANCED_FACE('',(#23187),#18428,.F.); #89911=ADVANCED_FACE('',(#23188),#2290,.F.); #89912=ADVANCED_FACE('',(#23189),#85102,.T.); #89913=ADVANCED_FACE('',(#23190),#4400,.F.); #89914=ADVANCED_FACE('',(#23191),#85103,.T.); #89915=ADVANCED_FACE('',(#23192),#1661,.F.); #89916=ADVANCED_FACE('',(#23193),#4401,.T.); #89917=ADVANCED_FACE('',(#23194),#2291,.T.); #89918=ADVANCED_FACE('',(#23195),#85104,.T.); #89919=ADVANCED_FACE('',(#23196),#1662,.T.); #89920=ADVANCED_FACE('',(#23197),#18429,.T.); #89921=ADVANCED_FACE('',(#23198),#85105,.F.); #89922=ADVANCED_FACE('',(#23199),#2292,.T.); #89923=ADVANCED_FACE('',(#23200),#85106,.F.); #89924=ADVANCED_FACE('',(#23201),#1663,.T.); #89925=ADVANCED_FACE('',(#23202),#85107,.T.); #89926=ADVANCED_FACE('',(#23203),#4402,.F.); #89927=ADVANCED_FACE('',(#23204),#18430,.T.); #89928=ADVANCED_FACE('',(#23205),#85108,.F.); #89929=ADVANCED_FACE('',(#23206),#2293,.T.); #89930=ADVANCED_FACE('',(#23207),#85109,.F.); #89931=ADVANCED_FACE('',(#23208),#1664,.T.); #89932=ADVANCED_FACE('',(#23209),#85110,.T.); #89933=ADVANCED_FACE('',(#23210),#4403,.F.); #89934=ADVANCED_FACE('',(#23211),#85111,.F.); #89935=ADVANCED_FACE('',(#23212),#85112,.F.); #89936=ADVANCED_FACE('',(#23213),#85113,.F.); #89937=ADVANCED_FACE('',(#23214),#85114,.F.); #89938=ADVANCED_FACE('',(#23215),#2294,.T.); #89939=ADVANCED_FACE('',(#23216),#85115,.F.); #89940=ADVANCED_FACE('',(#23217),#85116,.F.); #89941=ADVANCED_FACE('',(#23218),#85117,.F.); #89942=ADVANCED_FACE('',(#23219),#85118,.F.); #89943=ADVANCED_FACE('',(#23220),#2295,.T.); #89944=ADVANCED_FACE('',(#23221),#85119,.F.); #89945=ADVANCED_FACE('',(#23222),#85120,.F.); #89946=ADVANCED_FACE('',(#23223),#85121,.F.); #89947=ADVANCED_FACE('',(#23224),#85122,.F.); #89948=ADVANCED_FACE('',(#23225),#2296,.T.); #89949=ADVANCED_FACE('',(#23226),#85123,.F.); #89950=ADVANCED_FACE('',(#23227),#85124,.F.); #89951=ADVANCED_FACE('',(#23228),#85125,.F.); #89952=ADVANCED_FACE('',(#23229),#85126,.F.); #89953=ADVANCED_FACE('',(#23230),#2297,.T.); #89954=ADVANCED_FACE('',(#23231),#18431,.T.); #89955=ADVANCED_FACE('',(#23232),#85127,.T.); #89956=ADVANCED_FACE('',(#23233),#85128,.T.); #89957=ADVANCED_FACE('',(#23234),#18432,.T.); #89958=ADVANCED_FACE('',(#23235),#4404,.T.); #89959=ADVANCED_FACE('',(#23236),#1665,.T.); #89960=ADVANCED_FACE('',(#23237),#2298,.T.); #89961=ADVANCED_FACE('',(#23238),#18433,.T.); #89962=ADVANCED_FACE('',(#23239),#2299,.T.); #89963=ADVANCED_FACE('',(#23240),#2300,.F.); #89964=ADVANCED_FACE('',(#23241),#2301,.F.); #89965=ADVANCED_FACE('',(#23242),#1666,.T.); #89966=ADVANCED_FACE('',(#23243),#85129,.T.); #89967=ADVANCED_FACE('',(#23244),#85130,.T.); #89968=ADVANCED_FACE('',(#23245),#85131,.T.); #89969=ADVANCED_FACE('',(#23246),#85132,.T.); #89970=ADVANCED_FACE('',(#23247),#2302,.F.); #89971=ADVANCED_FACE('',(#23248,#1945),#4405,.F.); #89972=ADVANCED_FACE('',(#23249),#1667,.F.); #89973=ADVANCED_FACE('',(#23250),#85133,.T.); #89974=ADVANCED_FACE('',(#23251),#2303,.F.); #89975=ADVANCED_FACE('',(#23252),#85134,.T.); #89976=ADVANCED_FACE('',(#23253),#18434,.F.); #89977=ADVANCED_FACE('',(#23254),#85135,.T.); #89978=ADVANCED_FACE('',(#23255),#2304,.F.); #89979=ADVANCED_FACE('',(#23256),#85136,.T.); #89980=ADVANCED_FACE('',(#23257),#18435,.F.); #89981=ADVANCED_FACE('',(#23258),#1668,.T.); #89982=ADVANCED_FACE('',(#23259),#85137,.F.); #89983=ADVANCED_FACE('',(#23260),#4406,.T.); #89984=ADVANCED_FACE('',(#23261),#85138,.F.); #89985=ADVANCED_FACE('',(#23262),#2305,.T.); #89986=ADVANCED_FACE('',(#23263),#2306,.T.); #89987=ADVANCED_FACE('',(#23264),#85139,.F.); #89988=ADVANCED_FACE('',(#23265),#18436,.T.); #89989=ADVANCED_FACE('',(#23266),#18437,.T.); #89990=ADVANCED_FACE('',(#23267),#85140,.F.); #89991=ADVANCED_FACE('',(#23268),#1007,.T.); #89992=ADVANCED_FACE('',(#23269),#1008,.T.); #89993=ADVANCED_FACE('',(#23270),#85141,.T.); #89994=ADVANCED_FACE('',(#23271,#1946),#4407,.F.); #89995=ADVANCED_FACE('',(#23272),#2307,.T.); #89996=ADVANCED_FACE('',(#23273),#2308,.T.); #89997=ADVANCED_FACE('',(#23274),#18438,.T.); #89998=ADVANCED_FACE('',(#23275),#2309,.T.); #89999=ADVANCED_FACE('',(#23276),#18439,.T.); #90000=ADVANCED_FACE('',(#23277),#1009,.T.); #90001=ADVANCED_FACE('',(#23278),#1010,.T.); #90002=ADVANCED_FACE('',(#23279),#1011,.T.); #90003=ADVANCED_FACE('',(#23280),#1012,.T.); #90004=ADVANCED_FACE('',(#23281),#85142,.F.); #90005=ADVANCED_FACE('',(#23282),#1669,.T.); #90006=ADVANCED_FACE('',(#23283),#4408,.T.); #90007=ADVANCED_FACE('',(#23284),#85143,.F.); #90008=ADVANCED_FACE('',(#23285),#4409,.T.); #90009=ADVANCED_FACE('',(#23286),#85144,.F.); #90010=ADVANCED_FACE('',(#23287),#85145,.F.); #90011=ADVANCED_FACE('',(#23288),#1670,.F.); #90012=ADVANCED_FACE('',(#23289),#4410,.T.); #90013=ADVANCED_FACE('',(#23290),#18440,.T.); #90014=ADVANCED_FACE('',(#23291),#4411,.T.); #90015=ADVANCED_FACE('',(#23292),#4412,.T.); #90016=ADVANCED_FACE('',(#23293),#4413,.T.); #90017=ADVANCED_FACE('',(#23294),#4414,.T.); #90018=ADVANCED_FACE('',(#23295),#4415,.T.); #90019=ADVANCED_FACE('',(#23296),#4416,.T.); #90020=ADVANCED_FACE('',(#23297),#4417,.T.); #90021=ADVANCED_FACE('',(#23298),#4418,.T.); #90022=ADVANCED_FACE('',(#23299),#4419,.T.); #90023=ADVANCED_FACE('',(#23300),#4420,.T.); #90024=ADVANCED_FACE('',(#23301),#4421,.T.); #90025=ADVANCED_FACE('',(#23302),#4422,.T.); #90026=ADVANCED_FACE('',(#23303),#4423,.T.); #90027=ADVANCED_FACE('',(#23304),#4424,.T.); #90028=ADVANCED_FACE('',(#23305),#4425,.T.); #90029=ADVANCED_FACE('',(#23306),#4426,.T.); #90030=ADVANCED_FACE('',(#23307),#4427,.T.); #90031=ADVANCED_FACE('',(#23308),#4428,.T.); #90032=ADVANCED_FACE('',(#23309),#4429,.T.); #90033=ADVANCED_FACE('',(#23310),#4430,.T.); #90034=ADVANCED_FACE('',(#23311),#4431,.T.); #90035=ADVANCED_FACE('',(#23312),#4432,.T.); #90036=ADVANCED_FACE('',(#23313),#4433,.T.); #90037=ADVANCED_FACE('',(#23314),#4434,.T.); #90038=ADVANCED_FACE('',(#23315),#4435,.T.); #90039=ADVANCED_FACE('',(#23316),#4436,.T.); #90040=ADVANCED_FACE('',(#23317),#4437,.T.); #90041=ADVANCED_FACE('',(#23318),#4438,.T.); #90042=ADVANCED_FACE('',(#23319),#4439,.T.); #90043=ADVANCED_FACE('',(#23320),#4440,.T.); #90044=ADVANCED_FACE('',(#23321),#4441,.T.); #90045=ADVANCED_FACE('',(#23322),#4442,.T.); #90046=ADVANCED_FACE('',(#23323),#4443,.T.); #90047=ADVANCED_FACE('',(#23324),#4444,.T.); #90048=ADVANCED_FACE('',(#23325),#4445,.T.); #90049=ADVANCED_FACE('',(#23326),#4446,.T.); #90050=ADVANCED_FACE('',(#23327),#4447,.T.); #90051=ADVANCED_FACE('',(#23328),#4448,.T.); #90052=ADVANCED_FACE('',(#23329),#4449,.T.); #90053=ADVANCED_FACE('',(#23330),#4450,.T.); #90054=ADVANCED_FACE('',(#23331),#4451,.T.); #90055=ADVANCED_FACE('',(#23332),#4452,.T.); #90056=ADVANCED_FACE('',(#23333),#4453,.T.); #90057=ADVANCED_FACE('',(#23334),#4454,.T.); #90058=ADVANCED_FACE('',(#23335),#4455,.T.); #90059=ADVANCED_FACE('',(#23336),#4456,.T.); #90060=ADVANCED_FACE('',(#23337),#4457,.T.); #90061=ADVANCED_FACE('',(#23338),#4458,.T.); #90062=ADVANCED_FACE('',(#23339),#4459,.T.); #90063=ADVANCED_FACE('',(#23340),#4460,.T.); #90064=ADVANCED_FACE('',(#23341),#4461,.T.); #90065=ADVANCED_FACE('',(#23342),#4462,.T.); #90066=ADVANCED_FACE('',(#23343),#4463,.T.); #90067=ADVANCED_FACE('',(#23344),#18441,.T.); #90068=ADVANCED_FACE('',(#23345),#18442,.T.); #90069=ADVANCED_FACE('',(#23346),#18443,.T.); #90070=ADVANCED_FACE('',(#23347),#1013,.T.); #90071=ADVANCED_FACE('',(#23348),#18444,.T.); #90072=ADVANCED_FACE('',(#23349),#1014,.T.); #90073=ADVANCED_FACE('',(#23350),#1015,.T.); #90074=ADVANCED_FACE('',(#23351),#1016,.T.); #90075=ADVANCED_FACE('',(#23352),#4464,.F.); #90076=ADVANCED_FACE('',(#23353),#18445,.F.); #90077=ADVANCED_FACE('',(#23354),#2310,.F.); #90078=ADVANCED_FACE('',(#23355),#2311,.F.); #90079=ADVANCED_FACE('',(#23356),#18446,.F.); #90080=ADVANCED_FACE('',(#23357),#18447,.F.); #90081=ADVANCED_FACE('',(#23358),#2312,.F.); #90082=ADVANCED_FACE('',(#23359),#2313,.F.); #90083=ADVANCED_FACE('',(#23360),#18448,.F.); #90084=ADVANCED_FACE('',(#23361,#1947,#1948,#1949),#4465,.F.); #90085=ADVANCED_FACE('',(#23362),#18449,.T.); #90086=ADVANCED_FACE('',(#23363),#18450,.T.); #90087=ADVANCED_FACE('',(#23364),#18451,.T.); #90088=ADVANCED_FACE('',(#23365),#1017,.T.); #90089=ADVANCED_FACE('',(#23366),#18452,.T.); #90090=ADVANCED_FACE('',(#23367),#1018,.T.); #90091=ADVANCED_FACE('',(#23368),#1019,.T.); #90092=ADVANCED_FACE('',(#23369),#1020,.T.); #90093=ADVANCED_FACE('',(#23370),#4466,.F.); #90094=ADVANCED_FACE('',(#23371),#18453,.F.); #90095=ADVANCED_FACE('',(#23372),#2314,.F.); #90096=ADVANCED_FACE('',(#23373),#2315,.F.); #90097=ADVANCED_FACE('',(#23374),#18454,.F.); #90098=ADVANCED_FACE('',(#23375),#18455,.F.); #90099=ADVANCED_FACE('',(#23376),#2316,.F.); #90100=ADVANCED_FACE('',(#23377),#2317,.F.); #90101=ADVANCED_FACE('',(#23378),#18456,.F.); #90102=ADVANCED_FACE('',(#23379),#18457,.T.); #90103=ADVANCED_FACE('',(#23380),#18458,.T.); #90104=ADVANCED_FACE('',(#23381),#18459,.T.); #90105=ADVANCED_FACE('',(#23382),#1021,.T.); #90106=ADVANCED_FACE('',(#23383),#18460,.T.); #90107=ADVANCED_FACE('',(#23384),#1022,.T.); #90108=ADVANCED_FACE('',(#23385),#1023,.T.); #90109=ADVANCED_FACE('',(#23386),#1024,.T.); #90110=ADVANCED_FACE('',(#23387),#4467,.F.); #90111=ADVANCED_FACE('',(#23388),#2318,.F.); #90112=ADVANCED_FACE('',(#23389),#18461,.F.); #90113=ADVANCED_FACE('',(#23390),#18462,.F.); #90114=ADVANCED_FACE('',(#23391),#2319,.F.); #90115=ADVANCED_FACE('',(#23392),#2320,.F.); #90116=ADVANCED_FACE('',(#23393),#18463,.F.); #90117=ADVANCED_FACE('',(#23394),#18464,.F.); #90118=ADVANCED_FACE('',(#23395),#2321,.F.); #90119=ADVANCED_FACE('',(#23396),#1671,.T.); #90120=ADVANCED_FACE('',(#23397),#18465,.T.); #90121=ADVANCED_FACE('',(#23398),#4468,.T.); #90122=ADVANCED_FACE('',(#23399),#1672,.T.); #90123=ADVANCED_FACE('',(#23400),#1673,.T.); #90124=ADVANCED_FACE('',(#23401),#1674,.T.); #90125=ADVANCED_FACE('',(#23402),#1675,.T.); #90126=ADVANCED_FACE('',(#23403),#1676,.T.); #90127=ADVANCED_FACE('',(#23404),#1677,.T.); #90128=ADVANCED_FACE('',(#23405),#4469,.T.); #90129=ADVANCED_FACE('',(#23406),#4470,.T.); #90130=ADVANCED_FACE('',(#23407),#4471,.T.); #90131=ADVANCED_FACE('',(#23408),#4472,.T.); #90132=ADVANCED_FACE('',(#23409),#4473,.T.); #90133=ADVANCED_FACE('',(#23410),#4474,.T.); #90134=ADVANCED_FACE('',(#23411),#2322,.T.); #90135=ADVANCED_FACE('',(#23412),#18466,.T.); #90136=ADVANCED_FACE('',(#23413),#4475,.T.); #90137=ADVANCED_FACE('',(#23414),#85146,.F.); #90138=ADVANCED_FACE('',(#23415),#4476,.T.); #90139=ADVANCED_FACE('',(#23416),#4477,.F.); #90140=ADVANCED_FACE('',(#23417),#85147,.F.); #90141=ADVANCED_FACE('',(#23418),#85148,.F.); #90142=ADVANCED_FACE('',(#23419),#85149,.F.); #90143=ADVANCED_FACE('',(#23420),#85150,.F.); #90144=ADVANCED_FACE('',(#23421),#4478,.T.); #90145=ADVANCED_FACE('',(#23422),#4479,.F.); #90146=ADVANCED_FACE('',(#23423),#85151,.F.); #90147=ADVANCED_FACE('',(#23424),#85152,.F.); #90148=ADVANCED_FACE('',(#23425),#85153,.F.); #90149=ADVANCED_FACE('',(#23426),#85154,.F.); #90150=ADVANCED_FACE('',(#23427),#4480,.T.); #90151=ADVANCED_FACE('',(#23428),#4481,.F.); #90152=ADVANCED_FACE('',(#23429),#85155,.F.); #90153=ADVANCED_FACE('',(#23430),#85156,.F.); #90154=ADVANCED_FACE('',(#23431),#85157,.F.); #90155=ADVANCED_FACE('',(#23432),#85158,.F.); #90156=ADVANCED_FACE('',(#23433),#4482,.T.); #90157=ADVANCED_FACE('',(#23434),#4483,.F.); #90158=ADVANCED_FACE('',(#23435),#85159,.F.); #90159=ADVANCED_FACE('',(#23436),#85160,.F.); #90160=ADVANCED_FACE('',(#23437),#85161,.F.); #90161=ADVANCED_FACE('',(#23438),#85162,.F.); #90162=ADVANCED_FACE('',(#23439),#4484,.T.); #90163=ADVANCED_FACE('',(#23440),#4485,.F.); #90164=ADVANCED_FACE('',(#23441),#85163,.F.); #90165=ADVANCED_FACE('',(#23442),#85164,.F.); #90166=ADVANCED_FACE('',(#23443),#85165,.F.); #90167=ADVANCED_FACE('',(#23444,#1950),#4486,.T.); #90168=ADVANCED_FACE('',(#23445),#18467,.F.); #90169=ADVANCED_FACE('',(#23446),#18468,.F.); #90170=ADVANCED_FACE('',(#23447),#18469,.F.); #90171=ADVANCED_FACE('',(#23448),#18470,.F.); #90172=ADVANCED_FACE('',(#23449),#18471,.F.); #90173=ADVANCED_FACE('',(#23450),#18472,.F.); #90174=ADVANCED_FACE('',(#23451),#18473,.F.); #90175=ADVANCED_FACE('',(#23452),#18474,.F.); #90176=ADVANCED_FACE('',(#23453),#18475,.F.); #90177=ADVANCED_FACE('',(#23454),#18476,.F.); #90178=ADVANCED_FACE('',(#23455),#18477,.F.); #90179=ADVANCED_FACE('',(#23456),#85166,.F.); #90180=ADVANCED_FACE('',(#23457),#4487,.T.); #90181=ADVANCED_FACE('',(#23458),#4488,.F.); #90182=ADVANCED_FACE('',(#23459),#85167,.F.); #90183=ADVANCED_FACE('',(#23460),#85168,.F.); #90184=ADVANCED_FACE('',(#23461),#85169,.F.); #90185=ADVANCED_FACE('',(#23462),#18478,.F.); #90186=ADVANCED_FACE('',(#23463),#4489,.F.); #90187=ADVANCED_FACE('',(#23464),#4490,.T.); #90188=ADVANCED_FACE('',(#23465),#85170,.F.); #90189=ADVANCED_FACE('',(#23466),#85171,.F.); #90190=ADVANCED_FACE('',(#23467),#4491,.F.); #90191=ADVANCED_FACE('',(#23468),#4492,.F.); #90192=ADVANCED_FACE('',(#23469),#4493,.T.); #90193=ADVANCED_FACE('',(#23470),#85172,.F.); #90194=ADVANCED_FACE('',(#23471),#85173,.F.); #90195=ADVANCED_FACE('',(#23472),#4494,.F.); #90196=ADVANCED_FACE('',(#23473),#4495,.F.); #90197=ADVANCED_FACE('',(#23474),#4496,.T.); #90198=ADVANCED_FACE('',(#23475),#85174,.F.); #90199=ADVANCED_FACE('',(#23476),#85175,.F.); #90200=ADVANCED_FACE('',(#23477),#4497,.F.); #90201=ADVANCED_FACE('',(#23478),#4498,.F.); #90202=ADVANCED_FACE('',(#23479),#4499,.T.); #90203=ADVANCED_FACE('',(#23480),#85176,.F.); #90204=ADVANCED_FACE('',(#23481),#85177,.F.); #90205=ADVANCED_FACE('',(#23482),#4500,.F.); #90206=ADVANCED_FACE('',(#23483),#4501,.F.); #90207=ADVANCED_FACE('',(#23484),#4502,.T.); #90208=ADVANCED_FACE('',(#23485),#85178,.F.); #90209=ADVANCED_FACE('',(#23486),#85179,.F.); #90210=ADVANCED_FACE('',(#23487),#4503,.F.); #90211=ADVANCED_FACE('',(#23488),#4504,.F.); #90212=ADVANCED_FACE('',(#23489),#4505,.T.); #90213=ADVANCED_FACE('',(#23490),#85180,.F.); #90214=ADVANCED_FACE('',(#23491),#85181,.F.); #90215=ADVANCED_FACE('',(#23492),#4506,.F.); #90216=ADVANCED_FACE('',(#23493),#4507,.F.); #90217=ADVANCED_FACE('',(#23494),#4508,.T.); #90218=ADVANCED_FACE('',(#23495),#85182,.F.); #90219=ADVANCED_FACE('',(#23496),#85183,.F.); #90220=ADVANCED_FACE('',(#23497),#4509,.F.); #90221=ADVANCED_FACE('',(#23498),#4510,.F.); #90222=ADVANCED_FACE('',(#23499),#4511,.T.); #90223=ADVANCED_FACE('',(#23500),#85184,.F.); #90224=ADVANCED_FACE('',(#23501),#85185,.F.); #90225=ADVANCED_FACE('',(#23502),#4512,.F.); #90226=ADVANCED_FACE('',(#23503),#4513,.F.); #90227=ADVANCED_FACE('',(#23504),#4514,.T.); #90228=ADVANCED_FACE('',(#23505),#85186,.F.); #90229=ADVANCED_FACE('',(#23506),#85187,.F.); #90230=ADVANCED_FACE('',(#23507),#4515,.F.); #90231=ADVANCED_FACE('',(#23508),#4516,.F.); #90232=ADVANCED_FACE('',(#23509),#4517,.T.); #90233=ADVANCED_FACE('',(#23510),#85188,.F.); #90234=ADVANCED_FACE('',(#23511),#85189,.F.); #90235=ADVANCED_FACE('',(#23512),#4518,.F.); #90236=ADVANCED_FACE('',(#23513),#4519,.F.); #90237=ADVANCED_FACE('',(#23514),#4520,.T.); #90238=ADVANCED_FACE('',(#23515),#85190,.F.); #90239=ADVANCED_FACE('',(#23516),#85191,.F.); #90240=ADVANCED_FACE('',(#23517),#4521,.F.); #90241=ADVANCED_FACE('',(#23518),#18479,.T.); #90242=ADVANCED_FACE('',(#23519),#18480,.T.); #90243=ADVANCED_FACE('',(#23520),#18481,.T.); #90244=ADVANCED_FACE('',(#23521),#18482,.T.); #90245=ADVANCED_FACE('',(#23522),#18483,.T.); #90246=ADVANCED_FACE('',(#23523),#18484,.T.); #90247=ADVANCED_FACE('',(#23524),#18485,.T.); #90248=ADVANCED_FACE('',(#23525),#18486,.T.); #90249=ADVANCED_FACE('',(#23526),#18487,.T.); #90250=ADVANCED_FACE('',(#23527),#18488,.T.); #90251=ADVANCED_FACE('',(#23528),#18489,.T.); #90252=ADVANCED_FACE('',(#23529),#4522,.F.); #90253=ADVANCED_FACE('',(#23530),#4523,.T.); #90254=ADVANCED_FACE('',(#23531),#85192,.F.); #90255=ADVANCED_FACE('',(#23532),#85193,.F.); #90256=ADVANCED_FACE('',(#23533),#4524,.F.); #90257=ADVANCED_FACE('',(#23534),#18490,.T.); #90258=ADVANCED_FACE('',(#23535,#1951),#4525,.T.); #90259=ADVANCED_FACE('',(#23536),#18491,.T.); #90260=ADVANCED_FACE('',(#23537),#4526,.T.); #90261=ADVANCED_FACE('',(#23538),#4527,.T.); #90262=ADVANCED_FACE('',(#23539),#4528,.T.); #90263=ADVANCED_FACE('',(#23540),#85194,.T.); #90264=ADVANCED_FACE('',(#23541),#85195,.T.); #90265=ADVANCED_FACE('',(#23542),#4529,.T.); #90266=ADVANCED_FACE('',(#23543),#4530,.T.); #90267=ADVANCED_FACE('',(#23544),#4531,.T.); #90268=ADVANCED_FACE('',(#23545),#4532,.T.); #90269=ADVANCED_FACE('',(#23546),#4533,.T.); #90270=ADVANCED_FACE('',(#23547),#4534,.T.); #90271=ADVANCED_FACE('',(#23548),#85196,.T.); #90272=ADVANCED_FACE('',(#23549),#85197,.T.); #90273=ADVANCED_FACE('',(#23550),#4535,.T.); #90274=ADVANCED_FACE('',(#23551),#4536,.T.); #90275=ADVANCED_FACE('',(#23552),#4537,.T.); #90276=ADVANCED_FACE('',(#23553),#4538,.T.); #90277=ADVANCED_FACE('',(#23554),#4539,.T.); #90278=ADVANCED_FACE('',(#23555),#4540,.T.); #90279=ADVANCED_FACE('',(#23556),#4541,.T.); #90280=ADVANCED_FACE('',(#23557),#4542,.T.); #90281=ADVANCED_FACE('',(#23558),#4543,.T.); #90282=ADVANCED_FACE('',(#23559),#18492,.T.); #90283=ADVANCED_FACE('',(#23560),#18493,.T.); #90284=ADVANCED_FACE('',(#23561),#4544,.T.); #90285=ADVANCED_FACE('',(#23562),#4545,.T.); #90286=ADVANCED_FACE('',(#23563),#4546,.T.); #90287=ADVANCED_FACE('',(#23564),#4547,.T.); #90288=ADVANCED_FACE('',(#23565),#4548,.T.); #90289=ADVANCED_FACE('',(#23566),#4549,.T.); #90290=ADVANCED_FACE('',(#23567),#4550,.T.); #90291=ADVANCED_FACE('',(#23568),#4551,.T.); #90292=ADVANCED_FACE('',(#23569),#4552,.T.); #90293=ADVANCED_FACE('',(#23570),#4553,.T.); #90294=ADVANCED_FACE('',(#23571),#4554,.T.); #90295=ADVANCED_FACE('',(#23572),#4555,.T.); #90296=ADVANCED_FACE('',(#23573),#18494,.T.); #90297=ADVANCED_FACE('',(#23574),#18495,.T.); #90298=ADVANCED_FACE('',(#23575),#4556,.T.); #90299=ADVANCED_FACE('',(#23576),#4557,.T.); #90300=ADVANCED_FACE('',(#23577),#4558,.T.); #90301=ADVANCED_FACE('',(#23578),#4559,.T.); #90302=ADVANCED_FACE('',(#23579),#4560,.T.); #90303=ADVANCED_FACE('',(#23580),#4561,.T.); #90304=ADVANCED_FACE('',(#23581),#4562,.T.); #90305=ADVANCED_FACE('',(#23582),#4563,.T.); #90306=ADVANCED_FACE('',(#23583),#4564,.T.); #90307=ADVANCED_FACE('',(#23584),#85198,.T.); #90308=ADVANCED_FACE('',(#23585),#85199,.T.); #90309=ADVANCED_FACE('',(#23586),#4565,.T.); #90310=ADVANCED_FACE('',(#23587),#4566,.T.); #90311=ADVANCED_FACE('',(#23588),#4567,.T.); #90312=ADVANCED_FACE('',(#23589),#4568,.T.); #90313=ADVANCED_FACE('',(#23590),#4569,.T.); #90314=ADVANCED_FACE('',(#23591),#4570,.T.); #90315=ADVANCED_FACE('',(#23592),#85200,.T.); #90316=ADVANCED_FACE('',(#23593),#85201,.T.); #90317=ADVANCED_FACE('',(#23594),#4571,.T.); #90318=ADVANCED_FACE('',(#23595),#4572,.T.); #90319=ADVANCED_FACE('',(#23596),#4573,.T.); #90320=ADVANCED_FACE('',(#23597),#18496,.T.); #90321=ADVANCED_FACE('',(#23598),#18497,.T.); #90322=ADVANCED_FACE('',(#23599),#4574,.T.); #90323=ADVANCED_FACE('',(#23600),#4575,.T.); #90324=ADVANCED_FACE('',(#23601),#4576,.T.); #90325=ADVANCED_FACE('',(#23602),#85202,.T.); #90326=ADVANCED_FACE('',(#23603),#85203,.T.); #90327=ADVANCED_FACE('',(#23604),#4577,.T.); #90328=ADVANCED_FACE('',(#23605),#4578,.T.); #90329=ADVANCED_FACE('',(#23606),#4579,.T.); #90330=ADVANCED_FACE('',(#23607),#4580,.T.); #90331=ADVANCED_FACE('',(#23608),#4581,.T.); #90332=ADVANCED_FACE('',(#23609),#4582,.T.); #90333=ADVANCED_FACE('',(#23610),#85204,.T.); #90334=ADVANCED_FACE('',(#23611),#85205,.T.); #90335=ADVANCED_FACE('',(#23612),#4583,.T.); #90336=ADVANCED_FACE('',(#23613),#4584,.T.); #90337=ADVANCED_FACE('',(#23614),#4585,.T.); #90338=ADVANCED_FACE('',(#23615),#4586,.T.); #90339=ADVANCED_FACE('',(#23616),#4587,.T.); #90340=ADVANCED_FACE('',(#23617),#4588,.T.); #90341=ADVANCED_FACE('',(#23618),#85206,.T.); #90342=ADVANCED_FACE('',(#23619),#85207,.T.); #90343=ADVANCED_FACE('',(#23620),#4589,.T.); #90344=ADVANCED_FACE('',(#23621),#4590,.T.); #90345=ADVANCED_FACE('',(#23622),#4591,.T.); #90346=ADVANCED_FACE('',(#23623),#4592,.T.); #90347=ADVANCED_FACE('',(#23624),#4593,.T.); #90348=ADVANCED_FACE('',(#23625),#4594,.T.); #90349=ADVANCED_FACE('',(#23626),#85208,.T.); #90350=ADVANCED_FACE('',(#23627),#85209,.T.); #90351=ADVANCED_FACE('',(#23628),#4595,.T.); #90352=ADVANCED_FACE('',(#23629),#4596,.T.); #90353=ADVANCED_FACE('',(#23630),#4597,.T.); #90354=ADVANCED_FACE('',(#23631),#18498,.T.); #90355=ADVANCED_FACE('',(#23632),#18499,.T.); #90356=ADVANCED_FACE('',(#23633),#4598,.T.); #90357=ADVANCED_FACE('',(#23634),#4599,.T.); #90358=ADVANCED_FACE('',(#23635),#4600,.T.); #90359=ADVANCED_FACE('',(#23636),#85210,.T.); #90360=ADVANCED_FACE('',(#23637),#85211,.T.); #90361=ADVANCED_FACE('',(#23638),#4601,.T.); #90362=ADVANCED_FACE('',(#23639),#4602,.T.); #90363=ADVANCED_FACE('',(#23640),#4603,.T.); #90364=ADVANCED_FACE('',(#23641),#4604,.T.); #90365=ADVANCED_FACE('',(#23642),#4605,.T.); #90366=ADVANCED_FACE('',(#23643),#4606,.T.); #90367=ADVANCED_FACE('',(#23644),#85212,.T.); #90368=ADVANCED_FACE('',(#23645),#85213,.T.); #90369=ADVANCED_FACE('',(#23646),#4607,.T.); #90370=ADVANCED_FACE('',(#23647),#4608,.T.); #90371=ADVANCED_FACE('',(#23648),#4609,.T.); #90372=ADVANCED_FACE('',(#23649),#4610,.T.); #90373=ADVANCED_FACE('',(#23650),#4611,.T.); #90374=ADVANCED_FACE('',(#23651),#4612,.T.); #90375=ADVANCED_FACE('',(#23652),#85214,.T.); #90376=ADVANCED_FACE('',(#23653),#85215,.T.); #90377=ADVANCED_FACE('',(#23654),#4613,.T.); #90378=ADVANCED_FACE('',(#23655),#4614,.T.); #90379=ADVANCED_FACE('',(#23656),#4615,.T.); #90380=ADVANCED_FACE('',(#23657),#4616,.T.); #90381=ADVANCED_FACE('',(#23658),#4617,.T.); #90382=ADVANCED_FACE('',(#23659),#4618,.T.); #90383=ADVANCED_FACE('',(#23660),#85216,.T.); #90384=ADVANCED_FACE('',(#23661),#85217,.T.); #90385=ADVANCED_FACE('',(#23662),#4619,.T.); #90386=ADVANCED_FACE('',(#23663),#4620,.T.); #90387=ADVANCED_FACE('',(#23664),#4621,.T.); #90388=ADVANCED_FACE('',(#23665),#18500,.T.); #90389=ADVANCED_FACE('',(#23666),#18501,.T.); #90390=ADVANCED_FACE('',(#23667),#4622,.T.); #90391=ADVANCED_FACE('',(#23668),#4623,.T.); #90392=ADVANCED_FACE('',(#23669),#4624,.T.); #90393=ADVANCED_FACE('',(#23670),#85218,.T.); #90394=ADVANCED_FACE('',(#23671),#85219,.T.); #90395=ADVANCED_FACE('',(#23672),#4625,.T.); #90396=ADVANCED_FACE('',(#23673),#4626,.T.); #90397=ADVANCED_FACE('',(#23674),#4627,.T.); #90398=ADVANCED_FACE('',(#23675),#4628,.T.); #90399=ADVANCED_FACE('',(#23676),#4629,.T.); #90400=ADVANCED_FACE('',(#23677),#4630,.T.); #90401=ADVANCED_FACE('',(#23678),#85220,.T.); #90402=ADVANCED_FACE('',(#23679),#85221,.T.); #90403=ADVANCED_FACE('',(#23680),#4631,.T.); #90404=ADVANCED_FACE('',(#23681),#4632,.T.); #90405=ADVANCED_FACE('',(#23682),#4633,.T.); #90406=ADVANCED_FACE('',(#23683),#4634,.T.); #90407=ADVANCED_FACE('',(#23684),#4635,.T.); #90408=ADVANCED_FACE('',(#23685),#4636,.T.); #90409=ADVANCED_FACE('',(#23686),#85222,.T.); #90410=ADVANCED_FACE('',(#23687),#85223,.T.); #90411=ADVANCED_FACE('',(#23688),#4637,.T.); #90412=ADVANCED_FACE('',(#23689),#4638,.T.); #90413=ADVANCED_FACE('',(#23690),#4639,.T.); #90414=ADVANCED_FACE('',(#23691),#4640,.T.); #90415=ADVANCED_FACE('',(#23692),#4641,.T.); #90416=ADVANCED_FACE('',(#23693),#4642,.T.); #90417=ADVANCED_FACE('',(#23694),#85224,.T.); #90418=ADVANCED_FACE('',(#23695),#85225,.T.); #90419=ADVANCED_FACE('',(#23696),#4643,.T.); #90420=ADVANCED_FACE('',(#23697),#4644,.T.); #90421=ADVANCED_FACE('',(#23698),#4645,.T.); #90422=ADVANCED_FACE('',(#23699),#18502,.T.); #90423=ADVANCED_FACE('',(#23700),#18503,.T.); #90424=ADVANCED_FACE('',(#23701),#4646,.T.); #90425=ADVANCED_FACE('',(#23702),#4647,.T.); #90426=ADVANCED_FACE('',(#23703),#4648,.T.); #90427=ADVANCED_FACE('',(#23704),#85226,.T.); #90428=ADVANCED_FACE('',(#23705),#85227,.T.); #90429=ADVANCED_FACE('',(#23706),#4649,.T.); #90430=ADVANCED_FACE('',(#23707),#4650,.T.); #90431=ADVANCED_FACE('',(#23708),#4651,.T.); #90432=ADVANCED_FACE('',(#23709),#4652,.T.); #90433=ADVANCED_FACE('',(#23710),#4653,.T.); #90434=ADVANCED_FACE('',(#23711),#4654,.T.); #90435=ADVANCED_FACE('',(#23712),#85228,.T.); #90436=ADVANCED_FACE('',(#23713),#85229,.T.); #90437=ADVANCED_FACE('',(#23714),#4655,.T.); #90438=ADVANCED_FACE('',(#23715),#4656,.T.); #90439=ADVANCED_FACE('',(#23716),#4657,.T.); #90440=ADVANCED_FACE('',(#23717),#4658,.T.); #90441=ADVANCED_FACE('',(#23718),#4659,.T.); #90442=ADVANCED_FACE('',(#23719),#4660,.T.); #90443=ADVANCED_FACE('',(#23720),#85230,.T.); #90444=ADVANCED_FACE('',(#23721),#85231,.T.); #90445=ADVANCED_FACE('',(#23722),#4661,.T.); #90446=ADVANCED_FACE('',(#23723),#4662,.T.); #90447=ADVANCED_FACE('',(#23724),#4663,.T.); #90448=ADVANCED_FACE('',(#23725),#4664,.T.); #90449=ADVANCED_FACE('',(#23726),#4665,.T.); #90450=ADVANCED_FACE('',(#23727),#4666,.T.); #90451=ADVANCED_FACE('',(#23728),#85232,.T.); #90452=ADVANCED_FACE('',(#23729),#85233,.T.); #90453=ADVANCED_FACE('',(#23730),#4667,.T.); #90454=ADVANCED_FACE('',(#23731),#4668,.T.); #90455=ADVANCED_FACE('',(#23732),#4669,.T.); #90456=ADVANCED_FACE('',(#23733),#18504,.T.); #90457=ADVANCED_FACE('',(#23734),#4670,.T.); #90458=ADVANCED_FACE('',(#23735),#18505,.T.); #90459=ADVANCED_FACE('',(#23736),#4671,.T.); #90460=ADVANCED_FACE('',(#23737),#18506,.T.); #90461=ADVANCED_FACE('',(#23738,#1952,#1953,#1954,#1955,#1956,#1957,#1958, #1959,#1960,#1961,#1962,#1963,#1964,#1965),#18507,.T.); #90462=ADVANCED_FACE('',(#23739),#4672,.T.); #90463=ADVANCED_FACE('',(#23740),#18508,.T.); #90464=ADVANCED_FACE('',(#23741),#4673,.T.); #90465=ADVANCED_FACE('',(#23742),#18509,.T.); #90466=ADVANCED_FACE('',(#23743),#18510,.F.); #90467=ADVANCED_FACE('',(#23744),#2323,.F.); #90468=ADVANCED_FACE('',(#23745),#1678,.F.); #90469=ADVANCED_FACE('',(#23746),#2324,.T.); #90470=ADVANCED_FACE('',(#23747),#2325,.T.); #90471=ADVANCED_FACE('',(#23748),#2326,.T.); #90472=ADVANCED_FACE('',(#23749),#1679,.T.); #90473=ADVANCED_FACE('',(#23750),#2327,.F.); #90474=ADVANCED_FACE('',(#23751),#2328,.T.); #90475=ADVANCED_FACE('',(#23752),#2329,.T.); #90476=ADVANCED_FACE('',(#23753),#2330,.T.); #90477=ADVANCED_FACE('',(#23754),#2331,.F.); #90478=ADVANCED_FACE('',(#23755),#1680,.F.); #90479=ADVANCED_FACE('',(#23756),#2332,.T.); #90480=ADVANCED_FACE('',(#23757),#2333,.T.); #90481=ADVANCED_FACE('',(#23758),#2334,.T.); #90482=ADVANCED_FACE('',(#23759),#1681,.T.); #90483=ADVANCED_FACE('',(#23760),#2335,.F.); #90484=ADVANCED_FACE('',(#23761),#2336,.T.); #90485=ADVANCED_FACE('',(#23762),#2337,.T.); #90486=ADVANCED_FACE('',(#23763),#2338,.T.); #90487=ADVANCED_FACE('',(#23764),#2339,.F.); #90488=ADVANCED_FACE('',(#23765),#1682,.F.); #90489=ADVANCED_FACE('',(#23766),#2340,.T.); #90490=ADVANCED_FACE('',(#23767),#2341,.T.); #90491=ADVANCED_FACE('',(#23768),#2342,.T.); #90492=ADVANCED_FACE('',(#23769),#1683,.T.); #90493=ADVANCED_FACE('',(#23770),#2343,.F.); #90494=ADVANCED_FACE('',(#23771),#2344,.T.); #90495=ADVANCED_FACE('',(#23772),#2345,.T.); #90496=ADVANCED_FACE('',(#23773),#2346,.T.); #90497=ADVANCED_FACE('',(#23774),#2347,.F.); #90498=ADVANCED_FACE('',(#23775),#1684,.F.); #90499=ADVANCED_FACE('',(#23776),#2348,.T.); #90500=ADVANCED_FACE('',(#23777),#2349,.T.); #90501=ADVANCED_FACE('',(#23778),#2350,.T.); #90502=ADVANCED_FACE('',(#23779),#1685,.T.); #90503=ADVANCED_FACE('',(#23780),#2351,.F.); #90504=ADVANCED_FACE('',(#23781),#2352,.T.); #90505=ADVANCED_FACE('',(#23782),#2353,.T.); #90506=ADVANCED_FACE('',(#23783),#2354,.T.); #90507=ADVANCED_FACE('',(#23784),#2355,.F.); #90508=ADVANCED_FACE('',(#23785),#1686,.F.); #90509=ADVANCED_FACE('',(#23786),#2356,.T.); #90510=ADVANCED_FACE('',(#23787),#2357,.T.); #90511=ADVANCED_FACE('',(#23788),#2358,.T.); #90512=ADVANCED_FACE('',(#23789),#1687,.T.); #90513=ADVANCED_FACE('',(#23790),#2359,.F.); #90514=ADVANCED_FACE('',(#23791),#2360,.T.); #90515=ADVANCED_FACE('',(#23792),#2361,.T.); #90516=ADVANCED_FACE('',(#23793),#2362,.T.); #90517=ADVANCED_FACE('',(#23794),#2363,.F.); #90518=ADVANCED_FACE('',(#23795),#1688,.F.); #90519=ADVANCED_FACE('',(#23796),#2364,.T.); #90520=ADVANCED_FACE('',(#23797),#2365,.T.); #90521=ADVANCED_FACE('',(#23798),#2366,.T.); #90522=ADVANCED_FACE('',(#23799),#1689,.T.); #90523=ADVANCED_FACE('',(#23800),#2367,.F.); #90524=ADVANCED_FACE('',(#23801),#2368,.T.); #90525=ADVANCED_FACE('',(#23802),#2369,.T.); #90526=ADVANCED_FACE('',(#23803),#2370,.T.); #90527=ADVANCED_FACE('',(#23804),#2371,.F.); #90528=ADVANCED_FACE('',(#23805),#1690,.F.); #90529=ADVANCED_FACE('',(#23806),#2372,.T.); #90530=ADVANCED_FACE('',(#23807),#2373,.T.); #90531=ADVANCED_FACE('',(#23808),#2374,.T.); #90532=ADVANCED_FACE('',(#23809),#1691,.T.); #90533=ADVANCED_FACE('',(#23810),#2375,.F.); #90534=ADVANCED_FACE('',(#23811),#2376,.T.); #90535=ADVANCED_FACE('',(#23812),#2377,.T.); #90536=ADVANCED_FACE('',(#23813),#2378,.T.); #90537=ADVANCED_FACE('',(#23814),#2379,.F.); #90538=ADVANCED_FACE('',(#23815),#1692,.F.); #90539=ADVANCED_FACE('',(#23816),#2380,.T.); #90540=ADVANCED_FACE('',(#23817),#2381,.T.); #90541=ADVANCED_FACE('',(#23818),#2382,.T.); #90542=ADVANCED_FACE('',(#23819),#1693,.T.); #90543=ADVANCED_FACE('',(#23820),#2383,.F.); #90544=ADVANCED_FACE('',(#23821),#2384,.T.); #90545=ADVANCED_FACE('',(#23822),#2385,.T.); #90546=ADVANCED_FACE('',(#23823),#2386,.T.); #90547=CLOSED_SHELL('',(#85234,#85235,#85236,#85237,#85238,#85239,#85240, #85241,#85242,#85243,#85244,#85245,#85246,#85247,#85248,#85249,#85250,#85251, #85252,#85253,#85254,#85255,#85256,#85257,#85258,#85259,#85260,#85261,#85262, #85263,#85264,#85265,#85266,#85267,#85268,#85269,#85270,#85271,#85272,#85273, #85274,#85275,#85276,#85277,#85278,#85279,#85280,#85281,#85282,#85283,#85284, #85285,#85286,#85287,#85288,#85289,#85290,#85291,#85292,#85293,#85294,#85295, #85296,#85297,#85298,#85299,#85300,#85301,#85302,#85303,#85304,#85305,#85306, #85307,#85308,#85309,#85310,#85311,#85312,#85313,#85314,#85315,#85316,#85317, #85318,#85319,#85320,#85321,#85322,#85323,#85324,#85325,#85326,#85327,#85328, #85329,#85330,#85331,#85332,#85333,#85334,#85335,#85336,#85337,#85338,#85339, #85340,#85341,#85342,#85343,#85344,#85345,#85346,#85347,#85348,#85349,#85350, #85351,#85352,#85353,#85354,#85355,#85356,#85357,#85358,#85359,#85360,#85361, #85362,#85363,#85364,#85365,#85366,#85367,#85368,#85369,#85370,#85371,#85372, #85373,#85374,#85375,#85376,#85377,#85378,#85379,#85380,#85381,#85382,#85383, #85384,#85385,#85386,#85387,#85388,#85389,#85390,#85391,#85392,#85393,#85394, #85395,#85396,#85397,#85398,#85399,#85400,#85401,#85402,#85403,#85404,#85405, #85406,#85407,#85408,#85409,#85410,#85411,#85412,#85413,#85414,#85415,#85416, #85417,#85418,#85419,#85420,#85421,#85422,#85423,#85424,#85425,#85426,#85427, #85428,#85429,#85430,#85431,#85432,#85433,#85434,#85435,#85436,#85437,#85438, #85439,#85440,#85441,#85442,#85443,#85444,#85445,#85446,#85447,#85448,#85449, #85450,#85451,#85452,#85453,#85454,#85455,#85456,#85457,#85458,#85459,#85460, #85461,#85462,#85463,#85464,#85465,#85466,#85467,#85468,#85469,#85470,#85471, #85472,#85473,#85474,#85475,#85476,#85477,#85478,#85479,#85480,#85481,#85482, #85483,#85484,#85485,#85486,#85487,#85488,#85489,#85490,#85491,#85492,#85493, #85494,#85495,#85496,#85497,#85498,#85499,#85500,#85501,#85502,#85503,#85504, #85505,#85506,#85507,#85508,#85509,#85510,#85511,#85512,#85513,#85514,#85515, #85516,#85517,#85518,#85519,#85520,#85521,#85522,#85523,#85524,#85525,#85526, #85527,#85528,#85529,#85530,#85531,#85532,#85533,#85534,#85535,#85536,#85537, #85538,#85539,#85540,#85541,#85542,#85543,#85544,#85545,#85546,#85547,#85548, #85549,#85550,#85551,#85552,#85553,#85554,#85555,#85556,#85557,#85558,#85559, #85560,#85561,#85562,#85563,#85564,#85565,#85566,#85567,#85568,#85569,#85570, #85571,#85572,#85573,#85574,#85575,#85576,#85577,#85578,#85579,#85580,#85581, #85582,#85583,#85584,#85585,#85586,#85587,#85588,#85589,#85590,#85591,#85592, #85593,#85594,#85595,#85596,#85597,#85598,#85599,#85600,#85601,#85602,#85603, #85604,#85605,#85606,#85607,#85608,#85609,#85610,#85611,#85612,#85613,#85614, #85615,#85616,#85617,#85618,#85619,#85620,#85621,#85622,#85623,#85624,#85625, #85626,#85627,#85628,#85629,#85630,#85631,#85632,#85633,#85634,#85635,#85636, #85637,#85638,#85639,#85640,#85641,#85642,#85643,#85644,#85645,#85646,#85647, #85648,#85649,#85650,#85651,#85652,#85653,#85654,#85655,#85656,#85657,#85658, #85659,#85660,#85661,#85662,#85663,#85664,#85665,#85666,#85667,#85668,#85669, #85670,#85671,#85672,#85673,#85674,#85675,#85676,#85677,#85678,#85679,#85680, #85681,#85682,#85683,#85684,#85685,#85686,#85687,#85688,#85689,#85690,#85691, #85692,#85693,#85694,#85695,#85696,#85697,#85698,#85699,#85700,#85701,#85702, #85703,#85704,#85705,#85706,#85707,#85708,#85709,#85710,#85711,#85712,#85713, #85714,#85715,#85716,#85717,#85718,#85719,#85720,#85721,#85722,#85723,#85724, #85725,#85726,#85727,#85728,#85729,#85730,#85731,#85732,#85733,#85734,#85735, #85736,#85737,#85738,#85739,#85740,#85741,#85742,#85743,#85744,#85745,#85746, #85747,#85748,#85749,#85750,#85751,#85752,#85753,#85754,#85755,#85756,#85757, #85758,#85759,#85760,#85761,#85762,#85763,#85764,#85765,#85766,#85767,#85768, #85769,#85770,#85771,#85772,#85773,#85774,#85775,#85776,#85777,#85778,#85779, #85780,#85781,#85782,#85783,#85784,#85785,#85786,#85787,#85788,#85789,#85790, #85791,#85792,#85793,#85794,#85795,#85796,#85797,#85798,#85799,#85800,#85801, #85802,#85803,#85804,#85805,#85806,#85807,#85808,#85809,#85810,#85811,#85812, #85813,#85814,#85815,#85816,#85817,#85818,#85819,#85820,#85821,#85822,#85823, #85824,#85825,#85826,#85827,#85828,#85829,#85830,#85831,#85832,#85833,#85834, #85835,#85836,#85837,#85838,#85839,#85840,#85841,#85842,#85843,#85844,#85845, #85846,#85847,#85848,#85849,#85850,#85851,#85852,#85853,#85854,#85855,#85856, #85857,#85858,#85859,#85860,#85861,#85862,#85863,#85864,#85865,#85866,#85867, #85868,#85869,#85870,#85871,#85872,#85873,#85874,#85875,#85876,#85877,#85878, #85879,#85880,#85881,#85882,#85883,#85884,#85885,#85886,#85887,#85888,#85889, #85890,#85891,#85892,#85893,#85894,#85895,#85896,#85897,#85898,#85899,#85900, #85901,#85902,#85903,#85904,#85905,#85906,#85907,#85908,#85909,#85910,#85911, #85912,#85913,#85914,#85915,#85916,#85917,#85918,#85919,#85920,#85921,#85922, #85923,#85924,#85925,#85926,#85927,#85928,#85929,#85930,#85931,#85932,#85933, #85934,#85935,#85936,#85937,#85938,#85939,#85940,#85941,#85942,#85943,#85944, #85945,#85946,#85947,#85948,#85949,#85950,#85951,#85952,#85953,#85954,#85955, #85956,#85957,#85958,#85959,#85960,#85961,#85962,#85963,#85964,#85965,#85966, #85967,#85968,#85969,#85970,#85971,#85972,#85973,#85974,#85975,#85976,#85977, #85978,#85979,#85980,#85981,#85982,#85983,#85984,#85985,#85986,#85987,#85988, #85989,#85990,#85991,#85992,#85993,#85994,#85995,#85996,#85997,#85998,#85999, #86000,#86001,#86002,#86003,#86004,#86005,#86006,#86007,#86008,#86009,#86010, #86011,#86012,#86013,#86014,#86015,#86016,#86017,#86018,#86019,#86020,#86021, #86022,#86023,#86024,#86025,#86026,#86027,#86028,#86029,#86030,#86031,#86032, #86033,#86034,#86035,#86036,#86037,#86038,#86039,#86040,#86041,#86042,#86043, #86044,#86045,#86046,#86047,#86048,#86049,#86050,#86051,#86052,#86053,#86054, #86055,#86056,#86057,#86058,#86059,#86060,#86061,#86062,#86063,#86064,#86065, #86066,#86067,#86068,#86069,#86070,#86071,#86072,#86073,#86074,#86075,#86076, #86077,#86078,#86079,#86080,#86081,#86082,#86083,#86084,#86085,#86086,#86087, #86088,#86089,#86090,#86091,#86092,#86093,#86094,#86095,#86096,#86097,#86098, #86099,#86100,#86101,#86102,#86103,#86104,#86105,#86106,#86107,#86108,#86109, #86110,#86111,#86112,#86113,#86114,#86115,#86116,#86117,#86118,#86119,#86120, #86121,#86122,#86123,#86124,#86125,#86126,#86127,#86128,#86129,#86130,#86131, #86132,#86133,#86134,#86135,#86136,#86137,#86138,#86139,#86140,#86141,#86142, #86143,#86144,#86145,#86146,#86147,#86148,#86149,#86150,#86151,#86152,#86153, #86154,#86155,#86156,#86157,#86158,#86159,#86160,#86161,#86162,#86163,#86164, #86165,#86166,#86167,#86168,#86169,#86170,#86171,#86172,#86173,#86174,#86175, #86176,#86177,#86178,#86179,#86180,#86181,#86182,#86183,#86184,#86185,#86186, #86187,#86188,#86189,#86190,#86191,#86192,#86193,#86194,#86195,#86196,#86197, #86198,#86199,#86200,#86201,#86202,#86203,#86204,#86205,#86206,#86207,#86208, #86209,#86210,#86211,#86212,#86213,#86214,#86215,#86216,#86217,#86218,#86219, #86220,#86221,#86222,#86223,#86224,#86225,#86226,#86227,#86228,#86229,#86230, #86231,#86232,#86233,#86234,#86235,#86236,#86237,#86238,#86239,#86240,#86241, #86242,#86243,#86244,#86245,#86246,#86247,#86248,#86249,#86250,#86251,#86252, #86253,#86254,#86255,#86256,#86257,#86258,#86259,#86260,#86261,#86262,#86263, #86264,#86265,#86266,#86267,#86268,#86269,#86270,#86271,#86272,#86273,#86274, #86275,#86276,#86277,#86278,#86279,#86280,#86281,#86282,#86283,#86284,#86285, #86286,#86287,#86288,#86289,#86290,#86291,#86292,#86293,#86294,#86295,#86296, #86297,#86298,#86299,#86300,#86301,#86302,#86303,#86304,#86305,#86306,#86307, #86308,#86309,#86310,#86311,#86312,#86313,#86314,#86315,#86316,#86317,#86318, #86319,#86320,#86321,#86322,#86323,#86324,#86325,#86326,#86327,#86328,#86329, #86330,#86331,#86332,#86333,#86334,#86335,#86336,#86337,#86338,#86339,#86340, #86341,#86342,#86343,#86344,#86345,#86346,#86347,#86348,#86349,#86350,#86351, #86352,#86353,#86354,#86355,#86356,#86357,#86358,#86359,#86360,#86361,#86362, #86363,#86364,#86365,#86366,#86367,#86368,#86369,#86370,#86371,#86372,#86373, #86374,#86375,#86376,#86377,#86378,#86379,#86380,#86381,#86382,#86383,#86384, #86385,#86386,#86387,#86388,#86389,#86390,#86391,#86392,#86393,#86394,#86395, #86396,#86397,#86398,#86399,#86400,#86401,#86402,#86403,#86404,#86405,#86406, #86407,#86408,#86409,#86410,#86411,#86412,#86413,#86414,#86415,#86416,#86417, #86418,#86419,#86420,#86421,#86422,#86423,#86424,#86425,#86426,#86427,#86428, #86429,#86430,#86431,#86432,#86433,#86434,#86435,#86436,#86437,#86438,#86439, #86440,#86441,#86442,#86443,#86444,#86445,#86446,#86447,#86448,#86449,#86450, #86451,#86452,#86453,#86454,#86455,#86456,#86457,#86458,#86459,#86460,#86461, #86462,#86463,#86464,#86465,#86466,#86467,#86468,#86469,#86470,#86471,#86472, #86473,#86474,#86475,#86476,#86477,#86478,#86479,#86480,#86481,#86482,#86483, #86484,#86485,#86486,#86487,#86488,#86489,#86490,#86491,#86492,#86493,#86494, #86495,#86496,#86497,#86498,#86499,#86500,#86501,#86502,#86503,#86504,#86505, #86506,#86507,#86508,#86509,#86510,#86511,#86512,#86513,#86514,#86515,#86516, #86517,#86518,#86519,#86520,#86521,#86522,#86523,#86524,#86525,#86526,#86527, #86528,#86529,#86530,#86531,#86532,#86533,#86534,#86535,#86536,#86537,#86538, #86539,#86540,#86541,#86542,#86543,#86544,#86545,#86546,#86547,#86548,#86549, #86550,#86551,#86552,#86553,#86554,#86555,#86556,#86557,#86558,#86559,#86560, #86561,#86562,#86563,#86564,#86565,#86566,#86567,#86568,#86569,#86570,#86571, #86572,#86573,#86574,#86575,#86576,#86577,#86578,#86579,#86580,#86581,#86582, #86583,#86584,#86585,#86586,#86587,#86588,#86589,#86590,#86591,#86592,#86593, #86594,#86595,#86596,#86597,#86598,#86599,#86600,#86601,#86602,#86603,#86604, #86605,#86606,#86607,#86608,#86609,#86610,#86611,#86612,#86613,#86614,#86615, #86616,#86617,#86618,#86619,#86620,#86621,#86622,#86623,#86624,#86625,#86626, #86627,#86628,#86629,#86630,#86631,#86632,#86633,#86634,#86635,#86636,#86637, #86638,#86639,#86640,#86641,#86642,#86643,#86644,#86645,#86646,#86647,#86648, #86649,#86650,#86651,#86652,#86653,#86654,#86655,#86656,#86657,#86658,#86659, #86660,#86661,#86662,#86663,#86664,#86665,#86666,#86667,#86668,#86669,#86670, #86671,#86672,#86673,#86674,#86675,#86676,#86677,#86678,#86679,#86680,#86681, #86682,#86683,#86684,#86685,#86686,#86687,#86688,#86689,#86690,#86691,#86692, #86693,#86694,#86695,#86696,#86697,#86698,#86699,#86700,#86701,#86702,#86703, #86704,#86705,#86706,#86707,#86708,#86709,#86710,#86711,#86712,#86713,#86714, #86715,#86716,#86717,#86718,#86719,#86720,#86721,#86722,#86723,#86724,#86725, #86726,#86727,#86728,#86729,#86730,#86731,#86732,#86733,#86734,#86735,#86736, #86737,#86738,#86739,#86740,#86741,#86742,#86743,#86744,#86745,#86746,#86747, #86748,#86749,#86750,#86751,#86752,#86753,#86754,#86755,#86756,#86757,#86758, #86759,#86760,#86761,#86762,#86763,#86764,#86765,#86766,#86767,#86768,#86769, #86770,#86771,#86772,#86773,#86774,#86775,#86776,#86777,#86778,#86779,#86780, #86781,#86782,#86783,#86784,#86785,#86786,#86787,#86788,#86789,#86790,#86791, #86792,#86793,#86794,#86795,#86796,#86797,#86798,#86799,#86800,#86801,#86802, #86803,#86804,#86805,#86806,#86807,#86808,#86809,#86810,#86811,#86812,#86813, #86814,#86815,#86816,#86817,#86818,#86819,#86820,#86821,#86822,#86823,#86824, #86825,#86826,#86827,#86828,#86829,#86830,#86831,#86832,#86833,#86834,#86835, #86836,#86837,#86838,#86839,#86840,#86841,#86842,#86843,#86844,#86845,#86846, #86847,#86848,#86849,#86850,#86851,#86852,#86853,#86854,#86855,#86856,#86857, #86858,#86859,#86860,#86861,#86862,#86863,#86864,#86865,#86866,#86867,#86868, #86869,#86870,#86871,#86872,#86873,#86874,#86875,#86876,#86877,#86878,#86879, #86880,#86881,#86882,#86883,#86884,#86885,#86886,#86887,#86888,#86889,#86890, #86891,#86892,#86893,#86894,#86895,#86896,#86897,#86898,#86899,#86900,#86901, #86902,#86903,#86904,#86905,#86906,#86907,#86908,#86909,#86910,#86911,#86912, #86913,#86914,#86915,#86916,#86917,#86918,#86919,#86920,#86921,#86922,#86923, #86924,#86925,#86926,#86927,#86928,#86929,#86930,#86931,#86932,#86933,#86934, #86935,#86936,#86937,#86938,#86939,#86940,#86941,#86942,#86943,#86944,#86945, #86946,#86947,#86948,#86949,#86950,#86951,#86952,#86953,#86954,#86955,#86956, #86957,#86958,#86959,#86960,#86961,#86962,#86963,#86964,#86965,#86966,#86967, #86968,#86969,#86970,#86971,#86972,#86973,#86974,#86975,#86976,#86977,#86978, #86979,#86980,#86981,#86982,#86983,#86984,#86985,#86986,#86987,#86988,#86989, #86990,#86991,#86992,#86993,#86994,#86995,#86996,#86997,#86998,#86999,#87000, #87001,#87002,#87003,#87004,#87005,#87006,#87007,#87008,#87009,#87010,#87011, #87012,#87013,#87014,#87015,#87016,#87017,#87018,#87019,#87020,#87021,#87022, #87023,#87024,#87025,#87026,#87027,#87028,#87029,#87030,#87031,#87032,#87033, #87034,#87035,#87036,#87037,#87038,#87039,#87040,#87041,#87042,#87043,#87044, #87045,#87046,#87047,#87048,#87049,#87050,#87051,#87052,#87053,#87054,#87055, #87056,#87057,#87058,#87059,#87060,#87061,#87062,#87063,#87064,#87065,#87066, #87067,#87068,#87069,#87070,#87071,#87072,#87073,#87074,#87075,#87076,#87077, #87078,#87079,#87080,#87081,#87082,#87083,#87084,#87085,#87086,#87087,#87088, #87089,#87090,#87091,#87092,#87093,#87094,#87095,#87096,#87097,#87098,#87099, #87100,#87101,#87102,#87103,#87104,#87105,#87106,#87107,#87108,#87109,#87110, #87111,#87112,#87113,#87114,#87115,#87116,#87117,#87118,#87119,#87120,#87121, #87122,#87123,#87124,#87125,#87126,#87127,#87128,#87129,#87130,#87131,#87132, #87133,#87134,#87135,#87136,#87137,#87138,#87139,#87140,#87141,#87142,#87143, #87144,#87145,#87146,#87147,#87148,#87149,#87150,#87151,#87152,#87153,#87154, #87155,#87156,#87157,#87158,#87159,#87160,#87161,#87162,#87163,#87164,#87165, #87166,#87167,#87168,#87169,#87170,#87171,#87172,#87173,#87174,#87175,#87176, #87177,#87178,#87179,#87180,#87181,#87182,#87183,#87184,#87185,#87186,#87187, #87188,#87189,#87190,#87191,#87192,#87193,#87194,#87195,#87196,#87197,#87198, #87199,#87200,#87201,#87202,#87203,#87204,#87205,#87206,#87207,#87208,#87209, #87210,#87211,#87212,#87213,#87214,#87215,#87216,#87217,#87218,#87219,#87220, #87221,#87222,#87223,#87224,#87225,#87226,#87227,#87228,#87229,#87230,#87231, #87232,#87233,#87234,#87235,#87236,#87237,#87238,#87239,#87240,#87241,#87242, #87243,#87244,#87245,#87246,#87247,#87248,#87249,#87250,#87251,#87252,#87253, #87254,#87255,#87256,#87257,#87258,#87259,#87260,#87261,#87262,#87263,#87264, #87265,#87266,#87267,#87268,#87269,#87270,#87271,#87272,#87273,#87274,#87275, #87276,#87277,#87278,#87279,#87280,#87281,#87282,#87283,#87284,#87285,#87286, #87287,#87288,#87289,#87290,#87291,#87292,#87293,#87294,#87295,#87296,#87297, #87298,#87299,#87300,#87301,#87302,#87303,#87304,#87305,#87306,#87307,#87308, #87309,#87310,#87311,#87312,#87313,#87314,#87315,#87316,#87317,#87318,#87319, #87320,#87321,#87322,#87323,#87324,#87325,#87326,#87327,#87328,#87329,#87330, #87331,#87332,#87333,#87334,#87335,#87336,#87337,#87338,#87339,#87340,#87341, #87342,#87343,#87344,#87345,#87346,#87347,#87348,#87349,#87350,#87351,#87352, #87353,#87354,#87355,#87356,#87357,#87358,#87359,#87360,#87361,#87362,#87363, #87364,#87365,#87366,#87367,#87368,#87369,#87370,#87371,#87372,#87373,#87374, #87375,#87376,#87377,#87378,#87379,#87380,#87381,#87382,#87383,#87384,#87385, #87386,#87387,#87388,#87389,#87390,#87391,#87392,#87393,#87394,#87395,#87396, #87397,#87398,#87399,#87400,#87401,#87402,#87403,#87404,#87405,#87406,#87407, #87408,#87409,#87410,#87411,#87412,#87413,#87414,#87415,#87416,#87417,#87418, #87419,#87420,#87421,#87422,#87423,#87424,#87425,#87426,#87427,#87428,#87429, #87430,#87431,#87432,#87433,#87434,#87435,#87436,#87437,#87438,#87439,#87440, #87441,#87442,#87443,#87444,#87445,#87446,#87447,#87448,#87449,#87450,#87451, #87452,#87453,#87454,#87455,#87456,#87457,#87458,#87459,#87460,#87461,#87462, #87463,#87464,#87465,#87466,#87467,#87468,#87469,#87470,#87471,#87472,#87473, #87474,#87475,#87476,#87477,#87478,#87479,#87480,#87481,#87482,#87483,#87484, #87485,#87486,#87487,#87488,#87489,#87490,#87491,#87492,#87493,#87494,#87495, #87496,#87497,#87498,#87499,#87500,#87501,#87502,#87503,#87504,#87505,#87506, #87507,#87508,#87509,#87510,#87511,#87512,#87513,#87514,#87515,#87516,#87517, #87518,#87519,#87520,#87521,#87522,#87523,#87524,#87525,#87526,#87527,#87528, #87529,#87530,#87531,#87532,#87533,#87534,#87535,#87536,#87537,#87538,#87539, #87540,#87541,#87542,#87543,#87544,#87545,#87546,#87547,#87548,#87549,#87550, #87551,#87552,#87553,#87554,#87555,#87556,#87557,#87558,#87559,#87560,#87561, #87562,#87563,#87564,#87565,#87566,#87567,#87568,#87569,#87570,#87571,#87572, #87573,#87574,#87575,#87576,#87577,#87578,#87579,#87580,#87581,#87582,#87583, #87584,#87585,#87586,#87587,#87588,#87589,#87590,#87591,#87592,#87593,#87594, #87595,#87596,#87597,#87598,#87599,#87600,#87601,#87602,#87603,#87604,#87605, #87606,#87607,#87608,#87609,#87610,#87611,#87612,#87613,#87614,#87615,#87616, #87617,#87618,#87619,#87620,#87621,#87622,#87623,#87624,#87625,#87626,#87627, #87628,#87629,#87630,#87631,#87632,#87633,#87634,#87635,#87636,#87637,#87638, #87639,#87640,#87641,#87642,#87643,#87644,#87645,#87646,#87647,#87648,#87649, #87650,#87651,#87652,#87653,#87654,#87655,#87656,#87657,#87658,#87659,#87660, #87661,#87662,#87663,#87664,#87665,#87666,#87667,#87668,#87669,#87670,#87671, #87672,#87673,#87674,#87675,#87676,#87677,#87678,#87679,#87680,#87681,#87682, #87683,#87684,#87685,#87686,#87687,#87688,#87689,#87690,#87691,#87692,#87693, #87694,#87695,#87696,#87697,#87698,#87699,#87700,#87701,#87702,#87703,#87704, #87705,#87706,#87707,#87708,#87709,#87710,#87711,#87712,#87713,#87714,#87715, #87716,#87717,#87718,#87719,#87720,#87721,#87722,#87723,#87724,#87725,#87726, #87727,#87728,#87729,#87730,#87731,#87732,#87733,#87734,#87735,#87736,#87737, #87738,#87739,#87740,#87741,#87742,#87743,#87744,#87745,#87746,#87747,#87748, #87749,#87750,#87751,#87752,#87753,#87754,#87755,#87756,#87757,#87758,#87759, #87760,#87761,#87762,#87763,#87764,#87765,#87766,#87767,#87768,#87769,#87770, #87771,#87772,#87773,#87774,#87775,#87776,#87777,#87778,#87779,#87780,#87781, #87782,#87783,#87784,#87785,#87786,#87787,#87788,#87789,#87790,#87791,#87792, #87793,#87794,#87795,#87796,#87797,#87798,#87799,#87800,#87801,#87802,#87803, #87804,#87805,#87806,#87807,#87808,#87809,#87810,#87811,#87812,#87813,#87814, #87815,#87816,#87817,#87818,#87819,#87820,#87821,#87822,#87823,#87824,#87825, #87826,#87827,#87828,#87829,#87830,#87831,#87832,#87833,#87834,#87835,#87836, #87837,#87838,#87839,#87840,#87841,#87842,#87843,#87844,#87845,#87846,#87847, #87848,#87849,#87850,#87851,#87852,#87853,#87854,#87855,#87856,#87857,#87858, #87859,#87860,#87861,#87862,#87863,#87864,#87865,#87866,#87867,#87868,#87869, #87870,#87871,#87872,#87873,#87874,#87875,#87876,#87877,#87878,#87879,#87880, #87881,#87882,#87883,#87884,#87885,#87886,#87887,#87888,#87889,#87890,#87891, #87892,#87893,#87894,#87895,#87896,#87897,#87898,#87899,#87900,#87901,#87902, #87903,#87904,#87905,#87906,#87907,#87908,#87909,#87910,#87911,#87912,#87913, #87914,#87915,#87916,#87917,#87918,#87919,#87920,#87921,#87922,#87923,#87924, #87925,#87926,#87927,#87928,#87929,#87930,#87931,#87932,#87933,#87934,#87935, #87936,#87937,#87938,#87939,#87940,#87941,#87942,#87943,#87944,#87945,#87946, #87947,#87948,#87949,#87950,#87951,#87952,#87953,#87954,#87955,#87956,#87957, #87958,#87959,#87960,#87961,#87962,#87963,#87964,#87965,#87966,#87967,#87968, #87969,#87970,#87971,#87972,#87973,#87974,#87975,#87976,#87977,#87978,#87979, #87980,#87981,#87982,#87983,#87984,#87985,#87986,#87987,#87988,#87989,#87990, #87991,#87992,#87993,#87994,#87995,#87996,#87997,#87998,#87999,#88000,#88001, #88002,#88003,#88004,#88005,#88006,#88007,#88008,#88009,#88010,#88011,#88012, #88013,#88014,#88015,#88016,#88017,#88018,#88019,#88020,#88021,#88022,#88023, #88024,#88025,#88026,#88027,#88028,#88029,#88030,#88031,#88032,#88033,#88034, #88035,#88036,#88037,#88038,#88039,#88040,#88041,#88042,#88043,#88044,#88045, #88046,#88047,#88048,#88049,#88050,#88051,#88052,#88053,#88054,#88055,#88056, #88057,#88058,#88059,#88060,#88061,#88062,#88063,#88064,#88065,#88066,#88067, #88068,#88069,#88070,#88071,#88072,#88073,#88074,#88075,#88076,#88077,#88078, #88079,#88080,#88081,#88082,#88083,#88084,#88085,#88086,#88087,#88088,#88089, #88090,#88091,#88092,#88093,#88094,#88095,#88096,#88097,#88098,#88099,#88100, #88101,#88102,#88103,#88104,#88105,#88106,#88107,#88108,#88109,#88110,#88111, #88112,#88113,#88114,#88115,#88116,#88117,#88118,#88119,#88120,#88121,#88122, #88123,#88124,#88125,#88126,#88127,#88128,#88129,#88130,#88131,#88132,#88133, #88134,#88135,#88136,#88137,#88138,#88139,#88140,#88141,#88142,#88143,#88144, #88145,#88146,#88147,#88148,#88149,#88150,#88151,#88152,#88153,#88154,#88155, #88156,#88157,#88158,#88159,#88160,#88161,#88162,#88163,#88164,#88165,#88166, #88167,#88168,#88169,#88170,#88171,#88172,#88173,#88174,#88175,#88176,#88177, #88178,#88179,#88180,#88181,#88182,#88183,#88184,#88185,#88186,#88187,#88188, #88189,#88190,#88191,#88192,#88193,#88194,#88195,#88196,#88197,#88198,#88199, #88200,#88201,#88202,#88203,#88204,#88205,#88206,#88207,#88208,#88209,#88210, #88211,#88212,#88213,#88214,#88215,#88216,#88217,#88218,#88219,#88220,#88221, #88222,#88223,#88224,#88225,#88226,#88227)); #90548=CLOSED_SHELL('',(#88228,#88229,#88230,#88231,#88232,#88233,#88234, #88235,#88236,#88237,#88238,#88239,#88240,#88241,#88242,#88243,#88244,#88245, #88246,#88247,#88248,#88249,#88250,#88251,#88252,#88253,#88254,#88255,#88256, #88257,#88258,#88259,#88260,#88261,#88262,#88263,#88264,#88265,#88266,#88267, #88268,#88269,#88270,#88271,#88272,#88273,#88274,#88275,#88276,#88277,#88278, #88279,#88280,#88281,#88282,#88283,#88284,#88285,#88286,#88287,#88288,#88289, #88290,#88291,#88292,#88293,#88294,#88295,#88296,#88297,#88298,#88299,#88300, #88301,#88302,#88303,#88304,#88305,#88306,#88307,#88308,#88309,#88310,#88311, #88312,#88313,#88314,#88315,#88316,#88317,#88318,#88319,#88320,#88321,#88322, #88323)); #90549=CLOSED_SHELL('',(#88324,#88325,#88326,#88327,#88328,#88329,#88330, #88331,#88332,#88333,#88334,#88335,#88336,#88337,#88338,#88339,#88340,#88341, #88342,#88343,#88344,#88345,#88346,#88347,#88348,#88349,#88350,#88351,#88352, #88353,#88354,#88355,#88356,#88357,#88358,#88359,#88360,#88361,#88362,#88363, #88364,#88365,#88366,#88367,#88368,#88369,#88370,#88371,#88372,#88373,#88374, #88375,#88376,#88377,#88378,#88379,#88380,#88381,#88382,#88383,#88384,#88385, #88386,#88387,#88388,#88389,#88390,#88391,#88392,#88393,#88394,#88395,#88396, #88397,#88398,#88399,#88400,#88401,#88402,#88403,#88404,#88405,#88406,#88407, #88408,#88409,#88410,#88411,#88412,#88413,#88414,#88415,#88416,#88417,#88418, #88419,#88420,#88421,#88422,#88423,#88424,#88425,#88426,#88427,#88428)); #90550=CLOSED_SHELL('',(#88429,#88430,#88431,#88432,#88433,#88434,#88435, #88436,#88437,#88438,#88439,#88440,#88441,#88442,#88443,#88444,#88445,#88446, #88447,#88448,#88449,#88450,#88451,#88452,#88453,#88454,#88455,#88456,#88457, #88458,#88459,#88460,#88461,#88462,#88463,#88464,#88465,#88466,#88467,#88468, #88469,#88470,#88471,#88472,#88473,#88474,#88475,#88476,#88477,#88478,#88479, #88480,#88481,#88482,#88483,#88484,#88485,#88486,#88487,#88488,#88489,#88490, #88491,#88492,#88493,#88494,#88495,#88496,#88497,#88498,#88499,#88500,#88501, #88502,#88503,#88504,#88505,#88506,#88507,#88508,#88509,#88510,#88511,#88512, #88513,#88514,#88515,#88516,#88517,#88518,#88519,#88520,#88521,#88522,#88523, #88524,#88525,#88526,#88527,#88528,#88529,#88530,#88531,#88532,#88533,#88534, #88535,#88536,#88537,#88538,#88539,#88540,#88541,#88542,#88543,#88544,#88545, #88546,#88547,#88548,#88549,#88550,#88551,#88552,#88553,#88554,#88555,#88556, #88557,#88558,#88559,#88560,#88561,#88562,#88563,#88564,#88565,#88566,#88567, #88568,#88569,#88570,#88571,#88572,#88573,#88574,#88575,#88576,#88577,#88578, #88579,#88580,#88581,#88582,#88583,#88584,#88585,#88586,#88587,#88588,#88589, #88590,#88591,#88592,#88593,#88594,#88595,#88596,#88597,#88598,#88599,#88600, #88601,#88602,#88603,#88604,#88605,#88606,#88607,#88608,#88609,#88610,#88611, #88612,#88613,#88614,#88615,#88616,#88617,#88618,#88619,#88620,#88621,#88622, #88623,#88624,#88625,#88626,#88627,#88628,#88629,#88630,#88631,#88632,#88633, #88634,#88635,#88636,#88637,#88638,#88639,#88640,#88641,#88642,#88643,#88644, #88645,#88646,#88647,#88648,#88649,#88650,#88651,#88652,#88653,#88654,#88655, #88656)); #90551=CLOSED_SHELL('',(#88657,#88658,#88659,#88660,#88661,#88662,#88663, #88664)); #90552=CLOSED_SHELL('',(#88665)); #90553=CLOSED_SHELL('',(#88666,#88667,#88668,#88669,#88670,#88671,#88672, #88673,#88674)); #90554=CLOSED_SHELL('',(#88675,#88676,#88677,#88678,#88679,#88680,#88681, #88682,#88683,#88684,#88685,#88686,#88687,#88688,#88689,#88690,#88691,#88692, #88693,#88694,#88695,#88696,#88697,#88698,#88699,#88700,#88701,#88702,#88703, #88704,#88705,#88706,#88707,#88708,#88709,#88710,#88711,#88712,#88713,#88714, #88715,#88716,#88717,#88718,#88719,#88720,#88721,#88722,#88723,#88724,#88725, #88726,#88727,#88728,#88729,#88730,#88731,#88732,#88733,#88734,#88735,#88736, #88737,#88738,#88739,#88740,#88741,#88742,#88743,#88744,#88745,#88746,#88747, #88748,#88749,#88750,#88751,#88752,#88753,#88754,#88755,#88756,#88757,#88758, #88759,#88760,#88761,#88762,#88763,#88764,#88765,#88766,#88767,#88768,#88769, #88770,#88771,#88772,#88773,#88774,#88775,#88776,#88777,#88778,#88779,#88780, #88781,#88782,#88783,#88784,#88785,#88786,#88787,#88788,#88789,#88790,#88791, #88792,#88793,#88794,#88795,#88796,#88797,#88798,#88799,#88800,#88801,#88802, #88803,#88804,#88805,#88806,#88807,#88808,#88809,#88810,#88811,#88812,#88813, #88814,#88815,#88816,#88817,#88818,#88819,#88820,#88821,#88822,#88823,#88824, #88825,#88826,#88827,#88828,#88829,#88830,#88831,#88832,#88833,#88834,#88835, #88836,#88837,#88838,#88839,#88840,#88841,#88842,#88843,#88844,#88845,#88846, #88847,#88848,#88849,#88850,#88851,#88852,#88853,#88854,#88855,#88856,#88857, #88858,#88859,#88860,#88861,#88862,#88863,#88864,#88865,#88866,#88867,#88868, #88869,#88870,#88871,#88872,#88873,#88874,#88875,#88876,#88877,#88878,#88879, #88880,#88881,#88882,#88883,#88884)); #90555=CLOSED_SHELL('',(#88885,#88886,#88887,#88888,#88889,#88890,#88891, #88892,#88893,#88894,#88895,#88896,#88897,#88898,#88899,#88900,#88901,#88902, #88903,#88904,#88905,#88906,#88907,#88908,#88909,#88910,#88911,#88912,#88913, #88914,#88915)); #90556=CLOSED_SHELL('',(#88916,#88917,#88918,#88919,#88920,#88921,#88922, #88923,#88924,#88925,#88926,#88927,#88928,#88929,#88930)); #90557=CLOSED_SHELL('',(#88931,#88932,#88933,#88934)); #90558=CLOSED_SHELL('',(#88935,#88936,#88937,#88938,#88939,#88940,#88941, #88942,#88943,#88944)); #90559=CLOSED_SHELL('',(#88945,#88946,#88947,#88948,#88949,#88950,#88951, #88952,#88953,#88954,#88955,#88956,#88957,#88958,#88959,#88960,#88961,#88962, #88963,#88964,#88965)); #90560=CLOSED_SHELL('',(#88966,#88967,#88968,#88969,#88970,#88971,#88972, #88973,#88974,#88975,#88976,#88977,#88978,#88979,#88980,#88981,#88982)); #90561=CLOSED_SHELL('',(#88983,#88984,#88985,#88986,#88987,#88988,#88989, #88990,#88991,#88992,#88993,#88994,#88995,#88996,#88997,#88998,#88999,#89000, #89001,#89002,#89003,#89004,#89005,#89006,#89007,#89008,#89009,#89010,#89011, #89012,#89013,#89014,#89015,#89016,#89017,#89018,#89019,#89020,#89021,#89022, #89023,#89024,#89025,#89026,#89027,#89028,#89029,#89030,#89031,#89032,#89033, #89034,#89035,#89036,#89037,#89038,#89039,#89040,#89041,#89042,#89043,#89044, #89045,#89046,#89047,#89048,#89049,#89050,#89051,#89052,#89053,#89054)); #90562=CLOSED_SHELL('',(#89055,#89056,#89057,#89058,#89059,#89060,#89061, #89062,#89063,#89064,#89065,#89066,#89067,#89068,#89069,#89070,#89071,#89072, #89073)); #90563=CLOSED_SHELL('',(#89074,#89075,#89076,#89077,#89078,#89079,#89080, #89081,#89082,#89083,#89084,#89085,#89086,#89087,#89088,#89089,#89090,#89091, #89092)); #90564=CLOSED_SHELL('',(#89093,#89094,#89095,#89096,#89097,#89098,#89099, #89100,#89101,#89102,#89103,#89104,#89105,#89106,#89107,#89108,#89109,#89110, #89111)); #90565=CLOSED_SHELL('',(#89112,#89113,#89114,#89115,#89116,#89117,#89118, #89119,#89120,#89121,#89122,#89123,#89124,#89125,#89126,#89127,#89128,#89129, #89130)); #90566=CLOSED_SHELL('',(#89131,#89132,#89133,#89134,#89135,#89136,#89137, #89138,#89139,#89140,#89141,#89142,#89143,#89144,#89145,#89146,#89147,#89148, #89149)); #90567=CLOSED_SHELL('',(#89150,#89151,#89152,#89153,#89154,#89155,#89156, #89157,#89158,#89159,#89160,#89161,#89162,#89163,#89164,#89165,#89166,#89167, #89168,#89169,#89170,#89171,#89172,#89173,#89174,#89175,#89176,#89177,#89178, #89179,#89180,#89181,#89182,#89183,#89184,#89185,#89186,#89187,#89188,#89189, #89190,#89191,#89192,#89193,#89194,#89195,#89196,#89197,#89198,#89199,#89200, #89201,#89202,#89203,#89204,#89205,#89206,#89207,#89208,#89209,#89210,#89211, #89212,#89213,#89214,#89215,#89216,#89217,#89218,#89219,#89220,#89221,#89222, #89223,#89224,#89225,#89226,#89227,#89228,#89229,#89230,#89231,#89232,#89233, #89234,#89235,#89236,#89237,#89238,#89239,#89240,#89241,#89242,#89243,#89244, #89245,#89246,#89247,#89248,#89249,#89250,#89251,#89252,#89253,#89254,#89255, #89256,#89257,#89258,#89259,#89260,#89261,#89262,#89263,#89264,#89265,#89266, #89267,#89268,#89269,#89270,#89271,#89272,#89273,#89274,#89275,#89276,#89277, #89278,#89279,#89280,#89281,#89282,#89283,#89284,#89285,#89286,#89287,#89288, #89289,#89290,#89291,#89292,#89293,#89294,#89295,#89296,#89297,#89298,#89299, #89300,#89301,#89302,#89303,#89304,#89305,#89306,#89307,#89308,#89309,#89310, #89311,#89312,#89313,#89314,#89315,#89316,#89317,#89318,#89319,#89320,#89321, #89322,#89323,#89324,#89325,#89326,#89327,#89328,#89329,#89330,#89331,#89332, #89333,#89334,#89335,#89336,#89337,#89338,#89339,#89340,#89341,#89342,#89343, #89344,#89345,#89346,#89347,#89348,#89349,#89350,#89351,#89352,#89353,#89354, #89355,#89356,#89357,#89358,#89359,#89360,#89361,#89362,#89363,#89364,#89365, #89366,#89367,#89368,#89369,#89370,#89371,#89372,#89373,#89374,#89375,#89376, #89377,#89378,#89379,#89380,#89381,#89382,#89383,#89384,#89385,#89386,#89387, #89388,#89389,#89390,#89391,#89392,#89393)); #90568=CLOSED_SHELL('',(#89394,#89395,#89396,#89397,#89398,#89399,#89400, #89401,#89402,#89403,#89404,#89405,#89406,#89407,#89408,#89409)); #90569=CLOSED_SHELL('',(#89410)); #90570=CLOSED_SHELL('',(#89411,#89412,#89413,#89414,#89415,#89416,#89417, #89418,#89419,#89420,#89421,#89422,#89423,#89424,#89425)); #90571=CLOSED_SHELL('',(#89426,#89427,#89428,#89429,#89430,#89431,#89432, #89433,#89434,#89435,#89436,#89437,#89438,#89439,#89440,#89441,#89442,#89443, #89444,#89445,#89446,#89447,#89448,#89449,#89450,#89451,#89452,#89453,#89454, #89455,#89456,#89457,#89458,#89459,#89460,#89461,#89462,#89463,#89464,#89465, #89466,#89467,#89468,#89469,#89470,#89471,#89472,#89473,#89474,#89475,#89476, #89477,#89478,#89479,#89480,#89481,#89482,#89483,#89484,#89485,#89486,#89487, #89488,#89489,#89490,#89491,#89492,#89493,#89494,#89495,#89496,#89497,#89498, #89499,#89500,#89501,#89502,#89503,#89504,#89505,#89506,#89507,#89508,#89509, #89510,#89511,#89512,#89513,#89514,#89515,#89516,#89517,#89518,#89519,#89520, #89521,#89522,#89523,#89524,#89525,#89526,#89527,#89528,#89529,#89530,#89531, #89532,#89533,#89534,#89535,#89536,#89537,#89538,#89539,#89540,#89541,#89542, #89543,#89544,#89545,#89546,#89547,#89548,#89549,#89550,#89551,#89552,#89553, #89554,#89555,#89556,#89557,#89558,#89559,#89560,#89561,#89562,#89563,#89564, #89565,#89566,#89567,#89568,#89569,#89570,#89571,#89572,#89573,#89574,#89575, #89576,#89577,#89578,#89579,#89580,#89581,#89582,#89583,#89584,#89585,#89586, #89587,#89588,#89589,#89590,#89591,#89592,#89593,#89594,#89595,#89596,#89597, #89598,#89599,#89600,#89601,#89602,#89603,#89604,#89605,#89606,#89607,#89608, #89609,#89610,#89611,#89612,#89613,#89614,#89615,#89616,#89617,#89618,#89619, #89620,#89621,#89622,#89623,#89624,#89625,#89626,#89627,#89628,#89629,#89630, #89631,#89632,#89633,#89634,#89635,#89636,#89637,#89638,#89639,#89640,#89641, #89642,#89643,#89644,#89645,#89646,#89647,#89648,#89649,#89650,#89651,#89652, #89653,#89654,#89655,#89656,#89657,#89658,#89659,#89660,#89661,#89662,#89663, #89664,#89665,#89666,#89667,#89668,#89669,#89670,#89671,#89672,#89673,#89674, #89675,#89676,#89677,#89678,#89679,#89680,#89681,#89682,#89683,#89684,#89685, #89686,#89687,#89688,#89689,#89690,#89691,#89692,#89693,#89694,#89695,#89696, #89697,#89698,#89699,#89700,#89701,#89702,#89703,#89704,#89705,#89706,#89707, #89708,#89709,#89710,#89711,#89712,#89713,#89714,#89715,#89716,#89717,#89718, #89719,#89720,#89721,#89722,#89723,#89724,#89725,#89726,#89727,#89728,#89729, #89730,#89731,#89732,#89733,#89734,#89735,#89736,#89737,#89738,#89739,#89740, #89741,#89742,#89743,#89744,#89745,#89746,#89747,#89748,#89749,#89750,#89751, #89752,#89753,#89754,#89755,#89756,#89757,#89758,#89759,#89760,#89761,#89762, #89763,#89764,#89765,#89766,#89767,#89768,#89769,#89770,#89771,#89772,#89773, #89774,#89775,#89776,#89777,#89778,#89779,#89780,#89781,#89782,#89783,#89784, #89785,#89786,#89787,#89788,#89789,#89790,#89791,#89792)); #90572=CLOSED_SHELL('',(#89793,#89794,#89795,#89796,#89797)); #90573=CLOSED_SHELL('',(#89798,#89799,#89800,#89801,#89802,#89803,#89804, #89805,#89806,#89807,#89808,#89809,#89810,#89811,#89812,#89813,#89814,#89815, #89816,#89817,#89818,#89819,#89820,#89821,#89822,#89823,#89824,#89825,#89826, #89827,#89828,#89829,#89830,#89831,#89832,#89833,#89834,#89835,#89836,#89837, #89838,#89839,#89840,#89841,#89842,#89843,#89844,#89845,#89846,#89847,#89848, #89849,#89850,#89851,#89852,#89853,#89854,#89855,#89856,#89857,#89858,#89859, #89860,#89861,#89862,#89863,#89864,#89865,#89866,#89867,#89868,#89869,#89870, #89871,#89872,#89873,#89874,#89875,#89876,#89877,#89878,#89879,#89880,#89881, #89882,#89883,#89884,#89885,#89886,#89887,#89888,#89889,#89890,#89891,#89892, #89893,#89894,#89895,#89896,#89897,#89898,#89899,#89900,#89901,#89902,#89903, #89904,#89905,#89906,#89907,#89908,#89909,#89910,#89911,#89912,#89913,#89914, #89915,#89916,#89917,#89918,#89919,#89920,#89921,#89922,#89923,#89924,#89925, #89926,#89927,#89928,#89929,#89930,#89931,#89932,#89933,#89934,#89935,#89936, #89937,#89938,#89939,#89940,#89941,#89942,#89943,#89944,#89945,#89946,#89947, #89948,#89949,#89950,#89951,#89952,#89953,#89954,#89955,#89956,#89957,#89958, #89959,#89960,#89961,#89962,#89963,#89964,#89965,#89966,#89967,#89968,#89969, #89970,#89971,#89972,#89973,#89974,#89975,#89976,#89977,#89978,#89979,#89980, #89981,#89982,#89983,#89984,#89985,#89986,#89987,#89988,#89989,#89990,#89991, #89992,#89993,#89994,#89995,#89996,#89997,#89998,#89999,#90000,#90001,#90002, #90003,#90004,#90005,#90006,#90007,#90008,#90009,#90010,#90011,#90012,#90013)); #90574=CLOSED_SHELL('',(#90014,#90015,#90016,#90017,#90018,#90019,#90020, #90021,#90022,#90023,#90024,#90025,#90026,#90027,#90028,#90029,#90030,#90031, #90032,#90033,#90034,#90035,#90036,#90037,#90038,#90039,#90040,#90041,#90042, #90043,#90044,#90045,#90046,#90047,#90048,#90049,#90050,#90051,#90052,#90053, #90054,#90055,#90056,#90057,#90058,#90059,#90060,#90061,#90062,#90063,#90064, #90065,#90066,#90067,#90068,#90069,#90070,#90071,#90072,#90073,#90074,#90075, #90076,#90077,#90078,#90079,#90080,#90081,#90082,#90083,#90084,#90085,#90086, #90087,#90088,#90089,#90090,#90091,#90092,#90093,#90094,#90095,#90096,#90097, #90098,#90099,#90100,#90101,#90102,#90103,#90104,#90105,#90106,#90107,#90108, #90109,#90110,#90111,#90112,#90113,#90114,#90115,#90116,#90117,#90118,#90119, #90120,#90121,#90122,#90123,#90124,#90125,#90126,#90127,#90128,#90129,#90130, #90131,#90132,#90133,#90134,#90135,#90136)); #90575=CLOSED_SHELL('',(#90137,#90138,#90139,#90140,#90141,#90142,#90143, #90144,#90145,#90146,#90147,#90148,#90149,#90150,#90151,#90152,#90153,#90154, #90155,#90156,#90157,#90158,#90159,#90160,#90161,#90162,#90163,#90164,#90165, #90166,#90167,#90168,#90169,#90170,#90171,#90172,#90173,#90174,#90175,#90176, #90177,#90178,#90179,#90180,#90181,#90182,#90183,#90184,#90185,#90186,#90187, #90188,#90189,#90190,#90191,#90192,#90193,#90194,#90195,#90196,#90197,#90198, #90199,#90200,#90201,#90202,#90203,#90204,#90205,#90206,#90207,#90208,#90209, #90210,#90211,#90212,#90213,#90214,#90215,#90216,#90217,#90218,#90219,#90220, #90221,#90222,#90223,#90224,#90225,#90226,#90227,#90228,#90229,#90230,#90231, #90232,#90233,#90234,#90235,#90236,#90237,#90238,#90239,#90240,#90241,#90242, #90243,#90244,#90245,#90246,#90247,#90248,#90249,#90250,#90251,#90252,#90253, #90254,#90255,#90256,#90257,#90258)); #90576=CLOSED_SHELL('',(#90259,#90260,#90261,#90262,#90263,#90264,#90265, #90266,#90267,#90268,#90269,#90270,#90271,#90272,#90273,#90274,#90275,#90276, #90277,#90278,#90279,#90280,#90281,#90282,#90283,#90284,#90285,#90286,#90287, #90288,#90289,#90290,#90291,#90292,#90293,#90294,#90295,#90296,#90297,#90298, #90299,#90300,#90301,#90302,#90303,#90304,#90305,#90306,#90307,#90308,#90309, #90310,#90311,#90312,#90313,#90314,#90315,#90316,#90317,#90318,#90319,#90320, #90321,#90322,#90323,#90324,#90325,#90326,#90327,#90328,#90329,#90330,#90331, #90332,#90333,#90334,#90335,#90336,#90337,#90338,#90339,#90340,#90341,#90342, #90343,#90344,#90345,#90346,#90347,#90348,#90349,#90350,#90351,#90352,#90353, #90354,#90355,#90356,#90357,#90358,#90359,#90360,#90361,#90362,#90363,#90364, #90365,#90366,#90367,#90368,#90369,#90370,#90371,#90372,#90373,#90374,#90375, #90376,#90377,#90378,#90379,#90380,#90381,#90382,#90383,#90384,#90385,#90386, #90387,#90388,#90389,#90390,#90391,#90392,#90393,#90394,#90395,#90396,#90397, #90398,#90399,#90400,#90401,#90402,#90403,#90404,#90405,#90406,#90407,#90408, #90409,#90410,#90411,#90412,#90413,#90414,#90415,#90416,#90417,#90418,#90419, #90420,#90421,#90422,#90423,#90424,#90425,#90426,#90427,#90428,#90429,#90430, #90431,#90432,#90433,#90434,#90435,#90436,#90437,#90438,#90439,#90440,#90441, #90442,#90443,#90444,#90445,#90446,#90447,#90448,#90449,#90450,#90451,#90452, #90453,#90454,#90455,#90456,#90457,#90458,#90459,#90460,#90461,#90462,#90463, #90464,#90465,#90466)); #90577=CLOSED_SHELL('',(#90467,#90468,#90469,#90470,#90471,#90472,#90473, #90474,#90475,#90476,#90477,#90478,#90479,#90480,#90481,#90482,#90483,#90484, #90485,#90486,#90487,#90488,#90489,#90490,#90491,#90492,#90493,#90494,#90495, #90496,#90497,#90498,#90499,#90500,#90501,#90502,#90503,#90504,#90505,#90506, #90507,#90508,#90509,#90510,#90511,#90512,#90513,#90514,#90515,#90516,#90517, #90518,#90519,#90520,#90521,#90522,#90523,#90524,#90525,#90526,#90527,#90528, #90529,#90530,#90531,#90532,#90533,#90534,#90535,#90536,#90537,#90538,#90539, #90540,#90541,#90542,#90543,#90544,#90545,#90546)); #90578=DERIVED_UNIT_ELEMENT(#90628,1.); #90579=DERIVED_UNIT_ELEMENT(#194097,-3.); #90580=DERIVED_UNIT_ELEMENT(#90628,1.); #90581=DERIVED_UNIT_ELEMENT(#194097,-3.); #90582=DERIVED_UNIT_ELEMENT(#90628,1.); #90583=DERIVED_UNIT_ELEMENT(#194097,-3.); #90584=DERIVED_UNIT_ELEMENT(#90628,1.); #90585=DERIVED_UNIT_ELEMENT(#194097,-3.); #90586=DERIVED_UNIT_ELEMENT(#90628,1.); #90587=DERIVED_UNIT_ELEMENT(#194097,-3.); #90588=DERIVED_UNIT_ELEMENT(#90628,1.); #90589=DERIVED_UNIT_ELEMENT(#194097,-3.); #90590=DERIVED_UNIT_ELEMENT(#90628,1.); #90591=DERIVED_UNIT_ELEMENT(#194097,-3.); #90592=DERIVED_UNIT_ELEMENT(#90628,1.); #90593=DERIVED_UNIT_ELEMENT(#194097,-3.); #90594=DERIVED_UNIT_ELEMENT(#90628,1.); #90595=DERIVED_UNIT_ELEMENT(#194097,-3.); #90596=DERIVED_UNIT_ELEMENT(#90628,1.); #90597=DERIVED_UNIT_ELEMENT(#194097,-3.); #90598=DERIVED_UNIT_ELEMENT(#90628,1.); #90599=DERIVED_UNIT_ELEMENT(#194097,-3.); #90600=DERIVED_UNIT_ELEMENT(#90628,1.); #90601=DERIVED_UNIT_ELEMENT(#194097,-3.); #90602=DERIVED_UNIT_ELEMENT(#90628,1.); #90603=DERIVED_UNIT_ELEMENT(#194097,-3.); #90604=DERIVED_UNIT_ELEMENT(#90628,1.); #90605=DERIVED_UNIT_ELEMENT(#194097,-3.); #90606=DERIVED_UNIT_ELEMENT(#90628,1.); #90607=DERIVED_UNIT_ELEMENT(#194097,-3.); #90608=DERIVED_UNIT_ELEMENT(#90628,1.); #90609=DERIVED_UNIT_ELEMENT(#194097,-3.); #90610=DERIVED_UNIT_ELEMENT(#90628,1.); #90611=DERIVED_UNIT_ELEMENT(#194097,-3.); #90612=DERIVED_UNIT_ELEMENT(#90628,1.); #90613=DERIVED_UNIT_ELEMENT(#194097,-3.); #90614=DERIVED_UNIT_ELEMENT(#90628,1.); #90615=DERIVED_UNIT_ELEMENT(#194097,-3.); #90616=DERIVED_UNIT_ELEMENT(#90628,1.); #90617=DERIVED_UNIT_ELEMENT(#194097,-3.); #90618=DERIVED_UNIT_ELEMENT(#90628,1.); #90619=DERIVED_UNIT_ELEMENT(#194097,-3.); #90620=DERIVED_UNIT_ELEMENT(#90628,1.); #90621=DERIVED_UNIT_ELEMENT(#194097,-3.); #90622=DERIVED_UNIT_ELEMENT(#90628,1.); #90623=DERIVED_UNIT_ELEMENT(#194097,-3.); #90624=DERIVED_UNIT_ELEMENT(#90628,1.); #90625=DERIVED_UNIT_ELEMENT(#194097,-3.); #90626=DERIVED_UNIT_ELEMENT(#90628,1.); #90627=DERIVED_UNIT_ELEMENT(#194097,-3.); #90628=( CONVERSION_BASED_UNIT('gram',#90630) MASS_UNIT() NAMED_UNIT(#194094) ); #90629=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT(.KILO.,.GRAM.) ); #90630=MASS_MEASURE_WITH_UNIT(MASS_MEASURE(0.001),#90629); #90631=DERIVED_UNIT((#90578,#90579)); #90632=DERIVED_UNIT((#90580,#90581)); #90633=DERIVED_UNIT((#90582,#90583)); #90634=DERIVED_UNIT((#90584,#90585)); #90635=DERIVED_UNIT((#90586,#90587)); #90636=DERIVED_UNIT((#90588,#90589)); #90637=DERIVED_UNIT((#90590,#90591)); #90638=DERIVED_UNIT((#90592,#90593)); #90639=DERIVED_UNIT((#90594,#90595)); #90640=DERIVED_UNIT((#90596,#90597)); #90641=DERIVED_UNIT((#90598,#90599)); #90642=DERIVED_UNIT((#90600,#90601)); #90643=DERIVED_UNIT((#90602,#90603)); #90644=DERIVED_UNIT((#90604,#90605)); #90645=DERIVED_UNIT((#90606,#90607)); #90646=DERIVED_UNIT((#90608,#90609)); #90647=DERIVED_UNIT((#90610,#90611)); #90648=DERIVED_UNIT((#90612,#90613)); #90649=DERIVED_UNIT((#90614,#90615)); #90650=DERIVED_UNIT((#90616,#90617)); #90651=DERIVED_UNIT((#90618,#90619)); #90652=DERIVED_UNIT((#90620,#90621)); #90653=DERIVED_UNIT((#90622,#90623)); #90654=DERIVED_UNIT((#90624,#90625)); #90655=DERIVED_UNIT((#90626,#90627)); #90656=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.12),#90631); #90657=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86109),#90632); #90658=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6.7),#90633); #90659=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6.7),#90634); #90660=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(8.08),#90635); #90661=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#90636); #90662=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(0.93),#90637); #90663=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.84),#90638); #90664=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86),#90639); #90665=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86),#90640); #90666=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86),#90641); #90667=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.425),#90642); #90668=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86109),#90643); #90669=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86),#90644); #90670=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86),#90645); #90671=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6.6),#90646); #90672=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#90647); #90673=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#90648); #90674=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86),#90649); #90675=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(8.08004),#90650); #90676=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6.7),#90651); #90677=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86109),#90652); #90678=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86),#90653); #90679=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(0.93),#90654); #90680=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(0.93),#90655); #90681=PROPERTY_DEFINITION_REPRESENTATION(#90806,#90731); #90682=PROPERTY_DEFINITION_REPRESENTATION(#90807,#90732); #90683=PROPERTY_DEFINITION_REPRESENTATION(#90808,#90733); #90684=PROPERTY_DEFINITION_REPRESENTATION(#90809,#90734); #90685=PROPERTY_DEFINITION_REPRESENTATION(#90810,#90735); #90686=PROPERTY_DEFINITION_REPRESENTATION(#90811,#90736); #90687=PROPERTY_DEFINITION_REPRESENTATION(#90812,#90737); #90688=PROPERTY_DEFINITION_REPRESENTATION(#90813,#90738); #90689=PROPERTY_DEFINITION_REPRESENTATION(#90814,#90739); #90690=PROPERTY_DEFINITION_REPRESENTATION(#90815,#90740); #90691=PROPERTY_DEFINITION_REPRESENTATION(#90816,#90741); #90692=PROPERTY_DEFINITION_REPRESENTATION(#90817,#90742); #90693=PROPERTY_DEFINITION_REPRESENTATION(#90818,#90743); #90694=PROPERTY_DEFINITION_REPRESENTATION(#90819,#90744); #90695=PROPERTY_DEFINITION_REPRESENTATION(#90820,#90745); #90696=PROPERTY_DEFINITION_REPRESENTATION(#90821,#90746); #90697=PROPERTY_DEFINITION_REPRESENTATION(#90822,#90747); #90698=PROPERTY_DEFINITION_REPRESENTATION(#90823,#90748); #90699=PROPERTY_DEFINITION_REPRESENTATION(#90824,#90749); #90700=PROPERTY_DEFINITION_REPRESENTATION(#90825,#90750); #90701=PROPERTY_DEFINITION_REPRESENTATION(#90826,#90751); #90702=PROPERTY_DEFINITION_REPRESENTATION(#90827,#90752); #90703=PROPERTY_DEFINITION_REPRESENTATION(#90828,#90753); #90704=PROPERTY_DEFINITION_REPRESENTATION(#90829,#90754); #90705=PROPERTY_DEFINITION_REPRESENTATION(#90830,#90755); #90706=PROPERTY_DEFINITION_REPRESENTATION(#90831,#90756); #90707=PROPERTY_DEFINITION_REPRESENTATION(#90832,#90757); #90708=PROPERTY_DEFINITION_REPRESENTATION(#90833,#90758); #90709=PROPERTY_DEFINITION_REPRESENTATION(#90834,#90759); #90710=PROPERTY_DEFINITION_REPRESENTATION(#90835,#90760); #90711=PROPERTY_DEFINITION_REPRESENTATION(#90836,#90761); #90712=PROPERTY_DEFINITION_REPRESENTATION(#90837,#90762); #90713=PROPERTY_DEFINITION_REPRESENTATION(#90838,#90763); #90714=PROPERTY_DEFINITION_REPRESENTATION(#90839,#90764); #90715=PROPERTY_DEFINITION_REPRESENTATION(#90840,#90765); #90716=PROPERTY_DEFINITION_REPRESENTATION(#90841,#90766); #90717=PROPERTY_DEFINITION_REPRESENTATION(#90842,#90767); #90718=PROPERTY_DEFINITION_REPRESENTATION(#90843,#90768); #90719=PROPERTY_DEFINITION_REPRESENTATION(#90844,#90769); #90720=PROPERTY_DEFINITION_REPRESENTATION(#90845,#90770); #90721=PROPERTY_DEFINITION_REPRESENTATION(#90846,#90771); #90722=PROPERTY_DEFINITION_REPRESENTATION(#90847,#90772); #90723=PROPERTY_DEFINITION_REPRESENTATION(#90848,#90773); #90724=PROPERTY_DEFINITION_REPRESENTATION(#90849,#90774); #90725=PROPERTY_DEFINITION_REPRESENTATION(#90850,#90775); #90726=PROPERTY_DEFINITION_REPRESENTATION(#90851,#90776); #90727=PROPERTY_DEFINITION_REPRESENTATION(#90852,#90777); #90728=PROPERTY_DEFINITION_REPRESENTATION(#90853,#90778); #90729=PROPERTY_DEFINITION_REPRESENTATION(#90854,#90779); #90730=PROPERTY_DEFINITION_REPRESENTATION(#90855,#90780); #90731=REPRESENTATION('material name',(#90781),#194062); #90732=REPRESENTATION('density',(#90656),#194062); #90733=REPRESENTATION('material name',(#90782),#194063); #90734=REPRESENTATION('density',(#90657),#194063); #90735=REPRESENTATION('material name',(#90783),#194065); #90736=REPRESENTATION('density',(#90658),#194065); #90737=REPRESENTATION('material name',(#90784),#194066); #90738=REPRESENTATION('density',(#90659),#194066); #90739=REPRESENTATION('material name',(#90785),#194067); #90740=REPRESENTATION('density',(#90660),#194067); #90741=REPRESENTATION('material name',(#90786),#194068); #90742=REPRESENTATION('density',(#90661),#194068); #90743=REPRESENTATION('material name',(#90787),#194069); #90744=REPRESENTATION('density',(#90662),#194069); #90745=REPRESENTATION('material name',(#90788),#194073); #90746=REPRESENTATION('density',(#90663),#194073); #90747=REPRESENTATION('material name',(#90789),#194074); #90748=REPRESENTATION('density',(#90664),#194074); #90749=REPRESENTATION('material name',(#90790),#194075); #90750=REPRESENTATION('density',(#90665),#194075); #90751=REPRESENTATION('material name',(#90791),#194076); #90752=REPRESENTATION('density',(#90666),#194076); #90753=REPRESENTATION('material name',(#90792),#194077); #90754=REPRESENTATION('density',(#90667),#194077); #90755=REPRESENTATION('material name',(#90793),#194078); #90756=REPRESENTATION('density',(#90668),#194078); #90757=REPRESENTATION('material name',(#90794),#194079); #90758=REPRESENTATION('density',(#90669),#194079); #90759=REPRESENTATION('material name',(#90795),#194080); #90760=REPRESENTATION('density',(#90670),#194080); #90761=REPRESENTATION('material name',(#90796),#194082); #90762=REPRESENTATION('density',(#90671),#194082); #90763=REPRESENTATION('material name',(#90797),#194083); #90764=REPRESENTATION('density',(#90672),#194083); #90765=REPRESENTATION('material name',(#90798),#194084); #90766=REPRESENTATION('density',(#90673),#194084); #90767=REPRESENTATION('material name',(#90799),#194085); #90768=REPRESENTATION('density',(#90674),#194085); #90769=REPRESENTATION('material name',(#90800),#194086); #90770=REPRESENTATION('density',(#90675),#194086); #90771=REPRESENTATION('material name',(#90801),#194087); #90772=REPRESENTATION('density',(#90676),#194087); #90773=REPRESENTATION('material name',(#90802),#194088); #90774=REPRESENTATION('density',(#90677),#194088); #90775=REPRESENTATION('material name',(#90803),#194089); #90776=REPRESENTATION('density',(#90678),#194089); #90777=REPRESENTATION('material name',(#90804),#194090); #90778=REPRESENTATION('density',(#90679),#194090); #90779=REPRESENTATION('material name',(#90805),#194091); #90780=REPRESENTATION('density',(#90680),#194091); #90781=DESCRIPTIVE_REPRESENTATION_ITEM('Nylon 6','Nylon 6'); #90782=DESCRIPTIVE_REPRESENTATION_ITEM('MEDIUM CARBON STEEL, GRADE 5', 'MEDIUM CARBON STEEL, GRADE 5'); #90783=DESCRIPTIVE_REPRESENTATION_ITEM('Zamak 5','Zamak 5'); #90784=DESCRIPTIVE_REPRESENTATION_ITEM('ZAMAK 5','ZAMAK 5'); #90785=DESCRIPTIVE_REPRESENTATION_ITEM('STAINLESS STEEL, 300 SERIES', 'STAINLESS STEEL, 300 SERIES'); #90786=DESCRIPTIVE_REPRESENTATION_ITEM('BUNA N-BLACK','BUNA N-BLACK'); #90787=DESCRIPTIVE_REPRESENTATION_ITEM('Rubber, Black','Rubber, Black'); #90788=DESCRIPTIVE_REPRESENTATION_ITEM( 'STEEL, H.S.L.A. 050XK, 50,000 PSI MIN YIELD', 'STEEL, H.S.L.A. 050XK, 50,000 PSI MIN YIELD'); #90789=DESCRIPTIVE_REPRESENTATION_ITEM('STEEL, C1008/C1010, HOT ROLLED', 'STEEL, C1008/C1010, HOT ROLLED'); #90790=DESCRIPTIVE_REPRESENTATION_ITEM( 'STEEL, C1008/C1010, COLD ROLLED','STEEL, C1008/C1010, COLD ROLLED'); #90791=DESCRIPTIVE_REPRESENTATION_ITEM( 'STEEL, C1008/C1010, COLD ROLLED','STEEL, C1008/C1010, COLD ROLLED'); #90792=DESCRIPTIVE_REPRESENTATION_ITEM('Delrin, Black','Delrin, Black'); #90793=DESCRIPTIVE_REPRESENTATION_ITEM( 'MEDIUM CARBON ALLOY STEEL, GRADE 8', 'MEDIUM CARBON ALLOY STEEL, GRADE 8'); #90794=DESCRIPTIVE_REPRESENTATION_ITEM('STEEL, C1018','STEEL, C1018'); #90795=DESCRIPTIVE_REPRESENTATION_ITEM('STEEL, C1008/C1010', 'STEEL, C1008/C1010'); #90796=DESCRIPTIVE_REPRESENTATION_ITEM('Zamak 3','Zamak 3'); #90797=DESCRIPTIVE_REPRESENTATION_ITEM('BUNA N-BLACK','BUNA N-BLACK'); #90798=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #90799=DESCRIPTIVE_REPRESENTATION_ITEM('STEEL, C1008/C1010, HOT ROLLED', 'STEEL, C1008/C1010, HOT ROLLED'); #90800=DESCRIPTIVE_REPRESENTATION_ITEM('STAINLESS STEEL, 302/304', 'STAINLESS STEEL, 302/304'); #90801=DESCRIPTIVE_REPRESENTATION_ITEM('ZAMAK 5','ZAMAK 5'); #90802=DESCRIPTIVE_REPRESENTATION_ITEM('MEDIUM CARBON STEEL, GRADE 5', 'MEDIUM CARBON STEEL, GRADE 5'); #90803=DESCRIPTIVE_REPRESENTATION_ITEM('STEEL, SPRING C1055-C1065', 'STEEL, SPRING C1055-C1065'); #90804=DESCRIPTIVE_REPRESENTATION_ITEM('Paper','Paper'); #90805=DESCRIPTIVE_REPRESENTATION_ITEM('TPE P25-1','TPE P25-1'); #90806=PROPERTY_DEFINITION('material property','material name',#194234); #90807=PROPERTY_DEFINITION('material property','density of part',#194234); #90808=PROPERTY_DEFINITION('material property','material name',#194235); #90809=PROPERTY_DEFINITION('material property','density of part',#194235); #90810=PROPERTY_DEFINITION('material property','material name',#194237); #90811=PROPERTY_DEFINITION('material property','density of part',#194237); #90812=PROPERTY_DEFINITION('material property','material name',#194238); #90813=PROPERTY_DEFINITION('material property','density of part',#194238); #90814=PROPERTY_DEFINITION('material property','material name',#194239); #90815=PROPERTY_DEFINITION('material property','density of part',#194239); #90816=PROPERTY_DEFINITION('material property','material name',#194240); #90817=PROPERTY_DEFINITION('material property','density of part',#194240); #90818=PROPERTY_DEFINITION('material property','material name',#194241); #90819=PROPERTY_DEFINITION('material property','density of part',#194241); #90820=PROPERTY_DEFINITION('material property','material name',#194245); #90821=PROPERTY_DEFINITION('material property','density of part',#194245); #90822=PROPERTY_DEFINITION('material property','material name',#194246); #90823=PROPERTY_DEFINITION('material property','density of part',#194246); #90824=PROPERTY_DEFINITION('material property','material name',#194247); #90825=PROPERTY_DEFINITION('material property','density of part',#194247); #90826=PROPERTY_DEFINITION('material property','material name',#194248); #90827=PROPERTY_DEFINITION('material property','density of part',#194248); #90828=PROPERTY_DEFINITION('material property','material name',#194249); #90829=PROPERTY_DEFINITION('material property','density of part',#194249); #90830=PROPERTY_DEFINITION('material property','material name',#194250); #90831=PROPERTY_DEFINITION('material property','density of part',#194250); #90832=PROPERTY_DEFINITION('material property','material name',#194251); #90833=PROPERTY_DEFINITION('material property','density of part',#194251); #90834=PROPERTY_DEFINITION('material property','material name',#194252); #90835=PROPERTY_DEFINITION('material property','density of part',#194252); #90836=PROPERTY_DEFINITION('material property','material name',#194254); #90837=PROPERTY_DEFINITION('material property','density of part',#194254); #90838=PROPERTY_DEFINITION('material property','material name',#194255); #90839=PROPERTY_DEFINITION('material property','density of part',#194255); #90840=PROPERTY_DEFINITION('material property','material name',#194256); #90841=PROPERTY_DEFINITION('material property','density of part',#194256); #90842=PROPERTY_DEFINITION('material property','material name',#194257); #90843=PROPERTY_DEFINITION('material property','density of part',#194257); #90844=PROPERTY_DEFINITION('material property','material name',#194258); #90845=PROPERTY_DEFINITION('material property','density of part',#194258); #90846=PROPERTY_DEFINITION('material property','material name',#194259); #90847=PROPERTY_DEFINITION('material property','density of part',#194259); #90848=PROPERTY_DEFINITION('material property','material name',#194260); #90849=PROPERTY_DEFINITION('material property','density of part',#194260); #90850=PROPERTY_DEFINITION('material property','material name',#194261); #90851=PROPERTY_DEFINITION('material property','density of part',#194261); #90852=PROPERTY_DEFINITION('material property','material name',#194262); #90853=PROPERTY_DEFINITION('material property','density of part',#194262); #90854=PROPERTY_DEFINITION('material property','material name',#194263); #90855=PROPERTY_DEFINITION('material property','density of part',#194263); #90856=DATE_TIME_ROLE('creation_date'); #90857=DATE_TIME_ROLE('creation_date'); #90858=DATE_TIME_ROLE('creation_date'); #90859=DATE_TIME_ROLE('creation_date'); #90860=DATE_TIME_ROLE('creation_date'); #90861=DATE_TIME_ROLE('creation_date'); #90862=DATE_TIME_ROLE('creation_date'); #90863=DATE_TIME_ROLE('creation_date'); #90864=DATE_TIME_ROLE('creation_date'); #90865=DATE_TIME_ROLE('creation_date'); #90866=DATE_TIME_ROLE('creation_date'); #90867=DATE_TIME_ROLE('creation_date'); #90868=DATE_TIME_ROLE('creation_date'); #90869=DATE_TIME_ROLE('creation_date'); #90870=DATE_TIME_ROLE('creation_date'); #90871=DATE_TIME_ROLE('creation_date'); #90872=DATE_TIME_ROLE('creation_date'); #90873=DATE_TIME_ROLE('creation_date'); #90874=DATE_TIME_ROLE('creation_date'); #90875=DATE_TIME_ROLE('creation_date'); #90876=DATE_TIME_ROLE('creation_date'); #90877=DATE_TIME_ROLE('creation_date'); #90878=DATE_TIME_ROLE('creation_date'); #90879=DATE_TIME_ROLE('creation_date'); #90880=DATE_TIME_ROLE('creation_date'); #90881=DATE_TIME_ROLE('creation_date'); #90882=DATE_TIME_ROLE('creation_date'); #90883=DATE_TIME_ROLE('creation_date'); #90884=DATE_TIME_ROLE('creation_date'); #90885=DATE_TIME_ROLE('creation_date'); #90886=DATE_TIME_ROLE('creation_date'); #90887=DATE_TIME_ROLE('creation_date'); #90888=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90920,#90856,(#194232)); #90889=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90921,#90857,(#194233)); #90890=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90922,#90858,(#194234)); #90891=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90923,#90859,(#194235)); #90892=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90924,#90860,(#194236)); #90893=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90925,#90861,(#194237)); #90894=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90926,#90862,(#194238)); #90895=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90927,#90863,(#194239)); #90896=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90928,#90864,(#194240)); #90897=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90929,#90865,(#194241)); #90898=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90930,#90866,(#194242)); #90899=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90931,#90867,(#194243)); #90900=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90932,#90868,(#194244)); #90901=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90933,#90869,(#194245)); #90902=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90934,#90870,(#194246)); #90903=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90935,#90871,(#194247)); #90904=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90936,#90872,(#194248)); #90905=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90937,#90873,(#194249)); #90906=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90938,#90874,(#194250)); #90907=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90939,#90875,(#194251)); #90908=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90940,#90876,(#194252)); #90909=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90941,#90877,(#194253)); #90910=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90942,#90878,(#194254)); #90911=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90943,#90879,(#194255)); #90912=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90944,#90880,(#194256)); #90913=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90945,#90881,(#194257)); #90914=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90946,#90882,(#194258)); #90915=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90947,#90883,(#194259)); #90916=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90948,#90884,(#194260)); #90917=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90949,#90885,(#194261)); #90918=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90950,#90886,(#194262)); #90919=APPLIED_DATE_AND_TIME_ASSIGNMENT(#90951,#90887,(#194263)); #90920=DATE_AND_TIME(#90952,#90984); #90921=DATE_AND_TIME(#90953,#90985); #90922=DATE_AND_TIME(#90954,#90986); #90923=DATE_AND_TIME(#90955,#90987); #90924=DATE_AND_TIME(#90956,#90988); #90925=DATE_AND_TIME(#90957,#90989); #90926=DATE_AND_TIME(#90958,#90990); #90927=DATE_AND_TIME(#90959,#90991); #90928=DATE_AND_TIME(#90960,#90992); #90929=DATE_AND_TIME(#90961,#90993); #90930=DATE_AND_TIME(#90962,#90994); #90931=DATE_AND_TIME(#90963,#90995); #90932=DATE_AND_TIME(#90964,#90996); #90933=DATE_AND_TIME(#90965,#90997); #90934=DATE_AND_TIME(#90966,#90998); #90935=DATE_AND_TIME(#90967,#90999); #90936=DATE_AND_TIME(#90968,#91000); #90937=DATE_AND_TIME(#90969,#91001); #90938=DATE_AND_TIME(#90970,#91002); #90939=DATE_AND_TIME(#90971,#91003); #90940=DATE_AND_TIME(#90972,#91004); #90941=DATE_AND_TIME(#90973,#91005); #90942=DATE_AND_TIME(#90974,#91006); #90943=DATE_AND_TIME(#90975,#91007); #90944=DATE_AND_TIME(#90976,#91008); #90945=DATE_AND_TIME(#90977,#91009); #90946=DATE_AND_TIME(#90978,#91010); #90947=DATE_AND_TIME(#90979,#91011); #90948=DATE_AND_TIME(#90980,#91012); #90949=DATE_AND_TIME(#90981,#91013); #90950=DATE_AND_TIME(#90982,#91014); #90951=DATE_AND_TIME(#90983,#91015); #90952=CALENDAR_DATE(2018,11,7); #90953=CALENDAR_DATE(2018,11,7); #90954=CALENDAR_DATE(2018,11,7); #90955=CALENDAR_DATE(2018,15,6); #90956=CALENDAR_DATE(2018,15,6); #90957=CALENDAR_DATE(2018,15,6); #90958=CALENDAR_DATE(2018,15,6); #90959=CALENDAR_DATE(2018,10,3); #90960=CALENDAR_DATE(2018,20,3); #90961=CALENDAR_DATE(2018,30,11); #90962=CALENDAR_DATE(2018,15,6); #90963=CALENDAR_DATE(2018,15,6); #90964=CALENDAR_DATE(2018,15,6); #90965=CALENDAR_DATE(2018,15,6); #90966=CALENDAR_DATE(2018,13,11); #90967=CALENDAR_DATE(2008,10,11); #90968=CALENDAR_DATE(2010,22,7); #90969=CALENDAR_DATE(2004,29,6); #90970=CALENDAR_DATE(2000,29,10); #90971=CALENDAR_DATE(2011,27,4); #90972=CALENDAR_DATE(2018,16,10); #90973=CALENDAR_DATE(2018,15,6); #90974=CALENDAR_DATE(2018,15,6); #90975=CALENDAR_DATE(2018,20,3); #90976=CALENDAR_DATE(2005,29,11); #90977=CALENDAR_DATE(2018,15,6); #90978=CALENDAR_DATE(2018,15,6); #90979=CALENDAR_DATE(2018,15,6); #90980=CALENDAR_DATE(2019,18,9); #90981=CALENDAR_DATE(2006,27,3); #90982=CALENDAR_DATE(2020,6,3); #90983=CALENDAR_DATE(2018,5,9); #90984=LOCAL_TIME(0,0,0.,#91016); #90985=LOCAL_TIME(0,0,0.,#91017); #90986=LOCAL_TIME(0,0,0.,#91018); #90987=LOCAL_TIME(0,0,0.,#91019); #90988=LOCAL_TIME(0,0,0.,#91020); #90989=LOCAL_TIME(0,0,0.,#91021); #90990=LOCAL_TIME(0,0,0.,#91022); #90991=LOCAL_TIME(0,0,0.,#91023); #90992=LOCAL_TIME(0,0,0.,#91024); #90993=LOCAL_TIME(0,0,0.,#91025); #90994=LOCAL_TIME(0,0,0.,#91026); #90995=LOCAL_TIME(0,0,0.,#91027); #90996=LOCAL_TIME(0,0,0.,#91028); #90997=LOCAL_TIME(0,0,0.,#91029); #90998=LOCAL_TIME(0,0,0.,#91030); #90999=LOCAL_TIME(0,0,0.,#91031); #91000=LOCAL_TIME(0,0,0.,#91032); #91001=LOCAL_TIME(0,0,0.,#91033); #91002=LOCAL_TIME(0,0,0.,#91034); #91003=LOCAL_TIME(0,0,0.,#91035); #91004=LOCAL_TIME(0,0,0.,#91036); #91005=LOCAL_TIME(0,0,0.,#91037); #91006=LOCAL_TIME(0,0,0.,#91038); #91007=LOCAL_TIME(0,0,0.,#91039); #91008=LOCAL_TIME(0,0,0.,#91040); #91009=LOCAL_TIME(0,0,0.,#91041); #91010=LOCAL_TIME(0,0,0.,#91042); #91011=LOCAL_TIME(0,0,0.,#91043); #91012=LOCAL_TIME(0,0,0.,#91044); #91013=LOCAL_TIME(0,0,0.,#91045); #91014=LOCAL_TIME(0,0,0.,#91046); #91015=LOCAL_TIME(0,0,0.,#91047); #91016=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91017=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91018=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91019=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91020=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91021=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91022=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91023=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91024=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91025=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91026=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91027=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91028=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91029=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91030=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91031=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91032=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91033=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91034=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91035=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91036=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91037=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91038=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91039=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91040=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91041=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91042=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91043=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91044=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91045=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91046=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91047=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #91048=AXIS2_PLACEMENT_3D('',#120307,#98623,#98624); #91049=AXIS2_PLACEMENT_3D('',#120308,#98625,#98626); #91050=AXIS2_PLACEMENT_3D('',#120309,#98627,#98628); #91051=AXIS2_PLACEMENT_3D('',#120362,#98629,#98630); #91052=AXIS2_PLACEMENT_3D('',#120363,#98631,#98632); #91053=AXIS2_PLACEMENT_3D('',#120421,#98633,#98634); #91054=AXIS2_PLACEMENT_3D('',#120466,#98635,#98636); #91055=AXIS2_PLACEMENT_3D('',#120524,#98637,#98638); #91056=AXIS2_PLACEMENT_3D('',#120525,#98639,#98640); #91057=AXIS2_PLACEMENT_3D('',#120580,#98641,#98642); #91058=AXIS2_PLACEMENT_3D('',#120581,#98643,#98644); #91059=AXIS2_PLACEMENT_3D('',#120586,#98646,#98647); #91060=AXIS2_PLACEMENT_3D('',#120591,#98648,#98649); #91061=AXIS2_PLACEMENT_3D('',#120604,#98651,#98652); #91062=AXIS2_PLACEMENT_3D('',#120614,#98653,#98654); #91063=AXIS2_PLACEMENT_3D('',#120626,#98656,#98657); #91064=AXIS2_PLACEMENT_3D('',#120640,#98658,#98659); #91065=AXIS2_PLACEMENT_3D('',#120653,#98661,#98662); #91066=AXIS2_PLACEMENT_3D('',#120660,#98663,#98664); #91067=AXIS2_PLACEMENT_3D('',#120665,#98666,#98667); #91068=AXIS2_PLACEMENT_3D('',#120670,#98668,#98669); #91069=AXIS2_PLACEMENT_3D('',#120683,#98671,#98672); #91070=AXIS2_PLACEMENT_3D('',#120693,#98673,#98674); #91071=AXIS2_PLACEMENT_3D('',#120715,#98676,#98677); #91072=AXIS2_PLACEMENT_3D('',#120753,#98678,#98679); #91073=AXIS2_PLACEMENT_3D('',#120755,#98680,#98681); #91074=AXIS2_PLACEMENT_3D('',#120756,#98682,#98683); #91075=AXIS2_PLACEMENT_3D('',#120757,#98684,#98685); #91076=AXIS2_PLACEMENT_3D('',#120758,#98686,#98687); #91077=AXIS2_PLACEMENT_3D('',#120761,#98688,#98689); #91078=AXIS2_PLACEMENT_3D('',#120763,#98690,#98691); #91079=AXIS2_PLACEMENT_3D('',#120765,#98692,#98693); #91080=AXIS2_PLACEMENT_3D('',#120766,#98694,#98695); #91081=AXIS2_PLACEMENT_3D('',#120767,#98696,#98697); #91082=AXIS2_PLACEMENT_3D('',#120770,#98698,#98699); #91083=AXIS2_PLACEMENT_3D('',#120771,#98700,#98701); #91084=AXIS2_PLACEMENT_3D('',#120772,#98702,#98703); #91085=AXIS2_PLACEMENT_3D('',#120773,#98704,#98705); #91086=AXIS2_PLACEMENT_3D('',#120776,#98706,#98707); #91087=AXIS2_PLACEMENT_3D('',#120777,#98708,#98709); #91088=AXIS2_PLACEMENT_3D('',#120778,#98710,#98711); #91089=AXIS2_PLACEMENT_3D('',#120779,#98712,#98713); #91090=AXIS2_PLACEMENT_3D('',#120782,#98714,#98715); #91091=AXIS2_PLACEMENT_3D('',#120783,#98716,#98717); #91092=AXIS2_PLACEMENT_3D('',#120784,#98718,#98719); #91093=AXIS2_PLACEMENT_3D('',#120785,#98720,#98721); #91094=AXIS2_PLACEMENT_3D('',#120788,#98722,#98723); #91095=AXIS2_PLACEMENT_3D('',#120789,#98724,#98725); #91096=AXIS2_PLACEMENT_3D('',#120790,#98726,#98727); #91097=AXIS2_PLACEMENT_3D('',#120791,#98728,#98729); #91098=AXIS2_PLACEMENT_3D('',#120794,#98730,#98731); #91099=AXIS2_PLACEMENT_3D('',#120795,#98732,#98733); #91100=AXIS2_PLACEMENT_3D('',#120796,#98734,#98735); #91101=AXIS2_PLACEMENT_3D('',#120797,#98736,#98737); #91102=AXIS2_PLACEMENT_3D('',#120800,#98738,#98739); #91103=AXIS2_PLACEMENT_3D('',#120801,#98740,#98741); #91104=AXIS2_PLACEMENT_3D('',#120802,#98742,#98743); #91105=AXIS2_PLACEMENT_3D('',#120803,#98744,#98745); #91106=AXIS2_PLACEMENT_3D('',#120804,#98746,#98747); #91107=AXIS2_PLACEMENT_3D('',#120805,#98748,#98749); #91108=AXIS2_PLACEMENT_3D('',#120806,#98750,#98751); #91109=AXIS2_PLACEMENT_3D('',#120808,#98752,#98753); #91110=AXIS2_PLACEMENT_3D('',#120810,#98754,#98755); #91111=AXIS2_PLACEMENT_3D('',#120811,#98756,#98757); #91112=AXIS2_PLACEMENT_3D('',#120822,#98758,#98759); #91113=AXIS2_PLACEMENT_3D('',#120823,#98760,#98761); #91114=AXIS2_PLACEMENT_3D('',#120824,#98762,#98763); #91115=AXIS2_PLACEMENT_3D('',#120826,#98764,#98765); #91116=AXIS2_PLACEMENT_3D('',#120827,#98766,#98767); #91117=AXIS2_PLACEMENT_3D('',#120838,#98768,#98769); #91118=AXIS2_PLACEMENT_3D('',#120839,#98770,#98771); #91119=AXIS2_PLACEMENT_3D('',#120840,#98772,#98773); #91120=AXIS2_PLACEMENT_3D('',#120843,#98774,#98775); #91121=AXIS2_PLACEMENT_3D('',#120845,#98776,#98777); #91122=AXIS2_PLACEMENT_3D('',#120847,#98778,#98779); #91123=AXIS2_PLACEMENT_3D('',#120849,#98780,#98781); #91124=AXIS2_PLACEMENT_3D('',#120851,#98782,#98783); #91125=AXIS2_PLACEMENT_3D('',#120853,#98784,#98785); #91126=AXIS2_PLACEMENT_3D('',#120855,#98786,#98787); #91127=AXIS2_PLACEMENT_3D('',#120856,#98788,#98789); #91128=AXIS2_PLACEMENT_3D('',#120857,#98790,#98791); #91129=AXIS2_PLACEMENT_3D('',#120860,#98792,#98793); #91130=AXIS2_PLACEMENT_3D('',#120862,#98794,#98795); #91131=AXIS2_PLACEMENT_3D('',#120864,#98796,#98797); #91132=AXIS2_PLACEMENT_3D('',#120865,#98798,#98799); #91133=AXIS2_PLACEMENT_3D('',#120866,#98800,#98801); #91134=AXIS2_PLACEMENT_3D('',#120869,#98802,#98803); #91135=AXIS2_PLACEMENT_3D('',#120870,#98804,#98805); #91136=AXIS2_PLACEMENT_3D('',#120871,#98806,#98807); #91137=AXIS2_PLACEMENT_3D('',#120872,#98808,#98809); #91138=AXIS2_PLACEMENT_3D('',#120875,#98810,#98811); #91139=AXIS2_PLACEMENT_3D('',#120876,#98812,#98813); #91140=AXIS2_PLACEMENT_3D('',#120877,#98814,#98815); #91141=AXIS2_PLACEMENT_3D('',#120878,#98816,#98817); #91142=AXIS2_PLACEMENT_3D('',#120881,#98818,#98819); #91143=AXIS2_PLACEMENT_3D('',#120882,#98820,#98821); #91144=AXIS2_PLACEMENT_3D('',#120883,#98822,#98823); #91145=AXIS2_PLACEMENT_3D('',#120884,#98824,#98825); #91146=AXIS2_PLACEMENT_3D('',#120887,#98826,#98827); #91147=AXIS2_PLACEMENT_3D('',#120888,#98828,#98829); #91148=AXIS2_PLACEMENT_3D('',#120889,#98830,#98831); #91149=AXIS2_PLACEMENT_3D('',#120890,#98832,#98833); #91150=AXIS2_PLACEMENT_3D('',#120893,#98834,#98835); #91151=AXIS2_PLACEMENT_3D('',#120894,#98836,#98837); #91152=AXIS2_PLACEMENT_3D('',#120895,#98838,#98839); #91153=AXIS2_PLACEMENT_3D('',#120896,#98840,#98841); #91154=AXIS2_PLACEMENT_3D('',#120899,#98842,#98843); #91155=AXIS2_PLACEMENT_3D('',#120900,#98844,#98845); #91156=AXIS2_PLACEMENT_3D('',#120901,#98846,#98847); #91157=AXIS2_PLACEMENT_3D('',#120902,#98848,#98849); #91158=AXIS2_PLACEMENT_3D('',#120903,#98850,#98851); #91159=AXIS2_PLACEMENT_3D('',#120904,#98852,#98853); #91160=AXIS2_PLACEMENT_3D('',#120905,#98854,#98855); #91161=AXIS2_PLACEMENT_3D('',#120909,#98857,#98858); #91162=AXIS2_PLACEMENT_3D('',#120911,#98860,#98861); #91163=AXIS2_PLACEMENT_3D('',#120914,#98863,#98864); #91164=AXIS2_PLACEMENT_3D('',#120915,#98865,#98866); #91165=AXIS2_PLACEMENT_3D('',#120918,#98868,#98869); #91166=AXIS2_PLACEMENT_3D('',#120919,#98870,#98871); #91167=AXIS2_PLACEMENT_3D('',#120922,#98873,#98874); #91168=AXIS2_PLACEMENT_3D('',#120923,#98875,#98876); #91169=AXIS2_PLACEMENT_3D('',#120926,#98877,#98878); #91170=AXIS2_PLACEMENT_3D('',#120928,#98879,#98880); #91171=AXIS2_PLACEMENT_3D('',#120930,#98881,#98882); #91172=AXIS2_PLACEMENT_3D('',#120932,#98883,#98884); #91173=AXIS2_PLACEMENT_3D('',#120934,#98885,#98886); #91174=AXIS2_PLACEMENT_3D('',#120936,#98887,#98888); #91175=AXIS2_PLACEMENT_3D('',#120938,#98889,#98890); #91176=AXIS2_PLACEMENT_3D('',#120939,#98891,#98892); #91177=AXIS2_PLACEMENT_3D('',#120940,#98893,#98894); #91178=AXIS2_PLACEMENT_3D('',#120943,#98895,#98896); #91179=AXIS2_PLACEMENT_3D('',#120945,#98897,#98898); #91180=AXIS2_PLACEMENT_3D('',#120947,#98899,#98900); #91181=AXIS2_PLACEMENT_3D('',#120948,#98901,#98902); #91182=AXIS2_PLACEMENT_3D('',#120949,#98903,#98904); #91183=AXIS2_PLACEMENT_3D('',#120952,#98905,#98906); #91184=AXIS2_PLACEMENT_3D('',#120953,#98907,#98908); #91185=AXIS2_PLACEMENT_3D('',#120954,#98909,#98910); #91186=AXIS2_PLACEMENT_3D('',#120955,#98911,#98912); #91187=AXIS2_PLACEMENT_3D('',#120958,#98913,#98914); #91188=AXIS2_PLACEMENT_3D('',#120959,#98915,#98916); #91189=AXIS2_PLACEMENT_3D('',#120960,#98917,#98918); #91190=AXIS2_PLACEMENT_3D('',#120961,#98919,#98920); #91191=AXIS2_PLACEMENT_3D('',#120964,#98921,#98922); #91192=AXIS2_PLACEMENT_3D('',#120965,#98923,#98924); #91193=AXIS2_PLACEMENT_3D('',#120966,#98925,#98926); #91194=AXIS2_PLACEMENT_3D('',#120967,#98927,#98928); #91195=AXIS2_PLACEMENT_3D('',#120970,#98929,#98930); #91196=AXIS2_PLACEMENT_3D('',#120971,#98931,#98932); #91197=AXIS2_PLACEMENT_3D('',#120972,#98933,#98934); #91198=AXIS2_PLACEMENT_3D('',#120973,#98935,#98936); #91199=AXIS2_PLACEMENT_3D('',#120976,#98937,#98938); #91200=AXIS2_PLACEMENT_3D('',#120977,#98939,#98940); #91201=AXIS2_PLACEMENT_3D('',#120978,#98941,#98942); #91202=AXIS2_PLACEMENT_3D('',#120979,#98943,#98944); #91203=AXIS2_PLACEMENT_3D('',#120982,#98945,#98946); #91204=AXIS2_PLACEMENT_3D('',#120983,#98947,#98948); #91205=AXIS2_PLACEMENT_3D('',#120984,#98949,#98950); #91206=AXIS2_PLACEMENT_3D('',#120985,#98951,#98952); #91207=AXIS2_PLACEMENT_3D('',#120986,#98953,#98954); #91208=AXIS2_PLACEMENT_3D('',#120987,#98955,#98956); #91209=AXIS2_PLACEMENT_3D('',#120988,#98957,#98958); #91210=AXIS2_PLACEMENT_3D('',#120992,#98960,#98961); #91211=AXIS2_PLACEMENT_3D('',#120994,#98963,#98964); #91212=AXIS2_PLACEMENT_3D('',#120997,#98966,#98967); #91213=AXIS2_PLACEMENT_3D('',#120998,#98968,#98969); #91214=AXIS2_PLACEMENT_3D('',#121001,#98971,#98972); #91215=AXIS2_PLACEMENT_3D('',#121002,#98973,#98974); #91216=AXIS2_PLACEMENT_3D('',#121005,#98976,#98977); #91217=AXIS2_PLACEMENT_3D('',#121006,#98978,#98979); #91218=AXIS2_PLACEMENT_3D('',#121022,#98981,#98982); #91219=AXIS2_PLACEMENT_3D('',#121045,#98985,#98986); #91220=AXIS2_PLACEMENT_3D('',#121047,#98987,#98988); #91221=AXIS2_PLACEMENT_3D('',#121057,#98990,#98991); #91222=AXIS2_PLACEMENT_3D('',#121067,#98993,#98994); #91223=AXIS2_PLACEMENT_3D('',#121077,#98996,#98997); #91224=AXIS2_PLACEMENT_3D('',#121087,#98999,#99000); #91225=AXIS2_PLACEMENT_3D('',#121097,#99002,#99003); #91226=AXIS2_PLACEMENT_3D('',#121107,#99005,#99006); #91227=AXIS2_PLACEMENT_3D('',#121117,#99008,#99009); #91228=AXIS2_PLACEMENT_3D('',#121127,#99011,#99012); #91229=AXIS2_PLACEMENT_3D('',#121137,#99014,#99015); #91230=AXIS2_PLACEMENT_3D('',#121147,#99017,#99018); #91231=AXIS2_PLACEMENT_3D('',#121149,#99019,#99020); #91232=AXIS2_PLACEMENT_3D('',#121172,#99023,#99024); #91233=AXIS2_PLACEMENT_3D('',#121206,#99027,#99028); #91234=AXIS2_PLACEMENT_3D('',#121226,#99032,#99033); #91235=AXIS2_PLACEMENT_3D('',#121228,#99034,#99035); #91236=AXIS2_PLACEMENT_3D('',#121248,#99039,#99040); #91237=AXIS2_PLACEMENT_3D('',#121284,#99043,#99044); #91238=AXIS2_PLACEMENT_3D('',#121320,#99047,#99048); #91239=AXIS2_PLACEMENT_3D('',#121340,#99052,#99053); #91240=AXIS2_PLACEMENT_3D('',#121342,#99054,#99055); #91241=AXIS2_PLACEMENT_3D('',#121362,#99059,#99060); #91242=AXIS2_PLACEMENT_3D('',#121384,#99062,#99063); #91243=AXIS2_PLACEMENT_3D('',#121386,#99064,#99065); #91244=AXIS2_PLACEMENT_3D('',#121388,#99066,#99067); #91245=AXIS2_PLACEMENT_3D('',#121389,#99068,#99069); #91246=AXIS2_PLACEMENT_3D('',#121390,#99070,#99071); #91247=AXIS2_PLACEMENT_3D('',#121392,#99072,#99073); #91248=AXIS2_PLACEMENT_3D('',#121394,#99074,#99075); #91249=AXIS2_PLACEMENT_3D('',#121395,#99076,#99077); #91250=AXIS2_PLACEMENT_3D('',#121396,#99078,#99079); #91251=AXIS2_PLACEMENT_3D('',#121398,#99080,#99081); #91252=AXIS2_PLACEMENT_3D('',#121400,#99082,#99083); #91253=AXIS2_PLACEMENT_3D('',#121401,#99084,#99085); #91254=AXIS2_PLACEMENT_3D('',#121402,#99086,#99087); #91255=AXIS2_PLACEMENT_3D('',#121404,#99088,#99089); #91256=AXIS2_PLACEMENT_3D('',#121406,#99090,#99091); #91257=AXIS2_PLACEMENT_3D('',#121407,#99092,#99093); #91258=AXIS2_PLACEMENT_3D('',#121408,#99094,#99095); #91259=AXIS2_PLACEMENT_3D('',#121409,#99096,#99097); #91260=AXIS2_PLACEMENT_3D('',#121411,#99098,#99099); #91261=AXIS2_PLACEMENT_3D('',#121412,#99100,#99101); #91262=AXIS2_PLACEMENT_3D('',#121413,#99102,#99103); #91263=AXIS2_PLACEMENT_3D('',#121415,#99104,#99105); #91264=AXIS2_PLACEMENT_3D('',#121416,#99106,#99107); #91265=AXIS2_PLACEMENT_3D('',#121417,#99108,#99109); #91266=AXIS2_PLACEMENT_3D('',#121419,#99110,#99111); #91267=AXIS2_PLACEMENT_3D('',#121420,#99112,#99113); #91268=AXIS2_PLACEMENT_3D('',#121421,#99114,#99115); #91269=AXIS2_PLACEMENT_3D('',#121422,#99116,#99117); #91270=AXIS2_PLACEMENT_3D('',#121433,#99118,#99119); #91271=AXIS2_PLACEMENT_3D('',#121435,#99120,#99121); #91272=AXIS2_PLACEMENT_3D('',#121436,#99122,#99123); #91273=AXIS2_PLACEMENT_3D('',#121437,#99124,#99125); #91274=AXIS2_PLACEMENT_3D('',#121439,#99126,#99127); #91275=AXIS2_PLACEMENT_3D('',#121440,#99128,#99129); #91276=AXIS2_PLACEMENT_3D('',#121451,#99130,#99131); #91277=AXIS2_PLACEMENT_3D('',#121452,#99132,#99133); #91278=AXIS2_PLACEMENT_3D('',#121453,#99134,#99135); #91279=AXIS2_PLACEMENT_3D('',#121455,#99136,#99137); #91280=AXIS2_PLACEMENT_3D('',#121456,#99138,#99139); #91281=AXIS2_PLACEMENT_3D('',#121457,#99140,#99141); #91282=AXIS2_PLACEMENT_3D('',#121460,#99144,#99145); #91283=AXIS2_PLACEMENT_3D('',#121462,#99147,#99148); #91284=AXIS2_PLACEMENT_3D('',#121463,#99149,#99150); #91285=AXIS2_PLACEMENT_3D('',#121465,#99151,#99152); #91286=AXIS2_PLACEMENT_3D('',#121467,#99153,#99154); #91287=AXIS2_PLACEMENT_3D('',#121468,#99155,#99156); #91288=AXIS2_PLACEMENT_3D('',#121469,#99157,#99158); #91289=AXIS2_PLACEMENT_3D('',#121471,#99159,#99160); #91290=AXIS2_PLACEMENT_3D('',#121472,#99161,#99162); #91291=AXIS2_PLACEMENT_3D('',#121473,#99163,#99164); #91292=AXIS2_PLACEMENT_3D('',#121475,#99165,#99166); #91293=AXIS2_PLACEMENT_3D('',#121476,#99167,#99168); #91294=AXIS2_PLACEMENT_3D('',#121477,#99169,#99170); #91295=AXIS2_PLACEMENT_3D('',#121479,#99171,#99172); #91296=AXIS2_PLACEMENT_3D('',#121480,#99173,#99174); #91297=AXIS2_PLACEMENT_3D('',#121481,#99175,#99176); #91298=AXIS2_PLACEMENT_3D('',#121483,#99177,#99178); #91299=AXIS2_PLACEMENT_3D('',#121484,#99179,#99180); #91300=AXIS2_PLACEMENT_3D('',#121485,#99181,#99182); #91301=AXIS2_PLACEMENT_3D('',#121487,#99183,#99184); #91302=AXIS2_PLACEMENT_3D('',#121488,#99185,#99186); #91303=AXIS2_PLACEMENT_3D('',#121489,#99187,#99188); #91304=AXIS2_PLACEMENT_3D('',#121491,#99189,#99190); #91305=AXIS2_PLACEMENT_3D('',#121492,#99191,#99192); #91306=AXIS2_PLACEMENT_3D('',#121493,#99193,#99194); #91307=AXIS2_PLACEMENT_3D('',#121494,#99195,#99196); #91308=AXIS2_PLACEMENT_3D('',#121495,#99197,#99198); #91309=AXIS2_PLACEMENT_3D('',#121499,#99200,#99201); #91310=AXIS2_PLACEMENT_3D('',#121501,#99203,#99204); #91311=AXIS2_PLACEMENT_3D('',#121504,#99206,#99207); #91312=AXIS2_PLACEMENT_3D('',#121505,#99208,#99209); #91313=AXIS2_PLACEMENT_3D('',#121508,#99211,#99212); #91314=AXIS2_PLACEMENT_3D('',#121509,#99213,#99214); #91315=AXIS2_PLACEMENT_3D('',#121512,#99216,#99217); #91316=AXIS2_PLACEMENT_3D('',#121513,#99218,#99219); #91317=AXIS2_PLACEMENT_3D('',#121515,#99220,#99221); #91318=AXIS2_PLACEMENT_3D('',#121517,#99222,#99223); #91319=AXIS2_PLACEMENT_3D('',#121518,#99224,#99225); #91320=AXIS2_PLACEMENT_3D('',#121519,#99226,#99227); #91321=AXIS2_PLACEMENT_3D('',#121521,#99228,#99229); #91322=AXIS2_PLACEMENT_3D('',#121522,#99230,#99231); #91323=AXIS2_PLACEMENT_3D('',#121523,#99232,#99233); #91324=AXIS2_PLACEMENT_3D('',#121524,#99234,#99235); #91325=AXIS2_PLACEMENT_3D('',#121526,#99236,#99237); #91326=AXIS2_PLACEMENT_3D('',#121527,#99238,#99239); #91327=AXIS2_PLACEMENT_3D('',#121528,#99240,#99241); #91328=AXIS2_PLACEMENT_3D('',#121530,#99242,#99243); #91329=AXIS2_PLACEMENT_3D('',#121531,#99244,#99245); #91330=AXIS2_PLACEMENT_3D('',#121532,#99246,#99247); #91331=AXIS2_PLACEMENT_3D('',#121534,#99248,#99249); #91332=AXIS2_PLACEMENT_3D('',#121535,#99250,#99251); #91333=AXIS2_PLACEMENT_3D('',#121536,#99252,#99253); #91334=AXIS2_PLACEMENT_3D('',#121537,#99254,#99255); #91335=AXIS2_PLACEMENT_3D('',#121538,#99256,#99257); #91336=AXIS2_PLACEMENT_3D('',#121539,#99258,#99259); #91337=AXIS2_PLACEMENT_3D('',#121540,#99260,#99261); #91338=AXIS2_PLACEMENT_3D('',#121541,#99262,#99263); #91339=AXIS2_PLACEMENT_3D('',#121542,#99264,#99265); #91340=AXIS2_PLACEMENT_3D('',#121552,#99266,#99267); #91341=AXIS2_PLACEMENT_3D('',#121553,#99268,#99269); #91342=AXIS2_PLACEMENT_3D('',#121555,#99271,#99272); #91343=AXIS2_PLACEMENT_3D('',#121557,#99274,#99275); #91344=AXIS2_PLACEMENT_3D('',#121585,#99277,#99278); #91345=AXIS2_PLACEMENT_3D('',#121637,#99279,#99280); #91346=AXIS2_PLACEMENT_3D('',#121666,#99281,#99282); #91347=AXIS2_PLACEMENT_3D('',#121701,#99283,#99284); #91348=AXIS2_PLACEMENT_3D('',#121775,#99285,#99286); #91349=AXIS2_PLACEMENT_3D('',#121785,#99287,#99288); #91350=AXIS2_PLACEMENT_3D('',#121820,#99289,#99290); #91351=AXIS2_PLACEMENT_3D('',#121878,#99291,#99292); #91352=AXIS2_PLACEMENT_3D('',#121923,#99293,#99294); #91353=AXIS2_PLACEMENT_3D('',#121952,#99295,#99296); #91354=AXIS2_PLACEMENT_3D('',#121983,#99297,#99298); #91355=AXIS2_PLACEMENT_3D('',#122099,#99299,#99300); #91356=AXIS2_PLACEMENT_3D('',#122151,#99301,#99302); #91357=AXIS2_PLACEMENT_3D('',#122158,#99303,#99304); #91358=AXIS2_PLACEMENT_3D('',#122161,#99305,#99306); #91359=AXIS2_PLACEMENT_3D('',#122190,#99309,#99310); #91360=AXIS2_PLACEMENT_3D('',#122259,#99311,#99312); #91361=AXIS2_PLACEMENT_3D('',#122261,#99313,#99314); #91362=AXIS2_PLACEMENT_3D('',#122262,#99315,#99316); #91363=AXIS2_PLACEMENT_3D('',#122263,#99317,#99318); #91364=AXIS2_PLACEMENT_3D('',#122298,#99319,#99320); #91365=AXIS2_PLACEMENT_3D('',#122356,#99321,#99322); #91366=AXIS2_PLACEMENT_3D('',#122401,#99323,#99324); #91367=AXIS2_PLACEMENT_3D('',#122408,#99325,#99326); #91368=AXIS2_PLACEMENT_3D('',#122411,#99327,#99328); #91369=AXIS2_PLACEMENT_3D('',#122436,#99331,#99332); #91370=AXIS2_PLACEMENT_3D('',#122502,#99333,#99334); #91371=AXIS2_PLACEMENT_3D('',#122503,#99335,#99336); #91372=AXIS2_PLACEMENT_3D('',#122504,#99337,#99338); #91373=AXIS2_PLACEMENT_3D('',#122531,#99339,#99340); #91374=AXIS2_PLACEMENT_3D('',#122583,#99341,#99342); #91375=AXIS2_PLACEMENT_3D('',#122590,#99343,#99344); #91376=AXIS2_PLACEMENT_3D('',#122593,#99345,#99346); #91377=AXIS2_PLACEMENT_3D('',#122622,#99349,#99350); #91378=AXIS2_PLACEMENT_3D('',#122691,#99351,#99352); #91379=AXIS2_PLACEMENT_3D('',#122693,#99353,#99354); #91380=AXIS2_PLACEMENT_3D('',#122694,#99355,#99356); #91381=AXIS2_PLACEMENT_3D('',#122695,#99357,#99358); #91382=AXIS2_PLACEMENT_3D('',#122730,#99359,#99360); #91383=AXIS2_PLACEMENT_3D('',#122788,#99361,#99362); #91384=AXIS2_PLACEMENT_3D('',#122833,#99363,#99364); #91385=AXIS2_PLACEMENT_3D('',#122840,#99365,#99366); #91386=AXIS2_PLACEMENT_3D('',#122843,#99367,#99368); #91387=AXIS2_PLACEMENT_3D('',#122868,#99371,#99372); #91388=AXIS2_PLACEMENT_3D('',#122934,#99373,#99374); #91389=AXIS2_PLACEMENT_3D('',#122935,#99375,#99376); #91390=AXIS2_PLACEMENT_3D('',#122936,#99377,#99378); #91391=AXIS2_PLACEMENT_3D('',#122937,#99379,#99380); #91392=AXIS2_PLACEMENT_3D('',#122938,#99381,#99382); #91393=AXIS2_PLACEMENT_3D('',#122960,#99383,#99384); #91394=AXIS2_PLACEMENT_3D('',#122962,#99385,#99386); #91395=AXIS2_PLACEMENT_3D('',#122963,#99387,#99388); #91396=AXIS2_PLACEMENT_3D('',#122989,#99389,#99390); #91397=AXIS2_PLACEMENT_3D('',#122999,#99391,#99392); #91398=AXIS2_PLACEMENT_3D('',#123000,#99393,#99394); #91399=AXIS2_PLACEMENT_3D('',#123001,#99395,#99396); #91400=AXIS2_PLACEMENT_3D('',#123033,#99397,#99398); #91401=AXIS2_PLACEMENT_3D('',#123063,#99399,#99400); #91402=AXIS2_PLACEMENT_3D('',#123073,#99401,#99402); #91403=AXIS2_PLACEMENT_3D('',#123074,#99403,#99404); #91404=AXIS2_PLACEMENT_3D('',#123075,#99405,#99406); #91405=AXIS2_PLACEMENT_3D('',#123076,#99407,#99408); #91406=AXIS2_PLACEMENT_3D('',#123077,#99409,#99410); #91407=AXIS2_PLACEMENT_3D('',#123078,#99411,#99412); #91408=AXIS2_PLACEMENT_3D('',#123079,#99413,#99414); #91409=AXIS2_PLACEMENT_3D('',#123101,#99415,#99416); #91410=AXIS2_PLACEMENT_3D('',#123103,#99417,#99418); #91411=AXIS2_PLACEMENT_3D('',#123104,#99419,#99420); #91412=AXIS2_PLACEMENT_3D('',#123130,#99421,#99422); #91413=AXIS2_PLACEMENT_3D('',#123140,#99423,#99424); #91414=AXIS2_PLACEMENT_3D('',#123141,#99425,#99426); #91415=AXIS2_PLACEMENT_3D('',#123142,#99427,#99428); #91416=AXIS2_PLACEMENT_3D('',#123165,#99429,#99430); #91417=AXIS2_PLACEMENT_3D('',#123172,#99431,#99432); #91418=AXIS2_PLACEMENT_3D('',#123199,#99433,#99434); #91419=AXIS2_PLACEMENT_3D('',#123200,#99435,#99436); #91420=AXIS2_PLACEMENT_3D('',#123201,#99437,#99438); #91421=AXIS2_PLACEMENT_3D('',#123224,#99439,#99440); #91422=AXIS2_PLACEMENT_3D('',#123233,#99441,#99442); #91423=AXIS2_PLACEMENT_3D('',#123236,#99443,#99444); #91424=AXIS2_PLACEMENT_3D('',#123271,#99447,#99448); #91425=AXIS2_PLACEMENT_3D('',#123284,#99449,#99450); #91426=AXIS2_PLACEMENT_3D('',#123287,#99451,#99452); #91427=AXIS2_PLACEMENT_3D('',#123288,#99453,#99454); #91428=AXIS2_PLACEMENT_3D('',#123289,#99455,#99456); #91429=AXIS2_PLACEMENT_3D('',#123322,#99457,#99458); #91430=AXIS2_PLACEMENT_3D('',#123335,#99459,#99460); #91431=AXIS2_PLACEMENT_3D('',#123338,#99461,#99462); #91432=AXIS2_PLACEMENT_3D('',#123363,#99465,#99466); #91433=AXIS2_PLACEMENT_3D('',#123394,#99467,#99468); #91434=AXIS2_PLACEMENT_3D('',#123395,#99469,#99470); #91435=AXIS2_PLACEMENT_3D('',#123396,#99471,#99472); #91436=AXIS2_PLACEMENT_3D('',#123419,#99473,#99474); #91437=AXIS2_PLACEMENT_3D('',#123428,#99475,#99476); #91438=AXIS2_PLACEMENT_3D('',#123431,#99477,#99478); #91439=AXIS2_PLACEMENT_3D('',#123432,#99479,#99480); #91440=AXIS2_PLACEMENT_3D('',#123433,#99481,#99482); #91441=AXIS2_PLACEMENT_3D('',#123456,#99483,#99484); #91442=AXIS2_PLACEMENT_3D('',#123487,#99485,#99486); #91443=AXIS2_PLACEMENT_3D('',#123488,#99487,#99488); #91444=AXIS2_PLACEMENT_3D('',#123489,#99489,#99490); #91445=AXIS2_PLACEMENT_3D('',#123512,#99491,#99492); #91446=AXIS2_PLACEMENT_3D('',#123521,#99493,#99494); #91447=AXIS2_PLACEMENT_3D('',#123524,#99495,#99496); #91448=AXIS2_PLACEMENT_3D('',#123559,#99499,#99500); #91449=AXIS2_PLACEMENT_3D('',#123572,#99501,#99502); #91450=AXIS2_PLACEMENT_3D('',#123575,#99503,#99504); #91451=AXIS2_PLACEMENT_3D('',#123576,#99505,#99506); #91452=AXIS2_PLACEMENT_3D('',#123578,#99507,#99508); #91453=AXIS2_PLACEMENT_3D('',#123579,#99509,#99510); #91454=AXIS2_PLACEMENT_3D('',#123612,#99511,#99512); #91455=AXIS2_PLACEMENT_3D('',#123625,#99513,#99514); #91456=AXIS2_PLACEMENT_3D('',#123628,#99515,#99516); #91457=AXIS2_PLACEMENT_3D('',#123653,#99519,#99520); #91458=AXIS2_PLACEMENT_3D('',#123684,#99521,#99522); #91459=AXIS2_PLACEMENT_3D('',#123685,#99523,#99524); #91460=AXIS2_PLACEMENT_3D('',#123686,#99525,#99526); #91461=AXIS2_PLACEMENT_3D('',#123709,#99527,#99528); #91462=AXIS2_PLACEMENT_3D('',#123718,#99529,#99530); #91463=AXIS2_PLACEMENT_3D('',#123721,#99531,#99532); #91464=AXIS2_PLACEMENT_3D('',#123722,#99533,#99534); #91465=AXIS2_PLACEMENT_3D('',#123723,#99535,#99536); #91466=AXIS2_PLACEMENT_3D('',#123746,#99537,#99538); #91467=AXIS2_PLACEMENT_3D('',#123777,#99539,#99540); #91468=AXIS2_PLACEMENT_3D('',#123778,#99541,#99542); #91469=AXIS2_PLACEMENT_3D('',#123779,#99543,#99544); #91470=AXIS2_PLACEMENT_3D('',#123802,#99545,#99546); #91471=AXIS2_PLACEMENT_3D('',#123811,#99547,#99548); #91472=AXIS2_PLACEMENT_3D('',#123814,#99549,#99550); #91473=AXIS2_PLACEMENT_3D('',#123849,#99553,#99554); #91474=AXIS2_PLACEMENT_3D('',#123862,#99555,#99556); #91475=AXIS2_PLACEMENT_3D('',#123865,#99557,#99558); #91476=AXIS2_PLACEMENT_3D('',#123866,#99559,#99560); #91477=AXIS2_PLACEMENT_3D('',#123867,#99561,#99562); #91478=AXIS2_PLACEMENT_3D('',#123900,#99563,#99564); #91479=AXIS2_PLACEMENT_3D('',#123913,#99565,#99566); #91480=AXIS2_PLACEMENT_3D('',#123916,#99567,#99568); #91481=AXIS2_PLACEMENT_3D('',#123941,#99571,#99572); #91482=AXIS2_PLACEMENT_3D('',#123972,#99573,#99574); #91483=AXIS2_PLACEMENT_3D('',#123973,#99575,#99576); #91484=AXIS2_PLACEMENT_3D('',#123974,#99577,#99578); #91485=AXIS2_PLACEMENT_3D('',#123997,#99579,#99580); #91486=AXIS2_PLACEMENT_3D('',#124006,#99581,#99582); #91487=AXIS2_PLACEMENT_3D('',#124009,#99583,#99584); #91488=AXIS2_PLACEMENT_3D('',#124010,#99585,#99586); #91489=AXIS2_PLACEMENT_3D('',#124011,#99587,#99588); #91490=AXIS2_PLACEMENT_3D('',#124034,#99589,#99590); #91491=AXIS2_PLACEMENT_3D('',#124065,#99591,#99592); #91492=AXIS2_PLACEMENT_3D('',#124066,#99593,#99594); #91493=AXIS2_PLACEMENT_3D('',#124067,#99595,#99596); #91494=AXIS2_PLACEMENT_3D('',#124090,#99597,#99598); #91495=AXIS2_PLACEMENT_3D('',#124099,#99599,#99600); #91496=AXIS2_PLACEMENT_3D('',#124102,#99601,#99602); #91497=AXIS2_PLACEMENT_3D('',#124137,#99605,#99606); #91498=AXIS2_PLACEMENT_3D('',#124150,#99607,#99608); #91499=AXIS2_PLACEMENT_3D('',#124153,#99609,#99610); #91500=AXIS2_PLACEMENT_3D('',#124154,#99611,#99612); #91501=AXIS2_PLACEMENT_3D('',#124155,#99613,#99614); #91502=AXIS2_PLACEMENT_3D('',#124188,#99615,#99616); #91503=AXIS2_PLACEMENT_3D('',#124201,#99617,#99618); #91504=AXIS2_PLACEMENT_3D('',#124204,#99619,#99620); #91505=AXIS2_PLACEMENT_3D('',#124229,#99623,#99624); #91506=AXIS2_PLACEMENT_3D('',#124260,#99625,#99626); #91507=AXIS2_PLACEMENT_3D('',#124261,#99627,#99628); #91508=AXIS2_PLACEMENT_3D('',#124262,#99629,#99630); #91509=AXIS2_PLACEMENT_3D('',#124285,#99631,#99632); #91510=AXIS2_PLACEMENT_3D('',#124294,#99633,#99634); #91511=AXIS2_PLACEMENT_3D('',#124295,#99635,#99636); #91512=AXIS2_PLACEMENT_3D('',#124296,#99637,#99638); #91513=AXIS2_PLACEMENT_3D('',#124297,#99639,#99640); #91514=AXIS2_PLACEMENT_3D('',#124301,#99642,#99643); #91515=AXIS2_PLACEMENT_3D('',#124303,#99645,#99646); #91516=AXIS2_PLACEMENT_3D('',#124310,#99648,#99649); #91517=AXIS2_PLACEMENT_3D('',#124317,#99651,#99652); #91518=AXIS2_PLACEMENT_3D('',#124325,#99654,#99655); #91519=AXIS2_PLACEMENT_3D('',#124328,#99657,#99658); #91520=AXIS2_PLACEMENT_3D('',#124329,#99659,#99660); #91521=AXIS2_PLACEMENT_3D('',#124337,#99662,#99663); #91522=AXIS2_PLACEMENT_3D('',#124344,#99665,#99666); #91523=AXIS2_PLACEMENT_3D('',#124351,#99668,#99669); #91524=AXIS2_PLACEMENT_3D('',#124354,#99671,#99672); #91525=AXIS2_PLACEMENT_3D('',#124355,#99673,#99674); #91526=AXIS2_PLACEMENT_3D('',#124362,#99676,#99677); #91527=AXIS2_PLACEMENT_3D('',#124365,#99679,#99680); #91528=AXIS2_PLACEMENT_3D('',#124366,#99681,#99682); #91529=AXIS2_PLACEMENT_3D('',#124373,#99684,#99685); #91530=AXIS2_PLACEMENT_3D('',#124376,#99687,#99688); #91531=AXIS2_PLACEMENT_3D('',#124377,#99689,#99690); #91532=AXIS2_PLACEMENT_3D('',#124384,#99692,#99693); #91533=AXIS2_PLACEMENT_3D('',#124391,#99695,#99696); #91534=AXIS2_PLACEMENT_3D('',#124399,#99698,#99699); #91535=AXIS2_PLACEMENT_3D('',#124402,#99701,#99702); #91536=AXIS2_PLACEMENT_3D('',#124403,#99703,#99704); #91537=AXIS2_PLACEMENT_3D('',#124411,#99706,#99707); #91538=AXIS2_PLACEMENT_3D('',#124418,#99709,#99710); #91539=AXIS2_PLACEMENT_3D('',#124425,#99712,#99713); #91540=AXIS2_PLACEMENT_3D('',#124428,#99715,#99716); #91541=AXIS2_PLACEMENT_3D('',#124429,#99717,#99718); #91542=AXIS2_PLACEMENT_3D('',#124436,#99720,#99721); #91543=AXIS2_PLACEMENT_3D('',#124439,#99723,#99724); #91544=AXIS2_PLACEMENT_3D('',#124440,#99725,#99726); #91545=AXIS2_PLACEMENT_3D('',#124447,#99728,#99729); #91546=AXIS2_PLACEMENT_3D('',#124450,#99730,#99731); #91547=AXIS2_PLACEMENT_3D('',#124452,#99733,#99734); #91548=AXIS2_PLACEMENT_3D('',#124474,#99735,#99736); #91549=AXIS2_PLACEMENT_3D('',#124476,#99737,#99738); #91550=AXIS2_PLACEMENT_3D('',#124502,#99739,#99740); #91551=AXIS2_PLACEMENT_3D('',#124528,#99741,#99742); #91552=AXIS2_PLACEMENT_3D('',#124558,#99743,#99744); #91553=AXIS2_PLACEMENT_3D('',#124585,#99745,#99746); #91554=AXIS2_PLACEMENT_3D('',#124591,#99747,#99748); #91555=AXIS2_PLACEMENT_3D('',#124593,#99749,#99750); #91556=AXIS2_PLACEMENT_3D('',#124594,#99751,#99752); #91557=AXIS2_PLACEMENT_3D('',#124621,#99753,#99754); #91558=AXIS2_PLACEMENT_3D('',#124652,#99755,#99756); #91559=AXIS2_PLACEMENT_3D('',#124674,#99757,#99758); #91560=AXIS2_PLACEMENT_3D('',#124700,#99759,#99760); #91561=AXIS2_PLACEMENT_3D('',#124726,#99761,#99762); #91562=AXIS2_PLACEMENT_3D('',#124731,#99763,#99764); #91563=AXIS2_PLACEMENT_3D('',#124733,#99765,#99766); #91564=AXIS2_PLACEMENT_3D('',#124734,#99767,#99768); #91565=AXIS2_PLACEMENT_3D('',#124756,#99769,#99770); #91566=AXIS2_PLACEMENT_3D('',#124782,#99771,#99772); #91567=AXIS2_PLACEMENT_3D('',#124808,#99773,#99774); #91568=AXIS2_PLACEMENT_3D('',#124838,#99775,#99776); #91569=AXIS2_PLACEMENT_3D('',#124865,#99777,#99778); #91570=AXIS2_PLACEMENT_3D('',#124871,#99779,#99780); #91571=AXIS2_PLACEMENT_3D('',#124873,#99781,#99782); #91572=AXIS2_PLACEMENT_3D('',#124874,#99783,#99784); #91573=AXIS2_PLACEMENT_3D('',#124901,#99785,#99786); #91574=AXIS2_PLACEMENT_3D('',#124932,#99787,#99788); #91575=AXIS2_PLACEMENT_3D('',#124954,#99789,#99790); #91576=AXIS2_PLACEMENT_3D('',#124980,#99791,#99792); #91577=AXIS2_PLACEMENT_3D('',#125007,#99793,#99794); #91578=AXIS2_PLACEMENT_3D('',#125016,#99795,#99796); #91579=AXIS2_PLACEMENT_3D('',#125019,#99797,#99798); #91580=AXIS2_PLACEMENT_3D('',#125020,#99799,#99800); #91581=AXIS2_PLACEMENT_3D('',#125021,#99801,#99802); #91582=AXIS2_PLACEMENT_3D('',#125044,#99803,#99804); #91583=AXIS2_PLACEMENT_3D('',#125075,#99805,#99806); #91584=AXIS2_PLACEMENT_3D('',#125076,#99807,#99808); #91585=AXIS2_PLACEMENT_3D('',#125103,#99809,#99810); #91586=AXIS2_PLACEMENT_3D('',#125138,#99811,#99812); #91587=AXIS2_PLACEMENT_3D('',#125170,#99813,#99814); #91588=AXIS2_PLACEMENT_3D('',#125181,#99815,#99816); #91589=AXIS2_PLACEMENT_3D('',#125184,#99817,#99818); #91590=AXIS2_PLACEMENT_3D('',#125185,#99819,#99820); #91591=AXIS2_PLACEMENT_3D('',#125187,#99821,#99822); #91592=AXIS2_PLACEMENT_3D('',#125188,#99823,#99824); #91593=AXIS2_PLACEMENT_3D('',#125216,#99825,#99826); #91594=AXIS2_PLACEMENT_3D('',#125253,#99827,#99828); #91595=AXIS2_PLACEMENT_3D('',#125280,#99829,#99830); #91596=AXIS2_PLACEMENT_3D('',#125311,#99831,#99832); #91597=AXIS2_PLACEMENT_3D('',#125312,#99833,#99834); #91598=AXIS2_PLACEMENT_3D('',#125339,#99835,#99836); #91599=AXIS2_PLACEMENT_3D('',#125348,#99837,#99838); #91600=AXIS2_PLACEMENT_3D('',#125351,#99839,#99840); #91601=AXIS2_PLACEMENT_3D('',#125352,#99841,#99842); #91602=AXIS2_PLACEMENT_3D('',#125353,#99843,#99844); #91603=AXIS2_PLACEMENT_3D('',#125376,#99845,#99846); #91604=AXIS2_PLACEMENT_3D('',#125407,#99847,#99848); #91605=AXIS2_PLACEMENT_3D('',#125408,#99849,#99850); #91606=AXIS2_PLACEMENT_3D('',#125435,#99851,#99852); #91607=AXIS2_PLACEMENT_3D('',#125470,#99853,#99854); #91608=AXIS2_PLACEMENT_3D('',#125502,#99855,#99856); #91609=AXIS2_PLACEMENT_3D('',#125513,#99857,#99858); #91610=AXIS2_PLACEMENT_3D('',#125516,#99859,#99860); #91611=AXIS2_PLACEMENT_3D('',#125517,#99861,#99862); #91612=AXIS2_PLACEMENT_3D('',#125518,#99863,#99864); #91613=AXIS2_PLACEMENT_3D('',#125546,#99865,#99866); #91614=AXIS2_PLACEMENT_3D('',#125583,#99867,#99868); #91615=AXIS2_PLACEMENT_3D('',#125610,#99869,#99870); #91616=AXIS2_PLACEMENT_3D('',#125640,#99871,#99872); #91617=AXIS2_PLACEMENT_3D('',#125641,#99873,#99874); #91618=AXIS2_PLACEMENT_3D('',#125667,#99875,#99876); #91619=AXIS2_PLACEMENT_3D('',#125672,#99877,#99878); #91620=AXIS2_PLACEMENT_3D('',#125673,#99879,#99880); #91621=AXIS2_PLACEMENT_3D('',#125674,#99881,#99882); #91622=AXIS2_PLACEMENT_3D('',#125677,#99885,#99886); #91623=AXIS2_PLACEMENT_3D('',#125679,#99888,#99889); #91624=AXIS2_PLACEMENT_3D('',#125681,#99891,#99892); #91625=AXIS2_PLACEMENT_3D('',#125683,#99894,#99895); #91626=AXIS2_PLACEMENT_3D('',#125685,#99897,#99898); #91627=AXIS2_PLACEMENT_3D('',#125687,#99900,#99901); #91628=AXIS2_PLACEMENT_3D('',#125689,#99903,#99904); #91629=AXIS2_PLACEMENT_3D('',#125691,#99906,#99907); #91630=AXIS2_PLACEMENT_3D('',#125693,#99909,#99910); #91631=AXIS2_PLACEMENT_3D('',#125695,#99912,#99913); #91632=AXIS2_PLACEMENT_3D('',#125697,#99915,#99916); #91633=AXIS2_PLACEMENT_3D('',#125699,#99918,#99919); #91634=AXIS2_PLACEMENT_3D('',#125701,#99921,#99922); #91635=AXIS2_PLACEMENT_3D('',#125703,#99924,#99925); #91636=AXIS2_PLACEMENT_3D('',#125705,#99927,#99928); #91637=AXIS2_PLACEMENT_3D('',#125707,#99930,#99931); #91638=AXIS2_PLACEMENT_3D('',#125709,#99933,#99934); #91639=AXIS2_PLACEMENT_3D('',#125711,#99936,#99937); #91640=AXIS2_PLACEMENT_3D('',#125713,#99939,#99940); #91641=AXIS2_PLACEMENT_3D('',#125715,#99942,#99943); #91642=AXIS2_PLACEMENT_3D('',#125716,#99944,#99945); #91643=AXIS2_PLACEMENT_3D('',#125720,#99947,#99948); #91644=AXIS2_PLACEMENT_3D('',#125721,#99949,#99950); #91645=AXIS2_PLACEMENT_3D('',#125722,#99951,#99952); #91646=AXIS2_PLACEMENT_3D('',#125724,#99953,#99954); #91647=AXIS2_PLACEMENT_3D('',#125726,#99955,#99956); #91648=AXIS2_PLACEMENT_3D('',#125727,#99957,#99958); #91649=AXIS2_PLACEMENT_3D('',#125728,#99959,#99960); #91650=AXIS2_PLACEMENT_3D('',#125739,#99962,#99963); #91651=AXIS2_PLACEMENT_3D('',#125748,#99965,#99966); #91652=AXIS2_PLACEMENT_3D('',#125749,#99967,#99968); #91653=AXIS2_PLACEMENT_3D('',#125794,#99970,#99971); #91654=AXIS2_PLACEMENT_3D('',#125797,#99972,#99973); #91655=AXIS2_PLACEMENT_3D('',#125799,#99974,#99975); #91656=AXIS2_PLACEMENT_3D('',#125801,#99976,#99977); #91657=AXIS2_PLACEMENT_3D('',#125802,#99978,#99979); #91658=AXIS2_PLACEMENT_3D('',#125825,#99980,#99981); #91659=AXIS2_PLACEMENT_3D('',#125832,#99982,#99983); #91660=AXIS2_PLACEMENT_3D('',#125864,#99984,#99985); #91661=AXIS2_PLACEMENT_3D('',#125875,#99986,#99987); #91662=AXIS2_PLACEMENT_3D('',#125878,#99988,#99989); #91663=AXIS2_PLACEMENT_3D('',#125879,#99990,#99991); #91664=AXIS2_PLACEMENT_3D('',#125880,#99992,#99993); #91665=AXIS2_PLACEMENT_3D('',#125908,#99994,#99995); #91666=AXIS2_PLACEMENT_3D('',#125940,#99996,#99997); #91667=AXIS2_PLACEMENT_3D('',#125949,#99998,#99999); #91668=AXIS2_PLACEMENT_3D('',#125951,#100000,#100001); #91669=AXIS2_PLACEMENT_3D('',#125953,#100002,#100003); #91670=AXIS2_PLACEMENT_3D('',#125955,#100004,#100005); #91671=AXIS2_PLACEMENT_3D('',#125956,#100006,#100007); #91672=AXIS2_PLACEMENT_3D('',#125979,#100008,#100009); #91673=AXIS2_PLACEMENT_3D('',#125986,#100010,#100011); #91674=AXIS2_PLACEMENT_3D('',#126018,#100012,#100013); #91675=AXIS2_PLACEMENT_3D('',#126029,#100014,#100015); #91676=AXIS2_PLACEMENT_3D('',#126032,#100016,#100017); #91677=AXIS2_PLACEMENT_3D('',#126033,#100018,#100019); #91678=AXIS2_PLACEMENT_3D('',#126034,#100020,#100021); #91679=AXIS2_PLACEMENT_3D('',#126062,#100022,#100023); #91680=AXIS2_PLACEMENT_3D('',#126094,#100024,#100025); #91681=AXIS2_PLACEMENT_3D('',#126103,#100026,#100027); #91682=AXIS2_PLACEMENT_3D('',#126105,#100028,#100029); #91683=AXIS2_PLACEMENT_3D('',#126107,#100030,#100031); #91684=AXIS2_PLACEMENT_3D('',#126109,#100032,#100033); #91685=AXIS2_PLACEMENT_3D('',#126110,#100034,#100035); #91686=AXIS2_PLACEMENT_3D('',#126111,#100036,#100037); #91687=AXIS2_PLACEMENT_3D('',#126113,#100038,#100039); #91688=AXIS2_PLACEMENT_3D('',#126115,#100040,#100041); #91689=AXIS2_PLACEMENT_3D('',#126116,#100042,#100043); #91690=AXIS2_PLACEMENT_3D('',#126149,#100044,#100045); #91691=AXIS2_PLACEMENT_3D('',#126150,#100046,#100047); #91692=AXIS2_PLACEMENT_3D('',#126183,#100048,#100049); #91693=AXIS2_PLACEMENT_3D('',#126184,#100050,#100051); #91694=AXIS2_PLACEMENT_3D('',#126185,#100052,#100053); #91695=AXIS2_PLACEMENT_3D('',#126187,#100054,#100055); #91696=AXIS2_PLACEMENT_3D('',#126189,#100057,#100058); #91697=AXIS2_PLACEMENT_3D('',#126190,#100059,#100060); #91698=AXIS2_PLACEMENT_3D('',#126216,#100061,#100062); #91699=AXIS2_PLACEMENT_3D('',#126221,#100063,#100064); #91700=AXIS2_PLACEMENT_3D('',#126223,#100065,#100066); #91701=AXIS2_PLACEMENT_3D('',#126250,#100068,#100069); #91702=AXIS2_PLACEMENT_3D('',#126255,#100070,#100071); #91703=AXIS2_PLACEMENT_3D('',#126256,#100072,#100073); #91704=AXIS2_PLACEMENT_3D('',#126257,#100074,#100075); #91705=AXIS2_PLACEMENT_3D('',#126258,#100076,#100077); #91706=AXIS2_PLACEMENT_3D('',#126259,#100078,#100079); #91707=AXIS2_PLACEMENT_3D('',#126260,#100080,#100081); #91708=AXIS2_PLACEMENT_3D('',#126261,#100082,#100083); #91709=AXIS2_PLACEMENT_3D('',#126262,#100084,#100085); #91710=AXIS2_PLACEMENT_3D('',#126263,#100086,#100087); #91711=AXIS2_PLACEMENT_3D('',#126264,#100088,#100089); #91712=AXIS2_PLACEMENT_3D('',#126265,#100090,#100091); #91713=AXIS2_PLACEMENT_3D('',#126266,#100092,#100093); #91714=AXIS2_PLACEMENT_3D('',#126267,#100094,#100095); #91715=AXIS2_PLACEMENT_3D('',#126268,#100096,#100097); #91716=AXIS2_PLACEMENT_3D('',#126269,#100098,#100099); #91717=AXIS2_PLACEMENT_3D('',#126270,#100100,#100101); #91718=AXIS2_PLACEMENT_3D('',#126271,#100102,#100103); #91719=AXIS2_PLACEMENT_3D('',#126281,#100106,#100107); #91720=AXIS2_PLACEMENT_3D('',#126284,#100109,#100110); #91721=AXIS2_PLACEMENT_3D('',#126285,#100111,#100112); #91722=AXIS2_PLACEMENT_3D('',#126293,#100114,#100115); #91723=AXIS2_PLACEMENT_3D('',#126300,#100117,#100118); #91724=AXIS2_PLACEMENT_3D('',#126308,#100120,#100121); #91725=AXIS2_PLACEMENT_3D('',#126311,#100123,#100124); #91726=AXIS2_PLACEMENT_3D('',#126312,#100125,#100126); #91727=AXIS2_PLACEMENT_3D('',#126320,#100128,#100129); #91728=AXIS2_PLACEMENT_3D('',#126327,#100131,#100132); #91729=AXIS2_PLACEMENT_3D('',#126335,#100134,#100135); #91730=AXIS2_PLACEMENT_3D('',#126338,#100137,#100138); #91731=AXIS2_PLACEMENT_3D('',#126339,#100139,#100140); #91732=AXIS2_PLACEMENT_3D('',#126347,#100142,#100143); #91733=AXIS2_PLACEMENT_3D('',#126352,#100144,#100145); #91734=AXIS2_PLACEMENT_3D('',#126353,#100146,#100147); #91735=AXIS2_PLACEMENT_3D('',#126355,#100148,#100149); #91736=AXIS2_PLACEMENT_3D('',#126357,#100150,#100151); #91737=AXIS2_PLACEMENT_3D('',#126358,#100152,#100153); #91738=AXIS2_PLACEMENT_3D('',#126359,#100154,#100155); #91739=AXIS2_PLACEMENT_3D('',#126361,#100156,#100157); #91740=AXIS2_PLACEMENT_3D('',#126362,#100158,#100159); #91741=AXIS2_PLACEMENT_3D('',#126375,#100160,#100161); #91742=AXIS2_PLACEMENT_3D('',#126378,#100163,#100164); #91743=AXIS2_PLACEMENT_3D('',#126397,#100165,#100166); #91744=AXIS2_PLACEMENT_3D('',#126416,#100167,#100168); #91745=AXIS2_PLACEMENT_3D('',#126435,#100169,#100170); #91746=AXIS2_PLACEMENT_3D('',#126454,#100171,#100172); #91747=AXIS2_PLACEMENT_3D('',#126465,#100173,#100174); #91748=AXIS2_PLACEMENT_3D('',#126466,#100175,#100176); #91749=AXIS2_PLACEMENT_3D('',#126492,#100177,#100178); #91750=AXIS2_PLACEMENT_3D('',#126524,#100179,#100180); #91751=AXIS2_PLACEMENT_3D('',#126525,#100181,#100182); #91752=AXIS2_PLACEMENT_3D('',#126527,#100183,#100184); #91753=AXIS2_PLACEMENT_3D('',#126528,#100185,#100186); #91754=AXIS2_PLACEMENT_3D('',#126560,#100187,#100188); #91755=AXIS2_PLACEMENT_3D('',#126582,#100189,#100190); #91756=AXIS2_PLACEMENT_3D('',#126618,#100191,#100192); #91757=AXIS2_PLACEMENT_3D('',#126619,#100193,#100194); #91758=AXIS2_PLACEMENT_3D('',#126621,#100195,#100196); #91759=AXIS2_PLACEMENT_3D('',#126622,#100197,#100198); #91760=AXIS2_PLACEMENT_3D('',#126654,#100199,#100200); #91761=AXIS2_PLACEMENT_3D('',#126675,#100201,#100202); #91762=AXIS2_PLACEMENT_3D('',#126705,#100203,#100204); #91763=AXIS2_PLACEMENT_3D('',#126706,#100205,#100206); #91764=AXIS2_PLACEMENT_3D('',#126707,#100207,#100208); #91765=AXIS2_PLACEMENT_3D('',#126733,#100209,#100210); #91766=AXIS2_PLACEMENT_3D('',#126754,#100211,#100212); #91767=AXIS2_PLACEMENT_3D('',#126780,#100213,#100214); #91768=AXIS2_PLACEMENT_3D('',#126781,#100215,#100216); #91769=AXIS2_PLACEMENT_3D('',#126782,#100217,#100218); #91770=AXIS2_PLACEMENT_3D('',#126808,#100219,#100220); #91771=AXIS2_PLACEMENT_3D('',#126829,#100221,#100222); #91772=AXIS2_PLACEMENT_3D('',#126855,#100223,#100224); #91773=AXIS2_PLACEMENT_3D('',#126856,#100225,#100226); #91774=AXIS2_PLACEMENT_3D('',#126857,#100227,#100228); #91775=AXIS2_PLACEMENT_3D('',#126883,#100229,#100230); #91776=AXIS2_PLACEMENT_3D('',#126904,#100231,#100232); #91777=AXIS2_PLACEMENT_3D('',#126906,#100234,#100235); #91778=AXIS2_PLACEMENT_3D('',#126908,#100237,#100238); #91779=AXIS2_PLACEMENT_3D('',#126910,#100240,#100241); #91780=AXIS2_PLACEMENT_3D('',#126912,#100243,#100244); #91781=AXIS2_PLACEMENT_3D('',#126914,#100246,#100247); #91782=AXIS2_PLACEMENT_3D('',#126916,#100249,#100250); #91783=AXIS2_PLACEMENT_3D('',#126918,#100252,#100253); #91784=AXIS2_PLACEMENT_3D('',#126920,#100255,#100256); #91785=AXIS2_PLACEMENT_3D('',#126921,#100257,#100258); #91786=AXIS2_PLACEMENT_3D('',#126930,#100263,#100264); #91787=AXIS2_PLACEMENT_3D('',#126936,#100268,#100269); #91788=AXIS2_PLACEMENT_3D('',#126942,#100273,#100274); #91789=AXIS2_PLACEMENT_3D('',#126948,#100278,#100279); #91790=AXIS2_PLACEMENT_3D('',#126954,#100283,#100284); #91791=AXIS2_PLACEMENT_3D('',#126960,#100288,#100289); #91792=AXIS2_PLACEMENT_3D('',#126966,#100293,#100294); #91793=AXIS2_PLACEMENT_3D('',#126972,#100298,#100299); #91794=AXIS2_PLACEMENT_3D('',#126978,#100303,#100304); #91795=AXIS2_PLACEMENT_3D('',#126981,#100307,#100308); #91796=AXIS2_PLACEMENT_3D('',#126982,#100309,#100310); #91797=AXIS2_PLACEMENT_3D('',#126991,#100315,#100316); #91798=AXIS2_PLACEMENT_3D('',#126997,#100320,#100321); #91799=AXIS2_PLACEMENT_3D('',#127003,#100325,#100326); #91800=AXIS2_PLACEMENT_3D('',#127006,#100329,#100330); #91801=AXIS2_PLACEMENT_3D('',#127007,#100331,#100332); #91802=AXIS2_PLACEMENT_3D('',#127016,#100337,#100338); #91803=AXIS2_PLACEMENT_3D('',#127022,#100342,#100343); #91804=AXIS2_PLACEMENT_3D('',#127028,#100347,#100348); #91805=AXIS2_PLACEMENT_3D('',#127031,#100351,#100352); #91806=AXIS2_PLACEMENT_3D('',#127298,#100367,#100368); #91807=AXIS2_PLACEMENT_3D('',#127299,#100369,#100370); #91808=AXIS2_PLACEMENT_3D('',#127308,#100375,#100376); #91809=AXIS2_PLACEMENT_3D('',#127314,#100380,#100381); #91810=AXIS2_PLACEMENT_3D('',#127320,#100385,#100386); #91811=AXIS2_PLACEMENT_3D('',#127323,#100389,#100390); #91812=AXIS2_PLACEMENT_3D('',#127324,#100391,#100392); #91813=AXIS2_PLACEMENT_3D('',#127333,#100397,#100398); #91814=AXIS2_PLACEMENT_3D('',#127339,#100402,#100403); #91815=AXIS2_PLACEMENT_3D('',#127345,#100407,#100408); #91816=AXIS2_PLACEMENT_3D('',#127351,#100412,#100413); #91817=AXIS2_PLACEMENT_3D('',#127357,#100417,#100418); #91818=AXIS2_PLACEMENT_3D('',#127363,#100422,#100423); #91819=AXIS2_PLACEMENT_3D('',#127369,#100427,#100428); #91820=AXIS2_PLACEMENT_3D('',#127375,#100432,#100433); #91821=AXIS2_PLACEMENT_3D('',#127381,#100437,#100438); #91822=AXIS2_PLACEMENT_3D('',#127384,#100441,#100442); #91823=AXIS2_PLACEMENT_3D('',#127385,#100443,#100444); #91824=AXIS2_PLACEMENT_3D('',#127394,#100449,#100450); #91825=AXIS2_PLACEMENT_3D('',#127400,#100454,#100455); #91826=AXIS2_PLACEMENT_3D('',#127406,#100459,#100460); #91827=AXIS2_PLACEMENT_3D('',#127412,#100464,#100465); #91828=AXIS2_PLACEMENT_3D('',#127418,#100469,#100470); #91829=AXIS2_PLACEMENT_3D('',#127424,#100474,#100475); #91830=AXIS2_PLACEMENT_3D('',#127430,#100479,#100480); #91831=AXIS2_PLACEMENT_3D('',#127436,#100484,#100485); #91832=AXIS2_PLACEMENT_3D('',#127442,#100489,#100490); #91833=AXIS2_PLACEMENT_3D('',#127448,#100494,#100495); #91834=AXIS2_PLACEMENT_3D('',#127454,#100499,#100500); #91835=AXIS2_PLACEMENT_3D('',#127457,#100503,#100504); #91836=AXIS2_PLACEMENT_3D('',#127480,#100507,#100508); #91837=AXIS2_PLACEMENT_3D('',#127486,#100512,#100513); #91838=AXIS2_PLACEMENT_3D('',#127492,#100517,#100518); #91839=AXIS2_PLACEMENT_3D('',#127552,#100524,#100525); #91840=AXIS2_PLACEMENT_3D('',#127618,#100533,#100534); #91841=AXIS2_PLACEMENT_3D('',#127624,#100538,#100539); #91842=AXIS2_PLACEMENT_3D('',#127630,#100543,#100544); #91843=AXIS2_PLACEMENT_3D('',#127636,#100548,#100549); #91844=AXIS2_PLACEMENT_3D('',#127642,#100553,#100554); #91845=AXIS2_PLACEMENT_3D('',#127648,#100558,#100559); #91846=AXIS2_PLACEMENT_3D('',#127654,#100563,#100564); #91847=AXIS2_PLACEMENT_3D('',#127657,#100567,#100568); #91848=AXIS2_PLACEMENT_3D('',#127680,#100571,#100572); #91849=AXIS2_PLACEMENT_3D('',#127686,#100576,#100577); #91850=AXIS2_PLACEMENT_3D('',#127692,#100581,#100582); #91851=AXIS2_PLACEMENT_3D('',#127774,#100590,#100591); #91852=AXIS2_PLACEMENT_3D('',#127780,#100595,#100596); #91853=AXIS2_PLACEMENT_3D('',#127786,#100600,#100601); #91854=AXIS2_PLACEMENT_3D('',#127868,#100609,#100610); #91855=AXIS2_PLACEMENT_3D('',#127931,#100617,#100618); #91856=AXIS2_PLACEMENT_3D('',#127937,#100622,#100623); #91857=AXIS2_PLACEMENT_3D('',#127943,#100627,#100628); #91858=AXIS2_PLACEMENT_3D('',#127984,#100633,#100634); #91859=AXIS2_PLACEMENT_3D('',#127985,#100635,#100636); #91860=AXIS2_PLACEMENT_3D('',#128017,#100642,#100643); #91861=AXIS2_PLACEMENT_3D('',#128057,#100649,#100650); #91862=AXIS2_PLACEMENT_3D('',#128063,#100654,#100655); #91863=AXIS2_PLACEMENT_3D('',#128069,#100659,#100660); #91864=AXIS2_PLACEMENT_3D('',#128072,#100663,#100664); #91865=AXIS2_PLACEMENT_3D('',#128125,#100669,#100670); #91866=AXIS2_PLACEMENT_3D('',#128191,#100678,#100679); #91867=AXIS2_PLACEMENT_3D('',#128194,#100682,#100683); #91868=AXIS2_PLACEMENT_3D('',#128195,#100684,#100685); #91869=AXIS2_PLACEMENT_3D('',#128284,#100694,#100695); #91870=AXIS2_PLACEMENT_3D('',#128381,#100704,#100705); #91871=AXIS2_PLACEMENT_3D('',#128387,#100709,#100710); #91872=AXIS2_PLACEMENT_3D('',#128390,#100713,#100714); #91873=AXIS2_PLACEMENT_3D('',#128466,#100720,#100721); #91874=AXIS2_PLACEMENT_3D('',#128472,#100725,#100726); #91875=AXIS2_PLACEMENT_3D('',#128478,#100730,#100731); #91876=AXIS2_PLACEMENT_3D('',#128481,#100734,#100735); #91877=AXIS2_PLACEMENT_3D('',#128570,#100744,#100745); #91878=AXIS2_PLACEMENT_3D('',#128576,#100749,#100750); #91879=AXIS2_PLACEMENT_3D('',#128579,#100753,#100754); #91880=AXIS2_PLACEMENT_3D('',#128580,#100755,#100756); #91881=AXIS2_PLACEMENT_3D('',#128589,#100761,#100762); #91882=AXIS2_PLACEMENT_3D('',#128595,#100766,#100767); #91883=AXIS2_PLACEMENT_3D('',#128601,#100771,#100772); #91884=AXIS2_PLACEMENT_3D('',#128607,#100776,#100777); #91885=AXIS2_PLACEMENT_3D('',#128613,#100781,#100782); #91886=AXIS2_PLACEMENT_3D('',#128619,#100786,#100787); #91887=AXIS2_PLACEMENT_3D('',#128625,#100791,#100792); #91888=AXIS2_PLACEMENT_3D('',#128631,#100796,#100797); #91889=AXIS2_PLACEMENT_3D('',#128637,#100801,#100802); #91890=AXIS2_PLACEMENT_3D('',#128643,#100806,#100807); #91891=AXIS2_PLACEMENT_3D('',#128649,#100811,#100812); #91892=AXIS2_PLACEMENT_3D('',#128652,#100815,#100816); #91893=AXIS2_PLACEMENT_3D('',#128653,#100817,#100818); #91894=AXIS2_PLACEMENT_3D('',#128662,#100823,#100824); #91895=AXIS2_PLACEMENT_3D('',#128687,#100829,#100830); #91896=AXIS2_PLACEMENT_3D('',#128712,#100835,#100836); #91897=AXIS2_PLACEMENT_3D('',#128718,#100840,#100841); #91898=AXIS2_PLACEMENT_3D('',#128721,#100844,#100845); #91899=AXIS2_PLACEMENT_3D('',#128722,#100846,#100847); #91900=AXIS2_PLACEMENT_3D('',#128731,#100852,#100853); #91901=AXIS2_PLACEMENT_3D('',#128840,#100862,#100863); #91902=AXIS2_PLACEMENT_3D('',#128934,#100871,#100872); #91903=AXIS2_PLACEMENT_3D('',#128940,#100876,#100877); #91904=AXIS2_PLACEMENT_3D('',#128946,#100881,#100882); #91905=AXIS2_PLACEMENT_3D('',#128949,#100885,#100886); #91906=AXIS2_PLACEMENT_3D('',#128950,#100887,#100888); #91907=AXIS2_PLACEMENT_3D('',#128959,#100893,#100894); #91908=AXIS2_PLACEMENT_3D('',#128965,#100898,#100899); #91909=AXIS2_PLACEMENT_3D('',#128971,#100903,#100904); #91910=AXIS2_PLACEMENT_3D('',#128974,#100907,#100908); #91911=AXIS2_PLACEMENT_3D('',#129251,#100925,#100926); #91912=AXIS2_PLACEMENT_3D('',#129254,#100929,#100930); #91913=AXIS2_PLACEMENT_3D('',#129255,#100931,#100932); #91914=AXIS2_PLACEMENT_3D('',#129294,#100939,#100940); #91915=AXIS2_PLACEMENT_3D('',#129300,#100944,#100945); #91916=AXIS2_PLACEMENT_3D('',#129303,#100948,#100949); #91917=AXIS2_PLACEMENT_3D('',#129312,#100954,#100955); #91918=AXIS2_PLACEMENT_3D('',#129318,#100959,#100960); #91919=AXIS2_PLACEMENT_3D('',#129324,#100964,#100965); #91920=AXIS2_PLACEMENT_3D('',#129330,#100969,#100970); #91921=AXIS2_PLACEMENT_3D('',#129336,#100974,#100975); #91922=AXIS2_PLACEMENT_3D('',#129342,#100979,#100980); #91923=AXIS2_PLACEMENT_3D('',#129348,#100984,#100985); #91924=AXIS2_PLACEMENT_3D('',#129351,#100988,#100989); #91925=AXIS2_PLACEMENT_3D('',#129408,#100994,#100995); #91926=AXIS2_PLACEMENT_3D('',#129414,#100999,#101000); #91927=AXIS2_PLACEMENT_3D('',#129420,#101004,#101005); #91928=AXIS2_PLACEMENT_3D('',#129423,#101008,#101009); #91929=AXIS2_PLACEMENT_3D('',#129432,#101014,#101015); #91930=AXIS2_PLACEMENT_3D('',#129438,#101019,#101020); #91931=AXIS2_PLACEMENT_3D('',#129501,#101027,#101028); #91932=AXIS2_PLACEMENT_3D('',#129507,#101032,#101033); #91933=AXIS2_PLACEMENT_3D('',#129510,#101036,#101037); #91934=AXIS2_PLACEMENT_3D('',#129511,#101038,#101039); #91935=AXIS2_PLACEMENT_3D('',#129520,#101044,#101045); #91936=AXIS2_PLACEMENT_3D('',#129526,#101049,#101050); #91937=AXIS2_PLACEMENT_3D('',#129532,#101054,#101055); #91938=AXIS2_PLACEMENT_3D('',#129538,#101059,#101060); #91939=AXIS2_PLACEMENT_3D('',#129544,#101064,#101065); #91940=AXIS2_PLACEMENT_3D('',#129550,#101069,#101070); #91941=AXIS2_PLACEMENT_3D('',#129553,#101073,#101074); #91942=AXIS2_PLACEMENT_3D('',#129554,#101075,#101076); #91943=AXIS2_PLACEMENT_3D('',#129563,#101081,#101082); #91944=AXIS2_PLACEMENT_3D('',#129569,#101086,#101087); #91945=AXIS2_PLACEMENT_3D('',#129575,#101091,#101092); #91946=AXIS2_PLACEMENT_3D('',#129581,#101096,#101097); #91947=AXIS2_PLACEMENT_3D('',#129587,#101101,#101102); #91948=AXIS2_PLACEMENT_3D('',#129593,#101106,#101107); #91949=AXIS2_PLACEMENT_3D('',#129596,#101110,#101111); #91950=AXIS2_PLACEMENT_3D('',#129597,#101112,#101113); #91951=AXIS2_PLACEMENT_3D('',#129606,#101118,#101119); #91952=AXIS2_PLACEMENT_3D('',#129612,#101123,#101124); #91953=AXIS2_PLACEMENT_3D('',#129618,#101128,#101129); #91954=AXIS2_PLACEMENT_3D('',#129624,#101133,#101134); #91955=AXIS2_PLACEMENT_3D('',#129630,#101138,#101139); #91956=AXIS2_PLACEMENT_3D('',#129636,#101143,#101144); #91957=AXIS2_PLACEMENT_3D('',#129639,#101147,#101148); #91958=AXIS2_PLACEMENT_3D('',#129640,#101149,#101150); #91959=AXIS2_PLACEMENT_3D('',#129649,#101155,#101156); #91960=AXIS2_PLACEMENT_3D('',#129655,#101160,#101161); #91961=AXIS2_PLACEMENT_3D('',#129661,#101165,#101166); #91962=AXIS2_PLACEMENT_3D('',#129667,#101170,#101171); #91963=AXIS2_PLACEMENT_3D('',#129673,#101175,#101176); #91964=AXIS2_PLACEMENT_3D('',#129679,#101180,#101181); #91965=AXIS2_PLACEMENT_3D('',#129685,#101185,#101186); #91966=AXIS2_PLACEMENT_3D('',#129691,#101190,#101191); #91967=AXIS2_PLACEMENT_3D('',#129697,#101195,#101196); #91968=AXIS2_PLACEMENT_3D('',#129703,#101200,#101201); #91969=AXIS2_PLACEMENT_3D('',#129709,#101205,#101206); #91970=AXIS2_PLACEMENT_3D('',#129715,#101210,#101211); #91971=AXIS2_PLACEMENT_3D('',#129721,#101215,#101216); #91972=AXIS2_PLACEMENT_3D('',#129727,#101220,#101221); #91973=AXIS2_PLACEMENT_3D('',#129733,#101225,#101226); #91974=AXIS2_PLACEMENT_3D('',#129739,#101230,#101231); #91975=AXIS2_PLACEMENT_3D('',#129745,#101235,#101236); #91976=AXIS2_PLACEMENT_3D('',#129748,#101239,#101240); #91977=AXIS2_PLACEMENT_3D('',#129757,#101245,#101246); #91978=AXIS2_PLACEMENT_3D('',#129763,#101250,#101251); #91979=AXIS2_PLACEMENT_3D('',#129769,#101255,#101256); #91980=AXIS2_PLACEMENT_3D('',#129775,#101260,#101261); #91981=AXIS2_PLACEMENT_3D('',#129781,#101265,#101266); #91982=AXIS2_PLACEMENT_3D('',#129787,#101270,#101271); #91983=AXIS2_PLACEMENT_3D('',#129793,#101275,#101276); #91984=AXIS2_PLACEMENT_3D('',#129799,#101280,#101281); #91985=AXIS2_PLACEMENT_3D('',#129805,#101285,#101286); #91986=AXIS2_PLACEMENT_3D('',#129811,#101290,#101291); #91987=AXIS2_PLACEMENT_3D('',#129817,#101295,#101296); #91988=AXIS2_PLACEMENT_3D('',#129823,#101300,#101301); #91989=AXIS2_PLACEMENT_3D('',#129829,#101305,#101306); #91990=AXIS2_PLACEMENT_3D('',#129835,#101310,#101311); #91991=AXIS2_PLACEMENT_3D('',#129841,#101315,#101316); #91992=AXIS2_PLACEMENT_3D('',#129847,#101320,#101321); #91993=AXIS2_PLACEMENT_3D('',#129853,#101325,#101326); #91994=AXIS2_PLACEMENT_3D('',#129859,#101330,#101331); #91995=AXIS2_PLACEMENT_3D('',#129865,#101335,#101336); #91996=AXIS2_PLACEMENT_3D('',#129871,#101340,#101341); #91997=AXIS2_PLACEMENT_3D('',#129877,#101345,#101346); #91998=AXIS2_PLACEMENT_3D('',#129883,#101350,#101351); #91999=AXIS2_PLACEMENT_3D('',#129889,#101355,#101356); #92000=AXIS2_PLACEMENT_3D('',#129895,#101360,#101361); #92001=AXIS2_PLACEMENT_3D('',#129901,#101365,#101366); #92002=AXIS2_PLACEMENT_3D('',#129904,#101369,#101370); #92003=AXIS2_PLACEMENT_3D('',#129905,#101371,#101372); #92004=AXIS2_PLACEMENT_3D('',#129914,#101377,#101378); #92005=AXIS2_PLACEMENT_3D('',#129920,#101382,#101383); #92006=AXIS2_PLACEMENT_3D('',#129926,#101387,#101388); #92007=AXIS2_PLACEMENT_3D('',#129932,#101392,#101393); #92008=AXIS2_PLACEMENT_3D('',#129938,#101397,#101398); #92009=AXIS2_PLACEMENT_3D('',#129944,#101402,#101403); #92010=AXIS2_PLACEMENT_3D('',#129947,#101406,#101407); #92011=AXIS2_PLACEMENT_3D('',#129948,#101408,#101409); #92012=AXIS2_PLACEMENT_3D('',#129957,#101414,#101415); #92013=AXIS2_PLACEMENT_3D('',#129963,#101419,#101420); #92014=AXIS2_PLACEMENT_3D('',#129969,#101424,#101425); #92015=AXIS2_PLACEMENT_3D('',#129975,#101429,#101430); #92016=AXIS2_PLACEMENT_3D('',#129981,#101434,#101435); #92017=AXIS2_PLACEMENT_3D('',#129987,#101439,#101440); #92018=AXIS2_PLACEMENT_3D('',#129993,#101444,#101445); #92019=AXIS2_PLACEMENT_3D('',#129999,#101449,#101450); #92020=AXIS2_PLACEMENT_3D('',#130005,#101454,#101455); #92021=AXIS2_PLACEMENT_3D('',#130011,#101459,#101460); #92022=AXIS2_PLACEMENT_3D('',#130017,#101464,#101465); #92023=AXIS2_PLACEMENT_3D('',#130023,#101469,#101470); #92024=AXIS2_PLACEMENT_3D('',#130029,#101474,#101475); #92025=AXIS2_PLACEMENT_3D('',#130035,#101479,#101480); #92026=AXIS2_PLACEMENT_3D('',#130041,#101484,#101485); #92027=AXIS2_PLACEMENT_3D('',#130047,#101489,#101490); #92028=AXIS2_PLACEMENT_3D('',#130053,#101494,#101495); #92029=AXIS2_PLACEMENT_3D('',#130059,#101499,#101500); #92030=AXIS2_PLACEMENT_3D('',#130065,#101504,#101505); #92031=AXIS2_PLACEMENT_3D('',#130071,#101509,#101510); #92032=AXIS2_PLACEMENT_3D('',#130077,#101514,#101515); #92033=AXIS2_PLACEMENT_3D('',#130083,#101519,#101520); #92034=AXIS2_PLACEMENT_3D('',#130089,#101524,#101525); #92035=AXIS2_PLACEMENT_3D('',#130095,#101529,#101530); #92036=AXIS2_PLACEMENT_3D('',#130101,#101534,#101535); #92037=AXIS2_PLACEMENT_3D('',#130107,#101539,#101540); #92038=AXIS2_PLACEMENT_3D('',#130113,#101544,#101545); #92039=AXIS2_PLACEMENT_3D('',#130116,#101548,#101549); #92040=AXIS2_PLACEMENT_3D('',#130117,#101550,#101551); #92041=AXIS2_PLACEMENT_3D('',#130126,#101556,#101557); #92042=AXIS2_PLACEMENT_3D('',#130132,#101561,#101562); #92043=AXIS2_PLACEMENT_3D('',#130138,#101566,#101567); #92044=AXIS2_PLACEMENT_3D('',#130144,#101571,#101572); #92045=AXIS2_PLACEMENT_3D('',#130150,#101576,#101577); #92046=AXIS2_PLACEMENT_3D('',#130156,#101581,#101582); #92047=AXIS2_PLACEMENT_3D('',#130159,#101585,#101586); #92048=AXIS2_PLACEMENT_3D('',#130160,#101587,#101588); #92049=AXIS2_PLACEMENT_3D('',#130169,#101593,#101594); #92050=AXIS2_PLACEMENT_3D('',#130175,#101598,#101599); #92051=AXIS2_PLACEMENT_3D('',#130181,#101603,#101604); #92052=AXIS2_PLACEMENT_3D('',#130187,#101608,#101609); #92053=AXIS2_PLACEMENT_3D('',#130193,#101613,#101614); #92054=AXIS2_PLACEMENT_3D('',#130199,#101618,#101619); #92055=AXIS2_PLACEMENT_3D('',#130205,#101623,#101624); #92056=AXIS2_PLACEMENT_3D('',#130211,#101628,#101629); #92057=AXIS2_PLACEMENT_3D('',#130217,#101633,#101634); #92058=AXIS2_PLACEMENT_3D('',#130223,#101638,#101639); #92059=AXIS2_PLACEMENT_3D('',#130229,#101643,#101644); #92060=AXIS2_PLACEMENT_3D('',#130235,#101648,#101649); #92061=AXIS2_PLACEMENT_3D('',#130241,#101653,#101654); #92062=AXIS2_PLACEMENT_3D('',#130247,#101658,#101659); #92063=AXIS2_PLACEMENT_3D('',#130253,#101663,#101664); #92064=AXIS2_PLACEMENT_3D('',#130259,#101668,#101669); #92065=AXIS2_PLACEMENT_3D('',#130265,#101673,#101674); #92066=AXIS2_PLACEMENT_3D('',#130271,#101678,#101679); #92067=AXIS2_PLACEMENT_3D('',#130277,#101683,#101684); #92068=AXIS2_PLACEMENT_3D('',#130283,#101688,#101689); #92069=AXIS2_PLACEMENT_3D('',#130289,#101693,#101694); #92070=AXIS2_PLACEMENT_3D('',#130295,#101698,#101699); #92071=AXIS2_PLACEMENT_3D('',#130301,#101703,#101704); #92072=AXIS2_PLACEMENT_3D('',#130307,#101708,#101709); #92073=AXIS2_PLACEMENT_3D('',#130313,#101713,#101714); #92074=AXIS2_PLACEMENT_3D('',#130319,#101718,#101719); #92075=AXIS2_PLACEMENT_3D('',#130325,#101723,#101724); #92076=AXIS2_PLACEMENT_3D('',#130331,#101728,#101729); #92077=AXIS2_PLACEMENT_3D('',#130337,#101733,#101734); #92078=AXIS2_PLACEMENT_3D('',#130343,#101738,#101739); #92079=AXIS2_PLACEMENT_3D('',#130349,#101743,#101744); #92080=AXIS2_PLACEMENT_3D('',#130355,#101748,#101749); #92081=AXIS2_PLACEMENT_3D('',#130361,#101753,#101754); #92082=AXIS2_PLACEMENT_3D('',#130367,#101758,#101759); #92083=AXIS2_PLACEMENT_3D('',#130373,#101763,#101764); #92084=AXIS2_PLACEMENT_3D('',#130379,#101768,#101769); #92085=AXIS2_PLACEMENT_3D('',#130385,#101773,#101774); #92086=AXIS2_PLACEMENT_3D('',#130391,#101778,#101779); #92087=AXIS2_PLACEMENT_3D('',#130397,#101783,#101784); #92088=AXIS2_PLACEMENT_3D('',#130400,#101787,#101788); #92089=AXIS2_PLACEMENT_3D('',#130401,#101789,#101790); #92090=AXIS2_PLACEMENT_3D('',#130410,#101795,#101796); #92091=AXIS2_PLACEMENT_3D('',#130416,#101800,#101801); #92092=AXIS2_PLACEMENT_3D('',#130419,#101804,#101805); #92093=AXIS2_PLACEMENT_3D('',#130428,#101810,#101811); #92094=AXIS2_PLACEMENT_3D('',#130434,#101815,#101816); #92095=AXIS2_PLACEMENT_3D('',#130440,#101820,#101821); #92096=AXIS2_PLACEMENT_3D('',#130446,#101825,#101826); #92097=AXIS2_PLACEMENT_3D('',#130452,#101830,#101831); #92098=AXIS2_PLACEMENT_3D('',#130458,#101835,#101836); #92099=AXIS2_PLACEMENT_3D('',#130464,#101840,#101841); #92100=AXIS2_PLACEMENT_3D('',#130470,#101845,#101846); #92101=AXIS2_PLACEMENT_3D('',#130476,#101850,#101851); #92102=AXIS2_PLACEMENT_3D('',#130482,#101855,#101856); #92103=AXIS2_PLACEMENT_3D('',#130485,#101859,#101860); #92104=AXIS2_PLACEMENT_3D('',#130486,#101861,#101862); #92105=AXIS2_PLACEMENT_3D('',#130495,#101867,#101868); #92106=AXIS2_PLACEMENT_3D('',#130501,#101872,#101873); #92107=AXIS2_PLACEMENT_3D('',#130503,#101874,#101875); #92108=AXIS2_PLACEMENT_3D('',#130506,#101877,#101878); #92109=AXIS2_PLACEMENT_3D('',#130507,#101879,#101880); #92110=AXIS2_PLACEMENT_3D('',#130513,#101884,#101885); #92111=AXIS2_PLACEMENT_3D('',#130519,#101889,#101890); #92112=AXIS2_PLACEMENT_3D('',#130525,#101894,#101895); #92113=AXIS2_PLACEMENT_3D('',#130527,#101896,#101897); #92114=AXIS2_PLACEMENT_3D('',#130530,#101899,#101900); #92115=AXIS2_PLACEMENT_3D('',#130531,#101901,#101902); #92116=AXIS2_PLACEMENT_3D('',#130537,#101906,#101907); #92117=AXIS2_PLACEMENT_3D('',#130543,#101911,#101912); #92118=AXIS2_PLACEMENT_3D('',#130549,#101916,#101917); #92119=AXIS2_PLACEMENT_3D('',#130552,#101920,#101921); #92120=AXIS2_PLACEMENT_3D('',#130553,#101922,#101923); #92121=AXIS2_PLACEMENT_3D('',#130562,#101928,#101929); #92122=AXIS2_PLACEMENT_3D('',#130564,#101930,#101931); #92123=AXIS2_PLACEMENT_3D('',#130567,#101933,#101934); #92124=AXIS2_PLACEMENT_3D('',#130568,#101935,#101936); #92125=AXIS2_PLACEMENT_3D('',#130574,#101940,#101941); #92126=AXIS2_PLACEMENT_3D('',#130580,#101945,#101946); #92127=AXIS2_PLACEMENT_3D('',#130586,#101950,#101951); #92128=AXIS2_PLACEMENT_3D('',#130588,#101952,#101953); #92129=AXIS2_PLACEMENT_3D('',#130591,#101955,#101956); #92130=AXIS2_PLACEMENT_3D('',#130592,#101957,#101958); #92131=AXIS2_PLACEMENT_3D('',#130598,#101962,#101963); #92132=AXIS2_PLACEMENT_3D('',#130604,#101967,#101968); #92133=AXIS2_PLACEMENT_3D('',#130610,#101972,#101973); #92134=AXIS2_PLACEMENT_3D('',#130616,#101977,#101978); #92135=AXIS2_PLACEMENT_3D('',#130619,#101981,#101982); #92136=AXIS2_PLACEMENT_3D('',#130620,#101983,#101984); #92137=AXIS2_PLACEMENT_3D('',#130629,#101989,#101990); #92138=AXIS2_PLACEMENT_3D('',#130635,#101994,#101995); #92139=AXIS2_PLACEMENT_3D('',#130637,#101996,#101997); #92140=AXIS2_PLACEMENT_3D('',#130640,#101999,#102000); #92141=AXIS2_PLACEMENT_3D('',#130641,#102001,#102002); #92142=AXIS2_PLACEMENT_3D('',#130647,#102006,#102007); #92143=AXIS2_PLACEMENT_3D('',#130653,#102011,#102012); #92144=AXIS2_PLACEMENT_3D('',#130659,#102016,#102017); #92145=AXIS2_PLACEMENT_3D('',#130665,#102021,#102022); #92146=AXIS2_PLACEMENT_3D('',#130671,#102026,#102027); #92147=AXIS2_PLACEMENT_3D('',#130677,#102031,#102032); #92148=AXIS2_PLACEMENT_3D('',#130679,#102033,#102034); #92149=AXIS2_PLACEMENT_3D('',#130682,#102036,#102037); #92150=AXIS2_PLACEMENT_3D('',#130683,#102038,#102039); #92151=AXIS2_PLACEMENT_3D('',#130686,#102042,#102043); #92152=AXIS2_PLACEMENT_3D('',#130687,#102044,#102045); #92153=AXIS2_PLACEMENT_3D('',#130689,#102046,#102047); #92154=AXIS2_PLACEMENT_3D('',#130692,#102049,#102050); #92155=AXIS2_PLACEMENT_3D('',#130693,#102051,#102052); #92156=AXIS2_PLACEMENT_3D('',#130702,#102057,#102058); #92157=AXIS2_PLACEMENT_3D('',#130704,#102059,#102060); #92158=AXIS2_PLACEMENT_3D('',#130706,#102061,#102062); #92159=AXIS2_PLACEMENT_3D('',#130708,#102064,#102065); #92160=AXIS2_PLACEMENT_3D('',#130714,#102069,#102070); #92161=AXIS2_PLACEMENT_3D('',#130715,#102071,#102072); #92162=AXIS2_PLACEMENT_3D('',#130716,#102073,#102074); #92163=AXIS2_PLACEMENT_3D('',#130717,#102075,#102076); #92164=AXIS2_PLACEMENT_3D('',#130720,#102077,#102078); #92165=AXIS2_PLACEMENT_3D('',#130724,#102080,#102081); #92166=AXIS2_PLACEMENT_3D('',#130726,#102083,#102084); #92167=AXIS2_PLACEMENT_3D('',#130732,#102088,#102089); #92168=AXIS2_PLACEMENT_3D('',#130734,#102090,#102091); #92169=AXIS2_PLACEMENT_3D('',#130736,#102092,#102093); #92170=AXIS2_PLACEMENT_3D('',#130738,#102095,#102096); #92171=AXIS2_PLACEMENT_3D('',#130741,#102099,#102100); #92172=AXIS2_PLACEMENT_3D('',#130750,#102105,#102106); #92173=AXIS2_PLACEMENT_3D('',#130752,#102107,#102108); #92174=AXIS2_PLACEMENT_3D('',#130754,#102109,#102110); #92175=AXIS2_PLACEMENT_3D('',#130756,#102112,#102113); #92176=AXIS2_PLACEMENT_3D('',#130762,#102117,#102118); #92177=AXIS2_PLACEMENT_3D('',#130763,#102119,#102120); #92178=AXIS2_PLACEMENT_3D('',#130764,#102121,#102122); #92179=AXIS2_PLACEMENT_3D('',#130765,#102123,#102124); #92180=AXIS2_PLACEMENT_3D('',#130774,#102129,#102130); #92181=AXIS2_PLACEMENT_3D('',#130776,#102131,#102132); #92182=AXIS2_PLACEMENT_3D('',#130778,#102133,#102134); #92183=AXIS2_PLACEMENT_3D('',#130780,#102136,#102137); #92184=AXIS2_PLACEMENT_3D('',#130786,#102141,#102142); #92185=AXIS2_PLACEMENT_3D('',#130787,#102143,#102144); #92186=AXIS2_PLACEMENT_3D('',#130788,#102145,#102146); #92187=AXIS2_PLACEMENT_3D('',#130789,#102147,#102148); #92188=AXIS2_PLACEMENT_3D('',#130798,#102153,#102154); #92189=AXIS2_PLACEMENT_3D('',#130800,#102155,#102156); #92190=AXIS2_PLACEMENT_3D('',#130802,#102157,#102158); #92191=AXIS2_PLACEMENT_3D('',#130804,#102160,#102161); #92192=AXIS2_PLACEMENT_3D('',#130810,#102165,#102166); #92193=AXIS2_PLACEMENT_3D('',#130811,#102167,#102168); #92194=AXIS2_PLACEMENT_3D('',#130812,#102169,#102170); #92195=AXIS2_PLACEMENT_3D('',#130813,#102171,#102172); #92196=AXIS2_PLACEMENT_3D('',#130816,#102173,#102174); #92197=AXIS2_PLACEMENT_3D('',#130820,#102176,#102177); #92198=AXIS2_PLACEMENT_3D('',#130822,#102179,#102180); #92199=AXIS2_PLACEMENT_3D('',#130828,#102184,#102185); #92200=AXIS2_PLACEMENT_3D('',#130830,#102186,#102187); #92201=AXIS2_PLACEMENT_3D('',#130832,#102188,#102189); #92202=AXIS2_PLACEMENT_3D('',#130834,#102191,#102192); #92203=AXIS2_PLACEMENT_3D('',#130837,#102195,#102196); #92204=AXIS2_PLACEMENT_3D('',#130840,#102197,#102198); #92205=AXIS2_PLACEMENT_3D('',#130844,#102200,#102201); #92206=AXIS2_PLACEMENT_3D('',#130846,#102203,#102204); #92207=AXIS2_PLACEMENT_3D('',#130852,#102208,#102209); #92208=AXIS2_PLACEMENT_3D('',#130854,#102210,#102211); #92209=AXIS2_PLACEMENT_3D('',#130856,#102212,#102213); #92210=AXIS2_PLACEMENT_3D('',#130858,#102215,#102216); #92211=AXIS2_PLACEMENT_3D('',#130861,#102219,#102220); #92212=AXIS2_PLACEMENT_3D('',#130870,#102225,#102226); #92213=AXIS2_PLACEMENT_3D('',#130872,#102227,#102228); #92214=AXIS2_PLACEMENT_3D('',#130874,#102229,#102230); #92215=AXIS2_PLACEMENT_3D('',#130876,#102232,#102233); #92216=AXIS2_PLACEMENT_3D('',#130882,#102237,#102238); #92217=AXIS2_PLACEMENT_3D('',#130883,#102239,#102240); #92218=AXIS2_PLACEMENT_3D('',#130884,#102241,#102242); #92219=AXIS2_PLACEMENT_3D('',#130885,#102243,#102244); #92220=AXIS2_PLACEMENT_3D('',#130894,#102249,#102250); #92221=AXIS2_PLACEMENT_3D('',#130896,#102251,#102252); #92222=AXIS2_PLACEMENT_3D('',#130898,#102253,#102254); #92223=AXIS2_PLACEMENT_3D('',#130900,#102256,#102257); #92224=AXIS2_PLACEMENT_3D('',#130906,#102261,#102262); #92225=AXIS2_PLACEMENT_3D('',#130907,#102263,#102264); #92226=AXIS2_PLACEMENT_3D('',#130908,#102265,#102266); #92227=AXIS2_PLACEMENT_3D('',#130909,#102267,#102268); #92228=AXIS2_PLACEMENT_3D('',#130912,#102269,#102270); #92229=AXIS2_PLACEMENT_3D('',#130916,#102272,#102273); #92230=AXIS2_PLACEMENT_3D('',#130918,#102275,#102276); #92231=AXIS2_PLACEMENT_3D('',#130924,#102280,#102281); #92232=AXIS2_PLACEMENT_3D('',#130926,#102282,#102283); #92233=AXIS2_PLACEMENT_3D('',#130928,#102284,#102285); #92234=AXIS2_PLACEMENT_3D('',#130930,#102287,#102288); #92235=AXIS2_PLACEMENT_3D('',#130933,#102291,#102292); #92236=AXIS2_PLACEMENT_3D('',#130942,#102297,#102298); #92237=AXIS2_PLACEMENT_3D('',#130944,#102299,#102300); #92238=AXIS2_PLACEMENT_3D('',#130946,#102301,#102302); #92239=AXIS2_PLACEMENT_3D('',#130948,#102304,#102305); #92240=AXIS2_PLACEMENT_3D('',#130954,#102309,#102310); #92241=AXIS2_PLACEMENT_3D('',#130955,#102311,#102312); #92242=AXIS2_PLACEMENT_3D('',#130956,#102313,#102314); #92243=AXIS2_PLACEMENT_3D('',#130957,#102315,#102316); #92244=AXIS2_PLACEMENT_3D('',#130966,#102321,#102322); #92245=AXIS2_PLACEMENT_3D('',#130968,#102323,#102324); #92246=AXIS2_PLACEMENT_3D('',#130970,#102325,#102326); #92247=AXIS2_PLACEMENT_3D('',#130972,#102328,#102329); #92248=AXIS2_PLACEMENT_3D('',#130978,#102333,#102334); #92249=AXIS2_PLACEMENT_3D('',#130979,#102335,#102336); #92250=AXIS2_PLACEMENT_3D('',#130980,#102337,#102338); #92251=AXIS2_PLACEMENT_3D('',#130981,#102339,#102340); #92252=AXIS2_PLACEMENT_3D('',#130983,#102341,#102342); #92253=AXIS2_PLACEMENT_3D('',#130986,#102344,#102345); #92254=AXIS2_PLACEMENT_3D('',#130987,#102346,#102347); #92255=AXIS2_PLACEMENT_3D('',#130988,#102348,#102349); #92256=AXIS2_PLACEMENT_3D('',#130997,#102354,#102355); #92257=AXIS2_PLACEMENT_3D('',#131003,#102359,#102360); #92258=AXIS2_PLACEMENT_3D('',#131009,#102364,#102365); #92259=AXIS2_PLACEMENT_3D('',#131015,#102369,#102370); #92260=AXIS2_PLACEMENT_3D('',#131021,#102374,#102375); #92261=AXIS2_PLACEMENT_3D('',#131027,#102379,#102380); #92262=AXIS2_PLACEMENT_3D('',#131033,#102384,#102385); #92263=AXIS2_PLACEMENT_3D('',#131039,#102389,#102390); #92264=AXIS2_PLACEMENT_3D('',#131045,#102394,#102395); #92265=AXIS2_PLACEMENT_3D('',#131051,#102399,#102400); #92266=AXIS2_PLACEMENT_3D('',#131057,#102404,#102405); #92267=AXIS2_PLACEMENT_3D('',#131063,#102409,#102410); #92268=AXIS2_PLACEMENT_3D('',#131069,#102414,#102415); #92269=AXIS2_PLACEMENT_3D('',#131075,#102419,#102420); #92270=AXIS2_PLACEMENT_3D('',#131081,#102424,#102425); #92271=AXIS2_PLACEMENT_3D('',#131087,#102429,#102430); #92272=AXIS2_PLACEMENT_3D('',#131093,#102434,#102435); #92273=AXIS2_PLACEMENT_3D('',#131099,#102439,#102440); #92274=AXIS2_PLACEMENT_3D('',#131105,#102444,#102445); #92275=AXIS2_PLACEMENT_3D('',#131108,#102448,#102449); #92276=AXIS2_PLACEMENT_3D('',#131117,#102454,#102455); #92277=AXIS2_PLACEMENT_3D('',#131123,#102459,#102460); #92278=AXIS2_PLACEMENT_3D('',#131129,#102464,#102465); #92279=AXIS2_PLACEMENT_3D('',#131135,#102469,#102470); #92280=AXIS2_PLACEMENT_3D('',#131141,#102474,#102475); #92281=AXIS2_PLACEMENT_3D('',#131147,#102479,#102480); #92282=AXIS2_PLACEMENT_3D('',#131153,#102484,#102485); #92283=AXIS2_PLACEMENT_3D('',#131159,#102489,#102490); #92284=AXIS2_PLACEMENT_3D('',#131165,#102494,#102495); #92285=AXIS2_PLACEMENT_3D('',#131171,#102499,#102500); #92286=AXIS2_PLACEMENT_3D('',#131177,#102504,#102505); #92287=AXIS2_PLACEMENT_3D('',#131183,#102509,#102510); #92288=AXIS2_PLACEMENT_3D('',#131189,#102514,#102515); #92289=AXIS2_PLACEMENT_3D('',#131195,#102519,#102520); #92290=AXIS2_PLACEMENT_3D('',#131201,#102524,#102525); #92291=AXIS2_PLACEMENT_3D('',#131207,#102529,#102530); #92292=AXIS2_PLACEMENT_3D('',#131213,#102534,#102535); #92293=AXIS2_PLACEMENT_3D('',#131219,#102539,#102540); #92294=AXIS2_PLACEMENT_3D('',#131225,#102544,#102545); #92295=AXIS2_PLACEMENT_3D('',#131231,#102549,#102550); #92296=AXIS2_PLACEMENT_3D('',#131237,#102554,#102555); #92297=AXIS2_PLACEMENT_3D('',#131243,#102559,#102560); #92298=AXIS2_PLACEMENT_3D('',#131249,#102564,#102565); #92299=AXIS2_PLACEMENT_3D('',#131255,#102569,#102570); #92300=AXIS2_PLACEMENT_3D('',#131261,#102574,#102575); #92301=AXIS2_PLACEMENT_3D('',#131267,#102579,#102580); #92302=AXIS2_PLACEMENT_3D('',#131273,#102584,#102585); #92303=AXIS2_PLACEMENT_3D('',#131279,#102589,#102590); #92304=AXIS2_PLACEMENT_3D('',#131285,#102594,#102595); #92305=AXIS2_PLACEMENT_3D('',#131291,#102599,#102600); #92306=AXIS2_PLACEMENT_3D('',#131297,#102604,#102605); #92307=AXIS2_PLACEMENT_3D('',#131303,#102609,#102610); #92308=AXIS2_PLACEMENT_3D('',#131309,#102614,#102615); #92309=AXIS2_PLACEMENT_3D('',#131315,#102619,#102620); #92310=AXIS2_PLACEMENT_3D('',#131321,#102624,#102625); #92311=AXIS2_PLACEMENT_3D('',#131327,#102629,#102630); #92312=AXIS2_PLACEMENT_3D('',#131333,#102634,#102635); #92313=AXIS2_PLACEMENT_3D('',#131339,#102639,#102640); #92314=AXIS2_PLACEMENT_3D('',#131345,#102644,#102645); #92315=AXIS2_PLACEMENT_3D('',#131348,#102648,#102649); #92316=AXIS2_PLACEMENT_3D('',#131349,#102650,#102651); #92317=AXIS2_PLACEMENT_3D('',#131358,#102656,#102657); #92318=AXIS2_PLACEMENT_3D('',#131364,#102661,#102662); #92319=AXIS2_PLACEMENT_3D('',#131370,#102666,#102667); #92320=AXIS2_PLACEMENT_3D('',#131376,#102671,#102672); #92321=AXIS2_PLACEMENT_3D('',#131382,#102676,#102677); #92322=AXIS2_PLACEMENT_3D('',#131388,#102681,#102682); #92323=AXIS2_PLACEMENT_3D('',#131394,#102686,#102687); #92324=AXIS2_PLACEMENT_3D('',#131400,#102691,#102692); #92325=AXIS2_PLACEMENT_3D('',#131406,#102696,#102697); #92326=AXIS2_PLACEMENT_3D('',#131412,#102701,#102702); #92327=AXIS2_PLACEMENT_3D('',#131418,#102706,#102707); #92328=AXIS2_PLACEMENT_3D('',#131424,#102711,#102712); #92329=AXIS2_PLACEMENT_3D('',#131430,#102716,#102717); #92330=AXIS2_PLACEMENT_3D('',#131436,#102721,#102722); #92331=AXIS2_PLACEMENT_3D('',#131442,#102726,#102727); #92332=AXIS2_PLACEMENT_3D('',#131448,#102731,#102732); #92333=AXIS2_PLACEMENT_3D('',#131454,#102736,#102737); #92334=AXIS2_PLACEMENT_3D('',#131460,#102741,#102742); #92335=AXIS2_PLACEMENT_3D('',#131466,#102746,#102747); #92336=AXIS2_PLACEMENT_3D('',#131472,#102751,#102752); #92337=AXIS2_PLACEMENT_3D('',#131478,#102756,#102757); #92338=AXIS2_PLACEMENT_3D('',#131484,#102761,#102762); #92339=AXIS2_PLACEMENT_3D('',#131490,#102766,#102767); #92340=AXIS2_PLACEMENT_3D('',#131496,#102771,#102772); #92341=AXIS2_PLACEMENT_3D('',#131502,#102776,#102777); #92342=AXIS2_PLACEMENT_3D('',#131508,#102781,#102782); #92343=AXIS2_PLACEMENT_3D('',#131514,#102786,#102787); #92344=AXIS2_PLACEMENT_3D('',#131520,#102791,#102792); #92345=AXIS2_PLACEMENT_3D('',#131526,#102796,#102797); #92346=AXIS2_PLACEMENT_3D('',#131532,#102801,#102802); #92347=AXIS2_PLACEMENT_3D('',#131538,#102806,#102807); #92348=AXIS2_PLACEMENT_3D('',#131544,#102811,#102812); #92349=AXIS2_PLACEMENT_3D('',#131550,#102816,#102817); #92350=AXIS2_PLACEMENT_3D('',#131556,#102821,#102822); #92351=AXIS2_PLACEMENT_3D('',#131562,#102826,#102827); #92352=AXIS2_PLACEMENT_3D('',#131568,#102831,#102832); #92353=AXIS2_PLACEMENT_3D('',#131574,#102836,#102837); #92354=AXIS2_PLACEMENT_3D('',#131580,#102841,#102842); #92355=AXIS2_PLACEMENT_3D('',#131586,#102846,#102847); #92356=AXIS2_PLACEMENT_3D('',#131592,#102851,#102852); #92357=AXIS2_PLACEMENT_3D('',#131598,#102856,#102857); #92358=AXIS2_PLACEMENT_3D('',#131604,#102861,#102862); #92359=AXIS2_PLACEMENT_3D('',#131610,#102866,#102867); #92360=AXIS2_PLACEMENT_3D('',#131616,#102871,#102872); #92361=AXIS2_PLACEMENT_3D('',#131622,#102876,#102877); #92362=AXIS2_PLACEMENT_3D('',#131628,#102881,#102882); #92363=AXIS2_PLACEMENT_3D('',#131634,#102886,#102887); #92364=AXIS2_PLACEMENT_3D('',#131640,#102891,#102892); #92365=AXIS2_PLACEMENT_3D('',#131646,#102896,#102897); #92366=AXIS2_PLACEMENT_3D('',#131652,#102901,#102902); #92367=AXIS2_PLACEMENT_3D('',#131658,#102906,#102907); #92368=AXIS2_PLACEMENT_3D('',#131664,#102911,#102912); #92369=AXIS2_PLACEMENT_3D('',#131670,#102916,#102917); #92370=AXIS2_PLACEMENT_3D('',#131676,#102921,#102922); #92371=AXIS2_PLACEMENT_3D('',#131682,#102926,#102927); #92372=AXIS2_PLACEMENT_3D('',#131688,#102931,#102932); #92373=AXIS2_PLACEMENT_3D('',#131691,#102935,#102936); #92374=AXIS2_PLACEMENT_3D('',#131692,#102937,#102938); #92375=AXIS2_PLACEMENT_3D('',#131701,#102943,#102944); #92376=AXIS2_PLACEMENT_3D('',#131707,#102948,#102949); #92377=AXIS2_PLACEMENT_3D('',#131713,#102953,#102954); #92378=AXIS2_PLACEMENT_3D('',#131719,#102958,#102959); #92379=AXIS2_PLACEMENT_3D('',#131725,#102963,#102964); #92380=AXIS2_PLACEMENT_3D('',#131731,#102968,#102969); #92381=AXIS2_PLACEMENT_3D('',#131737,#102973,#102974); #92382=AXIS2_PLACEMENT_3D('',#131743,#102978,#102979); #92383=AXIS2_PLACEMENT_3D('',#131749,#102983,#102984); #92384=AXIS2_PLACEMENT_3D('',#131755,#102988,#102989); #92385=AXIS2_PLACEMENT_3D('',#131761,#102993,#102994); #92386=AXIS2_PLACEMENT_3D('',#131767,#102998,#102999); #92387=AXIS2_PLACEMENT_3D('',#131773,#103003,#103004); #92388=AXIS2_PLACEMENT_3D('',#131779,#103008,#103009); #92389=AXIS2_PLACEMENT_3D('',#131785,#103013,#103014); #92390=AXIS2_PLACEMENT_3D('',#131788,#103017,#103018); #92391=AXIS2_PLACEMENT_3D('',#131797,#103023,#103024); #92392=AXIS2_PLACEMENT_3D('',#131803,#103028,#103029); #92393=AXIS2_PLACEMENT_3D('',#131809,#103033,#103034); #92394=AXIS2_PLACEMENT_3D('',#131815,#103038,#103039); #92395=AXIS2_PLACEMENT_3D('',#131821,#103043,#103044); #92396=AXIS2_PLACEMENT_3D('',#131827,#103048,#103049); #92397=AXIS2_PLACEMENT_3D('',#131833,#103053,#103054); #92398=AXIS2_PLACEMENT_3D('',#131839,#103058,#103059); #92399=AXIS2_PLACEMENT_3D('',#131845,#103063,#103064); #92400=AXIS2_PLACEMENT_3D('',#131851,#103068,#103069); #92401=AXIS2_PLACEMENT_3D('',#131857,#103073,#103074); #92402=AXIS2_PLACEMENT_3D('',#131863,#103078,#103079); #92403=AXIS2_PLACEMENT_3D('',#131869,#103083,#103084); #92404=AXIS2_PLACEMENT_3D('',#131875,#103088,#103089); #92405=AXIS2_PLACEMENT_3D('',#131881,#103093,#103094); #92406=AXIS2_PLACEMENT_3D('',#131884,#103097,#103098); #92407=AXIS2_PLACEMENT_3D('',#131893,#103103,#103104); #92408=AXIS2_PLACEMENT_3D('',#131899,#103108,#103109); #92409=AXIS2_PLACEMENT_3D('',#131905,#103113,#103114); #92410=AXIS2_PLACEMENT_3D('',#131911,#103118,#103119); #92411=AXIS2_PLACEMENT_3D('',#131917,#103123,#103124); #92412=AXIS2_PLACEMENT_3D('',#131923,#103128,#103129); #92413=AXIS2_PLACEMENT_3D('',#131929,#103133,#103134); #92414=AXIS2_PLACEMENT_3D('',#131935,#103138,#103139); #92415=AXIS2_PLACEMENT_3D('',#131941,#103143,#103144); #92416=AXIS2_PLACEMENT_3D('',#131947,#103148,#103149); #92417=AXIS2_PLACEMENT_3D('',#131953,#103153,#103154); #92418=AXIS2_PLACEMENT_3D('',#131959,#103158,#103159); #92419=AXIS2_PLACEMENT_3D('',#131965,#103163,#103164); #92420=AXIS2_PLACEMENT_3D('',#131971,#103168,#103169); #92421=AXIS2_PLACEMENT_3D('',#131977,#103173,#103174); #92422=AXIS2_PLACEMENT_3D('',#131983,#103178,#103179); #92423=AXIS2_PLACEMENT_3D('',#131989,#103183,#103184); #92424=AXIS2_PLACEMENT_3D('',#131995,#103188,#103189); #92425=AXIS2_PLACEMENT_3D('',#132001,#103193,#103194); #92426=AXIS2_PLACEMENT_3D('',#132007,#103198,#103199); #92427=AXIS2_PLACEMENT_3D('',#132013,#103203,#103204); #92428=AXIS2_PLACEMENT_3D('',#132019,#103208,#103209); #92429=AXIS2_PLACEMENT_3D('',#132025,#103213,#103214); #92430=AXIS2_PLACEMENT_3D('',#132031,#103218,#103219); #92431=AXIS2_PLACEMENT_3D('',#132037,#103223,#103224); #92432=AXIS2_PLACEMENT_3D('',#132043,#103228,#103229); #92433=AXIS2_PLACEMENT_3D('',#132049,#103233,#103234); #92434=AXIS2_PLACEMENT_3D('',#132055,#103238,#103239); #92435=AXIS2_PLACEMENT_3D('',#132061,#103243,#103244); #92436=AXIS2_PLACEMENT_3D('',#132067,#103248,#103249); #92437=AXIS2_PLACEMENT_3D('',#132073,#103253,#103254); #92438=AXIS2_PLACEMENT_3D('',#132079,#103258,#103259); #92439=AXIS2_PLACEMENT_3D('',#132085,#103263,#103264); #92440=AXIS2_PLACEMENT_3D('',#132088,#103267,#103268); #92441=AXIS2_PLACEMENT_3D('',#132089,#103269,#103270); #92442=AXIS2_PLACEMENT_3D('',#132098,#103275,#103276); #92443=AXIS2_PLACEMENT_3D('',#132104,#103280,#103281); #92444=AXIS2_PLACEMENT_3D('',#132110,#103285,#103286); #92445=AXIS2_PLACEMENT_3D('',#132116,#103290,#103291); #92446=AXIS2_PLACEMENT_3D('',#132122,#103295,#103296); #92447=AXIS2_PLACEMENT_3D('',#132128,#103300,#103301); #92448=AXIS2_PLACEMENT_3D('',#132131,#103304,#103305); #92449=AXIS2_PLACEMENT_3D('',#132132,#103306,#103307); #92450=AXIS2_PLACEMENT_3D('',#132141,#103312,#103313); #92451=AXIS2_PLACEMENT_3D('',#132147,#103317,#103318); #92452=AXIS2_PLACEMENT_3D('',#132153,#103322,#103323); #92453=AXIS2_PLACEMENT_3D('',#132159,#103327,#103328); #92454=AXIS2_PLACEMENT_3D('',#132165,#103332,#103333); #92455=AXIS2_PLACEMENT_3D('',#132171,#103337,#103338); #92456=AXIS2_PLACEMENT_3D('',#132177,#103342,#103343); #92457=AXIS2_PLACEMENT_3D('',#132183,#103347,#103348); #92458=AXIS2_PLACEMENT_3D('',#132189,#103352,#103353); #92459=AXIS2_PLACEMENT_3D('',#132195,#103357,#103358); #92460=AXIS2_PLACEMENT_3D('',#132201,#103362,#103363); #92461=AXIS2_PLACEMENT_3D('',#132207,#103367,#103368); #92462=AXIS2_PLACEMENT_3D('',#132213,#103372,#103373); #92463=AXIS2_PLACEMENT_3D('',#132219,#103377,#103378); #92464=AXIS2_PLACEMENT_3D('',#132225,#103382,#103383); #92465=AXIS2_PLACEMENT_3D('',#132231,#103387,#103388); #92466=AXIS2_PLACEMENT_3D('',#132237,#103392,#103393); #92467=AXIS2_PLACEMENT_3D('',#132243,#103397,#103398); #92468=AXIS2_PLACEMENT_3D('',#132249,#103402,#103403); #92469=AXIS2_PLACEMENT_3D('',#132252,#103406,#103407); #92470=AXIS2_PLACEMENT_3D('',#132261,#103412,#103413); #92471=AXIS2_PLACEMENT_3D('',#132267,#103417,#103418); #92472=AXIS2_PLACEMENT_3D('',#132273,#103422,#103423); #92473=AXIS2_PLACEMENT_3D('',#132279,#103427,#103428); #92474=AXIS2_PLACEMENT_3D('',#132285,#103432,#103433); #92475=AXIS2_PLACEMENT_3D('',#132291,#103437,#103438); #92476=AXIS2_PLACEMENT_3D('',#132297,#103442,#103443); #92477=AXIS2_PLACEMENT_3D('',#132303,#103447,#103448); #92478=AXIS2_PLACEMENT_3D('',#132309,#103452,#103453); #92479=AXIS2_PLACEMENT_3D('',#132315,#103457,#103458); #92480=AXIS2_PLACEMENT_3D('',#132321,#103462,#103463); #92481=AXIS2_PLACEMENT_3D('',#132327,#103467,#103468); #92482=AXIS2_PLACEMENT_3D('',#132333,#103472,#103473); #92483=AXIS2_PLACEMENT_3D('',#132339,#103477,#103478); #92484=AXIS2_PLACEMENT_3D('',#132345,#103482,#103483); #92485=AXIS2_PLACEMENT_3D('',#132351,#103487,#103488); #92486=AXIS2_PLACEMENT_3D('',#132357,#103492,#103493); #92487=AXIS2_PLACEMENT_3D('',#132363,#103497,#103498); #92488=AXIS2_PLACEMENT_3D('',#132369,#103502,#103503); #92489=AXIS2_PLACEMENT_3D('',#132375,#103507,#103508); #92490=AXIS2_PLACEMENT_3D('',#132381,#103512,#103513); #92491=AXIS2_PLACEMENT_3D('',#132387,#103517,#103518); #92492=AXIS2_PLACEMENT_3D('',#132393,#103522,#103523); #92493=AXIS2_PLACEMENT_3D('',#132399,#103527,#103528); #92494=AXIS2_PLACEMENT_3D('',#132405,#103532,#103533); #92495=AXIS2_PLACEMENT_3D('',#132411,#103537,#103538); #92496=AXIS2_PLACEMENT_3D('',#132417,#103542,#103543); #92497=AXIS2_PLACEMENT_3D('',#132423,#103547,#103548); #92498=AXIS2_PLACEMENT_3D('',#132429,#103552,#103553); #92499=AXIS2_PLACEMENT_3D('',#132435,#103557,#103558); #92500=AXIS2_PLACEMENT_3D('',#132441,#103562,#103563); #92501=AXIS2_PLACEMENT_3D('',#132447,#103567,#103568); #92502=AXIS2_PLACEMENT_3D('',#132453,#103572,#103573); #92503=AXIS2_PLACEMENT_3D('',#132459,#103577,#103578); #92504=AXIS2_PLACEMENT_3D('',#132465,#103582,#103583); #92505=AXIS2_PLACEMENT_3D('',#132471,#103587,#103588); #92506=AXIS2_PLACEMENT_3D('',#132477,#103592,#103593); #92507=AXIS2_PLACEMENT_3D('',#132483,#103597,#103598); #92508=AXIS2_PLACEMENT_3D('',#132489,#103602,#103603); #92509=AXIS2_PLACEMENT_3D('',#132492,#103606,#103607); #92510=AXIS2_PLACEMENT_3D('',#132493,#103608,#103609); #92511=AXIS2_PLACEMENT_3D('',#132502,#103614,#103615); #92512=AXIS2_PLACEMENT_3D('',#132508,#103619,#103620); #92513=AXIS2_PLACEMENT_3D('',#132514,#103624,#103625); #92514=AXIS2_PLACEMENT_3D('',#132520,#103629,#103630); #92515=AXIS2_PLACEMENT_3D('',#132526,#103634,#103635); #92516=AXIS2_PLACEMENT_3D('',#132532,#103639,#103640); #92517=AXIS2_PLACEMENT_3D('',#132535,#103643,#103644); #92518=AXIS2_PLACEMENT_3D('',#132536,#103645,#103646); #92519=AXIS2_PLACEMENT_3D('',#132545,#103651,#103652); #92520=AXIS2_PLACEMENT_3D('',#132551,#103656,#103657); #92521=AXIS2_PLACEMENT_3D('',#132557,#103661,#103662); #92522=AXIS2_PLACEMENT_3D('',#132563,#103666,#103667); #92523=AXIS2_PLACEMENT_3D('',#132569,#103671,#103672); #92524=AXIS2_PLACEMENT_3D('',#132575,#103676,#103677); #92525=AXIS2_PLACEMENT_3D('',#132578,#103680,#103681); #92526=AXIS2_PLACEMENT_3D('',#132579,#103682,#103683); #92527=AXIS2_PLACEMENT_3D('',#132588,#103688,#103689); #92528=AXIS2_PLACEMENT_3D('',#132594,#103693,#103694); #92529=AXIS2_PLACEMENT_3D('',#132600,#103698,#103699); #92530=AXIS2_PLACEMENT_3D('',#132606,#103703,#103704); #92531=AXIS2_PLACEMENT_3D('',#132612,#103708,#103709); #92532=AXIS2_PLACEMENT_3D('',#132618,#103713,#103714); #92533=AXIS2_PLACEMENT_3D('',#132624,#103718,#103719); #92534=AXIS2_PLACEMENT_3D('',#132630,#103723,#103724); #92535=AXIS2_PLACEMENT_3D('',#132636,#103728,#103729); #92536=AXIS2_PLACEMENT_3D('',#132642,#103733,#103734); #92537=AXIS2_PLACEMENT_3D('',#132648,#103738,#103739); #92538=AXIS2_PLACEMENT_3D('',#132654,#103743,#103744); #92539=AXIS2_PLACEMENT_3D('',#132660,#103748,#103749); #92540=AXIS2_PLACEMENT_3D('',#132666,#103753,#103754); #92541=AXIS2_PLACEMENT_3D('',#132672,#103758,#103759); #92542=AXIS2_PLACEMENT_3D('',#132678,#103763,#103764); #92543=AXIS2_PLACEMENT_3D('',#132684,#103768,#103769); #92544=AXIS2_PLACEMENT_3D('',#132690,#103773,#103774); #92545=AXIS2_PLACEMENT_3D('',#132696,#103778,#103779); #92546=AXIS2_PLACEMENT_3D('',#132702,#103783,#103784); #92547=AXIS2_PLACEMENT_3D('',#132708,#103788,#103789); #92548=AXIS2_PLACEMENT_3D('',#132714,#103793,#103794); #92549=AXIS2_PLACEMENT_3D('',#132720,#103798,#103799); #92550=AXIS2_PLACEMENT_3D('',#132726,#103803,#103804); #92551=AXIS2_PLACEMENT_3D('',#132732,#103808,#103809); #92552=AXIS2_PLACEMENT_3D('',#132738,#103813,#103814); #92553=AXIS2_PLACEMENT_3D('',#132744,#103818,#103819); #92554=AXIS2_PLACEMENT_3D('',#132747,#103822,#103823); #92555=AXIS2_PLACEMENT_3D('',#132748,#103824,#103825); #92556=AXIS2_PLACEMENT_3D('',#132757,#103830,#103831); #92557=AXIS2_PLACEMENT_3D('',#132763,#103835,#103836); #92558=AXIS2_PLACEMENT_3D('',#132769,#103840,#103841); #92559=AXIS2_PLACEMENT_3D('',#132775,#103845,#103846); #92560=AXIS2_PLACEMENT_3D('',#132781,#103850,#103851); #92561=AXIS2_PLACEMENT_3D('',#132787,#103855,#103856); #92562=AXIS2_PLACEMENT_3D('',#132793,#103860,#103861); #92563=AXIS2_PLACEMENT_3D('',#132799,#103865,#103866); #92564=AXIS2_PLACEMENT_3D('',#132805,#103870,#103871); #92565=AXIS2_PLACEMENT_3D('',#132811,#103875,#103876); #92566=AXIS2_PLACEMENT_3D('',#132817,#103880,#103881); #92567=AXIS2_PLACEMENT_3D('',#132823,#103885,#103886); #92568=AXIS2_PLACEMENT_3D('',#132829,#103890,#103891); #92569=AXIS2_PLACEMENT_3D('',#132835,#103895,#103896); #92570=AXIS2_PLACEMENT_3D('',#132841,#103900,#103901); #92571=AXIS2_PLACEMENT_3D('',#132844,#103904,#103905); #92572=AXIS2_PLACEMENT_3D('',#132853,#103910,#103911); #92573=AXIS2_PLACEMENT_3D('',#132859,#103915,#103916); #92574=AXIS2_PLACEMENT_3D('',#132865,#103920,#103921); #92575=AXIS2_PLACEMENT_3D('',#132871,#103925,#103926); #92576=AXIS2_PLACEMENT_3D('',#132877,#103930,#103931); #92577=AXIS2_PLACEMENT_3D('',#132883,#103935,#103936); #92578=AXIS2_PLACEMENT_3D('',#132889,#103940,#103941); #92579=AXIS2_PLACEMENT_3D('',#132895,#103945,#103946); #92580=AXIS2_PLACEMENT_3D('',#132901,#103950,#103951); #92581=AXIS2_PLACEMENT_3D('',#132907,#103955,#103956); #92582=AXIS2_PLACEMENT_3D('',#132913,#103960,#103961); #92583=AXIS2_PLACEMENT_3D('',#132919,#103965,#103966); #92584=AXIS2_PLACEMENT_3D('',#132925,#103970,#103971); #92585=AXIS2_PLACEMENT_3D('',#132931,#103975,#103976); #92586=AXIS2_PLACEMENT_3D('',#132937,#103980,#103981); #92587=AXIS2_PLACEMENT_3D('',#132940,#103984,#103985); #92588=AXIS2_PLACEMENT_3D('',#132949,#103990,#103991); #92589=AXIS2_PLACEMENT_3D('',#132955,#103995,#103996); #92590=AXIS2_PLACEMENT_3D('',#132961,#104000,#104001); #92591=AXIS2_PLACEMENT_3D('',#132967,#104005,#104006); #92592=AXIS2_PLACEMENT_3D('',#132973,#104010,#104011); #92593=AXIS2_PLACEMENT_3D('',#132979,#104015,#104016); #92594=AXIS2_PLACEMENT_3D('',#132985,#104020,#104021); #92595=AXIS2_PLACEMENT_3D('',#132991,#104025,#104026); #92596=AXIS2_PLACEMENT_3D('',#132997,#104030,#104031); #92597=AXIS2_PLACEMENT_3D('',#133003,#104035,#104036); #92598=AXIS2_PLACEMENT_3D('',#133009,#104040,#104041); #92599=AXIS2_PLACEMENT_3D('',#133015,#104045,#104046); #92600=AXIS2_PLACEMENT_3D('',#133021,#104050,#104051); #92601=AXIS2_PLACEMENT_3D('',#133027,#104055,#104056); #92602=AXIS2_PLACEMENT_3D('',#133033,#104060,#104061); #92603=AXIS2_PLACEMENT_3D('',#133039,#104065,#104066); #92604=AXIS2_PLACEMENT_3D('',#133045,#104070,#104071); #92605=AXIS2_PLACEMENT_3D('',#133051,#104075,#104076); #92606=AXIS2_PLACEMENT_3D('',#133057,#104080,#104081); #92607=AXIS2_PLACEMENT_3D('',#133063,#104085,#104086); #92608=AXIS2_PLACEMENT_3D('',#133069,#104090,#104091); #92609=AXIS2_PLACEMENT_3D('',#133075,#104095,#104096); #92610=AXIS2_PLACEMENT_3D('',#133081,#104100,#104101); #92611=AXIS2_PLACEMENT_3D('',#133087,#104105,#104106); #92612=AXIS2_PLACEMENT_3D('',#133093,#104110,#104111); #92613=AXIS2_PLACEMENT_3D('',#133099,#104115,#104116); #92614=AXIS2_PLACEMENT_3D('',#133105,#104120,#104121); #92615=AXIS2_PLACEMENT_3D('',#133111,#104125,#104126); #92616=AXIS2_PLACEMENT_3D('',#133117,#104130,#104131); #92617=AXIS2_PLACEMENT_3D('',#133123,#104135,#104136); #92618=AXIS2_PLACEMENT_3D('',#133129,#104140,#104141); #92619=AXIS2_PLACEMENT_3D('',#133135,#104145,#104146); #92620=AXIS2_PLACEMENT_3D('',#133141,#104150,#104151); #92621=AXIS2_PLACEMENT_3D('',#133144,#104154,#104155); #92622=AXIS2_PLACEMENT_3D('',#133145,#104156,#104157); #92623=AXIS2_PLACEMENT_3D('',#133154,#104162,#104163); #92624=AXIS2_PLACEMENT_3D('',#133160,#104167,#104168); #92625=AXIS2_PLACEMENT_3D('',#133166,#104172,#104173); #92626=AXIS2_PLACEMENT_3D('',#133172,#104177,#104178); #92627=AXIS2_PLACEMENT_3D('',#133178,#104182,#104183); #92628=AXIS2_PLACEMENT_3D('',#133184,#104187,#104188); #92629=AXIS2_PLACEMENT_3D('',#133187,#104191,#104192); #92630=AXIS2_PLACEMENT_3D('',#133188,#104193,#104194); #92631=AXIS2_PLACEMENT_3D('',#133257,#104203,#104204); #92632=AXIS2_PLACEMENT_3D('',#133309,#104210,#104211); #92633=AXIS2_PLACEMENT_3D('',#133310,#104212,#104213); #92634=AXIS2_PLACEMENT_3D('',#133319,#104218,#104219); #92635=AXIS2_PLACEMENT_3D('',#133325,#104223,#104224); #92636=AXIS2_PLACEMENT_3D('',#133331,#104228,#104229); #92637=AXIS2_PLACEMENT_3D('',#133337,#104233,#104234); #92638=AXIS2_PLACEMENT_3D('',#133343,#104238,#104239); #92639=AXIS2_PLACEMENT_3D('',#133349,#104243,#104244); #92640=AXIS2_PLACEMENT_3D('',#133355,#104248,#104249); #92641=AXIS2_PLACEMENT_3D('',#133361,#104253,#104254); #92642=AXIS2_PLACEMENT_3D('',#133367,#104258,#104259); #92643=AXIS2_PLACEMENT_3D('',#133370,#104262,#104263); #92644=AXIS2_PLACEMENT_3D('',#133457,#104270,#104271); #92645=AXIS2_PLACEMENT_3D('',#133463,#104275,#104276); #92646=AXIS2_PLACEMENT_3D('',#133469,#104280,#104281); #92647=AXIS2_PLACEMENT_3D('',#133475,#104285,#104286); #92648=AXIS2_PLACEMENT_3D('',#133481,#104290,#104291); #92649=AXIS2_PLACEMENT_3D('',#133487,#104295,#104296); #92650=AXIS2_PLACEMENT_3D('',#133569,#104304,#104305); #92651=AXIS2_PLACEMENT_3D('',#133572,#104308,#104309); #92652=AXIS2_PLACEMENT_3D('',#133573,#104310,#104311); #92653=AXIS2_PLACEMENT_3D('',#133582,#104316,#104317); #92654=AXIS2_PLACEMENT_3D('',#133588,#104321,#104322); #92655=AXIS2_PLACEMENT_3D('',#133594,#104326,#104327); #92656=AXIS2_PLACEMENT_3D('',#133600,#104331,#104332); #92657=AXIS2_PLACEMENT_3D('',#133606,#104336,#104337); #92658=AXIS2_PLACEMENT_3D('',#133612,#104341,#104342); #92659=AXIS2_PLACEMENT_3D('',#133618,#104346,#104347); #92660=AXIS2_PLACEMENT_3D('',#133624,#104351,#104352); #92661=AXIS2_PLACEMENT_3D('',#133630,#104356,#104357); #92662=AXIS2_PLACEMENT_3D('',#133666,#104363,#104364); #92663=AXIS2_PLACEMENT_3D('',#133672,#104368,#104369); #92664=AXIS2_PLACEMENT_3D('',#133678,#104373,#104374); #92665=AXIS2_PLACEMENT_3D('',#133681,#104377,#104378); #92666=AXIS2_PLACEMENT_3D('',#133768,#104385,#104386); #92667=AXIS2_PLACEMENT_3D('',#133774,#104390,#104391); #92668=AXIS2_PLACEMENT_3D('',#133780,#104395,#104396); #92669=AXIS2_PLACEMENT_3D('',#133786,#104400,#104401); #92670=AXIS2_PLACEMENT_3D('',#133792,#104405,#104406); #92671=AXIS2_PLACEMENT_3D('',#133798,#104410,#104411); #92672=AXIS2_PLACEMENT_3D('',#133880,#104419,#104420); #92673=AXIS2_PLACEMENT_3D('',#133883,#104423,#104424); #92674=AXIS2_PLACEMENT_3D('',#133884,#104425,#104426); #92675=AXIS2_PLACEMENT_3D('',#133893,#104431,#104432); #92676=AXIS2_PLACEMENT_3D('',#133899,#104436,#104437); #92677=AXIS2_PLACEMENT_3D('',#133905,#104441,#104442); #92678=AXIS2_PLACEMENT_3D('',#133911,#104446,#104447); #92679=AXIS2_PLACEMENT_3D('',#133917,#104451,#104452); #92680=AXIS2_PLACEMENT_3D('',#133923,#104456,#104457); #92681=AXIS2_PLACEMENT_3D('',#133926,#104460,#104461); #92682=AXIS2_PLACEMENT_3D('',#133927,#104462,#104463); #92683=AXIS2_PLACEMENT_3D('',#133936,#104468,#104469); #92684=AXIS2_PLACEMENT_3D('',#133942,#104473,#104474); #92685=AXIS2_PLACEMENT_3D('',#133948,#104478,#104479); #92686=AXIS2_PLACEMENT_3D('',#133954,#104483,#104484); #92687=AXIS2_PLACEMENT_3D('',#133960,#104488,#104489); #92688=AXIS2_PLACEMENT_3D('',#133966,#104493,#104494); #92689=AXIS2_PLACEMENT_3D('',#133972,#104498,#104499); #92690=AXIS2_PLACEMENT_3D('',#133978,#104503,#104504); #92691=AXIS2_PLACEMENT_3D('',#133984,#104508,#104509); #92692=AXIS2_PLACEMENT_3D('',#133990,#104513,#104514); #92693=AXIS2_PLACEMENT_3D('',#133996,#104518,#104519); #92694=AXIS2_PLACEMENT_3D('',#133999,#104522,#104523); #92695=AXIS2_PLACEMENT_3D('',#134000,#104524,#104525); #92696=AXIS2_PLACEMENT_3D('',#134009,#104530,#104531); #92697=AXIS2_PLACEMENT_3D('',#134015,#104535,#104536); #92698=AXIS2_PLACEMENT_3D('',#134021,#104540,#104541); #92699=AXIS2_PLACEMENT_3D('',#134027,#104545,#104546); #92700=AXIS2_PLACEMENT_3D('',#134033,#104550,#104551); #92701=AXIS2_PLACEMENT_3D('',#134039,#104555,#104556); #92702=AXIS2_PLACEMENT_3D('',#134045,#104560,#104561); #92703=AXIS2_PLACEMENT_3D('',#134051,#104565,#104566); #92704=AXIS2_PLACEMENT_3D('',#134057,#104570,#104571); #92705=AXIS2_PLACEMENT_3D('',#134063,#104575,#104576); #92706=AXIS2_PLACEMENT_3D('',#134069,#104580,#104581); #92707=AXIS2_PLACEMENT_3D('',#134072,#104584,#104585); #92708=AXIS2_PLACEMENT_3D('',#134073,#104586,#104587); #92709=AXIS2_PLACEMENT_3D('',#134082,#104592,#104593); #92710=AXIS2_PLACEMENT_3D('',#134088,#104597,#104598); #92711=AXIS2_PLACEMENT_3D('',#134091,#104601,#104602); #92712=AXIS2_PLACEMENT_3D('',#134100,#104607,#104608); #92713=AXIS2_PLACEMENT_3D('',#134106,#104612,#104613); #92714=AXIS2_PLACEMENT_3D('',#134112,#104617,#104618); #92715=AXIS2_PLACEMENT_3D('',#134118,#104622,#104623); #92716=AXIS2_PLACEMENT_3D('',#134124,#104627,#104628); #92717=AXIS2_PLACEMENT_3D('',#134130,#104632,#104633); #92718=AXIS2_PLACEMENT_3D('',#134136,#104637,#104638); #92719=AXIS2_PLACEMENT_3D('',#134139,#104641,#104642); #92720=AXIS2_PLACEMENT_3D('',#134162,#104645,#104646); #92721=AXIS2_PLACEMENT_3D('',#134168,#104650,#104651); #92722=AXIS2_PLACEMENT_3D('',#134174,#104655,#104656); #92723=AXIS2_PLACEMENT_3D('',#134234,#104662,#104663); #92724=AXIS2_PLACEMENT_3D('',#134300,#104671,#104672); #92725=AXIS2_PLACEMENT_3D('',#134306,#104676,#104677); #92726=AXIS2_PLACEMENT_3D('',#134312,#104681,#104682); #92727=AXIS2_PLACEMENT_3D('',#134318,#104686,#104687); #92728=AXIS2_PLACEMENT_3D('',#134324,#104691,#104692); #92729=AXIS2_PLACEMENT_3D('',#134330,#104696,#104697); #92730=AXIS2_PLACEMENT_3D('',#134336,#104701,#104702); #92731=AXIS2_PLACEMENT_3D('',#134339,#104705,#104706); #92732=AXIS2_PLACEMENT_3D('',#134362,#104709,#104710); #92733=AXIS2_PLACEMENT_3D('',#134368,#104714,#104715); #92734=AXIS2_PLACEMENT_3D('',#134374,#104719,#104720); #92735=AXIS2_PLACEMENT_3D('',#134475,#104729,#104730); #92736=AXIS2_PLACEMENT_3D('',#134481,#104734,#104735); #92737=AXIS2_PLACEMENT_3D('',#134487,#104739,#104740); #92738=AXIS2_PLACEMENT_3D('',#134528,#104745,#104746); #92739=AXIS2_PLACEMENT_3D('',#134529,#104747,#104748); #92740=AXIS2_PLACEMENT_3D('',#134538,#104753,#104754); #92741=AXIS2_PLACEMENT_3D('',#134544,#104758,#104759); #92742=AXIS2_PLACEMENT_3D('',#134550,#104763,#104764); #92743=AXIS2_PLACEMENT_3D('',#134556,#104768,#104769); #92744=AXIS2_PLACEMENT_3D('',#134562,#104773,#104774); #92745=AXIS2_PLACEMENT_3D('',#134568,#104778,#104779); #92746=AXIS2_PLACEMENT_3D('',#134574,#104783,#104784); #92747=AXIS2_PLACEMENT_3D('',#134580,#104788,#104789); #92748=AXIS2_PLACEMENT_3D('',#134586,#104793,#104794); #92749=AXIS2_PLACEMENT_3D('',#134592,#104798,#104799); #92750=AXIS2_PLACEMENT_3D('',#134598,#104803,#104804); #92751=AXIS2_PLACEMENT_3D('',#134604,#104808,#104809); #92752=AXIS2_PLACEMENT_3D('',#134607,#104812,#104813); #92753=AXIS2_PLACEMENT_3D('',#134649,#104817,#104818); #92754=AXIS2_PLACEMENT_3D('',#134655,#104822,#104823); #92755=AXIS2_PLACEMENT_3D('',#134661,#104827,#104828); #92756=AXIS2_PLACEMENT_3D('',#134667,#104832,#104833); #92757=AXIS2_PLACEMENT_3D('',#134673,#104837,#104838); #92758=AXIS2_PLACEMENT_3D('',#134793,#104848,#104849); #92759=AXIS2_PLACEMENT_3D('',#134799,#104853,#104854); #92760=AXIS2_PLACEMENT_3D('',#134916,#104863,#104864); #92761=AXIS2_PLACEMENT_3D('',#134958,#104868,#104869); #92762=AXIS2_PLACEMENT_3D('',#134964,#104873,#104874); #92763=AXIS2_PLACEMENT_3D('',#135122,#104886,#104887); #92764=AXIS2_PLACEMENT_3D('',#135128,#104891,#104892); #92765=AXIS2_PLACEMENT_3D('',#135245,#104901,#104902); #92766=AXIS2_PLACEMENT_3D('',#135268,#104905,#104906); #92767=AXIS2_PLACEMENT_3D('',#135274,#104910,#104911); #92768=AXIS2_PLACEMENT_3D('',#135280,#104915,#104916); #92769=AXIS2_PLACEMENT_3D('',#135381,#104925,#104926); #92770=AXIS2_PLACEMENT_3D('',#135387,#104930,#104931); #92771=AXIS2_PLACEMENT_3D('',#135393,#104935,#104936); #92772=AXIS2_PLACEMENT_3D('',#135399,#104940,#104941); #92773=AXIS2_PLACEMENT_3D('',#135405,#104945,#104946); #92774=AXIS2_PLACEMENT_3D('',#135446,#104951,#104952); #92775=AXIS2_PLACEMENT_3D('',#135447,#104953,#104954); #92776=AXIS2_PLACEMENT_3D('',#135456,#104959,#104960); #92777=AXIS2_PLACEMENT_3D('',#135462,#104964,#104965); #92778=AXIS2_PLACEMENT_3D('',#135465,#104968,#104969); #92779=AXIS2_PLACEMENT_3D('',#135474,#104974,#104975); #92780=AXIS2_PLACEMENT_3D('',#135480,#104979,#104980); #92781=AXIS2_PLACEMENT_3D('',#135486,#104984,#104985); #92782=AXIS2_PLACEMENT_3D('',#135492,#104989,#104990); #92783=AXIS2_PLACEMENT_3D('',#135498,#104994,#104995); #92784=AXIS2_PLACEMENT_3D('',#135504,#104999,#105000); #92785=AXIS2_PLACEMENT_3D('',#135510,#105004,#105005); #92786=AXIS2_PLACEMENT_3D('',#135513,#105008,#105009); #92787=AXIS2_PLACEMENT_3D('',#135514,#105010,#105011); #92788=AXIS2_PLACEMENT_3D('',#135523,#105016,#105017); #92789=AXIS2_PLACEMENT_3D('',#135529,#105021,#105022); #92790=AXIS2_PLACEMENT_3D('',#135535,#105026,#105027); #92791=AXIS2_PLACEMENT_3D('',#135541,#105031,#105032); #92792=AXIS2_PLACEMENT_3D('',#135547,#105036,#105037); #92793=AXIS2_PLACEMENT_3D('',#135553,#105041,#105042); #92794=AXIS2_PLACEMENT_3D('',#135559,#105046,#105047); #92795=AXIS2_PLACEMENT_3D('',#135562,#105050,#105051); #92796=AXIS2_PLACEMENT_3D('',#135585,#105054,#105055); #92797=AXIS2_PLACEMENT_3D('',#135591,#105059,#105060); #92798=AXIS2_PLACEMENT_3D('',#135597,#105064,#105065); #92799=AXIS2_PLACEMENT_3D('',#135698,#105074,#105075); #92800=AXIS2_PLACEMENT_3D('',#135704,#105079,#105080); #92801=AXIS2_PLACEMENT_3D('',#135710,#105084,#105085); #92802=AXIS2_PLACEMENT_3D('',#135716,#105089,#105090); #92803=AXIS2_PLACEMENT_3D('',#135722,#105094,#105095); #92804=AXIS2_PLACEMENT_3D('',#135763,#105100,#105101); #92805=AXIS2_PLACEMENT_3D('',#135764,#105102,#105103); #92806=AXIS2_PLACEMENT_3D('',#135773,#105108,#105109); #92807=AXIS2_PLACEMENT_3D('',#135779,#105113,#105114); #92808=AXIS2_PLACEMENT_3D('',#135785,#105118,#105119); #92809=AXIS2_PLACEMENT_3D('',#135791,#105123,#105124); #92810=AXIS2_PLACEMENT_3D('',#135797,#105128,#105129); #92811=AXIS2_PLACEMENT_3D('',#135803,#105133,#105134); #92812=AXIS2_PLACEMENT_3D('',#135809,#105138,#105139); #92813=AXIS2_PLACEMENT_3D('',#135815,#105143,#105144); #92814=AXIS2_PLACEMENT_3D('',#135821,#105148,#105149); #92815=AXIS2_PLACEMENT_3D('',#135827,#105153,#105154); #92816=AXIS2_PLACEMENT_3D('',#135833,#105158,#105159); #92817=AXIS2_PLACEMENT_3D('',#135836,#105162,#105163); #92818=AXIS2_PLACEMENT_3D('',#135859,#105166,#105167); #92819=AXIS2_PLACEMENT_3D('',#135865,#105171,#105172); #92820=AXIS2_PLACEMENT_3D('',#135871,#105176,#105177); #92821=AXIS2_PLACEMENT_3D('',#135972,#105186,#105187); #92822=AXIS2_PLACEMENT_3D('',#135978,#105191,#105192); #92823=AXIS2_PLACEMENT_3D('',#135984,#105196,#105197); #92824=AXIS2_PLACEMENT_3D('',#136025,#105202,#105203); #92825=AXIS2_PLACEMENT_3D('',#136026,#105204,#105205); #92826=AXIS2_PLACEMENT_3D('',#136035,#105210,#105211); #92827=AXIS2_PLACEMENT_3D('',#136041,#105215,#105216); #92828=AXIS2_PLACEMENT_3D('',#136047,#105220,#105221); #92829=AXIS2_PLACEMENT_3D('',#136050,#105224,#105225); #92830=AXIS2_PLACEMENT_3D('',#136051,#105226,#105227); #92831=AXIS2_PLACEMENT_3D('',#136056,#105229,#105230); #92832=AXIS2_PLACEMENT_3D('',#136060,#105232,#105233); #92833=AXIS2_PLACEMENT_3D('',#136064,#105235,#105236); #92834=AXIS2_PLACEMENT_3D('',#136068,#105238,#105239); #92835=AXIS2_PLACEMENT_3D('',#136072,#105241,#105242); #92836=AXIS2_PLACEMENT_3D('',#136074,#105243,#105244); #92837=AXIS2_PLACEMENT_3D('',#136095,#105247,#105248); #92838=AXIS2_PLACEMENT_3D('',#136116,#105251,#105252); #92839=AXIS2_PLACEMENT_3D('',#136137,#105255,#105256); #92840=AXIS2_PLACEMENT_3D('',#136158,#105259,#105260); #92841=AXIS2_PLACEMENT_3D('',#136179,#105263,#105264); #92842=AXIS2_PLACEMENT_3D('',#136181,#105265,#105266); #92843=AXIS2_PLACEMENT_3D('',#136185,#105268,#105269); #92844=AXIS2_PLACEMENT_3D('',#136189,#105271,#105272); #92845=AXIS2_PLACEMENT_3D('',#136193,#105274,#105275); #92846=AXIS2_PLACEMENT_3D('',#136197,#105277,#105278); #92847=AXIS2_PLACEMENT_3D('',#136201,#105280,#105281); #92848=AXIS2_PLACEMENT_3D('',#136203,#105282,#105283); #92849=AXIS2_PLACEMENT_3D('',#136210,#105284,#105285); #92850=AXIS2_PLACEMENT_3D('',#136214,#105287,#105288); #92851=AXIS2_PLACEMENT_3D('',#136221,#105289,#105290); #92852=AXIS2_PLACEMENT_3D('',#136222,#105291,#105292); #92853=AXIS2_PLACEMENT_3D('',#136223,#105293,#105294); #92854=AXIS2_PLACEMENT_3D('',#136224,#105295,#105296); #92855=AXIS2_PLACEMENT_3D('',#136225,#105297,#105298); #92856=AXIS2_PLACEMENT_3D('',#136226,#105299,#105300); #92857=AXIS2_PLACEMENT_3D('',#136227,#105301,#105302); #92858=AXIS2_PLACEMENT_3D('',#136228,#105303,#105304); #92859=AXIS2_PLACEMENT_3D('',#136229,#105305,#105306); #92860=AXIS2_PLACEMENT_3D('',#136230,#105307,#105308); #92861=AXIS2_PLACEMENT_3D('',#136231,#105309,#105310); #92862=AXIS2_PLACEMENT_3D('',#136232,#105311,#105312); #92863=AXIS2_PLACEMENT_3D('',#136233,#105313,#105314); #92864=AXIS2_PLACEMENT_3D('',#136234,#105315,#105316); #92865=AXIS2_PLACEMENT_3D('',#136235,#105317,#105318); #92866=AXIS2_PLACEMENT_3D('',#136236,#105319,#105320); #92867=AXIS2_PLACEMENT_3D('',#136237,#105321,#105322); #92868=AXIS2_PLACEMENT_3D('',#136238,#105323,#105324); #92869=AXIS2_PLACEMENT_3D('',#136239,#105325,#105326); #92870=AXIS2_PLACEMENT_3D('',#136240,#105327,#105328); #92871=AXIS2_PLACEMENT_3D('',#136241,#105329,#105330); #92872=AXIS2_PLACEMENT_3D('',#136242,#105331,#105332); #92873=AXIS2_PLACEMENT_3D('',#136243,#105333,#105334); #92874=AXIS2_PLACEMENT_3D('',#136244,#105335,#105336); #92875=AXIS2_PLACEMENT_3D('',#136245,#105337,#105338); #92876=AXIS2_PLACEMENT_3D('',#136246,#105339,#105340); #92877=AXIS2_PLACEMENT_3D('',#136247,#105341,#105342); #92878=AXIS2_PLACEMENT_3D('',#136248,#105343,#105344); #92879=AXIS2_PLACEMENT_3D('',#136249,#105345,#105346); #92880=AXIS2_PLACEMENT_3D('',#136250,#105347,#105348); #92881=AXIS2_PLACEMENT_3D('',#136251,#105349,#105350); #92882=AXIS2_PLACEMENT_3D('',#136252,#105351,#105352); #92883=AXIS2_PLACEMENT_3D('',#136253,#105353,#105354); #92884=AXIS2_PLACEMENT_3D('',#136254,#105355,#105356); #92885=AXIS2_PLACEMENT_3D('',#136255,#105357,#105358); #92886=AXIS2_PLACEMENT_3D('',#136256,#105359,#105360); #92887=AXIS2_PLACEMENT_3D('',#136257,#105361,#105362); #92888=AXIS2_PLACEMENT_3D('',#136258,#105363,#105364); #92889=AXIS2_PLACEMENT_3D('',#136260,#105365,#105366); #92890=AXIS2_PLACEMENT_3D('',#136261,#105367,#105368); #92891=AXIS2_PLACEMENT_3D('',#136262,#105369,#105370); #92892=AXIS2_PLACEMENT_3D('',#136288,#105372,#105373); #92893=AXIS2_PLACEMENT_3D('',#136292,#105375,#105376); #92894=AXIS2_PLACEMENT_3D('',#136358,#105378,#105379); #92895=AXIS2_PLACEMENT_3D('',#136389,#105380,#105381); #92896=AXIS2_PLACEMENT_3D('',#136415,#105382,#105383); #92897=AXIS2_PLACEMENT_3D('',#136442,#105384,#105385); #92898=AXIS2_PLACEMENT_3D('',#136447,#105386,#105387); #92899=AXIS2_PLACEMENT_3D('',#136449,#105388,#105389); #92900=AXIS2_PLACEMENT_3D('',#136452,#105391,#105392); #92901=AXIS2_PLACEMENT_3D('',#136453,#105393,#105394); #92902=AXIS2_PLACEMENT_3D('',#136455,#105395,#105396); #92903=AXIS2_PLACEMENT_3D('',#136456,#105397,#105398); #92904=AXIS2_PLACEMENT_3D('',#136457,#105399,#105400); #92905=AXIS2_PLACEMENT_3D('',#136459,#105401,#105402); #92906=AXIS2_PLACEMENT_3D('',#136461,#105404,#105405); #92907=AXIS2_PLACEMENT_3D('',#136463,#105406,#105407); #92908=AXIS2_PLACEMENT_3D('',#136464,#105408,#105409); #92909=AXIS2_PLACEMENT_3D('',#136465,#105410,#105411); #92910=AXIS2_PLACEMENT_3D('',#136467,#105412,#105413); #92911=AXIS2_PLACEMENT_3D('',#136514,#105415,#105416); #92912=AXIS2_PLACEMENT_3D('',#136521,#105417,#105418); #92913=AXIS2_PLACEMENT_3D('',#136543,#105419,#105420); #92914=AXIS2_PLACEMENT_3D('',#136602,#105421,#105422); #92915=AXIS2_PLACEMENT_3D('',#136652,#105423,#105424); #92916=AXIS2_PLACEMENT_3D('',#136719,#105425,#105426); #92917=AXIS2_PLACEMENT_3D('',#136725,#105427,#105428); #92918=AXIS2_PLACEMENT_3D('',#136752,#105429,#105430); #92919=AXIS2_PLACEMENT_3D('',#136784,#105431,#105432); #92920=AXIS2_PLACEMENT_3D('',#136817,#105433,#105434); #92921=AXIS2_PLACEMENT_3D('',#136818,#105435,#105436); #92922=AXIS2_PLACEMENT_3D('',#136819,#105437,#105438); #92923=AXIS2_PLACEMENT_3D('',#136847,#105439,#105440); #92924=AXIS2_PLACEMENT_3D('',#136879,#105441,#105442); #92925=AXIS2_PLACEMENT_3D('',#136909,#105443,#105444); #92926=AXIS2_PLACEMENT_3D('',#136945,#105445,#105446); #92927=AXIS2_PLACEMENT_3D('',#136996,#105447,#105448); #92928=AXIS2_PLACEMENT_3D('',#136998,#105450,#105451); #92929=AXIS2_PLACEMENT_3D('',#137000,#105453,#105454); #92930=AXIS2_PLACEMENT_3D('',#137002,#105456,#105457); #92931=AXIS2_PLACEMENT_3D('',#137004,#105459,#105460); #92932=AXIS2_PLACEMENT_3D('',#137005,#105461,#105462); #92933=AXIS2_PLACEMENT_3D('',#137007,#105463,#105464); #92934=AXIS2_PLACEMENT_3D('',#137009,#105465,#105466); #92935=AXIS2_PLACEMENT_3D('',#137010,#105467,#105468); #92936=AXIS2_PLACEMENT_3D('',#137011,#105469,#105470); #92937=AXIS2_PLACEMENT_3D('',#137012,#105471,#105472); #92938=AXIS2_PLACEMENT_3D('',#137013,#105473,#105474); #92939=AXIS2_PLACEMENT_3D('',#137014,#105475,#105476); #92940=AXIS2_PLACEMENT_3D('',#137015,#105477,#105478); #92941=AXIS2_PLACEMENT_3D('',#137016,#105479,#105480); #92942=AXIS2_PLACEMENT_3D('',#137017,#105481,#105482); #92943=AXIS2_PLACEMENT_3D('',#137018,#105483,#105484); #92944=AXIS2_PLACEMENT_3D('',#137020,#105485,#105486); #92945=AXIS2_PLACEMENT_3D('',#137021,#105487,#105488); #92946=AXIS2_PLACEMENT_3D('',#137022,#105489,#105490); #92947=AXIS2_PLACEMENT_3D('',#137024,#105491,#105492); #92948=AXIS2_PLACEMENT_3D('',#137025,#105493,#105494); #92949=AXIS2_PLACEMENT_3D('',#137026,#105495,#105496); #92950=AXIS2_PLACEMENT_3D('',#137028,#105497,#105498); #92951=AXIS2_PLACEMENT_3D('',#137030,#105499,#105500); #92952=AXIS2_PLACEMENT_3D('',#137031,#105501,#105502); #92953=AXIS2_PLACEMENT_3D('',#137032,#105503,#105504); #92954=AXIS2_PLACEMENT_3D('',#137033,#105505,#105506); #92955=AXIS2_PLACEMENT_3D('',#137034,#105507,#105508); #92956=AXIS2_PLACEMENT_3D('',#137035,#105509,#105510); #92957=AXIS2_PLACEMENT_3D('',#137037,#105512,#105513); #92958=AXIS2_PLACEMENT_3D('',#137039,#105515,#105516); #92959=AXIS2_PLACEMENT_3D('',#137040,#105517,#105518); #92960=AXIS2_PLACEMENT_3D('',#137041,#105519,#105520); #92961=AXIS2_PLACEMENT_3D('',#137051,#105521,#105522); #92962=AXIS2_PLACEMENT_3D('',#137052,#105523,#105524); #92963=AXIS2_PLACEMENT_3D('',#137053,#105525,#105526); #92964=AXIS2_PLACEMENT_3D('',#137056,#105527,#105528); #92965=AXIS2_PLACEMENT_3D('',#137060,#105530,#105531); #92966=AXIS2_PLACEMENT_3D('',#137062,#105533,#105534); #92967=AXIS2_PLACEMENT_3D('',#137065,#105535,#105536); #92968=AXIS2_PLACEMENT_3D('',#137069,#105538,#105539); #92969=AXIS2_PLACEMENT_3D('',#137071,#105541,#105542); #92970=AXIS2_PLACEMENT_3D('',#137074,#105543,#105544); #92971=AXIS2_PLACEMENT_3D('',#137075,#105545,#105546); #92972=AXIS2_PLACEMENT_3D('',#137076,#105547,#105548); #92973=AXIS2_PLACEMENT_3D('',#137077,#105549,#105550); #92974=AXIS2_PLACEMENT_3D('',#137079,#105551,#105552); #92975=AXIS2_PLACEMENT_3D('',#137082,#105555,#105556); #92976=AXIS2_PLACEMENT_3D('',#137085,#105557,#105558); #92977=AXIS2_PLACEMENT_3D('',#137088,#105560,#105561); #92978=AXIS2_PLACEMENT_3D('',#137090,#105563,#105564); #92979=AXIS2_PLACEMENT_3D('',#137092,#105565,#105566); #92980=AXIS2_PLACEMENT_3D('',#137096,#105568,#105569); #92981=AXIS2_PLACEMENT_3D('',#137098,#105571,#105572); #92982=AXIS2_PLACEMENT_3D('',#137101,#105573,#105574); #92983=AXIS2_PLACEMENT_3D('',#137104,#105576,#105577); #92984=AXIS2_PLACEMENT_3D('',#137106,#105579,#105580); #92985=AXIS2_PLACEMENT_3D('',#137107,#105581,#105582); #92986=AXIS2_PLACEMENT_3D('',#137108,#105583,#105584); #92987=AXIS2_PLACEMENT_3D('',#137109,#105585,#105586); #92988=AXIS2_PLACEMENT_3D('',#137110,#105587,#105588); #92989=AXIS2_PLACEMENT_3D('',#137111,#105589,#105590); #92990=AXIS2_PLACEMENT_3D('',#137112,#105591,#105592); #92991=AXIS2_PLACEMENT_3D('',#137113,#105593,#105594); #92992=AXIS2_PLACEMENT_3D('',#137114,#105595,#105596); #92993=AXIS2_PLACEMENT_3D('',#137115,#105597,#105598); #92994=AXIS2_PLACEMENT_3D('',#137116,#105599,#105600); #92995=AXIS2_PLACEMENT_3D('',#137117,#105601,#105602); #92996=AXIS2_PLACEMENT_3D('',#137121,#105604,#105605); #92997=AXIS2_PLACEMENT_3D('',#137123,#105607,#105608); #92998=AXIS2_PLACEMENT_3D('',#137132,#105611,#105612); #92999=AXIS2_PLACEMENT_3D('',#137141,#105614,#105615); #93000=AXIS2_PLACEMENT_3D('',#137150,#105618,#105619); #93001=AXIS2_PLACEMENT_3D('',#137153,#105621,#105622); #93002=AXIS2_PLACEMENT_3D('',#137154,#105623,#105624); #93003=AXIS2_PLACEMENT_3D('',#137158,#105627,#105628); #93004=AXIS2_PLACEMENT_3D('',#137159,#105629,#105630); #93005=AXIS2_PLACEMENT_3D('',#137162,#105631,#105632); #93006=AXIS2_PLACEMENT_3D('',#137166,#105634,#105635); #93007=AXIS2_PLACEMENT_3D('',#137168,#105637,#105638); #93008=AXIS2_PLACEMENT_3D('',#137171,#105639,#105640); #93009=AXIS2_PLACEMENT_3D('',#137174,#105642,#105643); #93010=AXIS2_PLACEMENT_3D('',#137176,#105645,#105646); #93011=AXIS2_PLACEMENT_3D('',#137179,#105647,#105648); #93012=AXIS2_PLACEMENT_3D('',#137180,#105649,#105650); #93013=AXIS2_PLACEMENT_3D('',#137181,#105651,#105652); #93014=AXIS2_PLACEMENT_3D('',#137182,#105653,#105654); #93015=AXIS2_PLACEMENT_3D('',#137185,#105655,#105656); #93016=AXIS2_PLACEMENT_3D('',#137188,#105659,#105660); #93017=AXIS2_PLACEMENT_3D('',#137189,#105661,#105662); #93018=AXIS2_PLACEMENT_3D('',#137190,#105663,#105664); #93019=AXIS2_PLACEMENT_3D('',#137193,#105665,#105666); #93020=AXIS2_PLACEMENT_3D('',#137196,#105668,#105669); #93021=AXIS2_PLACEMENT_3D('',#137198,#105671,#105672); #93022=AXIS2_PLACEMENT_3D('',#137199,#105673,#105674); #93023=AXIS2_PLACEMENT_3D('',#137200,#105675,#105676); #93024=AXIS2_PLACEMENT_3D('',#137203,#105677,#105678); #93025=AXIS2_PLACEMENT_3D('',#137206,#105680,#105681); #93026=AXIS2_PLACEMENT_3D('',#137208,#105683,#105684); #93027=AXIS2_PLACEMENT_3D('',#137211,#105685,#105686); #93028=AXIS2_PLACEMENT_3D('',#137212,#105687,#105688); #93029=AXIS2_PLACEMENT_3D('',#137213,#105689,#105690); #93030=AXIS2_PLACEMENT_3D('',#137214,#105691,#105692); #93031=AXIS2_PLACEMENT_3D('',#137216,#105693,#105694); #93032=AXIS2_PLACEMENT_3D('',#137219,#105697,#105698); #93033=AXIS2_PLACEMENT_3D('',#137220,#105699,#105700); #93034=AXIS2_PLACEMENT_3D('',#137221,#105701,#105702); #93035=AXIS2_PLACEMENT_3D('',#137222,#105703,#105704); #93036=AXIS2_PLACEMENT_3D('',#137223,#105705,#105706); #93037=AXIS2_PLACEMENT_3D('',#137227,#105708,#105709); #93038=AXIS2_PLACEMENT_3D('',#137229,#105711,#105712); #93039=AXIS2_PLACEMENT_3D('',#137233,#105715,#105716); #93040=AXIS2_PLACEMENT_3D('',#137236,#105718,#105719); #93041=AXIS2_PLACEMENT_3D('',#137237,#105720,#105721); #93042=AXIS2_PLACEMENT_3D('',#137246,#105724,#105725); #93043=AXIS2_PLACEMENT_3D('',#137256,#105727,#105728); #93044=AXIS2_PLACEMENT_3D('',#137265,#105731,#105732); #93045=AXIS2_PLACEMENT_3D('',#137266,#105733,#105734); #93046=AXIS2_PLACEMENT_3D('',#137269,#105735,#105736); #93047=AXIS2_PLACEMENT_3D('',#137273,#105738,#105739); #93048=AXIS2_PLACEMENT_3D('',#137275,#105741,#105742); #93049=AXIS2_PLACEMENT_3D('',#137278,#105743,#105744); #93050=AXIS2_PLACEMENT_3D('',#137282,#105746,#105747); #93051=AXIS2_PLACEMENT_3D('',#137284,#105749,#105750); #93052=AXIS2_PLACEMENT_3D('',#137287,#105751,#105752); #93053=AXIS2_PLACEMENT_3D('',#137288,#105753,#105754); #93054=AXIS2_PLACEMENT_3D('',#137289,#105755,#105756); #93055=AXIS2_PLACEMENT_3D('',#137290,#105757,#105758); #93056=AXIS2_PLACEMENT_3D('',#137292,#105759,#105760); #93057=AXIS2_PLACEMENT_3D('',#137295,#105763,#105764); #93058=AXIS2_PLACEMENT_3D('',#137298,#105765,#105766); #93059=AXIS2_PLACEMENT_3D('',#137301,#105768,#105769); #93060=AXIS2_PLACEMENT_3D('',#137303,#105771,#105772); #93061=AXIS2_PLACEMENT_3D('',#137306,#105773,#105774); #93062=AXIS2_PLACEMENT_3D('',#137309,#105776,#105777); #93063=AXIS2_PLACEMENT_3D('',#137311,#105779,#105780); #93064=AXIS2_PLACEMENT_3D('',#137314,#105781,#105782); #93065=AXIS2_PLACEMENT_3D('',#137317,#105784,#105785); #93066=AXIS2_PLACEMENT_3D('',#137319,#105787,#105788); #93067=AXIS2_PLACEMENT_3D('',#137320,#105789,#105790); #93068=AXIS2_PLACEMENT_3D('',#137321,#105791,#105792); #93069=AXIS2_PLACEMENT_3D('',#137322,#105793,#105794); #93070=AXIS2_PLACEMENT_3D('',#137323,#105795,#105796); #93071=AXIS2_PLACEMENT_3D('',#137324,#105797,#105798); #93072=AXIS2_PLACEMENT_3D('',#137325,#105799,#105800); #93073=AXIS2_PLACEMENT_3D('',#137326,#105801,#105802); #93074=AXIS2_PLACEMENT_3D('',#137327,#105803,#105804); #93075=AXIS2_PLACEMENT_3D('',#137328,#105805,#105806); #93076=AXIS2_PLACEMENT_3D('',#137329,#105807,#105808); #93077=AXIS2_PLACEMENT_3D('',#137330,#105809,#105810); #93078=AXIS2_PLACEMENT_3D('',#137341,#105813,#105814); #93079=AXIS2_PLACEMENT_3D('',#137358,#105817,#105818); #93080=AXIS2_PLACEMENT_3D('',#137370,#105820,#105821); #93081=AXIS2_PLACEMENT_3D('',#137373,#105823,#105824); #93082=AXIS2_PLACEMENT_3D('',#137374,#105825,#105826); #93083=AXIS2_PLACEMENT_3D('',#137378,#105828,#105829); #93084=AXIS2_PLACEMENT_3D('',#137403,#105832,#105833); #93085=AXIS2_PLACEMENT_3D('',#137404,#105834,#105835); #93086=AXIS2_PLACEMENT_3D('',#137407,#105837,#105838); #93087=AXIS2_PLACEMENT_3D('',#137408,#105839,#105840); #93088=AXIS2_PLACEMENT_3D('',#137426,#105844,#105845); #93089=AXIS2_PLACEMENT_3D('',#137427,#105846,#105847); #93090=AXIS2_PLACEMENT_3D('',#137430,#105848,#105849); #93091=AXIS2_PLACEMENT_3D('',#137434,#105851,#105852); #93092=AXIS2_PLACEMENT_3D('',#137436,#105854,#105855); #93093=AXIS2_PLACEMENT_3D('',#137439,#105856,#105857); #93094=AXIS2_PLACEMENT_3D('',#137442,#105859,#105860); #93095=AXIS2_PLACEMENT_3D('',#137444,#105862,#105863); #93096=AXIS2_PLACEMENT_3D('',#137447,#105864,#105865); #93097=AXIS2_PLACEMENT_3D('',#137448,#105866,#105867); #93098=AXIS2_PLACEMENT_3D('',#137449,#105868,#105869); #93099=AXIS2_PLACEMENT_3D('',#137450,#105870,#105871); #93100=AXIS2_PLACEMENT_3D('',#137453,#105872,#105873); #93101=AXIS2_PLACEMENT_3D('',#137456,#105876,#105877); #93102=AXIS2_PLACEMENT_3D('',#137457,#105878,#105879); #93103=AXIS2_PLACEMENT_3D('',#137458,#105880,#105881); #93104=AXIS2_PLACEMENT_3D('',#137461,#105882,#105883); #93105=AXIS2_PLACEMENT_3D('',#137464,#105885,#105886); #93106=AXIS2_PLACEMENT_3D('',#137466,#105888,#105889); #93107=AXIS2_PLACEMENT_3D('',#137467,#105890,#105891); #93108=AXIS2_PLACEMENT_3D('',#137468,#105892,#105893); #93109=AXIS2_PLACEMENT_3D('',#137471,#105894,#105895); #93110=AXIS2_PLACEMENT_3D('',#137474,#105897,#105898); #93111=AXIS2_PLACEMENT_3D('',#137476,#105900,#105901); #93112=AXIS2_PLACEMENT_3D('',#137479,#105902,#105903); #93113=AXIS2_PLACEMENT_3D('',#137480,#105904,#105905); #93114=AXIS2_PLACEMENT_3D('',#137481,#105906,#105907); #93115=AXIS2_PLACEMENT_3D('',#137482,#105908,#105909); #93116=AXIS2_PLACEMENT_3D('',#137484,#105910,#105911); #93117=AXIS2_PLACEMENT_3D('',#137487,#105914,#105915); #93118=AXIS2_PLACEMENT_3D('',#137488,#105916,#105917); #93119=AXIS2_PLACEMENT_3D('',#137489,#105918,#105919); #93120=AXIS2_PLACEMENT_3D('',#137490,#105920,#105921); #93121=AXIS2_PLACEMENT_3D('',#137491,#105922,#105923); #93122=AXIS2_PLACEMENT_3D('',#137502,#105926,#105927); #93123=AXIS2_PLACEMENT_3D('',#137519,#105930,#105931); #93124=AXIS2_PLACEMENT_3D('',#137531,#105933,#105934); #93125=AXIS2_PLACEMENT_3D('',#137534,#105936,#105937); #93126=AXIS2_PLACEMENT_3D('',#137535,#105938,#105939); #93127=AXIS2_PLACEMENT_3D('',#137539,#105941,#105942); #93128=AXIS2_PLACEMENT_3D('',#137580,#105945,#105946); #93129=AXIS2_PLACEMENT_3D('',#137581,#105947,#105948); #93130=AXIS2_PLACEMENT_3D('',#137584,#105950,#105951); #93131=AXIS2_PLACEMENT_3D('',#137585,#105952,#105953); #93132=AXIS2_PLACEMENT_3D('',#137624,#105957,#105958); #93133=AXIS2_PLACEMENT_3D('',#137625,#105959,#105960); #93134=AXIS2_PLACEMENT_3D('',#137626,#105961,#105962); #93135=AXIS2_PLACEMENT_3D('',#137627,#105963,#105964); #93136=AXIS2_PLACEMENT_3D('',#137628,#105965,#105966); #93137=AXIS2_PLACEMENT_3D('',#137629,#105967,#105968); #93138=AXIS2_PLACEMENT_3D('',#137655,#105969,#105970); #93139=AXIS2_PLACEMENT_3D('',#137656,#105971,#105972); #93140=AXIS2_PLACEMENT_3D('',#137657,#105973,#105974); #93141=AXIS2_PLACEMENT_3D('',#137679,#105975,#105976); #93142=AXIS2_PLACEMENT_3D('',#137720,#105977,#105978); #93143=AXIS2_PLACEMENT_3D('',#137749,#105979,#105980); #93144=AXIS2_PLACEMENT_3D('',#137754,#105981,#105982); #93145=AXIS2_PLACEMENT_3D('',#137756,#105983,#105984); #93146=AXIS2_PLACEMENT_3D('',#137758,#105986,#105987); #93147=AXIS2_PLACEMENT_3D('',#137761,#105988,#105989); #93148=AXIS2_PLACEMENT_3D('',#137762,#105990,#105991); #93149=AXIS2_PLACEMENT_3D('',#137763,#105992,#105993); #93150=AXIS2_PLACEMENT_3D('',#137764,#105994,#105995); #93151=AXIS2_PLACEMENT_3D('',#137767,#105996,#105997); #93152=AXIS2_PLACEMENT_3D('',#137792,#106000,#106001); #93153=AXIS2_PLACEMENT_3D('',#137859,#106002,#106003); #93154=AXIS2_PLACEMENT_3D('',#137889,#106004,#106005); #93155=AXIS2_PLACEMENT_3D('',#137898,#106006,#106007); #93156=AXIS2_PLACEMENT_3D('',#137900,#106008,#106009); #93157=AXIS2_PLACEMENT_3D('',#137928,#106011,#106012); #93158=AXIS2_PLACEMENT_3D('',#137929,#106013,#106014); #93159=AXIS2_PLACEMENT_3D('',#137930,#106015,#106016); #93160=AXIS2_PLACEMENT_3D('',#137932,#106018,#106019); #93161=AXIS2_PLACEMENT_3D('',#137934,#106020,#106021); #93162=AXIS2_PLACEMENT_3D('',#137936,#106022,#106023); #93163=AXIS2_PLACEMENT_3D('',#137958,#106024,#106025); #93164=AXIS2_PLACEMENT_3D('',#137959,#106026,#106027); #93165=AXIS2_PLACEMENT_3D('',#137960,#106028,#106029); #93166=AXIS2_PLACEMENT_3D('',#137962,#106030,#106031); #93167=AXIS2_PLACEMENT_3D('',#137965,#106033,#106034); #93168=AXIS2_PLACEMENT_3D('',#137992,#106035,#106036); #93169=AXIS2_PLACEMENT_3D('',#137993,#106037,#106038); #93170=AXIS2_PLACEMENT_3D('',#137994,#106039,#106040); #93171=AXIS2_PLACEMENT_3D('',#137996,#106041,#106042); #93172=AXIS2_PLACEMENT_3D('',#138020,#106044,#106045); #93173=AXIS2_PLACEMENT_3D('',#138080,#106046,#106047); #93174=AXIS2_PLACEMENT_3D('',#138110,#106048,#106049); #93175=AXIS2_PLACEMENT_3D('',#138119,#106050,#106051); #93176=AXIS2_PLACEMENT_3D('',#138121,#106052,#106053); #93177=AXIS2_PLACEMENT_3D('',#138124,#106056,#106057); #93178=AXIS2_PLACEMENT_3D('',#138126,#106058,#106059); #93179=AXIS2_PLACEMENT_3D('',#138127,#106060,#106061); #93180=AXIS2_PLACEMENT_3D('',#138128,#106062,#106063); #93181=AXIS2_PLACEMENT_3D('',#138130,#106064,#106065); #93182=AXIS2_PLACEMENT_3D('',#138153,#106067,#106068); #93183=AXIS2_PLACEMENT_3D('',#138189,#106069,#106070); #93184=AXIS2_PLACEMENT_3D('',#138216,#106071,#106072); #93185=AXIS2_PLACEMENT_3D('',#138221,#106073,#106074); #93186=AXIS2_PLACEMENT_3D('',#138222,#106075,#106076); #93187=AXIS2_PLACEMENT_3D('',#138248,#106077,#106078); #93188=AXIS2_PLACEMENT_3D('',#138249,#106079,#106080); #93189=AXIS2_PLACEMENT_3D('',#138250,#106081,#106082); #93190=AXIS2_PLACEMENT_3D('',#138251,#106083,#106084); #93191=AXIS2_PLACEMENT_3D('',#138252,#106085,#106086); #93192=AXIS2_PLACEMENT_3D('',#138253,#106087,#106088); #93193=AXIS2_PLACEMENT_3D('',#138277,#106089,#106090); #93194=AXIS2_PLACEMENT_3D('',#138278,#106091,#106092); #93195=AXIS2_PLACEMENT_3D('',#138279,#106093,#106094); #93196=AXIS2_PLACEMENT_3D('',#138280,#106095,#106096); #93197=AXIS2_PLACEMENT_3D('',#138282,#106098,#106099); #93198=AXIS2_PLACEMENT_3D('',#138284,#106101,#106102); #93199=AXIS2_PLACEMENT_3D('',#138286,#106104,#106105); #93200=AXIS2_PLACEMENT_3D('',#138288,#106107,#106108); #93201=AXIS2_PLACEMENT_3D('',#138323,#106110,#106111); #93202=AXIS2_PLACEMENT_3D('',#138331,#106112,#106113); #93203=AXIS2_PLACEMENT_3D('',#138332,#106114,#106115); #93204=AXIS2_PLACEMENT_3D('',#138376,#106118,#106119); #93205=AXIS2_PLACEMENT_3D('',#138382,#106120,#106121); #93206=AXIS2_PLACEMENT_3D('',#138383,#106122,#106123); #93207=AXIS2_PLACEMENT_3D('',#138385,#106124,#106125); #93208=AXIS2_PLACEMENT_3D('',#138386,#106126,#106127); #93209=AXIS2_PLACEMENT_3D('',#138414,#106128,#106129); #93210=AXIS2_PLACEMENT_3D('',#138421,#106130,#106131); #93211=AXIS2_PLACEMENT_3D('',#138452,#106132,#106133); #93212=AXIS2_PLACEMENT_3D('',#138501,#106134,#106135); #93213=AXIS2_PLACEMENT_3D('',#138539,#106136,#106137); #93214=AXIS2_PLACEMENT_3D('',#138544,#106138,#106139); #93215=AXIS2_PLACEMENT_3D('',#138545,#106140,#106141); #93216=AXIS2_PLACEMENT_3D('',#138546,#106142,#106143); #93217=AXIS2_PLACEMENT_3D('',#138547,#106144,#106145); #93218=AXIS2_PLACEMENT_3D('',#138578,#106146,#106147); #93219=AXIS2_PLACEMENT_3D('',#138581,#106149,#106150); #93220=AXIS2_PLACEMENT_3D('',#138608,#106151,#106152); #93221=AXIS2_PLACEMENT_3D('',#138725,#106153,#106154); #93222=AXIS2_PLACEMENT_3D('',#138760,#106155,#106156); #93223=AXIS2_PLACEMENT_3D('',#138796,#106157,#106158); #93224=AXIS2_PLACEMENT_3D('',#138803,#106159,#106160); #93225=AXIS2_PLACEMENT_3D('',#138831,#106161,#106162); #93226=AXIS2_PLACEMENT_3D('',#138840,#106163,#106164); #93227=AXIS2_PLACEMENT_3D('',#138841,#106165,#106166); #93228=AXIS2_PLACEMENT_3D('',#138872,#106167,#106168); #93229=AXIS2_PLACEMENT_3D('',#138878,#106169,#106170); #93230=AXIS2_PLACEMENT_3D('',#138883,#106171,#106172); #93231=AXIS2_PLACEMENT_3D('',#138885,#106173,#106174); #93232=AXIS2_PLACEMENT_3D('',#138886,#106175,#106176); #93233=AXIS2_PLACEMENT_3D('',#138887,#106177,#106178); #93234=AXIS2_PLACEMENT_3D('',#138888,#106179,#106180); #93235=AXIS2_PLACEMENT_3D('',#138914,#106181,#106182); #93236=AXIS2_PLACEMENT_3D('',#138921,#106183,#106184); #93237=AXIS2_PLACEMENT_3D('',#138990,#106186,#106187); #93238=AXIS2_PLACEMENT_3D('',#138995,#106188,#106189); #93239=AXIS2_PLACEMENT_3D('',#138999,#106191,#106192); #93240=AXIS2_PLACEMENT_3D('',#139001,#106194,#106195); #93241=AXIS2_PLACEMENT_3D('',#139013,#106199,#106200); #93242=AXIS2_PLACEMENT_3D('',#139040,#106201,#106202); #93243=AXIS2_PLACEMENT_3D('',#139042,#106203,#106204); #93244=AXIS2_PLACEMENT_3D('',#139043,#106205,#106206); #93245=AXIS2_PLACEMENT_3D('',#139071,#106207,#106208); #93246=AXIS2_PLACEMENT_3D('',#139079,#106209,#106210); #93247=AXIS2_PLACEMENT_3D('',#139111,#106211,#106212); #93248=AXIS2_PLACEMENT_3D('',#139166,#106213,#106214); #93249=AXIS2_PLACEMENT_3D('',#139233,#106215,#106216); #93250=AXIS2_PLACEMENT_3D('',#139285,#106217,#106218); #93251=AXIS2_PLACEMENT_3D('',#139294,#106219,#106220); #93252=AXIS2_PLACEMENT_3D('',#139295,#106221,#106222); #93253=AXIS2_PLACEMENT_3D('',#139296,#106223,#106224); #93254=AXIS2_PLACEMENT_3D('',#139297,#106225,#106226); #93255=AXIS2_PLACEMENT_3D('',#139299,#106228,#106229); #93256=AXIS2_PLACEMENT_3D('',#139301,#106231,#106232); #93257=AXIS2_PLACEMENT_3D('',#139340,#106235,#106236); #93258=AXIS2_PLACEMENT_3D('',#139363,#106237,#106238); #93259=AXIS2_PLACEMENT_3D('',#139419,#106239,#106240); #93260=AXIS2_PLACEMENT_3D('',#139465,#106241,#106242); #93261=AXIS2_PLACEMENT_3D('',#139501,#106243,#106244); #93262=AXIS2_PLACEMENT_3D('',#139509,#106245,#106246); #93263=AXIS2_PLACEMENT_3D('',#139536,#106247,#106248); #93264=AXIS2_PLACEMENT_3D('',#139591,#106249,#106250); #93265=AXIS2_PLACEMENT_3D('',#139600,#106251,#106252); #93266=AXIS2_PLACEMENT_3D('',#139601,#106253,#106254); #93267=AXIS2_PLACEMENT_3D('',#139602,#106255,#106256); #93268=AXIS2_PLACEMENT_3D('',#139603,#106257,#106258); #93269=AXIS2_PLACEMENT_3D('',#139652,#106261,#106262); #93270=AXIS2_PLACEMENT_3D('',#139691,#106263,#106264); #93271=AXIS2_PLACEMENT_3D('',#139723,#106265,#106266); #93272=AXIS2_PLACEMENT_3D('',#139729,#106267,#106268); #93273=AXIS2_PLACEMENT_3D('',#139735,#106272,#106273); #93274=AXIS2_PLACEMENT_3D('',#139738,#106275,#106276); #93275=AXIS2_PLACEMENT_3D('',#139761,#106277,#106278); #93276=AXIS2_PLACEMENT_3D('',#139788,#106279,#106280); #93277=AXIS2_PLACEMENT_3D('',#139894,#106281,#106282); #93278=AXIS2_PLACEMENT_3D('',#139896,#106283,#106284); #93279=AXIS2_PLACEMENT_3D('',#139957,#106287,#106288); #93280=AXIS2_PLACEMENT_3D('',#139990,#106289,#106290); #93281=AXIS2_PLACEMENT_3D('',#139992,#106291,#106292); #93282=AXIS2_PLACEMENT_3D('',#139993,#106293,#106294); #93283=AXIS2_PLACEMENT_3D('',#140037,#106295,#106296); #93284=AXIS2_PLACEMENT_3D('',#140063,#106297,#106298); #93285=AXIS2_PLACEMENT_3D('',#140098,#106299,#106300); #93286=AXIS2_PLACEMENT_3D('',#140102,#106302,#106303); #93287=AXIS2_PLACEMENT_3D('',#140259,#106305,#106306); #93288=AXIS2_PLACEMENT_3D('',#140260,#106307,#106308); #93289=AXIS2_PLACEMENT_3D('',#140261,#106309,#106310); #93290=AXIS2_PLACEMENT_3D('',#140263,#106311,#106312); #93291=AXIS2_PLACEMENT_3D('',#140265,#106313,#106314); #93292=AXIS2_PLACEMENT_3D('',#140312,#106316,#106317); #93293=AXIS2_PLACEMENT_3D('',#140314,#106318,#106319); #93294=AXIS2_PLACEMENT_3D('',#140326,#106320,#106321); #93295=AXIS2_PLACEMENT_3D('',#140329,#106322,#106323); #93296=AXIS2_PLACEMENT_3D('',#140333,#106325,#106326); #93297=AXIS2_PLACEMENT_3D('',#140365,#106328,#106329); #93298=AXIS2_PLACEMENT_3D('',#140366,#106330,#106331); #93299=AXIS2_PLACEMENT_3D('',#140389,#106332,#106333); #93300=AXIS2_PLACEMENT_3D('',#140395,#106334,#106335); #93301=AXIS2_PLACEMENT_3D('',#140421,#106336,#106337); #93302=AXIS2_PLACEMENT_3D('',#140461,#106338,#106339); #93303=AXIS2_PLACEMENT_3D('',#140489,#106340,#106341); #93304=AXIS2_PLACEMENT_3D('',#140494,#106342,#106343); #93305=AXIS2_PLACEMENT_3D('',#140495,#106344,#106345); #93306=AXIS2_PLACEMENT_3D('',#140513,#106346,#106347); #93307=AXIS2_PLACEMENT_3D('',#140519,#106348,#106349); #93308=AXIS2_PLACEMENT_3D('',#140520,#106350,#106351); #93309=AXIS2_PLACEMENT_3D('',#140521,#106352,#106353); #93310=AXIS2_PLACEMENT_3D('',#140522,#106354,#106355); #93311=AXIS2_PLACEMENT_3D('',#140523,#106356,#106357); #93312=AXIS2_PLACEMENT_3D('',#140574,#106358,#106359); #93313=AXIS2_PLACEMENT_3D('',#140575,#106360,#106361); #93314=AXIS2_PLACEMENT_3D('',#140611,#106362,#106363); #93315=AXIS2_PLACEMENT_3D('',#140612,#106364,#106365); #93316=AXIS2_PLACEMENT_3D('',#140649,#106367,#106368); #93317=AXIS2_PLACEMENT_3D('',#140650,#106369,#106370); #93318=AXIS2_PLACEMENT_3D('',#140652,#106371,#106372); #93319=AXIS2_PLACEMENT_3D('',#140653,#106373,#106374); #93320=AXIS2_PLACEMENT_3D('',#140673,#106375,#106376); #93321=AXIS2_PLACEMENT_3D('',#140695,#106377,#106378); #93322=AXIS2_PLACEMENT_3D('',#140741,#106379,#106380); #93323=AXIS2_PLACEMENT_3D('',#140742,#106381,#106382); #93324=AXIS2_PLACEMENT_3D('',#140754,#106384,#106385); #93325=AXIS2_PLACEMENT_3D('',#140755,#106386,#106387); #93326=AXIS2_PLACEMENT_3D('',#140774,#106388,#106389); #93327=AXIS2_PLACEMENT_3D('',#140781,#106390,#106391); #93328=AXIS2_PLACEMENT_3D('',#140807,#106392,#106393); #93329=AXIS2_PLACEMENT_3D('',#140809,#106394,#106395); #93330=AXIS2_PLACEMENT_3D('',#140815,#106396,#106397); #93331=AXIS2_PLACEMENT_3D('',#140818,#106398,#106399); #93332=AXIS2_PLACEMENT_3D('',#140821,#106401,#106402); #93333=AXIS2_PLACEMENT_3D('',#140853,#106404,#106405); #93334=AXIS2_PLACEMENT_3D('',#140854,#106406,#106407); #93335=AXIS2_PLACEMENT_3D('',#140855,#106408,#106409); #93336=AXIS2_PLACEMENT_3D('',#140856,#106410,#106411); #93337=AXIS2_PLACEMENT_3D('',#140878,#106412,#106413); #93338=AXIS2_PLACEMENT_3D('',#140884,#106414,#106415); #93339=AXIS2_PLACEMENT_3D('',#140920,#106416,#106417); #93340=AXIS2_PLACEMENT_3D('',#140948,#106418,#106419); #93341=AXIS2_PLACEMENT_3D('',#140974,#106420,#106421); #93342=AXIS2_PLACEMENT_3D('',#140979,#106422,#106423); #93343=AXIS2_PLACEMENT_3D('',#140980,#106424,#106425); #93344=AXIS2_PLACEMENT_3D('',#140981,#106426,#106427); #93345=AXIS2_PLACEMENT_3D('',#140983,#106428,#106429); #93346=AXIS2_PLACEMENT_3D('',#140985,#106430,#106431); #93347=AXIS2_PLACEMENT_3D('',#140987,#106433,#106434); #93348=AXIS2_PLACEMENT_3D('',#140988,#106435,#106436); #93349=AXIS2_PLACEMENT_3D('',#141039,#106437,#106438); #93350=AXIS2_PLACEMENT_3D('',#141040,#106439,#106440); #93351=AXIS2_PLACEMENT_3D('',#141076,#106441,#106442); #93352=AXIS2_PLACEMENT_3D('',#141077,#106443,#106444); #93353=AXIS2_PLACEMENT_3D('',#141080,#106446,#106447); #93354=AXIS2_PLACEMENT_3D('',#141081,#106448,#106449); #93355=AXIS2_PLACEMENT_3D('',#141121,#106450,#106451); #93356=AXIS2_PLACEMENT_3D('',#141163,#106452,#106453); #93357=AXIS2_PLACEMENT_3D('',#141174,#106454,#106455); #93358=AXIS2_PLACEMENT_3D('',#141176,#106456,#106457); #93359=AXIS2_PLACEMENT_3D('',#141181,#106458,#106459); #93360=AXIS2_PLACEMENT_3D('',#141187,#106460,#106461); #93361=AXIS2_PLACEMENT_3D('',#141213,#106462,#106463); #93362=AXIS2_PLACEMENT_3D('',#141214,#106464,#106465); #93363=AXIS2_PLACEMENT_3D('',#141221,#106467,#106468); #93364=AXIS2_PLACEMENT_3D('',#141222,#106469,#106470); #93365=AXIS2_PLACEMENT_3D('',#141223,#106471,#106472); #93366=AXIS2_PLACEMENT_3D('',#141226,#106475,#106476); #93367=AXIS2_PLACEMENT_3D('',#141228,#106478,#106479); #93368=AXIS2_PLACEMENT_3D('',#141272,#106481,#106482); #93369=AXIS2_PLACEMENT_3D('',#141345,#106483,#106484); #93370=AXIS2_PLACEMENT_3D('',#141417,#106485,#106486); #93371=AXIS2_PLACEMENT_3D('',#141425,#106487,#106488); #93372=AXIS2_PLACEMENT_3D('',#141427,#106489,#106490); #93373=AXIS2_PLACEMENT_3D('',#141455,#106492,#106493); #93374=AXIS2_PLACEMENT_3D('',#141461,#106494,#106495); #93375=AXIS2_PLACEMENT_3D('',#141463,#106496,#106497); #93376=AXIS2_PLACEMENT_3D('',#141464,#106498,#106499); #93377=AXIS2_PLACEMENT_3D('',#141491,#106500,#106501); #93378=AXIS2_PLACEMENT_3D('',#141497,#106502,#106503); #93379=AXIS2_PLACEMENT_3D('',#141499,#106504,#106505); #93380=AXIS2_PLACEMENT_3D('',#141573,#106507,#106508); #93381=AXIS2_PLACEMENT_3D('',#141575,#106509,#106510); #93382=AXIS2_PLACEMENT_3D('',#141625,#106511,#106512); #93383=AXIS2_PLACEMENT_3D('',#141669,#106513,#106514); #93384=AXIS2_PLACEMENT_3D('',#141675,#106515,#106516); #93385=AXIS2_PLACEMENT_3D('',#141677,#106517,#106518); #93386=AXIS2_PLACEMENT_3D('',#141705,#106520,#106521); #93387=AXIS2_PLACEMENT_3D('',#141711,#106522,#106523); #93388=AXIS2_PLACEMENT_3D('',#141713,#106524,#106525); #93389=AXIS2_PLACEMENT_3D('',#141714,#106526,#106527); #93390=AXIS2_PLACEMENT_3D('',#141741,#106528,#106529); #93391=AXIS2_PLACEMENT_3D('',#141747,#106530,#106531); #93392=AXIS2_PLACEMENT_3D('',#141749,#106532,#106533); #93393=AXIS2_PLACEMENT_3D('',#141817,#106535,#106536); #93394=AXIS2_PLACEMENT_3D('',#141884,#106537,#106538); #93395=AXIS2_PLACEMENT_3D('',#141970,#106539,#106540); #93396=AXIS2_PLACEMENT_3D('',#141990,#106541,#106542); #93397=AXIS2_PLACEMENT_3D('',#142043,#106543,#106544); #93398=AXIS2_PLACEMENT_3D('',#142098,#106545,#106546); #93399=AXIS2_PLACEMENT_3D('',#142141,#106547,#106548); #93400=AXIS2_PLACEMENT_3D('',#142148,#106549,#106550); #93401=AXIS2_PLACEMENT_3D('',#142184,#106551,#106552); #93402=AXIS2_PLACEMENT_3D('',#142190,#106553,#106554); #93403=AXIS2_PLACEMENT_3D('',#142192,#106555,#106556); #93404=AXIS2_PLACEMENT_3D('',#142220,#106558,#106559); #93405=AXIS2_PLACEMENT_3D('',#142226,#106560,#106561); #93406=AXIS2_PLACEMENT_3D('',#142228,#106562,#106563); #93407=AXIS2_PLACEMENT_3D('',#142229,#106564,#106565); #93408=AXIS2_PLACEMENT_3D('',#142256,#106566,#106567); #93409=AXIS2_PLACEMENT_3D('',#142262,#106568,#106569); #93410=AXIS2_PLACEMENT_3D('',#142264,#106570,#106571); #93411=AXIS2_PLACEMENT_3D('',#142298,#106573,#106574); #93412=AXIS2_PLACEMENT_3D('',#142330,#106575,#106576); #93413=AXIS2_PLACEMENT_3D('',#142419,#106577,#106578); #93414=AXIS2_PLACEMENT_3D('',#142432,#106579,#106580); #93415=AXIS2_PLACEMENT_3D('',#142560,#106581,#106582); #93416=AXIS2_PLACEMENT_3D('',#142567,#106583,#106584); #93417=AXIS2_PLACEMENT_3D('',#142568,#106585,#106586); #93418=AXIS2_PLACEMENT_3D('',#142570,#106587,#106588); #93419=AXIS2_PLACEMENT_3D('',#142603,#106590,#106591); #93420=AXIS2_PLACEMENT_3D('',#142604,#106592,#106593); #93421=AXIS2_PLACEMENT_3D('',#142605,#106594,#106595); #93422=AXIS2_PLACEMENT_3D('',#142607,#106596,#106597); #93423=AXIS2_PLACEMENT_3D('',#142608,#106598,#106599); #93424=AXIS2_PLACEMENT_3D('',#142630,#106600,#106601); #93425=AXIS2_PLACEMENT_3D('',#142631,#106602,#106603); #93426=AXIS2_PLACEMENT_3D('',#142632,#106604,#106605); #93427=AXIS2_PLACEMENT_3D('',#142676,#106607,#106608); #93428=AXIS2_PLACEMENT_3D('',#142683,#106609,#106610); #93429=AXIS2_PLACEMENT_3D('',#142700,#106611,#106612); #93430=AXIS2_PLACEMENT_3D('',#142705,#106613,#106614); #93431=AXIS2_PLACEMENT_3D('',#142732,#106615,#106616); #93432=AXIS2_PLACEMENT_3D('',#142787,#106617,#106618); #93433=AXIS2_PLACEMENT_3D('',#142830,#106619,#106620); #93434=AXIS2_PLACEMENT_3D('',#142837,#106621,#106622); #93435=AXIS2_PLACEMENT_3D('',#142873,#106623,#106624); #93436=AXIS2_PLACEMENT_3D('',#142879,#106625,#106626); #93437=AXIS2_PLACEMENT_3D('',#142881,#106627,#106628); #93438=AXIS2_PLACEMENT_3D('',#142909,#106630,#106631); #93439=AXIS2_PLACEMENT_3D('',#142915,#106632,#106633); #93440=AXIS2_PLACEMENT_3D('',#142917,#106634,#106635); #93441=AXIS2_PLACEMENT_3D('',#142918,#106636,#106637); #93442=AXIS2_PLACEMENT_3D('',#142945,#106638,#106639); #93443=AXIS2_PLACEMENT_3D('',#142951,#106640,#106641); #93444=AXIS2_PLACEMENT_3D('',#142953,#106642,#106643); #93445=AXIS2_PLACEMENT_3D('',#142987,#106645,#106646); #93446=AXIS2_PLACEMENT_3D('',#143019,#106647,#106648); #93447=AXIS2_PLACEMENT_3D('',#143115,#106649,#106650); #93448=AXIS2_PLACEMENT_3D('',#143128,#106651,#106652); #93449=AXIS2_PLACEMENT_3D('',#143256,#106653,#106654); #93450=AXIS2_PLACEMENT_3D('',#143263,#106655,#106656); #93451=AXIS2_PLACEMENT_3D('',#143264,#106657,#106658); #93452=AXIS2_PLACEMENT_3D('',#143266,#106659,#106660); #93453=AXIS2_PLACEMENT_3D('',#143299,#106662,#106663); #93454=AXIS2_PLACEMENT_3D('',#143300,#106664,#106665); #93455=AXIS2_PLACEMENT_3D('',#143301,#106666,#106667); #93456=AXIS2_PLACEMENT_3D('',#143303,#106668,#106669); #93457=AXIS2_PLACEMENT_3D('',#143304,#106670,#106671); #93458=AXIS2_PLACEMENT_3D('',#143326,#106672,#106673); #93459=AXIS2_PLACEMENT_3D('',#143327,#106674,#106675); #93460=AXIS2_PLACEMENT_3D('',#143328,#106676,#106677); #93461=AXIS2_PLACEMENT_3D('',#143372,#106679,#106680); #93462=AXIS2_PLACEMENT_3D('',#143379,#106681,#106682); #93463=AXIS2_PLACEMENT_3D('',#143396,#106683,#106684); #93464=AXIS2_PLACEMENT_3D('',#143401,#106685,#106686); #93465=AXIS2_PLACEMENT_3D('',#143402,#106687,#106688); #93466=AXIS2_PLACEMENT_3D('',#143406,#106690,#106691); #93467=AXIS2_PLACEMENT_3D('',#143444,#106693,#106694); #93468=AXIS2_PLACEMENT_3D('',#143445,#106695,#106696); #93469=AXIS2_PLACEMENT_3D('',#143446,#106697,#106698); #93470=AXIS2_PLACEMENT_3D('',#143447,#106699,#106700); #93471=AXIS2_PLACEMENT_3D('',#143474,#106702,#106703); #93472=AXIS2_PLACEMENT_3D('',#143475,#106704,#106705); #93473=AXIS2_PLACEMENT_3D('',#143479,#106707,#106708); #93474=AXIS2_PLACEMENT_3D('',#143521,#106710,#106711); #93475=AXIS2_PLACEMENT_3D('',#143522,#106712,#106713); #93476=AXIS2_PLACEMENT_3D('',#143523,#106714,#106715); #93477=AXIS2_PLACEMENT_3D('',#143554,#106716,#106717); #93478=AXIS2_PLACEMENT_3D('',#143555,#106718,#106719); #93479=AXIS2_PLACEMENT_3D('',#143556,#106720,#106721); #93480=AXIS2_PLACEMENT_3D('',#143557,#106722,#106723); #93481=AXIS2_PLACEMENT_3D('',#143558,#106724,#106725); #93482=AXIS2_PLACEMENT_3D('',#143561,#106728,#106729); #93483=AXIS2_PLACEMENT_3D('',#143562,#106730,#106731); #93484=AXIS2_PLACEMENT_3D('',#143565,#106733,#106734); #93485=AXIS2_PLACEMENT_3D('',#143591,#106735,#106736); #93486=AXIS2_PLACEMENT_3D('',#143592,#106737,#106738); #93487=AXIS2_PLACEMENT_3D('',#143593,#106739,#106740); #93488=AXIS2_PLACEMENT_3D('',#143594,#106741,#106742); #93489=AXIS2_PLACEMENT_3D('',#143621,#106743,#106744); #93490=AXIS2_PLACEMENT_3D('',#143627,#106745,#106746); #93491=AXIS2_PLACEMENT_3D('',#143629,#106747,#106748); #93492=AXIS2_PLACEMENT_3D('',#143630,#106749,#106750); #93493=AXIS2_PLACEMENT_3D('',#143657,#106751,#106752); #93494=AXIS2_PLACEMENT_3D('',#143663,#106753,#106754); #93495=AXIS2_PLACEMENT_3D('',#143665,#106755,#106756); #93496=AXIS2_PLACEMENT_3D('',#143723,#106758,#106759); #93497=AXIS2_PLACEMENT_3D('',#143725,#106761,#106762); #93498=AXIS2_PLACEMENT_3D('',#143726,#106763,#106764); #93499=AXIS2_PLACEMENT_3D('',#143758,#106765,#106766); #93500=AXIS2_PLACEMENT_3D('',#143759,#106767,#106768); #93501=AXIS2_PLACEMENT_3D('',#143761,#106769,#106770); #93502=AXIS2_PLACEMENT_3D('',#143762,#106771,#106772); #93503=AXIS2_PLACEMENT_3D('',#143763,#106773,#106774); #93504=AXIS2_PLACEMENT_3D('',#143765,#106775,#106776); #93505=AXIS2_PLACEMENT_3D('',#143766,#106777,#106778); #93506=AXIS2_PLACEMENT_3D('',#143822,#106779,#106780); #93507=AXIS2_PLACEMENT_3D('',#143823,#106781,#106782); #93508=AXIS2_PLACEMENT_3D('',#143826,#106784,#106785); #93509=AXIS2_PLACEMENT_3D('',#143827,#106786,#106787); #93510=AXIS2_PLACEMENT_3D('',#143833,#106788,#106789); #93511=AXIS2_PLACEMENT_3D('',#143854,#106790,#106791); #93512=AXIS2_PLACEMENT_3D('',#143886,#106792,#106793); #93513=AXIS2_PLACEMENT_3D('',#143887,#106794,#106795); #93514=AXIS2_PLACEMENT_3D('',#143888,#106796,#106797); #93515=AXIS2_PLACEMENT_3D('',#143890,#106798,#106799); #93516=AXIS2_PLACEMENT_3D('',#143917,#106801,#106802); #93517=AXIS2_PLACEMENT_3D('',#143960,#106803,#106804); #93518=AXIS2_PLACEMENT_3D('',#143961,#106805,#106806); #93519=AXIS2_PLACEMENT_3D('',#143962,#106807,#106808); #93520=AXIS2_PLACEMENT_3D('',#143964,#106810,#106811); #93521=AXIS2_PLACEMENT_3D('',#144010,#106812,#106813); #93522=AXIS2_PLACEMENT_3D('',#144017,#106814,#106815); #93523=AXIS2_PLACEMENT_3D('',#144019,#106816,#106817); #93524=AXIS2_PLACEMENT_3D('',#144047,#106819,#106820); #93525=AXIS2_PLACEMENT_3D('',#144053,#106821,#106822); #93526=AXIS2_PLACEMENT_3D('',#144055,#106823,#106824); #93527=AXIS2_PLACEMENT_3D('',#144056,#106825,#106826); #93528=AXIS2_PLACEMENT_3D('',#144082,#106827,#106828); #93529=AXIS2_PLACEMENT_3D('',#144088,#106829,#106830); #93530=AXIS2_PLACEMENT_3D('',#144089,#106831,#106832); #93531=AXIS2_PLACEMENT_3D('',#144115,#106833,#106834); #93532=AXIS2_PLACEMENT_3D('',#144116,#106835,#106836); #93533=AXIS2_PLACEMENT_3D('',#144143,#106837,#106838); #93534=AXIS2_PLACEMENT_3D('',#144198,#106839,#106840); #93535=AXIS2_PLACEMENT_3D('',#144241,#106841,#106842); #93536=AXIS2_PLACEMENT_3D('',#144248,#106843,#106844); #93537=AXIS2_PLACEMENT_3D('',#144284,#106845,#106846); #93538=AXIS2_PLACEMENT_3D('',#144290,#106847,#106848); #93539=AXIS2_PLACEMENT_3D('',#144292,#106849,#106850); #93540=AXIS2_PLACEMENT_3D('',#144320,#106852,#106853); #93541=AXIS2_PLACEMENT_3D('',#144326,#106854,#106855); #93542=AXIS2_PLACEMENT_3D('',#144328,#106856,#106857); #93543=AXIS2_PLACEMENT_3D('',#144329,#106858,#106859); #93544=AXIS2_PLACEMENT_3D('',#144330,#106860,#106861); #93545=AXIS2_PLACEMENT_3D('',#144332,#106862,#106863); #93546=AXIS2_PLACEMENT_3D('',#144333,#106864,#106865); #93547=AXIS2_PLACEMENT_3D('',#144360,#106866,#106867); #93548=AXIS2_PLACEMENT_3D('',#144366,#106868,#106869); #93549=AXIS2_PLACEMENT_3D('',#144368,#106870,#106871); #93550=AXIS2_PLACEMENT_3D('',#144401,#106873,#106874); #93551=AXIS2_PLACEMENT_3D('',#144425,#106875,#106876); #93552=AXIS2_PLACEMENT_3D('',#144512,#106877,#106878); #93553=AXIS2_PLACEMENT_3D('',#144573,#106879,#106880); #93554=AXIS2_PLACEMENT_3D('',#144574,#106881,#106882); #93555=AXIS2_PLACEMENT_3D('',#144592,#106883,#106884); #93556=AXIS2_PLACEMENT_3D('',#144629,#106885,#106886); #93557=AXIS2_PLACEMENT_3D('',#144661,#106887,#106888); #93558=AXIS2_PLACEMENT_3D('',#144716,#106889,#106890); #93559=AXIS2_PLACEMENT_3D('',#144759,#106891,#106892); #93560=AXIS2_PLACEMENT_3D('',#144766,#106893,#106894); #93561=AXIS2_PLACEMENT_3D('',#144802,#106895,#106896); #93562=AXIS2_PLACEMENT_3D('',#144808,#106897,#106898); #93563=AXIS2_PLACEMENT_3D('',#144810,#106899,#106900); #93564=AXIS2_PLACEMENT_3D('',#144838,#106902,#106903); #93565=AXIS2_PLACEMENT_3D('',#144844,#106904,#106905); #93566=AXIS2_PLACEMENT_3D('',#144846,#106906,#106907); #93567=AXIS2_PLACEMENT_3D('',#144847,#106908,#106909); #93568=AXIS2_PLACEMENT_3D('',#144874,#106910,#106911); #93569=AXIS2_PLACEMENT_3D('',#144880,#106912,#106913); #93570=AXIS2_PLACEMENT_3D('',#144882,#106914,#106915); #93571=AXIS2_PLACEMENT_3D('',#144910,#106917,#106918); #93572=AXIS2_PLACEMENT_3D('',#144937,#106919,#106920); #93573=AXIS2_PLACEMENT_3D('',#145025,#106921,#106922); #93574=AXIS2_PLACEMENT_3D('',#145027,#106923,#106924); #93575=AXIS2_PLACEMENT_3D('',#145142,#106925,#106926); #93576=AXIS2_PLACEMENT_3D('',#145149,#106927,#106928); #93577=AXIS2_PLACEMENT_3D('',#145150,#106929,#106930); #93578=AXIS2_PLACEMENT_3D('',#145192,#106932,#106933); #93579=AXIS2_PLACEMENT_3D('',#145193,#106934,#106935); #93580=AXIS2_PLACEMENT_3D('',#145199,#106936,#106937); #93581=AXIS2_PLACEMENT_3D('',#145225,#106938,#106939); #93582=AXIS2_PLACEMENT_3D('',#145226,#106940,#106941); #93583=AXIS2_PLACEMENT_3D('',#145268,#106942,#106943); #93584=AXIS2_PLACEMENT_3D('',#145275,#106944,#106945); #93585=AXIS2_PLACEMENT_3D('',#145292,#106946,#106947); #93586=AXIS2_PLACEMENT_3D('',#145297,#106948,#106949); #93587=AXIS2_PLACEMENT_3D('',#145324,#106950,#106951); #93588=AXIS2_PLACEMENT_3D('',#145379,#106952,#106953); #93589=AXIS2_PLACEMENT_3D('',#145422,#106954,#106955); #93590=AXIS2_PLACEMENT_3D('',#145429,#106956,#106957); #93591=AXIS2_PLACEMENT_3D('',#145465,#106958,#106959); #93592=AXIS2_PLACEMENT_3D('',#145471,#106960,#106961); #93593=AXIS2_PLACEMENT_3D('',#145473,#106962,#106963); #93594=AXIS2_PLACEMENT_3D('',#145501,#106965,#106966); #93595=AXIS2_PLACEMENT_3D('',#145507,#106967,#106968); #93596=AXIS2_PLACEMENT_3D('',#145509,#106969,#106970); #93597=AXIS2_PLACEMENT_3D('',#145510,#106971,#106972); #93598=AXIS2_PLACEMENT_3D('',#145537,#106973,#106974); #93599=AXIS2_PLACEMENT_3D('',#145543,#106975,#106976); #93600=AXIS2_PLACEMENT_3D('',#145545,#106977,#106978); #93601=AXIS2_PLACEMENT_3D('',#145579,#106980,#106981); #93602=AXIS2_PLACEMENT_3D('',#145611,#106982,#106983); #93603=AXIS2_PLACEMENT_3D('',#145707,#106984,#106985); #93604=AXIS2_PLACEMENT_3D('',#145720,#106986,#106987); #93605=AXIS2_PLACEMENT_3D('',#145848,#106988,#106989); #93606=AXIS2_PLACEMENT_3D('',#145855,#106990,#106991); #93607=AXIS2_PLACEMENT_3D('',#145856,#106992,#106993); #93608=AXIS2_PLACEMENT_3D('',#145896,#106994,#106995); #93609=AXIS2_PLACEMENT_3D('',#145897,#106996,#106997); #93610=AXIS2_PLACEMENT_3D('',#145903,#106998,#106999); #93611=AXIS2_PLACEMENT_3D('',#145929,#107000,#107001); #93612=AXIS2_PLACEMENT_3D('',#145930,#107002,#107003); #93613=AXIS2_PLACEMENT_3D('',#145974,#107005,#107006); #93614=AXIS2_PLACEMENT_3D('',#145981,#107007,#107008); #93615=AXIS2_PLACEMENT_3D('',#145998,#107009,#107010); #93616=AXIS2_PLACEMENT_3D('',#146003,#107011,#107012); #93617=AXIS2_PLACEMENT_3D('',#146030,#107013,#107014); #93618=AXIS2_PLACEMENT_3D('',#146085,#107015,#107016); #93619=AXIS2_PLACEMENT_3D('',#146128,#107017,#107018); #93620=AXIS2_PLACEMENT_3D('',#146135,#107019,#107020); #93621=AXIS2_PLACEMENT_3D('',#146166,#107021,#107022); #93622=AXIS2_PLACEMENT_3D('',#146177,#107023,#107024); #93623=AXIS2_PLACEMENT_3D('',#146179,#107025,#107026); #93624=AXIS2_PLACEMENT_3D('',#146212,#107028,#107029); #93625=AXIS2_PLACEMENT_3D('',#146213,#107030,#107031); #93626=AXIS2_PLACEMENT_3D('',#146215,#107032,#107033); #93627=AXIS2_PLACEMENT_3D('',#146216,#107034,#107035); #93628=AXIS2_PLACEMENT_3D('',#146217,#107036,#107037); #93629=AXIS2_PLACEMENT_3D('',#146219,#107038,#107039); #93630=AXIS2_PLACEMENT_3D('',#146220,#107040,#107041); #93631=AXIS2_PLACEMENT_3D('',#146252,#107042,#107043); #93632=AXIS2_PLACEMENT_3D('',#146253,#107044,#107045); #93633=AXIS2_PLACEMENT_3D('',#146255,#107046,#107047); #93634=AXIS2_PLACEMENT_3D('',#146288,#107049,#107050); #93635=AXIS2_PLACEMENT_3D('',#146316,#107051,#107052); #93636=AXIS2_PLACEMENT_3D('',#146432,#107053,#107054); #93637=AXIS2_PLACEMENT_3D('',#146437,#107055,#107056); #93638=AXIS2_PLACEMENT_3D('',#146440,#107058,#107059); #93639=AXIS2_PLACEMENT_3D('',#146441,#107060,#107061); #93640=AXIS2_PLACEMENT_3D('',#146447,#107062,#107063); #93641=AXIS2_PLACEMENT_3D('',#146473,#107064,#107065); #93642=AXIS2_PLACEMENT_3D('',#146474,#107066,#107067); #93643=AXIS2_PLACEMENT_3D('',#146516,#107068,#107069); #93644=AXIS2_PLACEMENT_3D('',#146523,#107070,#107071); #93645=AXIS2_PLACEMENT_3D('',#146540,#107072,#107073); #93646=AXIS2_PLACEMENT_3D('',#146545,#107074,#107075); #93647=AXIS2_PLACEMENT_3D('',#146570,#107076,#107077); #93648=AXIS2_PLACEMENT_3D('',#146573,#107079,#107080); #93649=AXIS2_PLACEMENT_3D('',#146611,#107081,#107082); #93650=AXIS2_PLACEMENT_3D('',#146612,#107083,#107084); #93651=AXIS2_PLACEMENT_3D('',#146613,#107085,#107086); #93652=AXIS2_PLACEMENT_3D('',#146614,#107087,#107088); #93653=AXIS2_PLACEMENT_3D('',#146617,#107089,#107090); #93654=AXIS2_PLACEMENT_3D('',#146642,#107093,#107094); #93655=AXIS2_PLACEMENT_3D('',#146724,#107095,#107096); #93656=AXIS2_PLACEMENT_3D('',#146725,#107097,#107098); #93657=AXIS2_PLACEMENT_3D('',#146729,#107100,#107101); #93658=AXIS2_PLACEMENT_3D('',#146757,#107103,#107104); #93659=AXIS2_PLACEMENT_3D('',#146759,#107105,#107106); #93660=AXIS2_PLACEMENT_3D('',#146762,#107108,#107109); #93661=AXIS2_PLACEMENT_3D('',#146794,#107110,#107111); #93662=AXIS2_PLACEMENT_3D('',#146795,#107112,#107113); #93663=AXIS2_PLACEMENT_3D('',#146797,#107114,#107115); #93664=AXIS2_PLACEMENT_3D('',#146798,#107116,#107117); #93665=AXIS2_PLACEMENT_3D('',#146829,#107118,#107119); #93666=AXIS2_PLACEMENT_3D('',#146830,#107120,#107121); #93667=AXIS2_PLACEMENT_3D('',#146831,#107122,#107123); #93668=AXIS2_PLACEMENT_3D('',#146858,#107124,#107125); #93669=AXIS2_PLACEMENT_3D('',#146859,#107126,#107127); #93670=AXIS2_PLACEMENT_3D('',#146860,#107128,#107129); #93671=AXIS2_PLACEMENT_3D('',#146912,#107131,#107132); #93672=AXIS2_PLACEMENT_3D('',#146914,#107134,#107135); #93673=AXIS2_PLACEMENT_3D('',#146940,#107136,#107137); #93674=AXIS2_PLACEMENT_3D('',#146941,#107138,#107139); #93675=AXIS2_PLACEMENT_3D('',#146947,#107140,#107141); #93676=AXIS2_PLACEMENT_3D('',#146973,#107142,#107143); #93677=AXIS2_PLACEMENT_3D('',#146974,#107144,#107145); #93678=AXIS2_PLACEMENT_3D('',#147041,#107146,#107147); #93679=AXIS2_PLACEMENT_3D('',#147047,#107148,#107149); #93680=AXIS2_PLACEMENT_3D('',#147049,#107150,#107151); #93681=AXIS2_PLACEMENT_3D('',#147077,#107153,#107154); #93682=AXIS2_PLACEMENT_3D('',#147083,#107155,#107156); #93683=AXIS2_PLACEMENT_3D('',#147085,#107157,#107158); #93684=AXIS2_PLACEMENT_3D('',#147086,#107159,#107160); #93685=AXIS2_PLACEMENT_3D('',#147113,#107161,#107162); #93686=AXIS2_PLACEMENT_3D('',#147119,#107163,#107164); #93687=AXIS2_PLACEMENT_3D('',#147121,#107165,#107166); #93688=AXIS2_PLACEMENT_3D('',#147231,#107168,#107169); #93689=AXIS2_PLACEMENT_3D('',#147237,#107170,#107171); #93690=AXIS2_PLACEMENT_3D('',#147239,#107172,#107173); #93691=AXIS2_PLACEMENT_3D('',#147267,#107175,#107176); #93692=AXIS2_PLACEMENT_3D('',#147273,#107177,#107178); #93693=AXIS2_PLACEMENT_3D('',#147275,#107179,#107180); #93694=AXIS2_PLACEMENT_3D('',#147276,#107181,#107182); #93695=AXIS2_PLACEMENT_3D('',#147302,#107183,#107184); #93696=AXIS2_PLACEMENT_3D('',#147308,#107185,#107186); #93697=AXIS2_PLACEMENT_3D('',#147309,#107187,#107188); #93698=AXIS2_PLACEMENT_3D('',#147335,#107189,#107190); #93699=AXIS2_PLACEMENT_3D('',#147336,#107191,#107192); #93700=AXIS2_PLACEMENT_3D('',#147337,#107193,#107194); #93701=AXIS2_PLACEMENT_3D('',#147338,#107195,#107196); #93702=AXIS2_PLACEMENT_3D('',#147370,#107197,#107198); #93703=AXIS2_PLACEMENT_3D('',#147371,#107199,#107200); #93704=AXIS2_PLACEMENT_3D('',#147373,#107201,#107202); #93705=AXIS2_PLACEMENT_3D('',#147374,#107203,#107204); #93706=AXIS2_PLACEMENT_3D('',#147375,#107205,#107206); #93707=AXIS2_PLACEMENT_3D('',#147377,#107207,#107208); #93708=AXIS2_PLACEMENT_3D('',#147378,#107209,#107210); #93709=AXIS2_PLACEMENT_3D('',#147434,#107211,#107212); #93710=AXIS2_PLACEMENT_3D('',#147435,#107213,#107214); #93711=AXIS2_PLACEMENT_3D('',#147438,#107216,#107217); #93712=AXIS2_PLACEMENT_3D('',#147439,#107218,#107219); #93713=AXIS2_PLACEMENT_3D('',#147445,#107220,#107221); #93714=AXIS2_PLACEMENT_3D('',#147466,#107222,#107223); #93715=AXIS2_PLACEMENT_3D('',#147487,#107224,#107225); #93716=AXIS2_PLACEMENT_3D('',#147488,#107226,#107227); #93717=AXIS2_PLACEMENT_3D('',#147489,#107228,#107229); #93718=AXIS2_PLACEMENT_3D('',#147490,#107230,#107231); #93719=AXIS2_PLACEMENT_3D('',#147491,#107232,#107233); #93720=AXIS2_PLACEMENT_3D('',#147492,#107234,#107235); #93721=AXIS2_PLACEMENT_3D('',#147493,#107236,#107237); #93722=AXIS2_PLACEMENT_3D('',#147494,#107238,#107239); #93723=AXIS2_PLACEMENT_3D('',#147495,#107240,#107241); #93724=AXIS2_PLACEMENT_3D('',#147496,#107242,#107243); #93725=AXIS2_PLACEMENT_3D('',#147518,#107244,#107245); #93726=AXIS2_PLACEMENT_3D('',#147524,#107246,#107247); #93727=AXIS2_PLACEMENT_3D('',#147570,#107248,#107249); #93728=AXIS2_PLACEMENT_3D('',#147576,#107250,#107251); #93729=AXIS2_PLACEMENT_3D('',#147598,#107252,#107253); #93730=AXIS2_PLACEMENT_3D('',#147624,#107254,#107255); #93731=AXIS2_PLACEMENT_3D('',#147655,#107256,#107257); #93732=AXIS2_PLACEMENT_3D('',#147661,#107258,#107259); #93733=AXIS2_PLACEMENT_3D('',#147662,#107260,#107261); #93734=AXIS2_PLACEMENT_3D('',#147663,#107262,#107263); #93735=AXIS2_PLACEMENT_3D('',#147664,#107264,#107265); #93736=AXIS2_PLACEMENT_3D('',#147666,#107266,#107267); #93737=AXIS2_PLACEMENT_3D('',#147667,#107268,#107269); #93738=AXIS2_PLACEMENT_3D('',#147668,#107270,#107271); #93739=AXIS2_PLACEMENT_3D('',#147690,#107272,#107273); #93740=AXIS2_PLACEMENT_3D('',#147695,#107274,#107275); #93741=AXIS2_PLACEMENT_3D('',#147696,#107276,#107277); #93742=AXIS2_PLACEMENT_3D('',#147697,#107278,#107279); #93743=AXIS2_PLACEMENT_3D('',#147698,#107280,#107281); #93744=AXIS2_PLACEMENT_3D('',#147699,#107282,#107283); #93745=AXIS2_PLACEMENT_3D('',#147745,#107284,#107285); #93746=AXIS2_PLACEMENT_3D('',#147754,#107286,#107287); #93747=AXIS2_PLACEMENT_3D('',#147782,#107288,#107289); #93748=AXIS2_PLACEMENT_3D('',#147789,#107290,#107291); #93749=AXIS2_PLACEMENT_3D('',#147794,#107292,#107293); #93750=AXIS2_PLACEMENT_3D('',#147796,#107294,#107295); #93751=AXIS2_PLACEMENT_3D('',#147798,#107296,#107297); #93752=AXIS2_PLACEMENT_3D('',#147800,#107298,#107299); #93753=AXIS2_PLACEMENT_3D('',#147801,#107300,#107301); #93754=AXIS2_PLACEMENT_3D('',#147840,#107302,#107303); #93755=AXIS2_PLACEMENT_3D('',#147867,#107304,#107305); #93756=AXIS2_PLACEMENT_3D('',#147893,#107306,#107307); #93757=AXIS2_PLACEMENT_3D('',#147900,#107308,#107309); #93758=AXIS2_PLACEMENT_3D('',#147905,#107310,#107311); #93759=AXIS2_PLACEMENT_3D('',#147906,#107312,#107313); #93760=AXIS2_PLACEMENT_3D('',#147928,#107314,#107315); #93761=AXIS2_PLACEMENT_3D('',#147934,#107316,#107317); #93762=AXIS2_PLACEMENT_3D('',#147939,#107318,#107319); #93763=AXIS2_PLACEMENT_3D('',#147940,#107320,#107321); #93764=AXIS2_PLACEMENT_3D('',#147941,#107322,#107323); #93765=AXIS2_PLACEMENT_3D('',#147942,#107324,#107325); #93766=AXIS2_PLACEMENT_3D('',#147943,#107326,#107327); #93767=AXIS2_PLACEMENT_3D('',#147944,#107328,#107329); #93768=AXIS2_PLACEMENT_3D('',#147966,#107330,#107331); #93769=AXIS2_PLACEMENT_3D('',#147972,#107332,#107333); #93770=AXIS2_PLACEMENT_3D('',#148001,#107334,#107335); #93771=AXIS2_PLACEMENT_3D('',#148002,#107336,#107337); #93772=AXIS2_PLACEMENT_3D('',#148003,#107338,#107339); #93773=AXIS2_PLACEMENT_3D('',#148032,#107340,#107341); #93774=AXIS2_PLACEMENT_3D('',#148061,#107342,#107343); #93775=AXIS2_PLACEMENT_3D('',#148113,#107345,#107346); #93776=AXIS2_PLACEMENT_3D('',#148135,#107347,#107348); #93777=AXIS2_PLACEMENT_3D('',#148142,#107349,#107350); #93778=AXIS2_PLACEMENT_3D('',#148168,#107351,#107352); #93779=AXIS2_PLACEMENT_3D('',#148175,#107353,#107354); #93780=AXIS2_PLACEMENT_3D('',#148224,#107355,#107356); #93781=AXIS2_PLACEMENT_3D('',#148256,#107357,#107358); #93782=AXIS2_PLACEMENT_3D('',#148265,#107359,#107360); #93783=AXIS2_PLACEMENT_3D('',#148266,#107361,#107362); #93784=AXIS2_PLACEMENT_3D('',#148267,#107363,#107364); #93785=AXIS2_PLACEMENT_3D('',#148268,#107365,#107366); #93786=AXIS2_PLACEMENT_3D('',#148269,#107367,#107368); #93787=AXIS2_PLACEMENT_3D('',#148270,#107369,#107370); #93788=AXIS2_PLACEMENT_3D('',#148272,#107371,#107372); #93789=AXIS2_PLACEMENT_3D('',#148273,#107373,#107374); #93790=AXIS2_PLACEMENT_3D('',#148274,#107375,#107376); #93791=AXIS2_PLACEMENT_3D('',#148276,#107377,#107378); #93792=AXIS2_PLACEMENT_3D('',#148277,#107379,#107380); #93793=AXIS2_PLACEMENT_3D('',#148278,#107381,#107382); #93794=AXIS2_PLACEMENT_3D('',#148300,#107383,#107384); #93795=AXIS2_PLACEMENT_3D('',#148305,#107385,#107386); #93796=AXIS2_PLACEMENT_3D('',#148306,#107387,#107388); #93797=AXIS2_PLACEMENT_3D('',#148307,#107389,#107390); #93798=AXIS2_PLACEMENT_3D('',#148308,#107391,#107392); #93799=AXIS2_PLACEMENT_3D('',#148309,#107393,#107394); #93800=AXIS2_PLACEMENT_3D('',#148320,#107395,#107396); #93801=AXIS2_PLACEMENT_3D('',#148346,#107397,#107398); #93802=AXIS2_PLACEMENT_3D('',#148347,#107399,#107400); #93803=AXIS2_PLACEMENT_3D('',#148349,#107401,#107402); #93804=AXIS2_PLACEMENT_3D('',#148351,#107404,#107405); #93805=AXIS2_PLACEMENT_3D('',#148352,#107406,#107407); #93806=AXIS2_PLACEMENT_3D('',#148378,#107408,#107409); #93807=AXIS2_PLACEMENT_3D('',#148400,#107410,#107411); #93808=AXIS2_PLACEMENT_3D('',#148406,#107412,#107413); #93809=AXIS2_PLACEMENT_3D('',#148428,#107414,#107415); #93810=AXIS2_PLACEMENT_3D('',#148453,#107416,#107417); #93811=AXIS2_PLACEMENT_3D('',#148454,#107418,#107419); #93812=AXIS2_PLACEMENT_3D('',#148455,#107420,#107421); #93813=AXIS2_PLACEMENT_3D('',#148456,#107422,#107423); #93814=AXIS2_PLACEMENT_3D('',#148457,#107424,#107425); #93815=AXIS2_PLACEMENT_3D('',#148458,#107426,#107427); #93816=AXIS2_PLACEMENT_3D('',#148460,#107428,#107429); #93817=AXIS2_PLACEMENT_3D('',#148461,#107430,#107431); #93818=AXIS2_PLACEMENT_3D('',#148462,#107432,#107433); #93819=AXIS2_PLACEMENT_3D('',#148484,#107434,#107435); #93820=AXIS2_PLACEMENT_3D('',#148489,#107436,#107437); #93821=AXIS2_PLACEMENT_3D('',#148490,#107438,#107439); #93822=AXIS2_PLACEMENT_3D('',#148492,#107440,#107441); #93823=AXIS2_PLACEMENT_3D('',#148494,#107443,#107444); #93824=AXIS2_PLACEMENT_3D('',#148495,#107445,#107446); #93825=AXIS2_PLACEMENT_3D('',#148496,#107447,#107448); #93826=AXIS2_PLACEMENT_3D('',#148497,#107449,#107450); #93827=AXIS2_PLACEMENT_3D('',#148499,#107451,#107452); #93828=AXIS2_PLACEMENT_3D('',#148504,#107453,#107454); #93829=AXIS2_PLACEMENT_3D('',#148505,#107455,#107456); #93830=AXIS2_PLACEMENT_3D('',#148506,#107457,#107458); #93831=AXIS2_PLACEMENT_3D('',#148508,#107459,#107460); #93832=AXIS2_PLACEMENT_3D('',#148509,#107461,#107462); #93833=AXIS2_PLACEMENT_3D('',#148550,#107463,#107464); #93834=AXIS2_PLACEMENT_3D('',#148551,#107465,#107466); #93835=AXIS2_PLACEMENT_3D('',#148553,#107467,#107468); #93836=AXIS2_PLACEMENT_3D('',#148576,#107470,#107471); #93837=AXIS2_PLACEMENT_3D('',#148581,#107472,#107473); #93838=AXIS2_PLACEMENT_3D('',#148582,#107474,#107475); #93839=AXIS2_PLACEMENT_3D('',#148584,#107476,#107477); #93840=AXIS2_PLACEMENT_3D('',#148585,#107478,#107479); #93841=AXIS2_PLACEMENT_3D('',#148586,#107480,#107481); #93842=AXIS2_PLACEMENT_3D('',#148608,#107482,#107483); #93843=AXIS2_PLACEMENT_3D('',#148613,#107484,#107485); #93844=AXIS2_PLACEMENT_3D('',#148614,#107486,#107487); #93845=AXIS2_PLACEMENT_3D('',#148656,#107489,#107490); #93846=AXIS2_PLACEMENT_3D('',#148657,#107491,#107492); #93847=AXIS2_PLACEMENT_3D('',#148678,#107493,#107494); #93848=AXIS2_PLACEMENT_3D('',#148679,#107495,#107496); #93849=AXIS2_PLACEMENT_3D('',#148680,#107497,#107498); #93850=AXIS2_PLACEMENT_3D('',#148681,#107499,#107500); #93851=AXIS2_PLACEMENT_3D('',#148682,#107501,#107502); #93852=AXIS2_PLACEMENT_3D('',#148683,#107503,#107504); #93853=AXIS2_PLACEMENT_3D('',#148684,#107505,#107506); #93854=AXIS2_PLACEMENT_3D('',#148685,#107507,#107508); #93855=AXIS2_PLACEMENT_3D('',#148686,#107509,#107510); #93856=AXIS2_PLACEMENT_3D('',#148687,#107511,#107512); #93857=AXIS2_PLACEMENT_3D('',#148689,#107513,#107514); #93858=AXIS2_PLACEMENT_3D('',#148727,#107516,#107517); #93859=AXIS2_PLACEMENT_3D('',#148757,#107518,#107519); #93860=AXIS2_PLACEMENT_3D('',#148758,#107520,#107521); #93861=AXIS2_PLACEMENT_3D('',#148759,#107522,#107523); #93862=AXIS2_PLACEMENT_3D('',#148761,#107524,#107525); #93863=AXIS2_PLACEMENT_3D('',#148762,#107526,#107527); #93864=AXIS2_PLACEMENT_3D('',#148763,#107528,#107529); #93865=AXIS2_PLACEMENT_3D('',#148785,#107530,#107531); #93866=AXIS2_PLACEMENT_3D('',#148790,#107532,#107533); #93867=AXIS2_PLACEMENT_3D('',#148791,#107534,#107535); #93868=AXIS2_PLACEMENT_3D('',#148833,#107537,#107538); #93869=AXIS2_PLACEMENT_3D('',#148834,#107539,#107540); #93870=AXIS2_PLACEMENT_3D('',#148855,#107541,#107542); #93871=AXIS2_PLACEMENT_3D('',#148856,#107543,#107544); #93872=AXIS2_PLACEMENT_3D('',#148857,#107545,#107546); #93873=AXIS2_PLACEMENT_3D('',#148858,#107547,#107548); #93874=AXIS2_PLACEMENT_3D('',#148859,#107549,#107550); #93875=AXIS2_PLACEMENT_3D('',#148860,#107551,#107552); #93876=AXIS2_PLACEMENT_3D('',#148861,#107553,#107554); #93877=AXIS2_PLACEMENT_3D('',#148862,#107555,#107556); #93878=AXIS2_PLACEMENT_3D('',#148863,#107557,#107558); #93879=AXIS2_PLACEMENT_3D('',#148885,#107559,#107560); #93880=AXIS2_PLACEMENT_3D('',#148890,#107561,#107562); #93881=AXIS2_PLACEMENT_3D('',#148892,#107563,#107564); #93882=AXIS2_PLACEMENT_3D('',#148894,#107565,#107566); #93883=AXIS2_PLACEMENT_3D('',#148917,#107568,#107569); #93884=AXIS2_PLACEMENT_3D('',#148943,#107570,#107571); #93885=AXIS2_PLACEMENT_3D('',#148968,#107572,#107573); #93886=AXIS2_PLACEMENT_3D('',#148969,#107574,#107575); #93887=AXIS2_PLACEMENT_3D('',#148970,#107576,#107577); #93888=AXIS2_PLACEMENT_3D('',#148971,#107578,#107579); #93889=AXIS2_PLACEMENT_3D('',#148972,#107580,#107581); #93890=AXIS2_PLACEMENT_3D('',#148973,#107582,#107583); #93891=AXIS2_PLACEMENT_3D('',#148975,#107584,#107585); #93892=AXIS2_PLACEMENT_3D('',#148976,#107586,#107587); #93893=AXIS2_PLACEMENT_3D('',#148977,#107588,#107589); #93894=AXIS2_PLACEMENT_3D('',#148999,#107590,#107591); #93895=AXIS2_PLACEMENT_3D('',#149004,#107592,#107593); #93896=AXIS2_PLACEMENT_3D('',#149005,#107594,#107595); #93897=AXIS2_PLACEMENT_3D('',#149006,#107596,#107597); #93898=AXIS2_PLACEMENT_3D('',#149007,#107598,#107599); #93899=AXIS2_PLACEMENT_3D('',#149008,#107600,#107601); #93900=AXIS2_PLACEMENT_3D('',#149014,#107602,#107603); #93901=AXIS2_PLACEMENT_3D('',#149040,#107604,#107605); #93902=AXIS2_PLACEMENT_3D('',#149067,#107606,#107607); #93903=AXIS2_PLACEMENT_3D('',#149074,#107608,#107609); #93904=AXIS2_PLACEMENT_3D('',#149149,#107610,#107611); #93905=AXIS2_PLACEMENT_3D('',#149155,#107612,#107613); #93906=AXIS2_PLACEMENT_3D('',#149182,#107614,#107615); #93907=AXIS2_PLACEMENT_3D('',#149189,#107616,#107617); #93908=AXIS2_PLACEMENT_3D('',#149219,#107618,#107619); #93909=AXIS2_PLACEMENT_3D('',#149224,#107620,#107621); #93910=AXIS2_PLACEMENT_3D('',#149225,#107622,#107623); #93911=AXIS2_PLACEMENT_3D('',#149226,#107624,#107625); #93912=AXIS2_PLACEMENT_3D('',#149228,#107626,#107627); #93913=AXIS2_PLACEMENT_3D('',#149230,#107628,#107629); #93914=AXIS2_PLACEMENT_3D('',#149232,#107630,#107631); #93915=AXIS2_PLACEMENT_3D('',#149233,#107632,#107633); #93916=AXIS2_PLACEMENT_3D('',#149234,#107634,#107635); #93917=AXIS2_PLACEMENT_3D('',#149237,#107636,#107637); #93918=AXIS2_PLACEMENT_3D('',#149238,#107638,#107639); #93919=AXIS2_PLACEMENT_3D('',#149239,#107640,#107641); #93920=AXIS2_PLACEMENT_3D('',#149240,#107642,#107643); #93921=AXIS2_PLACEMENT_3D('',#149241,#107644,#107645); #93922=AXIS2_PLACEMENT_3D('',#149263,#107646,#107647); #93923=AXIS2_PLACEMENT_3D('',#149269,#107648,#107649); #93924=AXIS2_PLACEMENT_3D('',#149274,#107650,#107651); #93925=AXIS2_PLACEMENT_3D('',#149275,#107652,#107653); #93926=AXIS2_PLACEMENT_3D('',#149276,#107654,#107655); #93927=AXIS2_PLACEMENT_3D('',#149277,#107656,#107657); #93928=AXIS2_PLACEMENT_3D('',#149278,#107658,#107659); #93929=AXIS2_PLACEMENT_3D('',#149279,#107660,#107661); #93930=AXIS2_PLACEMENT_3D('',#149302,#107662,#107663); #93931=AXIS2_PLACEMENT_3D('',#149352,#107664,#107665); #93932=AXIS2_PLACEMENT_3D('',#149353,#107666,#107667); #93933=AXIS2_PLACEMENT_3D('',#149355,#107668,#107669); #93934=AXIS2_PLACEMENT_3D('',#149368,#107671,#107672); #93935=AXIS2_PLACEMENT_3D('',#149370,#107673,#107674); #93936=AXIS2_PLACEMENT_3D('',#149371,#107675,#107676); #93937=AXIS2_PLACEMENT_3D('',#149372,#107677,#107678); #93938=AXIS2_PLACEMENT_3D('',#149373,#107679,#107680); #93939=AXIS2_PLACEMENT_3D('',#149402,#107681,#107682); #93940=AXIS2_PLACEMENT_3D('',#149404,#107684,#107685); #93941=AXIS2_PLACEMENT_3D('',#149431,#107686,#107687); #93942=AXIS2_PLACEMENT_3D('',#149438,#107688,#107689); #93943=AXIS2_PLACEMENT_3D('',#149443,#107690,#107691); #93944=AXIS2_PLACEMENT_3D('',#149445,#107692,#107693); #93945=AXIS2_PLACEMENT_3D('',#149447,#107694,#107695); #93946=AXIS2_PLACEMENT_3D('',#149449,#107696,#107697); #93947=AXIS2_PLACEMENT_3D('',#149450,#107698,#107699); #93948=AXIS2_PLACEMENT_3D('',#149477,#107700,#107701); #93949=AXIS2_PLACEMENT_3D('',#149504,#107702,#107703); #93950=AXIS2_PLACEMENT_3D('',#149534,#107704,#107705); #93951=AXIS2_PLACEMENT_3D('',#149540,#107706,#107707); #93952=AXIS2_PLACEMENT_3D('',#149545,#107708,#107709); #93953=AXIS2_PLACEMENT_3D('',#149546,#107710,#107711); #93954=AXIS2_PLACEMENT_3D('',#149547,#107712,#107713); #93955=AXIS2_PLACEMENT_3D('',#149548,#107714,#107715); #93956=AXIS2_PLACEMENT_3D('',#149549,#107716,#107717); #93957=AXIS2_PLACEMENT_3D('',#149550,#107718,#107719); #93958=AXIS2_PLACEMENT_3D('',#149573,#107720,#107721); #93959=AXIS2_PLACEMENT_3D('',#149579,#107722,#107723); #93960=AXIS2_PLACEMENT_3D('',#149584,#107724,#107725); #93961=AXIS2_PLACEMENT_3D('',#149585,#107726,#107727); #93962=AXIS2_PLACEMENT_3D('',#149603,#107728,#107729); #93963=AXIS2_PLACEMENT_3D('',#149612,#107730,#107731); #93964=AXIS2_PLACEMENT_3D('',#149613,#107732,#107733); #93965=AXIS2_PLACEMENT_3D('',#149614,#107734,#107735); #93966=AXIS2_PLACEMENT_3D('',#149643,#107736,#107737); #93967=AXIS2_PLACEMENT_3D('',#149672,#107738,#107739); #93968=AXIS2_PLACEMENT_3D('',#149673,#107740,#107741); #93969=AXIS2_PLACEMENT_3D('',#149711,#107743,#107744); #93970=AXIS2_PLACEMENT_3D('',#149718,#107745,#107746); #93971=AXIS2_PLACEMENT_3D('',#149740,#107747,#107748); #93972=AXIS2_PLACEMENT_3D('',#149765,#107749,#107750); #93973=AXIS2_PLACEMENT_3D('',#149812,#107751,#107752); #93974=AXIS2_PLACEMENT_3D('',#149819,#107753,#107754); #93975=AXIS2_PLACEMENT_3D('',#149850,#107755,#107756); #93976=AXIS2_PLACEMENT_3D('',#149880,#107757,#107758); #93977=AXIS2_PLACEMENT_3D('',#149885,#107759,#107760); #93978=AXIS2_PLACEMENT_3D('',#149886,#107761,#107762); #93979=AXIS2_PLACEMENT_3D('',#149887,#107763,#107764); #93980=AXIS2_PLACEMENT_3D('',#149889,#107765,#107766); #93981=AXIS2_PLACEMENT_3D('',#149891,#107767,#107768); #93982=AXIS2_PLACEMENT_3D('',#149893,#107769,#107770); #93983=AXIS2_PLACEMENT_3D('',#149894,#107771,#107772); #93984=AXIS2_PLACEMENT_3D('',#149895,#107773,#107774); #93985=AXIS2_PLACEMENT_3D('',#149896,#107775,#107776); #93986=AXIS2_PLACEMENT_3D('',#149918,#107777,#107778); #93987=AXIS2_PLACEMENT_3D('',#149923,#107779,#107780); #93988=AXIS2_PLACEMENT_3D('',#149924,#107781,#107782); #93989=AXIS2_PLACEMENT_3D('',#149925,#107783,#107784); #93990=AXIS2_PLACEMENT_3D('',#149926,#107785,#107786); #93991=AXIS2_PLACEMENT_3D('',#149927,#107787,#107788); #93992=AXIS2_PLACEMENT_3D('',#149966,#107790,#107791); #93993=AXIS2_PLACEMENT_3D('',#150026,#107792,#107793); #93994=AXIS2_PLACEMENT_3D('',#150031,#107794,#107795); #93995=AXIS2_PLACEMENT_3D('',#150032,#107796,#107797); #93996=AXIS2_PLACEMENT_3D('',#150033,#107798,#107799); #93997=AXIS2_PLACEMENT_3D('',#150034,#107800,#107801); #93998=AXIS2_PLACEMENT_3D('',#150035,#107802,#107803); #93999=AXIS2_PLACEMENT_3D('',#150056,#107804,#107805); #94000=AXIS2_PLACEMENT_3D('',#150057,#107806,#107807); #94001=AXIS2_PLACEMENT_3D('',#150058,#107808,#107809); #94002=AXIS2_PLACEMENT_3D('',#150059,#107810,#107811); #94003=AXIS2_PLACEMENT_3D('',#150060,#107812,#107813); #94004=AXIS2_PLACEMENT_3D('',#150061,#107814,#107815); #94005=AXIS2_PLACEMENT_3D('',#150064,#107816,#107817); #94006=AXIS2_PLACEMENT_3D('',#150066,#107818,#107819); #94007=AXIS2_PLACEMENT_3D('',#150068,#107820,#107821); #94008=AXIS2_PLACEMENT_3D('',#150069,#107822,#107823); #94009=AXIS2_PLACEMENT_3D('',#150096,#107824,#107825); #94010=AXIS2_PLACEMENT_3D('',#150123,#107826,#107827); #94011=AXIS2_PLACEMENT_3D('',#150153,#107828,#107829); #94012=AXIS2_PLACEMENT_3D('',#150159,#107830,#107831); #94013=AXIS2_PLACEMENT_3D('',#150164,#107832,#107833); #94014=AXIS2_PLACEMENT_3D('',#150165,#107834,#107835); #94015=AXIS2_PLACEMENT_3D('',#150166,#107836,#107837); #94016=AXIS2_PLACEMENT_3D('',#150167,#107838,#107839); #94017=AXIS2_PLACEMENT_3D('',#150168,#107840,#107841); #94018=AXIS2_PLACEMENT_3D('',#150169,#107842,#107843); #94019=AXIS2_PLACEMENT_3D('',#150170,#107844,#107845); #94020=AXIS2_PLACEMENT_3D('',#150192,#107846,#107847); #94021=AXIS2_PLACEMENT_3D('',#150218,#107848,#107849); #94022=AXIS2_PLACEMENT_3D('',#150223,#107850,#107851); #94023=AXIS2_PLACEMENT_3D('',#150224,#107852,#107853); #94024=AXIS2_PLACEMENT_3D('',#150253,#107854,#107855); #94025=AXIS2_PLACEMENT_3D('',#150322,#107857,#107858); #94026=AXIS2_PLACEMENT_3D('',#150329,#107859,#107860); #94027=AXIS2_PLACEMENT_3D('',#150334,#107861,#107862); #94028=AXIS2_PLACEMENT_3D('',#150336,#107863,#107864); #94029=AXIS2_PLACEMENT_3D('',#150338,#107865,#107866); #94030=AXIS2_PLACEMENT_3D('',#150340,#107867,#107868); #94031=AXIS2_PLACEMENT_3D('',#150341,#107869,#107870); #94032=AXIS2_PLACEMENT_3D('',#150368,#107871,#107872); #94033=AXIS2_PLACEMENT_3D('',#150399,#107873,#107874); #94034=AXIS2_PLACEMENT_3D('',#150425,#107875,#107876); #94035=AXIS2_PLACEMENT_3D('',#150431,#107877,#107878); #94036=AXIS2_PLACEMENT_3D('',#150436,#107879,#107880); #94037=AXIS2_PLACEMENT_3D('',#150437,#107881,#107882); #94038=AXIS2_PLACEMENT_3D('',#150438,#107883,#107884); #94039=AXIS2_PLACEMENT_3D('',#150439,#107885,#107886); #94040=AXIS2_PLACEMENT_3D('',#150440,#107887,#107888); #94041=AXIS2_PLACEMENT_3D('',#150441,#107889,#107890); #94042=AXIS2_PLACEMENT_3D('',#150464,#107891,#107892); #94043=AXIS2_PLACEMENT_3D('',#150470,#107893,#107894); #94044=AXIS2_PLACEMENT_3D('',#150503,#107895,#107896); #94045=AXIS2_PLACEMENT_3D('',#150504,#107897,#107898); #94046=AXIS2_PLACEMENT_3D('',#150533,#107899,#107900); #94047=AXIS2_PLACEMENT_3D('',#150562,#107901,#107902); #94048=AXIS2_PLACEMENT_3D('',#150589,#107903,#107904); #94049=AXIS2_PLACEMENT_3D('',#150596,#107905,#107906); #94050=AXIS2_PLACEMENT_3D('',#150651,#107907,#107908); #94051=AXIS2_PLACEMENT_3D('',#150658,#107909,#107910); #94052=AXIS2_PLACEMENT_3D('',#150663,#107911,#107912); #94053=AXIS2_PLACEMENT_3D('',#150665,#107913,#107914); #94054=AXIS2_PLACEMENT_3D('',#150667,#107915,#107916); #94055=AXIS2_PLACEMENT_3D('',#150669,#107917,#107918); #94056=AXIS2_PLACEMENT_3D('',#150670,#107919,#107920); #94057=AXIS2_PLACEMENT_3D('',#150691,#107921,#107922); #94058=AXIS2_PLACEMENT_3D('',#150717,#107923,#107924); #94059=AXIS2_PLACEMENT_3D('',#150718,#107925,#107926); #94060=AXIS2_PLACEMENT_3D('',#150720,#107927,#107928); #94061=AXIS2_PLACEMENT_3D('',#150721,#107929,#107930); #94062=AXIS2_PLACEMENT_3D('',#150746,#107931,#107932); #94063=AXIS2_PLACEMENT_3D('',#150747,#107933,#107934); #94064=AXIS2_PLACEMENT_3D('',#150748,#107935,#107936); #94065=AXIS2_PLACEMENT_3D('',#150749,#107937,#107938); #94066=AXIS2_PLACEMENT_3D('',#150750,#107939,#107940); #94067=AXIS2_PLACEMENT_3D('',#150772,#107941,#107942); #94068=AXIS2_PLACEMENT_3D('',#150779,#107943,#107944); #94069=AXIS2_PLACEMENT_3D('',#150812,#107945,#107946); #94070=AXIS2_PLACEMENT_3D('',#150813,#107947,#107948); #94071=AXIS2_PLACEMENT_3D('',#150838,#107949,#107950); #94072=AXIS2_PLACEMENT_3D('',#150867,#107951,#107952); #94073=AXIS2_PLACEMENT_3D('',#150894,#107953,#107954); #94074=AXIS2_PLACEMENT_3D('',#150935,#107956,#107957); #94075=AXIS2_PLACEMENT_3D('',#150936,#107958,#107959); #94076=AXIS2_PLACEMENT_3D('',#150937,#107960,#107961); #94077=AXIS2_PLACEMENT_3D('',#150939,#107963,#107964); #94078=AXIS2_PLACEMENT_3D('',#150992,#107965,#107966); #94079=AXIS2_PLACEMENT_3D('',#150994,#107967,#107968); #94080=AXIS2_PLACEMENT_3D('',#151028,#107969,#107970); #94081=AXIS2_PLACEMENT_3D('',#151034,#107971,#107972); #94082=AXIS2_PLACEMENT_3D('',#151045,#107973,#107974); #94083=AXIS2_PLACEMENT_3D('',#151046,#107975,#107976); #94084=AXIS2_PLACEMENT_3D('',#151056,#107977,#107978); #94085=AXIS2_PLACEMENT_3D('',#151057,#107979,#107980); #94086=AXIS2_PLACEMENT_3D('',#151068,#107981,#107982); #94087=AXIS2_PLACEMENT_3D('',#151069,#107983,#107984); #94088=AXIS2_PLACEMENT_3D('',#151094,#107985,#107986); #94089=AXIS2_PLACEMENT_3D('',#151095,#107987,#107988); #94090=AXIS2_PLACEMENT_3D('',#151096,#107989,#107990); #94091=AXIS2_PLACEMENT_3D('',#151097,#107991,#107992); #94092=AXIS2_PLACEMENT_3D('',#151146,#107993,#107994); #94093=AXIS2_PLACEMENT_3D('',#151147,#107995,#107996); #94094=AXIS2_PLACEMENT_3D('',#151148,#107997,#107998); #94095=AXIS2_PLACEMENT_3D('',#151149,#107999,#108000); #94096=AXIS2_PLACEMENT_3D('',#151150,#108001,#108002); #94097=AXIS2_PLACEMENT_3D('',#151151,#108003,#108004); #94098=AXIS2_PLACEMENT_3D('',#151153,#108006,#108007); #94099=AXIS2_PLACEMENT_3D('',#151155,#108009,#108010); #94100=AXIS2_PLACEMENT_3D('',#151156,#108011,#108012); #94101=AXIS2_PLACEMENT_3D('',#151157,#108013,#108014); #94102=AXIS2_PLACEMENT_3D('',#151178,#108015,#108016); #94103=AXIS2_PLACEMENT_3D('',#151200,#108018,#108019); #94104=AXIS2_PLACEMENT_3D('',#151202,#108020,#108021); #94105=AXIS2_PLACEMENT_3D('',#151204,#108022,#108023); #94106=AXIS2_PLACEMENT_3D('',#151223,#108025,#108026); #94107=AXIS2_PLACEMENT_3D('',#151225,#108027,#108028); #94108=AXIS2_PLACEMENT_3D('',#151230,#108029,#108030); #94109=AXIS2_PLACEMENT_3D('',#151326,#108033,#108034); #94110=AXIS2_PLACEMENT_3D('',#151339,#108035,#108036); #94111=AXIS2_PLACEMENT_3D('',#151340,#108037,#108038); #94112=AXIS2_PLACEMENT_3D('',#151347,#108039,#108040); #94113=AXIS2_PLACEMENT_3D('',#151349,#108041,#108042); #94114=AXIS2_PLACEMENT_3D('',#151350,#108043,#108044); #94115=AXIS2_PLACEMENT_3D('',#151467,#108046,#108047); #94116=AXIS2_PLACEMENT_3D('',#151477,#108048,#108049); #94117=AXIS2_PLACEMENT_3D('',#151584,#108051,#108052); #94118=AXIS2_PLACEMENT_3D('',#151591,#108053,#108054); #94119=AXIS2_PLACEMENT_3D('',#151603,#108055,#108056); #94120=AXIS2_PLACEMENT_3D('',#151630,#108057,#108058); #94121=AXIS2_PLACEMENT_3D('',#151631,#108059,#108060); #94122=AXIS2_PLACEMENT_3D('',#151701,#108063,#108064); #94123=AXIS2_PLACEMENT_3D('',#151710,#108065,#108066); #94124=AXIS2_PLACEMENT_3D('',#151712,#108067,#108068); #94125=AXIS2_PLACEMENT_3D('',#151741,#108069,#108070); #94126=AXIS2_PLACEMENT_3D('',#151742,#108071,#108072); #94127=AXIS2_PLACEMENT_3D('',#151743,#108073,#108074); #94128=AXIS2_PLACEMENT_3D('',#151744,#108075,#108076); #94129=AXIS2_PLACEMENT_3D('',#151745,#108077,#108078); #94130=AXIS2_PLACEMENT_3D('',#151750,#108079,#108080); #94131=AXIS2_PLACEMENT_3D('',#151752,#108081,#108082); #94132=AXIS2_PLACEMENT_3D('',#151786,#108085,#108086); #94133=AXIS2_PLACEMENT_3D('',#151787,#108087,#108088); #94134=AXIS2_PLACEMENT_3D('',#151788,#108089,#108090); #94135=AXIS2_PLACEMENT_3D('',#151798,#108091,#108092); #94136=AXIS2_PLACEMENT_3D('',#151799,#108093,#108094); #94137=AXIS2_PLACEMENT_3D('',#151814,#108095,#108096); #94138=AXIS2_PLACEMENT_3D('',#151815,#108097,#108098); #94139=AXIS2_PLACEMENT_3D('',#151816,#108099,#108100); #94140=AXIS2_PLACEMENT_3D('',#151826,#108101,#108102); #94141=AXIS2_PLACEMENT_3D('',#151829,#108103,#108104); #94142=AXIS2_PLACEMENT_3D('',#151856,#108105,#108106); #94143=AXIS2_PLACEMENT_3D('',#151891,#108107,#108108); #94144=AXIS2_PLACEMENT_3D('',#151892,#108109,#108110); #94145=AXIS2_PLACEMENT_3D('',#151923,#108111,#108112); #94146=AXIS2_PLACEMENT_3D('',#151933,#108113,#108114); #94147=AXIS2_PLACEMENT_3D('',#151965,#108115,#108116); #94148=AXIS2_PLACEMENT_3D('',#151967,#108117,#108118); #94149=AXIS2_PLACEMENT_3D('',#151980,#108119,#108120); #94150=AXIS2_PLACEMENT_3D('',#151981,#108121,#108122); #94151=AXIS2_PLACEMENT_3D('',#152021,#108123,#108124); #94152=AXIS2_PLACEMENT_3D('',#152022,#108125,#108126); #94153=AXIS2_PLACEMENT_3D('',#152024,#108128,#108129); #94154=AXIS2_PLACEMENT_3D('',#152043,#108130,#108131); #94155=AXIS2_PLACEMENT_3D('',#152046,#108132,#108133); #94156=AXIS2_PLACEMENT_3D('',#152050,#108135,#108136); #94157=AXIS2_PLACEMENT_3D('',#152052,#108138,#108139); #94158=AXIS2_PLACEMENT_3D('',#152058,#108143,#108144); #94159=AXIS2_PLACEMENT_3D('',#152062,#108146,#108147); #94160=AXIS2_PLACEMENT_3D('',#152066,#108149,#108150); #94161=AXIS2_PLACEMENT_3D('',#152078,#108156,#108157); #94162=AXIS2_PLACEMENT_3D('',#152082,#108159,#108160); #94163=AXIS2_PLACEMENT_3D('',#152086,#108162,#108163); #94164=AXIS2_PLACEMENT_3D('',#152090,#108165,#108166); #94165=AXIS2_PLACEMENT_3D('',#152093,#108168,#108169); #94166=AXIS2_PLACEMENT_3D('',#152098,#108171,#108172); #94167=AXIS2_PLACEMENT_3D('',#152102,#108174,#108175); #94168=AXIS2_PLACEMENT_3D('',#152106,#108177,#108178); #94169=AXIS2_PLACEMENT_3D('',#152109,#108180,#108181); #94170=AXIS2_PLACEMENT_3D('',#152110,#108182,#108183); #94171=AXIS2_PLACEMENT_3D('',#152113,#108184,#108185); #94172=AXIS2_PLACEMENT_3D('',#152116,#108188,#108189); #94173=AXIS2_PLACEMENT_3D('',#152120,#108192,#108193); #94174=AXIS2_PLACEMENT_3D('',#152123,#108194,#108195); #94175=AXIS2_PLACEMENT_3D('',#152127,#108197,#108198); #94176=AXIS2_PLACEMENT_3D('',#152129,#108200,#108201); #94177=AXIS2_PLACEMENT_3D('',#152135,#108205,#108206); #94178=AXIS2_PLACEMENT_3D('',#152139,#108208,#108209); #94179=AXIS2_PLACEMENT_3D('',#152143,#108211,#108212); #94180=AXIS2_PLACEMENT_3D('',#152161,#108216,#108217); #94181=AXIS2_PLACEMENT_3D('',#152165,#108219,#108220); #94182=AXIS2_PLACEMENT_3D('',#152169,#108222,#108223); #94183=AXIS2_PLACEMENT_3D('',#152173,#108225,#108226); #94184=AXIS2_PLACEMENT_3D('',#152176,#108228,#108229); #94185=AXIS2_PLACEMENT_3D('',#152177,#108230,#108231); #94186=AXIS2_PLACEMENT_3D('',#152180,#108232,#108233); #94187=AXIS2_PLACEMENT_3D('',#152183,#108236,#108237); #94188=AXIS2_PLACEMENT_3D('',#152187,#108240,#108241); #94189=AXIS2_PLACEMENT_3D('',#152190,#108242,#108243); #94190=AXIS2_PLACEMENT_3D('',#152194,#108245,#108246); #94191=AXIS2_PLACEMENT_3D('',#152218,#108248,#108249); #94192=AXIS2_PLACEMENT_3D('',#152219,#108250,#108251); #94193=AXIS2_PLACEMENT_3D('',#152220,#108252,#108253); #94194=AXIS2_PLACEMENT_3D('',#152274,#108254,#108255); #94195=AXIS2_PLACEMENT_3D('',#152275,#108256,#108257); #94196=AXIS2_PLACEMENT_3D('',#152276,#108258,#108259); #94197=AXIS2_PLACEMENT_3D('',#152305,#108261,#108262); #94198=AXIS2_PLACEMENT_3D('',#152336,#108264,#108265); #94199=AXIS2_PLACEMENT_3D('',#152337,#108266,#108267); #94200=AXIS2_PLACEMENT_3D('',#152343,#108270,#108271); #94201=AXIS2_PLACEMENT_3D('',#152344,#108272,#108273); #94202=AXIS2_PLACEMENT_3D('',#152348,#108275,#108276); #94203=AXIS2_PLACEMENT_3D('',#152354,#108279,#108280); #94204=AXIS2_PLACEMENT_3D('',#152355,#108281,#108282); #94205=AXIS2_PLACEMENT_3D('',#152356,#108283,#108284); #94206=AXIS2_PLACEMENT_3D('',#152359,#108286,#108287); #94207=AXIS2_PLACEMENT_3D('',#152379,#108291,#108292); #94208=AXIS2_PLACEMENT_3D('',#152391,#108298,#108299); #94209=AXIS2_PLACEMENT_3D('',#152408,#108302,#108303); #94210=AXIS2_PLACEMENT_3D('',#152409,#108304,#108305); #94211=AXIS2_PLACEMENT_3D('',#152412,#108306,#108307); #94212=AXIS2_PLACEMENT_3D('',#152416,#108309,#108310); #94213=AXIS2_PLACEMENT_3D('',#152440,#108312,#108313); #94214=AXIS2_PLACEMENT_3D('',#152441,#108314,#108315); #94215=AXIS2_PLACEMENT_3D('',#152442,#108316,#108317); #94216=AXIS2_PLACEMENT_3D('',#152465,#108318,#108319); #94217=AXIS2_PLACEMENT_3D('',#152466,#108320,#108321); #94218=AXIS2_PLACEMENT_3D('',#152467,#108322,#108323); #94219=AXIS2_PLACEMENT_3D('',#152468,#108324,#108325); #94220=AXIS2_PLACEMENT_3D('',#152471,#108328,#108329); #94221=AXIS2_PLACEMENT_3D('',#152473,#108331,#108332); #94222=AXIS2_PLACEMENT_3D('',#152476,#108334,#108335); #94223=AXIS2_PLACEMENT_3D('',#152477,#108336,#108337); #94224=AXIS2_PLACEMENT_3D('',#152478,#108338,#108339); #94225=AXIS2_PLACEMENT_3D('',#152482,#108342,#108343); #94226=AXIS2_PLACEMENT_3D('',#152485,#108345,#108346); #94227=AXIS2_PLACEMENT_3D('',#152486,#108347,#108348); #94228=AXIS2_PLACEMENT_3D('',#152490,#108351,#108352); #94229=AXIS2_PLACEMENT_3D('',#152493,#108354,#108355); #94230=AXIS2_PLACEMENT_3D('',#152494,#108356,#108357); #94231=AXIS2_PLACEMENT_3D('',#152496,#108359,#108360); #94232=AXIS2_PLACEMENT_3D('',#152500,#108362,#108363); #94233=AXIS2_PLACEMENT_3D('',#152502,#108365,#108366); #94234=AXIS2_PLACEMENT_3D('',#152506,#108369,#108370); #94235=AXIS2_PLACEMENT_3D('',#152507,#108371,#108372); #94236=AXIS2_PLACEMENT_3D('',#152508,#108373,#108374); #94237=AXIS2_PLACEMENT_3D('',#152512,#108376,#108377); #94238=AXIS2_PLACEMENT_3D('',#152514,#108379,#108380); #94239=AXIS2_PLACEMENT_3D('',#152516,#108382,#108383); #94240=AXIS2_PLACEMENT_3D('',#152519,#108385,#108386); #94241=AXIS2_PLACEMENT_3D('',#152520,#108387,#108388); #94242=AXIS2_PLACEMENT_3D('',#152524,#108391,#108392); #94243=AXIS2_PLACEMENT_3D('',#152525,#108393,#108394); #94244=AXIS2_PLACEMENT_3D('',#152526,#108395,#108396); #94245=AXIS2_PLACEMENT_3D('',#152530,#108398,#108399); #94246=AXIS2_PLACEMENT_3D('',#152532,#108401,#108402); #94247=AXIS2_PLACEMENT_3D('',#152536,#108405,#108406); #94248=AXIS2_PLACEMENT_3D('',#152540,#108408,#108409); #94249=AXIS2_PLACEMENT_3D('',#152542,#108411,#108412); #94250=AXIS2_PLACEMENT_3D('',#152546,#108415,#108416); #94251=AXIS2_PLACEMENT_3D('',#152549,#108418,#108419); #94252=AXIS2_PLACEMENT_3D('',#152550,#108420,#108421); #94253=AXIS2_PLACEMENT_3D('',#152552,#108423,#108424); #94254=AXIS2_PLACEMENT_3D('',#152555,#108426,#108427); #94255=AXIS2_PLACEMENT_3D('',#152556,#108428,#108429); #94256=AXIS2_PLACEMENT_3D('',#152558,#108431,#108432); #94257=AXIS2_PLACEMENT_3D('',#152559,#108433,#108434); #94258=AXIS2_PLACEMENT_3D('',#152560,#108435,#108436); #94259=AXIS2_PLACEMENT_3D('',#152561,#108437,#108438); #94260=AXIS2_PLACEMENT_3D('',#152590,#108440,#108441); #94261=AXIS2_PLACEMENT_3D('',#152591,#108442,#108443); #94262=AXIS2_PLACEMENT_3D('',#152600,#108449,#108450); #94263=AXIS2_PLACEMENT_3D('',#152621,#108451,#108452); #94264=AXIS2_PLACEMENT_3D('',#152624,#108455,#108456); #94265=AXIS2_PLACEMENT_3D('',#152626,#108458,#108459); #94266=AXIS2_PLACEMENT_3D('',#152628,#108460,#108461); #94267=AXIS2_PLACEMENT_3D('',#152630,#108462,#108463); #94268=AXIS2_PLACEMENT_3D('',#152631,#108464,#108465); #94269=AXIS2_PLACEMENT_3D('',#152632,#108466,#108467); #94270=AXIS2_PLACEMENT_3D('',#152662,#108469,#108470); #94271=AXIS2_PLACEMENT_3D('',#152716,#108471,#108472); #94272=AXIS2_PLACEMENT_3D('',#152772,#108473,#108474); #94273=AXIS2_PLACEMENT_3D('',#152774,#108475,#108476); #94274=AXIS2_PLACEMENT_3D('',#152776,#108477,#108478); #94275=AXIS2_PLACEMENT_3D('',#152777,#108479,#108480); #94276=AXIS2_PLACEMENT_3D('',#152778,#108481,#108482); #94277=AXIS2_PLACEMENT_3D('',#152809,#108484,#108485); #94278=AXIS2_PLACEMENT_3D('',#152863,#108486,#108487); #94279=AXIS2_PLACEMENT_3D('',#152980,#108488,#108489); #94280=AXIS2_PLACEMENT_3D('',#152994,#108490,#108491); #94281=AXIS2_PLACEMENT_3D('',#153055,#108492,#108493); #94282=AXIS2_PLACEMENT_3D('',#153142,#108497,#108498); #94283=AXIS2_PLACEMENT_3D('',#153156,#108499,#108500); #94284=AXIS2_PLACEMENT_3D('',#153211,#108501,#108502); #94285=AXIS2_PLACEMENT_3D('',#153237,#108506,#108507); #94286=AXIS2_PLACEMENT_3D('',#153246,#108512,#108513); #94287=AXIS2_PLACEMENT_3D('',#153252,#108517,#108518); #94288=AXIS2_PLACEMENT_3D('',#153313,#108521,#108522); #94289=AXIS2_PLACEMENT_3D('',#153366,#108523,#108524); #94290=AXIS2_PLACEMENT_3D('',#153370,#108527,#108528); #94291=AXIS2_PLACEMENT_3D('',#153372,#108530,#108531); #94292=AXIS2_PLACEMENT_3D('',#153552,#108532,#108533); #94293=AXIS2_PLACEMENT_3D('',#153553,#108534,#108535); #94294=AXIS2_PLACEMENT_3D('',#153562,#108540,#108541); #94295=AXIS2_PLACEMENT_3D('',#153568,#108545,#108546); #94296=AXIS2_PLACEMENT_3D('',#153679,#108549,#108550); #94297=AXIS2_PLACEMENT_3D('',#153683,#108553,#108554); #94298=AXIS2_PLACEMENT_3D('',#153685,#108556,#108557); #94299=AXIS2_PLACEMENT_3D('',#153866,#108558,#108559); #94300=AXIS2_PLACEMENT_3D('',#153867,#108560,#108561); #94301=AXIS2_PLACEMENT_3D('',#153876,#108566,#108567); #94302=AXIS2_PLACEMENT_3D('',#153882,#108571,#108572); #94303=AXIS2_PLACEMENT_3D('',#153888,#108576,#108577); #94304=AXIS2_PLACEMENT_3D('',#153891,#108580,#108581); #94305=AXIS2_PLACEMENT_3D('',#153892,#108582,#108583); #94306=AXIS2_PLACEMENT_3D('',#153901,#108588,#108589); #94307=AXIS2_PLACEMENT_3D('',#153907,#108593,#108594); #94308=AXIS2_PLACEMENT_3D('',#153913,#108598,#108599); #94309=AXIS2_PLACEMENT_3D('',#153916,#108602,#108603); #94310=AXIS2_PLACEMENT_3D('',#153917,#108604,#108605); #94311=AXIS2_PLACEMENT_3D('',#153926,#108610,#108611); #94312=AXIS2_PLACEMENT_3D('',#153932,#108615,#108616); #94313=AXIS2_PLACEMENT_3D('',#153938,#108620,#108621); #94314=AXIS2_PLACEMENT_3D('',#153941,#108624,#108625); #94315=AXIS2_PLACEMENT_3D('',#154501,#108627,#108628); #94316=AXIS2_PLACEMENT_3D('',#154502,#108629,#108630); #94317=AXIS2_PLACEMENT_3D('',#154503,#108631,#108632); #94318=AXIS2_PLACEMENT_3D('',#154943,#108635,#108636); #94319=AXIS2_PLACEMENT_3D('',#154944,#108637,#108638); #94320=AXIS2_PLACEMENT_3D('',#155094,#108640,#108641); #94321=AXIS2_PLACEMENT_3D('',#155155,#108642,#108643); #94322=AXIS2_PLACEMENT_3D('',#155179,#108644,#108645); #94323=AXIS2_PLACEMENT_3D('',#155205,#108646,#108647); #94324=AXIS2_PLACEMENT_3D('',#155211,#108648,#108649); #94325=AXIS2_PLACEMENT_3D('',#155212,#108650,#108651); #94326=AXIS2_PLACEMENT_3D('',#155214,#108653,#108654); #94327=AXIS2_PLACEMENT_3D('',#155215,#108655,#108656); #94328=AXIS2_PLACEMENT_3D('',#155216,#108657,#108658); #94329=AXIS2_PLACEMENT_3D('',#155217,#108659,#108660); #94330=AXIS2_PLACEMENT_3D('',#155219,#108661,#108662); #94331=AXIS2_PLACEMENT_3D('',#155242,#108664,#108665); #94332=AXIS2_PLACEMENT_3D('',#155288,#108666,#108667); #94333=AXIS2_PLACEMENT_3D('',#155290,#108668,#108669); #94334=AXIS2_PLACEMENT_3D('',#155375,#108670,#108671); #94335=AXIS2_PLACEMENT_3D('',#155381,#108672,#108673); #94336=AXIS2_PLACEMENT_3D('',#155382,#108674,#108675); #94337=AXIS2_PLACEMENT_3D('',#155387,#108676,#108677); #94338=AXIS2_PLACEMENT_3D('',#155392,#108678,#108679); #94339=AXIS2_PLACEMENT_3D('',#155408,#108680,#108681); #94340=AXIS2_PLACEMENT_3D('',#155439,#108682,#108683); #94341=AXIS2_PLACEMENT_3D('',#155445,#108684,#108685); #94342=AXIS2_PLACEMENT_3D('',#155463,#108686,#108687); #94343=AXIS2_PLACEMENT_3D('',#155488,#108688,#108689); #94344=AXIS2_PLACEMENT_3D('',#155490,#108690,#108691); #94345=AXIS2_PLACEMENT_3D('',#155492,#108692,#108693); #94346=AXIS2_PLACEMENT_3D('',#155493,#108694,#108695); #94347=AXIS2_PLACEMENT_3D('',#155494,#108696,#108697); #94348=AXIS2_PLACEMENT_3D('',#155496,#108698,#108699); #94349=AXIS2_PLACEMENT_3D('',#155497,#108700,#108701); #94350=AXIS2_PLACEMENT_3D('',#155498,#108702,#108703); #94351=AXIS2_PLACEMENT_3D('',#155500,#108704,#108705); #94352=AXIS2_PLACEMENT_3D('',#155501,#108706,#108707); #94353=AXIS2_PLACEMENT_3D('',#155502,#108708,#108709); #94354=AXIS2_PLACEMENT_3D('',#155504,#108710,#108711); #94355=AXIS2_PLACEMENT_3D('',#155505,#108712,#108713); #94356=AXIS2_PLACEMENT_3D('',#155506,#108714,#108715); #94357=AXIS2_PLACEMENT_3D('',#155508,#108716,#108717); #94358=AXIS2_PLACEMENT_3D('',#155509,#108718,#108719); #94359=AXIS2_PLACEMENT_3D('',#155510,#108720,#108721); #94360=AXIS2_PLACEMENT_3D('',#155512,#108722,#108723); #94361=AXIS2_PLACEMENT_3D('',#155513,#108724,#108725); #94362=AXIS2_PLACEMENT_3D('',#155514,#108726,#108727); #94363=AXIS2_PLACEMENT_3D('',#155516,#108728,#108729); #94364=AXIS2_PLACEMENT_3D('',#155517,#108730,#108731); #94365=AXIS2_PLACEMENT_3D('',#155518,#108732,#108733); #94366=AXIS2_PLACEMENT_3D('',#155519,#108734,#108735); #94367=AXIS2_PLACEMENT_3D('',#155520,#108736,#108737); #94368=AXIS2_PLACEMENT_3D('',#155523,#108740,#108741); #94369=AXIS2_PLACEMENT_3D('',#155525,#108743,#108744); #94370=AXIS2_PLACEMENT_3D('',#155527,#108746,#108747); #94371=AXIS2_PLACEMENT_3D('',#155529,#108749,#108750); #94372=AXIS2_PLACEMENT_3D('',#155531,#108752,#108753); #94373=AXIS2_PLACEMENT_3D('',#155533,#108755,#108756); #94374=AXIS2_PLACEMENT_3D('',#155535,#108758,#108759); #94375=AXIS2_PLACEMENT_3D('',#155536,#108760,#108761); #94376=AXIS2_PLACEMENT_3D('',#155538,#108762,#108763); #94377=AXIS2_PLACEMENT_3D('',#155562,#108765,#108766); #94378=AXIS2_PLACEMENT_3D('',#155630,#108767,#108768); #94379=AXIS2_PLACEMENT_3D('',#155635,#108769,#108770); #94380=AXIS2_PLACEMENT_3D('',#155660,#108774,#108775); #94381=AXIS2_PLACEMENT_3D('',#155661,#108776,#108777); #94382=AXIS2_PLACEMENT_3D('',#155687,#108778,#108779); #94383=AXIS2_PLACEMENT_3D('',#155762,#108780,#108781); #94384=AXIS2_PLACEMENT_3D('',#155774,#108782,#108783); #94385=AXIS2_PLACEMENT_3D('',#155776,#108784,#108785); #94386=AXIS2_PLACEMENT_3D('',#155844,#108786,#108787); #94387=AXIS2_PLACEMENT_3D('',#155849,#108788,#108789); #94388=AXIS2_PLACEMENT_3D('',#155857,#108790,#108791); #94389=AXIS2_PLACEMENT_3D('',#155902,#108792,#108793); #94390=AXIS2_PLACEMENT_3D('',#155905,#108794,#108795); #94391=AXIS2_PLACEMENT_3D('',#155906,#108796,#108797); #94392=AXIS2_PLACEMENT_3D('',#155907,#108798,#108799); #94393=AXIS2_PLACEMENT_3D('',#155908,#108800,#108801); #94394=AXIS2_PLACEMENT_3D('',#155910,#108802,#108803); #94395=AXIS2_PLACEMENT_3D('',#155912,#108804,#108805); #94396=AXIS2_PLACEMENT_3D('',#155925,#108806,#108807); #94397=AXIS2_PLACEMENT_3D('',#155927,#108808,#108809); #94398=AXIS2_PLACEMENT_3D('',#155929,#108810,#108811); #94399=AXIS2_PLACEMENT_3D('',#155930,#108812,#108813); #94400=AXIS2_PLACEMENT_3D('',#155931,#108814,#108815); #94401=AXIS2_PLACEMENT_3D('',#155933,#108816,#108817); #94402=AXIS2_PLACEMENT_3D('',#155935,#108818,#108819); #94403=AXIS2_PLACEMENT_3D('',#155936,#108820,#108821); #94404=AXIS2_PLACEMENT_3D('',#155957,#108822,#108823); #94405=AXIS2_PLACEMENT_3D('',#155958,#108824,#108825); #94406=AXIS2_PLACEMENT_3D('',#155959,#108826,#108827); #94407=AXIS2_PLACEMENT_3D('',#155960,#108828,#108829); #94408=AXIS2_PLACEMENT_3D('',#155962,#108830,#108831); #94409=AXIS2_PLACEMENT_3D('',#155963,#108832,#108833); #94410=AXIS2_PLACEMENT_3D('',#155964,#108834,#108835); #94411=AXIS2_PLACEMENT_3D('',#155966,#108836,#108837); #94412=AXIS2_PLACEMENT_3D('',#155968,#108838,#108839); #94413=AXIS2_PLACEMENT_3D('',#155981,#108840,#108841); #94414=AXIS2_PLACEMENT_3D('',#155983,#108843,#108844); #94415=AXIS2_PLACEMENT_3D('',#156020,#108847,#108848); #94416=AXIS2_PLACEMENT_3D('',#156039,#108850,#108851); #94417=AXIS2_PLACEMENT_3D('',#156064,#108852,#108853); #94418=AXIS2_PLACEMENT_3D('',#156075,#108855,#108856); #94419=AXIS2_PLACEMENT_3D('',#156076,#108857,#108858); #94420=AXIS2_PLACEMENT_3D('',#156083,#108859,#108860); #94421=AXIS2_PLACEMENT_3D('',#156133,#108861,#108862); #94422=AXIS2_PLACEMENT_3D('',#156156,#108863,#108864); #94423=AXIS2_PLACEMENT_3D('',#156158,#108865,#108866); #94424=AXIS2_PLACEMENT_3D('',#156160,#108867,#108868); #94425=AXIS2_PLACEMENT_3D('',#156161,#108869,#108870); #94426=AXIS2_PLACEMENT_3D('',#156194,#108871,#108872); #94427=AXIS2_PLACEMENT_3D('',#156229,#108873,#108874); #94428=AXIS2_PLACEMENT_3D('',#156259,#108875,#108876); #94429=AXIS2_PLACEMENT_3D('',#156266,#108877,#108878); #94430=AXIS2_PLACEMENT_3D('',#156293,#108879,#108880); #94431=AXIS2_PLACEMENT_3D('',#156295,#108881,#108882); #94432=AXIS2_PLACEMENT_3D('',#156297,#108883,#108884); #94433=AXIS2_PLACEMENT_3D('',#156298,#108885,#108886); #94434=AXIS2_PLACEMENT_3D('',#156331,#108887,#108888); #94435=AXIS2_PLACEMENT_3D('',#156366,#108889,#108890); #94436=AXIS2_PLACEMENT_3D('',#156402,#108891,#108892); #94437=AXIS2_PLACEMENT_3D('',#156435,#108893,#108894); #94438=AXIS2_PLACEMENT_3D('',#156436,#108895,#108896); #94439=AXIS2_PLACEMENT_3D('',#156437,#108897,#108898); #94440=AXIS2_PLACEMENT_3D('',#156465,#108899,#108900); #94441=AXIS2_PLACEMENT_3D('',#156498,#108901,#108902); #94442=AXIS2_PLACEMENT_3D('',#156539,#108903,#108904); #94443=AXIS2_PLACEMENT_3D('',#156573,#108905,#108906); #94444=AXIS2_PLACEMENT_3D('',#156574,#108907,#108908); #94445=AXIS2_PLACEMENT_3D('',#156575,#108909,#108910); #94446=AXIS2_PLACEMENT_3D('',#156597,#108911,#108912); #94447=AXIS2_PLACEMENT_3D('',#156604,#108913,#108914); #94448=AXIS2_PLACEMENT_3D('',#156643,#108915,#108916); #94449=AXIS2_PLACEMENT_3D('',#156683,#108917,#108918); #94450=AXIS2_PLACEMENT_3D('',#156719,#108919,#108920); #94451=AXIS2_PLACEMENT_3D('',#156752,#108921,#108922); #94452=AXIS2_PLACEMENT_3D('',#156759,#108923,#108924); #94453=AXIS2_PLACEMENT_3D('',#156796,#108925,#108926); #94454=AXIS2_PLACEMENT_3D('',#156830,#108927,#108928); #94455=AXIS2_PLACEMENT_3D('',#156831,#108929,#108930); #94456=AXIS2_PLACEMENT_3D('',#156832,#108931,#108932); #94457=AXIS2_PLACEMENT_3D('',#156861,#108933,#108934); #94458=AXIS2_PLACEMENT_3D('',#156862,#108935,#108936); #94459=AXIS2_PLACEMENT_3D('',#156863,#108937,#108938); #94460=AXIS2_PLACEMENT_3D('',#156864,#108939,#108940); #94461=AXIS2_PLACEMENT_3D('',#156865,#108941,#108942); #94462=AXIS2_PLACEMENT_3D('',#156866,#108943,#108944); #94463=AXIS2_PLACEMENT_3D('',#156867,#108945,#108946); #94464=AXIS2_PLACEMENT_3D('',#156868,#108947,#108948); #94465=AXIS2_PLACEMENT_3D('',#156891,#108949,#108950); #94466=AXIS2_PLACEMENT_3D('',#156922,#108951,#108952); #94467=AXIS2_PLACEMENT_3D('',#156929,#108953,#108954); #94468=AXIS2_PLACEMENT_3D('',#157026,#108955,#108956); #94469=AXIS2_PLACEMENT_3D('',#157030,#108958,#108959); #94470=AXIS2_PLACEMENT_3D('',#157032,#108961,#108962); #94471=AXIS2_PLACEMENT_3D('',#157039,#108964,#108965); #94472=AXIS2_PLACEMENT_3D('',#157045,#108969,#108970); #94473=AXIS2_PLACEMENT_3D('',#157049,#108973,#108974); #94474=AXIS2_PLACEMENT_3D('',#157052,#108976,#108977); #94475=AXIS2_PLACEMENT_3D('',#157053,#108978,#108979); #94476=AXIS2_PLACEMENT_3D('',#157057,#108982,#108983); #94477=AXIS2_PLACEMENT_3D('',#157060,#108985,#108986); #94478=AXIS2_PLACEMENT_3D('',#157061,#108987,#108988); #94479=AXIS2_PLACEMENT_3D('',#157065,#108991,#108992); #94480=AXIS2_PLACEMENT_3D('',#157068,#108994,#108995); #94481=AXIS2_PLACEMENT_3D('',#157069,#108996,#108997); #94482=AXIS2_PLACEMENT_3D('',#157073,#109000,#109001); #94483=AXIS2_PLACEMENT_3D('',#157076,#109003,#109004); #94484=AXIS2_PLACEMENT_3D('',#157077,#109005,#109006); #94485=AXIS2_PLACEMENT_3D('',#157082,#109010,#109011); #94486=AXIS2_PLACEMENT_3D('',#157085,#109013,#109014); #94487=AXIS2_PLACEMENT_3D('',#157086,#109015,#109016); #94488=AXIS2_PLACEMENT_3D('',#157090,#109019,#109020); #94489=AXIS2_PLACEMENT_3D('',#157093,#109022,#109023); #94490=AXIS2_PLACEMENT_3D('',#157094,#109024,#109025); #94491=AXIS2_PLACEMENT_3D('',#157098,#109028,#109029); #94492=AXIS2_PLACEMENT_3D('',#157101,#109031,#109032); #94493=AXIS2_PLACEMENT_3D('',#157102,#109033,#109034); #94494=AXIS2_PLACEMENT_3D('',#157106,#109037,#109038); #94495=AXIS2_PLACEMENT_3D('',#157109,#109040,#109041); #94496=AXIS2_PLACEMENT_3D('',#157110,#109042,#109043); #94497=AXIS2_PLACEMENT_3D('',#157114,#109046,#109047); #94498=AXIS2_PLACEMENT_3D('',#157117,#109049,#109050); #94499=AXIS2_PLACEMENT_3D('',#157118,#109051,#109052); #94500=AXIS2_PLACEMENT_3D('',#157121,#109054,#109055); #94501=AXIS2_PLACEMENT_3D('',#157132,#109057,#109058); #94502=AXIS2_PLACEMENT_3D('',#157137,#109059,#109060); #94503=AXIS2_PLACEMENT_3D('',#157166,#109063,#109064); #94504=AXIS2_PLACEMENT_3D('',#157193,#109065,#109066); #94505=AXIS2_PLACEMENT_3D('',#157236,#109067,#109068); #94506=AXIS2_PLACEMENT_3D('',#157273,#109069,#109070); #94507=AXIS2_PLACEMENT_3D('',#157274,#109071,#109072); #94508=AXIS2_PLACEMENT_3D('',#157275,#109073,#109074); #94509=AXIS2_PLACEMENT_3D('',#157276,#109075,#109076); #94510=AXIS2_PLACEMENT_3D('',#157277,#109077,#109078); #94511=AXIS2_PLACEMENT_3D('',#157278,#109079,#109080); #94512=AXIS2_PLACEMENT_3D('',#157279,#109081,#109082); #94513=AXIS2_PLACEMENT_3D('',#157280,#109083,#109084); #94514=AXIS2_PLACEMENT_3D('',#157281,#109085,#109086); #94515=AXIS2_PLACEMENT_3D('',#157303,#109087,#109088); #94516=AXIS2_PLACEMENT_3D('',#157304,#109089,#109090); #94517=AXIS2_PLACEMENT_3D('',#157353,#109091,#109092); #94518=AXIS2_PLACEMENT_3D('',#157354,#109093,#109094); #94519=AXIS2_PLACEMENT_3D('',#157381,#109095,#109096); #94520=AXIS2_PLACEMENT_3D('',#157383,#109097,#109098); #94521=AXIS2_PLACEMENT_3D('',#157384,#109099,#109100); #94522=AXIS2_PLACEMENT_3D('',#157385,#109101,#109102); #94523=AXIS2_PLACEMENT_3D('',#157387,#109103,#109104); #94524=AXIS2_PLACEMENT_3D('',#157415,#109106,#109107); #94525=AXIS2_PLACEMENT_3D('',#157416,#109108,#109109); #94526=AXIS2_PLACEMENT_3D('',#157417,#109110,#109111); #94527=AXIS2_PLACEMENT_3D('',#157419,#109112,#109113); #94528=AXIS2_PLACEMENT_3D('',#157421,#109115,#109116); #94529=AXIS2_PLACEMENT_3D('',#157423,#109117,#109118); #94530=AXIS2_PLACEMENT_3D('',#157425,#109120,#109121); #94531=AXIS2_PLACEMENT_3D('',#157427,#109122,#109123); #94532=AXIS2_PLACEMENT_3D('',#157428,#109124,#109125); #94533=AXIS2_PLACEMENT_3D('',#157456,#109127,#109128); #94534=AXIS2_PLACEMENT_3D('',#157457,#109129,#109130); #94535=AXIS2_PLACEMENT_3D('',#157458,#109131,#109132); #94536=AXIS2_PLACEMENT_3D('',#157460,#109133,#109134); #94537=AXIS2_PLACEMENT_3D('',#157488,#109136,#109137); #94538=AXIS2_PLACEMENT_3D('',#157489,#109138,#109139); #94539=AXIS2_PLACEMENT_3D('',#157490,#109140,#109141); #94540=AXIS2_PLACEMENT_3D('',#157492,#109142,#109143); #94541=AXIS2_PLACEMENT_3D('',#157494,#109145,#109146); #94542=AXIS2_PLACEMENT_3D('',#157495,#109147,#109148); #94543=AXIS2_PLACEMENT_3D('',#157497,#109149,#109150); #94544=AXIS2_PLACEMENT_3D('',#157498,#109151,#109152); #94545=AXIS2_PLACEMENT_3D('',#157526,#109154,#109155); #94546=AXIS2_PLACEMENT_3D('',#157527,#109156,#109157); #94547=AXIS2_PLACEMENT_3D('',#157528,#109158,#109159); #94548=AXIS2_PLACEMENT_3D('',#157530,#109160,#109161); #94549=AXIS2_PLACEMENT_3D('',#157558,#109163,#109164); #94550=AXIS2_PLACEMENT_3D('',#157559,#109165,#109166); #94551=AXIS2_PLACEMENT_3D('',#157560,#109167,#109168); #94552=AXIS2_PLACEMENT_3D('',#157562,#109169,#109170); #94553=AXIS2_PLACEMENT_3D('',#157564,#109172,#109173); #94554=AXIS2_PLACEMENT_3D('',#157565,#109174,#109175); #94555=AXIS2_PLACEMENT_3D('',#157567,#109176,#109177); #94556=AXIS2_PLACEMENT_3D('',#157568,#109178,#109179); #94557=AXIS2_PLACEMENT_3D('',#157596,#109181,#109182); #94558=AXIS2_PLACEMENT_3D('',#157597,#109183,#109184); #94559=AXIS2_PLACEMENT_3D('',#157598,#109185,#109186); #94560=AXIS2_PLACEMENT_3D('',#157600,#109187,#109188); #94561=AXIS2_PLACEMENT_3D('',#157628,#109190,#109191); #94562=AXIS2_PLACEMENT_3D('',#157629,#109192,#109193); #94563=AXIS2_PLACEMENT_3D('',#157630,#109194,#109195); #94564=AXIS2_PLACEMENT_3D('',#157631,#109196,#109197); #94565=AXIS2_PLACEMENT_3D('',#157633,#109199,#109200); #94566=AXIS2_PLACEMENT_3D('',#157634,#109201,#109202); #94567=AXIS2_PLACEMENT_3D('',#157635,#109203,#109204); #94568=AXIS2_PLACEMENT_3D('',#157657,#109205,#109206); #94569=AXIS2_PLACEMENT_3D('',#157662,#109207,#109208); #94570=AXIS2_PLACEMENT_3D('',#157663,#109209,#109210); #94571=AXIS2_PLACEMENT_3D('',#157664,#109211,#109212); #94572=AXIS2_PLACEMENT_3D('',#157680,#109213,#109214); #94573=AXIS2_PLACEMENT_3D('',#157707,#109216,#109217); #94574=AXIS2_PLACEMENT_3D('',#157708,#109218,#109219); #94575=AXIS2_PLACEMENT_3D('',#157709,#109220,#109221); #94576=AXIS2_PLACEMENT_3D('',#157734,#109222,#109223); #94577=AXIS2_PLACEMENT_3D('',#157736,#109225,#109226); #94578=AXIS2_PLACEMENT_3D('',#157737,#109227,#109228); #94579=AXIS2_PLACEMENT_3D('',#157887,#109229,#109230); #94580=AXIS2_PLACEMENT_3D('',#157888,#109231,#109232); #94581=AXIS2_PLACEMENT_3D('',#157901,#109233,#109234); #94582=AXIS2_PLACEMENT_3D('',#157972,#109235,#109236); #94583=AXIS2_PLACEMENT_3D('',#158014,#109237,#109238); #94584=AXIS2_PLACEMENT_3D('',#158019,#109239,#109240); #94585=AXIS2_PLACEMENT_3D('',#158020,#109241,#109242); #94586=AXIS2_PLACEMENT_3D('',#158041,#109243,#109244); #94587=AXIS2_PLACEMENT_3D('',#158096,#109245,#109246); #94588=AXIS2_PLACEMENT_3D('',#158125,#109247,#109248); #94589=AXIS2_PLACEMENT_3D('',#158126,#109249,#109250); #94590=AXIS2_PLACEMENT_3D('',#158131,#109252,#109253); #94591=AXIS2_PLACEMENT_3D('',#158134,#109255,#109256); #94592=AXIS2_PLACEMENT_3D('',#158135,#109257,#109258); #94593=AXIS2_PLACEMENT_3D('',#158147,#109259,#109260); #94594=AXIS2_PLACEMENT_3D('',#158171,#109261,#109262); #94595=AXIS2_PLACEMENT_3D('',#158175,#109264,#109265); #94596=AXIS2_PLACEMENT_3D('',#158177,#109267,#109268); #94597=AXIS2_PLACEMENT_3D('',#158182,#109270,#109271); #94598=AXIS2_PLACEMENT_3D('',#158186,#109273,#109274); #94599=AXIS2_PLACEMENT_3D('',#158190,#109276,#109277); #94600=AXIS2_PLACEMENT_3D('',#158206,#109279,#109280); #94601=AXIS2_PLACEMENT_3D('',#158210,#109282,#109283); #94602=AXIS2_PLACEMENT_3D('',#158214,#109285,#109286); #94603=AXIS2_PLACEMENT_3D('',#158217,#109288,#109289); #94604=AXIS2_PLACEMENT_3D('',#158218,#109290,#109291); #94605=AXIS2_PLACEMENT_3D('',#158227,#109293,#109294); #94606=AXIS2_PLACEMENT_3D('',#158234,#109295,#109296); #94607=AXIS2_PLACEMENT_3D('',#158235,#109297,#109298); #94608=AXIS2_PLACEMENT_3D('',#158236,#109299,#109300); #94609=AXIS2_PLACEMENT_3D('',#158253,#109301,#109302); #94610=AXIS2_PLACEMENT_3D('',#158286,#109303,#109304); #94611=AXIS2_PLACEMENT_3D('',#158307,#109305,#109306); #94612=AXIS2_PLACEMENT_3D('',#158328,#109307,#109308); #94613=AXIS2_PLACEMENT_3D('',#158345,#109309,#109310); #94614=AXIS2_PLACEMENT_3D('',#158347,#109312,#109313); #94615=AXIS2_PLACEMENT_3D('',#158348,#109314,#109315); #94616=AXIS2_PLACEMENT_3D('',#158349,#109316,#109317); #94617=AXIS2_PLACEMENT_3D('',#158350,#109318,#109319); #94618=AXIS2_PLACEMENT_3D('',#158376,#109320,#109321); #94619=AXIS2_PLACEMENT_3D('',#158397,#109322,#109323); #94620=AXIS2_PLACEMENT_3D('',#158438,#109324,#109325); #94621=AXIS2_PLACEMENT_3D('',#158440,#109326,#109327); #94622=AXIS2_PLACEMENT_3D('',#158441,#109328,#109329); #94623=AXIS2_PLACEMENT_3D('',#158442,#109330,#109331); #94624=AXIS2_PLACEMENT_3D('',#158483,#109332,#109333); #94625=AXIS2_PLACEMENT_3D('',#158533,#109334,#109335); #94626=AXIS2_PLACEMENT_3D('',#158555,#109336,#109337); #94627=AXIS2_PLACEMENT_3D('',#158581,#109338,#109339); #94628=AXIS2_PLACEMENT_3D('',#158631,#109340,#109341); #94629=AXIS2_PLACEMENT_3D('',#158652,#109342,#109343); #94630=AXIS2_PLACEMENT_3D('',#158673,#109345,#109346); #94631=AXIS2_PLACEMENT_3D('',#158744,#109348,#109349); #94632=AXIS2_PLACEMENT_3D('',#158815,#109352,#109353); #94633=AXIS2_PLACEMENT_3D('',#158841,#109354,#109355); #94634=AXIS2_PLACEMENT_3D('',#158917,#109357,#109358); #94635=AXIS2_PLACEMENT_3D('',#158979,#109359,#109360); #94636=AXIS2_PLACEMENT_3D('',#159032,#109361,#109362); #94637=AXIS2_PLACEMENT_3D('',#159085,#109363,#109364); #94638=AXIS2_PLACEMENT_3D('',#159171,#109365,#109366); #94639=AXIS2_PLACEMENT_3D('',#159235,#109367,#109368); #94640=AXIS2_PLACEMENT_3D('',#159240,#109369,#109370); #94641=AXIS2_PLACEMENT_3D('',#159273,#109371,#109372); #94642=AXIS2_PLACEMENT_3D('',#159346,#109373,#109374); #94643=AXIS2_PLACEMENT_3D('',#159380,#109375,#109376); #94644=AXIS2_PLACEMENT_3D('',#159461,#109377,#109378); #94645=AXIS2_PLACEMENT_3D('',#159493,#109379,#109380); #94646=AXIS2_PLACEMENT_3D('',#159505,#109382,#109383); #94647=AXIS2_PLACEMENT_3D('',#159511,#109384,#109385); #94648=AXIS2_PLACEMENT_3D('',#159525,#109388,#109389); #94649=AXIS2_PLACEMENT_3D('',#159541,#109391,#109392); #94650=AXIS2_PLACEMENT_3D('',#159632,#109393,#109394); #94651=AXIS2_PLACEMENT_3D('',#159683,#109395,#109396); #94652=AXIS2_PLACEMENT_3D('',#159734,#109397,#109398); #94653=AXIS2_PLACEMENT_3D('',#159735,#109399,#109400); #94654=AXIS2_PLACEMENT_3D('',#159756,#109401,#109402); #94655=AXIS2_PLACEMENT_3D('',#159777,#109403,#109404); #94656=AXIS2_PLACEMENT_3D('',#159853,#109405,#109406); #94657=AXIS2_PLACEMENT_3D('',#159900,#109407,#109408); #94658=AXIS2_PLACEMENT_3D('',#159921,#109409,#109410); #94659=AXIS2_PLACEMENT_3D('',#159947,#109411,#109412); #94660=AXIS2_PLACEMENT_3D('',#159968,#109413,#109414); #94661=AXIS2_PLACEMENT_3D('',#159999,#109415,#109416); #94662=AXIS2_PLACEMENT_3D('',#160032,#109417,#109418); #94663=AXIS2_PLACEMENT_3D('',#160054,#109420,#109421); #94664=AXIS2_PLACEMENT_3D('',#160091,#109423,#109424); #94665=AXIS2_PLACEMENT_3D('',#160116,#109425,#109426); #94666=AXIS2_PLACEMENT_3D('',#160118,#109428,#109429); #94667=AXIS2_PLACEMENT_3D('',#160120,#109430,#109431); #94668=AXIS2_PLACEMENT_3D('',#160122,#109432,#109433); #94669=AXIS2_PLACEMENT_3D('',#160123,#109434,#109435); #94670=AXIS2_PLACEMENT_3D('',#160124,#109436,#109437); #94671=AXIS2_PLACEMENT_3D('',#160139,#109438,#109439); #94672=AXIS2_PLACEMENT_3D('',#160142,#109441,#109442); #94673=AXIS2_PLACEMENT_3D('',#160171,#109443,#109444); #94674=AXIS2_PLACEMENT_3D('',#160173,#109445,#109446); #94675=AXIS2_PLACEMENT_3D('',#160206,#109447,#109448); #94676=AXIS2_PLACEMENT_3D('',#160215,#109449,#109450); #94677=AXIS2_PLACEMENT_3D('',#160216,#109451,#109452); #94678=AXIS2_PLACEMENT_3D('',#160324,#109453,#109454); #94679=AXIS2_PLACEMENT_3D('',#160370,#109455,#109456); #94680=AXIS2_PLACEMENT_3D('',#160392,#109457,#109458); #94681=AXIS2_PLACEMENT_3D('',#160414,#109459,#109460); #94682=AXIS2_PLACEMENT_3D('',#160437,#109461,#109462); #94683=AXIS2_PLACEMENT_3D('',#160474,#109463,#109464); #94684=AXIS2_PLACEMENT_3D('',#160503,#109465,#109466); #94685=AXIS2_PLACEMENT_3D('',#160531,#109467,#109468); #94686=AXIS2_PLACEMENT_3D('',#160561,#109469,#109470); #94687=AXIS2_PLACEMENT_3D('',#160583,#109471,#109472); #94688=AXIS2_PLACEMENT_3D('',#160604,#109473,#109474); #94689=AXIS2_PLACEMENT_3D('',#160621,#109475,#109476); #94690=AXIS2_PLACEMENT_3D('',#160717,#109479,#109480); #94691=AXIS2_PLACEMENT_3D('',#160747,#109481,#109482); #94692=AXIS2_PLACEMENT_3D('',#160791,#109483,#109484); #94693=AXIS2_PLACEMENT_3D('',#160819,#109485,#109486); #94694=AXIS2_PLACEMENT_3D('',#160825,#109487,#109488); #94695=AXIS2_PLACEMENT_3D('',#160862,#109489,#109490); #94696=AXIS2_PLACEMENT_3D('',#160891,#109491,#109492); #94697=AXIS2_PLACEMENT_3D('',#160923,#109493,#109494); #94698=AXIS2_PLACEMENT_3D('',#160961,#109495,#109496); #94699=AXIS2_PLACEMENT_3D('',#161004,#109497,#109498); #94700=AXIS2_PLACEMENT_3D('',#161044,#109499,#109500); #94701=AXIS2_PLACEMENT_3D('',#161077,#109501,#109502); #94702=AXIS2_PLACEMENT_3D('',#161123,#109503,#109504); #94703=AXIS2_PLACEMENT_3D('',#161160,#109505,#109506); #94704=AXIS2_PLACEMENT_3D('',#161225,#109507,#109508); #94705=AXIS2_PLACEMENT_3D('',#161233,#109509,#109510); #94706=AXIS2_PLACEMENT_3D('',#161633,#109516,#109517); #94707=AXIS2_PLACEMENT_3D('',#161659,#109518,#109519); #94708=AXIS2_PLACEMENT_3D('',#161685,#109520,#109521); #94709=AXIS2_PLACEMENT_3D('',#161740,#109522,#109523); #94710=AXIS2_PLACEMENT_3D('',#161767,#109524,#109525); #94711=AXIS2_PLACEMENT_3D('',#161785,#109526,#109527); #94712=AXIS2_PLACEMENT_3D('',#161815,#109528,#109529); #94713=AXIS2_PLACEMENT_3D('',#161843,#109530,#109531); #94714=AXIS2_PLACEMENT_3D('',#161874,#109532,#109533); #94715=AXIS2_PLACEMENT_3D('',#161898,#109534,#109535); #94716=AXIS2_PLACEMENT_3D('',#161920,#109536,#109537); #94717=AXIS2_PLACEMENT_3D('',#161942,#109538,#109539); #94718=AXIS2_PLACEMENT_3D('',#161964,#109540,#109541); #94719=AXIS2_PLACEMENT_3D('',#161994,#109542,#109543); #94720=AXIS2_PLACEMENT_3D('',#162022,#109544,#109545); #94721=AXIS2_PLACEMENT_3D('',#162053,#109546,#109547); #94722=AXIS2_PLACEMENT_3D('',#162077,#109548,#109549); #94723=AXIS2_PLACEMENT_3D('',#162099,#109550,#109551); #94724=AXIS2_PLACEMENT_3D('',#162155,#109552,#109553); #94725=AXIS2_PLACEMENT_3D('',#162187,#109554,#109555); #94726=AXIS2_PLACEMENT_3D('',#162246,#109556,#109557); #94727=AXIS2_PLACEMENT_3D('',#162289,#109560,#109561); #94728=AXIS2_PLACEMENT_3D('',#162320,#109562,#109563); #94729=AXIS2_PLACEMENT_3D('',#162353,#109565,#109566); #94730=AXIS2_PLACEMENT_3D('',#162354,#109567,#109568); #94731=AXIS2_PLACEMENT_3D('',#162355,#109569,#109570); #94732=AXIS2_PLACEMENT_3D('',#162361,#109574,#109575); #94733=AXIS2_PLACEMENT_3D('',#162364,#109577,#109578); #94734=AXIS2_PLACEMENT_3D('',#162365,#109579,#109580); #94735=AXIS2_PLACEMENT_3D('',#162371,#109584,#109585); #94736=AXIS2_PLACEMENT_3D('',#162373,#109586,#109587); #94737=AXIS2_PLACEMENT_3D('',#162376,#109589,#109590); #94738=AXIS2_PLACEMENT_3D('',#162377,#109591,#109592); #94739=AXIS2_PLACEMENT_3D('',#162381,#109595,#109596); #94740=AXIS2_PLACEMENT_3D('',#162383,#109597,#109598); #94741=AXIS2_PLACEMENT_3D('',#162385,#109600,#109601); #94742=AXIS2_PLACEMENT_3D('',#162391,#109605,#109606); #94743=AXIS2_PLACEMENT_3D('',#162395,#109609,#109610); #94744=AXIS2_PLACEMENT_3D('',#162396,#109611,#109612); #94745=AXIS2_PLACEMENT_3D('',#162397,#109613,#109614); #94746=AXIS2_PLACEMENT_3D('',#162401,#109617,#109618); #94747=AXIS2_PLACEMENT_3D('',#162402,#109619,#109620); #94748=AXIS2_PLACEMENT_3D('',#162403,#109621,#109622); #94749=AXIS2_PLACEMENT_3D('',#162405,#109624,#109625); #94750=AXIS2_PLACEMENT_3D('',#162406,#109626,#109627); #94751=AXIS2_PLACEMENT_3D('',#162407,#109628,#109629); #94752=AXIS2_PLACEMENT_3D('',#162410,#109631,#109632); #94753=AXIS2_PLACEMENT_3D('',#162411,#109633,#109634); #94754=AXIS2_PLACEMENT_3D('',#162413,#109635,#109636); #94755=AXIS2_PLACEMENT_3D('',#162414,#109637,#109638); #94756=AXIS2_PLACEMENT_3D('',#162415,#109639,#109640); #94757=AXIS2_PLACEMENT_3D('',#162417,#109641,#109642); #94758=AXIS2_PLACEMENT_3D('',#162418,#109643,#109644); #94759=AXIS2_PLACEMENT_3D('',#162419,#109645,#109646); #94760=AXIS2_PLACEMENT_3D('',#162421,#109647,#109648); #94761=AXIS2_PLACEMENT_3D('',#162422,#109649,#109650); #94762=AXIS2_PLACEMENT_3D('',#162423,#109651,#109652); #94763=AXIS2_PLACEMENT_3D('',#162425,#109654,#109655); #94764=AXIS2_PLACEMENT_3D('',#162427,#109657,#109658); #94765=AXIS2_PLACEMENT_3D('',#162429,#109660,#109661); #94766=AXIS2_PLACEMENT_3D('',#162431,#109663,#109664); #94767=AXIS2_PLACEMENT_3D('',#162433,#109666,#109667); #94768=AXIS2_PLACEMENT_3D('',#162434,#109668,#109669); #94769=AXIS2_PLACEMENT_3D('',#162481,#109670,#109671); #94770=AXIS2_PLACEMENT_3D('',#162487,#109672,#109673); #94771=AXIS2_PLACEMENT_3D('',#162513,#109674,#109675); #94772=AXIS2_PLACEMENT_3D('',#162540,#109676,#109677); #94773=AXIS2_PLACEMENT_3D('',#162707,#109678,#109679); #94774=AXIS2_PLACEMENT_3D('',#163114,#109680,#109681); #94775=AXIS2_PLACEMENT_3D('',#163275,#109682,#109683); #94776=AXIS2_PLACEMENT_3D('',#163277,#109685,#109686); #94777=AXIS2_PLACEMENT_3D('',#163279,#109688,#109689); #94778=AXIS2_PLACEMENT_3D('',#163280,#109690,#109691); #94779=AXIS2_PLACEMENT_3D('',#163282,#109693,#109694); #94780=AXIS2_PLACEMENT_3D('',#163284,#109696,#109697); #94781=AXIS2_PLACEMENT_3D('',#163285,#109698,#109699); #94782=AXIS2_PLACEMENT_3D('',#163286,#109700,#109701); #94783=AXIS2_PLACEMENT_3D('',#163288,#109703,#109704); #94784=AXIS2_PLACEMENT_3D('',#163290,#109706,#109707); #94785=AXIS2_PLACEMENT_3D('',#163384,#109709,#109710); #94786=AXIS2_PLACEMENT_3D('',#163387,#109711,#109712); #94787=AXIS2_PLACEMENT_3D('',#163393,#109713,#109714); #94788=AXIS2_PLACEMENT_3D('',#163397,#109715,#109716); #94789=AXIS2_PLACEMENT_3D('',#163399,#109717,#109718); #94790=AXIS2_PLACEMENT_3D('',#163405,#109719,#109720); #94791=AXIS2_PLACEMENT_3D('',#163409,#109721,#109722); #94792=AXIS2_PLACEMENT_3D('',#163411,#109723,#109724); #94793=AXIS2_PLACEMENT_3D('',#163417,#109725,#109726); #94794=AXIS2_PLACEMENT_3D('',#163421,#109727,#109728); #94795=AXIS2_PLACEMENT_3D('',#163423,#109729,#109730); #94796=AXIS2_PLACEMENT_3D('',#163429,#109731,#109732); #94797=AXIS2_PLACEMENT_3D('',#163433,#109733,#109734); #94798=AXIS2_PLACEMENT_3D('',#163435,#109735,#109736); #94799=AXIS2_PLACEMENT_3D('',#163441,#109737,#109738); #94800=AXIS2_PLACEMENT_3D('',#163445,#109739,#109740); #94801=AXIS2_PLACEMENT_3D('',#163447,#109741,#109742); #94802=AXIS2_PLACEMENT_3D('',#163453,#109743,#109744); #94803=AXIS2_PLACEMENT_3D('',#163457,#109745,#109746); #94804=AXIS2_PLACEMENT_3D('',#163459,#109747,#109748); #94805=AXIS2_PLACEMENT_3D('',#163465,#109749,#109750); #94806=AXIS2_PLACEMENT_3D('',#163469,#109751,#109752); #94807=AXIS2_PLACEMENT_3D('',#163475,#109753,#109754); #94808=AXIS2_PLACEMENT_3D('',#163479,#109755,#109756); #94809=AXIS2_PLACEMENT_3D('',#163480,#109757,#109758); #94810=AXIS2_PLACEMENT_3D('',#163483,#109759,#109760); #94811=AXIS2_PLACEMENT_3D('',#163489,#109761,#109762); #94812=AXIS2_PLACEMENT_3D('',#163493,#109763,#109764); #94813=AXIS2_PLACEMENT_3D('',#163495,#109765,#109766); #94814=AXIS2_PLACEMENT_3D('',#163501,#109767,#109768); #94815=AXIS2_PLACEMENT_3D('',#163505,#109769,#109770); #94816=AXIS2_PLACEMENT_3D('',#163507,#109771,#109772); #94817=AXIS2_PLACEMENT_3D('',#163513,#109773,#109774); #94818=AXIS2_PLACEMENT_3D('',#163517,#109775,#109776); #94819=AXIS2_PLACEMENT_3D('',#163519,#109777,#109778); #94820=AXIS2_PLACEMENT_3D('',#163525,#109779,#109780); #94821=AXIS2_PLACEMENT_3D('',#163529,#109781,#109782); #94822=AXIS2_PLACEMENT_3D('',#163531,#109783,#109784); #94823=AXIS2_PLACEMENT_3D('',#163537,#109785,#109786); #94824=AXIS2_PLACEMENT_3D('',#163541,#109787,#109788); #94825=AXIS2_PLACEMENT_3D('',#163543,#109789,#109790); #94826=AXIS2_PLACEMENT_3D('',#163549,#109791,#109792); #94827=AXIS2_PLACEMENT_3D('',#163553,#109793,#109794); #94828=AXIS2_PLACEMENT_3D('',#163555,#109795,#109796); #94829=AXIS2_PLACEMENT_3D('',#163561,#109797,#109798); #94830=AXIS2_PLACEMENT_3D('',#163565,#109799,#109800); #94831=AXIS2_PLACEMENT_3D('',#163568,#109801,#109802); #94832=AXIS2_PLACEMENT_3D('',#163572,#109803,#109804); #94833=AXIS2_PLACEMENT_3D('',#163576,#109805,#109806); #94834=AXIS2_PLACEMENT_3D('',#163579,#109809,#109810); #94835=AXIS2_PLACEMENT_3D('',#163581,#109812,#109813); #94836=AXIS2_PLACEMENT_3D('',#163583,#109815,#109816); #94837=AXIS2_PLACEMENT_3D('',#163585,#109818,#109819); #94838=AXIS2_PLACEMENT_3D('',#163587,#109821,#109822); #94839=AXIS2_PLACEMENT_3D('',#163590,#109825,#109826); #94840=AXIS2_PLACEMENT_3D('',#163592,#109828,#109829); #94841=AXIS2_PLACEMENT_3D('',#163594,#109831,#109832); #94842=AXIS2_PLACEMENT_3D('',#163595,#109833,#109834); #94843=AXIS2_PLACEMENT_3D('',#163597,#109836,#109837); #94844=AXIS2_PLACEMENT_3D('',#163599,#109839,#109840); #94845=AXIS2_PLACEMENT_3D('',#163601,#109842,#109843); #94846=AXIS2_PLACEMENT_3D('',#163603,#109845,#109846); #94847=AXIS2_PLACEMENT_3D('',#163605,#109848,#109849); #94848=AXIS2_PLACEMENT_3D('',#163607,#109851,#109852); #94849=AXIS2_PLACEMENT_3D('',#163608,#109853,#109854); #94850=AXIS2_PLACEMENT_3D('',#163609,#109855,#109856); #94851=AXIS2_PLACEMENT_3D('',#163610,#109857,#109858); #94852=AXIS2_PLACEMENT_3D('',#163619,#109863,#109864); #94853=AXIS2_PLACEMENT_3D('',#163625,#109868,#109869); #94854=AXIS2_PLACEMENT_3D('',#163631,#109873,#109874); #94855=AXIS2_PLACEMENT_3D('',#163634,#109877,#109878); #94856=AXIS2_PLACEMENT_3D('',#163635,#109879,#109880); #94857=AXIS2_PLACEMENT_3D('',#163644,#109885,#109886); #94858=AXIS2_PLACEMENT_3D('',#163650,#109890,#109891); #94859=AXIS2_PLACEMENT_3D('',#163656,#109895,#109896); #94860=AXIS2_PLACEMENT_3D('',#163659,#109899,#109900); #94861=AXIS2_PLACEMENT_3D('',#163660,#109901,#109902); #94862=AXIS2_PLACEMENT_3D('',#163669,#109907,#109908); #94863=AXIS2_PLACEMENT_3D('',#163675,#109912,#109913); #94864=AXIS2_PLACEMENT_3D('',#163681,#109917,#109918); #94865=AXIS2_PLACEMENT_3D('',#163684,#109921,#109922); #94866=AXIS2_PLACEMENT_3D('',#163685,#109923,#109924); #94867=AXIS2_PLACEMENT_3D('',#163688,#109925,#109926); #94868=AXIS2_PLACEMENT_3D('',#163694,#109927,#109928); #94869=AXIS2_PLACEMENT_3D('',#163698,#109929,#109930); #94870=AXIS2_PLACEMENT_3D('',#163700,#109931,#109932); #94871=AXIS2_PLACEMENT_3D('',#163706,#109933,#109934); #94872=AXIS2_PLACEMENT_3D('',#163710,#109935,#109936); #94873=AXIS2_PLACEMENT_3D('',#163712,#109937,#109938); #94874=AXIS2_PLACEMENT_3D('',#163718,#109939,#109940); #94875=AXIS2_PLACEMENT_3D('',#163722,#109941,#109942); #94876=AXIS2_PLACEMENT_3D('',#163724,#109943,#109944); #94877=AXIS2_PLACEMENT_3D('',#163730,#109945,#109946); #94878=AXIS2_PLACEMENT_3D('',#163734,#109947,#109948); #94879=AXIS2_PLACEMENT_3D('',#163736,#109949,#109950); #94880=AXIS2_PLACEMENT_3D('',#163742,#109951,#109952); #94881=AXIS2_PLACEMENT_3D('',#163746,#109953,#109954); #94882=AXIS2_PLACEMENT_3D('',#163748,#109955,#109956); #94883=AXIS2_PLACEMENT_3D('',#163754,#109957,#109958); #94884=AXIS2_PLACEMENT_3D('',#163758,#109959,#109960); #94885=AXIS2_PLACEMENT_3D('',#163760,#109961,#109962); #94886=AXIS2_PLACEMENT_3D('',#163766,#109963,#109964); #94887=AXIS2_PLACEMENT_3D('',#163770,#109965,#109966); #94888=AXIS2_PLACEMENT_3D('',#163773,#109967,#109968); #94889=AXIS2_PLACEMENT_3D('',#163777,#109969,#109970); #94890=AXIS2_PLACEMENT_3D('',#163781,#109971,#109972); #94891=AXIS2_PLACEMENT_3D('',#163784,#109973,#109974); #94892=AXIS2_PLACEMENT_3D('',#163790,#109975,#109976); #94893=AXIS2_PLACEMENT_3D('',#163794,#109977,#109978); #94894=AXIS2_PLACEMENT_3D('',#163796,#109979,#109980); #94895=AXIS2_PLACEMENT_3D('',#163802,#109981,#109982); #94896=AXIS2_PLACEMENT_3D('',#163806,#109983,#109984); #94897=AXIS2_PLACEMENT_3D('',#163808,#109985,#109986); #94898=AXIS2_PLACEMENT_3D('',#163814,#109987,#109988); #94899=AXIS2_PLACEMENT_3D('',#163818,#109989,#109990); #94900=AXIS2_PLACEMENT_3D('',#163820,#109991,#109992); #94901=AXIS2_PLACEMENT_3D('',#163826,#109993,#109994); #94902=AXIS2_PLACEMENT_3D('',#163830,#109995,#109996); #94903=AXIS2_PLACEMENT_3D('',#163832,#109997,#109998); #94904=AXIS2_PLACEMENT_3D('',#163838,#109999,#110000); #94905=AXIS2_PLACEMENT_3D('',#163842,#110001,#110002); #94906=AXIS2_PLACEMENT_3D('',#163844,#110003,#110004); #94907=AXIS2_PLACEMENT_3D('',#163850,#110005,#110006); #94908=AXIS2_PLACEMENT_3D('',#163854,#110007,#110008); #94909=AXIS2_PLACEMENT_3D('',#163856,#110009,#110010); #94910=AXIS2_PLACEMENT_3D('',#163862,#110011,#110012); #94911=AXIS2_PLACEMENT_3D('',#163866,#110013,#110014); #94912=AXIS2_PLACEMENT_3D('',#163872,#110015,#110016); #94913=AXIS2_PLACEMENT_3D('',#163876,#110017,#110018); #94914=AXIS2_PLACEMENT_3D('',#163877,#110019,#110020); #94915=AXIS2_PLACEMENT_3D('',#163880,#110023,#110024); #94916=AXIS2_PLACEMENT_3D('',#163882,#110026,#110027); #94917=AXIS2_PLACEMENT_3D('',#163885,#110030,#110031); #94918=AXIS2_PLACEMENT_3D('',#163886,#110032,#110033); #94919=AXIS2_PLACEMENT_3D('',#163889,#110036,#110037); #94920=AXIS2_PLACEMENT_3D('',#163891,#110039,#110040); #94921=AXIS2_PLACEMENT_3D('',#163892,#110041,#110042); #94922=AXIS2_PLACEMENT_3D('',#163894,#110044,#110045); #94923=AXIS2_PLACEMENT_3D('',#163896,#110047,#110048); #94924=AXIS2_PLACEMENT_3D('',#163898,#110050,#110051); #94925=AXIS2_PLACEMENT_3D('',#163900,#110053,#110054); #94926=AXIS2_PLACEMENT_3D('',#163902,#110056,#110057); #94927=AXIS2_PLACEMENT_3D('',#163904,#110059,#110060); #94928=AXIS2_PLACEMENT_3D('',#163906,#110062,#110063); #94929=AXIS2_PLACEMENT_3D('',#163908,#110065,#110066); #94930=AXIS2_PLACEMENT_3D('',#163909,#110067,#110068); #94931=AXIS2_PLACEMENT_3D('',#163910,#110069,#110070); #94932=AXIS2_PLACEMENT_3D('',#163911,#110071,#110072); #94933=AXIS2_PLACEMENT_3D('',#163912,#110073,#110074); #94934=AXIS2_PLACEMENT_3D('',#163914,#110076,#110077); #94935=AXIS2_PLACEMENT_3D('',#163915,#110078,#110079); #94936=AXIS2_PLACEMENT_3D('',#163916,#110080,#110081); #94937=AXIS2_PLACEMENT_3D('',#163917,#110082,#110083); #94938=AXIS2_PLACEMENT_3D('',#163936,#110085,#110086); #94939=AXIS2_PLACEMENT_3D('',#163948,#110087,#110088); #94940=AXIS2_PLACEMENT_3D('',#163986,#110090,#110091); #94941=AXIS2_PLACEMENT_3D('',#164024,#110093,#110094); #94942=AXIS2_PLACEMENT_3D('',#164062,#110096,#110097); #94943=AXIS2_PLACEMENT_3D('',#164100,#110099,#110100); #94944=AXIS2_PLACEMENT_3D('',#164138,#110102,#110103); #94945=AXIS2_PLACEMENT_3D('',#164176,#110105,#110106); #94946=AXIS2_PLACEMENT_3D('',#164214,#110108,#110109); #94947=AXIS2_PLACEMENT_3D('',#164252,#110111,#110112); #94948=AXIS2_PLACEMENT_3D('',#164290,#110114,#110115); #94949=AXIS2_PLACEMENT_3D('',#164328,#110117,#110118); #94950=AXIS2_PLACEMENT_3D('',#164366,#110120,#110121); #94951=AXIS2_PLACEMENT_3D('',#164404,#110123,#110124); #94952=AXIS2_PLACEMENT_3D('',#164442,#110126,#110127); #94953=AXIS2_PLACEMENT_3D('',#164480,#110129,#110130); #94954=AXIS2_PLACEMENT_3D('',#164518,#110132,#110133); #94955=AXIS2_PLACEMENT_3D('',#164556,#110135,#110136); #94956=AXIS2_PLACEMENT_3D('',#164594,#110138,#110139); #94957=AXIS2_PLACEMENT_3D('',#164632,#110141,#110142); #94958=AXIS2_PLACEMENT_3D('',#164670,#110144,#110145); #94959=AXIS2_PLACEMENT_3D('',#164703,#110147,#110148); #94960=AXIS2_PLACEMENT_3D('',#164704,#110149,#110150); #94961=AXIS2_PLACEMENT_3D('',#164746,#110152,#110153); #94962=AXIS2_PLACEMENT_3D('',#164749,#110155,#110156); #94963=AXIS2_PLACEMENT_3D('',#164751,#110157,#110158); #94964=AXIS2_PLACEMENT_3D('',#164784,#110159,#110160); #94965=AXIS2_PLACEMENT_3D('',#164860,#110162,#110163); #94966=AXIS2_PLACEMENT_3D('',#164936,#110165,#110166); #94967=AXIS2_PLACEMENT_3D('',#165012,#110168,#110169); #94968=AXIS2_PLACEMENT_3D('',#165088,#110171,#110172); #94969=AXIS2_PLACEMENT_3D('',#165164,#110174,#110175); #94970=AXIS2_PLACEMENT_3D('',#165240,#110177,#110178); #94971=AXIS2_PLACEMENT_3D('',#165316,#110180,#110181); #94972=AXIS2_PLACEMENT_3D('',#165392,#110183,#110184); #94973=AXIS2_PLACEMENT_3D('',#165468,#110186,#110187); #94974=AXIS2_PLACEMENT_3D('',#165544,#110189,#110190); #94975=AXIS2_PLACEMENT_3D('',#165620,#110192,#110193); #94976=AXIS2_PLACEMENT_3D('',#165696,#110195,#110196); #94977=AXIS2_PLACEMENT_3D('',#165772,#110198,#110199); #94978=AXIS2_PLACEMENT_3D('',#165848,#110201,#110202); #94979=AXIS2_PLACEMENT_3D('',#165924,#110204,#110205); #94980=AXIS2_PLACEMENT_3D('',#166000,#110207,#110208); #94981=AXIS2_PLACEMENT_3D('',#166076,#110210,#110211); #94982=AXIS2_PLACEMENT_3D('',#166152,#110213,#110214); #94983=AXIS2_PLACEMENT_3D('',#166161,#110219,#110220); #94984=AXIS2_PLACEMENT_3D('',#166167,#110224,#110225); #94985=AXIS2_PLACEMENT_3D('',#166173,#110229,#110230); #94986=AXIS2_PLACEMENT_3D('',#166176,#110233,#110234); #94987=AXIS2_PLACEMENT_3D('',#166177,#110235,#110236); #94988=AXIS2_PLACEMENT_3D('',#166186,#110241,#110242); #94989=AXIS2_PLACEMENT_3D('',#166192,#110246,#110247); #94990=AXIS2_PLACEMENT_3D('',#166198,#110251,#110252); #94991=AXIS2_PLACEMENT_3D('',#166201,#110255,#110256); #94992=AXIS2_PLACEMENT_3D('',#166202,#110257,#110258); #94993=AXIS2_PLACEMENT_3D('',#166211,#110263,#110264); #94994=AXIS2_PLACEMENT_3D('',#166217,#110268,#110269); #94995=AXIS2_PLACEMENT_3D('',#166223,#110273,#110274); #94996=AXIS2_PLACEMENT_3D('',#166226,#110277,#110278); #94997=AXIS2_PLACEMENT_3D('',#166227,#110279,#110280); #94998=AXIS2_PLACEMENT_3D('',#166260,#110281,#110282); #94999=AXIS2_PLACEMENT_3D('',#166263,#110283,#110284); #95000=AXIS2_PLACEMENT_3D('',#166265,#110285,#110286); #95001=AXIS2_PLACEMENT_3D('',#166267,#110287,#110288); #95002=AXIS2_PLACEMENT_3D('',#166269,#110289,#110290); #95003=AXIS2_PLACEMENT_3D('',#166271,#110291,#110292); #95004=AXIS2_PLACEMENT_3D('',#166273,#110293,#110294); #95005=AXIS2_PLACEMENT_3D('',#166275,#110295,#110296); #95006=AXIS2_PLACEMENT_3D('',#166276,#110297,#110298); #95007=AXIS2_PLACEMENT_3D('',#166277,#110299,#110300); #95008=AXIS2_PLACEMENT_3D('',#166294,#110301,#110302); #95009=AXIS2_PLACEMENT_3D('',#166979,#110303,#110304); #95010=AXIS2_PLACEMENT_3D('',#166992,#110305,#110306); #95011=AXIS2_PLACEMENT_3D('',#167001,#110307,#110308); #95012=AXIS2_PLACEMENT_3D('',#167002,#110309,#110310); #95013=AXIS2_PLACEMENT_3D('',#167008,#110311,#110312); #95014=AXIS2_PLACEMENT_3D('',#167012,#110313,#110314); #95015=AXIS2_PLACEMENT_3D('',#167018,#110315,#110316); #95016=AXIS2_PLACEMENT_3D('',#167022,#110317,#110318); #95017=AXIS2_PLACEMENT_3D('',#167028,#110319,#110320); #95018=AXIS2_PLACEMENT_3D('',#167032,#110321,#110322); #95019=AXIS2_PLACEMENT_3D('',#167038,#110323,#110324); #95020=AXIS2_PLACEMENT_3D('',#167042,#110325,#110326); #95021=AXIS2_PLACEMENT_3D('',#167048,#110327,#110328); #95022=AXIS2_PLACEMENT_3D('',#167052,#110329,#110330); #95023=AXIS2_PLACEMENT_3D('',#167058,#110331,#110332); #95024=AXIS2_PLACEMENT_3D('',#167062,#110333,#110334); #95025=AXIS2_PLACEMENT_3D('',#167065,#110335,#110336); #95026=AXIS2_PLACEMENT_3D('',#167072,#110337,#110338); #95027=AXIS2_PLACEMENT_3D('',#167076,#110340,#110341); #95028=AXIS2_PLACEMENT_3D('',#167078,#110343,#110344); #95029=AXIS2_PLACEMENT_3D('',#167088,#110346,#110347); #95030=AXIS2_PLACEMENT_3D('',#167091,#110349,#110350); #95031=AXIS2_PLACEMENT_3D('',#167092,#110351,#110352); #95032=AXIS2_PLACEMENT_3D('',#167102,#110354,#110355); #95033=AXIS2_PLACEMENT_3D('',#167105,#110357,#110358); #95034=AXIS2_PLACEMENT_3D('',#167106,#110359,#110360); #95035=AXIS2_PLACEMENT_3D('',#167116,#110362,#110363); #95036=AXIS2_PLACEMENT_3D('',#167119,#110365,#110366); #95037=AXIS2_PLACEMENT_3D('',#167120,#110367,#110368); #95038=AXIS2_PLACEMENT_3D('',#167130,#110370,#110371); #95039=AXIS2_PLACEMENT_3D('',#167137,#110372,#110373); #95040=AXIS2_PLACEMENT_3D('',#167142,#110374,#110375); #95041=AXIS2_PLACEMENT_3D('',#167143,#110376,#110377); #95042=AXIS2_PLACEMENT_3D('',#167145,#110378,#110379); #95043=AXIS2_PLACEMENT_3D('',#167149,#110380,#110381); #95044=AXIS2_PLACEMENT_3D('',#167150,#110382,#110383); #95045=AXIS2_PLACEMENT_3D('',#167151,#110384,#110385); #95046=AXIS2_PLACEMENT_3D('',#167152,#110386,#110387); #95047=AXIS2_PLACEMENT_3D('',#167153,#110388,#110389); #95048=AXIS2_PLACEMENT_3D('',#167154,#110390,#110391); #95049=AXIS2_PLACEMENT_3D('',#167155,#110392,#110393); #95050=AXIS2_PLACEMENT_3D('',#167157,#110394,#110395); #95051=AXIS2_PLACEMENT_3D('',#167159,#110396,#110397); #95052=AXIS2_PLACEMENT_3D('',#167163,#110398,#110399); #95053=AXIS2_PLACEMENT_3D('',#167164,#110400,#110401); #95054=AXIS2_PLACEMENT_3D('',#167170,#110402,#110403); #95055=AXIS2_PLACEMENT_3D('',#167174,#110404,#110405); #95056=AXIS2_PLACEMENT_3D('',#167177,#110406,#110407); #95057=AXIS2_PLACEMENT_3D('',#167181,#110408,#110409); #95058=AXIS2_PLACEMENT_3D('',#167185,#110410,#110411); #95059=AXIS2_PLACEMENT_3D('',#167187,#110413,#110414); #95060=AXIS2_PLACEMENT_3D('',#167190,#110417,#110418); #95061=AXIS2_PLACEMENT_3D('',#167193,#110421,#110422); #95062=AXIS2_PLACEMENT_3D('',#167195,#110424,#110425); #95063=AXIS2_PLACEMENT_3D('',#167197,#110427,#110428); #95064=AXIS2_PLACEMENT_3D('',#167198,#110429,#110430); #95065=AXIS2_PLACEMENT_3D('',#167199,#110431,#110432); #95066=AXIS2_PLACEMENT_3D('',#167200,#110433,#110434); #95067=AXIS2_PLACEMENT_3D('',#167201,#110435,#110436); #95068=AXIS2_PLACEMENT_3D('',#167203,#110437,#110438); #95069=AXIS2_PLACEMENT_3D('',#167218,#110439,#110440); #95070=AXIS2_PLACEMENT_3D('',#167220,#110441,#110442); #95071=AXIS2_PLACEMENT_3D('',#167221,#110443,#110444); #95072=AXIS2_PLACEMENT_3D('',#167222,#110445,#110446); #95073=AXIS2_PLACEMENT_3D('',#167901,#110447,#110448); #95074=AXIS2_PLACEMENT_3D('',#167902,#110449,#110450); #95075=AXIS2_PLACEMENT_3D('',#167903,#110451,#110452); #95076=AXIS2_PLACEMENT_3D('',#167904,#110453,#110454); #95077=AXIS2_PLACEMENT_3D('',#167905,#110455,#110456); #95078=AXIS2_PLACEMENT_3D('',#167906,#110457,#110458); #95079=AXIS2_PLACEMENT_3D('',#167921,#110461,#110462); #95080=AXIS2_PLACEMENT_3D('',#167923,#110463,#110464); #95081=AXIS2_PLACEMENT_3D('',#167929,#110465,#110466); #95082=AXIS2_PLACEMENT_3D('',#167930,#110467,#110468); #95083=AXIS2_PLACEMENT_3D('',#167939,#110471,#110472); #95084=AXIS2_PLACEMENT_3D('',#167940,#110473,#110474); #95085=AXIS2_PLACEMENT_3D('',#167941,#110475,#110476); #95086=AXIS2_PLACEMENT_3D('',#167942,#110477,#110478); #95087=AXIS2_PLACEMENT_3D('',#167946,#110480,#110481); #95088=AXIS2_PLACEMENT_3D('',#167948,#110483,#110484); #95089=AXIS2_PLACEMENT_3D('',#167952,#110487,#110488); #95090=AXIS2_PLACEMENT_3D('',#167954,#110489,#110490); #95091=AXIS2_PLACEMENT_3D('',#167955,#110491,#110492); #95092=AXIS2_PLACEMENT_3D('',#167957,#110493,#110494); #95093=AXIS2_PLACEMENT_3D('',#167959,#110495,#110496); #95094=AXIS2_PLACEMENT_3D('',#167960,#110497,#110498); #95095=AXIS2_PLACEMENT_3D('',#167961,#110499,#110500); #95096=AXIS2_PLACEMENT_3D('',#167964,#110501,#110502); #95097=AXIS2_PLACEMENT_3D('',#167965,#110503,#110504); #95098=AXIS2_PLACEMENT_3D('',#167967,#110506,#110507); #95099=AXIS2_PLACEMENT_3D('',#167994,#110508,#110509); #95100=AXIS2_PLACEMENT_3D('',#168030,#110510,#110511); #95101=AXIS2_PLACEMENT_3D('',#168037,#110512,#110513); #95102=AXIS2_PLACEMENT_3D('',#168042,#110514,#110515); #95103=AXIS2_PLACEMENT_3D('',#168045,#110516,#110517); #95104=AXIS2_PLACEMENT_3D('',#168074,#110520,#110521); #95105=AXIS2_PLACEMENT_3D('',#168152,#110522,#110523); #95106=AXIS2_PLACEMENT_3D('',#168213,#110524,#110525); #95107=AXIS2_PLACEMENT_3D('',#168220,#110526,#110527); #95108=AXIS2_PLACEMENT_3D('',#168221,#110528,#110529); #95109=AXIS2_PLACEMENT_3D('',#168255,#110531,#110532); #95110=AXIS2_PLACEMENT_3D('',#168262,#110533,#110534); #95111=AXIS2_PLACEMENT_3D('',#168263,#110535,#110536); #95112=AXIS2_PLACEMENT_3D('',#168291,#110538,#110539); #95113=AXIS2_PLACEMENT_3D('',#168323,#110540,#110541); #95114=AXIS2_PLACEMENT_3D('',#168354,#110542,#110543); #95115=AXIS2_PLACEMENT_3D('',#168361,#110544,#110545); #95116=AXIS2_PLACEMENT_3D('',#168366,#110546,#110547); #95117=AXIS2_PLACEMENT_3D('',#168369,#110548,#110549); #95118=AXIS2_PLACEMENT_3D('',#168398,#110552,#110553); #95119=AXIS2_PLACEMENT_3D('',#168449,#110554,#110555); #95120=AXIS2_PLACEMENT_3D('',#168456,#110556,#110557); #95121=AXIS2_PLACEMENT_3D('',#168526,#110558,#110559); #95122=AXIS2_PLACEMENT_3D('',#168529,#110561,#110562); #95123=AXIS2_PLACEMENT_3D('',#168530,#110563,#110564); #95124=AXIS2_PLACEMENT_3D('',#168531,#110565,#110566); #95125=AXIS2_PLACEMENT_3D('',#168532,#110567,#110568); #95126=AXIS2_PLACEMENT_3D('',#168533,#110569,#110570); #95127=AXIS2_PLACEMENT_3D('',#168534,#110571,#110572); #95128=AXIS2_PLACEMENT_3D('',#168535,#110573,#110574); #95129=AXIS2_PLACEMENT_3D('',#168536,#110575,#110576); #95130=AXIS2_PLACEMENT_3D('',#168537,#110577,#110578); #95131=AXIS2_PLACEMENT_3D('',#168540,#110579,#110580); #95132=AXIS2_PLACEMENT_3D('',#168541,#110581,#110582); #95133=AXIS2_PLACEMENT_3D('',#168543,#110583,#110584); #95134=AXIS2_PLACEMENT_3D('',#168544,#110585,#110586); #95135=AXIS2_PLACEMENT_3D('',#168545,#110587,#110588); #95136=AXIS2_PLACEMENT_3D('',#168550,#110590,#110591); #95137=AXIS2_PLACEMENT_3D('',#168553,#110593,#110594); #95138=AXIS2_PLACEMENT_3D('',#168554,#110595,#110596); #95139=AXIS2_PLACEMENT_3D('',#168557,#110598,#110599); #95140=AXIS2_PLACEMENT_3D('',#168558,#110600,#110601); #95141=AXIS2_PLACEMENT_3D('',#168587,#110602,#110603); #95142=AXIS2_PLACEMENT_3D('',#168602,#110604,#110605); #95143=AXIS2_PLACEMENT_3D('',#168619,#110607,#110608); #95144=AXIS2_PLACEMENT_3D('',#168620,#110609,#110610); #95145=AXIS2_PLACEMENT_3D('',#168621,#110611,#110612); #95146=AXIS2_PLACEMENT_3D('',#168623,#110614,#110615); #95147=AXIS2_PLACEMENT_3D('',#168625,#110617,#110618); #95148=AXIS2_PLACEMENT_3D('',#168627,#110620,#110621); #95149=AXIS2_PLACEMENT_3D('',#168629,#110622,#110623); #95150=AXIS2_PLACEMENT_3D('',#168662,#110628,#110629); #95151=AXIS2_PLACEMENT_3D('',#168663,#110630,#110631); #95152=AXIS2_PLACEMENT_3D('',#168665,#110632,#110633); #95153=AXIS2_PLACEMENT_3D('',#168666,#110634,#110635); #95154=AXIS2_PLACEMENT_3D('',#168667,#110636,#110637); #95155=AXIS2_PLACEMENT_3D('',#168669,#110638,#110639); #95156=AXIS2_PLACEMENT_3D('',#168671,#110641,#110642); #95157=AXIS2_PLACEMENT_3D('',#168673,#110643,#110644); #95158=AXIS2_PLACEMENT_3D('',#168674,#110645,#110646); #95159=AXIS2_PLACEMENT_3D('',#168675,#110647,#110648); #95160=AXIS2_PLACEMENT_3D('',#168710,#110654,#110655); #95161=AXIS2_PLACEMENT_3D('',#168711,#110656,#110657); #95162=AXIS2_PLACEMENT_3D('',#168713,#110658,#110659); #95163=AXIS2_PLACEMENT_3D('',#168715,#110660,#110661); #95164=AXIS2_PLACEMENT_3D('',#168717,#110662,#110663); #95165=AXIS2_PLACEMENT_3D('',#168718,#110664,#110665); #95166=AXIS2_PLACEMENT_3D('',#168719,#110666,#110667); #95167=AXIS2_PLACEMENT_3D('',#168722,#110668,#110669); #95168=AXIS2_PLACEMENT_3D('',#168725,#110672,#110673); #95169=AXIS2_PLACEMENT_3D('',#168726,#110674,#110675); #95170=AXIS2_PLACEMENT_3D('',#168728,#110676,#110677); #95171=AXIS2_PLACEMENT_3D('',#168729,#110678,#110679); #95172=AXIS2_PLACEMENT_3D('',#168730,#110680,#110681); #95173=AXIS2_PLACEMENT_3D('',#168731,#110682,#110683); #95174=AXIS2_PLACEMENT_3D('',#168732,#110684,#110685); #95175=AXIS2_PLACEMENT_3D('',#168733,#110686,#110687); #95176=AXIS2_PLACEMENT_3D('',#168734,#110688,#110689); #95177=AXIS2_PLACEMENT_3D('',#168735,#110690,#110691); #95178=AXIS2_PLACEMENT_3D('',#168736,#110692,#110693); #95179=AXIS2_PLACEMENT_3D('',#168739,#110695,#110696); #95180=AXIS2_PLACEMENT_3D('',#168740,#110697,#110698); #95181=AXIS2_PLACEMENT_3D('',#168779,#110702,#110703); #95182=AXIS2_PLACEMENT_3D('',#168823,#110704,#110705); #95183=AXIS2_PLACEMENT_3D('',#168824,#110706,#110707); #95184=AXIS2_PLACEMENT_3D('',#168826,#110708,#110709); #95185=AXIS2_PLACEMENT_3D('',#168828,#110710,#110711); #95186=AXIS2_PLACEMENT_3D('',#168830,#110713,#110714); #95187=AXIS2_PLACEMENT_3D('',#168832,#110715,#110716); #95188=AXIS2_PLACEMENT_3D('',#168833,#110717,#110718); #95189=AXIS2_PLACEMENT_3D('',#168834,#110719,#110720); #95190=AXIS2_PLACEMENT_3D('',#168836,#110721,#110722); #95191=AXIS2_PLACEMENT_3D('',#168874,#110724,#110725); #95192=AXIS2_PLACEMENT_3D('',#168882,#110726,#110727); #95193=AXIS2_PLACEMENT_3D('',#168884,#110728,#110729); #95194=AXIS2_PLACEMENT_3D('',#168886,#110731,#110732); #95195=AXIS2_PLACEMENT_3D('',#168888,#110733,#110734); #95196=AXIS2_PLACEMENT_3D('',#168889,#110735,#110736); #95197=AXIS2_PLACEMENT_3D('',#168890,#110737,#110738); #95198=AXIS2_PLACEMENT_3D('',#168891,#110739,#110740); #95199=AXIS2_PLACEMENT_3D('',#168893,#110741,#110742); #95200=AXIS2_PLACEMENT_3D('',#168956,#110744,#110745); #95201=AXIS2_PLACEMENT_3D('',#168982,#110746,#110747); #95202=AXIS2_PLACEMENT_3D('',#169013,#110748,#110749); #95203=AXIS2_PLACEMENT_3D('',#169015,#110750,#110751); #95204=AXIS2_PLACEMENT_3D('',#169017,#110752,#110753); #95205=AXIS2_PLACEMENT_3D('',#169019,#110755,#110756); #95206=AXIS2_PLACEMENT_3D('',#169022,#110757,#110758); #95207=AXIS2_PLACEMENT_3D('',#169023,#110759,#110760); #95208=AXIS2_PLACEMENT_3D('',#169024,#110761,#110762); #95209=AXIS2_PLACEMENT_3D('',#169025,#110763,#110764); #95210=AXIS2_PLACEMENT_3D('',#169028,#110765,#110766); #95211=AXIS2_PLACEMENT_3D('',#169075,#110769,#110770); #95212=AXIS2_PLACEMENT_3D('',#169083,#110771,#110772); #95213=AXIS2_PLACEMENT_3D('',#169086,#110773,#110774); #95214=AXIS2_PLACEMENT_3D('',#169089,#110777,#110778); #95215=AXIS2_PLACEMENT_3D('',#169091,#110779,#110780); #95216=AXIS2_PLACEMENT_3D('',#169092,#110781,#110782); #95217=AXIS2_PLACEMENT_3D('',#169093,#110783,#110784); #95218=AXIS2_PLACEMENT_3D('',#169094,#110785,#110786); #95219=AXIS2_PLACEMENT_3D('',#169096,#110787,#110788); #95220=AXIS2_PLACEMENT_3D('',#169146,#110790,#110791); #95221=AXIS2_PLACEMENT_3D('',#169147,#110792,#110793); #95222=AXIS2_PLACEMENT_3D('',#169179,#110794,#110795); #95223=AXIS2_PLACEMENT_3D('',#169180,#110796,#110797); #95224=AXIS2_PLACEMENT_3D('',#169181,#110798,#110799); #95225=AXIS2_PLACEMENT_3D('',#169182,#110800,#110801); #95226=AXIS2_PLACEMENT_3D('',#169184,#110803,#110804); #95227=AXIS2_PLACEMENT_3D('',#169186,#110806,#110807); #95228=AXIS2_PLACEMENT_3D('',#169188,#110808,#110809); #95229=AXIS2_PLACEMENT_3D('',#169189,#110810,#110811); #95230=AXIS2_PLACEMENT_3D('',#169190,#110812,#110813); #95231=AXIS2_PLACEMENT_3D('',#169191,#110814,#110815); #95232=AXIS2_PLACEMENT_3D('',#169223,#110816,#110817); #95233=AXIS2_PLACEMENT_3D('',#169224,#110818,#110819); #95234=AXIS2_PLACEMENT_3D('',#169225,#110820,#110821); #95235=AXIS2_PLACEMENT_3D('',#169227,#110822,#110823); #95236=AXIS2_PLACEMENT_3D('',#169228,#110824,#110825); #95237=AXIS2_PLACEMENT_3D('',#169301,#110826,#110827); #95238=AXIS2_PLACEMENT_3D('',#169302,#110828,#110829); #95239=AXIS2_PLACEMENT_3D('',#169303,#110830,#110831); #95240=AXIS2_PLACEMENT_3D('',#169306,#110833,#110834); #95241=AXIS2_PLACEMENT_3D('',#169307,#110835,#110836); #95242=AXIS2_PLACEMENT_3D('',#169310,#110838,#110839); #95243=AXIS2_PLACEMENT_3D('',#169311,#110840,#110841); #95244=AXIS2_PLACEMENT_3D('',#169313,#110843,#110844); #95245=AXIS2_PLACEMENT_3D('',#169315,#110845,#110846); #95246=AXIS2_PLACEMENT_3D('',#169317,#110847,#110848); #95247=AXIS2_PLACEMENT_3D('',#169319,#110849,#110850); #95248=AXIS2_PLACEMENT_3D('',#169320,#110851,#110852); #95249=AXIS2_PLACEMENT_3D('',#169321,#110853,#110854); #95250=AXIS2_PLACEMENT_3D('',#169324,#110855,#110856); #95251=AXIS2_PLACEMENT_3D('',#169326,#110858,#110859); #95252=AXIS2_PLACEMENT_3D('',#169327,#110860,#110861); #95253=AXIS2_PLACEMENT_3D('',#169329,#110862,#110863); #95254=AXIS2_PLACEMENT_3D('',#169330,#110864,#110865); #95255=AXIS2_PLACEMENT_3D('',#169331,#110866,#110867); #95256=AXIS2_PLACEMENT_3D('',#169332,#110868,#110869); #95257=AXIS2_PLACEMENT_3D('',#169334,#110870,#110871); #95258=AXIS2_PLACEMENT_3D('',#169335,#110872,#110873); #95259=AXIS2_PLACEMENT_3D('',#169337,#110875,#110876); #95260=AXIS2_PLACEMENT_3D('',#169339,#110878,#110879); #95261=AXIS2_PLACEMENT_3D('',#169340,#110880,#110881); #95262=AXIS2_PLACEMENT_3D('',#169341,#110882,#110883); #95263=AXIS2_PLACEMENT_3D('',#169342,#110884,#110885); #95264=AXIS2_PLACEMENT_3D('',#169365,#110886,#110887); #95265=AXIS2_PLACEMENT_3D('',#169372,#110888,#110889); #95266=AXIS2_PLACEMENT_3D('',#169409,#110890,#110891); #95267=AXIS2_PLACEMENT_3D('',#169441,#110892,#110893); #95268=AXIS2_PLACEMENT_3D('',#169472,#110894,#110895); #95269=AXIS2_PLACEMENT_3D('',#169499,#110896,#110897); #95270=AXIS2_PLACEMENT_3D('',#169506,#110898,#110899); #95271=AXIS2_PLACEMENT_3D('',#169507,#110900,#110901); #95272=AXIS2_PLACEMENT_3D('',#169540,#110902,#110903); #95273=AXIS2_PLACEMENT_3D('',#169546,#110904,#110905); #95274=AXIS2_PLACEMENT_3D('',#169549,#110906,#110907); #95275=AXIS2_PLACEMENT_3D('',#169550,#110908,#110909); #95276=AXIS2_PLACEMENT_3D('',#169551,#110910,#110911); #95277=AXIS2_PLACEMENT_3D('',#169578,#110912,#110913); #95278=AXIS2_PLACEMENT_3D('',#169613,#110914,#110915); #95279=AXIS2_PLACEMENT_3D('',#169649,#110916,#110917); #95280=AXIS2_PLACEMENT_3D('',#169657,#110918,#110919); #95281=AXIS2_PLACEMENT_3D('',#169685,#110920,#110921); #95282=AXIS2_PLACEMENT_3D('',#169686,#110922,#110923); #95283=AXIS2_PLACEMENT_3D('',#169687,#110924,#110925); #95284=AXIS2_PLACEMENT_3D('',#169710,#110926,#110927); #95285=AXIS2_PLACEMENT_3D('',#169711,#110928,#110929); #95286=AXIS2_PLACEMENT_3D('',#169712,#110930,#110931); #95287=AXIS2_PLACEMENT_3D('',#169735,#110932,#110933); #95288=AXIS2_PLACEMENT_3D('',#169737,#110934,#110935); #95289=AXIS2_PLACEMENT_3D('',#169739,#110936,#110937); #95290=AXIS2_PLACEMENT_3D('',#169740,#110938,#110939); #95291=AXIS2_PLACEMENT_3D('',#169768,#110940,#110941); #95292=AXIS2_PLACEMENT_3D('',#169805,#110942,#110943); #95293=AXIS2_PLACEMENT_3D('',#169840,#110944,#110945); #95294=AXIS2_PLACEMENT_3D('',#169849,#110946,#110947); #95295=AXIS2_PLACEMENT_3D('',#169852,#110948,#110949); #95296=AXIS2_PLACEMENT_3D('',#169854,#110950,#110951); #95297=AXIS2_PLACEMENT_3D('',#169856,#110952,#110953); #95298=AXIS2_PLACEMENT_3D('',#169857,#110954,#110955); #95299=AXIS2_PLACEMENT_3D('',#169890,#110956,#110957); #95300=AXIS2_PLACEMENT_3D('',#169918,#110958,#110959); #95301=AXIS2_PLACEMENT_3D('',#169923,#110960,#110961); #95302=AXIS2_PLACEMENT_3D('',#169946,#110962,#110963); #95303=AXIS2_PLACEMENT_3D('',#169953,#110964,#110965); #95304=AXIS2_PLACEMENT_3D('',#169990,#110966,#110967); #95305=AXIS2_PLACEMENT_3D('',#170022,#110968,#110969); #95306=AXIS2_PLACEMENT_3D('',#170053,#110970,#110971); #95307=AXIS2_PLACEMENT_3D('',#170080,#110972,#110973); #95308=AXIS2_PLACEMENT_3D('',#170087,#110974,#110975); #95309=AXIS2_PLACEMENT_3D('',#170124,#110976,#110977); #95310=AXIS2_PLACEMENT_3D('',#170159,#110978,#110979); #95311=AXIS2_PLACEMENT_3D('',#170195,#110980,#110981); #95312=AXIS2_PLACEMENT_3D('',#170206,#110982,#110983); #95313=AXIS2_PLACEMENT_3D('',#170207,#110984,#110985); #95314=AXIS2_PLACEMENT_3D('',#170208,#110986,#110987); #95315=AXIS2_PLACEMENT_3D('',#170231,#110988,#110989); #95316=AXIS2_PLACEMENT_3D('',#170272,#110990,#110991); #95317=AXIS2_PLACEMENT_3D('',#170332,#110992,#110993); #95318=AXIS2_PLACEMENT_3D('',#170395,#110994,#110995); #95319=AXIS2_PLACEMENT_3D('',#170396,#110996,#110997); #95320=AXIS2_PLACEMENT_3D('',#170397,#110998,#110999); #95321=AXIS2_PLACEMENT_3D('',#170398,#111000,#111001); #95322=AXIS2_PLACEMENT_3D('',#170427,#111004,#111005); #95323=AXIS2_PLACEMENT_3D('',#170494,#111006,#111007); #95324=AXIS2_PLACEMENT_3D('',#170556,#111008,#111009); #95325=AXIS2_PLACEMENT_3D('',#170565,#111010,#111011); #95326=AXIS2_PLACEMENT_3D('',#170568,#111012,#111013); #95327=AXIS2_PLACEMENT_3D('',#170569,#111014,#111015); #95328=AXIS2_PLACEMENT_3D('',#170570,#111016,#111017); #95329=AXIS2_PLACEMENT_3D('',#170606,#111018,#111019); #95330=AXIS2_PLACEMENT_3D('',#170615,#111022,#111023); #95331=AXIS2_PLACEMENT_3D('',#170618,#111025,#111026); #95332=AXIS2_PLACEMENT_3D('',#170619,#111027,#111028); #95333=AXIS2_PLACEMENT_3D('',#170630,#111030,#111031); #95334=AXIS2_PLACEMENT_3D('',#170673,#111032,#111033); #95335=AXIS2_PLACEMENT_3D('',#170680,#111034,#111035); #95336=AXIS2_PLACEMENT_3D('',#170707,#111036,#111037); #95337=AXIS2_PLACEMENT_3D('',#170734,#111038,#111039); #95338=AXIS2_PLACEMENT_3D('',#170760,#111040,#111041); #95339=AXIS2_PLACEMENT_3D('',#170796,#111042,#111043); #95340=AXIS2_PLACEMENT_3D('',#170834,#111044,#111045); #95341=AXIS2_PLACEMENT_3D('',#170862,#111046,#111047); #95342=AXIS2_PLACEMENT_3D('',#170867,#111048,#111049); #95343=AXIS2_PLACEMENT_3D('',#170868,#111050,#111051); #95344=AXIS2_PLACEMENT_3D('',#170905,#111052,#111053); #95345=AXIS2_PLACEMENT_3D('',#170934,#111055,#111056); #95346=AXIS2_PLACEMENT_3D('',#170936,#111057,#111058); #95347=AXIS2_PLACEMENT_3D('',#170940,#111060,#111061); #95348=AXIS2_PLACEMENT_3D('',#170942,#111063,#111064); #95349=AXIS2_PLACEMENT_3D('',#170946,#111066,#111067); #95350=AXIS2_PLACEMENT_3D('',#170948,#111069,#111070); #95351=AXIS2_PLACEMENT_3D('',#170951,#111072,#111073); #95352=AXIS2_PLACEMENT_3D('',#170979,#111075,#111076); #95353=AXIS2_PLACEMENT_3D('',#170984,#111077,#111078); #95354=AXIS2_PLACEMENT_3D('',#170986,#111080,#111081); #95355=AXIS2_PLACEMENT_3D('',#170987,#111082,#111083); #95356=AXIS2_PLACEMENT_3D('',#170995,#111085,#111086); #95357=AXIS2_PLACEMENT_3D('',#170999,#111088,#111089); #95358=AXIS2_PLACEMENT_3D('',#171001,#111090,#111091); #95359=AXIS2_PLACEMENT_3D('',#171005,#111093,#111094); #95360=AXIS2_PLACEMENT_3D('',#171007,#111095,#111096); #95361=AXIS2_PLACEMENT_3D('',#171009,#111097,#111098); #95362=AXIS2_PLACEMENT_3D('',#171013,#111100,#111101); #95363=AXIS2_PLACEMENT_3D('',#171014,#111102,#111103); #95364=AXIS2_PLACEMENT_3D('',#171016,#111104,#111105); #95365=AXIS2_PLACEMENT_3D('',#171017,#111106,#111107); #95366=AXIS2_PLACEMENT_3D('',#171056,#111109,#111110); #95367=AXIS2_PLACEMENT_3D('',#171065,#111111,#111112); #95368=AXIS2_PLACEMENT_3D('',#171066,#111113,#111114); #95369=AXIS2_PLACEMENT_3D('',#171207,#111115,#111116); #95370=AXIS2_PLACEMENT_3D('',#171214,#111118,#111119); #95371=AXIS2_PLACEMENT_3D('',#171233,#111120,#111121); #95372=AXIS2_PLACEMENT_3D('',#171266,#111123,#111124); #95373=AXIS2_PLACEMENT_3D('',#171279,#111125,#111126); #95374=AXIS2_PLACEMENT_3D('',#171282,#111127,#111128); #95375=AXIS2_PLACEMENT_3D('',#171284,#111130,#111131); #95376=AXIS2_PLACEMENT_3D('',#171285,#111132,#111133); #95377=AXIS2_PLACEMENT_3D('',#171287,#111134,#111135); #95378=AXIS2_PLACEMENT_3D('',#171288,#111136,#111137); #95379=AXIS2_PLACEMENT_3D('',#171289,#111138,#111139); #95380=AXIS2_PLACEMENT_3D('',#171291,#111140,#111141); #95381=AXIS2_PLACEMENT_3D('',#171292,#111142,#111143); #95382=AXIS2_PLACEMENT_3D('',#171294,#111144,#111145); #95383=AXIS2_PLACEMENT_3D('',#171296,#111146,#111147); #95384=AXIS2_PLACEMENT_3D('',#171298,#111148,#111149); #95385=AXIS2_PLACEMENT_3D('',#171299,#111150,#111151); #95386=AXIS2_PLACEMENT_3D('',#171300,#111152,#111153); #95387=AXIS2_PLACEMENT_3D('',#171326,#111155,#111156); #95388=AXIS2_PLACEMENT_3D('',#171328,#111157,#111158); #95389=AXIS2_PLACEMENT_3D('',#171360,#111159,#111160); #95390=AXIS2_PLACEMENT_3D('',#171362,#111161,#111162); #95391=AXIS2_PLACEMENT_3D('',#171363,#111163,#111164); #95392=AXIS2_PLACEMENT_3D('',#171488,#111165,#111166); #95393=AXIS2_PLACEMENT_3D('',#171492,#111168,#111169); #95394=AXIS2_PLACEMENT_3D('',#171510,#111170,#111171); #95395=AXIS2_PLACEMENT_3D('',#171560,#111173,#111174); #95396=AXIS2_PLACEMENT_3D('',#171588,#111175,#111176); #95397=AXIS2_PLACEMENT_3D('',#171651,#111177,#111178); #95398=AXIS2_PLACEMENT_3D('',#171657,#111179,#111180); #95399=AXIS2_PLACEMENT_3D('',#171684,#111181,#111182); #95400=AXIS2_PLACEMENT_3D('',#171721,#111183,#111184); #95401=AXIS2_PLACEMENT_3D('',#171816,#111185,#111186); #95402=AXIS2_PLACEMENT_3D('',#171860,#111187,#111188); #95403=AXIS2_PLACEMENT_3D('',#171865,#111189,#111190); #95404=AXIS2_PLACEMENT_3D('',#171866,#111191,#111192); #95405=AXIS2_PLACEMENT_3D('',#171867,#111193,#111194); #95406=AXIS2_PLACEMENT_3D('',#171909,#111195,#111196); #95407=AXIS2_PLACEMENT_3D('',#171924,#111197,#111198); #95408=AXIS2_PLACEMENT_3D('',#172027,#111199,#111200); #95409=AXIS2_PLACEMENT_3D('',#172060,#111201,#111202); #95410=AXIS2_PLACEMENT_3D('',#172068,#111203,#111204); #95411=AXIS2_PLACEMENT_3D('',#172130,#111205,#111206); #95412=AXIS2_PLACEMENT_3D('',#172139,#111207,#111208); #95413=AXIS2_PLACEMENT_3D('',#172193,#111209,#111210); #95414=AXIS2_PLACEMENT_3D('',#172198,#111211,#111212); #95415=AXIS2_PLACEMENT_3D('',#172199,#111213,#111214); #95416=AXIS2_PLACEMENT_3D('',#172226,#111215,#111216); #95417=AXIS2_PLACEMENT_3D('',#172258,#111217,#111218); #95418=AXIS2_PLACEMENT_3D('',#172297,#111219,#111220); #95419=AXIS2_PLACEMENT_3D('',#172298,#111221,#111222); #95420=AXIS2_PLACEMENT_3D('',#172299,#111223,#111224); #95421=AXIS2_PLACEMENT_3D('',#172304,#111225,#111226); #95422=AXIS2_PLACEMENT_3D('',#172306,#111228,#111229); #95423=AXIS2_PLACEMENT_3D('',#172308,#111231,#111232); #95424=AXIS2_PLACEMENT_3D('',#172309,#111233,#111234); #95425=AXIS2_PLACEMENT_3D('',#172311,#111235,#111236); #95426=AXIS2_PLACEMENT_3D('',#172312,#111237,#111238); #95427=AXIS2_PLACEMENT_3D('',#172313,#111239,#111240); #95428=AXIS2_PLACEMENT_3D('',#172316,#111242,#111243); #95429=AXIS2_PLACEMENT_3D('',#172317,#111244,#111245); #95430=AXIS2_PLACEMENT_3D('',#172319,#111246,#111247); #95431=AXIS2_PLACEMENT_3D('',#172320,#111248,#111249); #95432=AXIS2_PLACEMENT_3D('',#172321,#111250,#111251); #95433=AXIS2_PLACEMENT_3D('',#172322,#111252,#111253); #95434=AXIS2_PLACEMENT_3D('',#172323,#111254,#111255); #95435=AXIS2_PLACEMENT_3D('',#172350,#111256,#111257); #95436=AXIS2_PLACEMENT_3D('',#172356,#111258,#111259); #95437=AXIS2_PLACEMENT_3D('',#172358,#111260,#111261); #95438=AXIS2_PLACEMENT_3D('',#172359,#111262,#111263); #95439=AXIS2_PLACEMENT_3D('',#172360,#111264,#111265); #95440=AXIS2_PLACEMENT_3D('',#172362,#111266,#111267); #95441=AXIS2_PLACEMENT_3D('',#172363,#111268,#111269); #95442=AXIS2_PLACEMENT_3D('',#172364,#111270,#111271); #95443=AXIS2_PLACEMENT_3D('',#172366,#111272,#111273); #95444=AXIS2_PLACEMENT_3D('',#172368,#111275,#111276); #95445=AXIS2_PLACEMENT_3D('',#172370,#111277,#111278); #95446=AXIS2_PLACEMENT_3D('',#172371,#111279,#111280); #95447=AXIS2_PLACEMENT_3D('',#172372,#111281,#111282); #95448=AXIS2_PLACEMENT_3D('',#172374,#111283,#111284); #95449=AXIS2_PLACEMENT_3D('',#172375,#111285,#111286); #95450=AXIS2_PLACEMENT_3D('',#172376,#111287,#111288); #95451=AXIS2_PLACEMENT_3D('',#172378,#111289,#111290); #95452=AXIS2_PLACEMENT_3D('',#172379,#111291,#111292); #95453=AXIS2_PLACEMENT_3D('',#172380,#111293,#111294); #95454=AXIS2_PLACEMENT_3D('',#172382,#111295,#111296); #95455=AXIS2_PLACEMENT_3D('',#172384,#111298,#111299); #95456=AXIS2_PLACEMENT_3D('',#172386,#111300,#111301); #95457=AXIS2_PLACEMENT_3D('',#172387,#111302,#111303); #95458=AXIS2_PLACEMENT_3D('',#172388,#111304,#111305); #95459=AXIS2_PLACEMENT_3D('',#172390,#111306,#111307); #95460=AXIS2_PLACEMENT_3D('',#172391,#111308,#111309); #95461=AXIS2_PLACEMENT_3D('',#172418,#111310,#111311); #95462=AXIS2_PLACEMENT_3D('',#172450,#111312,#111313); #95463=AXIS2_PLACEMENT_3D('',#172455,#111314,#111315); #95464=AXIS2_PLACEMENT_3D('',#172458,#111317,#111318); #95465=AXIS2_PLACEMENT_3D('',#172460,#111320,#111321); #95466=AXIS2_PLACEMENT_3D('',#172461,#111322,#111323); #95467=AXIS2_PLACEMENT_3D('',#172462,#111324,#111325); #95468=AXIS2_PLACEMENT_3D('',#172463,#111326,#111327); #95469=AXIS2_PLACEMENT_3D('',#172464,#111328,#111329); #95470=AXIS2_PLACEMENT_3D('',#172467,#111331,#111332); #95471=AXIS2_PLACEMENT_3D('',#172495,#111334,#111335); #95472=AXIS2_PLACEMENT_3D('',#172535,#111336,#111337); #95473=AXIS2_PLACEMENT_3D('',#172540,#111338,#111339); #95474=AXIS2_PLACEMENT_3D('',#172543,#111340,#111341); #95475=AXIS2_PLACEMENT_3D('',#172572,#111344,#111345); #95476=AXIS2_PLACEMENT_3D('',#172641,#111346,#111347); #95477=AXIS2_PLACEMENT_3D('',#172654,#111348,#111349); #95478=AXIS2_PLACEMENT_3D('',#172655,#111350,#111351); #95479=AXIS2_PLACEMENT_3D('',#172657,#111352,#111353); #95480=AXIS2_PLACEMENT_3D('',#172680,#111355,#111356); #95481=AXIS2_PLACEMENT_3D('',#172717,#111357,#111358); #95482=AXIS2_PLACEMENT_3D('',#172745,#111359,#111360); #95483=AXIS2_PLACEMENT_3D('',#172750,#111361,#111362); #95484=AXIS2_PLACEMENT_3D('',#172752,#111363,#111364); #95485=AXIS2_PLACEMENT_3D('',#172775,#111366,#111367); #95486=AXIS2_PLACEMENT_3D('',#172816,#111368,#111369); #95487=AXIS2_PLACEMENT_3D('',#172820,#111371,#111372); #95488=AXIS2_PLACEMENT_3D('',#172822,#111374,#111375); #95489=AXIS2_PLACEMENT_3D('',#172826,#111377,#111378); #95490=AXIS2_PLACEMENT_3D('',#172855,#111380,#111381); #95491=AXIS2_PLACEMENT_3D('',#172856,#111382,#111383); #95492=AXIS2_PLACEMENT_3D('',#172857,#111384,#111385); #95493=AXIS2_PLACEMENT_3D('',#172858,#111386,#111387); #95494=AXIS2_PLACEMENT_3D('',#172861,#111388,#111389); #95495=AXIS2_PLACEMENT_3D('',#172891,#111392,#111393); #95496=AXIS2_PLACEMENT_3D('',#172892,#111394,#111395); #95497=AXIS2_PLACEMENT_3D('',#172893,#111396,#111397); #95498=AXIS2_PLACEMENT_3D('',#172894,#111398,#111399); #95499=AXIS2_PLACEMENT_3D('',#172897,#111402,#111403); #95500=AXIS2_PLACEMENT_3D('',#172903,#111406,#111407); #95501=AXIS2_PLACEMENT_3D('',#172909,#111410,#111411); #95502=AXIS2_PLACEMENT_3D('',#172912,#111413,#111414); #95503=AXIS2_PLACEMENT_3D('',#172913,#111415,#111416); #95504=AXIS2_PLACEMENT_3D('',#172916,#111418,#111419); #95505=AXIS2_PLACEMENT_3D('',#172917,#111420,#111421); #95506=AXIS2_PLACEMENT_3D('',#172921,#111424,#111425); #95507=AXIS2_PLACEMENT_3D('',#172924,#111427,#111428); #95508=AXIS2_PLACEMENT_3D('',#172925,#111429,#111430); #95509=AXIS2_PLACEMENT_3D('',#172927,#111431,#111432); #95510=AXIS2_PLACEMENT_3D('',#172933,#111435,#111436); #95511=AXIS2_PLACEMENT_3D('',#172936,#111438,#111439); #95512=AXIS2_PLACEMENT_3D('',#172937,#111440,#111441); #95513=AXIS2_PLACEMENT_3D('',#172939,#111442,#111443); #95514=AXIS2_PLACEMENT_3D('',#172968,#111445,#111446); #95515=AXIS2_PLACEMENT_3D('',#172979,#111447,#111448); #95516=AXIS2_PLACEMENT_3D('',#172982,#111449,#111450); #95517=AXIS2_PLACEMENT_3D('',#173046,#111453,#111454); #95518=AXIS2_PLACEMENT_3D('',#173047,#111455,#111456); #95519=AXIS2_PLACEMENT_3D('',#173048,#111457,#111458); #95520=AXIS2_PLACEMENT_3D('',#173050,#111459,#111460); #95521=AXIS2_PLACEMENT_3D('',#173077,#111462,#111463); #95522=AXIS2_PLACEMENT_3D('',#173078,#111464,#111465); #95523=AXIS2_PLACEMENT_3D('',#173080,#111466,#111467); #95524=AXIS2_PLACEMENT_3D('',#173081,#111468,#111469); #95525=AXIS2_PLACEMENT_3D('',#173108,#111470,#111471); #95526=AXIS2_PLACEMENT_3D('',#173131,#111472,#111473); #95527=AXIS2_PLACEMENT_3D('',#173162,#111474,#111475); #95528=AXIS2_PLACEMENT_3D('',#173215,#111476,#111477); #95529=AXIS2_PLACEMENT_3D('',#173279,#111478,#111479); #95530=AXIS2_PLACEMENT_3D('',#173302,#111480,#111481); #95531=AXIS2_PLACEMENT_3D('',#173338,#111482,#111483); #95532=AXIS2_PLACEMENT_3D('',#173345,#111484,#111485); #95533=AXIS2_PLACEMENT_3D('',#173347,#111486,#111487); #95534=AXIS2_PLACEMENT_3D('',#173348,#111488,#111489); #95535=AXIS2_PLACEMENT_3D('',#173349,#111490,#111491); #95536=AXIS2_PLACEMENT_3D('',#173483,#111494,#111495); #95537=AXIS2_PLACEMENT_3D('',#173485,#111496,#111497); #95538=AXIS2_PLACEMENT_3D('',#173487,#111498,#111499); #95539=AXIS2_PLACEMENT_3D('',#173489,#111500,#111501); #95540=AXIS2_PLACEMENT_3D('',#173491,#111502,#111503); #95541=AXIS2_PLACEMENT_3D('',#173493,#111504,#111505); #95542=AXIS2_PLACEMENT_3D('',#173495,#111506,#111507); #95543=AXIS2_PLACEMENT_3D('',#173541,#111508,#111509); #95544=AXIS2_PLACEMENT_3D('',#173570,#111511,#111512); #95545=AXIS2_PLACEMENT_3D('',#173574,#111514,#111515); #95546=AXIS2_PLACEMENT_3D('',#173602,#111517,#111518); #95547=AXIS2_PLACEMENT_3D('',#173603,#111519,#111520); #95548=AXIS2_PLACEMENT_3D('',#173605,#111521,#111522); #95549=AXIS2_PLACEMENT_3D('',#173606,#111523,#111524); #95550=AXIS2_PLACEMENT_3D('',#173607,#111525,#111526); #95551=AXIS2_PLACEMENT_3D('',#173609,#111528,#111529); #95552=AXIS2_PLACEMENT_3D('',#173636,#111531,#111532); #95553=AXIS2_PLACEMENT_3D('',#173637,#111533,#111534); #95554=AXIS2_PLACEMENT_3D('',#173638,#111535,#111536); #95555=AXIS2_PLACEMENT_3D('',#173640,#111537,#111538); #95556=AXIS2_PLACEMENT_3D('',#173668,#111540,#111541); #95557=AXIS2_PLACEMENT_3D('',#173669,#111542,#111543); #95558=AXIS2_PLACEMENT_3D('',#173670,#111544,#111545); #95559=AXIS2_PLACEMENT_3D('',#173672,#111546,#111547); #95560=AXIS2_PLACEMENT_3D('',#173700,#111549,#111550); #95561=AXIS2_PLACEMENT_3D('',#173701,#111551,#111552); #95562=AXIS2_PLACEMENT_3D('',#173702,#111553,#111554); #95563=AXIS2_PLACEMENT_3D('',#173704,#111555,#111556); #95564=AXIS2_PLACEMENT_3D('',#173732,#111558,#111559); #95565=AXIS2_PLACEMENT_3D('',#173733,#111560,#111561); #95566=AXIS2_PLACEMENT_3D('',#173734,#111562,#111563); #95567=AXIS2_PLACEMENT_3D('',#173736,#111564,#111565); #95568=AXIS2_PLACEMENT_3D('',#173763,#111567,#111568); #95569=AXIS2_PLACEMENT_3D('',#173765,#111569,#111570); #95570=AXIS2_PLACEMENT_3D('',#173766,#111571,#111572); #95571=AXIS2_PLACEMENT_3D('',#173767,#111573,#111574); #95572=AXIS2_PLACEMENT_3D('',#173769,#111576,#111577); #95573=AXIS2_PLACEMENT_3D('',#173770,#111578,#111579); #95574=AXIS2_PLACEMENT_3D('',#173772,#111581,#111582); #95575=AXIS2_PLACEMENT_3D('',#173774,#111584,#111585); #95576=AXIS2_PLACEMENT_3D('',#173775,#111586,#111587); #95577=AXIS2_PLACEMENT_3D('',#173776,#111588,#111589); #95578=AXIS2_PLACEMENT_3D('',#173816,#111591,#111592); #95579=AXIS2_PLACEMENT_3D('',#173874,#111593,#111594); #95580=AXIS2_PLACEMENT_3D('',#173910,#111595,#111596); #95581=AXIS2_PLACEMENT_3D('',#173943,#111597,#111598); #95582=AXIS2_PLACEMENT_3D('',#173984,#111599,#111600); #95583=AXIS2_PLACEMENT_3D('',#174049,#111601,#111602); #95584=AXIS2_PLACEMENT_3D('',#174102,#111603,#111604); #95585=AXIS2_PLACEMENT_3D('',#174137,#111605,#111606); #95586=AXIS2_PLACEMENT_3D('',#174173,#111607,#111608); #95587=AXIS2_PLACEMENT_3D('',#174206,#111609,#111610); #95588=AXIS2_PLACEMENT_3D('',#174311,#111611,#111612); #95589=AXIS2_PLACEMENT_3D('',#174332,#111613,#111614); #95590=AXIS2_PLACEMENT_3D('',#174675,#111615,#111616); #95591=AXIS2_PLACEMENT_3D('',#174677,#111618,#111619); #95592=AXIS2_PLACEMENT_3D('',#174679,#111621,#111622); #95593=AXIS2_PLACEMENT_3D('',#174681,#111624,#111625); #95594=AXIS2_PLACEMENT_3D('',#174683,#111627,#111628); #95595=AXIS2_PLACEMENT_3D('',#174685,#111630,#111631); #95596=AXIS2_PLACEMENT_3D('',#174687,#111633,#111634); #95597=AXIS2_PLACEMENT_3D('',#174689,#111636,#111637); #95598=AXIS2_PLACEMENT_3D('',#174691,#111639,#111640); #95599=AXIS2_PLACEMENT_3D('',#174693,#111642,#111643); #95600=AXIS2_PLACEMENT_3D('',#174694,#111644,#111645); #95601=AXIS2_PLACEMENT_3D('',#174749,#111648,#111649); #95602=AXIS2_PLACEMENT_3D('',#174750,#111650,#111651); #95603=AXIS2_PLACEMENT_3D('',#174751,#111652,#111653); #95604=AXIS2_PLACEMENT_3D('',#174752,#111654,#111655); #95605=AXIS2_PLACEMENT_3D('',#174754,#111657,#111658); #95606=AXIS2_PLACEMENT_3D('',#174830,#111660,#111661); #95607=AXIS2_PLACEMENT_3D('',#174831,#111662,#111663); #95608=AXIS2_PLACEMENT_3D('',#174832,#111664,#111665); #95609=AXIS2_PLACEMENT_3D('',#174834,#111666,#111667); #95610=AXIS2_PLACEMENT_3D('',#174835,#111668,#111669); #95611=AXIS2_PLACEMENT_3D('',#174837,#111670,#111671); #95612=AXIS2_PLACEMENT_3D('',#174838,#111672,#111673); #95613=AXIS2_PLACEMENT_3D('',#174841,#111674,#111675); #95614=AXIS2_PLACEMENT_3D('',#174843,#111677,#111678); #95615=AXIS2_PLACEMENT_3D('',#174844,#111679,#111680); #95616=AXIS2_PLACEMENT_3D('',#174846,#111681,#111682); #95617=AXIS2_PLACEMENT_3D('',#174847,#111683,#111684); #95618=AXIS2_PLACEMENT_3D('',#174848,#111685,#111686); #95619=AXIS2_PLACEMENT_3D('',#174850,#111687,#111688); #95620=AXIS2_PLACEMENT_3D('',#174851,#111689,#111690); #95621=AXIS2_PLACEMENT_3D('',#174854,#111691,#111692); #95622=AXIS2_PLACEMENT_3D('',#174855,#111693,#111694); #95623=AXIS2_PLACEMENT_3D('',#174856,#111695,#111696); #95624=AXIS2_PLACEMENT_3D('',#174857,#111697,#111698); #95625=AXIS2_PLACEMENT_3D('',#174860,#111700,#111701); #95626=AXIS2_PLACEMENT_3D('',#174861,#111702,#111703); #95627=AXIS2_PLACEMENT_3D('',#174864,#111705,#111706); #95628=AXIS2_PLACEMENT_3D('',#174947,#111707,#111708); #95629=AXIS2_PLACEMENT_3D('',#174948,#111709,#111710); #95630=AXIS2_PLACEMENT_3D('',#174949,#111711,#111712); #95631=AXIS2_PLACEMENT_3D('',#174950,#111713,#111714); #95632=AXIS2_PLACEMENT_3D('',#174952,#111715,#111716); #95633=AXIS2_PLACEMENT_3D('',#174955,#111718,#111719); #95634=AXIS2_PLACEMENT_3D('',#174956,#111720,#111721); #95635=AXIS2_PLACEMENT_3D('',#174957,#111722,#111723); #95636=AXIS2_PLACEMENT_3D('',#174959,#111724,#111725); #95637=AXIS2_PLACEMENT_3D('',#174961,#111726,#111727); #95638=AXIS2_PLACEMENT_3D('',#174962,#111728,#111729); #95639=AXIS2_PLACEMENT_3D('',#174963,#111730,#111731); #95640=AXIS2_PLACEMENT_3D('',#174966,#111733,#111734); #95641=AXIS2_PLACEMENT_3D('',#174967,#111735,#111736); #95642=AXIS2_PLACEMENT_3D('',#174969,#111737,#111738); #95643=AXIS2_PLACEMENT_3D('',#174970,#111739,#111740); #95644=AXIS2_PLACEMENT_3D('',#174972,#111741,#111742); #95645=AXIS2_PLACEMENT_3D('',#174973,#111743,#111744); #95646=AXIS2_PLACEMENT_3D('',#174974,#111745,#111746); #95647=AXIS2_PLACEMENT_3D('',#174975,#111747,#111748); #95648=AXIS2_PLACEMENT_3D('',#174978,#111750,#111751); #95649=AXIS2_PLACEMENT_3D('',#174979,#111752,#111753); #95650=AXIS2_PLACEMENT_3D('',#174980,#111754,#111755); #95651=AXIS2_PLACEMENT_3D('',#174981,#111756,#111757); #95652=AXIS2_PLACEMENT_3D('',#174982,#111758,#111759); #95653=AXIS2_PLACEMENT_3D('',#174983,#111760,#111761); #95654=AXIS2_PLACEMENT_3D('',#174984,#111762,#111763); #95655=AXIS2_PLACEMENT_3D('',#174985,#111764,#111765); #95656=AXIS2_PLACEMENT_3D('',#174986,#111766,#111767); #95657=AXIS2_PLACEMENT_3D('',#174987,#111768,#111769); #95658=AXIS2_PLACEMENT_3D('',#174988,#111770,#111771); #95659=AXIS2_PLACEMENT_3D('',#175064,#111777,#111778); #95660=AXIS2_PLACEMENT_3D('',#175070,#111782,#111783); #95661=AXIS2_PLACEMENT_3D('',#175076,#111787,#111788); #95662=AXIS2_PLACEMENT_3D('',#175079,#111791,#111792); #95663=AXIS2_PLACEMENT_3D('',#175168,#111801,#111802); #95664=AXIS2_PLACEMENT_3D('',#175174,#111806,#111807); #95665=AXIS2_PLACEMENT_3D('',#175177,#111810,#111811); #95666=AXIS2_PLACEMENT_3D('',#175223,#111815,#111816); #95667=AXIS2_PLACEMENT_3D('',#175229,#111820,#111821); #95668=AXIS2_PLACEMENT_3D('',#175235,#111825,#111826); #95669=AXIS2_PLACEMENT_3D('',#175238,#111829,#111830); #95670=AXIS2_PLACEMENT_3D('',#175247,#111835,#111836); #95671=AXIS2_PLACEMENT_3D('',#175253,#111840,#111841); #95672=AXIS2_PLACEMENT_3D('',#175297,#111847,#111848); #95673=AXIS2_PLACEMENT_3D('',#175303,#111852,#111853); #95674=AXIS2_PLACEMENT_3D('',#175309,#111857,#111858); #95675=AXIS2_PLACEMENT_3D('',#175387,#111866,#111867); #95676=AXIS2_PLACEMENT_3D('',#175393,#111871,#111872); #95677=AXIS2_PLACEMENT_3D('',#175396,#111875,#111876); #95678=AXIS2_PLACEMENT_3D('',#175397,#111877,#111878); #95679=AXIS2_PLACEMENT_3D('',#175436,#111885,#111886); #95680=AXIS2_PLACEMENT_3D('',#175442,#111890,#111891); #95681=AXIS2_PLACEMENT_3D('',#175445,#111894,#111895); #95682=AXIS2_PLACEMENT_3D('',#175454,#111900,#111901); #95683=AXIS2_PLACEMENT_3D('',#175460,#111905,#111906); #95684=AXIS2_PLACEMENT_3D('',#175466,#111910,#111911); #95685=AXIS2_PLACEMENT_3D('',#175472,#111915,#111916); #95686=AXIS2_PLACEMENT_3D('',#175478,#111920,#111921); #95687=AXIS2_PLACEMENT_3D('',#175484,#111925,#111926); #95688=AXIS2_PLACEMENT_3D('',#175490,#111930,#111931); #95689=AXIS2_PLACEMENT_3D('',#175493,#111934,#111935); #95690=AXIS2_PLACEMENT_3D('',#175494,#111936,#111937); #95691=AXIS2_PLACEMENT_3D('',#175503,#111942,#111943); #95692=AXIS2_PLACEMENT_3D('',#175509,#111947,#111948); #95693=AXIS2_PLACEMENT_3D('',#175515,#111952,#111953); #95694=AXIS2_PLACEMENT_3D('',#175521,#111957,#111958); #95695=AXIS2_PLACEMENT_3D('',#175527,#111962,#111963); #95696=AXIS2_PLACEMENT_3D('',#175533,#111967,#111968); #95697=AXIS2_PLACEMENT_3D('',#175539,#111972,#111973); #95698=AXIS2_PLACEMENT_3D('',#175545,#111977,#111978); #95699=AXIS2_PLACEMENT_3D('',#175551,#111982,#111983); #95700=AXIS2_PLACEMENT_3D('',#175557,#111987,#111988); #95701=AXIS2_PLACEMENT_3D('',#175563,#111992,#111993); #95702=AXIS2_PLACEMENT_3D('',#175566,#111996,#111997); #95703=AXIS2_PLACEMENT_3D('',#175567,#111998,#111999); #95704=AXIS2_PLACEMENT_3D('',#175576,#112004,#112005); #95705=AXIS2_PLACEMENT_3D('',#175582,#112009,#112010); #95706=AXIS2_PLACEMENT_3D('',#175588,#112014,#112015); #95707=AXIS2_PLACEMENT_3D('',#175594,#112019,#112020); #95708=AXIS2_PLACEMENT_3D('',#175600,#112024,#112025); #95709=AXIS2_PLACEMENT_3D('',#175606,#112029,#112030); #95710=AXIS2_PLACEMENT_3D('',#175612,#112034,#112035); #95711=AXIS2_PLACEMENT_3D('',#175618,#112039,#112040); #95712=AXIS2_PLACEMENT_3D('',#175624,#112044,#112045); #95713=AXIS2_PLACEMENT_3D('',#175630,#112049,#112050); #95714=AXIS2_PLACEMENT_3D('',#175636,#112054,#112055); #95715=AXIS2_PLACEMENT_3D('',#175639,#112058,#112059); #95716=AXIS2_PLACEMENT_3D('',#175700,#112064,#112065); #95717=AXIS2_PLACEMENT_3D('',#175706,#112069,#112070); #95718=AXIS2_PLACEMENT_3D('',#175712,#112074,#112075); #95719=AXIS2_PLACEMENT_3D('',#175779,#112082,#112083); #95720=AXIS2_PLACEMENT_3D('',#175785,#112087,#112088); #95721=AXIS2_PLACEMENT_3D('',#175791,#112092,#112093); #95722=AXIS2_PLACEMENT_3D('',#175794,#112096,#112097); #95723=AXIS2_PLACEMENT_3D('',#175891,#112106,#112107); #95724=AXIS2_PLACEMENT_3D('',#175897,#112111,#112112); #95725=AXIS2_PLACEMENT_3D('',#175900,#112115,#112116); #95726=AXIS2_PLACEMENT_3D('',#175946,#112120,#112121); #95727=AXIS2_PLACEMENT_3D('',#175952,#112125,#112126); #95728=AXIS2_PLACEMENT_3D('',#175958,#112130,#112131); #95729=AXIS2_PLACEMENT_3D('',#175961,#112134,#112135); #95730=AXIS2_PLACEMENT_3D('',#175970,#112140,#112141); #95731=AXIS2_PLACEMENT_3D('',#175976,#112145,#112146); #95732=AXIS2_PLACEMENT_3D('',#176020,#112152,#112153); #95733=AXIS2_PLACEMENT_3D('',#176026,#112157,#112158); #95734=AXIS2_PLACEMENT_3D('',#176032,#112162,#112163); #95735=AXIS2_PLACEMENT_3D('',#176110,#112171,#112172); #95736=AXIS2_PLACEMENT_3D('',#176116,#112176,#112177); #95737=AXIS2_PLACEMENT_3D('',#176119,#112180,#112181); #95738=AXIS2_PLACEMENT_3D('',#176120,#112182,#112183); #95739=AXIS2_PLACEMENT_3D('',#176129,#112188,#112189); #95740=AXIS2_PLACEMENT_3D('',#176135,#112193,#112194); #95741=AXIS2_PLACEMENT_3D('',#176141,#112198,#112199); #95742=AXIS2_PLACEMENT_3D('',#176147,#112203,#112204); #95743=AXIS2_PLACEMENT_3D('',#176153,#112208,#112209); #95744=AXIS2_PLACEMENT_3D('',#176159,#112213,#112214); #95745=AXIS2_PLACEMENT_3D('',#176165,#112218,#112219); #95746=AXIS2_PLACEMENT_3D('',#176171,#112223,#112224); #95747=AXIS2_PLACEMENT_3D('',#176177,#112228,#112229); #95748=AXIS2_PLACEMENT_3D('',#176183,#112233,#112234); #95749=AXIS2_PLACEMENT_3D('',#176189,#112238,#112239); #95750=AXIS2_PLACEMENT_3D('',#176192,#112242,#112243); #95751=AXIS2_PLACEMENT_3D('',#176193,#112244,#112245); #95752=AXIS2_PLACEMENT_3D('',#176198,#112247,#112248); #95753=AXIS2_PLACEMENT_3D('',#176202,#112250,#112251); #95754=AXIS2_PLACEMENT_3D('',#176206,#112253,#112254); #95755=AXIS2_PLACEMENT_3D('',#176208,#112255,#112256); #95756=AXIS2_PLACEMENT_3D('',#176210,#112257,#112258); #95757=AXIS2_PLACEMENT_3D('',#176212,#112259,#112260); #95758=AXIS2_PLACEMENT_3D('',#176214,#112261,#112262); #95759=AXIS2_PLACEMENT_3D('',#176218,#112264,#112265); #95760=AXIS2_PLACEMENT_3D('',#176226,#112269,#112270); #95761=AXIS2_PLACEMENT_3D('',#176230,#112272,#112273); #95762=AXIS2_PLACEMENT_3D('',#176232,#112274,#112275); #95763=AXIS2_PLACEMENT_3D('',#176234,#112276,#112277); #95764=AXIS2_PLACEMENT_3D('',#176236,#112278,#112279); #95765=AXIS2_PLACEMENT_3D('',#176237,#112280,#112281); #95766=AXIS2_PLACEMENT_3D('',#176242,#112283,#112284); #95767=AXIS2_PLACEMENT_3D('',#176245,#112286,#112287); #95768=AXIS2_PLACEMENT_3D('',#176247,#112288,#112289); #95769=AXIS2_PLACEMENT_3D('',#176249,#112290,#112291); #95770=AXIS2_PLACEMENT_3D('',#176250,#112292,#112293); #95771=AXIS2_PLACEMENT_3D('',#176251,#112294,#112295); #95772=AXIS2_PLACEMENT_3D('',#176252,#112296,#112297); #95773=AXIS2_PLACEMENT_3D('',#176253,#112298,#112299); #95774=AXIS2_PLACEMENT_3D('',#176254,#112300,#112301); #95775=AXIS2_PLACEMENT_3D('',#176255,#112302,#112303); #95776=AXIS2_PLACEMENT_3D('',#176258,#112304,#112305); #95777=AXIS2_PLACEMENT_3D('',#176261,#112308,#112309); #95778=AXIS2_PLACEMENT_3D('',#176265,#112312,#112313); #95779=AXIS2_PLACEMENT_3D('',#176267,#112314,#112315); #95780=AXIS2_PLACEMENT_3D('',#176269,#112317,#112318); #95781=AXIS2_PLACEMENT_3D('',#176273,#112320,#112321); #95782=AXIS2_PLACEMENT_3D('',#176280,#112325,#112326); #95783=AXIS2_PLACEMENT_3D('',#176281,#112327,#112328); #95784=AXIS2_PLACEMENT_3D('',#176308,#112331,#112332); #95785=AXIS2_PLACEMENT_3D('',#176310,#112333,#112334); #95786=AXIS2_PLACEMENT_3D('',#176313,#112336,#112337); #95787=AXIS2_PLACEMENT_3D('',#176314,#112338,#112339); #95788=AXIS2_PLACEMENT_3D('',#176318,#112341,#112342); #95789=AXIS2_PLACEMENT_3D('',#176331,#112344,#112345); #95790=AXIS2_PLACEMENT_3D('',#176355,#112347,#112348); #95791=AXIS2_PLACEMENT_3D('',#176357,#112349,#112350); #95792=AXIS2_PLACEMENT_3D('',#176371,#112352,#112353); #95793=AXIS2_PLACEMENT_3D('',#176372,#112354,#112355); #95794=AXIS2_PLACEMENT_3D('',#176374,#112356,#112357); #95795=AXIS2_PLACEMENT_3D('',#176376,#112359,#112360); #95796=AXIS2_PLACEMENT_3D('',#176389,#112362,#112363); #95797=AXIS2_PLACEMENT_3D('',#176391,#112364,#112365); #95798=AXIS2_PLACEMENT_3D('',#176395,#112367,#112368); #95799=AXIS2_PLACEMENT_3D('',#176397,#112370,#112371); #95800=AXIS2_PLACEMENT_3D('',#176410,#112373,#112374); #95801=AXIS2_PLACEMENT_3D('',#176411,#112375,#112376); #95802=AXIS2_PLACEMENT_3D('',#176415,#112378,#112379); #95803=AXIS2_PLACEMENT_3D('',#176417,#112381,#112382); #95804=AXIS2_PLACEMENT_3D('',#176418,#112383,#112384); #95805=AXIS2_PLACEMENT_3D('',#176420,#112385,#112386); #95806=AXIS2_PLACEMENT_3D('',#176431,#112387,#112388); #95807=AXIS2_PLACEMENT_3D('',#176433,#112389,#112390); #95808=AXIS2_PLACEMENT_3D('',#176435,#112392,#112393); #95809=AXIS2_PLACEMENT_3D('',#176436,#112394,#112395); #95810=AXIS2_PLACEMENT_3D('',#176437,#112396,#112397); #95811=AXIS2_PLACEMENT_3D('',#176439,#112398,#112399); #95812=AXIS2_PLACEMENT_3D('',#176453,#112400,#112401); #95813=AXIS2_PLACEMENT_3D('',#176454,#112402,#112403); #95814=AXIS2_PLACEMENT_3D('',#176466,#112404,#112405); #95815=AXIS2_PLACEMENT_3D('',#176467,#112406,#112407); #95816=AXIS2_PLACEMENT_3D('',#176480,#112408,#112409); #95817=AXIS2_PLACEMENT_3D('',#176483,#112411,#112412); #95818=AXIS2_PLACEMENT_3D('',#176484,#112413,#112414); #95819=AXIS2_PLACEMENT_3D('',#176486,#112415,#112416); #95820=AXIS2_PLACEMENT_3D('',#176488,#112417,#112418); #95821=AXIS2_PLACEMENT_3D('',#176490,#112419,#112420); #95822=AXIS2_PLACEMENT_3D('',#176494,#112422,#112423); #95823=AXIS2_PLACEMENT_3D('',#176502,#112427,#112428); #95824=AXIS2_PLACEMENT_3D('',#176506,#112430,#112431); #95825=AXIS2_PLACEMENT_3D('',#176508,#112432,#112433); #95826=AXIS2_PLACEMENT_3D('',#176510,#112434,#112435); #95827=AXIS2_PLACEMENT_3D('',#176511,#112436,#112437); #95828=AXIS2_PLACEMENT_3D('',#176516,#112439,#112440); #95829=AXIS2_PLACEMENT_3D('',#176519,#112442,#112443); #95830=AXIS2_PLACEMENT_3D('',#176521,#112444,#112445); #95831=AXIS2_PLACEMENT_3D('',#176523,#112446,#112447); #95832=AXIS2_PLACEMENT_3D('',#176524,#112448,#112449); #95833=AXIS2_PLACEMENT_3D('',#176526,#112451,#112452); #95834=AXIS2_PLACEMENT_3D('',#176528,#112454,#112455); #95835=AXIS2_PLACEMENT_3D('',#176530,#112457,#112458); #95836=AXIS2_PLACEMENT_3D('',#176533,#112459,#112460); #95837=AXIS2_PLACEMENT_3D('',#176537,#112462,#112463); #95838=AXIS2_PLACEMENT_3D('',#176539,#112465,#112466); #95839=AXIS2_PLACEMENT_3D('',#176545,#112470,#112471); #95840=AXIS2_PLACEMENT_3D('',#176552,#112475,#112476); #95841=AXIS2_PLACEMENT_3D('',#176557,#112478,#112479); #95842=AXIS2_PLACEMENT_3D('',#176560,#112481,#112482); #95843=AXIS2_PLACEMENT_3D('',#176561,#112483,#112484); #95844=AXIS2_PLACEMENT_3D('',#176563,#112485,#112486); #95845=AXIS2_PLACEMENT_3D('',#176565,#112488,#112489); #95846=AXIS2_PLACEMENT_3D('',#176568,#112492,#112493); #95847=AXIS2_PLACEMENT_3D('',#176570,#112495,#112496); #95848=AXIS2_PLACEMENT_3D('',#176572,#112498,#112499); #95849=AXIS2_PLACEMENT_3D('',#176575,#112502,#112503); #95850=AXIS2_PLACEMENT_3D('',#176577,#112505,#112506); #95851=AXIS2_PLACEMENT_3D('',#176580,#112509,#112510); #95852=AXIS2_PLACEMENT_3D('',#176582,#112512,#112513); #95853=AXIS2_PLACEMENT_3D('',#176585,#112515,#112516); #95854=AXIS2_PLACEMENT_3D('',#176586,#112517,#112518); #95855=AXIS2_PLACEMENT_3D('',#176588,#112520,#112521); #95856=AXIS2_PLACEMENT_3D('',#176591,#112523,#112524); #95857=AXIS2_PLACEMENT_3D('',#176592,#112525,#112526); #95858=AXIS2_PLACEMENT_3D('',#176594,#112528,#112529); #95859=AXIS2_PLACEMENT_3D('',#176597,#112532,#112533); #95860=AXIS2_PLACEMENT_3D('',#176599,#112535,#112536); #95861=AXIS2_PLACEMENT_3D('',#176601,#112538,#112539); #95862=AXIS2_PLACEMENT_3D('',#176602,#112540,#112541); #95863=AXIS2_PLACEMENT_3D('',#176608,#112545,#112546); #95864=AXIS2_PLACEMENT_3D('',#176610,#112547,#112548); #95865=AXIS2_PLACEMENT_3D('',#176612,#112550,#112551); #95866=AXIS2_PLACEMENT_3D('',#176616,#112554,#112555); #95867=AXIS2_PLACEMENT_3D('',#176617,#112556,#112557); #95868=AXIS2_PLACEMENT_3D('',#176618,#112558,#112559); #95869=AXIS2_PLACEMENT_3D('',#176623,#112561,#112562); #95870=AXIS2_PLACEMENT_3D('',#176626,#112564,#112565); #95871=AXIS2_PLACEMENT_3D('',#176627,#112566,#112567); #95872=AXIS2_PLACEMENT_3D('',#176630,#112570,#112571); #95873=AXIS2_PLACEMENT_3D('',#176633,#112573,#112574); #95874=AXIS2_PLACEMENT_3D('',#176635,#112576,#112577); #95875=AXIS2_PLACEMENT_3D('',#176638,#112579,#112580); #95876=AXIS2_PLACEMENT_3D('',#176639,#112581,#112582); #95877=AXIS2_PLACEMENT_3D('',#176641,#112584,#112585); #95878=AXIS2_PLACEMENT_3D('',#176642,#112586,#112587); #95879=AXIS2_PLACEMENT_3D('',#176644,#112589,#112590); #95880=AXIS2_PLACEMENT_3D('',#176649,#112592,#112593); #95881=AXIS2_PLACEMENT_3D('',#176652,#112595,#112596); #95882=AXIS2_PLACEMENT_3D('',#176653,#112597,#112598); #95883=AXIS2_PLACEMENT_3D('',#176658,#112602,#112603); #95884=AXIS2_PLACEMENT_3D('',#176662,#112606,#112607); #95885=AXIS2_PLACEMENT_3D('',#176665,#112609,#112610); #95886=AXIS2_PLACEMENT_3D('',#176667,#112612,#112613); #95887=AXIS2_PLACEMENT_3D('',#176670,#112615,#112616); #95888=AXIS2_PLACEMENT_3D('',#176671,#112617,#112618); #95889=AXIS2_PLACEMENT_3D('',#176673,#112620,#112621); #95890=AXIS2_PLACEMENT_3D('',#176674,#112622,#112623); #95891=AXIS2_PLACEMENT_3D('',#176676,#112625,#112626); #95892=AXIS2_PLACEMENT_3D('',#176682,#112630,#112631); #95893=AXIS2_PLACEMENT_3D('',#176686,#112634,#112635); #95894=AXIS2_PLACEMENT_3D('',#176689,#112637,#112638); #95895=AXIS2_PLACEMENT_3D('',#176690,#112639,#112640); #95896=AXIS2_PLACEMENT_3D('',#176691,#112641,#112642); #95897=AXIS2_PLACEMENT_3D('',#176694,#112645,#112646); #95898=AXIS2_PLACEMENT_3D('',#176695,#112647,#112648); #95899=AXIS2_PLACEMENT_3D('',#176697,#112650,#112651); #95900=AXIS2_PLACEMENT_3D('',#176698,#112652,#112653); #95901=AXIS2_PLACEMENT_3D('',#176699,#112654,#112655); #95902=AXIS2_PLACEMENT_3D('',#176700,#112656,#112657); #95903=AXIS2_PLACEMENT_3D('',#176701,#112658,#112659); #95904=AXIS2_PLACEMENT_3D('',#176702,#112660,#112661); #95905=AXIS2_PLACEMENT_3D('',#176703,#112662,#112663); #95906=AXIS2_PLACEMENT_3D('',#176704,#112664,#112665); #95907=AXIS2_PLACEMENT_3D('',#176705,#112666,#112667); #95908=AXIS2_PLACEMENT_3D('',#176706,#112668,#112669); #95909=AXIS2_PLACEMENT_3D('',#176715,#112674,#112675); #95910=AXIS2_PLACEMENT_3D('',#176717,#112676,#112677); #95911=AXIS2_PLACEMENT_3D('',#176719,#112678,#112679); #95912=AXIS2_PLACEMENT_3D('',#176721,#112681,#112682); #95913=AXIS2_PLACEMENT_3D('',#176727,#112686,#112687); #95914=AXIS2_PLACEMENT_3D('',#176728,#112688,#112689); #95915=AXIS2_PLACEMENT_3D('',#176729,#112690,#112691); #95916=AXIS2_PLACEMENT_3D('',#176730,#112692,#112693); #95917=AXIS2_PLACEMENT_3D('',#176732,#112694,#112695); #95918=AXIS2_PLACEMENT_3D('',#176734,#112696,#112697); #95919=AXIS2_PLACEMENT_3D('',#176735,#112698,#112699); #95920=AXIS2_PLACEMENT_3D('',#176764,#112700,#112701); #95921=AXIS2_PLACEMENT_3D('',#176765,#112702,#112703); #95922=AXIS2_PLACEMENT_3D('',#176766,#112704,#112705); #95923=AXIS2_PLACEMENT_3D('',#176767,#112706,#112707); #95924=AXIS2_PLACEMENT_3D('',#176769,#112708,#112709); #95925=AXIS2_PLACEMENT_3D('',#176771,#112710,#112711); #95926=AXIS2_PLACEMENT_3D('',#176772,#112712,#112713); #95927=AXIS2_PLACEMENT_3D('',#176801,#112714,#112715); #95928=AXIS2_PLACEMENT_3D('',#176802,#112716,#112717); #95929=AXIS2_PLACEMENT_3D('',#176803,#112718,#112719); #95930=AXIS2_PLACEMENT_3D('',#176804,#112720,#112721); #95931=AXIS2_PLACEMENT_3D('',#176806,#112722,#112723); #95932=AXIS2_PLACEMENT_3D('',#176808,#112724,#112725); #95933=AXIS2_PLACEMENT_3D('',#176809,#112726,#112727); #95934=AXIS2_PLACEMENT_3D('',#176838,#112728,#112729); #95935=AXIS2_PLACEMENT_3D('',#176839,#112730,#112731); #95936=AXIS2_PLACEMENT_3D('',#176840,#112732,#112733); #95937=AXIS2_PLACEMENT_3D('',#176841,#112734,#112735); #95938=AXIS2_PLACEMENT_3D('',#176843,#112736,#112737); #95939=AXIS2_PLACEMENT_3D('',#176845,#112738,#112739); #95940=AXIS2_PLACEMENT_3D('',#176846,#112740,#112741); #95941=AXIS2_PLACEMENT_3D('',#176848,#112742,#112743); #95942=AXIS2_PLACEMENT_3D('',#176850,#112744,#112745); #95943=AXIS2_PLACEMENT_3D('',#176851,#112746,#112747); #95944=AXIS2_PLACEMENT_3D('',#176880,#112748,#112749); #95945=AXIS2_PLACEMENT_3D('',#176881,#112750,#112751); #95946=AXIS2_PLACEMENT_3D('',#176882,#112752,#112753); #95947=AXIS2_PLACEMENT_3D('',#176883,#112754,#112755); #95948=AXIS2_PLACEMENT_3D('',#176885,#112756,#112757); #95949=AXIS2_PLACEMENT_3D('',#176887,#112758,#112759); #95950=AXIS2_PLACEMENT_3D('',#176888,#112760,#112761); #95951=AXIS2_PLACEMENT_3D('',#176917,#112762,#112763); #95952=AXIS2_PLACEMENT_3D('',#176918,#112764,#112765); #95953=AXIS2_PLACEMENT_3D('',#176919,#112766,#112767); #95954=AXIS2_PLACEMENT_3D('',#176920,#112768,#112769); #95955=AXIS2_PLACEMENT_3D('',#176922,#112770,#112771); #95956=AXIS2_PLACEMENT_3D('',#176924,#112772,#112773); #95957=AXIS2_PLACEMENT_3D('',#176925,#112774,#112775); #95958=AXIS2_PLACEMENT_3D('',#176954,#112776,#112777); #95959=AXIS2_PLACEMENT_3D('',#176955,#112778,#112779); #95960=AXIS2_PLACEMENT_3D('',#176956,#112780,#112781); #95961=AXIS2_PLACEMENT_3D('',#176957,#112782,#112783); #95962=AXIS2_PLACEMENT_3D('',#176959,#112784,#112785); #95963=AXIS2_PLACEMENT_3D('',#176961,#112786,#112787); #95964=AXIS2_PLACEMENT_3D('',#176962,#112788,#112789); #95965=AXIS2_PLACEMENT_3D('',#176964,#112790,#112791); #95966=AXIS2_PLACEMENT_3D('',#176965,#112792,#112793); #95967=AXIS2_PLACEMENT_3D('',#176994,#112794,#112795); #95968=AXIS2_PLACEMENT_3D('',#176995,#112796,#112797); #95969=AXIS2_PLACEMENT_3D('',#176996,#112798,#112799); #95970=AXIS2_PLACEMENT_3D('',#176997,#112800,#112801); #95971=AXIS2_PLACEMENT_3D('',#176999,#112802,#112803); #95972=AXIS2_PLACEMENT_3D('',#177000,#112804,#112805); #95973=AXIS2_PLACEMENT_3D('',#177029,#112806,#112807); #95974=AXIS2_PLACEMENT_3D('',#177030,#112808,#112809); #95975=AXIS2_PLACEMENT_3D('',#177031,#112810,#112811); #95976=AXIS2_PLACEMENT_3D('',#177032,#112812,#112813); #95977=AXIS2_PLACEMENT_3D('',#177034,#112815,#112816); #95978=AXIS2_PLACEMENT_3D('',#177035,#112817,#112818); #95979=AXIS2_PLACEMENT_3D('',#177036,#112819,#112820); #95980=AXIS2_PLACEMENT_3D('',#177037,#112821,#112822); #95981=AXIS2_PLACEMENT_3D('',#177039,#112823,#112824); #95982=AXIS2_PLACEMENT_3D('',#177041,#112825,#112826); #95983=AXIS2_PLACEMENT_3D('',#177043,#112827,#112828); #95984=AXIS2_PLACEMENT_3D('',#177044,#112829,#112830); #95985=AXIS2_PLACEMENT_3D('',#177045,#112831,#112832); #95986=AXIS2_PLACEMENT_3D('',#177049,#112834,#112835); #95987=AXIS2_PLACEMENT_3D('',#177050,#112836,#112837); #95988=AXIS2_PLACEMENT_3D('',#177051,#112838,#112839); #95989=AXIS2_PLACEMENT_3D('',#177053,#112840,#112841); #95990=AXIS2_PLACEMENT_3D('',#177054,#112842,#112843); #95991=AXIS2_PLACEMENT_3D('',#177138,#112845,#112846); #95992=AXIS2_PLACEMENT_3D('',#177140,#112847,#112848); #95993=AXIS2_PLACEMENT_3D('',#177143,#112850,#112851); #95994=AXIS2_PLACEMENT_3D('',#177144,#112852,#112853); #95995=AXIS2_PLACEMENT_3D('',#177148,#112856,#112857); #95996=AXIS2_PLACEMENT_3D('',#177149,#112858,#112859); #95997=AXIS2_PLACEMENT_3D('',#177150,#112860,#112861); #95998=AXIS2_PLACEMENT_3D('',#177152,#112862,#112863); #95999=AXIS2_PLACEMENT_3D('',#177154,#112864,#112865); #96000=AXIS2_PLACEMENT_3D('',#177155,#112866,#112867); #96001=AXIS2_PLACEMENT_3D('',#177156,#112868,#112869); #96002=AXIS2_PLACEMENT_3D('',#177158,#112870,#112871); #96003=AXIS2_PLACEMENT_3D('',#177159,#112872,#112873); #96004=AXIS2_PLACEMENT_3D('',#177161,#112875,#112876); #96005=AXIS2_PLACEMENT_3D('',#177163,#112877,#112878); #96006=AXIS2_PLACEMENT_3D('',#177164,#112879,#112880); #96007=AXIS2_PLACEMENT_3D('',#177165,#112881,#112882); #96008=AXIS2_PLACEMENT_3D('',#177168,#112883,#112884); #96009=AXIS2_PLACEMENT_3D('',#177170,#112886,#112887); #96010=AXIS2_PLACEMENT_3D('',#177171,#112888,#112889); #96011=AXIS2_PLACEMENT_3D('',#177173,#112890,#112891); #96012=AXIS2_PLACEMENT_3D('',#177174,#112892,#112893); #96013=AXIS2_PLACEMENT_3D('',#177175,#112894,#112895); #96014=AXIS2_PLACEMENT_3D('',#177177,#112896,#112897); #96015=AXIS2_PLACEMENT_3D('',#177178,#112898,#112899); #96016=AXIS2_PLACEMENT_3D('',#177179,#112900,#112901); #96017=AXIS2_PLACEMENT_3D('',#177180,#112902,#112903); #96018=AXIS2_PLACEMENT_3D('',#177181,#112904,#112905); #96019=AXIS2_PLACEMENT_3D('',#177182,#112906,#112907); #96020=AXIS2_PLACEMENT_3D('',#177183,#112908,#112909); #96021=AXIS2_PLACEMENT_3D('',#177184,#112910,#112911); #96022=AXIS2_PLACEMENT_3D('',#177186,#112912,#112913); #96023=AXIS2_PLACEMENT_3D('',#177189,#112915,#112916); #96024=AXIS2_PLACEMENT_3D('',#177190,#112917,#112918); #96025=AXIS2_PLACEMENT_3D('',#177192,#112919,#112920); #96026=AXIS2_PLACEMENT_3D('',#177195,#112922,#112923); #96027=AXIS2_PLACEMENT_3D('',#177196,#112924,#112925); #96028=AXIS2_PLACEMENT_3D('',#177197,#112926,#112927); #96029=AXIS2_PLACEMENT_3D('',#177198,#112928,#112929); #96030=AXIS2_PLACEMENT_3D('',#177199,#112930,#112931); #96031=AXIS2_PLACEMENT_3D('',#177202,#112932,#112933); #96032=AXIS2_PLACEMENT_3D('',#177204,#112934,#112935); #96033=AXIS2_PLACEMENT_3D('',#177205,#112936,#112937); #96034=AXIS2_PLACEMENT_3D('',#177206,#112938,#112939); #96035=AXIS2_PLACEMENT_3D('',#177207,#112940,#112941); #96036=AXIS2_PLACEMENT_3D('',#177209,#112942,#112943); #96037=AXIS2_PLACEMENT_3D('',#177210,#112944,#112945); #96038=AXIS2_PLACEMENT_3D('',#177213,#112946,#112947); #96039=AXIS2_PLACEMENT_3D('',#177215,#112949,#112950); #96040=AXIS2_PLACEMENT_3D('',#177216,#112951,#112952); #96041=AXIS2_PLACEMENT_3D('',#177218,#112953,#112954); #96042=AXIS2_PLACEMENT_3D('',#177220,#112955,#112956); #96043=AXIS2_PLACEMENT_3D('',#177221,#112957,#112958); #96044=AXIS2_PLACEMENT_3D('',#177222,#112959,#112960); #96045=AXIS2_PLACEMENT_3D('',#177224,#112961,#112962); #96046=AXIS2_PLACEMENT_3D('',#177225,#112963,#112964); #96047=AXIS2_PLACEMENT_3D('',#177228,#112966,#112967); #96048=AXIS2_PLACEMENT_3D('',#177229,#112968,#112969); #96049=AXIS2_PLACEMENT_3D('',#177231,#112970,#112971); #96050=AXIS2_PLACEMENT_3D('',#177233,#112972,#112973); #96051=AXIS2_PLACEMENT_3D('',#177234,#112974,#112975); #96052=AXIS2_PLACEMENT_3D('',#177235,#112976,#112977); #96053=AXIS2_PLACEMENT_3D('',#177237,#112979,#112980); #96054=AXIS2_PLACEMENT_3D('',#177239,#112981,#112982); #96055=AXIS2_PLACEMENT_3D('',#177241,#112984,#112985); #96056=AXIS2_PLACEMENT_3D('',#177242,#112986,#112987); #96057=AXIS2_PLACEMENT_3D('',#177243,#112988,#112989); #96058=AXIS2_PLACEMENT_3D('',#177245,#112990,#112991); #96059=AXIS2_PLACEMENT_3D('',#177248,#112993,#112994); #96060=AXIS2_PLACEMENT_3D('',#177249,#112995,#112996); #96061=AXIS2_PLACEMENT_3D('',#177252,#112998,#112999); #96062=AXIS2_PLACEMENT_3D('',#177253,#113000,#113001); #96063=AXIS2_PLACEMENT_3D('',#177254,#113002,#113003); #96064=AXIS2_PLACEMENT_3D('',#177256,#113004,#113005); #96065=AXIS2_PLACEMENT_3D('',#177257,#113006,#113007); #96066=AXIS2_PLACEMENT_3D('',#177260,#113009,#113010); #96067=AXIS2_PLACEMENT_3D('',#177261,#113011,#113012); #96068=AXIS2_PLACEMENT_3D('',#177263,#113013,#113014); #96069=AXIS2_PLACEMENT_3D('',#177313,#113016,#113017); #96070=AXIS2_PLACEMENT_3D('',#177319,#113021,#113022); #96071=AXIS2_PLACEMENT_3D('',#177323,#113025,#113026); #96072=AXIS2_PLACEMENT_3D('',#177327,#113029,#113030); #96073=AXIS2_PLACEMENT_3D('',#177331,#113033,#113034); #96074=AXIS2_PLACEMENT_3D('',#177335,#113037,#113038); #96075=AXIS2_PLACEMENT_3D('',#177337,#113040,#113041); #96076=AXIS2_PLACEMENT_3D('',#177338,#113042,#113043); #96077=AXIS2_PLACEMENT_3D('',#177340,#113044,#113045); #96078=AXIS2_PLACEMENT_3D('',#177343,#113047,#113048); #96079=AXIS2_PLACEMENT_3D('',#177344,#113049,#113050); #96080=AXIS2_PLACEMENT_3D('',#177347,#113052,#113053); #96081=AXIS2_PLACEMENT_3D('',#177348,#113054,#113055); #96082=AXIS2_PLACEMENT_3D('',#177349,#113056,#113057); #96083=AXIS2_PLACEMENT_3D('',#177351,#113058,#113059); #96084=AXIS2_PLACEMENT_3D('',#177354,#113061,#113062); #96085=AXIS2_PLACEMENT_3D('',#177355,#113063,#113064); #96086=AXIS2_PLACEMENT_3D('',#177357,#113065,#113066); #96087=AXIS2_PLACEMENT_3D('',#177358,#113067,#113068); #96088=AXIS2_PLACEMENT_3D('',#177360,#113069,#113070); #96089=AXIS2_PLACEMENT_3D('',#177362,#113072,#113073); #96090=AXIS2_PLACEMENT_3D('',#177363,#113074,#113075); #96091=AXIS2_PLACEMENT_3D('',#177364,#113076,#113077); #96092=AXIS2_PLACEMENT_3D('',#177365,#113078,#113079); #96093=AXIS2_PLACEMENT_3D('',#177368,#113080,#113081); #96094=AXIS2_PLACEMENT_3D('',#177372,#113083,#113084); #96095=AXIS2_PLACEMENT_3D('',#177374,#113086,#113087); #96096=AXIS2_PLACEMENT_3D('',#177380,#113091,#113092); #96097=AXIS2_PLACEMENT_3D('',#177384,#113094,#113095); #96098=AXIS2_PLACEMENT_3D('',#177396,#113102,#113103); #96099=AXIS2_PLACEMENT_3D('',#177410,#113110,#113111); #96100=AXIS2_PLACEMENT_3D('',#177412,#113113,#113114); #96101=AXIS2_PLACEMENT_3D('',#177414,#113116,#113117); #96102=AXIS2_PLACEMENT_3D('',#177417,#113119,#113120); #96103=AXIS2_PLACEMENT_3D('',#177418,#113121,#113122); #96104=AXIS2_PLACEMENT_3D('',#177420,#113123,#113124); #96105=AXIS2_PLACEMENT_3D('',#177422,#113125,#113126); #96106=AXIS2_PLACEMENT_3D('',#177423,#113127,#113128); #96107=AXIS2_PLACEMENT_3D('',#177427,#113131,#113132); #96108=AXIS2_PLACEMENT_3D('',#177428,#113133,#113134); #96109=AXIS2_PLACEMENT_3D('',#177432,#113136,#113137); #96110=AXIS2_PLACEMENT_3D('',#177433,#113138,#113139); #96111=AXIS2_PLACEMENT_3D('',#177434,#113140,#113141); #96112=AXIS2_PLACEMENT_3D('',#177435,#113142,#113143); #96113=AXIS2_PLACEMENT_3D('',#177436,#113144,#113145); #96114=AXIS2_PLACEMENT_3D('',#177440,#113147,#113148); #96115=AXIS2_PLACEMENT_3D('',#177441,#113149,#113150); #96116=AXIS2_PLACEMENT_3D('',#177442,#113151,#113152); #96117=AXIS2_PLACEMENT_3D('',#177443,#113153,#113154); #96118=AXIS2_PLACEMENT_3D('',#177444,#113155,#113156); #96119=AXIS2_PLACEMENT_3D('',#177446,#113158,#113159); #96120=AXIS2_PLACEMENT_3D('',#177447,#113160,#113161); #96121=AXIS2_PLACEMENT_3D('',#177450,#113164,#113165); #96122=AXIS2_PLACEMENT_3D('',#177451,#113166,#113167); #96123=AXIS2_PLACEMENT_3D('',#177452,#113168,#113169); #96124=AXIS2_PLACEMENT_3D('',#177453,#113170,#113171); #96125=AXIS2_PLACEMENT_3D('',#177454,#113172,#113173); #96126=AXIS2_PLACEMENT_3D('',#177455,#113174,#113175); #96127=AXIS2_PLACEMENT_3D('',#177456,#113176,#113177); #96128=AXIS2_PLACEMENT_3D('',#177457,#113178,#113179); #96129=AXIS2_PLACEMENT_3D('',#177458,#113180,#113181); #96130=AXIS2_PLACEMENT_3D('',#177461,#113182,#113183); #96131=AXIS2_PLACEMENT_3D('',#177465,#113185,#113186); #96132=AXIS2_PLACEMENT_3D('',#177478,#113188,#113189); #96133=AXIS2_PLACEMENT_3D('',#177479,#113190,#113191); #96134=AXIS2_PLACEMENT_3D('',#177480,#113192,#113193); #96135=AXIS2_PLACEMENT_3D('',#177481,#113194,#113195); #96136=AXIS2_PLACEMENT_3D('',#177484,#113196,#113197); #96137=AXIS2_PLACEMENT_3D('',#177485,#113198,#113199); #96138=AXIS2_PLACEMENT_3D('',#177486,#113200,#113201); #96139=AXIS2_PLACEMENT_3D('',#177498,#113202,#113203); #96140=AXIS2_PLACEMENT_3D('',#177499,#113204,#113205); #96141=AXIS2_PLACEMENT_3D('',#177500,#113206,#113207); #96142=AXIS2_PLACEMENT_3D('',#177501,#113208,#113209); #96143=AXIS2_PLACEMENT_3D('',#177504,#113210,#113211); #96144=AXIS2_PLACEMENT_3D('',#177518,#113214,#113215); #96145=AXIS2_PLACEMENT_3D('',#177519,#113216,#113217); #96146=AXIS2_PLACEMENT_3D('',#177520,#113218,#113219); #96147=AXIS2_PLACEMENT_3D('',#177521,#113220,#113221); #96148=AXIS2_PLACEMENT_3D('',#177524,#113222,#113223); #96149=AXIS2_PLACEMENT_3D('',#177538,#113226,#113227); #96150=AXIS2_PLACEMENT_3D('',#177539,#113228,#113229); #96151=AXIS2_PLACEMENT_3D('',#177540,#113230,#113231); #96152=AXIS2_PLACEMENT_3D('',#177541,#113232,#113233); #96153=AXIS2_PLACEMENT_3D('',#177544,#113234,#113235); #96154=AXIS2_PLACEMENT_3D('',#177558,#113238,#113239); #96155=AXIS2_PLACEMENT_3D('',#177559,#113240,#113241); #96156=AXIS2_PLACEMENT_3D('',#177560,#113242,#113243); #96157=AXIS2_PLACEMENT_3D('',#177561,#113244,#113245); #96158=AXIS2_PLACEMENT_3D('',#177564,#113246,#113247); #96159=AXIS2_PLACEMENT_3D('',#177565,#113248,#113249); #96160=AXIS2_PLACEMENT_3D('',#177566,#113250,#113251); #96161=AXIS2_PLACEMENT_3D('',#177576,#113252,#113253); #96162=AXIS2_PLACEMENT_3D('',#177577,#113254,#113255); #96163=AXIS2_PLACEMENT_3D('',#177578,#113256,#113257); #96164=AXIS2_PLACEMENT_3D('',#177582,#113259,#113260); #96165=AXIS2_PLACEMENT_3D('',#177584,#113262,#113263); #96166=AXIS2_PLACEMENT_3D('',#177588,#113265,#113266); #96167=AXIS2_PLACEMENT_3D('',#177595,#113270,#113271); #96168=AXIS2_PLACEMENT_3D('',#177596,#113272,#113273); #96169=AXIS2_PLACEMENT_3D('',#177599,#113275,#113276); #96170=AXIS2_PLACEMENT_3D('',#177600,#113277,#113278); #96171=AXIS2_PLACEMENT_3D('',#177603,#113280,#113281); #96172=AXIS2_PLACEMENT_3D('',#177604,#113282,#113283); #96173=AXIS2_PLACEMENT_3D('',#177607,#113285,#113286); #96174=AXIS2_PLACEMENT_3D('',#177608,#113287,#113288); #96175=AXIS2_PLACEMENT_3D('',#177614,#113291,#113292); #96176=AXIS2_PLACEMENT_3D('',#177646,#113296,#113297); #96177=AXIS2_PLACEMENT_3D('',#177647,#113298,#113299); #96178=AXIS2_PLACEMENT_3D('',#177649,#113300,#113301); #96179=AXIS2_PLACEMENT_3D('',#177651,#113302,#113303); #96180=AXIS2_PLACEMENT_3D('',#177664,#113305,#113306); #96181=AXIS2_PLACEMENT_3D('',#177665,#113307,#113308); #96182=AXIS2_PLACEMENT_3D('',#177666,#113309,#113310); #96183=AXIS2_PLACEMENT_3D('',#177667,#113311,#113312); #96184=AXIS2_PLACEMENT_3D('',#177670,#113313,#113314); #96185=AXIS2_PLACEMENT_3D('',#177671,#113315,#113316); #96186=AXIS2_PLACEMENT_3D('',#177672,#113317,#113318); #96187=AXIS2_PLACEMENT_3D('',#177684,#113319,#113320); #96188=AXIS2_PLACEMENT_3D('',#177685,#113321,#113322); #96189=AXIS2_PLACEMENT_3D('',#177686,#113323,#113324); #96190=AXIS2_PLACEMENT_3D('',#177687,#113325,#113326); #96191=AXIS2_PLACEMENT_3D('',#177690,#113327,#113328); #96192=AXIS2_PLACEMENT_3D('',#177704,#113331,#113332); #96193=AXIS2_PLACEMENT_3D('',#177705,#113333,#113334); #96194=AXIS2_PLACEMENT_3D('',#177706,#113335,#113336); #96195=AXIS2_PLACEMENT_3D('',#177707,#113337,#113338); #96196=AXIS2_PLACEMENT_3D('',#177710,#113339,#113340); #96197=AXIS2_PLACEMENT_3D('',#177711,#113341,#113342); #96198=AXIS2_PLACEMENT_3D('',#177712,#113343,#113344); #96199=AXIS2_PLACEMENT_3D('',#177724,#113345,#113346); #96200=AXIS2_PLACEMENT_3D('',#177725,#113347,#113348); #96201=AXIS2_PLACEMENT_3D('',#177726,#113349,#113350); #96202=AXIS2_PLACEMENT_3D('',#177727,#113351,#113352); #96203=AXIS2_PLACEMENT_3D('',#177730,#113353,#113354); #96204=AXIS2_PLACEMENT_3D('',#177744,#113357,#113358); #96205=AXIS2_PLACEMENT_3D('',#177745,#113359,#113360); #96206=AXIS2_PLACEMENT_3D('',#177746,#113361,#113362); #96207=AXIS2_PLACEMENT_3D('',#177747,#113363,#113364); #96208=AXIS2_PLACEMENT_3D('',#177750,#113365,#113366); #96209=AXIS2_PLACEMENT_3D('',#177762,#113369,#113370); #96210=AXIS2_PLACEMENT_3D('',#177763,#113371,#113372); #96211=AXIS2_PLACEMENT_3D('',#177764,#113373,#113374); #96212=AXIS2_PLACEMENT_3D('',#177768,#113376,#113377); #96213=AXIS2_PLACEMENT_3D('',#177770,#113379,#113380); #96214=AXIS2_PLACEMENT_3D('',#177800,#113383,#113384); #96215=AXIS2_PLACEMENT_3D('',#177803,#113386,#113387); #96216=AXIS2_PLACEMENT_3D('',#177804,#113388,#113389); #96217=AXIS2_PLACEMENT_3D('',#177807,#113391,#113392); #96218=AXIS2_PLACEMENT_3D('',#177808,#113393,#113394); #96219=AXIS2_PLACEMENT_3D('',#177809,#113395,#113396); #96220=AXIS2_PLACEMENT_3D('',#177810,#113397,#113398); #96221=AXIS2_PLACEMENT_3D('',#177811,#113399,#113400); #96222=AXIS2_PLACEMENT_3D('',#177877,#113402,#113403); #96223=AXIS2_PLACEMENT_3D('',#177934,#113405,#113406); #96224=AXIS2_PLACEMENT_3D('',#178046,#113407,#113408); #96225=AXIS2_PLACEMENT_3D('',#178121,#113409,#113410); #96226=AXIS2_PLACEMENT_3D('',#178127,#113411,#113412); #96227=AXIS2_PLACEMENT_3D('',#178128,#113413,#113414); #96228=AXIS2_PLACEMENT_3D('',#178129,#113415,#113416); #96229=AXIS2_PLACEMENT_3D('',#178130,#113417,#113418); #96230=AXIS2_PLACEMENT_3D('',#178136,#113419,#113420); #96231=AXIS2_PLACEMENT_3D('',#178143,#113421,#113422); #96232=AXIS2_PLACEMENT_3D('',#178151,#113423,#113424); #96233=AXIS2_PLACEMENT_3D('',#178155,#113426,#113427); #96234=AXIS2_PLACEMENT_3D('',#178157,#113429,#113430); #96235=AXIS2_PLACEMENT_3D('',#178160,#113431,#113432); #96236=AXIS2_PLACEMENT_3D('',#178161,#113433,#113434); #96237=AXIS2_PLACEMENT_3D('',#178162,#113435,#113436); #96238=AXIS2_PLACEMENT_3D('',#178163,#113437,#113438); #96239=AXIS2_PLACEMENT_3D('',#178166,#113439,#113440); #96240=AXIS2_PLACEMENT_3D('',#178169,#113443,#113444); #96241=AXIS2_PLACEMENT_3D('',#178173,#113446,#113447); #96242=AXIS2_PLACEMENT_3D('',#178177,#113450,#113451); #96243=AXIS2_PLACEMENT_3D('',#178181,#113454,#113455); #96244=AXIS2_PLACEMENT_3D('',#178185,#113457,#113458); #96245=AXIS2_PLACEMENT_3D('',#178187,#113460,#113461); #96246=AXIS2_PLACEMENT_3D('',#178191,#113464,#113465); #96247=AXIS2_PLACEMENT_3D('',#178196,#113468,#113469); #96248=AXIS2_PLACEMENT_3D('',#178198,#113470,#113471); #96249=AXIS2_PLACEMENT_3D('',#178199,#113472,#113473); #96250=AXIS2_PLACEMENT_3D('',#178200,#113474,#113475); #96251=AXIS2_PLACEMENT_3D('',#178201,#113476,#113477); #96252=AXIS2_PLACEMENT_3D('',#178202,#113478,#113479); #96253=AXIS2_PLACEMENT_3D('',#178203,#113480,#113481); #96254=AXIS2_PLACEMENT_3D('',#178204,#113482,#113483); #96255=AXIS2_PLACEMENT_3D('',#178206,#113485,#113486); #96256=AXIS2_PLACEMENT_3D('',#178209,#113489,#113490); #96257=AXIS2_PLACEMENT_3D('',#178210,#113491,#113492); #96258=AXIS2_PLACEMENT_3D('',#178213,#113493,#113494); #96259=AXIS2_PLACEMENT_3D('',#178216,#113497,#113498); #96260=AXIS2_PLACEMENT_3D('',#178218,#113500,#113501); #96261=AXIS2_PLACEMENT_3D('',#178219,#113502,#113503); #96262=AXIS2_PLACEMENT_3D('',#178220,#113504,#113505); #96263=AXIS2_PLACEMENT_3D('',#178221,#113506,#113507); #96264=AXIS2_PLACEMENT_3D('',#178222,#113508,#113509); #96265=AXIS2_PLACEMENT_3D('',#178223,#113510,#113511); #96266=AXIS2_PLACEMENT_3D('',#178269,#113512,#113513); #96267=AXIS2_PLACEMENT_3D('',#178270,#113514,#113515); #96268=AXIS2_PLACEMENT_3D('',#178271,#113516,#113517); #96269=AXIS2_PLACEMENT_3D('',#178272,#113518,#113519); #96270=AXIS2_PLACEMENT_3D('',#178273,#113520,#113521); #96271=AXIS2_PLACEMENT_3D('',#178277,#113524,#113525); #96272=AXIS2_PLACEMENT_3D('',#178278,#113526,#113527); #96273=AXIS2_PLACEMENT_3D('',#178279,#113528,#113529); #96274=AXIS2_PLACEMENT_3D('',#178280,#113530,#113531); #96275=AXIS2_PLACEMENT_3D('',#178281,#113532,#113533); #96276=AXIS2_PLACEMENT_3D('',#178282,#113534,#113535); #96277=AXIS2_PLACEMENT_3D('',#178283,#113536,#113537); #96278=AXIS2_PLACEMENT_3D('',#178292,#113542,#113543); #96279=AXIS2_PLACEMENT_3D('',#178301,#113548,#113549); #96280=AXIS2_PLACEMENT_3D('',#178307,#113553,#113554); #96281=AXIS2_PLACEMENT_3D('',#178313,#113558,#113559); #96282=AXIS2_PLACEMENT_3D('',#178322,#113564,#113565); #96283=AXIS2_PLACEMENT_3D('',#178324,#113566,#113567); #96284=AXIS2_PLACEMENT_3D('',#178326,#113568,#113569); #96285=AXIS2_PLACEMENT_3D('',#178328,#113571,#113572); #96286=AXIS2_PLACEMENT_3D('',#178332,#113574,#113575); #96287=AXIS2_PLACEMENT_3D('',#178333,#113576,#113577); #96288=AXIS2_PLACEMENT_3D('',#178334,#113578,#113579); #96289=AXIS2_PLACEMENT_3D('',#178335,#113580,#113581); #96290=AXIS2_PLACEMENT_3D('',#178336,#113582,#113583); #96291=AXIS2_PLACEMENT_3D('',#178337,#113584,#113585); #96292=AXIS2_PLACEMENT_3D('',#178339,#113586,#113587); #96293=AXIS2_PLACEMENT_3D('',#178341,#113588,#113589); #96294=AXIS2_PLACEMENT_3D('',#178342,#113590,#113591); #96295=AXIS2_PLACEMENT_3D('',#178344,#113592,#113593); #96296=AXIS2_PLACEMENT_3D('',#178345,#113594,#113595); #96297=AXIS2_PLACEMENT_3D('',#178354,#113600,#113601); #96298=AXIS2_PLACEMENT_3D('',#178357,#113603,#113604); #96299=AXIS2_PLACEMENT_3D('',#178358,#113605,#113606); #96300=AXIS2_PLACEMENT_3D('',#178359,#113607,#113608); #96301=AXIS2_PLACEMENT_3D('',#178360,#113609,#113610); #96302=AXIS2_PLACEMENT_3D('',#178362,#113611,#113612); #96303=AXIS2_PLACEMENT_3D('',#178364,#113614,#113615); #96304=AXIS2_PLACEMENT_3D('',#178367,#113617,#113618); #96305=AXIS2_PLACEMENT_3D('',#178368,#113619,#113620); #96306=AXIS2_PLACEMENT_3D('',#178369,#113621,#113622); #96307=AXIS2_PLACEMENT_3D('',#178378,#113627,#113628); #96308=AXIS2_PLACEMENT_3D('',#178379,#113629,#113630); #96309=AXIS2_PLACEMENT_3D('',#178382,#113633,#113634); #96310=AXIS2_PLACEMENT_3D('',#178384,#113636,#113637); #96311=AXIS2_PLACEMENT_3D('',#178386,#113639,#113640); #96312=AXIS2_PLACEMENT_3D('',#178387,#113641,#113642); #96313=AXIS2_PLACEMENT_3D('',#178396,#113647,#113648); #96314=AXIS2_PLACEMENT_3D('',#178402,#113652,#113653); #96315=AXIS2_PLACEMENT_3D('',#178408,#113657,#113658); #96316=AXIS2_PLACEMENT_3D('',#178411,#113661,#113662); #96317=AXIS2_PLACEMENT_3D('',#178414,#113663,#113664); #96318=AXIS2_PLACEMENT_3D('',#178418,#113666,#113667); #96319=AXIS2_PLACEMENT_3D('',#178420,#113669,#113670); #96320=AXIS2_PLACEMENT_3D('',#178426,#113674,#113675); #96321=AXIS2_PLACEMENT_3D('',#178428,#113676,#113677); #96322=AXIS2_PLACEMENT_3D('',#178430,#113678,#113679); #96323=AXIS2_PLACEMENT_3D('',#178434,#113681,#113682); #96324=AXIS2_PLACEMENT_3D('',#178436,#113683,#113684); #96325=AXIS2_PLACEMENT_3D('',#178438,#113685,#113686); #96326=AXIS2_PLACEMENT_3D('',#178442,#113688,#113689); #96327=AXIS2_PLACEMENT_3D('',#178444,#113690,#113691); #96328=AXIS2_PLACEMENT_3D('',#178448,#113694,#113695); #96329=AXIS2_PLACEMENT_3D('',#178451,#113696,#113697); #96330=AXIS2_PLACEMENT_3D('',#178454,#113700,#113701); #96331=AXIS2_PLACEMENT_3D('',#178458,#113704,#113705); #96332=AXIS2_PLACEMENT_3D('',#178464,#113708,#113709); #96333=AXIS2_PLACEMENT_3D('',#178466,#113710,#113711); #96334=AXIS2_PLACEMENT_3D('',#178470,#113713,#113714); #96335=AXIS2_PLACEMENT_3D('',#178472,#113715,#113716); #96336=AXIS2_PLACEMENT_3D('',#178473,#113717,#113718); #96337=AXIS2_PLACEMENT_3D('',#178474,#113719,#113720); #96338=AXIS2_PLACEMENT_3D('',#178475,#113721,#113722); #96339=AXIS2_PLACEMENT_3D('',#178477,#113724,#113725); #96340=AXIS2_PLACEMENT_3D('',#178479,#113727,#113728); #96341=AXIS2_PLACEMENT_3D('',#178481,#113730,#113731); #96342=AXIS2_PLACEMENT_3D('',#178483,#113733,#113734); #96343=AXIS2_PLACEMENT_3D('',#178485,#113736,#113737); #96344=AXIS2_PLACEMENT_3D('',#178487,#113739,#113740); #96345=AXIS2_PLACEMENT_3D('',#178489,#113742,#113743); #96346=AXIS2_PLACEMENT_3D('',#178490,#113744,#113745); #96347=AXIS2_PLACEMENT_3D('',#178491,#113746,#113747); #96348=AXIS2_PLACEMENT_3D('',#178500,#113752,#113753); #96349=AXIS2_PLACEMENT_3D('',#178506,#113757,#113758); #96350=AXIS2_PLACEMENT_3D('',#178509,#113759,#113760); #96351=AXIS2_PLACEMENT_3D('',#178511,#113761,#113762); #96352=AXIS2_PLACEMENT_3D('',#178513,#113763,#113764); #96353=AXIS2_PLACEMENT_3D('',#178517,#113766,#113767); #96354=AXIS2_PLACEMENT_3D('',#178519,#113768,#113769); #96355=AXIS2_PLACEMENT_3D('',#178521,#113770,#113771); #96356=AXIS2_PLACEMENT_3D('',#178525,#113773,#113774); #96357=AXIS2_PLACEMENT_3D('',#178526,#113775,#113776); #96358=AXIS2_PLACEMENT_3D('',#178536,#113782,#113783); #96359=AXIS2_PLACEMENT_3D('',#178539,#113785,#113786); #96360=AXIS2_PLACEMENT_3D('',#178540,#113787,#113788); #96361=AXIS2_PLACEMENT_3D('',#178544,#113791,#113792); #96362=AXIS2_PLACEMENT_3D('',#178547,#113793,#113794); #96363=AXIS2_PLACEMENT_3D('',#178550,#113797,#113798); #96364=AXIS2_PLACEMENT_3D('',#178554,#113801,#113802); #96365=AXIS2_PLACEMENT_3D('',#178555,#113803,#113804); #96366=AXIS2_PLACEMENT_3D('',#178556,#113805,#113806); #96367=AXIS2_PLACEMENT_3D('',#178559,#113808,#113809); #96368=AXIS2_PLACEMENT_3D('',#178560,#113810,#113811); #96369=AXIS2_PLACEMENT_3D('',#178563,#113813,#113814); #96370=AXIS2_PLACEMENT_3D('',#178564,#113815,#113816); #96371=AXIS2_PLACEMENT_3D('',#178568,#113819,#113820); #96372=AXIS2_PLACEMENT_3D('',#178570,#113821,#113822); #96373=AXIS2_PLACEMENT_3D('',#178572,#113824,#113825); #96374=AXIS2_PLACEMENT_3D('',#178574,#113826,#113827); #96375=AXIS2_PLACEMENT_3D('',#178575,#113828,#113829); #96376=AXIS2_PLACEMENT_3D('',#178584,#113834,#113835); #96377=AXIS2_PLACEMENT_3D('',#178586,#113837,#113838); #96378=AXIS2_PLACEMENT_3D('',#178587,#113839,#113840); #96379=AXIS2_PLACEMENT_3D('',#178590,#113843,#113844); #96380=AXIS2_PLACEMENT_3D('',#178592,#113846,#113847); #96381=AXIS2_PLACEMENT_3D('',#178594,#113849,#113850); #96382=AXIS2_PLACEMENT_3D('',#178595,#113851,#113852); #96383=AXIS2_PLACEMENT_3D('',#178604,#113857,#113858); #96384=AXIS2_PLACEMENT_3D('',#178610,#113862,#113863); #96385=AXIS2_PLACEMENT_3D('',#178616,#113867,#113868); #96386=AXIS2_PLACEMENT_3D('',#178619,#113871,#113872); #96387=AXIS2_PLACEMENT_3D('',#178622,#113873,#113874); #96388=AXIS2_PLACEMENT_3D('',#178626,#113876,#113877); #96389=AXIS2_PLACEMENT_3D('',#178628,#113879,#113880); #96390=AXIS2_PLACEMENT_3D('',#178634,#113884,#113885); #96391=AXIS2_PLACEMENT_3D('',#178636,#113886,#113887); #96392=AXIS2_PLACEMENT_3D('',#178638,#113888,#113889); #96393=AXIS2_PLACEMENT_3D('',#178642,#113891,#113892); #96394=AXIS2_PLACEMENT_3D('',#178644,#113893,#113894); #96395=AXIS2_PLACEMENT_3D('',#178646,#113895,#113896); #96396=AXIS2_PLACEMENT_3D('',#178650,#113898,#113899); #96397=AXIS2_PLACEMENT_3D('',#178652,#113900,#113901); #96398=AXIS2_PLACEMENT_3D('',#178656,#113904,#113905); #96399=AXIS2_PLACEMENT_3D('',#178659,#113906,#113907); #96400=AXIS2_PLACEMENT_3D('',#178662,#113910,#113911); #96401=AXIS2_PLACEMENT_3D('',#178666,#113914,#113915); #96402=AXIS2_PLACEMENT_3D('',#178672,#113918,#113919); #96403=AXIS2_PLACEMENT_3D('',#178674,#113920,#113921); #96404=AXIS2_PLACEMENT_3D('',#178678,#113923,#113924); #96405=AXIS2_PLACEMENT_3D('',#178680,#113925,#113926); #96406=AXIS2_PLACEMENT_3D('',#178681,#113927,#113928); #96407=AXIS2_PLACEMENT_3D('',#178682,#113929,#113930); #96408=AXIS2_PLACEMENT_3D('',#178683,#113931,#113932); #96409=AXIS2_PLACEMENT_3D('',#178686,#113935,#113936); #96410=AXIS2_PLACEMENT_3D('',#178688,#113938,#113939); #96411=AXIS2_PLACEMENT_3D('',#178690,#113941,#113942); #96412=AXIS2_PLACEMENT_3D('',#178692,#113944,#113945); #96413=AXIS2_PLACEMENT_3D('',#178693,#113946,#113947); #96414=AXIS2_PLACEMENT_3D('',#178694,#113948,#113949); #96415=AXIS2_PLACEMENT_3D('',#178696,#113951,#113952); #96416=AXIS2_PLACEMENT_3D('',#178698,#113954,#113955); #96417=AXIS2_PLACEMENT_3D('',#178699,#113956,#113957); #96418=AXIS2_PLACEMENT_3D('',#178708,#113962,#113963); #96419=AXIS2_PLACEMENT_3D('',#178714,#113967,#113968); #96420=AXIS2_PLACEMENT_3D('',#178720,#113972,#113973); #96421=AXIS2_PLACEMENT_3D('',#178723,#113976,#113977); #96422=AXIS2_PLACEMENT_3D('',#178726,#113978,#113979); #96423=AXIS2_PLACEMENT_3D('',#178730,#113981,#113982); #96424=AXIS2_PLACEMENT_3D('',#178732,#113984,#113985); #96425=AXIS2_PLACEMENT_3D('',#178734,#113986,#113987); #96426=AXIS2_PLACEMENT_3D('',#178737,#113989,#113990); #96427=AXIS2_PLACEMENT_3D('',#178738,#113991,#113992); #96428=AXIS2_PLACEMENT_3D('',#178746,#113996,#113997); #96429=AXIS2_PLACEMENT_3D('',#178748,#113998,#113999); #96430=AXIS2_PLACEMENT_3D('',#178752,#114001,#114002); #96431=AXIS2_PLACEMENT_3D('',#178754,#114003,#114004); #96432=AXIS2_PLACEMENT_3D('',#178756,#114005,#114006); #96433=AXIS2_PLACEMENT_3D('',#178759,#114008,#114009); #96434=AXIS2_PLACEMENT_3D('',#178760,#114010,#114011); #96435=AXIS2_PLACEMENT_3D('',#178763,#114013,#114014); #96436=AXIS2_PLACEMENT_3D('',#178764,#114015,#114016); #96437=AXIS2_PLACEMENT_3D('',#178768,#114019,#114020); #96438=AXIS2_PLACEMENT_3D('',#178771,#114021,#114022); #96439=AXIS2_PLACEMENT_3D('',#178774,#114025,#114026); #96440=AXIS2_PLACEMENT_3D('',#178778,#114029,#114030); #96441=AXIS2_PLACEMENT_3D('',#178780,#114031,#114032); #96442=AXIS2_PLACEMENT_3D('',#178782,#114034,#114035); #96443=AXIS2_PLACEMENT_3D('',#178784,#114036,#114037); #96444=AXIS2_PLACEMENT_3D('',#178786,#114039,#114040); #96445=AXIS2_PLACEMENT_3D('',#178790,#114043,#114044); #96446=AXIS2_PLACEMENT_3D('',#178793,#114046,#114047); #96447=AXIS2_PLACEMENT_3D('',#178794,#114048,#114049); #96448=AXIS2_PLACEMENT_3D('',#178795,#114050,#114051); #96449=AXIS2_PLACEMENT_3D('',#178799,#114054,#114055); #96450=AXIS2_PLACEMENT_3D('',#178801,#114057,#114058); #96451=AXIS2_PLACEMENT_3D('',#178802,#114059,#114060); #96452=AXIS2_PLACEMENT_3D('',#178835,#114061,#114062); #96453=AXIS2_PLACEMENT_3D('',#178844,#114063,#114064); #96454=AXIS2_PLACEMENT_3D('',#178851,#114065,#114066); #96455=AXIS2_PLACEMENT_3D('',#178854,#114067,#114068); #96456=AXIS2_PLACEMENT_3D('',#178855,#114069,#114070); #96457=AXIS2_PLACEMENT_3D('',#178857,#114071,#114072); #96458=AXIS2_PLACEMENT_3D('',#178858,#114073,#114074); #96459=AXIS2_PLACEMENT_3D('',#178891,#114075,#114076); #96460=AXIS2_PLACEMENT_3D('',#178904,#114077,#114078); #96461=AXIS2_PLACEMENT_3D('',#178907,#114079,#114080); #96462=AXIS2_PLACEMENT_3D('',#178942,#114083,#114084); #96463=AXIS2_PLACEMENT_3D('',#178955,#114085,#114086); #96464=AXIS2_PLACEMENT_3D('',#178958,#114087,#114088); #96465=AXIS2_PLACEMENT_3D('',#178959,#114089,#114090); #96466=AXIS2_PLACEMENT_3D('',#178961,#114091,#114092); #96467=AXIS2_PLACEMENT_3D('',#178962,#114093,#114094); #96468=AXIS2_PLACEMENT_3D('',#178995,#114095,#114096); #96469=AXIS2_PLACEMENT_3D('',#179008,#114097,#114098); #96470=AXIS2_PLACEMENT_3D('',#179011,#114101,#114102); #96471=AXIS2_PLACEMENT_3D('',#179015,#114104,#114105); #96472=AXIS2_PLACEMENT_3D('',#179017,#114107,#114108); #96473=AXIS2_PLACEMENT_3D('',#179020,#114110,#114111); #96474=AXIS2_PLACEMENT_3D('',#179021,#114112,#114113); #96475=AXIS2_PLACEMENT_3D('',#179025,#114116,#114117); #96476=AXIS2_PLACEMENT_3D('',#179028,#114119,#114120); #96477=AXIS2_PLACEMENT_3D('',#179029,#114121,#114122); #96478=AXIS2_PLACEMENT_3D('',#179031,#114123,#114124); #96479=AXIS2_PLACEMENT_3D('',#179036,#114127,#114128); #96480=AXIS2_PLACEMENT_3D('',#179051,#114130,#114131); #96481=AXIS2_PLACEMENT_3D('',#179055,#114133,#114134); #96482=AXIS2_PLACEMENT_3D('',#179057,#114135,#114136); #96483=AXIS2_PLACEMENT_3D('',#179059,#114137,#114138); #96484=AXIS2_PLACEMENT_3D('',#179063,#114140,#114141); #96485=AXIS2_PLACEMENT_3D('',#179067,#114143,#114144); #96486=AXIS2_PLACEMENT_3D('',#179069,#114145,#114146); #96487=AXIS2_PLACEMENT_3D('',#179071,#114147,#114148); #96488=AXIS2_PLACEMENT_3D('',#179075,#114150,#114151); #96489=AXIS2_PLACEMENT_3D('',#179089,#114153,#114154); #96490=AXIS2_PLACEMENT_3D('',#179090,#114155,#114156); #96491=AXIS2_PLACEMENT_3D('',#179093,#114157,#114158); #96492=AXIS2_PLACEMENT_3D('',#179095,#114159,#114160); #96493=AXIS2_PLACEMENT_3D('',#179096,#114161,#114162); #96494=AXIS2_PLACEMENT_3D('',#179097,#114163,#114164); #96495=AXIS2_PLACEMENT_3D('',#179098,#114165,#114166); #96496=AXIS2_PLACEMENT_3D('',#179099,#114167,#114168); #96497=AXIS2_PLACEMENT_3D('',#179102,#114170,#114171); #96498=AXIS2_PLACEMENT_3D('',#179103,#114172,#114173); #96499=AXIS2_PLACEMENT_3D('',#179104,#114174,#114175); #96500=AXIS2_PLACEMENT_3D('',#179105,#114176,#114177); #96501=AXIS2_PLACEMENT_3D('',#179107,#114178,#114179); #96502=AXIS2_PLACEMENT_3D('',#179108,#114180,#114181); #96503=AXIS2_PLACEMENT_3D('',#179109,#114182,#114183); #96504=AXIS2_PLACEMENT_3D('',#179110,#114184,#114185); #96505=AXIS2_PLACEMENT_3D('',#179112,#114186,#114187); #96506=AXIS2_PLACEMENT_3D('',#179115,#114189,#114190); #96507=AXIS2_PLACEMENT_3D('',#179117,#114192,#114193); #96508=AXIS2_PLACEMENT_3D('',#179118,#114194,#114195); #96509=AXIS2_PLACEMENT_3D('',#179119,#114196,#114197); #96510=AXIS2_PLACEMENT_3D('',#179120,#114198,#114199); #96511=AXIS2_PLACEMENT_3D('',#179121,#114200,#114201); #96512=AXIS2_PLACEMENT_3D('',#179123,#114203,#114204); #96513=AXIS2_PLACEMENT_3D('',#179125,#114206,#114207); #96514=AXIS2_PLACEMENT_3D('',#179126,#114208,#114209); #96515=AXIS2_PLACEMENT_3D('',#179142,#114211,#114212); #96516=AXIS2_PLACEMENT_3D('',#179144,#114214,#114215); #96517=AXIS2_PLACEMENT_3D('',#179145,#114216,#114217); #96518=AXIS2_PLACEMENT_3D('',#179146,#114218,#114219); #96519=AXIS2_PLACEMENT_3D('',#179147,#114220,#114221); #96520=AXIS2_PLACEMENT_3D('',#179150,#114223,#114224); #96521=AXIS2_PLACEMENT_3D('',#179151,#114225,#114226); #96522=AXIS2_PLACEMENT_3D('',#179161,#114229,#114230); #96523=AXIS2_PLACEMENT_3D('',#179177,#114232,#114233); #96524=AXIS2_PLACEMENT_3D('',#179179,#114235,#114236); #96525=AXIS2_PLACEMENT_3D('',#179180,#114237,#114238); #96526=AXIS2_PLACEMENT_3D('',#179181,#114239,#114240); #96527=AXIS2_PLACEMENT_3D('',#179182,#114241,#114242); #96528=AXIS2_PLACEMENT_3D('',#179184,#114243,#114244); #96529=AXIS2_PLACEMENT_3D('',#179186,#114246,#114247); #96530=AXIS2_PLACEMENT_3D('',#179188,#114249,#114250); #96531=AXIS2_PLACEMENT_3D('',#179190,#114251,#114252); #96532=AXIS2_PLACEMENT_3D('',#179192,#114253,#114254); #96533=AXIS2_PLACEMENT_3D('',#179251,#114256,#114257); #96534=AXIS2_PLACEMENT_3D('',#179252,#114258,#114259); #96535=AXIS2_PLACEMENT_3D('',#179253,#114260,#114261); #96536=AXIS2_PLACEMENT_3D('',#179255,#114262,#114263); #96537=AXIS2_PLACEMENT_3D('',#179256,#114264,#114265); #96538=AXIS2_PLACEMENT_3D('',#179257,#114266,#114267); #96539=AXIS2_PLACEMENT_3D('',#179259,#114268,#114269); #96540=AXIS2_PLACEMENT_3D('',#179287,#114271,#114272); #96541=AXIS2_PLACEMENT_3D('',#179321,#114273,#114274); #96542=AXIS2_PLACEMENT_3D('',#179323,#114275,#114276); #96543=AXIS2_PLACEMENT_3D('',#179325,#114278,#114279); #96544=AXIS2_PLACEMENT_3D('',#179326,#114280,#114281); #96545=AXIS2_PLACEMENT_3D('',#179328,#114283,#114284); #96546=AXIS2_PLACEMENT_3D('',#179329,#114285,#114286); #96547=AXIS2_PLACEMENT_3D('',#179331,#114287,#114288); #96548=AXIS2_PLACEMENT_3D('',#179332,#114289,#114290); #96549=AXIS2_PLACEMENT_3D('',#179333,#114291,#114292); #96550=AXIS2_PLACEMENT_3D('',#179334,#114293,#114294); #96551=AXIS2_PLACEMENT_3D('',#179336,#114296,#114297); #96552=AXIS2_PLACEMENT_3D('',#179345,#114302,#114303); #96553=AXIS2_PLACEMENT_3D('',#179354,#114308,#114309); #96554=AXIS2_PLACEMENT_3D('',#179355,#114310,#114311); #96555=AXIS2_PLACEMENT_3D('',#179356,#114312,#114313); #96556=AXIS2_PLACEMENT_3D('',#179357,#114314,#114315); #96557=AXIS2_PLACEMENT_3D('',#179359,#114316,#114317); #96558=AXIS2_PLACEMENT_3D('',#179363,#114319,#114320); #96559=AXIS2_PLACEMENT_3D('',#179365,#114321,#114322); #96560=AXIS2_PLACEMENT_3D('',#179369,#114324,#114325); #96561=AXIS2_PLACEMENT_3D('',#179370,#114326,#114327); #96562=AXIS2_PLACEMENT_3D('',#179372,#114328,#114329); #96563=AXIS2_PLACEMENT_3D('',#179374,#114330,#114331); #96564=AXIS2_PLACEMENT_3D('',#179378,#114333,#114334); #96565=AXIS2_PLACEMENT_3D('',#179382,#114336,#114337); #96566=AXIS2_PLACEMENT_3D('',#179384,#114338,#114339); #96567=AXIS2_PLACEMENT_3D('',#179386,#114340,#114341); #96568=AXIS2_PLACEMENT_3D('',#179389,#114343,#114344); #96569=AXIS2_PLACEMENT_3D('',#179390,#114345,#114346); #96570=AXIS2_PLACEMENT_3D('',#179392,#114347,#114348); #96571=AXIS2_PLACEMENT_3D('',#179420,#114350,#114351); #96572=AXIS2_PLACEMENT_3D('',#179472,#114352,#114353); #96573=AXIS2_PLACEMENT_3D('',#179473,#114354,#114355); #96574=AXIS2_PLACEMENT_3D('',#179476,#114356,#114357); #96575=AXIS2_PLACEMENT_3D('',#179478,#114358,#114359); #96576=AXIS2_PLACEMENT_3D('',#179480,#114360,#114361); #96577=AXIS2_PLACEMENT_3D('',#179482,#114362,#114363); #96578=AXIS2_PLACEMENT_3D('',#179483,#114364,#114365); #96579=AXIS2_PLACEMENT_3D('',#179579,#114366,#114367); #96580=AXIS2_PLACEMENT_3D('',#179586,#114368,#114369); #96581=AXIS2_PLACEMENT_3D('',#179587,#114370,#114371); #96582=AXIS2_PLACEMENT_3D('',#179589,#114372,#114373); #96583=AXIS2_PLACEMENT_3D('',#179591,#114374,#114375); #96584=AXIS2_PLACEMENT_3D('',#179592,#114376,#114377); #96585=AXIS2_PLACEMENT_3D('',#179593,#114378,#114379); #96586=AXIS2_PLACEMENT_3D('',#179594,#114380,#114381); #96587=AXIS2_PLACEMENT_3D('',#179597,#114382,#114383); #96588=AXIS2_PLACEMENT_3D('',#179599,#114384,#114385); #96589=AXIS2_PLACEMENT_3D('',#179601,#114386,#114387); #96590=AXIS2_PLACEMENT_3D('',#179602,#114388,#114389); #96591=AXIS2_PLACEMENT_3D('',#179603,#114390,#114391); #96592=AXIS2_PLACEMENT_3D('',#179604,#114392,#114393); #96593=AXIS2_PLACEMENT_3D('',#179608,#114395,#114396); #96594=AXIS2_PLACEMENT_3D('',#179609,#114397,#114398); #96595=AXIS2_PLACEMENT_3D('',#179610,#114399,#114400); #96596=AXIS2_PLACEMENT_3D('',#179612,#114401,#114402); #96597=AXIS2_PLACEMENT_3D('',#179614,#114404,#114405); #96598=AXIS2_PLACEMENT_3D('',#179616,#114406,#114407); #96599=AXIS2_PLACEMENT_3D('',#179618,#114408,#114409); #96600=AXIS2_PLACEMENT_3D('',#179619,#114410,#114411); #96601=AXIS2_PLACEMENT_3D('',#179620,#114412,#114413); #96602=AXIS2_PLACEMENT_3D('',#179622,#114415,#114416); #96603=AXIS2_PLACEMENT_3D('',#179625,#114417,#114418); #96604=AXIS2_PLACEMENT_3D('',#179627,#114419,#114420); #96605=AXIS2_PLACEMENT_3D('',#179628,#114421,#114422); #96606=AXIS2_PLACEMENT_3D('',#179629,#114423,#114424); #96607=AXIS2_PLACEMENT_3D('',#179630,#114425,#114426); #96608=AXIS2_PLACEMENT_3D('',#179632,#114427,#114428); #96609=AXIS2_PLACEMENT_3D('',#179633,#114429,#114430); #96610=AXIS2_PLACEMENT_3D('',#179635,#114431,#114432); #96611=AXIS2_PLACEMENT_3D('',#179639,#114434,#114435); #96612=AXIS2_PLACEMENT_3D('',#179643,#114437,#114438); #96613=AXIS2_PLACEMENT_3D('',#179647,#114440,#114441); #96614=AXIS2_PLACEMENT_3D('',#179652,#114444,#114445); #96615=AXIS2_PLACEMENT_3D('',#179656,#114447,#114448); #96616=AXIS2_PLACEMENT_3D('',#179659,#114450,#114451); #96617=AXIS2_PLACEMENT_3D('',#179662,#114453,#114454); #96618=AXIS2_PLACEMENT_3D('',#179667,#114456,#114457); #96619=AXIS2_PLACEMENT_3D('',#179671,#114459,#114460); #96620=AXIS2_PLACEMENT_3D('',#179675,#114462,#114463); #96621=AXIS2_PLACEMENT_3D('',#179679,#114465,#114466); #96622=AXIS2_PLACEMENT_3D('',#179683,#114468,#114469); #96623=AXIS2_PLACEMENT_3D('',#179687,#114471,#114472); #96624=AXIS2_PLACEMENT_3D('',#179691,#114474,#114475); #96625=AXIS2_PLACEMENT_3D('',#179695,#114477,#114478); #96626=AXIS2_PLACEMENT_3D('',#179699,#114480,#114481); #96627=AXIS2_PLACEMENT_3D('',#179703,#114483,#114484); #96628=AXIS2_PLACEMENT_3D('',#179707,#114486,#114487); #96629=AXIS2_PLACEMENT_3D('',#179711,#114489,#114490); #96630=AXIS2_PLACEMENT_3D('',#179715,#114492,#114493); #96631=AXIS2_PLACEMENT_3D('',#179719,#114495,#114496); #96632=AXIS2_PLACEMENT_3D('',#179723,#114498,#114499); #96633=AXIS2_PLACEMENT_3D('',#179727,#114501,#114502); #96634=AXIS2_PLACEMENT_3D('',#179731,#114504,#114505); #96635=AXIS2_PLACEMENT_3D('',#179735,#114507,#114508); #96636=AXIS2_PLACEMENT_3D('',#179739,#114510,#114511); #96637=AXIS2_PLACEMENT_3D('',#179743,#114513,#114514); #96638=AXIS2_PLACEMENT_3D('',#179747,#114516,#114517); #96639=AXIS2_PLACEMENT_3D('',#179750,#114519,#114520); #96640=AXIS2_PLACEMENT_3D('',#179755,#114522,#114523); #96641=AXIS2_PLACEMENT_3D('',#179759,#114525,#114526); #96642=AXIS2_PLACEMENT_3D('',#179763,#114528,#114529); #96643=AXIS2_PLACEMENT_3D('',#179801,#114530,#114531); #96644=AXIS2_PLACEMENT_3D('',#179805,#114533,#114534); #96645=AXIS2_PLACEMENT_3D('',#179809,#114536,#114537); #96646=AXIS2_PLACEMENT_3D('',#179813,#114539,#114540); #96647=AXIS2_PLACEMENT_3D('',#179851,#114541,#114542); #96648=AXIS2_PLACEMENT_3D('',#179855,#114544,#114545); #96649=AXIS2_PLACEMENT_3D('',#179859,#114547,#114548); #96650=AXIS2_PLACEMENT_3D('',#179863,#114550,#114551); #96651=AXIS2_PLACEMENT_3D('',#179867,#114553,#114554); #96652=AXIS2_PLACEMENT_3D('',#179904,#114555,#114556); #96653=AXIS2_PLACEMENT_3D('',#179908,#114558,#114559); #96654=AXIS2_PLACEMENT_3D('',#179912,#114561,#114562); #96655=AXIS2_PLACEMENT_3D('',#179916,#114564,#114565); #96656=AXIS2_PLACEMENT_3D('',#179953,#114566,#114567); #96657=AXIS2_PLACEMENT_3D('',#179957,#114569,#114570); #96658=AXIS2_PLACEMENT_3D('',#179961,#114572,#114573); #96659=AXIS2_PLACEMENT_3D('',#179998,#114574,#114575); #96660=AXIS2_PLACEMENT_3D('',#179999,#114576,#114577); #96661=AXIS2_PLACEMENT_3D('',#180001,#114578,#114579); #96662=AXIS2_PLACEMENT_3D('',#180003,#114580,#114581); #96663=AXIS2_PLACEMENT_3D('',#180004,#114582,#114583); #96664=AXIS2_PLACEMENT_3D('',#180005,#114584,#114585); #96665=AXIS2_PLACEMENT_3D('',#180007,#114586,#114587); #96666=AXIS2_PLACEMENT_3D('',#180008,#114588,#114589); #96667=AXIS2_PLACEMENT_3D('',#180040,#114591,#114592); #96668=AXIS2_PLACEMENT_3D('',#180042,#114593,#114594); #96669=AXIS2_PLACEMENT_3D('',#180043,#114595,#114596); #96670=AXIS2_PLACEMENT_3D('',#180044,#114597,#114598); #96671=AXIS2_PLACEMENT_3D('',#180045,#114599,#114600); #96672=AXIS2_PLACEMENT_3D('',#180051,#114604,#114605); #96673=AXIS2_PLACEMENT_3D('',#180057,#114609,#114610); #96674=AXIS2_PLACEMENT_3D('',#180059,#114611,#114612); #96675=AXIS2_PLACEMENT_3D('',#180062,#114614,#114615); #96676=AXIS2_PLACEMENT_3D('',#180063,#114616,#114617); #96677=AXIS2_PLACEMENT_3D('',#180065,#114618,#114619); #96678=AXIS2_PLACEMENT_3D('',#180068,#114621,#114622); #96679=AXIS2_PLACEMENT_3D('',#180069,#114623,#114624); #96680=AXIS2_PLACEMENT_3D('',#180071,#114625,#114626); #96681=AXIS2_PLACEMENT_3D('',#180074,#114628,#114629); #96682=AXIS2_PLACEMENT_3D('',#180075,#114630,#114631); #96683=AXIS2_PLACEMENT_3D('',#180081,#114635,#114636); #96684=AXIS2_PLACEMENT_3D('',#180084,#114639,#114640); #96685=AXIS2_PLACEMENT_3D('',#180085,#114641,#114642); #96686=AXIS2_PLACEMENT_3D('',#180086,#114643,#114644); #96687=AXIS2_PLACEMENT_3D('',#180095,#114649,#114650); #96688=AXIS2_PLACEMENT_3D('',#180131,#114656,#114657); #96689=AXIS2_PLACEMENT_3D('',#180137,#114661,#114662); #96690=AXIS2_PLACEMENT_3D('',#180143,#114666,#114667); #96691=AXIS2_PLACEMENT_3D('',#180149,#114671,#114672); #96692=AXIS2_PLACEMENT_3D('',#180155,#114676,#114677); #96693=AXIS2_PLACEMENT_3D('',#180161,#114681,#114682); #96694=AXIS2_PLACEMENT_3D('',#180197,#114688,#114689); #96695=AXIS2_PLACEMENT_3D('',#180203,#114693,#114694); #96696=AXIS2_PLACEMENT_3D('',#180209,#114698,#114699); #96697=AXIS2_PLACEMENT_3D('',#180215,#114703,#114704); #96698=AXIS2_PLACEMENT_3D('',#180218,#114707,#114708); #96699=AXIS2_PLACEMENT_3D('',#180219,#114709,#114710); #96700=AXIS2_PLACEMENT_3D('',#180228,#114715,#114716); #96701=AXIS2_PLACEMENT_3D('',#180264,#114722,#114723); #96702=AXIS2_PLACEMENT_3D('',#180270,#114727,#114728); #96703=AXIS2_PLACEMENT_3D('',#180276,#114732,#114733); #96704=AXIS2_PLACEMENT_3D('',#180282,#114737,#114738); #96705=AXIS2_PLACEMENT_3D('',#180288,#114742,#114743); #96706=AXIS2_PLACEMENT_3D('',#180294,#114747,#114748); #96707=AXIS2_PLACEMENT_3D('',#180330,#114754,#114755); #96708=AXIS2_PLACEMENT_3D('',#180336,#114759,#114760); #96709=AXIS2_PLACEMENT_3D('',#180342,#114764,#114765); #96710=AXIS2_PLACEMENT_3D('',#180348,#114769,#114770); #96711=AXIS2_PLACEMENT_3D('',#180351,#114773,#114774); #96712=AXIS2_PLACEMENT_3D('',#180352,#114775,#114776); #96713=AXIS2_PLACEMENT_3D('',#180361,#114781,#114782); #96714=AXIS2_PLACEMENT_3D('',#180397,#114788,#114789); #96715=AXIS2_PLACEMENT_3D('',#180403,#114793,#114794); #96716=AXIS2_PLACEMENT_3D('',#180409,#114798,#114799); #96717=AXIS2_PLACEMENT_3D('',#180415,#114803,#114804); #96718=AXIS2_PLACEMENT_3D('',#180421,#114808,#114809); #96719=AXIS2_PLACEMENT_3D('',#180427,#114813,#114814); #96720=AXIS2_PLACEMENT_3D('',#180463,#114820,#114821); #96721=AXIS2_PLACEMENT_3D('',#180469,#114825,#114826); #96722=AXIS2_PLACEMENT_3D('',#180475,#114830,#114831); #96723=AXIS2_PLACEMENT_3D('',#180481,#114835,#114836); #96724=AXIS2_PLACEMENT_3D('',#180484,#114839,#114840); #96725=AXIS2_PLACEMENT_3D('',#180485,#114841,#114842); #96726=AXIS2_PLACEMENT_3D('',#180494,#114847,#114848); #96727=AXIS2_PLACEMENT_3D('',#180530,#114854,#114855); #96728=AXIS2_PLACEMENT_3D('',#180536,#114859,#114860); #96729=AXIS2_PLACEMENT_3D('',#180542,#114864,#114865); #96730=AXIS2_PLACEMENT_3D('',#180548,#114869,#114870); #96731=AXIS2_PLACEMENT_3D('',#180554,#114874,#114875); #96732=AXIS2_PLACEMENT_3D('',#180560,#114879,#114880); #96733=AXIS2_PLACEMENT_3D('',#180596,#114886,#114887); #96734=AXIS2_PLACEMENT_3D('',#180602,#114891,#114892); #96735=AXIS2_PLACEMENT_3D('',#180608,#114896,#114897); #96736=AXIS2_PLACEMENT_3D('',#180614,#114901,#114902); #96737=AXIS2_PLACEMENT_3D('',#180617,#114905,#114906); #96738=AXIS2_PLACEMENT_3D('',#180618,#114907,#114908); #96739=AXIS2_PLACEMENT_3D('',#180619,#114909,#114910); #96740=AXIS2_PLACEMENT_3D('',#180620,#114911,#114912); #96741=AXIS2_PLACEMENT_3D('',#180623,#114915,#114916); #96742=AXIS2_PLACEMENT_3D('',#180630,#114921,#114922); #96743=AXIS2_PLACEMENT_3D('',#180633,#114925,#114926); #96744=AXIS2_PLACEMENT_3D('',#180636,#114929,#114930); #96745=AXIS2_PLACEMENT_3D('',#180643,#114935,#114936); #96746=AXIS2_PLACEMENT_3D('',#180646,#114939,#114940); #96747=AXIS2_PLACEMENT_3D('',#180653,#114945,#114946); #96748=AXIS2_PLACEMENT_3D('',#180656,#114949,#114950); #96749=AXIS2_PLACEMENT_3D('',#180663,#114955,#114956); #96750=AXIS2_PLACEMENT_3D('',#180670,#114961,#114962); #96751=AXIS2_PLACEMENT_3D('',#180673,#114965,#114966); #96752=AXIS2_PLACEMENT_3D('',#180680,#114971,#114972); #96753=AXIS2_PLACEMENT_3D('',#180683,#114975,#114976); #96754=AXIS2_PLACEMENT_3D('',#180690,#114981,#114982); #96755=AXIS2_PLACEMENT_3D('',#180692,#114984,#114985); #96756=AXIS2_PLACEMENT_3D('',#180693,#114986,#114987); #96757=AXIS2_PLACEMENT_3D('',#180694,#114988,#114989); #96758=AXIS2_PLACEMENT_3D('',#180695,#114990,#114991); #96759=AXIS2_PLACEMENT_3D('',#180697,#114993,#114994); #96760=AXIS2_PLACEMENT_3D('',#180699,#114996,#114997); #96761=AXIS2_PLACEMENT_3D('',#180701,#114999,#115000); #96762=AXIS2_PLACEMENT_3D('',#180703,#115002,#115003); #96763=AXIS2_PLACEMENT_3D('',#180705,#115005,#115006); #96764=AXIS2_PLACEMENT_3D('',#180706,#115007,#115008); #96765=AXIS2_PLACEMENT_3D('',#180707,#115009,#115010); #96766=AXIS2_PLACEMENT_3D('',#180713,#115014,#115015); #96767=AXIS2_PLACEMENT_3D('',#180717,#115018,#115019); #96768=AXIS2_PLACEMENT_3D('',#180721,#115022,#115023); #96769=AXIS2_PLACEMENT_3D('',#180723,#115025,#115026); #96770=AXIS2_PLACEMENT_3D('',#180730,#115031,#115032); #96771=AXIS2_PLACEMENT_3D('',#180732,#115033,#115034); #96772=AXIS2_PLACEMENT_3D('',#180734,#115036,#115037); #96773=AXIS2_PLACEMENT_3D('',#180738,#115040,#115041); #96774=AXIS2_PLACEMENT_3D('',#180743,#115044,#115045); #96775=AXIS2_PLACEMENT_3D('',#180744,#115046,#115047); #96776=AXIS2_PLACEMENT_3D('',#180746,#115049,#115050); #96777=AXIS2_PLACEMENT_3D('',#180747,#115051,#115052); #96778=AXIS2_PLACEMENT_3D('',#180748,#115053,#115054); #96779=AXIS2_PLACEMENT_3D('',#180750,#115056,#115057); #96780=AXIS2_PLACEMENT_3D('',#180751,#115058,#115059); #96781=AXIS2_PLACEMENT_3D('',#180752,#115060,#115061); #96782=AXIS2_PLACEMENT_3D('',#180754,#115063,#115064); #96783=AXIS2_PLACEMENT_3D('',#180756,#115066,#115067); #96784=AXIS2_PLACEMENT_3D('',#180757,#115068,#115069); #96785=AXIS2_PLACEMENT_3D('',#180758,#115070,#115071); #96786=AXIS2_PLACEMENT_3D('',#180759,#115072,#115073); #96787=AXIS2_PLACEMENT_3D('',#180761,#115075,#115076); #96788=AXIS2_PLACEMENT_3D('',#180763,#115078,#115079); #96789=AXIS2_PLACEMENT_3D('',#180764,#115080,#115081); #96790=AXIS2_PLACEMENT_3D('',#180766,#115083,#115084); #96791=AXIS2_PLACEMENT_3D('',#180768,#115086,#115087); #96792=AXIS2_PLACEMENT_3D('',#180769,#115088,#115089); #96793=AXIS2_PLACEMENT_3D('',#180770,#115090,#115091); #96794=AXIS2_PLACEMENT_3D('',#180771,#115092,#115093); #96795=AXIS2_PLACEMENT_3D('',#180772,#115094,#115095); #96796=AXIS2_PLACEMENT_3D('',#180773,#115096,#115097); #96797=AXIS2_PLACEMENT_3D('',#180774,#115098,#115099); #96798=AXIS2_PLACEMENT_3D('',#180775,#115100,#115101); #96799=AXIS2_PLACEMENT_3D('',#180776,#115102,#115103); #96800=AXIS2_PLACEMENT_3D('',#180785,#115108,#115109); #96801=AXIS2_PLACEMENT_3D('',#180791,#115113,#115114); #96802=AXIS2_PLACEMENT_3D('',#180797,#115118,#115119); #96803=AXIS2_PLACEMENT_3D('',#180800,#115122,#115123); #96804=AXIS2_PLACEMENT_3D('',#180801,#115124,#115125); #96805=AXIS2_PLACEMENT_3D('',#180815,#115126,#115127); #96806=AXIS2_PLACEMENT_3D('',#180817,#115128,#115129); #96807=AXIS2_PLACEMENT_3D('',#180829,#115130,#115131); #96808=AXIS2_PLACEMENT_3D('',#180830,#115132,#115133); #96809=AXIS2_PLACEMENT_3D('',#180838,#115139,#115140); #96810=AXIS2_PLACEMENT_3D('',#180842,#115143,#115144); #96811=AXIS2_PLACEMENT_3D('',#180846,#115147,#115148); #96812=AXIS2_PLACEMENT_3D('',#180848,#115150,#115151); #96813=AXIS2_PLACEMENT_3D('',#180851,#115153,#115154); #96814=AXIS2_PLACEMENT_3D('',#180853,#115156,#115157); #96815=AXIS2_PLACEMENT_3D('',#180855,#115159,#115160); #96816=AXIS2_PLACEMENT_3D('',#180859,#115163,#115164); #96817=AXIS2_PLACEMENT_3D('',#180863,#115167,#115168); #96818=AXIS2_PLACEMENT_3D('',#180864,#115169,#115170); #96819=AXIS2_PLACEMENT_3D('',#180865,#115171,#115172); #96820=AXIS2_PLACEMENT_3D('',#180868,#115174,#115175); #96821=AXIS2_PLACEMENT_3D('',#180869,#115176,#115177); #96822=AXIS2_PLACEMENT_3D('',#180871,#115178,#115179); #96823=AXIS2_PLACEMENT_3D('',#180873,#115181,#115182); #96824=AXIS2_PLACEMENT_3D('',#180877,#115184,#115185); #96825=AXIS2_PLACEMENT_3D('',#180879,#115186,#115187); #96826=AXIS2_PLACEMENT_3D('',#180881,#115188,#115189); #96827=AXIS2_PLACEMENT_3D('',#180886,#115192,#115193); #96828=AXIS2_PLACEMENT_3D('',#180887,#115194,#115195); #96829=AXIS2_PLACEMENT_3D('',#180888,#115196,#115197); #96830=AXIS2_PLACEMENT_3D('',#180911,#115198,#115199); #96831=AXIS2_PLACEMENT_3D('',#180912,#115200,#115201); #96832=AXIS2_PLACEMENT_3D('',#180920,#115207,#115208); #96833=AXIS2_PLACEMENT_3D('',#180924,#115211,#115212); #96834=AXIS2_PLACEMENT_3D('',#180928,#115215,#115216); #96835=AXIS2_PLACEMENT_3D('',#180930,#115218,#115219); #96836=AXIS2_PLACEMENT_3D('',#180933,#115222,#115223); #96837=AXIS2_PLACEMENT_3D('',#180935,#115225,#115226); #96838=AXIS2_PLACEMENT_3D('',#180936,#115227,#115228); #96839=AXIS2_PLACEMENT_3D('',#180938,#115230,#115231); #96840=AXIS2_PLACEMENT_3D('',#180939,#115232,#115233); #96841=AXIS2_PLACEMENT_3D('',#180941,#115234,#115235); #96842=AXIS2_PLACEMENT_3D('',#180943,#115236,#115237); #96843=AXIS2_PLACEMENT_3D('',#180944,#115238,#115239); #96844=AXIS2_PLACEMENT_3D('',#180945,#115240,#115241); #96845=AXIS2_PLACEMENT_3D('',#180946,#115242,#115243); #96846=AXIS2_PLACEMENT_3D('',#180948,#115244,#115245); #96847=AXIS2_PLACEMENT_3D('',#180949,#115246,#115247); #96848=AXIS2_PLACEMENT_3D('',#180950,#115248,#115249); #96849=AXIS2_PLACEMENT_3D('',#180951,#115250,#115251); #96850=AXIS2_PLACEMENT_3D('',#180954,#115254,#115255); #96851=AXIS2_PLACEMENT_3D('',#180956,#115257,#115258); #96852=AXIS2_PLACEMENT_3D('',#180957,#115259,#115260); #96853=AXIS2_PLACEMENT_3D('',#180960,#115263,#115264); #96854=AXIS2_PLACEMENT_3D('',#180963,#115267,#115268); #96855=AXIS2_PLACEMENT_3D('',#180964,#115269,#115270); #96856=AXIS2_PLACEMENT_3D('',#180965,#115271,#115272); #96857=AXIS2_PLACEMENT_3D('',#180966,#115273,#115274); #96858=AXIS2_PLACEMENT_3D('',#180967,#115275,#115276); #96859=AXIS2_PLACEMENT_3D('',#180968,#115277,#115278); #96860=AXIS2_PLACEMENT_3D('',#180971,#115279,#115280); #96861=AXIS2_PLACEMENT_3D('',#180977,#115283,#115284); #96862=AXIS2_PLACEMENT_3D('',#180981,#115286,#115287); #96863=AXIS2_PLACEMENT_3D('',#180983,#115288,#115289); #96864=AXIS2_PLACEMENT_3D('',#180985,#115290,#115291); #96865=AXIS2_PLACEMENT_3D('',#180989,#115293,#115294); #96866=AXIS2_PLACEMENT_3D('',#180995,#115297,#115298); #96867=AXIS2_PLACEMENT_3D('',#180996,#115299,#115300); #96868=AXIS2_PLACEMENT_3D('',#180997,#115301,#115302); #96869=AXIS2_PLACEMENT_3D('',#181003,#115306,#115307); #96870=AXIS2_PLACEMENT_3D('',#181007,#115310,#115311); #96871=AXIS2_PLACEMENT_3D('',#181009,#115312,#115313); #96872=AXIS2_PLACEMENT_3D('',#181011,#115315,#115316); #96873=AXIS2_PLACEMENT_3D('',#181015,#115319,#115320); #96874=AXIS2_PLACEMENT_3D('',#181017,#115321,#115322); #96875=AXIS2_PLACEMENT_3D('',#181019,#115324,#115325); #96876=AXIS2_PLACEMENT_3D('',#181021,#115326,#115327); #96877=AXIS2_PLACEMENT_3D('',#181023,#115329,#115330); #96878=AXIS2_PLACEMENT_3D('',#181025,#115331,#115332); #96879=AXIS2_PLACEMENT_3D('',#181027,#115334,#115335); #96880=AXIS2_PLACEMENT_3D('',#181031,#115338,#115339); #96881=AXIS2_PLACEMENT_3D('',#181033,#115340,#115341); #96882=AXIS2_PLACEMENT_3D('',#181035,#115343,#115344); #96883=AXIS2_PLACEMENT_3D('',#181039,#115347,#115348); #96884=AXIS2_PLACEMENT_3D('',#181043,#115351,#115352); #96885=AXIS2_PLACEMENT_3D('',#181045,#115353,#115354); #96886=AXIS2_PLACEMENT_3D('',#181047,#115356,#115357); #96887=AXIS2_PLACEMENT_3D('',#181049,#115358,#115359); #96888=AXIS2_PLACEMENT_3D('',#181051,#115361,#115362); #96889=AXIS2_PLACEMENT_3D('',#181052,#115363,#115364); #96890=AXIS2_PLACEMENT_3D('',#181053,#115365,#115366); #96891=AXIS2_PLACEMENT_3D('',#181054,#115367,#115368); #96892=AXIS2_PLACEMENT_3D('',#181055,#115369,#115370); #96893=AXIS2_PLACEMENT_3D('',#181057,#115371,#115372); #96894=AXIS2_PLACEMENT_3D('',#181058,#115373,#115374); #96895=AXIS2_PLACEMENT_3D('',#181059,#115375,#115376); #96896=AXIS2_PLACEMENT_3D('',#181060,#115377,#115378); #96897=AXIS2_PLACEMENT_3D('',#181061,#115379,#115380); #96898=AXIS2_PLACEMENT_3D('',#181062,#115381,#115382); #96899=AXIS2_PLACEMENT_3D('',#181064,#115383,#115384); #96900=AXIS2_PLACEMENT_3D('',#181068,#115386,#115387); #96901=AXIS2_PLACEMENT_3D('',#181069,#115388,#115389); #96902=AXIS2_PLACEMENT_3D('',#181070,#115390,#115391); #96903=AXIS2_PLACEMENT_3D('',#181073,#115393,#115394); #96904=AXIS2_PLACEMENT_3D('',#181074,#115395,#115396); #96905=AXIS2_PLACEMENT_3D('',#181076,#115397,#115398); #96906=AXIS2_PLACEMENT_3D('',#181077,#115399,#115400); #96907=AXIS2_PLACEMENT_3D('',#181079,#115401,#115402); #96908=AXIS2_PLACEMENT_3D('',#181081,#115404,#115405); #96909=AXIS2_PLACEMENT_3D('',#181083,#115406,#115407); #96910=AXIS2_PLACEMENT_3D('',#181084,#115408,#115409); #96911=AXIS2_PLACEMENT_3D('',#181086,#115410,#115411); #96912=AXIS2_PLACEMENT_3D('',#181087,#115412,#115413); #96913=AXIS2_PLACEMENT_3D('',#181088,#115414,#115415); #96914=AXIS2_PLACEMENT_3D('',#181092,#115417,#115418); #96915=AXIS2_PLACEMENT_3D('',#181093,#115419,#115420); #96916=AXIS2_PLACEMENT_3D('',#181094,#115421,#115422); #96917=AXIS2_PLACEMENT_3D('',#181120,#115424,#115425); #96918=AXIS2_PLACEMENT_3D('',#181128,#115428,#115429); #96919=AXIS2_PLACEMENT_3D('',#181134,#115431,#115432); #96920=AXIS2_PLACEMENT_3D('',#181140,#115434,#115435); #96921=AXIS2_PLACEMENT_3D('',#181153,#115437,#115438); #96922=AXIS2_PLACEMENT_3D('',#181155,#115440,#115441); #96923=AXIS2_PLACEMENT_3D('',#181157,#115443,#115444); #96924=AXIS2_PLACEMENT_3D('',#181158,#115445,#115446); #96925=AXIS2_PLACEMENT_3D('',#181159,#115447,#115448); #96926=AXIS2_PLACEMENT_3D('',#181168,#115453,#115454); #96927=AXIS2_PLACEMENT_3D('',#181174,#115458,#115459); #96928=AXIS2_PLACEMENT_3D('',#181180,#115463,#115464); #96929=AXIS2_PLACEMENT_3D('',#181186,#115468,#115469); #96930=AXIS2_PLACEMENT_3D('',#181192,#115473,#115474); #96931=AXIS2_PLACEMENT_3D('',#181198,#115478,#115479); #96932=AXIS2_PLACEMENT_3D('',#181204,#115483,#115484); #96933=AXIS2_PLACEMENT_3D('',#181210,#115488,#115489); #96934=AXIS2_PLACEMENT_3D('',#181216,#115493,#115494); #96935=AXIS2_PLACEMENT_3D('',#181219,#115497,#115498); #96936=AXIS2_PLACEMENT_3D('',#181220,#115499,#115500); #96937=AXIS2_PLACEMENT_3D('',#181229,#115505,#115506); #96938=AXIS2_PLACEMENT_3D('',#181235,#115510,#115511); #96939=AXIS2_PLACEMENT_3D('',#181241,#115515,#115516); #96940=AXIS2_PLACEMENT_3D('',#181247,#115520,#115521); #96941=AXIS2_PLACEMENT_3D('',#181253,#115525,#115526); #96942=AXIS2_PLACEMENT_3D('',#181259,#115530,#115531); #96943=AXIS2_PLACEMENT_3D('',#181265,#115535,#115536); #96944=AXIS2_PLACEMENT_3D('',#181271,#115540,#115541); #96945=AXIS2_PLACEMENT_3D('',#181277,#115545,#115546); #96946=AXIS2_PLACEMENT_3D('',#181280,#115549,#115550); #96947=AXIS2_PLACEMENT_3D('',#181281,#115551,#115552); #96948=AXIS2_PLACEMENT_3D('',#181290,#115557,#115558); #96949=AXIS2_PLACEMENT_3D('',#181296,#115562,#115563); #96950=AXIS2_PLACEMENT_3D('',#181302,#115567,#115568); #96951=AXIS2_PLACEMENT_3D('',#181308,#115572,#115573); #96952=AXIS2_PLACEMENT_3D('',#181314,#115577,#115578); #96953=AXIS2_PLACEMENT_3D('',#181320,#115582,#115583); #96954=AXIS2_PLACEMENT_3D('',#181326,#115587,#115588); #96955=AXIS2_PLACEMENT_3D('',#181329,#115591,#115592); #96956=AXIS2_PLACEMENT_3D('',#181330,#115593,#115594); #96957=AXIS2_PLACEMENT_3D('',#181339,#115599,#115600); #96958=AXIS2_PLACEMENT_3D('',#181345,#115604,#115605); #96959=AXIS2_PLACEMENT_3D('',#181351,#115609,#115610); #96960=AXIS2_PLACEMENT_3D('',#181357,#115614,#115615); #96961=AXIS2_PLACEMENT_3D('',#181363,#115619,#115620); #96962=AXIS2_PLACEMENT_3D('',#181369,#115624,#115625); #96963=AXIS2_PLACEMENT_3D('',#181375,#115629,#115630); #96964=AXIS2_PLACEMENT_3D('',#181381,#115634,#115635); #96965=AXIS2_PLACEMENT_3D('',#181387,#115639,#115640); #96966=AXIS2_PLACEMENT_3D('',#181393,#115644,#115645); #96967=AXIS2_PLACEMENT_3D('',#181399,#115649,#115650); #96968=AXIS2_PLACEMENT_3D('',#181402,#115653,#115654); #96969=AXIS2_PLACEMENT_3D('',#181403,#115655,#115656); #96970=AXIS2_PLACEMENT_3D('',#181412,#115661,#115662); #96971=AXIS2_PLACEMENT_3D('',#181418,#115666,#115667); #96972=AXIS2_PLACEMENT_3D('',#181424,#115671,#115672); #96973=AXIS2_PLACEMENT_3D('',#181430,#115676,#115677); #96974=AXIS2_PLACEMENT_3D('',#181436,#115681,#115682); #96975=AXIS2_PLACEMENT_3D('',#181442,#115686,#115687); #96976=AXIS2_PLACEMENT_3D('',#181448,#115691,#115692); #96977=AXIS2_PLACEMENT_3D('',#181454,#115696,#115697); #96978=AXIS2_PLACEMENT_3D('',#181460,#115701,#115702); #96979=AXIS2_PLACEMENT_3D('',#181466,#115706,#115707); #96980=AXIS2_PLACEMENT_3D('',#181472,#115711,#115712); #96981=AXIS2_PLACEMENT_3D('',#181475,#115715,#115716); #96982=AXIS2_PLACEMENT_3D('',#181521,#115720,#115721); #96983=AXIS2_PLACEMENT_3D('',#181527,#115725,#115726); #96984=AXIS2_PLACEMENT_3D('',#181533,#115730,#115731); #96985=AXIS2_PLACEMENT_3D('',#181536,#115734,#115735); #96986=AXIS2_PLACEMENT_3D('',#181545,#115740,#115741); #96987=AXIS2_PLACEMENT_3D('',#181551,#115745,#115746); #96988=AXIS2_PLACEMENT_3D('',#181595,#115752,#115753); #96989=AXIS2_PLACEMENT_3D('',#181601,#115757,#115758); #96990=AXIS2_PLACEMENT_3D('',#181607,#115762,#115763); #96991=AXIS2_PLACEMENT_3D('',#181685,#115771,#115772); #96992=AXIS2_PLACEMENT_3D('',#181691,#115776,#115777); #96993=AXIS2_PLACEMENT_3D('',#181694,#115780,#115781); #96994=AXIS2_PLACEMENT_3D('',#181755,#115786,#115787); #96995=AXIS2_PLACEMENT_3D('',#181761,#115791,#115792); #96996=AXIS2_PLACEMENT_3D('',#181767,#115796,#115797); #96997=AXIS2_PLACEMENT_3D('',#181834,#115804,#115805); #96998=AXIS2_PLACEMENT_3D('',#181840,#115809,#115810); #96999=AXIS2_PLACEMENT_3D('',#181846,#115814,#115815); #97000=AXIS2_PLACEMENT_3D('',#181849,#115818,#115819); #97001=AXIS2_PLACEMENT_3D('',#181946,#115828,#115829); #97002=AXIS2_PLACEMENT_3D('',#181952,#115833,#115834); #97003=AXIS2_PLACEMENT_3D('',#181955,#115837,#115838); #97004=AXIS2_PLACEMENT_3D('',#181956,#115839,#115840); #97005=AXIS2_PLACEMENT_3D('',#181965,#115845,#115846); #97006=AXIS2_PLACEMENT_3D('',#181971,#115850,#115851); #97007=AXIS2_PLACEMENT_3D('',#181977,#115855,#115856); #97008=AXIS2_PLACEMENT_3D('',#181983,#115860,#115861); #97009=AXIS2_PLACEMENT_3D('',#181989,#115865,#115866); #97010=AXIS2_PLACEMENT_3D('',#181995,#115870,#115871); #97011=AXIS2_PLACEMENT_3D('',#182001,#115875,#115876); #97012=AXIS2_PLACEMENT_3D('',#182007,#115880,#115881); #97013=AXIS2_PLACEMENT_3D('',#182013,#115885,#115886); #97014=AXIS2_PLACEMENT_3D('',#182019,#115890,#115891); #97015=AXIS2_PLACEMENT_3D('',#182025,#115895,#115896); #97016=AXIS2_PLACEMENT_3D('',#182028,#115899,#115900); #97017=AXIS2_PLACEMENT_3D('',#182029,#115901,#115902); #97018=AXIS2_PLACEMENT_3D('',#182038,#115907,#115908); #97019=AXIS2_PLACEMENT_3D('',#182044,#115912,#115913); #97020=AXIS2_PLACEMENT_3D('',#182050,#115917,#115918); #97021=AXIS2_PLACEMENT_3D('',#182056,#115922,#115923); #97022=AXIS2_PLACEMENT_3D('',#182062,#115927,#115928); #97023=AXIS2_PLACEMENT_3D('',#182068,#115932,#115933); #97024=AXIS2_PLACEMENT_3D('',#182074,#115937,#115938); #97025=AXIS2_PLACEMENT_3D('',#182080,#115942,#115943); #97026=AXIS2_PLACEMENT_3D('',#182086,#115947,#115948); #97027=AXIS2_PLACEMENT_3D('',#182092,#115952,#115953); #97028=AXIS2_PLACEMENT_3D('',#182098,#115957,#115958); #97029=AXIS2_PLACEMENT_3D('',#182101,#115961,#115962); #97030=AXIS2_PLACEMENT_3D('',#182102,#115963,#115964); #97031=AXIS2_PLACEMENT_3D('',#182141,#115971,#115972); #97032=AXIS2_PLACEMENT_3D('',#182147,#115976,#115977); #97033=AXIS2_PLACEMENT_3D('',#182150,#115980,#115981); #97034=AXIS2_PLACEMENT_3D('',#182159,#115986,#115987); #97035=AXIS2_PLACEMENT_3D('',#182165,#115991,#115992); #97036=AXIS2_PLACEMENT_3D('',#182171,#115996,#115997); #97037=AXIS2_PLACEMENT_3D('',#182177,#116001,#116002); #97038=AXIS2_PLACEMENT_3D('',#182183,#116006,#116007); #97039=AXIS2_PLACEMENT_3D('',#182189,#116011,#116012); #97040=AXIS2_PLACEMENT_3D('',#182195,#116016,#116017); #97041=AXIS2_PLACEMENT_3D('',#182198,#116020,#116021); #97042=AXIS2_PLACEMENT_3D('',#182244,#116025,#116026); #97043=AXIS2_PLACEMENT_3D('',#182250,#116030,#116031); #97044=AXIS2_PLACEMENT_3D('',#182256,#116035,#116036); #97045=AXIS2_PLACEMENT_3D('',#182259,#116039,#116040); #97046=AXIS2_PLACEMENT_3D('',#182268,#116045,#116046); #97047=AXIS2_PLACEMENT_3D('',#182274,#116050,#116051); #97048=AXIS2_PLACEMENT_3D('',#182318,#116057,#116058); #97049=AXIS2_PLACEMENT_3D('',#182324,#116062,#116063); #97050=AXIS2_PLACEMENT_3D('',#182330,#116067,#116068); #97051=AXIS2_PLACEMENT_3D('',#182408,#116076,#116077); #97052=AXIS2_PLACEMENT_3D('',#182414,#116081,#116082); #97053=AXIS2_PLACEMENT_3D('',#182417,#116085,#116086); #97054=AXIS2_PLACEMENT_3D('',#182493,#116092,#116093); #97055=AXIS2_PLACEMENT_3D('',#182499,#116097,#116098); #97056=AXIS2_PLACEMENT_3D('',#182505,#116102,#116103); #97057=AXIS2_PLACEMENT_3D('',#182508,#116106,#116107); #97058=AXIS2_PLACEMENT_3D('',#182597,#116116,#116117); #97059=AXIS2_PLACEMENT_3D('',#182603,#116121,#116122); #97060=AXIS2_PLACEMENT_3D('',#182606,#116125,#116126); #97061=AXIS2_PLACEMENT_3D('',#182663,#116131,#116132); #97062=AXIS2_PLACEMENT_3D('',#182669,#116136,#116137); #97063=AXIS2_PLACEMENT_3D('',#182675,#116141,#116142); #97064=AXIS2_PLACEMENT_3D('',#182678,#116145,#116146); #97065=AXIS2_PLACEMENT_3D('',#182687,#116151,#116152); #97066=AXIS2_PLACEMENT_3D('',#182693,#116156,#116157); #97067=AXIS2_PLACEMENT_3D('',#182756,#116164,#116165); #97068=AXIS2_PLACEMENT_3D('',#182762,#116169,#116170); #97069=AXIS2_PLACEMENT_3D('',#182765,#116173,#116174); #97070=AXIS2_PLACEMENT_3D('',#182766,#116175,#116176); #97071=AXIS2_PLACEMENT_3D('',#182805,#116183,#116184); #97072=AXIS2_PLACEMENT_3D('',#182811,#116188,#116189); #97073=AXIS2_PLACEMENT_3D('',#182814,#116192,#116193); #97074=AXIS2_PLACEMENT_3D('',#182823,#116198,#116199); #97075=AXIS2_PLACEMENT_3D('',#182829,#116203,#116204); #97076=AXIS2_PLACEMENT_3D('',#182835,#116208,#116209); #97077=AXIS2_PLACEMENT_3D('',#182841,#116213,#116214); #97078=AXIS2_PLACEMENT_3D('',#182847,#116218,#116219); #97079=AXIS2_PLACEMENT_3D('',#182853,#116223,#116224); #97080=AXIS2_PLACEMENT_3D('',#182859,#116228,#116229); #97081=AXIS2_PLACEMENT_3D('',#182862,#116232,#116233); #97082=AXIS2_PLACEMENT_3D('',#182863,#116234,#116235); #97083=AXIS2_PLACEMENT_3D('',#182872,#116240,#116241); #97084=AXIS2_PLACEMENT_3D('',#182878,#116245,#116246); #97085=AXIS2_PLACEMENT_3D('',#182884,#116250,#116251); #97086=AXIS2_PLACEMENT_3D('',#182890,#116255,#116256); #97087=AXIS2_PLACEMENT_3D('',#182896,#116260,#116261); #97088=AXIS2_PLACEMENT_3D('',#182902,#116265,#116266); #97089=AXIS2_PLACEMENT_3D('',#182908,#116270,#116271); #97090=AXIS2_PLACEMENT_3D('',#182911,#116274,#116275); #97091=AXIS2_PLACEMENT_3D('',#182912,#116276,#116277); #97092=AXIS2_PLACEMENT_3D('',#182921,#116282,#116283); #97093=AXIS2_PLACEMENT_3D('',#182927,#116287,#116288); #97094=AXIS2_PLACEMENT_3D('',#182933,#116292,#116293); #97095=AXIS2_PLACEMENT_3D('',#182939,#116297,#116298); #97096=AXIS2_PLACEMENT_3D('',#182945,#116302,#116303); #97097=AXIS2_PLACEMENT_3D('',#182951,#116307,#116308); #97098=AXIS2_PLACEMENT_3D('',#182957,#116312,#116313); #97099=AXIS2_PLACEMENT_3D('',#182963,#116317,#116318); #97100=AXIS2_PLACEMENT_3D('',#182969,#116322,#116323); #97101=AXIS2_PLACEMENT_3D('',#182972,#116326,#116327); #97102=AXIS2_PLACEMENT_3D('',#183029,#116332,#116333); #97103=AXIS2_PLACEMENT_3D('',#183035,#116337,#116338); #97104=AXIS2_PLACEMENT_3D('',#183041,#116342,#116343); #97105=AXIS2_PLACEMENT_3D('',#183044,#116346,#116347); #97106=AXIS2_PLACEMENT_3D('',#183053,#116352,#116353); #97107=AXIS2_PLACEMENT_3D('',#183059,#116357,#116358); #97108=AXIS2_PLACEMENT_3D('',#183122,#116365,#116366); #97109=AXIS2_PLACEMENT_3D('',#183128,#116370,#116371); #97110=AXIS2_PLACEMENT_3D('',#183131,#116374,#116375); #97111=AXIS2_PLACEMENT_3D('',#183132,#116376,#116377); #97112=AXIS2_PLACEMENT_3D('',#183141,#116382,#116383); #97113=AXIS2_PLACEMENT_3D('',#183147,#116387,#116388); #97114=AXIS2_PLACEMENT_3D('',#183153,#116392,#116393); #97115=AXIS2_PLACEMENT_3D('',#183159,#116397,#116398); #97116=AXIS2_PLACEMENT_3D('',#183165,#116402,#116403); #97117=AXIS2_PLACEMENT_3D('',#183171,#116407,#116408); #97118=AXIS2_PLACEMENT_3D('',#183177,#116412,#116413); #97119=AXIS2_PLACEMENT_3D('',#183183,#116417,#116418); #97120=AXIS2_PLACEMENT_3D('',#183189,#116422,#116423); #97121=AXIS2_PLACEMENT_3D('',#183195,#116427,#116428); #97122=AXIS2_PLACEMENT_3D('',#183201,#116432,#116433); #97123=AXIS2_PLACEMENT_3D('',#183204,#116436,#116437); #97124=AXIS2_PLACEMENT_3D('',#183280,#116443,#116444); #97125=AXIS2_PLACEMENT_3D('',#183286,#116448,#116449); #97126=AXIS2_PLACEMENT_3D('',#183292,#116453,#116454); #97127=AXIS2_PLACEMENT_3D('',#183295,#116457,#116458); #97128=AXIS2_PLACEMENT_3D('',#183384,#116467,#116468); #97129=AXIS2_PLACEMENT_3D('',#183390,#116472,#116473); #97130=AXIS2_PLACEMENT_3D('',#183393,#116476,#116477); #97131=AXIS2_PLACEMENT_3D('',#183394,#116478,#116479); #97132=AXIS2_PLACEMENT_3D('',#183403,#116484,#116485); #97133=AXIS2_PLACEMENT_3D('',#183409,#116489,#116490); #97134=AXIS2_PLACEMENT_3D('',#183415,#116494,#116495); #97135=AXIS2_PLACEMENT_3D('',#183418,#116498,#116499); #97136=AXIS2_PLACEMENT_3D('',#183419,#116500,#116501); #97137=AXIS2_PLACEMENT_3D('',#183428,#116506,#116507); #97138=AXIS2_PLACEMENT_3D('',#183537,#116516,#116517); #97139=AXIS2_PLACEMENT_3D('',#183631,#116525,#116526); #97140=AXIS2_PLACEMENT_3D('',#183637,#116530,#116531); #97141=AXIS2_PLACEMENT_3D('',#183643,#116535,#116536); #97142=AXIS2_PLACEMENT_3D('',#183646,#116539,#116540); #97143=AXIS2_PLACEMENT_3D('',#183647,#116541,#116542); #97144=AXIS2_PLACEMENT_3D('',#183656,#116547,#116548); #97145=AXIS2_PLACEMENT_3D('',#183657,#116549,#116550); #97146=AXIS2_PLACEMENT_3D('',#183658,#116551,#116552); #97147=AXIS2_PLACEMENT_3D('',#183659,#116553,#116554); #97148=AXIS2_PLACEMENT_3D('',#183660,#116555,#116556); #97149=AXIS2_PLACEMENT_3D('',#183661,#116557,#116558); #97150=AXIS2_PLACEMENT_3D('',#183662,#116559,#116560); #97151=AXIS2_PLACEMENT_3D('',#183663,#116561,#116562); #97152=AXIS2_PLACEMENT_3D('',#183664,#116563,#116564); #97153=AXIS2_PLACEMENT_3D('',#183665,#116565,#116566); #97154=AXIS2_PLACEMENT_3D('',#183668,#116567,#116568); #97155=AXIS2_PLACEMENT_3D('',#183672,#116570,#116571); #97156=AXIS2_PLACEMENT_3D('',#183684,#116573,#116574); #97157=AXIS2_PLACEMENT_3D('',#183686,#116575,#116576); #97158=AXIS2_PLACEMENT_3D('',#183689,#116578,#116579); #97159=AXIS2_PLACEMENT_3D('',#183690,#116580,#116581); #97160=AXIS2_PLACEMENT_3D('',#183693,#116582,#116583); #97161=AXIS2_PLACEMENT_3D('',#183697,#116585,#116586); #97162=AXIS2_PLACEMENT_3D('',#183709,#116588,#116589); #97163=AXIS2_PLACEMENT_3D('',#183711,#116590,#116591); #97164=AXIS2_PLACEMENT_3D('',#183714,#116593,#116594); #97165=AXIS2_PLACEMENT_3D('',#183715,#116595,#116596); #97166=AXIS2_PLACEMENT_3D('',#183718,#116597,#116598); #97167=AXIS2_PLACEMENT_3D('',#183722,#116600,#116601); #97168=AXIS2_PLACEMENT_3D('',#183724,#116603,#116604); #97169=AXIS2_PLACEMENT_3D('',#183727,#116607,#116608); #97170=AXIS2_PLACEMENT_3D('',#183731,#116610,#116611); #97171=AXIS2_PLACEMENT_3D('',#183735,#116613,#116614); #97172=AXIS2_PLACEMENT_3D('',#183739,#116617,#116618); #97173=AXIS2_PLACEMENT_3D('',#183742,#116619,#116620); #97174=AXIS2_PLACEMENT_3D('',#183746,#116622,#116623); #97175=AXIS2_PLACEMENT_3D('',#183748,#116625,#116626); #97176=AXIS2_PLACEMENT_3D('',#183754,#116630,#116631); #97177=AXIS2_PLACEMENT_3D('',#183761,#116635,#116636); #97178=AXIS2_PLACEMENT_3D('',#183764,#116638,#116639); #97179=AXIS2_PLACEMENT_3D('',#183765,#116640,#116641); #97180=AXIS2_PLACEMENT_3D('',#183768,#116642,#116643); #97181=AXIS2_PLACEMENT_3D('',#183771,#116646,#116647); #97182=AXIS2_PLACEMENT_3D('',#183775,#116650,#116651); #97183=AXIS2_PLACEMENT_3D('',#183778,#116652,#116653); #97184=AXIS2_PLACEMENT_3D('',#183781,#116656,#116657); #97185=AXIS2_PLACEMENT_3D('',#183785,#116660,#116661); #97186=AXIS2_PLACEMENT_3D('',#183787,#116662,#116663); #97187=AXIS2_PLACEMENT_3D('',#183789,#116665,#116666); #97188=AXIS2_PLACEMENT_3D('',#183791,#116668,#116669); #97189=AXIS2_PLACEMENT_3D('',#183793,#116670,#116671); #97190=AXIS2_PLACEMENT_3D('',#183795,#116673,#116674); #97191=AXIS2_PLACEMENT_3D('',#183849,#116682,#116683); #97192=AXIS2_PLACEMENT_3D('',#183851,#116684,#116685); #97193=AXIS2_PLACEMENT_3D('',#183856,#116688,#116689); #97194=AXIS2_PLACEMENT_3D('',#183892,#116691,#116692); #97195=AXIS2_PLACEMENT_3D('',#183948,#116700,#116701); #97196=AXIS2_PLACEMENT_3D('',#183950,#116702,#116703); #97197=AXIS2_PLACEMENT_3D('',#183953,#116705,#116706); #97198=AXIS2_PLACEMENT_3D('',#183954,#116707,#116708); #97199=AXIS2_PLACEMENT_3D('',#183956,#116709,#116710); #97200=AXIS2_PLACEMENT_3D('',#183959,#116712,#116713); #97201=AXIS2_PLACEMENT_3D('',#183960,#116714,#116715); #97202=AXIS2_PLACEMENT_3D('',#183962,#116716,#116717); #97203=AXIS2_PLACEMENT_3D('',#183967,#116720,#116721); #97204=AXIS2_PLACEMENT_3D('',#183968,#116722,#116723); #97205=AXIS2_PLACEMENT_3D('',#183969,#116724,#116725); #97206=AXIS2_PLACEMENT_3D('',#183970,#116726,#116727); #97207=AXIS2_PLACEMENT_3D('',#183971,#116728,#116729); #97208=AXIS2_PLACEMENT_3D('',#183973,#116730,#116731); #97209=AXIS2_PLACEMENT_3D('',#183976,#116733,#116734); #97210=AXIS2_PLACEMENT_3D('',#183977,#116735,#116736); #97211=AXIS2_PLACEMENT_3D('',#183979,#116737,#116738); #97212=AXIS2_PLACEMENT_3D('',#183981,#116739,#116740); #97213=AXIS2_PLACEMENT_3D('',#183983,#116742,#116743); #97214=AXIS2_PLACEMENT_3D('',#183985,#116744,#116745); #97215=AXIS2_PLACEMENT_3D('',#183988,#116747,#116748); #97216=AXIS2_PLACEMENT_3D('',#184021,#116749,#116750); #97217=AXIS2_PLACEMENT_3D('',#184027,#116754,#116755); #97218=AXIS2_PLACEMENT_3D('',#184030,#116757,#116758); #97219=AXIS2_PLACEMENT_3D('',#184031,#116759,#116760); #97220=AXIS2_PLACEMENT_3D('',#184035,#116762,#116763); #97221=AXIS2_PLACEMENT_3D('',#184037,#116765,#116766); #97222=AXIS2_PLACEMENT_3D('',#184043,#116770,#116771); #97223=AXIS2_PLACEMENT_3D('',#184044,#116772,#116773); #97224=AXIS2_PLACEMENT_3D('',#184048,#116776,#116777); #97225=AXIS2_PLACEMENT_3D('',#184051,#116779,#116780); #97226=AXIS2_PLACEMENT_3D('',#184052,#116781,#116782); #97227=AXIS2_PLACEMENT_3D('',#184056,#116785,#116786); #97228=AXIS2_PLACEMENT_3D('',#184059,#116788,#116789); #97229=AXIS2_PLACEMENT_3D('',#184060,#116790,#116791); #97230=AXIS2_PLACEMENT_3D('',#184066,#116795,#116796); #97231=AXIS2_PLACEMENT_3D('',#184067,#116797,#116798); #97232=AXIS2_PLACEMENT_3D('',#184069,#116800,#116801); #97233=AXIS2_PLACEMENT_3D('',#184075,#116805,#116806); #97234=AXIS2_PLACEMENT_3D('',#184081,#116810,#116811); #97235=AXIS2_PLACEMENT_3D('',#184082,#116812,#116813); #97236=AXIS2_PLACEMENT_3D('',#184084,#116815,#116816); #97237=AXIS2_PLACEMENT_3D('',#184086,#116818,#116819); #97238=AXIS2_PLACEMENT_3D('',#184088,#116820,#116821); #97239=AXIS2_PLACEMENT_3D('',#184090,#116823,#116824); #97240=AXIS2_PLACEMENT_3D('',#184093,#116827,#116828); #97241=AXIS2_PLACEMENT_3D('',#184096,#116830,#116831); #97242=AXIS2_PLACEMENT_3D('',#184097,#116832,#116833); #97243=AXIS2_PLACEMENT_3D('',#184098,#116834,#116835); #97244=AXIS2_PLACEMENT_3D('',#184099,#116836,#116837); #97245=AXIS2_PLACEMENT_3D('',#184101,#116839,#116840); #97246=AXIS2_PLACEMENT_3D('',#184107,#116844,#116845); #97247=AXIS2_PLACEMENT_3D('',#184108,#116846,#116847); #97248=AXIS2_PLACEMENT_3D('',#184109,#116848,#116849); #97249=AXIS2_PLACEMENT_3D('',#184111,#116851,#116852); #97250=AXIS2_PLACEMENT_3D('',#184112,#116853,#116854); #97251=AXIS2_PLACEMENT_3D('',#184114,#116856,#116857); #97252=AXIS2_PLACEMENT_3D('',#184116,#116859,#116860); #97253=AXIS2_PLACEMENT_3D('',#184117,#116861,#116862); #97254=AXIS2_PLACEMENT_3D('',#184120,#116865,#116866); #97255=AXIS2_PLACEMENT_3D('',#184121,#116867,#116868); #97256=AXIS2_PLACEMENT_3D('',#184124,#116870,#116871); #97257=AXIS2_PLACEMENT_3D('',#184125,#116872,#116873); #97258=AXIS2_PLACEMENT_3D('',#184126,#116874,#116875); #97259=AXIS2_PLACEMENT_3D('',#184128,#116876,#116877); #97260=AXIS2_PLACEMENT_3D('',#184130,#116879,#116880); #97261=AXIS2_PLACEMENT_3D('',#184131,#116881,#116882); #97262=AXIS2_PLACEMENT_3D('',#184132,#116883,#116884); #97263=AXIS2_PLACEMENT_3D('',#184134,#116886,#116887); #97264=AXIS2_PLACEMENT_3D('',#184136,#116889,#116890); #97265=AXIS2_PLACEMENT_3D('',#184139,#116893,#116894); #97266=AXIS2_PLACEMENT_3D('',#184140,#116895,#116896); #97267=AXIS2_PLACEMENT_3D('',#184141,#116897,#116898); #97268=AXIS2_PLACEMENT_3D('',#184142,#116899,#116900); #97269=AXIS2_PLACEMENT_3D('',#184143,#116901,#116902); #97270=AXIS2_PLACEMENT_3D('',#184144,#116903,#116904); #97271=AXIS2_PLACEMENT_3D('',#184145,#116905,#116906); #97272=AXIS2_PLACEMENT_3D('',#184146,#116907,#116908); #97273=AXIS2_PLACEMENT_3D('',#184147,#116909,#116910); #97274=AXIS2_PLACEMENT_3D('',#184148,#116911,#116912); #97275=AXIS2_PLACEMENT_3D('',#184218,#116914,#116915); #97276=AXIS2_PLACEMENT_3D('',#184219,#116916,#116917); #97277=AXIS2_PLACEMENT_3D('',#184220,#116918,#116919); #97278=AXIS2_PLACEMENT_3D('',#184289,#116921,#116922); #97279=AXIS2_PLACEMENT_3D('',#184290,#116923,#116924); #97280=AXIS2_PLACEMENT_3D('',#184761,#116925,#116926); #97281=AXIS2_PLACEMENT_3D('',#184784,#116927,#116928); #97282=AXIS2_PLACEMENT_3D('',#184791,#116929,#116930); #97283=AXIS2_PLACEMENT_3D('',#184796,#116931,#116932); #97284=AXIS2_PLACEMENT_3D('',#184799,#116933,#116934); #97285=AXIS2_PLACEMENT_3D('',#184800,#116935,#116936); #97286=AXIS2_PLACEMENT_3D('',#184801,#116937,#116938); #97287=AXIS2_PLACEMENT_3D('',#184824,#116939,#116940); #97288=AXIS2_PLACEMENT_3D('',#184833,#116941,#116942); #97289=AXIS2_PLACEMENT_3D('',#184836,#116943,#116944); #97290=AXIS2_PLACEMENT_3D('',#184861,#116947,#116948); #97291=AXIS2_PLACEMENT_3D('',#184870,#116949,#116950); #97292=AXIS2_PLACEMENT_3D('',#184873,#116951,#116952); #97293=AXIS2_PLACEMENT_3D('',#184874,#116953,#116954); #97294=AXIS2_PLACEMENT_3D('',#184875,#116955,#116956); #97295=AXIS2_PLACEMENT_3D('',#184898,#116957,#116958); #97296=AXIS2_PLACEMENT_3D('',#184907,#116959,#116960); #97297=AXIS2_PLACEMENT_3D('',#184910,#116963,#116964); #97298=AXIS2_PLACEMENT_3D('',#184917,#116965,#116966); #97299=AXIS2_PLACEMENT_3D('',#184947,#116967,#116968); #97300=AXIS2_PLACEMENT_3D('',#184979,#116970,#116971); #97301=AXIS2_PLACEMENT_3D('',#184982,#116972,#116973); #97302=AXIS2_PLACEMENT_3D('',#184986,#116975,#116976); #97303=AXIS2_PLACEMENT_3D('',#185010,#116978,#116979); #97304=AXIS2_PLACEMENT_3D('',#185017,#116980,#116981); #97305=AXIS2_PLACEMENT_3D('',#185022,#116982,#116983); #97306=AXIS2_PLACEMENT_3D('',#185024,#116984,#116985); #97307=AXIS2_PLACEMENT_3D('',#185025,#116986,#116987); #97308=AXIS2_PLACEMENT_3D('',#185026,#116988,#116989); #97309=AXIS2_PLACEMENT_3D('',#185048,#116990,#116991); #97310=AXIS2_PLACEMENT_3D('',#185053,#116992,#116993); #97311=AXIS2_PLACEMENT_3D('',#185055,#116994,#116995); #97312=AXIS2_PLACEMENT_3D('',#185078,#116997,#116998); #97313=AXIS2_PLACEMENT_3D('',#185083,#116999,#117000); #97314=AXIS2_PLACEMENT_3D('',#185085,#117001,#117002); #97315=AXIS2_PLACEMENT_3D('',#185086,#117003,#117004); #97316=AXIS2_PLACEMENT_3D('',#185109,#117005,#117006); #97317=AXIS2_PLACEMENT_3D('',#185118,#117007,#117008); #97318=AXIS2_PLACEMENT_3D('',#185141,#117011,#117012); #97319=AXIS2_PLACEMENT_3D('',#185166,#117013,#117014); #97320=AXIS2_PLACEMENT_3D('',#185180,#117015,#117016); #97321=AXIS2_PLACEMENT_3D('',#185193,#117017,#117018); #97322=AXIS2_PLACEMENT_3D('',#185206,#117019,#117020); #97323=AXIS2_PLACEMENT_3D('',#185218,#117021,#117022); #97324=AXIS2_PLACEMENT_3D('',#185219,#117023,#117024); #97325=AXIS2_PLACEMENT_3D('',#185246,#117025,#117026); #97326=AXIS2_PLACEMENT_3D('',#185294,#117027,#117028); #97327=AXIS2_PLACEMENT_3D('',#185403,#117029,#117030); #97328=AXIS2_PLACEMENT_3D('',#185462,#117031,#117032); #97329=AXIS2_PLACEMENT_3D('',#185471,#117033,#117034); #97330=AXIS2_PLACEMENT_3D('',#185474,#117035,#117036); #97331=AXIS2_PLACEMENT_3D('',#185475,#117037,#117038); #97332=AXIS2_PLACEMENT_3D('',#185476,#117039,#117040); #97333=AXIS2_PLACEMENT_3D('',#185503,#117041,#117042); #97334=AXIS2_PLACEMENT_3D('',#185618,#117043,#117044); #97335=AXIS2_PLACEMENT_3D('',#185679,#117045,#117046); #97336=AXIS2_PLACEMENT_3D('',#185725,#117047,#117048); #97337=AXIS2_PLACEMENT_3D('',#185727,#117049,#117050); #97338=AXIS2_PLACEMENT_3D('',#185746,#117052,#117053); #97339=AXIS2_PLACEMENT_3D('',#185783,#117054,#117055); #97340=AXIS2_PLACEMENT_3D('',#185789,#117056,#117057); #97341=AXIS2_PLACEMENT_3D('',#185796,#117058,#117059); #97342=AXIS2_PLACEMENT_3D('',#185805,#117060,#117061); #97343=AXIS2_PLACEMENT_3D('',#185813,#117062,#117063); #97344=AXIS2_PLACEMENT_3D('',#185821,#117064,#117065); #97345=AXIS2_PLACEMENT_3D('',#185828,#117066,#117067); #97346=AXIS2_PLACEMENT_3D('',#185855,#117068,#117069); #97347=AXIS2_PLACEMENT_3D('',#185862,#117070,#117071); #97348=AXIS2_PLACEMENT_3D('',#185880,#117072,#117073); #97349=AXIS2_PLACEMENT_3D('',#185885,#117074,#117075); #97350=AXIS2_PLACEMENT_3D('',#185932,#117077,#117078); #97351=AXIS2_PLACEMENT_3D('',#185955,#117079,#117080); #97352=AXIS2_PLACEMENT_3D('',#185985,#117081,#117082); #97353=AXIS2_PLACEMENT_3D('',#185994,#117083,#117084); #97354=AXIS2_PLACEMENT_3D('',#185996,#117085,#117086); #97355=AXIS2_PLACEMENT_3D('',#185998,#117087,#117088); #97356=AXIS2_PLACEMENT_3D('',#185999,#117089,#117090); #97357=AXIS2_PLACEMENT_3D('',#186021,#117091,#117092); #97358=AXIS2_PLACEMENT_3D('',#186048,#117093,#117094); #97359=AXIS2_PLACEMENT_3D('',#186083,#117095,#117096); #97360=AXIS2_PLACEMENT_3D('',#186170,#117097,#117098); #97361=AXIS2_PLACEMENT_3D('',#186212,#117099,#117100); #97362=AXIS2_PLACEMENT_3D('',#186218,#117101,#117102); #97363=AXIS2_PLACEMENT_3D('',#186225,#117103,#117104); #97364=AXIS2_PLACEMENT_3D('',#186252,#117105,#117106); #97365=AXIS2_PLACEMENT_3D('',#186259,#117107,#117108); #97366=AXIS2_PLACEMENT_3D('',#186289,#117109,#117110); #97367=AXIS2_PLACEMENT_3D('',#186291,#117111,#117112); #97368=AXIS2_PLACEMENT_3D('',#186338,#117114,#117115); #97369=AXIS2_PLACEMENT_3D('',#186361,#117116,#117117); #97370=AXIS2_PLACEMENT_3D('',#186391,#117118,#117119); #97371=AXIS2_PLACEMENT_3D('',#186400,#117120,#117121); #97372=AXIS2_PLACEMENT_3D('',#186402,#117122,#117123); #97373=AXIS2_PLACEMENT_3D('',#186404,#117124,#117125); #97374=AXIS2_PLACEMENT_3D('',#186405,#117126,#117127); #97375=AXIS2_PLACEMENT_3D('',#186427,#117128,#117129); #97376=AXIS2_PLACEMENT_3D('',#186454,#117130,#117131); #97377=AXIS2_PLACEMENT_3D('',#186489,#117132,#117133); #97378=AXIS2_PLACEMENT_3D('',#186576,#117134,#117135); #97379=AXIS2_PLACEMENT_3D('',#186618,#117136,#117137); #97380=AXIS2_PLACEMENT_3D('',#186624,#117138,#117139); #97381=AXIS2_PLACEMENT_3D('',#186632,#117140,#117141); #97382=AXIS2_PLACEMENT_3D('',#186664,#117142,#117143); #97383=AXIS2_PLACEMENT_3D('',#186672,#117144,#117145); #97384=AXIS2_PLACEMENT_3D('',#186702,#117146,#117147); #97385=AXIS2_PLACEMENT_3D('',#186704,#117148,#117149); #97386=AXIS2_PLACEMENT_3D('',#186706,#117151,#117152); #97387=AXIS2_PLACEMENT_3D('',#186709,#117153,#117154); #97388=AXIS2_PLACEMENT_3D('',#186711,#117155,#117156); #97389=AXIS2_PLACEMENT_3D('',#186713,#117157,#117158); #97390=AXIS2_PLACEMENT_3D('',#186714,#117159,#117160); #97391=AXIS2_PLACEMENT_3D('',#186715,#117161,#117162); #97392=AXIS2_PLACEMENT_3D('',#186717,#117163,#117164); #97393=AXIS2_PLACEMENT_3D('',#186721,#117166,#117167); #97394=AXIS2_PLACEMENT_3D('',#186723,#117169,#117170); #97395=AXIS2_PLACEMENT_3D('',#186724,#117171,#117172); #97396=AXIS2_PLACEMENT_3D('',#186725,#117173,#117174); #97397=AXIS2_PLACEMENT_3D('',#186727,#117175,#117176); #97398=AXIS2_PLACEMENT_3D('',#186729,#117177,#117178); #97399=AXIS2_PLACEMENT_3D('',#186731,#117179,#117180); #97400=AXIS2_PLACEMENT_3D('',#186732,#117181,#117182); #97401=AXIS2_PLACEMENT_3D('',#186733,#117183,#117184); #97402=AXIS2_PLACEMENT_3D('',#186734,#117185,#117186); #97403=AXIS2_PLACEMENT_3D('',#186735,#117187,#117188); #97404=AXIS2_PLACEMENT_3D('',#186737,#117189,#117190); #97405=AXIS2_PLACEMENT_3D('',#186740,#117192,#117193); #97406=AXIS2_PLACEMENT_3D('',#186742,#117195,#117196); #97407=AXIS2_PLACEMENT_3D('',#186743,#117197,#117198); #97408=AXIS2_PLACEMENT_3D('',#186744,#117199,#117200); #97409=AXIS2_PLACEMENT_3D('',#186745,#117201,#117202); #97410=AXIS2_PLACEMENT_3D('',#186772,#117203,#117204); #97411=AXIS2_PLACEMENT_3D('',#186777,#117205,#117206); #97412=AXIS2_PLACEMENT_3D('',#186783,#117207,#117208); #97413=AXIS2_PLACEMENT_3D('',#186809,#117209,#117210); #97414=AXIS2_PLACEMENT_3D('',#186810,#117211,#117212); #97415=AXIS2_PLACEMENT_3D('',#186826,#117214,#117215); #97416=AXIS2_PLACEMENT_3D('',#186849,#117216,#117217); #97417=AXIS2_PLACEMENT_3D('',#186856,#117218,#117219); #97418=AXIS2_PLACEMENT_3D('',#186940,#117220,#117221); #97419=AXIS2_PLACEMENT_3D('',#186947,#117222,#117223); #97420=AXIS2_PLACEMENT_3D('',#187031,#117224,#117225); #97421=AXIS2_PLACEMENT_3D('',#187038,#117226,#117227); #97422=AXIS2_PLACEMENT_3D('',#187122,#117228,#117229); #97423=AXIS2_PLACEMENT_3D('',#187129,#117230,#117231); #97424=AXIS2_PLACEMENT_3D('',#187198,#117232,#117233); #97425=AXIS2_PLACEMENT_3D('',#187201,#117236,#117237); #97426=AXIS2_PLACEMENT_3D('',#187202,#117238,#117239); #97427=AXIS2_PLACEMENT_3D('',#187203,#117240,#117241); #97428=AXIS2_PLACEMENT_3D('',#187204,#117242,#117243); #97429=AXIS2_PLACEMENT_3D('',#187207,#117246,#117247); #97430=AXIS2_PLACEMENT_3D('',#187208,#117248,#117249); #97431=AXIS2_PLACEMENT_3D('',#187209,#117250,#117251); #97432=AXIS2_PLACEMENT_3D('',#187244,#117252,#117253); #97433=AXIS2_PLACEMENT_3D('',#187276,#117255,#117256); #97434=AXIS2_PLACEMENT_3D('',#187277,#117257,#117258); #97435=AXIS2_PLACEMENT_3D('',#187289,#117259,#117260); #97436=AXIS2_PLACEMENT_3D('',#187294,#117261,#117262); #97437=AXIS2_PLACEMENT_3D('',#187317,#117263,#117264); #97438=AXIS2_PLACEMENT_3D('',#187324,#117265,#117266); #97439=AXIS2_PLACEMENT_3D('',#187408,#117267,#117268); #97440=AXIS2_PLACEMENT_3D('',#187415,#117269,#117270); #97441=AXIS2_PLACEMENT_3D('',#187499,#117271,#117272); #97442=AXIS2_PLACEMENT_3D('',#187506,#117273,#117274); #97443=AXIS2_PLACEMENT_3D('',#187597,#117275,#117276); #97444=AXIS2_PLACEMENT_3D('',#187604,#117277,#117278); #97445=AXIS2_PLACEMENT_3D('',#187673,#117279,#117280); #97446=AXIS2_PLACEMENT_3D('',#187676,#117283,#117284); #97447=AXIS2_PLACEMENT_3D('',#187677,#117285,#117286); #97448=AXIS2_PLACEMENT_3D('',#187678,#117287,#117288); #97449=AXIS2_PLACEMENT_3D('',#187679,#117289,#117290); #97450=AXIS2_PLACEMENT_3D('',#187682,#117293,#117294); #97451=AXIS2_PLACEMENT_3D('',#187683,#117295,#117296); #97452=AXIS2_PLACEMENT_3D('',#187684,#117297,#117298); #97453=AXIS2_PLACEMENT_3D('',#187719,#117299,#117300); #97454=AXIS2_PLACEMENT_3D('',#187751,#117302,#117303); #97455=AXIS2_PLACEMENT_3D('',#187752,#117304,#117305); #97456=AXIS2_PLACEMENT_3D('',#187764,#117306,#117307); #97457=AXIS2_PLACEMENT_3D('',#187769,#117308,#117309); #97458=AXIS2_PLACEMENT_3D('',#187770,#117310,#117311); #97459=AXIS2_PLACEMENT_3D('',#187771,#117312,#117313); #97460=AXIS2_PLACEMENT_3D('',#187802,#117314,#117315); #97461=AXIS2_PLACEMENT_3D('',#187808,#117316,#117317); #97462=AXIS2_PLACEMENT_3D('',#187809,#117318,#117319); #97463=AXIS2_PLACEMENT_3D('',#187812,#117321,#117322); #97464=AXIS2_PLACEMENT_3D('',#187844,#117323,#117324); #97465=AXIS2_PLACEMENT_3D('',#187845,#117325,#117326); #97466=AXIS2_PLACEMENT_3D('',#187846,#117327,#117328); #97467=AXIS2_PLACEMENT_3D('',#187847,#117329,#117330); #97468=AXIS2_PLACEMENT_3D('',#187873,#117331,#117332); #97469=AXIS2_PLACEMENT_3D('',#187902,#117333,#117334); #97470=AXIS2_PLACEMENT_3D('',#187909,#117336,#117337); #97471=AXIS2_PLACEMENT_3D('',#187912,#117339,#117340); #97472=AXIS2_PLACEMENT_3D('',#187944,#117341,#117342); #97473=AXIS2_PLACEMENT_3D('',#187945,#117343,#117344); #97474=AXIS2_PLACEMENT_3D('',#187946,#117345,#117346); #97475=AXIS2_PLACEMENT_3D('',#187947,#117347,#117348); #97476=AXIS2_PLACEMENT_3D('',#187973,#117349,#117350); #97477=AXIS2_PLACEMENT_3D('',#188002,#117351,#117352); #97478=AXIS2_PLACEMENT_3D('',#188031,#117354,#117355); #97479=AXIS2_PLACEMENT_3D('',#188038,#117356,#117357); #97480=AXIS2_PLACEMENT_3D('',#188087,#117358,#117359); #97481=AXIS2_PLACEMENT_3D('',#188120,#117360,#117361); #97482=AXIS2_PLACEMENT_3D('',#188150,#117362,#117363); #97483=AXIS2_PLACEMENT_3D('',#188156,#117364,#117365); #97484=AXIS2_PLACEMENT_3D('',#188157,#117366,#117367); #97485=AXIS2_PLACEMENT_3D('',#188184,#117368,#117369); #97486=AXIS2_PLACEMENT_3D('',#188212,#117370,#117371); #97487=AXIS2_PLACEMENT_3D('',#188258,#117372,#117373); #97488=AXIS2_PLACEMENT_3D('',#188295,#117374,#117375); #97489=AXIS2_PLACEMENT_3D('',#188304,#117376,#117377); #97490=AXIS2_PLACEMENT_3D('',#188307,#117378,#117379); #97491=AXIS2_PLACEMENT_3D('',#188308,#117380,#117381); #97492=AXIS2_PLACEMENT_3D('',#188309,#117382,#117383); #97493=AXIS2_PLACEMENT_3D('',#188332,#117384,#117385); #97494=AXIS2_PLACEMENT_3D('',#188385,#117386,#117387); #97495=AXIS2_PLACEMENT_3D('',#188418,#117388,#117389); #97496=AXIS2_PLACEMENT_3D('',#188448,#117390,#117391); #97497=AXIS2_PLACEMENT_3D('',#188454,#117392,#117393); #97498=AXIS2_PLACEMENT_3D('',#188455,#117394,#117395); #97499=AXIS2_PLACEMENT_3D('',#188482,#117396,#117397); #97500=AXIS2_PLACEMENT_3D('',#188510,#117398,#117399); #97501=AXIS2_PLACEMENT_3D('',#188556,#117400,#117401); #97502=AXIS2_PLACEMENT_3D('',#188593,#117402,#117403); #97503=AXIS2_PLACEMENT_3D('',#188602,#117404,#117405); #97504=AXIS2_PLACEMENT_3D('',#188603,#117406,#117407); #97505=AXIS2_PLACEMENT_3D('',#188604,#117408,#117409); #97506=AXIS2_PLACEMENT_3D('',#188605,#117410,#117411); #97507=AXIS2_PLACEMENT_3D('',#188631,#117413,#117414); #97508=AXIS2_PLACEMENT_3D('',#188656,#117415,#117416); #97509=AXIS2_PLACEMENT_3D('',#188658,#117418,#117419); #97510=AXIS2_PLACEMENT_3D('',#188660,#117421,#117422); #97511=AXIS2_PLACEMENT_3D('',#188661,#117423,#117424); #97512=AXIS2_PLACEMENT_3D('',#188662,#117425,#117426); #97513=AXIS2_PLACEMENT_3D('',#188663,#117427,#117428); #97514=AXIS2_PLACEMENT_3D('',#188664,#117429,#117430); #97515=AXIS2_PLACEMENT_3D('',#188669,#117431,#117432); #97516=AXIS2_PLACEMENT_3D('',#188670,#117433,#117434); #97517=AXIS2_PLACEMENT_3D('',#188671,#117435,#117436); #97518=AXIS2_PLACEMENT_3D('',#188672,#117437,#117438); #97519=AXIS2_PLACEMENT_3D('',#188673,#117439,#117440); #97520=AXIS2_PLACEMENT_3D('',#188674,#117441,#117442); #97521=AXIS2_PLACEMENT_3D('',#188739,#117443,#117444); #97522=AXIS2_PLACEMENT_3D('',#188760,#117445,#117446); #97523=AXIS2_PLACEMENT_3D('',#188762,#117447,#117448); #97524=AXIS2_PLACEMENT_3D('',#188764,#117449,#117450); #97525=AXIS2_PLACEMENT_3D('',#188766,#117451,#117452); #97526=AXIS2_PLACEMENT_3D('',#188767,#117453,#117454); #97527=AXIS2_PLACEMENT_3D('',#188768,#117455,#117456); #97528=AXIS2_PLACEMENT_3D('',#188783,#117458,#117459); #97529=AXIS2_PLACEMENT_3D('',#188796,#117461,#117462); #97530=AXIS2_PLACEMENT_3D('',#188797,#117463,#117464); #97531=AXIS2_PLACEMENT_3D('',#188800,#117465,#117466); #97532=AXIS2_PLACEMENT_3D('',#188801,#117467,#117468); #97533=AXIS2_PLACEMENT_3D('',#188824,#117470,#117471); #97534=AXIS2_PLACEMENT_3D('',#188830,#117472,#117473); #97535=AXIS2_PLACEMENT_3D('',#188831,#117474,#117475); #97536=AXIS2_PLACEMENT_3D('',#188833,#117476,#117477); #97537=AXIS2_PLACEMENT_3D('',#188834,#117478,#117479); #97538=AXIS2_PLACEMENT_3D('',#188856,#117480,#117481); #97539=AXIS2_PLACEMENT_3D('',#188861,#117482,#117483); #97540=AXIS2_PLACEMENT_3D('',#188863,#117484,#117485); #97541=AXIS2_PLACEMENT_3D('',#188886,#117487,#117488); #97542=AXIS2_PLACEMENT_3D('',#188891,#117489,#117490); #97543=AXIS2_PLACEMENT_3D('',#188893,#117491,#117492); #97544=AXIS2_PLACEMENT_3D('',#188894,#117493,#117494); #97545=AXIS2_PLACEMENT_3D('',#188916,#117495,#117496); #97546=AXIS2_PLACEMENT_3D('',#188921,#117497,#117498); #97547=AXIS2_PLACEMENT_3D('',#188923,#117500,#117501); #97548=AXIS2_PLACEMENT_3D('',#188930,#117502,#117503); #97549=AXIS2_PLACEMENT_3D('',#188956,#117504,#117505); #97550=AXIS2_PLACEMENT_3D('',#188957,#117506,#117507); #97551=AXIS2_PLACEMENT_3D('',#188985,#117509,#117510); #97552=AXIS2_PLACEMENT_3D('',#188986,#117511,#117512); #97553=AXIS2_PLACEMENT_3D('',#188988,#117513,#117514); #97554=AXIS2_PLACEMENT_3D('',#188990,#117515,#117516); #97555=AXIS2_PLACEMENT_3D('',#188991,#117517,#117518); #97556=AXIS2_PLACEMENT_3D('',#188992,#117519,#117520); #97557=AXIS2_PLACEMENT_3D('',#188994,#117521,#117522); #97558=AXIS2_PLACEMENT_3D('',#188996,#117523,#117524); #97559=AXIS2_PLACEMENT_3D('',#188997,#117525,#117526); #97560=AXIS2_PLACEMENT_3D('',#189030,#117527,#117528); #97561=AXIS2_PLACEMENT_3D('',#189036,#117529,#117530); #97562=AXIS2_PLACEMENT_3D('',#189037,#117531,#117532); #97563=AXIS2_PLACEMENT_3D('',#189040,#117535,#117536); #97564=AXIS2_PLACEMENT_3D('',#189041,#117537,#117538); #97565=AXIS2_PLACEMENT_3D('',#189043,#117539,#117540); #97566=AXIS2_PLACEMENT_3D('',#189075,#117542,#117543); #97567=AXIS2_PLACEMENT_3D('',#189076,#117544,#117545); #97568=AXIS2_PLACEMENT_3D('',#189101,#117546,#117547); #97569=AXIS2_PLACEMENT_3D('',#189103,#117548,#117549); #97570=AXIS2_PLACEMENT_3D('',#189104,#117550,#117551); #97571=AXIS2_PLACEMENT_3D('',#189105,#117552,#117553); #97572=AXIS2_PLACEMENT_3D('',#189106,#117554,#117555); #97573=AXIS2_PLACEMENT_3D('',#189107,#117556,#117557); #97574=AXIS2_PLACEMENT_3D('',#189108,#117558,#117559); #97575=AXIS2_PLACEMENT_3D('',#189109,#117560,#117561); #97576=AXIS2_PLACEMENT_3D('',#189111,#117562,#117563); #97577=AXIS2_PLACEMENT_3D('',#189114,#117565,#117566); #97578=AXIS2_PLACEMENT_3D('',#189115,#117567,#117568); #97579=AXIS2_PLACEMENT_3D('',#189116,#117569,#117570); #97580=AXIS2_PLACEMENT_3D('',#189118,#117571,#117572); #97581=AXIS2_PLACEMENT_3D('',#189119,#117573,#117574); #97582=AXIS2_PLACEMENT_3D('',#189120,#117575,#117576); #97583=AXIS2_PLACEMENT_3D('',#189121,#117577,#117578); #97584=AXIS2_PLACEMENT_3D('',#189122,#117579,#117580); #97585=AXIS2_PLACEMENT_3D('',#189123,#117581,#117582); #97586=AXIS2_PLACEMENT_3D('',#189124,#117583,#117584); #97587=AXIS2_PLACEMENT_3D('',#189125,#117585,#117586); #97588=AXIS2_PLACEMENT_3D('',#189126,#117587,#117588); #97589=AXIS2_PLACEMENT_3D('',#189127,#117589,#117590); #97590=AXIS2_PLACEMENT_3D('',#189128,#117591,#117592); #97591=AXIS2_PLACEMENT_3D('',#189153,#117593,#117594); #97592=AXIS2_PLACEMENT_3D('',#189154,#117595,#117596); #97593=AXIS2_PLACEMENT_3D('',#189184,#117597,#117598); #97594=AXIS2_PLACEMENT_3D('',#189233,#117599,#117600); #97595=AXIS2_PLACEMENT_3D('',#189234,#117601,#117602); #97596=AXIS2_PLACEMENT_3D('',#189236,#117604,#117605); #97597=AXIS2_PLACEMENT_3D('',#189237,#117606,#117607); #97598=AXIS2_PLACEMENT_3D('',#189238,#117608,#117609); #97599=AXIS2_PLACEMENT_3D('',#189241,#117610,#117611); #97600=AXIS2_PLACEMENT_3D('',#189243,#117612,#117613); #97601=AXIS2_PLACEMENT_3D('',#189247,#117615,#117616); #97602=AXIS2_PLACEMENT_3D('',#189251,#117618,#117619); #97603=AXIS2_PLACEMENT_3D('',#189253,#117620,#117621); #97604=AXIS2_PLACEMENT_3D('',#189255,#117623,#117624); #97605=AXIS2_PLACEMENT_3D('',#189263,#117629,#117630); #97606=AXIS2_PLACEMENT_3D('',#189265,#117631,#117632); #97607=AXIS2_PLACEMENT_3D('',#189269,#117634,#117635); #97608=AXIS2_PLACEMENT_3D('',#189272,#117637,#117638); #97609=AXIS2_PLACEMENT_3D('',#189273,#117639,#117640); #97610=AXIS2_PLACEMENT_3D('',#189281,#117645,#117646); #97611=AXIS2_PLACEMENT_3D('',#189283,#117647,#117648); #97612=AXIS2_PLACEMENT_3D('',#189287,#117650,#117651); #97613=AXIS2_PLACEMENT_3D('',#189290,#117653,#117654); #97614=AXIS2_PLACEMENT_3D('',#189291,#117655,#117656); #97615=AXIS2_PLACEMENT_3D('',#189299,#117661,#117662); #97616=AXIS2_PLACEMENT_3D('',#189301,#117663,#117664); #97617=AXIS2_PLACEMENT_3D('',#189305,#117666,#117667); #97618=AXIS2_PLACEMENT_3D('',#189308,#117669,#117670); #97619=AXIS2_PLACEMENT_3D('',#189309,#117671,#117672); #97620=AXIS2_PLACEMENT_3D('',#189317,#117677,#117678); #97621=AXIS2_PLACEMENT_3D('',#189319,#117679,#117680); #97622=AXIS2_PLACEMENT_3D('',#189323,#117682,#117683); #97623=AXIS2_PLACEMENT_3D('',#189326,#117685,#117686); #97624=AXIS2_PLACEMENT_3D('',#189327,#117687,#117688); #97625=AXIS2_PLACEMENT_3D('',#189335,#117693,#117694); #97626=AXIS2_PLACEMENT_3D('',#189337,#117695,#117696); #97627=AXIS2_PLACEMENT_3D('',#189341,#117698,#117699); #97628=AXIS2_PLACEMENT_3D('',#189344,#117701,#117702); #97629=AXIS2_PLACEMENT_3D('',#189345,#117703,#117704); #97630=AXIS2_PLACEMENT_3D('',#189353,#117709,#117710); #97631=AXIS2_PLACEMENT_3D('',#189355,#117711,#117712); #97632=AXIS2_PLACEMENT_3D('',#189359,#117714,#117715); #97633=AXIS2_PLACEMENT_3D('',#189362,#117717,#117718); #97634=AXIS2_PLACEMENT_3D('',#189363,#117719,#117720); #97635=AXIS2_PLACEMENT_3D('',#189371,#117725,#117726); #97636=AXIS2_PLACEMENT_3D('',#189373,#117727,#117728); #97637=AXIS2_PLACEMENT_3D('',#189377,#117730,#117731); #97638=AXIS2_PLACEMENT_3D('',#189380,#117733,#117734); #97639=AXIS2_PLACEMENT_3D('',#189381,#117735,#117736); #97640=AXIS2_PLACEMENT_3D('',#189389,#117741,#117742); #97641=AXIS2_PLACEMENT_3D('',#189391,#117743,#117744); #97642=AXIS2_PLACEMENT_3D('',#189395,#117746,#117747); #97643=AXIS2_PLACEMENT_3D('',#189398,#117749,#117750); #97644=AXIS2_PLACEMENT_3D('',#189399,#117751,#117752); #97645=AXIS2_PLACEMENT_3D('',#189407,#117757,#117758); #97646=AXIS2_PLACEMENT_3D('',#189410,#117759,#117760); #97647=AXIS2_PLACEMENT_3D('',#189414,#117762,#117763); #97648=AXIS2_PLACEMENT_3D('',#189418,#117765,#117766); #97649=AXIS2_PLACEMENT_3D('',#189420,#117768,#117769); #97650=AXIS2_PLACEMENT_3D('',#189423,#117770,#117771); #97651=AXIS2_PLACEMENT_3D('',#189427,#117773,#117774); #97652=AXIS2_PLACEMENT_3D('',#189431,#117776,#117777); #97653=AXIS2_PLACEMENT_3D('',#189433,#117779,#117780); #97654=AXIS2_PLACEMENT_3D('',#189436,#117781,#117782); #97655=AXIS2_PLACEMENT_3D('',#189440,#117784,#117785); #97656=AXIS2_PLACEMENT_3D('',#189444,#117787,#117788); #97657=AXIS2_PLACEMENT_3D('',#189446,#117790,#117791); #97658=AXIS2_PLACEMENT_3D('',#189449,#117792,#117793); #97659=AXIS2_PLACEMENT_3D('',#189453,#117795,#117796); #97660=AXIS2_PLACEMENT_3D('',#189457,#117798,#117799); #97661=AXIS2_PLACEMENT_3D('',#189459,#117801,#117802); #97662=AXIS2_PLACEMENT_3D('',#189462,#117803,#117804); #97663=AXIS2_PLACEMENT_3D('',#189466,#117806,#117807); #97664=AXIS2_PLACEMENT_3D('',#189470,#117809,#117810); #97665=AXIS2_PLACEMENT_3D('',#189472,#117812,#117813); #97666=AXIS2_PLACEMENT_3D('',#189475,#117814,#117815); #97667=AXIS2_PLACEMENT_3D('',#189479,#117817,#117818); #97668=AXIS2_PLACEMENT_3D('',#189483,#117820,#117821); #97669=AXIS2_PLACEMENT_3D('',#189485,#117823,#117824); #97670=AXIS2_PLACEMENT_3D('',#189488,#117825,#117826); #97671=AXIS2_PLACEMENT_3D('',#189492,#117828,#117829); #97672=AXIS2_PLACEMENT_3D('',#189496,#117831,#117832); #97673=AXIS2_PLACEMENT_3D('',#189498,#117834,#117835); #97674=AXIS2_PLACEMENT_3D('',#189501,#117836,#117837); #97675=AXIS2_PLACEMENT_3D('',#189505,#117839,#117840); #97676=AXIS2_PLACEMENT_3D('',#189509,#117842,#117843); #97677=AXIS2_PLACEMENT_3D('',#189511,#117845,#117846); #97678=AXIS2_PLACEMENT_3D('',#189516,#117850,#117851); #97679=AXIS2_PLACEMENT_3D('',#189521,#117855,#117856); #97680=AXIS2_PLACEMENT_3D('',#189526,#117860,#117861); #97681=AXIS2_PLACEMENT_3D('',#189531,#117865,#117866); #97682=AXIS2_PLACEMENT_3D('',#189536,#117870,#117871); #97683=AXIS2_PLACEMENT_3D('',#189541,#117875,#117876); #97684=AXIS2_PLACEMENT_3D('',#189546,#117880,#117881); #97685=AXIS2_PLACEMENT_3D('',#189551,#117885,#117886); #97686=AXIS2_PLACEMENT_3D('',#189559,#117891,#117892); #97687=AXIS2_PLACEMENT_3D('',#189561,#117893,#117894); #97688=AXIS2_PLACEMENT_3D('',#189564,#117896,#117897); #97689=AXIS2_PLACEMENT_3D('',#189565,#117898,#117899); #97690=AXIS2_PLACEMENT_3D('',#189566,#117900,#117901); #97691=AXIS2_PLACEMENT_3D('',#189567,#117902,#117903); #97692=AXIS2_PLACEMENT_3D('',#189568,#117904,#117905); #97693=AXIS2_PLACEMENT_3D('',#189569,#117906,#117907); #97694=AXIS2_PLACEMENT_3D('',#189570,#117908,#117909); #97695=AXIS2_PLACEMENT_3D('',#189571,#117910,#117911); #97696=AXIS2_PLACEMENT_3D('',#189572,#117912,#117913); #97697=AXIS2_PLACEMENT_3D('',#189573,#117914,#117915); #97698=AXIS2_PLACEMENT_3D('',#189574,#117916,#117917); #97699=AXIS2_PLACEMENT_3D('',#189575,#117918,#117919); #97700=AXIS2_PLACEMENT_3D('',#189576,#117920,#117921); #97701=AXIS2_PLACEMENT_3D('',#189577,#117922,#117923); #97702=AXIS2_PLACEMENT_3D('',#189578,#117924,#117925); #97703=AXIS2_PLACEMENT_3D('',#189579,#117926,#117927); #97704=AXIS2_PLACEMENT_3D('',#189580,#117928,#117929); #97705=AXIS2_PLACEMENT_3D('',#189581,#117930,#117931); #97706=AXIS2_PLACEMENT_3D('',#189582,#117932,#117933); #97707=AXIS2_PLACEMENT_3D('',#189583,#117934,#117935); #97708=AXIS2_PLACEMENT_3D('',#189584,#117936,#117937); #97709=AXIS2_PLACEMENT_3D('',#189585,#117938,#117939); #97710=AXIS2_PLACEMENT_3D('',#189586,#117940,#117941); #97711=AXIS2_PLACEMENT_3D('',#189587,#117942,#117943); #97712=AXIS2_PLACEMENT_3D('',#189588,#117944,#117945); #97713=AXIS2_PLACEMENT_3D('',#189589,#117946,#117947); #97714=AXIS2_PLACEMENT_3D('',#189590,#117948,#117949); #97715=AXIS2_PLACEMENT_3D('',#189591,#117950,#117951); #97716=AXIS2_PLACEMENT_3D('',#189592,#117952,#117953); #97717=AXIS2_PLACEMENT_3D('',#189593,#117954,#117955); #97718=AXIS2_PLACEMENT_3D('',#189594,#117956,#117957); #97719=AXIS2_PLACEMENT_3D('',#189595,#117958,#117959); #97720=AXIS2_PLACEMENT_3D('',#189596,#117960,#117961); #97721=AXIS2_PLACEMENT_3D('',#189597,#117962,#117963); #97722=AXIS2_PLACEMENT_3D('',#189598,#117964,#117965); #97723=AXIS2_PLACEMENT_3D('',#189599,#117966,#117967); #97724=AXIS2_PLACEMENT_3D('',#189600,#117968,#117969); #97725=AXIS2_PLACEMENT_3D('',#189603,#117970,#117971); #97726=AXIS2_PLACEMENT_3D('',#189607,#117973,#117974); #97727=AXIS2_PLACEMENT_3D('',#189609,#117976,#117977); #97728=AXIS2_PLACEMENT_3D('',#189611,#117978,#117979); #97729=AXIS2_PLACEMENT_3D('',#189615,#117981,#117982); #97730=AXIS2_PLACEMENT_3D('',#189617,#117984,#117985); #97731=AXIS2_PLACEMENT_3D('',#189619,#117986,#117987); #97732=AXIS2_PLACEMENT_3D('',#189623,#117989,#117990); #97733=AXIS2_PLACEMENT_3D('',#189625,#117992,#117993); #97734=AXIS2_PLACEMENT_3D('',#189626,#117994,#117995); #97735=AXIS2_PLACEMENT_3D('',#189627,#117996,#117997); #97736=AXIS2_PLACEMENT_3D('',#189629,#117998,#117999); #97737=AXIS2_PLACEMENT_3D('',#189632,#118001,#118002); #97738=AXIS2_PLACEMENT_3D('',#189634,#118004,#118005); #97739=AXIS2_PLACEMENT_3D('',#189635,#118006,#118007); #97740=AXIS2_PLACEMENT_3D('',#189636,#118008,#118009); #97741=AXIS2_PLACEMENT_3D('',#189637,#118010,#118011); #97742=AXIS2_PLACEMENT_3D('',#189638,#118012,#118013); #97743=AXIS2_PLACEMENT_3D('',#189639,#118014,#118015); #97744=AXIS2_PLACEMENT_3D('',#189640,#118016,#118017); #97745=AXIS2_PLACEMENT_3D('',#189641,#118018,#118019); #97746=AXIS2_PLACEMENT_3D('',#189643,#118020,#118021); #97747=AXIS2_PLACEMENT_3D('',#189645,#118022,#118023); #97748=AXIS2_PLACEMENT_3D('',#189647,#118025,#118026); #97749=AXIS2_PLACEMENT_3D('',#189649,#118027,#118028); #97750=AXIS2_PLACEMENT_3D('',#189650,#118029,#118030); #97751=AXIS2_PLACEMENT_3D('',#189651,#118031,#118032); #97752=AXIS2_PLACEMENT_3D('',#189653,#118033,#118034); #97753=AXIS2_PLACEMENT_3D('',#189654,#118035,#118036); #97754=AXIS2_PLACEMENT_3D('',#189655,#118037,#118038); #97755=AXIS2_PLACEMENT_3D('',#189657,#118039,#118040); #97756=AXIS2_PLACEMENT_3D('',#189659,#118042,#118043); #97757=AXIS2_PLACEMENT_3D('',#189661,#118044,#118045); #97758=AXIS2_PLACEMENT_3D('',#189663,#118047,#118048); #97759=AXIS2_PLACEMENT_3D('',#189665,#118049,#118050); #97760=AXIS2_PLACEMENT_3D('',#189666,#118051,#118052); #97761=AXIS2_PLACEMENT_3D('',#189667,#118053,#118054); #97762=AXIS2_PLACEMENT_3D('',#189669,#118055,#118056); #97763=AXIS2_PLACEMENT_3D('',#189670,#118057,#118058); #97764=AXIS2_PLACEMENT_3D('',#189671,#118059,#118060); #97765=AXIS2_PLACEMENT_3D('',#189673,#118062,#118063); #97766=AXIS2_PLACEMENT_3D('',#189676,#118064,#118065); #97767=AXIS2_PLACEMENT_3D('',#189678,#118066,#118067); #97768=AXIS2_PLACEMENT_3D('',#189680,#118068,#118069); #97769=AXIS2_PLACEMENT_3D('',#189682,#118070,#118071); #97770=AXIS2_PLACEMENT_3D('',#189684,#118072,#118073); #97771=AXIS2_PLACEMENT_3D('',#189685,#118074,#118075); #97772=AXIS2_PLACEMENT_3D('',#189690,#118077,#118078); #97773=AXIS2_PLACEMENT_3D('',#189694,#118080,#118081); #97774=AXIS2_PLACEMENT_3D('',#189698,#118083,#118084); #97775=AXIS2_PLACEMENT_3D('',#189701,#118086,#118087); #97776=AXIS2_PLACEMENT_3D('',#189704,#118088,#118089); #97777=AXIS2_PLACEMENT_3D('',#189708,#118091,#118092); #97778=AXIS2_PLACEMENT_3D('',#189712,#118094,#118095); #97779=AXIS2_PLACEMENT_3D('',#189716,#118097,#118098); #97780=AXIS2_PLACEMENT_3D('',#189718,#118100,#118101); #97781=AXIS2_PLACEMENT_3D('',#189721,#118102,#118103); #97782=AXIS2_PLACEMENT_3D('',#189725,#118105,#118106); #97783=AXIS2_PLACEMENT_3D('',#189727,#118108,#118109); #97784=AXIS2_PLACEMENT_3D('',#189729,#118110,#118111); #97785=AXIS2_PLACEMENT_3D('',#189733,#118113,#118114); #97786=AXIS2_PLACEMENT_3D('',#189735,#118116,#118117); #97787=AXIS2_PLACEMENT_3D('',#189737,#118118,#118119); #97788=AXIS2_PLACEMENT_3D('',#189741,#118121,#118122); #97789=AXIS2_PLACEMENT_3D('',#189743,#118124,#118125); #97790=AXIS2_PLACEMENT_3D('',#189744,#118126,#118127); #97791=AXIS2_PLACEMENT_3D('',#189745,#118128,#118129); #97792=AXIS2_PLACEMENT_3D('',#189747,#118130,#118131); #97793=AXIS2_PLACEMENT_3D('',#189750,#118133,#118134); #97794=AXIS2_PLACEMENT_3D('',#189752,#118136,#118137); #97795=AXIS2_PLACEMENT_3D('',#189753,#118138,#118139); #97796=AXIS2_PLACEMENT_3D('',#189754,#118140,#118141); #97797=AXIS2_PLACEMENT_3D('',#189755,#118142,#118143); #97798=AXIS2_PLACEMENT_3D('',#189756,#118144,#118145); #97799=AXIS2_PLACEMENT_3D('',#189757,#118146,#118147); #97800=AXIS2_PLACEMENT_3D('',#189758,#118148,#118149); #97801=AXIS2_PLACEMENT_3D('',#189759,#118150,#118151); #97802=AXIS2_PLACEMENT_3D('',#189760,#118152,#118153); #97803=AXIS2_PLACEMENT_3D('',#189761,#118154,#118155); #97804=AXIS2_PLACEMENT_3D('',#189762,#118156,#118157); #97805=AXIS2_PLACEMENT_3D('',#189763,#118158,#118159); #97806=AXIS2_PLACEMENT_3D('',#189764,#118160,#118161); #97807=AXIS2_PLACEMENT_3D('',#189765,#118162,#118163); #97808=AXIS2_PLACEMENT_3D('',#189766,#118164,#118165); #97809=AXIS2_PLACEMENT_3D('',#189767,#118166,#118167); #97810=AXIS2_PLACEMENT_3D('',#189768,#118168,#118169); #97811=AXIS2_PLACEMENT_3D('',#189769,#118170,#118171); #97812=AXIS2_PLACEMENT_3D('',#189770,#118172,#118173); #97813=AXIS2_PLACEMENT_3D('',#189771,#118174,#118175); #97814=AXIS2_PLACEMENT_3D('',#189772,#118176,#118177); #97815=AXIS2_PLACEMENT_3D('',#189773,#118178,#118179); #97816=AXIS2_PLACEMENT_3D('',#189774,#118180,#118181); #97817=AXIS2_PLACEMENT_3D('',#189775,#118182,#118183); #97818=AXIS2_PLACEMENT_3D('',#189778,#118184,#118185); #97819=AXIS2_PLACEMENT_3D('',#189782,#118187,#118188); #97820=AXIS2_PLACEMENT_3D('',#189784,#118190,#118191); #97821=AXIS2_PLACEMENT_3D('',#189786,#118192,#118193); #97822=AXIS2_PLACEMENT_3D('',#189790,#118195,#118196); #97823=AXIS2_PLACEMENT_3D('',#189792,#118198,#118199); #97824=AXIS2_PLACEMENT_3D('',#189794,#118200,#118201); #97825=AXIS2_PLACEMENT_3D('',#189798,#118203,#118204); #97826=AXIS2_PLACEMENT_3D('',#189800,#118206,#118207); #97827=AXIS2_PLACEMENT_3D('',#189801,#118208,#118209); #97828=AXIS2_PLACEMENT_3D('',#189802,#118210,#118211); #97829=AXIS2_PLACEMENT_3D('',#189804,#118212,#118213); #97830=AXIS2_PLACEMENT_3D('',#189807,#118215,#118216); #97831=AXIS2_PLACEMENT_3D('',#189809,#118218,#118219); #97832=AXIS2_PLACEMENT_3D('',#189810,#118220,#118221); #97833=AXIS2_PLACEMENT_3D('',#189811,#118222,#118223); #97834=AXIS2_PLACEMENT_3D('',#189812,#118224,#118225); #97835=AXIS2_PLACEMENT_3D('',#189813,#118226,#118227); #97836=AXIS2_PLACEMENT_3D('',#189814,#118228,#118229); #97837=AXIS2_PLACEMENT_3D('',#189815,#118230,#118231); #97838=AXIS2_PLACEMENT_3D('',#189816,#118232,#118233); #97839=AXIS2_PLACEMENT_3D('',#189817,#118234,#118235); #97840=AXIS2_PLACEMENT_3D('',#189818,#118236,#118237); #97841=AXIS2_PLACEMENT_3D('',#189819,#118238,#118239); #97842=AXIS2_PLACEMENT_3D('',#189820,#118240,#118241); #97843=AXIS2_PLACEMENT_3D('',#189821,#118242,#118243); #97844=AXIS2_PLACEMENT_3D('',#189822,#118244,#118245); #97845=AXIS2_PLACEMENT_3D('',#189823,#118246,#118247); #97846=AXIS2_PLACEMENT_3D('',#189824,#118248,#118249); #97847=AXIS2_PLACEMENT_3D('',#189825,#118250,#118251); #97848=AXIS2_PLACEMENT_3D('',#189826,#118252,#118253); #97849=AXIS2_PLACEMENT_3D('',#189827,#118254,#118255); #97850=AXIS2_PLACEMENT_3D('',#189828,#118256,#118257); #97851=AXIS2_PLACEMENT_3D('',#189829,#118258,#118259); #97852=AXIS2_PLACEMENT_3D('',#189830,#118260,#118261); #97853=AXIS2_PLACEMENT_3D('',#189831,#118262,#118263); #97854=AXIS2_PLACEMENT_3D('',#189832,#118264,#118265); #97855=AXIS2_PLACEMENT_3D('',#189834,#118266,#118267); #97856=AXIS2_PLACEMENT_3D('',#189838,#118269,#118270); #97857=AXIS2_PLACEMENT_3D('',#189839,#118271,#118272); #97858=AXIS2_PLACEMENT_3D('',#189840,#118273,#118274); #97859=AXIS2_PLACEMENT_3D('',#189842,#118276,#118277); #97860=AXIS2_PLACEMENT_3D('',#189843,#118278,#118279); #97861=AXIS2_PLACEMENT_3D('',#189844,#118280,#118281); #97862=AXIS2_PLACEMENT_3D('',#189852,#118282,#118283); #97863=AXIS2_PLACEMENT_3D('',#189860,#118284,#118285); #97864=AXIS2_PLACEMENT_3D('',#189868,#118286,#118287); #97865=AXIS2_PLACEMENT_3D('',#189876,#118288,#118289); #97866=AXIS2_PLACEMENT_3D('',#189884,#118290,#118291); #97867=AXIS2_PLACEMENT_3D('',#189892,#118292,#118293); #97868=AXIS2_PLACEMENT_3D('',#189904,#118296,#118297); #97869=AXIS2_PLACEMENT_3D('',#189914,#118299,#118300); #97870=AXIS2_PLACEMENT_3D('',#189924,#118302,#118303); #97871=AXIS2_PLACEMENT_3D('',#189936,#118305,#118306); #97872=AXIS2_PLACEMENT_3D('',#189946,#118308,#118309); #97873=AXIS2_PLACEMENT_3D('',#189954,#118310,#118311); #97874=AXIS2_PLACEMENT_3D('',#189957,#118312,#118313); #97875=AXIS2_PLACEMENT_3D('',#189958,#118314,#118315); #97876=AXIS2_PLACEMENT_3D('',#189959,#118316,#118317); #97877=AXIS2_PLACEMENT_3D('',#189960,#118318,#118319); #97878=AXIS2_PLACEMENT_3D('',#189962,#118321,#118322); #97879=AXIS2_PLACEMENT_3D('',#189963,#118323,#118324); #97880=AXIS2_PLACEMENT_3D('',#190009,#118326,#118327); #97881=AXIS2_PLACEMENT_3D('',#190018,#118332,#118333); #97882=AXIS2_PLACEMENT_3D('',#190147,#118342,#118343); #97883=AXIS2_PLACEMENT_3D('',#190156,#118348,#118349); #97884=AXIS2_PLACEMENT_3D('',#190285,#118358,#118359); #97885=AXIS2_PLACEMENT_3D('',#190294,#118364,#118365); #97886=AXIS2_PLACEMENT_3D('',#190423,#118374,#118375); #97887=AXIS2_PLACEMENT_3D('',#190432,#118380,#118381); #97888=AXIS2_PLACEMENT_3D('',#190561,#118390,#118391); #97889=AXIS2_PLACEMENT_3D('',#190570,#118396,#118397); #97890=AXIS2_PLACEMENT_3D('',#190654,#118405,#118406); #97891=AXIS2_PLACEMENT_3D('',#190657,#118407,#118408); #97892=AXIS2_PLACEMENT_3D('',#190659,#118409,#118410); #97893=AXIS2_PLACEMENT_3D('',#190661,#118411,#118412); #97894=AXIS2_PLACEMENT_3D('',#190663,#118413,#118414); #97895=AXIS2_PLACEMENT_3D('',#190665,#118415,#118416); #97896=AXIS2_PLACEMENT_3D('',#190667,#118417,#118418); #97897=AXIS2_PLACEMENT_3D('',#190669,#118419,#118420); #97898=AXIS2_PLACEMENT_3D('',#190671,#118421,#118422); #97899=AXIS2_PLACEMENT_3D('',#190673,#118423,#118424); #97900=AXIS2_PLACEMENT_3D('',#190675,#118425,#118426); #97901=AXIS2_PLACEMENT_3D('',#190677,#118427,#118428); #97902=AXIS2_PLACEMENT_3D('',#190678,#118429,#118430); #97903=AXIS2_PLACEMENT_3D('',#190679,#118431,#118432); #97904=AXIS2_PLACEMENT_3D('',#190681,#118433,#118434); #97905=AXIS2_PLACEMENT_3D('',#190690,#118436,#118437); #97906=AXIS2_PLACEMENT_3D('',#190698,#118439,#118440); #97907=AXIS2_PLACEMENT_3D('',#190699,#118441,#118442); #97908=AXIS2_PLACEMENT_3D('',#190700,#118443,#118444); #97909=AXIS2_PLACEMENT_3D('',#190701,#118445,#118446); #97910=AXIS2_PLACEMENT_3D('',#190702,#118447,#118448); #97911=AXIS2_PLACEMENT_3D('',#190703,#118449,#118450); #97912=AXIS2_PLACEMENT_3D('',#190704,#118451,#118452); #97913=AXIS2_PLACEMENT_3D('',#190705,#118453,#118454); #97914=AXIS2_PLACEMENT_3D('',#190706,#118455,#118456); #97915=AXIS2_PLACEMENT_3D('',#190707,#118457,#118458); #97916=AXIS2_PLACEMENT_3D('',#190708,#118459,#118460); #97917=AXIS2_PLACEMENT_3D('',#190709,#118461,#118462); #97918=AXIS2_PLACEMENT_3D('',#190717,#118463,#118464); #97919=AXIS2_PLACEMENT_3D('',#190718,#118465,#118466); #97920=AXIS2_PLACEMENT_3D('',#190719,#118467,#118468); #97921=AXIS2_PLACEMENT_3D('',#190720,#118469,#118470); #97922=AXIS2_PLACEMENT_3D('',#190721,#118471,#118472); #97923=AXIS2_PLACEMENT_3D('',#190722,#118473,#118474); #97924=AXIS2_PLACEMENT_3D('',#190723,#118475,#118476); #97925=AXIS2_PLACEMENT_3D('',#190724,#118477,#118478); #97926=AXIS2_PLACEMENT_3D('',#190725,#118479,#118480); #97927=AXIS2_PLACEMENT_3D('',#190726,#118481,#118482); #97928=AXIS2_PLACEMENT_3D('',#190727,#118483,#118484); #97929=AXIS2_PLACEMENT_3D('',#190728,#118485,#118486); #97930=AXIS2_PLACEMENT_3D('',#190729,#118487,#118488); #97931=AXIS2_PLACEMENT_3D('',#190730,#118489,#118490); #97932=AXIS2_PLACEMENT_3D('',#190732,#118491,#118492); #97933=AXIS2_PLACEMENT_3D('',#190739,#118493,#118494); #97934=AXIS2_PLACEMENT_3D('',#190740,#118495,#118496); #97935=AXIS2_PLACEMENT_3D('',#190741,#118497,#118498); #97936=AXIS2_PLACEMENT_3D('',#190742,#118499,#118500); #97937=AXIS2_PLACEMENT_3D('',#190775,#118502,#118503); #97938=AXIS2_PLACEMENT_3D('',#190782,#118508,#118509); #97939=AXIS2_PLACEMENT_3D('',#190851,#118515,#118516); #97940=AXIS2_PLACEMENT_3D('',#190852,#118517,#118518); #97941=AXIS2_PLACEMENT_3D('',#190853,#118519,#118520); #97942=AXIS2_PLACEMENT_3D('',#190864,#118524,#118525); #97943=AXIS2_PLACEMENT_3D('',#190866,#118526,#118527); #97944=AXIS2_PLACEMENT_3D('',#190869,#118529,#118530); #97945=AXIS2_PLACEMENT_3D('',#190925,#118534,#118535); #97946=AXIS2_PLACEMENT_3D('',#190929,#118538,#118539); #97947=AXIS2_PLACEMENT_3D('',#190940,#118543,#118544); #97948=AXIS2_PLACEMENT_3D('',#190942,#118545,#118546); #97949=AXIS2_PLACEMENT_3D('',#190945,#118548,#118549); #97950=AXIS2_PLACEMENT_3D('',#191001,#118553,#118554); #97951=AXIS2_PLACEMENT_3D('',#191005,#118557,#118558); #97952=AXIS2_PLACEMENT_3D('',#191016,#118562,#118563); #97953=AXIS2_PLACEMENT_3D('',#191018,#118564,#118565); #97954=AXIS2_PLACEMENT_3D('',#191021,#118567,#118568); #97955=AXIS2_PLACEMENT_3D('',#191077,#118572,#118573); #97956=AXIS2_PLACEMENT_3D('',#191081,#118576,#118577); #97957=AXIS2_PLACEMENT_3D('',#191092,#118581,#118582); #97958=AXIS2_PLACEMENT_3D('',#191094,#118583,#118584); #97959=AXIS2_PLACEMENT_3D('',#191097,#118586,#118587); #97960=AXIS2_PLACEMENT_3D('',#191153,#118591,#118592); #97961=AXIS2_PLACEMENT_3D('',#191157,#118595,#118596); #97962=AXIS2_PLACEMENT_3D('',#191168,#118600,#118601); #97963=AXIS2_PLACEMENT_3D('',#191170,#118602,#118603); #97964=AXIS2_PLACEMENT_3D('',#191173,#118605,#118606); #97965=AXIS2_PLACEMENT_3D('',#191229,#118610,#118611); #97966=AXIS2_PLACEMENT_3D('',#191233,#118614,#118615); #97967=AXIS2_PLACEMENT_3D('',#191244,#118619,#118620); #97968=AXIS2_PLACEMENT_3D('',#191246,#118621,#118622); #97969=AXIS2_PLACEMENT_3D('',#191249,#118624,#118625); #97970=AXIS2_PLACEMENT_3D('',#191305,#118629,#118630); #97971=AXIS2_PLACEMENT_3D('',#191309,#118633,#118634); #97972=AXIS2_PLACEMENT_3D('',#191320,#118638,#118639); #97973=AXIS2_PLACEMENT_3D('',#191322,#118640,#118641); #97974=AXIS2_PLACEMENT_3D('',#191325,#118643,#118644); #97975=AXIS2_PLACEMENT_3D('',#191381,#118648,#118649); #97976=AXIS2_PLACEMENT_3D('',#191385,#118652,#118653); #97977=AXIS2_PLACEMENT_3D('',#191396,#118657,#118658); #97978=AXIS2_PLACEMENT_3D('',#191398,#118659,#118660); #97979=AXIS2_PLACEMENT_3D('',#191401,#118662,#118663); #97980=AXIS2_PLACEMENT_3D('',#191457,#118667,#118668); #97981=AXIS2_PLACEMENT_3D('',#191461,#118671,#118672); #97982=AXIS2_PLACEMENT_3D('',#191472,#118676,#118677); #97983=AXIS2_PLACEMENT_3D('',#191474,#118678,#118679); #97984=AXIS2_PLACEMENT_3D('',#191477,#118681,#118682); #97985=AXIS2_PLACEMENT_3D('',#191533,#118686,#118687); #97986=AXIS2_PLACEMENT_3D('',#191537,#118690,#118691); #97987=AXIS2_PLACEMENT_3D('',#191548,#118695,#118696); #97988=AXIS2_PLACEMENT_3D('',#191550,#118697,#118698); #97989=AXIS2_PLACEMENT_3D('',#191553,#118700,#118701); #97990=AXIS2_PLACEMENT_3D('',#191609,#118705,#118706); #97991=AXIS2_PLACEMENT_3D('',#191613,#118709,#118710); #97992=AXIS2_PLACEMENT_3D('',#191624,#118714,#118715); #97993=AXIS2_PLACEMENT_3D('',#191626,#118716,#118717); #97994=AXIS2_PLACEMENT_3D('',#191629,#118719,#118720); #97995=AXIS2_PLACEMENT_3D('',#191685,#118724,#118725); #97996=AXIS2_PLACEMENT_3D('',#191689,#118728,#118729); #97997=AXIS2_PLACEMENT_3D('',#191691,#118730,#118731); #97998=AXIS2_PLACEMENT_3D('',#191693,#118733,#118734); #97999=AXIS2_PLACEMENT_3D('',#191694,#118735,#118736); #98000=AXIS2_PLACEMENT_3D('',#191695,#118737,#118738); #98001=AXIS2_PLACEMENT_3D('',#191696,#118739,#118740); #98002=AXIS2_PLACEMENT_3D('',#191697,#118741,#118742); #98003=AXIS2_PLACEMENT_3D('',#191698,#118743,#118744); #98004=AXIS2_PLACEMENT_3D('',#191699,#118745,#118746); #98005=AXIS2_PLACEMENT_3D('',#191700,#118747,#118748); #98006=AXIS2_PLACEMENT_3D('',#191701,#118749,#118750); #98007=AXIS2_PLACEMENT_3D('',#191702,#118751,#118752); #98008=AXIS2_PLACEMENT_3D('',#191703,#118753,#118754); #98009=AXIS2_PLACEMENT_3D('',#191704,#118755,#118756); #98010=AXIS2_PLACEMENT_3D('',#191705,#118757,#118758); #98011=AXIS2_PLACEMENT_3D('',#191706,#118759,#118760); #98012=AXIS2_PLACEMENT_3D('',#191707,#118761,#118762); #98013=AXIS2_PLACEMENT_3D('',#191708,#118763,#118764); #98014=AXIS2_PLACEMENT_3D('',#191709,#118765,#118766); #98015=AXIS2_PLACEMENT_3D('',#191710,#118767,#118768); #98016=AXIS2_PLACEMENT_3D('',#191711,#118769,#118770); #98017=AXIS2_PLACEMENT_3D('',#191712,#118771,#118772); #98018=AXIS2_PLACEMENT_3D('',#191713,#118773,#118774); #98019=AXIS2_PLACEMENT_3D('',#191722,#118777,#118778); #98020=AXIS2_PLACEMENT_3D('',#191724,#118779,#118780); #98021=AXIS2_PLACEMENT_3D('',#191727,#118782,#118783); #98022=AXIS2_PLACEMENT_3D('',#191783,#118787,#118788); #98023=AXIS2_PLACEMENT_3D('',#191787,#118791,#118792); #98024=AXIS2_PLACEMENT_3D('',#191788,#118793,#118794); #98025=AXIS2_PLACEMENT_3D('',#191789,#118795,#118796); #98026=AXIS2_PLACEMENT_3D('',#191790,#118797,#118798); #98027=AXIS2_PLACEMENT_3D('',#191791,#118799,#118800); #98028=AXIS2_PLACEMENT_3D('',#191794,#118801,#118802); #98029=AXIS2_PLACEMENT_3D('',#191798,#118804,#118805); #98030=AXIS2_PLACEMENT_3D('',#191816,#118806,#118807); #98031=AXIS2_PLACEMENT_3D('',#191820,#118809,#118810); #98032=AXIS2_PLACEMENT_3D('',#191822,#118811,#118812); #98033=AXIS2_PLACEMENT_3D('',#191826,#118814,#118815); #98034=AXIS2_PLACEMENT_3D('',#191843,#118816,#118817); #98035=AXIS2_PLACEMENT_3D('',#191846,#118819,#118820); #98036=AXIS2_PLACEMENT_3D('',#191847,#118821,#118822); #98037=AXIS2_PLACEMENT_3D('',#191851,#118824,#118825); #98038=AXIS2_PLACEMENT_3D('',#191853,#118827,#118828); #98039=AXIS2_PLACEMENT_3D('',#191857,#118831,#118832); #98040=AXIS2_PLACEMENT_3D('',#191860,#118834,#118835); #98041=AXIS2_PLACEMENT_3D('',#191891,#118838,#118839); #98042=AXIS2_PLACEMENT_3D('',#191894,#118841,#118842); #98043=AXIS2_PLACEMENT_3D('',#191895,#118843,#118844); #98044=AXIS2_PLACEMENT_3D('',#191899,#118847,#118848); #98045=AXIS2_PLACEMENT_3D('',#191902,#118850,#118851); #98046=AXIS2_PLACEMENT_3D('',#191903,#118852,#118853); #98047=AXIS2_PLACEMENT_3D('',#191905,#118854,#118855); #98048=AXIS2_PLACEMENT_3D('',#191907,#118857,#118858); #98049=AXIS2_PLACEMENT_3D('',#191911,#118861,#118862); #98050=AXIS2_PLACEMENT_3D('',#191913,#118863,#118864); #98051=AXIS2_PLACEMENT_3D('',#191944,#118868,#118869); #98052=AXIS2_PLACEMENT_3D('',#191946,#118870,#118871); #98053=AXIS2_PLACEMENT_3D('',#191948,#118873,#118874); #98054=AXIS2_PLACEMENT_3D('',#191952,#118877,#118878); #98055=AXIS2_PLACEMENT_3D('',#191953,#118879,#118880); #98056=AXIS2_PLACEMENT_3D('',#191954,#118881,#118882); #98057=AXIS2_PLACEMENT_3D('',#191957,#118883,#118884); #98058=AXIS2_PLACEMENT_3D('',#191961,#118886,#118887); #98059=AXIS2_PLACEMENT_3D('',#191963,#118889,#118890); #98060=AXIS2_PLACEMENT_3D('',#191969,#118894,#118895); #98061=AXIS2_PLACEMENT_3D('',#191971,#118896,#118897); #98062=AXIS2_PLACEMENT_3D('',#191974,#118899,#118900); #98063=AXIS2_PLACEMENT_3D('',#191975,#118901,#118902); #98064=AXIS2_PLACEMENT_3D('',#191981,#118906,#118907); #98065=AXIS2_PLACEMENT_3D('',#191983,#118908,#118909); #98066=AXIS2_PLACEMENT_3D('',#191985,#118910,#118911); #98067=AXIS2_PLACEMENT_3D('',#191987,#118913,#118914); #98068=AXIS2_PLACEMENT_3D('',#191990,#118917,#118918); #98069=AXIS2_PLACEMENT_3D('',#191991,#118919,#118920); #98070=AXIS2_PLACEMENT_3D('',#191994,#118921,#118922); #98071=AXIS2_PLACEMENT_3D('',#191998,#118924,#118925); #98072=AXIS2_PLACEMENT_3D('',#192002,#118927,#118928); #98073=AXIS2_PLACEMENT_3D('',#192004,#118930,#118931); #98074=AXIS2_PLACEMENT_3D('',#192008,#118933,#118934); #98075=AXIS2_PLACEMENT_3D('',#192010,#118936,#118937); #98076=AXIS2_PLACEMENT_3D('',#192014,#118940,#118941); #98077=AXIS2_PLACEMENT_3D('',#192017,#118943,#118944); #98078=AXIS2_PLACEMENT_3D('',#192018,#118945,#118946); #98079=AXIS2_PLACEMENT_3D('',#192022,#118949,#118950); #98080=AXIS2_PLACEMENT_3D('',#192024,#118951,#118952); #98081=AXIS2_PLACEMENT_3D('',#192026,#118954,#118955); #98082=AXIS2_PLACEMENT_3D('',#192028,#118957,#118958); #98083=AXIS2_PLACEMENT_3D('',#192037,#118963,#118964); #98084=AXIS2_PLACEMENT_3D('',#192039,#118965,#118966); #98085=AXIS2_PLACEMENT_3D('',#192042,#118968,#118969); #98086=AXIS2_PLACEMENT_3D('',#192043,#118970,#118971); #98087=AXIS2_PLACEMENT_3D('',#192049,#118975,#118976); #98088=AXIS2_PLACEMENT_3D('',#192051,#118977,#118978); #98089=AXIS2_PLACEMENT_3D('',#192053,#118979,#118980); #98090=AXIS2_PLACEMENT_3D('',#192055,#118982,#118983); #98091=AXIS2_PLACEMENT_3D('',#192061,#118987,#118988); #98092=AXIS2_PLACEMENT_3D('',#192062,#118989,#118990); #98093=AXIS2_PLACEMENT_3D('',#192063,#118991,#118992); #98094=AXIS2_PLACEMENT_3D('',#192064,#118993,#118994); #98095=AXIS2_PLACEMENT_3D('',#192065,#118995,#118996); #98096=AXIS2_PLACEMENT_3D('',#192068,#118997,#118998); #98097=AXIS2_PLACEMENT_3D('',#192072,#119000,#119001); #98098=AXIS2_PLACEMENT_3D('',#192076,#119003,#119004); #98099=AXIS2_PLACEMENT_3D('',#192078,#119006,#119007); #98100=AXIS2_PLACEMENT_3D('',#192082,#119009,#119010); #98101=AXIS2_PLACEMENT_3D('',#192084,#119012,#119013); #98102=AXIS2_PLACEMENT_3D('',#192088,#119016,#119017); #98103=AXIS2_PLACEMENT_3D('',#192091,#119019,#119020); #98104=AXIS2_PLACEMENT_3D('',#192092,#119021,#119022); #98105=AXIS2_PLACEMENT_3D('',#192096,#119025,#119026); #98106=AXIS2_PLACEMENT_3D('',#192098,#119027,#119028); #98107=AXIS2_PLACEMENT_3D('',#192100,#119030,#119031); #98108=AXIS2_PLACEMENT_3D('',#192102,#119033,#119034); #98109=AXIS2_PLACEMENT_3D('',#192105,#119035,#119036); #98110=AXIS2_PLACEMENT_3D('',#192109,#119038,#119039); #98111=AXIS2_PLACEMENT_3D('',#192111,#119041,#119042); #98112=AXIS2_PLACEMENT_3D('',#192117,#119046,#119047); #98113=AXIS2_PLACEMENT_3D('',#192119,#119048,#119049); #98114=AXIS2_PLACEMENT_3D('',#192122,#119051,#119052); #98115=AXIS2_PLACEMENT_3D('',#192167,#119055,#119056); #98116=AXIS2_PLACEMENT_3D('',#192169,#119057,#119058); #98117=AXIS2_PLACEMENT_3D('',#192172,#119060,#119061); #98118=AXIS2_PLACEMENT_3D('',#192173,#119062,#119063); #98119=AXIS2_PLACEMENT_3D('',#192179,#119067,#119068); #98120=AXIS2_PLACEMENT_3D('',#192181,#119069,#119070); #98121=AXIS2_PLACEMENT_3D('',#192184,#119072,#119073); #98122=AXIS2_PLACEMENT_3D('',#192185,#119074,#119075); #98123=AXIS2_PLACEMENT_3D('',#192187,#119076,#119077); #98124=AXIS2_PLACEMENT_3D('',#192189,#119078,#119079); #98125=AXIS2_PLACEMENT_3D('',#192191,#119081,#119082); #98126=AXIS2_PLACEMENT_3D('',#192197,#119086,#119087); #98127=AXIS2_PLACEMENT_3D('',#192199,#119088,#119089); #98128=AXIS2_PLACEMENT_3D('',#192201,#119090,#119091); #98129=AXIS2_PLACEMENT_3D('',#192249,#119095,#119096); #98130=AXIS2_PLACEMENT_3D('',#192251,#119097,#119098); #98131=AXIS2_PLACEMENT_3D('',#192253,#119099,#119100); #98132=AXIS2_PLACEMENT_3D('',#192255,#119102,#119103); #98133=AXIS2_PLACEMENT_3D('',#192261,#119107,#119108); #98134=AXIS2_PLACEMENT_3D('',#192262,#119109,#119110); #98135=AXIS2_PLACEMENT_3D('',#192263,#119111,#119112); #98136=AXIS2_PLACEMENT_3D('',#192264,#119113,#119114); #98137=AXIS2_PLACEMENT_3D('',#192265,#119115,#119116); #98138=AXIS2_PLACEMENT_3D('',#192268,#119117,#119118); #98139=AXIS2_PLACEMENT_3D('',#192272,#119120,#119121); #98140=AXIS2_PLACEMENT_3D('',#192290,#119122,#119123); #98141=AXIS2_PLACEMENT_3D('',#192294,#119125,#119126); #98142=AXIS2_PLACEMENT_3D('',#192296,#119127,#119128); #98143=AXIS2_PLACEMENT_3D('',#192300,#119130,#119131); #98144=AXIS2_PLACEMENT_3D('',#192317,#119132,#119133); #98145=AXIS2_PLACEMENT_3D('',#192320,#119135,#119136); #98146=AXIS2_PLACEMENT_3D('',#192321,#119137,#119138); #98147=AXIS2_PLACEMENT_3D('',#192325,#119140,#119141); #98148=AXIS2_PLACEMENT_3D('',#192327,#119143,#119144); #98149=AXIS2_PLACEMENT_3D('',#192331,#119147,#119148); #98150=AXIS2_PLACEMENT_3D('',#192334,#119150,#119151); #98151=AXIS2_PLACEMENT_3D('',#192365,#119154,#119155); #98152=AXIS2_PLACEMENT_3D('',#192368,#119157,#119158); #98153=AXIS2_PLACEMENT_3D('',#192369,#119159,#119160); #98154=AXIS2_PLACEMENT_3D('',#192373,#119163,#119164); #98155=AXIS2_PLACEMENT_3D('',#192376,#119166,#119167); #98156=AXIS2_PLACEMENT_3D('',#192377,#119168,#119169); #98157=AXIS2_PLACEMENT_3D('',#192379,#119170,#119171); #98158=AXIS2_PLACEMENT_3D('',#192381,#119173,#119174); #98159=AXIS2_PLACEMENT_3D('',#192385,#119177,#119178); #98160=AXIS2_PLACEMENT_3D('',#192387,#119179,#119180); #98161=AXIS2_PLACEMENT_3D('',#192418,#119184,#119185); #98162=AXIS2_PLACEMENT_3D('',#192420,#119186,#119187); #98163=AXIS2_PLACEMENT_3D('',#192422,#119189,#119190); #98164=AXIS2_PLACEMENT_3D('',#192426,#119193,#119194); #98165=AXIS2_PLACEMENT_3D('',#192427,#119195,#119196); #98166=AXIS2_PLACEMENT_3D('',#192428,#119197,#119198); #98167=AXIS2_PLACEMENT_3D('',#192431,#119199,#119200); #98168=AXIS2_PLACEMENT_3D('',#192435,#119202,#119203); #98169=AXIS2_PLACEMENT_3D('',#192437,#119205,#119206); #98170=AXIS2_PLACEMENT_3D('',#192443,#119210,#119211); #98171=AXIS2_PLACEMENT_3D('',#192445,#119212,#119213); #98172=AXIS2_PLACEMENT_3D('',#192448,#119215,#119216); #98173=AXIS2_PLACEMENT_3D('',#192493,#119219,#119220); #98174=AXIS2_PLACEMENT_3D('',#192495,#119221,#119222); #98175=AXIS2_PLACEMENT_3D('',#192498,#119224,#119225); #98176=AXIS2_PLACEMENT_3D('',#192499,#119226,#119227); #98177=AXIS2_PLACEMENT_3D('',#192505,#119231,#119232); #98178=AXIS2_PLACEMENT_3D('',#192507,#119233,#119234); #98179=AXIS2_PLACEMENT_3D('',#192510,#119236,#119237); #98180=AXIS2_PLACEMENT_3D('',#192511,#119238,#119239); #98181=AXIS2_PLACEMENT_3D('',#192513,#119240,#119241); #98182=AXIS2_PLACEMENT_3D('',#192515,#119242,#119243); #98183=AXIS2_PLACEMENT_3D('',#192517,#119245,#119246); #98184=AXIS2_PLACEMENT_3D('',#192523,#119250,#119251); #98185=AXIS2_PLACEMENT_3D('',#192525,#119252,#119253); #98186=AXIS2_PLACEMENT_3D('',#192527,#119254,#119255); #98187=AXIS2_PLACEMENT_3D('',#192575,#119259,#119260); #98188=AXIS2_PLACEMENT_3D('',#192577,#119261,#119262); #98189=AXIS2_PLACEMENT_3D('',#192579,#119263,#119264); #98190=AXIS2_PLACEMENT_3D('',#192581,#119266,#119267); #98191=AXIS2_PLACEMENT_3D('',#192587,#119271,#119272); #98192=AXIS2_PLACEMENT_3D('',#192588,#119273,#119274); #98193=AXIS2_PLACEMENT_3D('',#192589,#119275,#119276); #98194=AXIS2_PLACEMENT_3D('',#192590,#119277,#119278); #98195=AXIS2_PLACEMENT_3D('',#192591,#119279,#119280); #98196=AXIS2_PLACEMENT_3D('',#192594,#119281,#119282); #98197=AXIS2_PLACEMENT_3D('',#192598,#119284,#119285); #98198=AXIS2_PLACEMENT_3D('',#192616,#119286,#119287); #98199=AXIS2_PLACEMENT_3D('',#192620,#119289,#119290); #98200=AXIS2_PLACEMENT_3D('',#192622,#119291,#119292); #98201=AXIS2_PLACEMENT_3D('',#192626,#119294,#119295); #98202=AXIS2_PLACEMENT_3D('',#192643,#119296,#119297); #98203=AXIS2_PLACEMENT_3D('',#192646,#119299,#119300); #98204=AXIS2_PLACEMENT_3D('',#192647,#119301,#119302); #98205=AXIS2_PLACEMENT_3D('',#192651,#119304,#119305); #98206=AXIS2_PLACEMENT_3D('',#192653,#119307,#119308); #98207=AXIS2_PLACEMENT_3D('',#192657,#119311,#119312); #98208=AXIS2_PLACEMENT_3D('',#192660,#119314,#119315); #98209=AXIS2_PLACEMENT_3D('',#192691,#119318,#119319); #98210=AXIS2_PLACEMENT_3D('',#192694,#119321,#119322); #98211=AXIS2_PLACEMENT_3D('',#192695,#119323,#119324); #98212=AXIS2_PLACEMENT_3D('',#192699,#119327,#119328); #98213=AXIS2_PLACEMENT_3D('',#192702,#119330,#119331); #98214=AXIS2_PLACEMENT_3D('',#192703,#119332,#119333); #98215=AXIS2_PLACEMENT_3D('',#192705,#119334,#119335); #98216=AXIS2_PLACEMENT_3D('',#192707,#119337,#119338); #98217=AXIS2_PLACEMENT_3D('',#192711,#119341,#119342); #98218=AXIS2_PLACEMENT_3D('',#192713,#119343,#119344); #98219=AXIS2_PLACEMENT_3D('',#192744,#119348,#119349); #98220=AXIS2_PLACEMENT_3D('',#192746,#119350,#119351); #98221=AXIS2_PLACEMENT_3D('',#192748,#119353,#119354); #98222=AXIS2_PLACEMENT_3D('',#192752,#119357,#119358); #98223=AXIS2_PLACEMENT_3D('',#192753,#119359,#119360); #98224=AXIS2_PLACEMENT_3D('',#192754,#119361,#119362); #98225=AXIS2_PLACEMENT_3D('',#192757,#119363,#119364); #98226=AXIS2_PLACEMENT_3D('',#192761,#119366,#119367); #98227=AXIS2_PLACEMENT_3D('',#192763,#119369,#119370); #98228=AXIS2_PLACEMENT_3D('',#192769,#119374,#119375); #98229=AXIS2_PLACEMENT_3D('',#192771,#119376,#119377); #98230=AXIS2_PLACEMENT_3D('',#192774,#119379,#119380); #98231=AXIS2_PLACEMENT_3D('',#192819,#119383,#119384); #98232=AXIS2_PLACEMENT_3D('',#192821,#119385,#119386); #98233=AXIS2_PLACEMENT_3D('',#192824,#119388,#119389); #98234=AXIS2_PLACEMENT_3D('',#192825,#119390,#119391); #98235=AXIS2_PLACEMENT_3D('',#192831,#119395,#119396); #98236=AXIS2_PLACEMENT_3D('',#192833,#119397,#119398); #98237=AXIS2_PLACEMENT_3D('',#192836,#119400,#119401); #98238=AXIS2_PLACEMENT_3D('',#192837,#119402,#119403); #98239=AXIS2_PLACEMENT_3D('',#192839,#119404,#119405); #98240=AXIS2_PLACEMENT_3D('',#192841,#119406,#119407); #98241=AXIS2_PLACEMENT_3D('',#192843,#119409,#119410); #98242=AXIS2_PLACEMENT_3D('',#192849,#119414,#119415); #98243=AXIS2_PLACEMENT_3D('',#192851,#119416,#119417); #98244=AXIS2_PLACEMENT_3D('',#192853,#119418,#119419); #98245=AXIS2_PLACEMENT_3D('',#192901,#119423,#119424); #98246=AXIS2_PLACEMENT_3D('',#192903,#119425,#119426); #98247=AXIS2_PLACEMENT_3D('',#192905,#119427,#119428); #98248=AXIS2_PLACEMENT_3D('',#192907,#119430,#119431); #98249=AXIS2_PLACEMENT_3D('',#192913,#119435,#119436); #98250=AXIS2_PLACEMENT_3D('',#192914,#119437,#119438); #98251=AXIS2_PLACEMENT_3D('',#192915,#119439,#119440); #98252=AXIS2_PLACEMENT_3D('',#192916,#119441,#119442); #98253=AXIS2_PLACEMENT_3D('',#192917,#119443,#119444); #98254=AXIS2_PLACEMENT_3D('',#192920,#119445,#119446); #98255=AXIS2_PLACEMENT_3D('',#192924,#119448,#119449); #98256=AXIS2_PLACEMENT_3D('',#192942,#119450,#119451); #98257=AXIS2_PLACEMENT_3D('',#192946,#119453,#119454); #98258=AXIS2_PLACEMENT_3D('',#192948,#119455,#119456); #98259=AXIS2_PLACEMENT_3D('',#192952,#119458,#119459); #98260=AXIS2_PLACEMENT_3D('',#192969,#119460,#119461); #98261=AXIS2_PLACEMENT_3D('',#192972,#119463,#119464); #98262=AXIS2_PLACEMENT_3D('',#192973,#119465,#119466); #98263=AXIS2_PLACEMENT_3D('',#192977,#119468,#119469); #98264=AXIS2_PLACEMENT_3D('',#192979,#119471,#119472); #98265=AXIS2_PLACEMENT_3D('',#192983,#119475,#119476); #98266=AXIS2_PLACEMENT_3D('',#192986,#119478,#119479); #98267=AXIS2_PLACEMENT_3D('',#193017,#119482,#119483); #98268=AXIS2_PLACEMENT_3D('',#193020,#119485,#119486); #98269=AXIS2_PLACEMENT_3D('',#193021,#119487,#119488); #98270=AXIS2_PLACEMENT_3D('',#193025,#119491,#119492); #98271=AXIS2_PLACEMENT_3D('',#193028,#119494,#119495); #98272=AXIS2_PLACEMENT_3D('',#193029,#119496,#119497); #98273=AXIS2_PLACEMENT_3D('',#193031,#119498,#119499); #98274=AXIS2_PLACEMENT_3D('',#193033,#119501,#119502); #98275=AXIS2_PLACEMENT_3D('',#193037,#119505,#119506); #98276=AXIS2_PLACEMENT_3D('',#193039,#119507,#119508); #98277=AXIS2_PLACEMENT_3D('',#193070,#119512,#119513); #98278=AXIS2_PLACEMENT_3D('',#193072,#119514,#119515); #98279=AXIS2_PLACEMENT_3D('',#193074,#119517,#119518); #98280=AXIS2_PLACEMENT_3D('',#193078,#119521,#119522); #98281=AXIS2_PLACEMENT_3D('',#193079,#119523,#119524); #98282=AXIS2_PLACEMENT_3D('',#193080,#119525,#119526); #98283=AXIS2_PLACEMENT_3D('',#193083,#119527,#119528); #98284=AXIS2_PLACEMENT_3D('',#193087,#119530,#119531); #98285=AXIS2_PLACEMENT_3D('',#193089,#119533,#119534); #98286=AXIS2_PLACEMENT_3D('',#193095,#119538,#119539); #98287=AXIS2_PLACEMENT_3D('',#193097,#119540,#119541); #98288=AXIS2_PLACEMENT_3D('',#193100,#119543,#119544); #98289=AXIS2_PLACEMENT_3D('',#193145,#119547,#119548); #98290=AXIS2_PLACEMENT_3D('',#193147,#119549,#119550); #98291=AXIS2_PLACEMENT_3D('',#193150,#119552,#119553); #98292=AXIS2_PLACEMENT_3D('',#193151,#119554,#119555); #98293=AXIS2_PLACEMENT_3D('',#193157,#119559,#119560); #98294=AXIS2_PLACEMENT_3D('',#193159,#119561,#119562); #98295=AXIS2_PLACEMENT_3D('',#193162,#119564,#119565); #98296=AXIS2_PLACEMENT_3D('',#193163,#119566,#119567); #98297=AXIS2_PLACEMENT_3D('',#193165,#119568,#119569); #98298=AXIS2_PLACEMENT_3D('',#193167,#119570,#119571); #98299=AXIS2_PLACEMENT_3D('',#193169,#119573,#119574); #98300=AXIS2_PLACEMENT_3D('',#193175,#119578,#119579); #98301=AXIS2_PLACEMENT_3D('',#193177,#119580,#119581); #98302=AXIS2_PLACEMENT_3D('',#193179,#119582,#119583); #98303=AXIS2_PLACEMENT_3D('',#193227,#119587,#119588); #98304=AXIS2_PLACEMENT_3D('',#193229,#119589,#119590); #98305=AXIS2_PLACEMENT_3D('',#193231,#119591,#119592); #98306=AXIS2_PLACEMENT_3D('',#193233,#119594,#119595); #98307=AXIS2_PLACEMENT_3D('',#193239,#119599,#119600); #98308=AXIS2_PLACEMENT_3D('',#193240,#119601,#119602); #98309=AXIS2_PLACEMENT_3D('',#193241,#119603,#119604); #98310=AXIS2_PLACEMENT_3D('',#193242,#119605,#119606); #98311=AXIS2_PLACEMENT_3D('',#193243,#119607,#119608); #98312=AXIS2_PLACEMENT_3D('',#193246,#119609,#119610); #98313=AXIS2_PLACEMENT_3D('',#193250,#119612,#119613); #98314=AXIS2_PLACEMENT_3D('',#193268,#119614,#119615); #98315=AXIS2_PLACEMENT_3D('',#193272,#119617,#119618); #98316=AXIS2_PLACEMENT_3D('',#193274,#119619,#119620); #98317=AXIS2_PLACEMENT_3D('',#193278,#119622,#119623); #98318=AXIS2_PLACEMENT_3D('',#193295,#119624,#119625); #98319=AXIS2_PLACEMENT_3D('',#193298,#119627,#119628); #98320=AXIS2_PLACEMENT_3D('',#193299,#119629,#119630); #98321=AXIS2_PLACEMENT_3D('',#193303,#119632,#119633); #98322=AXIS2_PLACEMENT_3D('',#193305,#119635,#119636); #98323=AXIS2_PLACEMENT_3D('',#193309,#119639,#119640); #98324=AXIS2_PLACEMENT_3D('',#193312,#119642,#119643); #98325=AXIS2_PLACEMENT_3D('',#193343,#119646,#119647); #98326=AXIS2_PLACEMENT_3D('',#193346,#119649,#119650); #98327=AXIS2_PLACEMENT_3D('',#193347,#119651,#119652); #98328=AXIS2_PLACEMENT_3D('',#193351,#119655,#119656); #98329=AXIS2_PLACEMENT_3D('',#193354,#119658,#119659); #98330=AXIS2_PLACEMENT_3D('',#193355,#119660,#119661); #98331=AXIS2_PLACEMENT_3D('',#193357,#119662,#119663); #98332=AXIS2_PLACEMENT_3D('',#193359,#119665,#119666); #98333=AXIS2_PLACEMENT_3D('',#193363,#119669,#119670); #98334=AXIS2_PLACEMENT_3D('',#193365,#119671,#119672); #98335=AXIS2_PLACEMENT_3D('',#193396,#119676,#119677); #98336=AXIS2_PLACEMENT_3D('',#193398,#119678,#119679); #98337=AXIS2_PLACEMENT_3D('',#193400,#119681,#119682); #98338=AXIS2_PLACEMENT_3D('',#193404,#119685,#119686); #98339=AXIS2_PLACEMENT_3D('',#193405,#119687,#119688); #98340=AXIS2_PLACEMENT_3D('',#193406,#119689,#119690); #98341=AXIS2_PLACEMENT_3D('',#193409,#119691,#119692); #98342=AXIS2_PLACEMENT_3D('',#193413,#119694,#119695); #98343=AXIS2_PLACEMENT_3D('',#193415,#119697,#119698); #98344=AXIS2_PLACEMENT_3D('',#193421,#119702,#119703); #98345=AXIS2_PLACEMENT_3D('',#193423,#119704,#119705); #98346=AXIS2_PLACEMENT_3D('',#193426,#119707,#119708); #98347=AXIS2_PLACEMENT_3D('',#193471,#119711,#119712); #98348=AXIS2_PLACEMENT_3D('',#193473,#119713,#119714); #98349=AXIS2_PLACEMENT_3D('',#193476,#119716,#119717); #98350=AXIS2_PLACEMENT_3D('',#193477,#119718,#119719); #98351=AXIS2_PLACEMENT_3D('',#193483,#119723,#119724); #98352=AXIS2_PLACEMENT_3D('',#193485,#119725,#119726); #98353=AXIS2_PLACEMENT_3D('',#193488,#119728,#119729); #98354=AXIS2_PLACEMENT_3D('',#193489,#119730,#119731); #98355=AXIS2_PLACEMENT_3D('',#193491,#119732,#119733); #98356=AXIS2_PLACEMENT_3D('',#193493,#119734,#119735); #98357=AXIS2_PLACEMENT_3D('',#193495,#119737,#119738); #98358=AXIS2_PLACEMENT_3D('',#193501,#119742,#119743); #98359=AXIS2_PLACEMENT_3D('',#193503,#119744,#119745); #98360=AXIS2_PLACEMENT_3D('',#193505,#119746,#119747); #98361=AXIS2_PLACEMENT_3D('',#193553,#119751,#119752); #98362=AXIS2_PLACEMENT_3D('',#193555,#119753,#119754); #98363=AXIS2_PLACEMENT_3D('',#193557,#119755,#119756); #98364=AXIS2_PLACEMENT_3D('',#193559,#119758,#119759); #98365=AXIS2_PLACEMENT_3D('',#193565,#119763,#119764); #98366=AXIS2_PLACEMENT_3D('',#193566,#119765,#119766); #98367=AXIS2_PLACEMENT_3D('',#193567,#119767,#119768); #98368=AXIS2_PLACEMENT_3D('',#193568,#119769,#119770); #98369=AXIS2_PLACEMENT_3D('',#193569,#119771,#119772); #98370=AXIS2_PLACEMENT_3D('',#193572,#119773,#119774); #98371=AXIS2_PLACEMENT_3D('',#193576,#119776,#119777); #98372=AXIS2_PLACEMENT_3D('',#193578,#119779,#119780); #98373=AXIS2_PLACEMENT_3D('',#193602,#119782,#119783); #98374=AXIS2_PLACEMENT_3D('',#193608,#119787,#119788); #98375=AXIS2_PLACEMENT_3D('',#193632,#119790,#119791); #98376=AXIS2_PLACEMENT_3D('',#193657,#119793,#119794); #98377=AXIS2_PLACEMENT_3D('',#193658,#119795,#119796); #98378=AXIS2_PLACEMENT_3D('',#193661,#119798,#119799); #98379=AXIS2_PLACEMENT_3D('',#193662,#119800,#119801); #98380=AXIS2_PLACEMENT_3D('',#193675,#119803,#119804); #98381=AXIS2_PLACEMENT_3D('',#193679,#119807,#119808); #98382=AXIS2_PLACEMENT_3D('',#193690,#119809,#119810); #98383=AXIS2_PLACEMENT_3D('',#193691,#119811,#119812); #98384=AXIS2_PLACEMENT_3D('',#193692,#119813,#119814); #98385=AXIS2_PLACEMENT_3D('',#193695,#119815,#119816); #98386=AXIS2_PLACEMENT_3D('',#193697,#119817,#119818); #98387=AXIS2_PLACEMENT_3D('',#193699,#119819,#119820); #98388=AXIS2_PLACEMENT_3D('',#193700,#119821,#119822); #98389=AXIS2_PLACEMENT_3D('',#193701,#119823,#119824); #98390=AXIS2_PLACEMENT_3D('',#193706,#119827,#119828); #98391=AXIS2_PLACEMENT_3D('',#193707,#119829,#119830); #98392=AXIS2_PLACEMENT_3D('',#193709,#119831,#119832); #98393=AXIS2_PLACEMENT_3D('',#193711,#119833,#119834); #98394=AXIS2_PLACEMENT_3D('',#193712,#119835,#119836); #98395=AXIS2_PLACEMENT_3D('',#193713,#119837,#119838); #98396=AXIS2_PLACEMENT_3D('',#193715,#119839,#119840); #98397=AXIS2_PLACEMENT_3D('',#193717,#119841,#119842); #98398=AXIS2_PLACEMENT_3D('',#193718,#119843,#119844); #98399=AXIS2_PLACEMENT_3D('',#193719,#119845,#119846); #98400=AXIS2_PLACEMENT_3D('',#193721,#119847,#119848); #98401=AXIS2_PLACEMENT_3D('',#193723,#119849,#119850); #98402=AXIS2_PLACEMENT_3D('',#193724,#119851,#119852); #98403=AXIS2_PLACEMENT_3D('',#193725,#119853,#119854); #98404=AXIS2_PLACEMENT_3D('',#193730,#119857,#119858); #98405=AXIS2_PLACEMENT_3D('',#193731,#119859,#119860); #98406=AXIS2_PLACEMENT_3D('',#193733,#119861,#119862); #98407=AXIS2_PLACEMENT_3D('',#193735,#119863,#119864); #98408=AXIS2_PLACEMENT_3D('',#193736,#119865,#119866); #98409=AXIS2_PLACEMENT_3D('',#193737,#119867,#119868); #98410=AXIS2_PLACEMENT_3D('',#193739,#119869,#119870); #98411=AXIS2_PLACEMENT_3D('',#193741,#119871,#119872); #98412=AXIS2_PLACEMENT_3D('',#193742,#119873,#119874); #98413=AXIS2_PLACEMENT_3D('',#193743,#119875,#119876); #98414=AXIS2_PLACEMENT_3D('',#193745,#119877,#119878); #98415=AXIS2_PLACEMENT_3D('',#193747,#119879,#119880); #98416=AXIS2_PLACEMENT_3D('',#193748,#119881,#119882); #98417=AXIS2_PLACEMENT_3D('',#193749,#119883,#119884); #98418=AXIS2_PLACEMENT_3D('',#193750,#119885,#119886); #98419=AXIS2_PLACEMENT_3D('',#193751,#119887,#119888); #98420=AXIS2_PLACEMENT_3D('',#193752,#119889,#119890); #98421=AXIS2_PLACEMENT_3D('',#193755,#119891,#119892); #98422=AXIS2_PLACEMENT_3D('',#193756,#119893,#119894); #98423=AXIS2_PLACEMENT_3D('',#193757,#119895,#119896); #98424=AXIS2_PLACEMENT_3D('',#193758,#119897,#119898); #98425=AXIS2_PLACEMENT_3D('',#193761,#119900,#119901); #98426=AXIS2_PLACEMENT_3D('',#193762,#119902,#119903); #98427=AXIS2_PLACEMENT_3D('',#193764,#119904,#119905); #98428=AXIS2_PLACEMENT_3D('',#193765,#119906,#119907); #98429=AXIS2_PLACEMENT_3D('',#193766,#119908,#119909); #98430=AXIS2_PLACEMENT_3D('',#193768,#119910,#119911); #98431=AXIS2_PLACEMENT_3D('',#193769,#119912,#119913); #98432=AXIS2_PLACEMENT_3D('',#193770,#119914,#119915); #98433=AXIS2_PLACEMENT_3D('',#193772,#119916,#119917); #98434=AXIS2_PLACEMENT_3D('',#193773,#119918,#119919); #98435=AXIS2_PLACEMENT_3D('',#193774,#119920,#119921); #98436=AXIS2_PLACEMENT_3D('',#193777,#119923,#119924); #98437=AXIS2_PLACEMENT_3D('',#193778,#119925,#119926); #98438=AXIS2_PLACEMENT_3D('',#193780,#119927,#119928); #98439=AXIS2_PLACEMENT_3D('',#193781,#119929,#119930); #98440=AXIS2_PLACEMENT_3D('',#193782,#119931,#119932); #98441=AXIS2_PLACEMENT_3D('',#193784,#119933,#119934); #98442=AXIS2_PLACEMENT_3D('',#193785,#119935,#119936); #98443=AXIS2_PLACEMENT_3D('',#193786,#119937,#119938); #98444=AXIS2_PLACEMENT_3D('',#193788,#119939,#119940); #98445=AXIS2_PLACEMENT_3D('',#193789,#119941,#119942); #98446=AXIS2_PLACEMENT_3D('',#193790,#119943,#119944); #98447=AXIS2_PLACEMENT_3D('',#193791,#119945,#119946); #98448=AXIS2_PLACEMENT_3D('',#193792,#119947,#119948); #98449=AXIS2_PLACEMENT_3D('',#193795,#119949,#119950); #98450=AXIS2_PLACEMENT_3D('',#193796,#119951,#119952); #98451=AXIS2_PLACEMENT_3D('',#193797,#119953,#119954); #98452=AXIS2_PLACEMENT_3D('',#193798,#119955,#119956); #98453=AXIS2_PLACEMENT_3D('',#193801,#119958,#119959); #98454=AXIS2_PLACEMENT_3D('',#193802,#119960,#119961); #98455=AXIS2_PLACEMENT_3D('',#193804,#119962,#119963); #98456=AXIS2_PLACEMENT_3D('',#193805,#119964,#119965); #98457=AXIS2_PLACEMENT_3D('',#193806,#119966,#119967); #98458=AXIS2_PLACEMENT_3D('',#193808,#119968,#119969); #98459=AXIS2_PLACEMENT_3D('',#193809,#119970,#119971); #98460=AXIS2_PLACEMENT_3D('',#193810,#119972,#119973); #98461=AXIS2_PLACEMENT_3D('',#193812,#119974,#119975); #98462=AXIS2_PLACEMENT_3D('',#193813,#119976,#119977); #98463=AXIS2_PLACEMENT_3D('',#193814,#119978,#119979); #98464=AXIS2_PLACEMENT_3D('',#193817,#119981,#119982); #98465=AXIS2_PLACEMENT_3D('',#193818,#119983,#119984); #98466=AXIS2_PLACEMENT_3D('',#193820,#119985,#119986); #98467=AXIS2_PLACEMENT_3D('',#193821,#119987,#119988); #98468=AXIS2_PLACEMENT_3D('',#193822,#119989,#119990); #98469=AXIS2_PLACEMENT_3D('',#193824,#119991,#119992); #98470=AXIS2_PLACEMENT_3D('',#193825,#119993,#119994); #98471=AXIS2_PLACEMENT_3D('',#193826,#119995,#119996); #98472=AXIS2_PLACEMENT_3D('',#193828,#119997,#119998); #98473=AXIS2_PLACEMENT_3D('',#193829,#119999,#120000); #98474=AXIS2_PLACEMENT_3D('',#193830,#120001,#120002); #98475=AXIS2_PLACEMENT_3D('',#193831,#120003,#120004); #98476=AXIS2_PLACEMENT_3D('',#193832,#120005,#120006); #98477=AXIS2_PLACEMENT_3D('',#193835,#120007,#120008); #98478=AXIS2_PLACEMENT_3D('',#193836,#120009,#120010); #98479=AXIS2_PLACEMENT_3D('',#193837,#120011,#120012); #98480=AXIS2_PLACEMENT_3D('',#193838,#120013,#120014); #98481=AXIS2_PLACEMENT_3D('',#193841,#120016,#120017); #98482=AXIS2_PLACEMENT_3D('',#193842,#120018,#120019); #98483=AXIS2_PLACEMENT_3D('',#193844,#120020,#120021); #98484=AXIS2_PLACEMENT_3D('',#193845,#120022,#120023); #98485=AXIS2_PLACEMENT_3D('',#193846,#120024,#120025); #98486=AXIS2_PLACEMENT_3D('',#193848,#120026,#120027); #98487=AXIS2_PLACEMENT_3D('',#193849,#120028,#120029); #98488=AXIS2_PLACEMENT_3D('',#193850,#120030,#120031); #98489=AXIS2_PLACEMENT_3D('',#193852,#120032,#120033); #98490=AXIS2_PLACEMENT_3D('',#193853,#120034,#120035); #98491=AXIS2_PLACEMENT_3D('',#193854,#120036,#120037); #98492=AXIS2_PLACEMENT_3D('',#193857,#120039,#120040); #98493=AXIS2_PLACEMENT_3D('',#193858,#120041,#120042); #98494=AXIS2_PLACEMENT_3D('',#193860,#120043,#120044); #98495=AXIS2_PLACEMENT_3D('',#193861,#120045,#120046); #98496=AXIS2_PLACEMENT_3D('',#193862,#120047,#120048); #98497=AXIS2_PLACEMENT_3D('',#193864,#120049,#120050); #98498=AXIS2_PLACEMENT_3D('',#193865,#120051,#120052); #98499=AXIS2_PLACEMENT_3D('',#193866,#120053,#120054); #98500=AXIS2_PLACEMENT_3D('',#193868,#120055,#120056); #98501=AXIS2_PLACEMENT_3D('',#193869,#120057,#120058); #98502=AXIS2_PLACEMENT_3D('',#193870,#120059,#120060); #98503=AXIS2_PLACEMENT_3D('',#193871,#120061,#120062); #98504=AXIS2_PLACEMENT_3D('',#193872,#120063,#120064); #98505=AXIS2_PLACEMENT_3D('',#193875,#120065,#120066); #98506=AXIS2_PLACEMENT_3D('',#193876,#120067,#120068); #98507=AXIS2_PLACEMENT_3D('',#193877,#120069,#120070); #98508=AXIS2_PLACEMENT_3D('',#193878,#120071,#120072); #98509=AXIS2_PLACEMENT_3D('',#193881,#120074,#120075); #98510=AXIS2_PLACEMENT_3D('',#193882,#120076,#120077); #98511=AXIS2_PLACEMENT_3D('',#193884,#120078,#120079); #98512=AXIS2_PLACEMENT_3D('',#193885,#120080,#120081); #98513=AXIS2_PLACEMENT_3D('',#193886,#120082,#120083); #98514=AXIS2_PLACEMENT_3D('',#193888,#120084,#120085); #98515=AXIS2_PLACEMENT_3D('',#193889,#120086,#120087); #98516=AXIS2_PLACEMENT_3D('',#193890,#120088,#120089); #98517=AXIS2_PLACEMENT_3D('',#193892,#120090,#120091); #98518=AXIS2_PLACEMENT_3D('',#193893,#120092,#120093); #98519=AXIS2_PLACEMENT_3D('',#193894,#120094,#120095); #98520=AXIS2_PLACEMENT_3D('',#193897,#120097,#120098); #98521=AXIS2_PLACEMENT_3D('',#193898,#120099,#120100); #98522=AXIS2_PLACEMENT_3D('',#193900,#120101,#120102); #98523=AXIS2_PLACEMENT_3D('',#193901,#120103,#120104); #98524=AXIS2_PLACEMENT_3D('',#193902,#120105,#120106); #98525=AXIS2_PLACEMENT_3D('',#193904,#120107,#120108); #98526=AXIS2_PLACEMENT_3D('',#193905,#120109,#120110); #98527=AXIS2_PLACEMENT_3D('',#193906,#120111,#120112); #98528=AXIS2_PLACEMENT_3D('',#193908,#120113,#120114); #98529=AXIS2_PLACEMENT_3D('',#193909,#120115,#120116); #98530=AXIS2_PLACEMENT_3D('',#193910,#120117,#120118); #98531=AXIS2_PLACEMENT_3D('',#193911,#120119,#120120); #98532=AXIS2_PLACEMENT_3D('',#193912,#120121,#120122); #98533=AXIS2_PLACEMENT_3D('',#193915,#120123,#120124); #98534=AXIS2_PLACEMENT_3D('',#193916,#120125,#120126); #98535=AXIS2_PLACEMENT_3D('',#193917,#120127,#120128); #98536=AXIS2_PLACEMENT_3D('',#193918,#120129,#120130); #98537=AXIS2_PLACEMENT_3D('',#193921,#120132,#120133); #98538=AXIS2_PLACEMENT_3D('',#193922,#120134,#120135); #98539=AXIS2_PLACEMENT_3D('',#193924,#120136,#120137); #98540=AXIS2_PLACEMENT_3D('',#193925,#120138,#120139); #98541=AXIS2_PLACEMENT_3D('',#193926,#120140,#120141); #98542=AXIS2_PLACEMENT_3D('',#193928,#120142,#120143); #98543=AXIS2_PLACEMENT_3D('',#193929,#120144,#120145); #98544=AXIS2_PLACEMENT_3D('',#193930,#120146,#120147); #98545=AXIS2_PLACEMENT_3D('',#193932,#120148,#120149); #98546=AXIS2_PLACEMENT_3D('',#193933,#120150,#120151); #98547=AXIS2_PLACEMENT_3D('',#193934,#120152,#120153); #98548=AXIS2_PLACEMENT_3D('',#193937,#120155,#120156); #98549=AXIS2_PLACEMENT_3D('',#193938,#120157,#120158); #98550=AXIS2_PLACEMENT_3D('',#193940,#120159,#120160); #98551=AXIS2_PLACEMENT_3D('',#193941,#120161,#120162); #98552=AXIS2_PLACEMENT_3D('',#193942,#120163,#120164); #98553=AXIS2_PLACEMENT_3D('',#193944,#120165,#120166); #98554=AXIS2_PLACEMENT_3D('',#193945,#120167,#120168); #98555=AXIS2_PLACEMENT_3D('',#193946,#120169,#120170); #98556=AXIS2_PLACEMENT_3D('',#193948,#120171,#120172); #98557=AXIS2_PLACEMENT_3D('',#193949,#120173,#120174); #98558=AXIS2_PLACEMENT_3D('',#193950,#120175,#120176); #98559=AXIS2_PLACEMENT_3D('',#193951,#120177,#120178); #98560=AXIS2_PLACEMENT_3D('',#193952,#120179,#120180); #98561=AXIS2_PLACEMENT_3D('',#193955,#120181,#120182); #98562=AXIS2_PLACEMENT_3D('',#193956,#120183,#120184); #98563=AXIS2_PLACEMENT_3D('',#193957,#120185,#120186); #98564=AXIS2_PLACEMENT_3D('',#193958,#120187,#120188); #98565=AXIS2_PLACEMENT_3D('',#193961,#120190,#120191); #98566=AXIS2_PLACEMENT_3D('',#193962,#120192,#120193); #98567=AXIS2_PLACEMENT_3D('',#193964,#120194,#120195); #98568=AXIS2_PLACEMENT_3D('',#193965,#120196,#120197); #98569=AXIS2_PLACEMENT_3D('',#193966,#120198,#120199); #98570=AXIS2_PLACEMENT_3D('',#193968,#120200,#120201); #98571=AXIS2_PLACEMENT_3D('',#193969,#120202,#120203); #98572=AXIS2_PLACEMENT_3D('',#193970,#120204,#120205); #98573=AXIS2_PLACEMENT_3D('',#193972,#120206,#120207); #98574=AXIS2_PLACEMENT_3D('',#193973,#120208,#120209); #98575=AXIS2_PLACEMENT_3D('',#193974,#120210,#120211); #98576=AXIS2_PLACEMENT_3D('',#193977,#120213,#120214); #98577=AXIS2_PLACEMENT_3D('',#193978,#120215,#120216); #98578=AXIS2_PLACEMENT_3D('',#193980,#120217,#120218); #98579=AXIS2_PLACEMENT_3D('',#193981,#120219,#120220); #98580=AXIS2_PLACEMENT_3D('',#193982,#120221,#120222); #98581=AXIS2_PLACEMENT_3D('',#193984,#120223,#120224); #98582=AXIS2_PLACEMENT_3D('',#193985,#120225,#120226); #98583=AXIS2_PLACEMENT_3D('',#193986,#120227,#120228); #98584=AXIS2_PLACEMENT_3D('',#193988,#120229,#120230); #98585=AXIS2_PLACEMENT_3D('',#193989,#120231,#120232); #98586=AXIS2_PLACEMENT_3D('',#193990,#120233,#120234); #98587=AXIS2_PLACEMENT_3D('',#193991,#120235,#120236); #98588=AXIS2_PLACEMENT_3D('',#193992,#120237,#120238); #98589=AXIS2_PLACEMENT_3D('',#193993,#120239,#120240); #98590=AXIS2_PLACEMENT_3D('',#193994,#120241,#120242); #98591=AXIS2_PLACEMENT_3D('',#193995,#120243,#120244); #98592=AXIS2_PLACEMENT_3D('',#193996,#120245,#120246); #98593=AXIS2_PLACEMENT_3D('',#193997,#120247,#120248); #98594=AXIS2_PLACEMENT_3D('',#193998,#120249,#120250); #98595=AXIS2_PLACEMENT_3D('',#193999,#120251,#120252); #98596=AXIS2_PLACEMENT_3D('',#194000,#120253,#120254); #98597=AXIS2_PLACEMENT_3D('',#194001,#120255,#120256); #98598=AXIS2_PLACEMENT_3D('',#194002,#120257,#120258); #98599=AXIS2_PLACEMENT_3D('',#194003,#120259,#120260); #98600=AXIS2_PLACEMENT_3D('',#194004,#120261,#120262); #98601=AXIS2_PLACEMENT_3D('',#194005,#120263,#120264); #98602=AXIS2_PLACEMENT_3D('',#194006,#120265,#120266); #98603=AXIS2_PLACEMENT_3D('',#194007,#120267,#120268); #98604=AXIS2_PLACEMENT_3D('',#194008,#120269,#120270); #98605=AXIS2_PLACEMENT_3D('',#194009,#120271,#120272); #98606=AXIS2_PLACEMENT_3D('',#194010,#120273,#120274); #98607=AXIS2_PLACEMENT_3D('',#194011,#120275,#120276); #98608=AXIS2_PLACEMENT_3D('',#194012,#120277,#120278); #98609=AXIS2_PLACEMENT_3D('',#194013,#120279,#120280); #98610=AXIS2_PLACEMENT_3D('',#194014,#120281,#120282); #98611=AXIS2_PLACEMENT_3D('',#194015,#120283,#120284); #98612=AXIS2_PLACEMENT_3D('',#194016,#120285,#120286); #98613=AXIS2_PLACEMENT_3D('',#194017,#120287,#120288); #98614=AXIS2_PLACEMENT_3D('',#194018,#120289,#120290); #98615=AXIS2_PLACEMENT_3D('',#194019,#120291,#120292); #98616=AXIS2_PLACEMENT_3D('',#194020,#120293,#120294); #98617=AXIS2_PLACEMENT_3D('',#194021,#120295,#120296); #98618=AXIS2_PLACEMENT_3D('',#194022,#120297,#120298); #98619=AXIS2_PLACEMENT_3D('',#194023,#120299,#120300); #98620=AXIS2_PLACEMENT_3D('',#194024,#120301,#120302); #98621=AXIS2_PLACEMENT_3D('',#194025,#120303,#120304); #98622=AXIS2_PLACEMENT_3D('',#194026,#120305,#120306); #98623=DIRECTION('axis',(0.,0.,1.)); #98624=DIRECTION('refdir',(1.,0.,0.)); #98625=DIRECTION('axis',(0.,0.,1.)); #98626=DIRECTION('refdir',(1.,0.,0.)); #98627=DIRECTION('axis',(0.,0.,1.)); #98628=DIRECTION('refdir',(1.,0.,0.)); #98629=DIRECTION('center_axis',(-0.999580414251108,0.0289121677066304,0.00175556375186378)); #98630=DIRECTION('ref_axis',(0.00824540378627626,0.225919765245676,0.974111016767463)); #98631=DIRECTION('center_axis',(0.896607258706035,0.429646525083182,-0.107234729074102)); #98632=DIRECTION('ref_axis',(0.432138350837174,-0.901807321846373,3.64246399155235E-16)); #98633=DIRECTION('center_axis',(-0.999580414251108,0.0289121677066304,0.00175556375186378)); #98634=DIRECTION('ref_axis',(0.00824540378627626,0.225919765245676,0.974111016767463)); #98635=DIRECTION('center_axis',(0.999580414251108,0.0289121677066253,0.00175556375186426)); #98636=DIRECTION('ref_axis',(-0.0289551359585784,0.995773807954258,0.0871557427476582)); #98637=DIRECTION('center_axis',(-0.896607258706037,0.42964652508318,-0.107234729074094)); #98638=DIRECTION('ref_axis',(0.00824540378627553,-0.225919765245676,-0.974111016767463)); #98639=DIRECTION('center_axis',(0.999580414251108,0.0289121677066253,0.00175556375186426)); #98640=DIRECTION('ref_axis',(-0.0289551359585784,0.995773807954258,0.0871557427476582)); #98641=DIRECTION('center_axis',(-0.896607258706037,0.42964652508318,-0.107234729074094)); #98642=DIRECTION('ref_axis',(0.00824540378627553,-0.225919765245676,-0.974111016767463)); #98643=DIRECTION('center_axis',(0.,0.,1.)); #98644=DIRECTION('ref_axis',(-0.135781601371283,-0.990738793390594,0.)); #98645=DIRECTION('',(0.,0.,-1.)); #98646=DIRECTION('center_axis',(0.,0.,-1.)); #98647=DIRECTION('ref_axis',(0.,1.,0.)); #98648=DIRECTION('center_axis',(0.,0.,1.)); #98649=DIRECTION('ref_axis',(0.809163312653152,0.587583809729453,0.)); #98650=DIRECTION('',(0.,0.,-1.)); #98651=DIRECTION('center_axis',(0.,0.,1.)); #98652=DIRECTION('ref_axis',(0.,1.,0.)); #98653=DIRECTION('center_axis',(0.,0.,1.)); #98654=DIRECTION('ref_axis',(1.,0.,0.)); #98655=DIRECTION('',(0.,0.,1.)); #98656=DIRECTION('center_axis',(0.,0.,1.)); #98657=DIRECTION('ref_axis',(1.,0.,0.)); #98658=DIRECTION('center_axis',(0.,0.,1.)); #98659=DIRECTION('ref_axis',(1.,0.,0.)); #98660=DIRECTION('',(0.,0.,1.)); #98661=DIRECTION('center_axis',(0.,0.,1.)); #98662=DIRECTION('ref_axis',(1.,0.,0.)); #98663=DIRECTION('center_axis',(0.,0.,1.)); #98664=DIRECTION('ref_axis',(-0.135781361921592,-0.99073882620735,0.)); #98665=DIRECTION('',(0.,0.,-1.)); #98666=DIRECTION('center_axis',(0.,0.,-1.)); #98667=DIRECTION('ref_axis',(0.,1.,0.)); #98668=DIRECTION('center_axis',(0.,0.,1.)); #98669=DIRECTION('ref_axis',(0.809163267271707,0.587583872224363,0.)); #98670=DIRECTION('',(0.,0.,-1.)); #98671=DIRECTION('center_axis',(0.,0.,1.)); #98672=DIRECTION('ref_axis',(0.,1.,0.)); #98673=DIRECTION('center_axis',(0.,0.,-1.)); #98674=DIRECTION('ref_axis',(-0.796642364011204,-0.604450944132475,0.)); #98675=DIRECTION('',(0.,0.,1.)); #98676=DIRECTION('center_axis',(0.,0.,1.)); #98677=DIRECTION('ref_axis',(-1.,0.,0.)); #98678=DIRECTION('center_axis',(-1.92937129134369E-31,8.00202823815741E-30, -1.)); #98679=DIRECTION('ref_axis',(-1.,7.25488267769303E-16,0.)); #98680=DIRECTION('center_axis',(0.,-1.,-8.00202823815741E-30)); #98681=DIRECTION('ref_axis',(-1.,0.,0.)); #98682=DIRECTION('center_axis',(-1.92937129134369E-31,8.00202823815741E-30, -1.)); #98683=DIRECTION('ref_axis',(1.,-1.36094179736235E-29,0.)); #98684=DIRECTION('center_axis',(-1.92937129134369E-31,8.00202823815741E-30, -1.)); #98685=DIRECTION('ref_axis',(-1.,0.,1.92937129134369E-31)); #98686=DIRECTION('center_axis',(0.,0.,1.)); #98687=DIRECTION('ref_axis',(1.,0.,0.)); #98688=DIRECTION('center_axis',(-0.949549326169502,-0.313617724580492,0.)); #98689=DIRECTION('ref_axis',(0.,0.,-1.)); #98690=DIRECTION('center_axis',(0.,0.,1.)); #98691=DIRECTION('ref_axis',(-0.708136575003189,0.706075485442423,0.)); #98692=DIRECTION('center_axis',(0.310848629107429,0.950459430897517,0.)); #98693=DIRECTION('ref_axis',(0.634673192826122,-0.207570450150552,-0.744381922491535)); #98694=DIRECTION('center_axis',(0.,0.,-1.)); #98695=DIRECTION('ref_axis',(-0.708136575003189,0.706075485442423,0.)); #98696=DIRECTION('center_axis',(0.,0.,1.)); #98697=DIRECTION('ref_axis',(1.,0.,0.)); #98698=DIRECTION('center_axis',(0.0712301371029296,0.997459907749829,0.)); #98699=DIRECTION('ref_axis',(0.666057954488082,-0.0475642169154553,-0.744381922491655)); #98700=DIRECTION('center_axis',(0.,0.,-1.)); #98701=DIRECTION('ref_axis',(-0.981301020531779,0.192479367993792,0.)); #98702=DIRECTION('center_axis',(0.,0.,1.)); #98703=DIRECTION('ref_axis',(-0.981301020531779,0.192479367993792,0.)); #98704=DIRECTION('center_axis',(0.,0.,1.)); #98705=DIRECTION('ref_axis',(-0.985346261506816,-0.170565954793276,0.)); #98706=DIRECTION('center_axis',(-0.402364819514021,0.915479411028697,0.)); #98707=DIRECTION('ref_axis',(0.61131514073716,0.268680762566254,-0.744381922491622)); #98708=DIRECTION('center_axis',(0.,0.,-1.)); #98709=DIRECTION('ref_axis',(-0.985346261506816,-0.170565954793275,0.)); #98710=DIRECTION('center_axis',(0.,0.,1.)); #98711=DIRECTION('ref_axis',(-0.985346261506816,-0.170565954793275,0.)); #98712=DIRECTION('center_axis',(0.,0.,1.)); #98713=DIRECTION('ref_axis',(1.,0.,0.)); #98714=DIRECTION('center_axis',(-0.402364819514089,-0.915479411028667,0.)); #98715=DIRECTION('ref_axis',(-0.611315140737175,0.268680762566315,-0.744381922491588)); #98716=DIRECTION('center_axis',(0.,0.,-1.)); #98717=DIRECTION('ref_axis',(-6.04901474817726E-16,-1.,0.)); #98718=DIRECTION('center_axis',(0.,0.,1.)); #98719=DIRECTION('ref_axis',(-6.04901474817726E-16,-1.,0.)); #98720=DIRECTION('center_axis',(0.,0.,1.)); #98721=DIRECTION('ref_axis',(1.,0.,0.)); #98722=DIRECTION('center_axis',(0.0712301371028961,-0.997459907749832,0.)); #98723=DIRECTION('ref_axis',(-0.66605795448816,-0.0475642169154384,-0.744381922491586)); #98724=DIRECTION('center_axis',(0.,0.,-1.)); #98725=DIRECTION('ref_axis',(0.985346261506815,-0.170565954793277,0.)); #98726=DIRECTION('center_axis',(0.,0.,1.)); #98727=DIRECTION('ref_axis',(0.985346261506815,-0.170565954793277,0.)); #98728=DIRECTION('center_axis',(0.,0.,1.)); #98729=DIRECTION('ref_axis',(1.,0.,0.)); #98730=DIRECTION('center_axis',(0.310848629107452,-0.95045943089751,0.)); #98731=DIRECTION('ref_axis',(-0.634673192819587,-0.207570450148431,-0.744381922497698)); #98732=DIRECTION('center_axis',(0.,0.,-1.)); #98733=DIRECTION('ref_axis',(0.981301020531779,0.192479367993791,0.)); #98734=DIRECTION('center_axis',(0.,0.,1.)); #98735=DIRECTION('ref_axis',(0.981301020531779,0.192479367993791,0.)); #98736=DIRECTION('center_axis',(0.,0.,1.)); #98737=DIRECTION('ref_axis',(1.,0.,0.)); #98738=DIRECTION('center_axis',(0.949549326169489,-0.313617724580529,0.)); #98739=DIRECTION('ref_axis',(-0.209419525037933,-0.634065466652038,-0.744381922491553)); #98740=DIRECTION('center_axis',(0.,0.,-1.)); #98741=DIRECTION('ref_axis',(0.70813657500319,0.706075485442421,0.)); #98742=DIRECTION('center_axis',(0.,0.,1.)); #98743=DIRECTION('ref_axis',(0.70813657500319,0.706075485442421,0.)); #98744=DIRECTION('center_axis',(0.,0.,1.)); #98745=DIRECTION('ref_axis',(1.,0.,0.)); #98746=DIRECTION('center_axis',(0.,0.,-1.)); #98747=DIRECTION('ref_axis',(9.49410759657493E-16,1.,0.)); #98748=DIRECTION('center_axis',(0.,0.,1.)); #98749=DIRECTION('ref_axis',(9.49410759657493E-16,1.,0.)); #98750=DIRECTION('center_axis',(0.,0.,1.)); #98751=DIRECTION('ref_axis',(1.,0.,0.)); #98752=DIRECTION('center_axis',(0.402364819513979,-0.915479411028715,0.)); #98753=DIRECTION('ref_axis',(0.569194849474862,0.250168360007013,-0.783219646703714)); #98754=DIRECTION('center_axis',(0.,0.,-1.)); #98755=DIRECTION('ref_axis',(-6.36918993603765E-16,-1.,0.)); #98756=DIRECTION('center_axis',(0.402364819513981,0.915479411028714,0.)); #98757=DIRECTION('ref_axis',(-0.569194849474862,0.250168360007013,-0.783219646703714)); #98758=DIRECTION('center_axis',(-0.0712301371029256,-0.99745990774983,0.)); #98759=DIRECTION('ref_axis',(0.620165822638108,-0.0442869896121583,-0.783219646703714)); #98760=DIRECTION('center_axis',(0.,0.,-1.)); #98761=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #98762=DIRECTION('center_axis',(0.,0.,1.)); #98763=DIRECTION('ref_axis',(1.,0.,0.)); #98764=DIRECTION('center_axis',(-0.310848629107438,-0.950459430897514,0.)); #98765=DIRECTION('ref_axis',(0.590943505866245,-0.193268615899791,-0.783219646703714)); #98766=DIRECTION('center_axis',(0.,0.,-1.)); #98767=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,0.)); #98768=DIRECTION('center_axis',(-0.949549326169495,-0.313617724580514,0.)); #98769=DIRECTION('ref_axis',(0.194990287476444,-0.590377652699662,-0.783219646703714)); #98770=DIRECTION('center_axis',(0.,0.,-1.)); #98771=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,0.)); #98772=DIRECTION('center_axis',(0.,0.,1.)); #98773=DIRECTION('ref_axis',(1.,0.,0.)); #98774=DIRECTION('center_axis',(0.,0.,-1.)); #98775=DIRECTION('ref_axis',(0.915479411028686,-0.402364819514045,0.)); #98776=DIRECTION('center_axis',(0.,0.,-1.)); #98777=DIRECTION('ref_axis',(-0.915479411028699,-0.402364819514016,0.)); #98778=DIRECTION('center_axis',(0.,0.,-1.)); #98779=DIRECTION('ref_axis',(-0.997459907749831,0.0712301371029124,0.)); #98780=DIRECTION('center_axis',(0.,0.,-1.)); #98781=DIRECTION('ref_axis',(-0.950459430897515,0.310848629107436,0.)); #98782=DIRECTION('center_axis',(0.,0.,-1.)); #98783=DIRECTION('ref_axis',(-0.313617724580513,0.949549326169495,0.)); #98784=DIRECTION('center_axis',(0.,0.,-1.)); #98785=DIRECTION('ref_axis',(0.313617724580518,0.949549326169493,0.)); #98786=DIRECTION('center_axis',(0.,0.,-1.)); #98787=DIRECTION('ref_axis',(0.950459430897519,0.310848629107424,0.)); #98788=DIRECTION('center_axis',(0.,0.,-1.)); #98789=DIRECTION('ref_axis',(0.997459907749833,0.0712301371028837,0.)); #98790=DIRECTION('center_axis',(0.,0.,-1.)); #98791=DIRECTION('ref_axis',(-1.,0.,0.)); #98792=DIRECTION('center_axis',(0.31084862910741,-0.950459430897523,0.)); #98793=DIRECTION('ref_axis',(0.,0.,-1.)); #98794=DIRECTION('center_axis',(-2.13728733878569E-18,-2.37098460786647E-18, -1.)); #98795=DIRECTION('ref_axis',(0.981301020531779,0.192479367993793,-2.5536878655652E-18)); #98796=DIRECTION('center_axis',(-0.0712301371028848,0.997459907749833,0.)); #98797=DIRECTION('ref_axis',(0.997307989774577,0.0712192884079931,-0.0174524064372867)); #98798=DIRECTION('center_axis',(0.,0.,1.)); #98799=DIRECTION('ref_axis',(0.981301020531778,0.192479367993793,0.)); #98800=DIRECTION('center_axis',(0.,0.,-1.)); #98801=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #98802=DIRECTION('center_axis',(0.402364819514059,0.91547941102868,0.)); #98803=DIRECTION('ref_axis',(0.915339979080156,-0.402303537403149,-0.0174524064372818)); #98804=DIRECTION('center_axis',(0.,0.,1.)); #98805=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #98806=DIRECTION('center_axis',(0.,0.,-1.)); #98807=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #98808=DIRECTION('center_axis',(0.,0.,-1.)); #98809=DIRECTION('ref_axis',(-1.,0.,0.)); #98810=DIRECTION('center_axis',(0.402364819514017,-0.915479411028699,0.)); #98811=DIRECTION('ref_axis',(-0.915339979080175,-0.402303537403107,-0.0174524064372825)); #98812=DIRECTION('center_axis',(0.,0.,1.)); #98813=DIRECTION('ref_axis',(-7.00902840472775E-16,-1.,0.)); #98814=DIRECTION('center_axis',(-1.99107680225814E-18,1.52241969414783E-33, -1.)); #98815=DIRECTION('ref_axis',(-7.64621280515755E-16,-1.,0.)); #98816=DIRECTION('center_axis',(0.,0.,-1.)); #98817=DIRECTION('ref_axis',(-1.,0.,0.)); #98818=DIRECTION('center_axis',(-0.071230137102937,-0.997459907749829,0.)); #98819=DIRECTION('ref_axis',(-0.997307989774573,0.0712192884080453,-0.0174524064372844)); #98820=DIRECTION('center_axis',(0.,0.,1.)); #98821=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #98822=DIRECTION('center_axis',(4.69877765853952E-18,8.1337041505039E-19, -1.)); #98823=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,-4.76865630093734E-18)); #98824=DIRECTION('center_axis',(0.,0.,-1.)); #98825=DIRECTION('ref_axis',(-1.,0.,0.)); #98826=DIRECTION('center_axis',(-0.310848629107439,-0.950459430897514,0.)); #98827=DIRECTION('ref_axis',(-0.950314671322534,0.310801285355597,-0.0174524064372879)); #98828=DIRECTION('center_axis',(0.,0.,1.)); #98829=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,0.)); #98830=DIRECTION('center_axis',(-8.84319084486318E-19,2.12521849465777E-18, -1.)); #98831=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993793,1.2768439327826E-18)); #98832=DIRECTION('center_axis',(0.,0.,-1.)); #98833=DIRECTION('ref_axis',(-1.,0.,0.)); #98834=DIRECTION('center_axis',(-0.949549326169503,-0.313617724580487,0.)); #98835=DIRECTION('ref_axis',(-0.313569959081992,0.949404705207882,-0.0174524064372821)); #98836=DIRECTION('center_axis',(0.,0.,1.)); #98837=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,0.)); #98838=DIRECTION('center_axis',(6.25087926804251E-18,6.26912607347711E-18, -1.)); #98839=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,0.)); #98840=DIRECTION('center_axis',(0.,0.,-1.)); #98841=DIRECTION('ref_axis',(-1.,0.,0.)); #98842=DIRECTION('center_axis',(-0.949549326169502,0.313617724580492,0.)); #98843=DIRECTION('ref_axis',(0.313569959081997,0.94940470520788,-0.0174524064372845)); #98844=DIRECTION('center_axis',(0.,0.,1.)); #98845=DIRECTION('ref_axis',(9.66901728563068E-16,1.,0.)); #98846=DIRECTION('center_axis',(0.,0.,-1.)); #98847=DIRECTION('ref_axis',(9.66901728563068E-16,1.,0.)); #98848=DIRECTION('center_axis',(0.,0.,-1.)); #98849=DIRECTION('ref_axis',(-1.,0.,0.)); #98850=DIRECTION('center_axis',(0.,0.,1.)); #98851=DIRECTION('ref_axis',(0.708136575003193,0.706075485442419,0.)); #98852=DIRECTION('center_axis',(-6.26000267075981E-18,9.12340271730516E-21, -1.)); #98853=DIRECTION('ref_axis',(0.708136575003192,0.706075485442419,-4.42649503978018E-18)); #98854=DIRECTION('center_axis',(0.,0.,1.)); #98855=DIRECTION('ref_axis',(-0.915479411028677,-0.402364819514066,0.)); #98856=DIRECTION('',(-0.0159773187662374,-0.0070222343662237,0.999847695156391)); #98857=DIRECTION('center_axis',(-5.50828533116628E-18,3.88268447058968E-18, 1.)); #98858=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,-4.76531457449033E-18)); #98859=DIRECTION('',(-0.0174080757149454,0.00124313730330354,0.999847695156391)); #98860=DIRECTION('center_axis',(0.,0.,1.)); #98861=DIRECTION('ref_axis',(0.915479411028676,-0.402364819514067,0.)); #98862=DIRECTION('',(0.0159773187662374,-0.00702223436622372,0.999847695156391)); #98863=DIRECTION('center_axis',(-1.99049398529885E-18,1.99049398529885E-18, 1.)); #98864=DIRECTION('ref_axis',(-6.69043620451285E-16,-1.,1.99049398529885E-18)); #98865=DIRECTION('center_axis',(0.,0.,1.)); #98866=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029158,0.)); #98867=DIRECTION('',(0.0174080757149454,0.00124313730330353,0.999847695156391)); #98868=DIRECTION('center_axis',(0.,0.,1.)); #98869=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #98870=DIRECTION('center_axis',(0.,0.,1.)); #98871=DIRECTION('ref_axis',(0.950459430897519,0.310848629107422,0.)); #98872=DIRECTION('',(0.0165878042901727,0.00542505661565514,0.999847695156391)); #98873=DIRECTION('center_axis',(-2.2478905105352E-18,1.51066138485428E-18, 1.)); #98874=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,1.91508610342252E-18)); #98875=DIRECTION('center_axis',(0.,0.,1.)); #98876=DIRECTION('ref_axis',(1.,0.,0.)); #98877=DIRECTION('center_axis',(0.,0.,-1.)); #98878=DIRECTION('ref_axis',(1.01528724833361E-15,1.,0.)); #98879=DIRECTION('center_axis',(0.,0.,-1.)); #98880=DIRECTION('ref_axis',(-0.708136575003191,0.70607548544242,0.)); #98881=DIRECTION('center_axis',(0.,0.,-1.)); #98882=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,0.)); #98883=DIRECTION('center_axis',(0.,0.,-1.)); #98884=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #98885=DIRECTION('center_axis',(0.,0.,-1.)); #98886=DIRECTION('ref_axis',(-7.12122593516258E-16,-1.,0.)); #98887=DIRECTION('center_axis',(0.,0.,-1.)); #98888=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #98889=DIRECTION('center_axis',(0.,0.,-1.)); #98890=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,0.)); #98891=DIRECTION('center_axis',(0.,0.,-1.)); #98892=DIRECTION('ref_axis',(0.708136575003193,0.706075485442419,0.)); #98893=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98894=DIRECTION('ref_axis',(1.,0.,0.)); #98895=DIRECTION('center_axis',(-0.949549326169494,0.313617724580516,0.)); #98896=DIRECTION('ref_axis',(0.313605782978542,0.949513170240735,-0.00872653549837375)); #98897=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98898=DIRECTION('ref_axis',(1.01411854674479E-15,1.,-1.48719347126105E-31)); #98899=DIRECTION('center_axis',(0.949549326169494,0.313617724580516,0.)); #98900=DIRECTION('ref_axis',(0.,0.,-1.)); #98901=DIRECTION('center_axis',(0.,0.,-1.)); #98902=DIRECTION('ref_axis',(1.01411854674479E-15,1.,0.)); #98903=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98904=DIRECTION('ref_axis',(1.,0.,0.)); #98905=DIRECTION('center_axis',(0.310848629107419,0.950459430897521,0.)); #98906=DIRECTION('ref_axis',(0.,0.,-1.)); #98907=DIRECTION('center_axis',(0.,0.,-1.)); #98908=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,0.)); #98909=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98910=DIRECTION('ref_axis',(-0.708136575003191,0.70607548544242,-1.35288909127919E-31)); #98911=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98912=DIRECTION('ref_axis',(1.,0.,0.)); #98913=DIRECTION('center_axis',(0.0712301371029272,0.99745990774983,0.)); #98914=DIRECTION('ref_axis',(0.,0.,-1.)); #98915=DIRECTION('center_axis',(-2.51965370595679E-19,-1.28457339548299E-18, -1.)); #98916=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,0.)); #98917=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98918=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,-7.05884760014354E-32)); #98919=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98920=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #98921=DIRECTION('center_axis',(-0.402364819514076,0.915479411028672,0.)); #98922=DIRECTION('ref_axis',(0.,0.,-1.)); #98923=DIRECTION('center_axis',(0.,0.,-1.)); #98924=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #98925=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98926=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,-1.67695979998712E-32)); #98927=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98928=DIRECTION('ref_axis',(1.,0.,0.)); #98929=DIRECTION('center_axis',(-0.402364819514084,-0.915479411028669,0.)); #98930=DIRECTION('ref_axis',(0.,0.,-1.)); #98931=DIRECTION('center_axis',(2.15655448782673E-18,-2.00918277920897E-33, -1.)); #98932=DIRECTION('ref_axis',(-9.31663350288789E-16,-1.,0.)); #98933=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98934=DIRECTION('ref_axis',(-8.97157300278093E-16,-1.,1.48719347126105E-31)); #98935=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98936=DIRECTION('ref_axis',(1.,0.,0.)); #98937=DIRECTION('center_axis',(0.0712301371029099,-0.997459907749831,0.)); #98938=DIRECTION('ref_axis',(0.,0.,-1.)); #98939=DIRECTION('center_axis',(9.9650299837715E-19,5.75672035618861E-18, -1.)); #98940=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #98941=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98942=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,6.75025128774674E-32)); #98943=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98944=DIRECTION('ref_axis',(1.,0.,0.)); #98945=DIRECTION('center_axis',(0.310848629107482,-0.9504594308975,0.)); #98946=DIRECTION('ref_axis',(0.,0.,-1.)); #98947=DIRECTION('center_axis',(1.15859071018515E-18,8.94252068337173E-19, -1.)); #98948=DIRECTION('ref_axis',(0.981301020531779,0.192479367993793,1.30905131922401E-18)); #98949=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98950=DIRECTION('ref_axis',(0.981301020531779,0.192479367993793,1.3337664114871E-32)); #98951=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98952=DIRECTION('ref_axis',(1.,0.,0.)); #98953=DIRECTION('center_axis',(0.,0.,-1.)); #98954=DIRECTION('ref_axis',(0.708136575003193,0.706075485442418,0.)); #98955=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98956=DIRECTION('ref_axis',(0.708136575003193,0.706075485442418,-7.47252613055691E-32)); #98957=DIRECTION('center_axis',(0.,0.,1.)); #98958=DIRECTION('ref_axis',(-0.99745990774983,-0.0712301371029157,0.)); #98959=DIRECTION('',(0.00870436929318368,0.000621592319982644,0.999961923064171)); #98960=DIRECTION('center_axis',(2.29933384545856E-17,-3.98020561969166E-18, 1.)); #98961=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,-2.33352876575831E-17)); #98962=DIRECTION('',(0.00798896357837221,-0.00351125088078633,0.999961923064171)); #98963=DIRECTION('center_axis',(0.,0.,1.)); #98964=DIRECTION('ref_axis',(-0.950459430897519,-0.310848629107422,0.)); #98965=DIRECTION('',(0.00829421796349149,0.00271263159652679,0.999961923064171)); #98966=DIRECTION('center_axis',(-5.03848395708419E-19,2.56872697606695E-18, 1.)); #98967=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,0.)); #98968=DIRECTION('center_axis',(0.,0.,1.)); #98969=DIRECTION('ref_axis',(-0.313617724580513,-0.949549326169495,0.)); #98970=DIRECTION('',(0.00273679620647111,0.00828627590227515,0.999961923064171)); #98971=DIRECTION('center_axis',(0.,0.,1.)); #98972=DIRECTION('ref_axis',(0.708136575003193,0.706075485442418,0.)); #98973=DIRECTION('center_axis',(0.,0.,1.)); #98974=DIRECTION('ref_axis',(0.313617724580511,-0.949549326169495,0.)); #98975=DIRECTION('',(-0.00273679620647109,0.00828627590227515,0.999961923064171)); #98976=DIRECTION('center_axis',(0.,0.,1.)); #98977=DIRECTION('ref_axis',(1.01411854674479E-15,1.,0.)); #98978=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #98979=DIRECTION('ref_axis',(1.,0.,4.27626886960872E-32)); #98980=DIRECTION('',(0.984807753012208,-0.173648177666929,6.79378709798177E-32)); #98981=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #98982=DIRECTION('ref_axis',(-0.991377804529527,0.131034532418802,-6.18813505476052E-32)); #98983=DIRECTION('',(-0.999390827019096,0.0348994967024988,-4.7926869186168E-32)); #98984=DIRECTION('',(0.999390827019096,-0.0348994967024988,4.7926869186168E-32)); #98985=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #98986=DIRECTION('ref_axis',(-0.98134752725541,-0.192242114922025,-1.33749369893528E-32)); #98987=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #98988=DIRECTION('ref_axis',(-0.84874368520521,-0.528804459913377,4.23488920424898E-32)); #98989=DIRECTION('',(-0.694658370458997,-0.719339800338652,7.72742858221177E-32)); #98990=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #98991=DIRECTION('ref_axis',(-0.696996571269316,-0.717074458922375,7.68373979723107E-32)); #98992=DIRECTION('',(0.694658370458996,0.719339800338652,-7.72742858221177E-32)); #98993=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #98994=DIRECTION('ref_axis',(-0.609410323148008,-0.79285500442429,9.18528546866852E-32)); #98995=DIRECTION('',(-0.390731128489271,-0.920504853452441,1.201881672204E-31)); #98996=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #98997=DIRECTION('ref_axis',(-0.391969912509851,-0.919978036524253,1.20056845615352E-31)); #98998=DIRECTION('',(0.390731128489272,0.920504853452441,-1.201881672204E-31)); #98999=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99000=DIRECTION('ref_axis',(-0.224053770987718,-0.974576783894519,1.3535728136512E-31)); #99001=DIRECTION('',(-7.84027867633538E-16,-1.,1.48719347126105E-31)); #99002=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99003=DIRECTION('ref_axis',(-3.63005233229902E-16,-1.,1.48719347126105E-31)); #99004=DIRECTION('',(9.07611467346921E-16,1.,-1.48719347126105E-31)); #99005=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99006=DIRECTION('ref_axis',(0.224053770987721,-0.974576783894518,1.54519564684984E-31)); #99007=DIRECTION('',(0.390731128489272,-0.920504853452441,1.53605594443315E-31)); #99008=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99009=DIRECTION('ref_axis',(0.391969912509851,-0.919978036524253,1.53580220309134E-31)); #99010=DIRECTION('',(-0.390731128489271,0.920504853452441,-1.53605594443316E-31)); #99011=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99012=DIRECTION('ref_axis',(0.60941032314801,-0.792855004424288,1.43972902560605E-31)); #99013=DIRECTION('',(0.694658370458996,-0.719339800338652,1.36685205114256E-31)); #99014=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99015=DIRECTION('ref_axis',(0.696996571269316,-0.717074458922375,1.3644829277117E-31)); #99016=DIRECTION('',(-0.694658370458997,0.719339800338652,-1.36685205114256E-31)); #99017=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99018=DIRECTION('ref_axis',(0.84874368520521,-0.528804459913377,1.1493801602889E-31)); #99019=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99020=DIRECTION('ref_axis',(0.98134752725541,-0.192242114922024,7.05551806320431E-32)); #99021=DIRECTION('',(0.999390827019096,0.0348994967024988,3.75464084569174E-32)); #99022=DIRECTION('',(-0.999390827019096,-0.0348994967024988,-3.75464084569174E-32)); #99023=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99024=DIRECTION('ref_axis',(0.991377804529528,0.131034532418801,2.29066103230081E-32)); #99025=DIRECTION('',(0.984807753012208,0.173648177666929,1.62881837552906E-32)); #99026=DIRECTION('',(-0.984807753012208,-0.173648177666929,-1.62881837552907E-32)); #99027=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99028=DIRECTION('ref_axis',(0.971110126509417,0.238631771126278,6.03811882409386E-33)); #99029=DIRECTION('',(0.951056516295154,0.309016994374946,-5.28707191559842E-33)); #99030=DIRECTION('',(-2.06345580535894E-15,-1.,1.48719347126105E-31)); #99031=DIRECTION('',(-0.951056516295154,-0.309016994374946,5.28707191559833E-33)); #99032=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99033=DIRECTION('ref_axis',(0.954026480946282,0.299722327585473,-3.77777146509871E-33)); #99034=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99035=DIRECTION('ref_axis',(0.727096649831147,0.686535113307631,-7.10084461415601E-32)); #99036=DIRECTION('',(0.275637355817001,0.961261695938318,-1.31171217397464E-31)); #99037=DIRECTION('',(1.,-7.53835631801336E-16,4.27626886960873E-32)); #99038=DIRECTION('',(-0.275637355817,-0.961261695938318,1.31171217397464E-31)); #99039=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99040=DIRECTION('ref_axis',(0.218470567503301,0.975843538245136,-1.35784425037644E-31)); #99041=DIRECTION('',(0.139173100960066,0.99026806874157,-1.41320604671851E-31)); #99042=DIRECTION('',(-0.139173100960066,-0.99026806874157,1.41320604671851E-31)); #99043=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99044=DIRECTION('ref_axis',(1.47408721614817E-15,1.,-1.48719347126105E-31)); #99045=DIRECTION('',(-0.139173100960066,0.99026806874157,-1.532234366543E-31)); #99046=DIRECTION('',(0.139173100960066,-0.99026806874157,1.532234366543E-31)); #99047=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99048=DIRECTION('ref_axis',(-0.218470567503298,0.975843538245136,-1.54469202772446E-31)); #99049=DIRECTION('',(-0.275637355817,0.961261695938318,-1.54745206277094E-31)); #99050=DIRECTION('',(1.,-7.53835631801336E-16,4.27626886960873E-32)); #99051=DIRECTION('',(0.275637355817001,-0.961261695938318,1.54745206277094E-31)); #99052=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99053=DIRECTION('ref_axis',(-0.727096649831145,0.686535113307633,-1.33193661518955E-31)); #99054=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99055=DIRECTION('ref_axis',(-0.954026480946281,0.299722327585475,-8.53712462901577E-32)); #99056=DIRECTION('',(-0.951056516295154,0.309016994374946,-8.6626539393028E-32)); #99057=DIRECTION('',(3.37307597891652E-15,1.,-1.48719347126105E-31)); #99058=DIRECTION('',(0.951056516295154,-0.309016994374946,8.66265393930281E-32)); #99059=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #99060=DIRECTION('ref_axis',(-0.971110126509417,0.238631771126279,-7.70164412349864E-32)); #99061=DIRECTION('',(-0.984807753012208,0.173648177666929,-6.79378709798177E-32)); #99062=DIRECTION('center_axis',(0.,0.,1.)); #99063=DIRECTION('ref_axis',(1.,0.,0.)); #99064=DIRECTION('center_axis',(0.949549326169314,-0.313617724581059,2.93808333171386E-13)); #99065=DIRECTION('ref_axis',(0.12018885548055,0.363899224405575,0.92365144589033)); #99066=DIRECTION('center_axis',(-0.949549326169499,-0.313617724580501,-7.64037636164523E-13)); #99067=DIRECTION('ref_axis',(0.313605782978521,-0.949513170240742,0.00872653549836964)); #99068=DIRECTION('center_axis',(0.,0.,-1.)); #99069=DIRECTION('ref_axis',(1.01374712285545E-15,1.,0.)); #99070=DIRECTION('center_axis',(0.,0.,1.)); #99071=DIRECTION('ref_axis',(1.,0.,0.)); #99072=DIRECTION('center_axis',(0.,0.,1.)); #99073=DIRECTION('ref_axis',(-0.708136575003191,0.70607548544242,0.)); #99074=DIRECTION('center_axis',(-0.310848629107391,-0.950459430897529,1.40971345789332E-12)); #99075=DIRECTION('ref_axis',(0.950423240314775,-0.310836792944077,0.0087265354983733)); #99076=DIRECTION('center_axis',(0.,0.,-1.)); #99077=DIRECTION('ref_axis',(-0.708136575003191,0.70607548544242,0.)); #99078=DIRECTION('center_axis',(0.,0.,1.)); #99079=DIRECTION('ref_axis',(1.,0.,0.)); #99080=DIRECTION('center_axis',(0.,0.,1.)); #99081=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,0.)); #99082=DIRECTION('center_axis',(-0.0712301371028341,-0.997459907749836, -4.71284202947458E-12)); #99083=DIRECTION('ref_axis',(0.997421927532934,-0.0712274248775155,0.00872653549837388)); #99084=DIRECTION('center_axis',(0.,0.,-1.)); #99085=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,0.)); #99086=DIRECTION('center_axis',(0.,0.,1.)); #99087=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #99088=DIRECTION('center_axis',(0.,0.,1.)); #99089=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #99090=DIRECTION('center_axis',(0.402364819514029,-0.915479411028693,4.06939838058219E-12)); #99091=DIRECTION('ref_axis',(0.915444552377893,0.402349498694649,0.00872653549837077)); #99092=DIRECTION('center_axis',(0.,0.,-1.)); #99093=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #99094=DIRECTION('center_axis',(0.,0.,1.)); #99095=DIRECTION('ref_axis',(1.,0.,0.)); #99096=DIRECTION('center_axis',(-8.62157536177165E-18,8.03240578631545E-33, 1.)); #99097=DIRECTION('ref_axis',(-9.31663350288789E-16,-1.,0.)); #99098=DIRECTION('center_axis',(0.402364819514029,0.915479411028693,-4.06312110265844E-12)); #99099=DIRECTION('ref_axis',(-0.915444552377892,0.402349498694649,0.00872653549837211)); #99100=DIRECTION('center_axis',(0.,0.,-1.)); #99101=DIRECTION('ref_axis',(-8.96598425260111E-16,-1.,0.)); #99102=DIRECTION('center_axis',(0.,0.,1.)); #99103=DIRECTION('ref_axis',(1.,0.,0.)); #99104=DIRECTION('center_axis',(-0.0712301371028635,0.997459907749834,4.75744582830034E-12)); #99105=DIRECTION('ref_axis',(-0.997421927532932,-0.0712274248775453,0.00872653549836884)); #99106=DIRECTION('center_axis',(0.,0.,-1.)); #99107=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #99108=DIRECTION('center_axis',(0.,0.,1.)); #99109=DIRECTION('ref_axis',(1.,0.,0.)); #99110=DIRECTION('center_axis',(-0.310848629107413,0.950459430897522,-1.41261414949726E-12)); #99111=DIRECTION('ref_axis',(-0.950423240314768,-0.310836792944098,0.00872653549836758)); #99112=DIRECTION('center_axis',(0.,0.,-1.)); #99113=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,0.)); #99114=DIRECTION('center_axis',(0.,0.,1.)); #99115=DIRECTION('ref_axis',(1.,0.,0.)); #99116=DIRECTION('center_axis',(0.,0.,-1.)); #99117=DIRECTION('ref_axis',(0.708136575003193,0.706075485442418,0.)); #99118=DIRECTION('center_axis',(-0.0712301371029242,0.99745990774983,0.)); #99119=DIRECTION('ref_axis',(-0.620165822638109,-0.0442869896121574,-0.783219646703714)); #99120=DIRECTION('center_axis',(0.,0.,1.)); #99121=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #99122=DIRECTION('center_axis',(0.402364819513981,0.915479411028714,0.)); #99123=DIRECTION('ref_axis',(-0.569194849474862,0.250168360007013,-0.783219646703714)); #99124=DIRECTION('center_axis',(0.,0.,1.)); #99125=DIRECTION('ref_axis',(-1.,1.4470566153126E-15,0.)); #99126=DIRECTION('center_axis',(-0.310848629107437,0.950459430897515,0.)); #99127=DIRECTION('ref_axis',(-0.590943505866245,-0.19326861589979,-0.783219646703714)); #99128=DIRECTION('center_axis',(0.,0.,1.)); #99129=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,0.)); #99130=DIRECTION('center_axis',(-0.949549326169494,0.313617724580515,0.)); #99131=DIRECTION('ref_axis',(-0.194990287476444,-0.590377652699662,-0.783219646703714)); #99132=DIRECTION('center_axis',(0.,0.,1.)); #99133=DIRECTION('ref_axis',(0.708136575003193,0.706075485442419,0.)); #99134=DIRECTION('center_axis',(0.,0.,1.)); #99135=DIRECTION('ref_axis',(1.,0.,0.)); #99136=DIRECTION('center_axis',(-0.949549326169495,-0.313617724580514,0.)); #99137=DIRECTION('ref_axis',(0.194990287476444,-0.590377652699662,-0.783219646703714)); #99138=DIRECTION('center_axis',(0.,0.,1.)); #99139=DIRECTION('ref_axis',(9.37364282106227E-16,1.,0.)); #99140=DIRECTION('center_axis',(0.,0.,1.)); #99141=DIRECTION('ref_axis',(0.915479411028677,0.402364819514066,0.)); #99142=DIRECTION('',(-0.00798896357837221,-0.00351125088078632,0.999961923064171)); #99143=DIRECTION('',(-0.00870436929318368,0.00062159231998265,0.999961923064171)); #99144=DIRECTION('center_axis',(0.,0.,1.)); #99145=DIRECTION('ref_axis',(0.99745990774983,-0.0712301371029172,0.)); #99146=DIRECTION('',(-0.00829421796349149,0.00271263159652681,0.999961923064171)); #99147=DIRECTION('center_axis',(0.,0.,1.)); #99148=DIRECTION('ref_axis',(0.950459430897519,-0.310848629107424,0.)); #99149=DIRECTION('center_axis',(0.,0.,1.)); #99150=DIRECTION('ref_axis',(1.,0.,0.)); #99151=DIRECTION('center_axis',(-0.949549326169501,0.313617724580494,0.)); #99152=DIRECTION('ref_axis',(0.,0.,-1.)); #99153=DIRECTION('center_axis',(0.,0.,1.)); #99154=DIRECTION('ref_axis',(1.03481200310926E-15,1.,0.)); #99155=DIRECTION('center_axis',(0.949549326169489,0.313617724580531,0.)); #99156=DIRECTION('ref_axis',(0.313605782978557,-0.94951317024073,-0.00872653549837454)); #99157=DIRECTION('center_axis',(0.,0.,1.)); #99158=DIRECTION('ref_axis',(1.,0.,0.)); #99159=DIRECTION('center_axis',(0.310848629107462,0.950459430897506,0.)); #99160=DIRECTION('ref_axis',(0.950423240314748,-0.310836792944159,-0.00872653549837398)); #99161=DIRECTION('center_axis',(-3.24903850902857E-18,3.23958191606347E-18, 1.)); #99162=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,-4.58815237585196E-18)); #99163=DIRECTION('center_axis',(0.,0.,1.)); #99164=DIRECTION('ref_axis',(1.,0.,0.)); #99165=DIRECTION('center_axis',(0.0712301371028974,0.997459907749832,0.)); #99166=DIRECTION('ref_axis',(0.997421927532932,-0.0712274248775379,-0.00872653549837284)); #99167=DIRECTION('center_axis',(-8.76705357062094E-19,-1.13585723558955E-18, 1.)); #99168=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,-6.41682778753256E-19)); #99169=DIRECTION('center_axis',(0.,0.,1.)); #99170=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #99171=DIRECTION('center_axis',(-0.402364819514056,0.915479411028681,0.)); #99172=DIRECTION('ref_axis',(0.915444552377895,0.402349498694644,-0.00872653549837386)); #99173=DIRECTION('center_axis',(-4.88416671975926E-18,-8.45461735097437E-19, 1.)); #99174=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,-4.95680240597887E-18)); #99175=DIRECTION('center_axis',(0.,0.,1.)); #99176=DIRECTION('ref_axis',(1.,0.,0.)); #99177=DIRECTION('center_axis',(-0.402364819514086,-0.915479411028668,0.)); #99178=DIRECTION('ref_axis',(-0.915444552377882,0.402349498694673,-0.00872653549837562)); #99179=DIRECTION('center_axis',(0.,0.,1.)); #99180=DIRECTION('ref_axis',(-7.76861011108645E-16,-1.,0.)); #99181=DIRECTION('center_axis',(0.,0.,1.)); #99182=DIRECTION('ref_axis',(1.,0.,0.)); #99183=DIRECTION('center_axis',(0.0712301371029123,-0.997459907749831,0.)); #99184=DIRECTION('ref_axis',(-0.997421927532931,-0.0712274248775528,-0.00872653549837467)); #99185=DIRECTION('center_axis',(0.,0.,1.)); #99186=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #99187=DIRECTION('center_axis',(0.,0.,1.)); #99188=DIRECTION('ref_axis',(1.,0.,0.)); #99189=DIRECTION('center_axis',(0.310848629107378,-0.950459430897534,0.)); #99190=DIRECTION('ref_axis',(-0.950423240314776,-0.310836792944075,-0.00872653549837414)); #99191=DIRECTION('center_axis',(2.47021391413854E-19,-1.25936793129648E-18, 1.)); #99192=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,0.)); #99193=DIRECTION('center_axis',(0.,0.,1.)); #99194=DIRECTION('ref_axis',(1.,0.,0.)); #99195=DIRECTION('center_axis',(0.,0.,1.)); #99196=DIRECTION('ref_axis',(0.708136575003193,0.706075485442419,0.)); #99197=DIRECTION('center_axis',(0.,0.,-1.)); #99198=DIRECTION('ref_axis',(-0.915479411028677,-0.402364819514066,0.)); #99199=DIRECTION('',(0.00870436929318368,-0.000621592319982649,0.999961923064171)); #99200=DIRECTION('center_axis',(0.,0.,-1.)); #99201=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #99202=DIRECTION('',(0.00798896357837221,0.00351125088078632,0.999961923064171)); #99203=DIRECTION('center_axis',(0.,0.,-1.)); #99204=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029172,0.)); #99205=DIRECTION('',(0.00829421796349149,-0.00271263159652681,0.999961923064171)); #99206=DIRECTION('center_axis',(1.25947251765038E-18,-2.47041905725855E-19, -1.)); #99207=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,-1.28347213678414E-18)); #99208=DIRECTION('center_axis',(0.,0.,-1.)); #99209=DIRECTION('ref_axis',(-0.950459430897519,0.310848629107424,0.)); #99210=DIRECTION('',(0.00273679620647109,-0.00828627590227515,0.999961923064171)); #99211=DIRECTION('center_axis',(-1.89244223099088E-20,1.29849506673679E-17, -1.)); #99212=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,9.18175642150615E-18)); #99213=DIRECTION('center_axis',(0.,0.,-1.)); #99214=DIRECTION('ref_axis',(-0.313617724580511,0.949549326169495,0.)); #99215=DIRECTION('',(-0.00273679620647111,-0.00828627590227515,0.999961923064171)); #99216=DIRECTION('center_axis',(2.44102699220949E-18,-2.47834357802845E-33, -1.)); #99217=DIRECTION('ref_axis',(1.01528724833361E-15,1.,0.)); #99218=DIRECTION('center_axis',(0.,0.,-1.)); #99219=DIRECTION('ref_axis',(-1.,0.,0.)); #99220=DIRECTION('center_axis',(-0.310848629106014,0.95045943089798,-9.44571044880901E-13)); #99221=DIRECTION('ref_axis',(0.508694051897295,0.166368856499282,0.844719932966799)); #99222=DIRECTION('center_axis',(0.,0.,-1.)); #99223=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,0.)); #99224=DIRECTION('center_axis',(0.0712301371030014,-0.997459907749824,-5.09291766390826E-12)); #99225=DIRECTION('ref_axis',(0.997421927532928,0.0712274248775975,0.00872653549837532)); #99226=DIRECTION('center_axis',(0.,0.,-1.)); #99227=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #99228=DIRECTION('center_axis',(0.,0.,-1.)); #99229=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #99230=DIRECTION('center_axis',(-0.402364819514116,-0.915479411028655,6.14226252365822E-12)); #99231=DIRECTION('ref_axis',(0.91544455237789,-0.402349498694655,0.00872653549837327)); #99232=DIRECTION('center_axis',(0.,0.,-1.)); #99233=DIRECTION('ref_axis',(-1.,0.,0.)); #99234=DIRECTION('center_axis',(0.,0.,-1.)); #99235=DIRECTION('ref_axis',(-6.79753384720065E-16,-1.,0.)); #99236=DIRECTION('center_axis',(-0.402364819514096,0.915479411028664,-6.16381870921029E-12)); #99237=DIRECTION('ref_axis',(-0.915444552377899,-0.402349498694635,0.00872653549837084)); #99238=DIRECTION('center_axis',(0.,0.,1.)); #99239=DIRECTION('ref_axis',(-7.12344398464633E-16,-1.,0.)); #99240=DIRECTION('center_axis',(0.,0.,-1.)); #99241=DIRECTION('ref_axis',(-1.,0.,0.)); #99242=DIRECTION('center_axis',(0.071230137102952,0.997459907749828,5.12048807524268E-12)); #99243=DIRECTION('ref_axis',(-0.997421927532932,0.0712274248775478,0.00872653549837351)); #99244=DIRECTION('center_axis',(0.,0.,1.)); #99245=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #99246=DIRECTION('center_axis',(0.,0.,-1.)); #99247=DIRECTION('ref_axis',(-1.,0.,0.)); #99248=DIRECTION('center_axis',(0.310848629107427,0.950459430897518,-1.67783145412552E-12)); #99249=DIRECTION('ref_axis',(-0.950423240314755,0.310836792944138,0.00872653549837601)); #99250=DIRECTION('center_axis',(0.,0.,1.)); #99251=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,0.)); #99252=DIRECTION('center_axis',(0.,0.,-1.)); #99253=DIRECTION('ref_axis',(-1.,0.,0.)); #99254=DIRECTION('center_axis',(0.949549326169495,0.313617724580514,8.64247996159564E-13)); #99255=DIRECTION('ref_axis',(-0.313605782978547,0.949513170240733,0.00872653549837547)); #99256=DIRECTION('center_axis',(0.,0.,1.)); #99257=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,0.)); #99258=DIRECTION('center_axis',(0.,0.,-1.)); #99259=DIRECTION('ref_axis',(-1.,0.,0.)); #99260=DIRECTION('center_axis',(0.949549326169482,-0.313617724580551,-7.85825492807735E-13)); #99261=DIRECTION('ref_axis',(0.313605782978584,0.949513170240721,0.00872653549837163)); #99262=DIRECTION('center_axis',(0.,0.,-1.)); #99263=DIRECTION('ref_axis',(-1.,0.,0.)); #99264=DIRECTION('center_axis',(6.483013122529E-18,-6.50193754483894E-18, -1.)); #99265=DIRECTION('ref_axis',(0.708136575003193,0.706075485442419,0.)); #99266=DIRECTION('center_axis',(-0.310848629107438,-0.950459430897514,0.)); #99267=DIRECTION('ref_axis',(0.590943505866245,-0.193268615899791,-0.783219646703714)); #99268=DIRECTION('center_axis',(0.,0.,-1.)); #99269=DIRECTION('ref_axis',(0.915479411028676,-0.402364819514067,0.)); #99270=DIRECTION('',(-0.00798896357837221,0.00351125088078633,0.999961923064171)); #99271=DIRECTION('center_axis',(0.,0.,-1.)); #99272=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029157,0.)); #99273=DIRECTION('',(-0.00870436929318368,-0.000621592319982636,0.999961923064171)); #99274=DIRECTION('center_axis',(0.,0.,-1.)); #99275=DIRECTION('ref_axis',(0.950459430897519,0.310848629107422,0.)); #99276=DIRECTION('',(-0.00829421796349149,-0.00271263159652679,0.999961923064171)); #99277=DIRECTION('center_axis',(0.619338759250127,0.281974217668679,-0.732741456354592)); #99278=DIRECTION('ref_axis',(0.392572645290275,-0.91945759206694,-0.022010328490516)); #99279=DIRECTION('center_axis',(-0.0162936096200824,0.358310539485153,-0.933460269952293)); #99280=DIRECTION('ref_axis',(0.999847695156392,4.89547160464663E-13,-0.0174524064372602)); #99281=DIRECTION('center_axis',(-0.0162936357335295,0.358306649383979,-0.93346176271008)); #99282=DIRECTION('ref_axis',(0.999847695155353,5.21018049351649E-12,-0.0174524064967654)); #99283=DIRECTION('center_axis',(-0.261894090911928,0.345632384330378,-0.901082537866267)); #99284=DIRECTION('ref_axis',(0.962281244354974,0.0222544353941294,-0.271144881692299)); #99285=DIRECTION('center_axis',(0.00152143355942591,0.996192912688547,0.087162870243207)); #99286=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372942)); #99287=DIRECTION('center_axis',(0.999847695156391,3.33425777473198E-16, -0.0174524064372835)); #99288=DIRECTION('ref_axis',(-3.33476567569669E-16,1.,0.)); #99289=DIRECTION('center_axis',(0.0174500911009465,-0.0162884581870578, 0.999715049626871)); #99290=DIRECTION('ref_axis',(-0.0687688774625433,0.997479952175244,0.0174524067398786)); #99291=DIRECTION('center_axis',(-0.619338759250195,0.281974217668712,-0.732741456354522)); #99292=DIRECTION('ref_axis',(-0.00105686388331368,-0.933579905110459,-0.358367749403707)); #99293=DIRECTION('center_axis',(0.0162936096200655,0.358310539485127,-0.933460269952303)); #99294=DIRECTION('ref_axis',(-0.000646673871850176,-0.933580231291544,-0.358367874612848)); #99295=DIRECTION('center_axis',(0.0162936357334603,0.358306649383981,-0.93346176271008)); #99296=DIRECTION('ref_axis',(-0.00090239691850408,-0.933580046380428,-0.358367803632132)); #99297=DIRECTION('center_axis',(0.261894090911975,0.345632384330365,-0.901082537866258)); #99298=DIRECTION('ref_axis',(-0.000929660478583233,-0.933580023065252,-0.358367794681495)); #99299=DIRECTION('center_axis',(0.0759392823066155,0.669452135962098,-0.738963505904413)); #99300=DIRECTION('ref_axis',(0.990404557986202,-0.136460850816225,-0.0218459999695063)); #99301=DIRECTION('center_axis',(-0.304358391258143,0.15678409122978,-0.939566239499913)); #99302=DIRECTION('ref_axis',(0.499923847578197,0.865893503920753,-0.0174524064372769)); #99303=DIRECTION('center_axis',(0.304358391258141,-0.15678409122977,0.939566239499915)); #99304=DIRECTION('ref_axis',(0.926181291803463,0.279215566799901,-0.253430230970733)); #99305=DIRECTION('center_axis',(-0.304358391258149,0.15678409122977,-0.939566239499912)); #99306=DIRECTION('ref_axis',(0.499923847578314,0.865893503920684,-0.0174524064373388)); #99307=DIRECTION('',(-0.304358391258141,0.15678409122977,-0.939566239499915)); #99308=DIRECTION('',(0.304358391258141,-0.15678409122977,0.939566239499915)); #99309=DIRECTION('center_axis',(-0.406322834096618,-0.0392448318785385, -0.912886410054678)); #99310=DIRECTION('ref_axis',(0.488566149691016,0.83493437644541,-0.25335292460481)); #99311=DIRECTION('center_axis',(0.499923847578195,0.865893503920754,-0.0174524064372835)); #99312=DIRECTION('ref_axis',(0.846598421710707,-0.492834278709725,-0.200961404467635)); #99313=DIRECTION('center_axis',(-0.866069212102557,0.499810234551731,-0.010670018060005)); #99314=DIRECTION('ref_axis',(-0.499923847578197,-0.865893503920753,0.0174524064372594)); #99315=DIRECTION('center_axis',(-0.50031700787335,-0.865664506899856,0.0175457438283005)); #99316=DIRECTION('ref_axis',(0.846366133923792,-0.493236401634476,-0.200953276782361)); #99317=DIRECTION('center_axis',(0.499923847578195,0.865893503920754,-0.0174524064372835)); #99318=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #99319=DIRECTION('center_axis',(0.0228135716489564,0.00697821456010765, 0.999715382231449)); #99320=DIRECTION('ref_axis',(-0.898776796230117,0.438058996104258,0.0174524064373905)); #99321=DIRECTION('center_axis',(-0.54179291520764,-0.400491415603693,-0.738963505904424)); #99322=DIRECTION('ref_axis',(0.813797681349337,-0.4698463103928,-0.342020143325968)); #99323=DIRECTION('center_axis',(-0.287958201543302,0.185190053069646,-0.939566239499913)); #99324=DIRECTION('ref_axis',(0.813797681349359,-0.469846310392977,-0.342020143325672)); #99325=DIRECTION('center_axis',(0.287958201543309,-0.185190053069628,0.939566239499915)); #99326=DIRECTION('ref_axis',(0.221282871920965,-0.941704310611634,-0.25343023097073)); #99327=DIRECTION('center_axis',(-0.287958201543312,0.185190053069611,-0.939566239499917)); #99328=DIRECTION('ref_axis',(0.813797681349377,-0.469846310392952,-0.342020143325663)); #99329=DIRECTION('',(-0.287958201543309,0.185190053069628,-0.939566239499915)); #99330=DIRECTION('',(0.287958201543309,-0.185190053069628,0.939566239499915)); #99331=DIRECTION('center_axis',(-0.0481949289075491,0.531934281860306,-0.845413016583822)); #99332=DIRECTION('ref_axis',(0.813797681349261,-0.46984631039311,-0.342020143325722)); #99333=DIRECTION('center_axis',(-0.814011469814579,0.469443879526076,0.342063986683509)); #99334=DIRECTION('ref_axis',(0.46680742782669,0.879166580398352,-0.0956919496953487)); #99335=DIRECTION('center_axis',(0.813797681349374,-0.469846310392954,-0.342020143325669)); #99336=DIRECTION('ref_axis',(0.467180031138153,0.878951578145209,-0.0958485356268003)); #99337=DIRECTION('center_axis',(0.813797681349374,-0.469846310392954,-0.342020143325669)); #99338=DIRECTION('ref_axis',(0.5,0.866025403784439,-2.77555756156289E-17)); #99339=DIRECTION('center_axis',(0.541792915207642,-0.400491415603755,-0.738963505904388)); #99340=DIRECTION('ref_axis',(-0.613380842421994,-0.789485081831834,-0.0218459999695062)); #99341=DIRECTION('center_axis',(0.287958201543318,0.185190053069627,-0.939566239499912)); #99342=DIRECTION('ref_axis',(0.499923847578197,-0.865893503920753,-0.0174524064372769)); #99343=DIRECTION('center_axis',(-0.287958201543309,-0.185190053069628,0.939566239499915)); #99344=DIRECTION('ref_axis',(-0.221282871920965,-0.941704310611634,-0.25343023097073)); #99345=DIRECTION('center_axis',(0.287958201543301,0.185190053069629,-0.939566239499917)); #99346=DIRECTION('ref_axis',(0.499923847578182,-0.865893503920762,-0.0174524064372938)); #99347=DIRECTION('',(0.287958201543309,0.185190053069628,-0.939566239499915)); #99348=DIRECTION('',(-0.287958201543309,-0.185190053069628,0.939566239499915)); #99349=DIRECTION('center_axis',(0.0481949289076136,0.531934281860224,-0.845413016583869)); #99350=DIRECTION('ref_axis',(0.43613695272652,-0.772654380774149,-0.461291411514394)); #99351=DIRECTION('center_axis',(0.499923847578195,-0.865893503920754,-0.0174524064372835)); #99352=DIRECTION('ref_axis',(-0.858346035845652,-0.492679899416408,-0.143208238097857)); #99353=DIRECTION('center_axis',(0.865952065843836,0.500086285245468,-0.00638176857774148)); #99354=DIRECTION('ref_axis',(-0.499923847578171,0.865893503920774,0.0174524064369794)); #99355=DIRECTION('center_axis',(-0.500319794129473,0.865666112858789,0.0173863351571536)); #99356=DIRECTION('ref_axis',(-0.858115303576142,-0.493079328778929,-0.143216274561754)); #99357=DIRECTION('center_axis',(0.499923847578195,-0.865893503920754,-0.0174524064372835)); #99358=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #99359=DIRECTION('center_axis',(-0.0053668799468578,-0.0232482045856735, 0.999715318269746)); #99360=DIRECTION('ref_axis',(0.828893450933235,0.559134206170173,0.0174524064370493)); #99361=DIRECTION('center_axis',(-0.0759392823066994,0.669452135962083,-0.738963505904417)); #99362=DIRECTION('ref_axis',(-0.813797681349304,-0.469846310392981,-0.342020143325798)); #99363=DIRECTION('center_axis',(0.304358391258156,0.156784091229758,-0.939566239499912)); #99364=DIRECTION('ref_axis',(-0.813797681349375,-0.469846310392946,-0.342020143325676)); #99365=DIRECTION('center_axis',(-0.304358391258141,-0.15678409122977,0.939566239499915)); #99366=DIRECTION('ref_axis',(-0.926181291803475,0.279215566799856,-0.253430230970737)); #99367=DIRECTION('center_axis',(0.304358391258126,0.156784091229784,-0.939566239499918)); #99368=DIRECTION('ref_axis',(-0.813797681349377,-0.469846310392952,-0.342020143325663)); #99369=DIRECTION('',(0.304358391258141,0.15678409122977,-0.939566239499915)); #99370=DIRECTION('',(-0.304358391258141,-0.15678409122977,0.939566239499915)); #99371=DIRECTION('center_axis',(0.406322834096601,-0.0392448318785655,-0.912886410054684)); #99372=DIRECTION('ref_axis',(-0.813797681349408,-0.46984631039286,-0.342020143325717)); #99373=DIRECTION('center_axis',(0.814011469814579,0.469443879526076,0.342063986683509)); #99374=DIRECTION('ref_axis',(-0.46680742782669,0.879166580398352,-0.0956919496953487)); #99375=DIRECTION('center_axis',(-0.813797681349374,-0.469846310392954,-0.342020143325669)); #99376=DIRECTION('ref_axis',(-0.467180031138153,0.878951578145209,-0.0958485356268003)); #99377=DIRECTION('center_axis',(-0.813797681349374,-0.469846310392954,-0.342020143325669)); #99378=DIRECTION('ref_axis',(0.5,-0.866025403784439,2.77555756156289E-17)); #99379=DIRECTION('center_axis',(0.,0.,1.)); #99380=DIRECTION('ref_axis',(-0.934610228112756,-0.355673616546156,0.)); #99381=DIRECTION('center_axis',(0.43733211295988,0.893078174639999,0.105603015845825)); #99382=DIRECTION('ref_axis',(-0.0624487925979298,-0.0869856294958703,0.994250294726769)); #99383=DIRECTION('center_axis',(0.50031700787335,-0.865664506899856,0.0175457438283007)); #99384=DIRECTION('ref_axis',(-0.846366133923792,-0.493236401634476,-0.200953276782361)); #99385=DIRECTION('center_axis',(0.866069212102548,0.499810234551753,-0.0106700180596479)); #99386=DIRECTION('ref_axis',(0.0624487492543109,-0.086985554433068,0.99425030401632)); #99387=DIRECTION('center_axis',(-0.499923847578195,0.865893503920754,-0.0174524064372836)); #99388=DIRECTION('ref_axis',(-0.846598421710707,-0.492834278709725,-0.200961404467636)); #99389=DIRECTION('center_axis',(-0.0228135716489924,0.0069782145600964, 0.999715382231448)); #99390=DIRECTION('ref_axis',(0.499923847578188,-0.865893503920758,0.0174524064372937)); #99391=DIRECTION('center_axis',(0.,0.,1.)); #99392=DIRECTION('ref_axis',(1.,0.,0.)); #99393=DIRECTION('center_axis',(-0.437332112970397,0.893078174634844,0.105603015845876)); #99394=DIRECTION('ref_axis',(0.0624487925975605,-0.0869856294958445,0.994250294726795)); #99395=DIRECTION('center_axis',(-0.499923847578195,0.865893503920754,-0.0174524064372836)); #99396=DIRECTION('ref_axis',(-0.866025403784439,-0.5,0.)); #99397=DIRECTION('center_axis',(-0.00152143358328577,0.996192912568909, 0.0871628716101371)); #99398=DIRECTION('ref_axis',(0.00477178536195541,-0.0871547478033429,0.996183356616539)); #99399=DIRECTION('center_axis',(-0.0174500911009635,-0.0162884581886645, 0.999715049626845)); #99400=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372942)); #99401=DIRECTION('center_axis',(0.,1.,0.)); #99402=DIRECTION('ref_axis',(0.,0.,-1.)); #99403=DIRECTION('center_axis',(-0.997586861743265,0.0685876318229998,0.0107791483029058)); #99404=DIRECTION('ref_axis',(0.0047717851753956,-0.0871547504767651,0.996183356383539)); #99405=DIRECTION('center_axis',(-0.999847695156391,-1.79536957100953E-16, -0.0174524064372835)); #99406=DIRECTION('ref_axis',(1.79564305614437E-16,-1.,0.)); #99407=DIRECTION('center_axis',(1.,0.,0.)); #99408=DIRECTION('ref_axis',(0.,-1.,0.)); #99409=DIRECTION('center_axis',(0.557676308114686,-0.823475664228259,-0.104331039443695)); #99410=DIRECTION('ref_axis',(0.0575782680761565,-0.0870111509673082,0.994542006479714)); #99411=DIRECTION('center_axis',(0.,1.,0.)); #99412=DIRECTION('ref_axis',(0.,0.,-1.)); #99413=DIRECTION('center_axis',(0.997586861743863,0.0685876318145098,0.0107791483015741)); #99414=DIRECTION('ref_axis',(-0.00477178517473988,-0.0871547504767985,0.996183356383539)); #99415=DIRECTION('center_axis',(0.500319794129473,0.865666112858789,0.0173863351571537)); #99416=DIRECTION('ref_axis',(0.858115303576142,-0.493079328778929,-0.143216274561754)); #99417=DIRECTION('center_axis',(-0.865952065843846,0.500086285245453,-0.006381768577693)); #99418=DIRECTION('ref_axis',(-0.0575782680762014,-0.0870111509672619,0.994542006479715)); #99419=DIRECTION('center_axis',(-0.499923847578195,-0.865893503920754,-0.0174524064372835)); #99420=DIRECTION('ref_axis',(0.858346035845652,-0.492679899416408,-0.143208238097857)); #99421=DIRECTION('center_axis',(0.00536687994695983,-0.0232482045857534, 0.999715318269743)); #99422=DIRECTION('ref_axis',(0.499923847578197,0.865893503920753,0.0174524064373118)); #99423=DIRECTION('center_axis',(1.,0.,0.)); #99424=DIRECTION('ref_axis',(0.,-1.,0.)); #99425=DIRECTION('center_axis',(-0.557676308114773,-0.823475664228201,-0.104331039443692)); #99426=DIRECTION('ref_axis',(-0.0575782680761841,-0.0870111509672886,0.994542006479714)); #99427=DIRECTION('center_axis',(-0.499923847578195,-0.865893503920754,-0.0174524064372835)); #99428=DIRECTION('ref_axis',(0.866025403784439,-0.499999999999999,0.)); #99429=DIRECTION('center_axis',(0.688835849579935,0.225854698129108,-0.688835849579926)); #99430=DIRECTION('ref_axis',(0.311559236938434,-0.950226731826852,0.)); #99431=DIRECTION('center_axis',(-0.936183540887894,-0.351511561361222,0.)); #99432=DIRECTION('ref_axis',(0.,0.,-1.)); #99433=DIRECTION('center_axis',(0.695779632843341,0.17827339970053,-0.695779632843331)); #99434=DIRECTION('ref_axis',(0.24820338271711,-0.968707944020169,0.)); #99435=DIRECTION('center_axis',(0.707106781186546,0.,0.707106781186549)); #99436=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #99437=DIRECTION('center_axis',(-0.697190193824353,0.,-0.716886206894205)); #99438=DIRECTION('ref_axis',(0.716886206894205,0.,-0.697190193824353)); #99439=DIRECTION('center_axis',(0.,0.,-1.)); #99440=DIRECTION('ref_axis',(0.23165458809285,-0.97279810434413,0.)); #99441=DIRECTION('center_axis',(0.,0.,-1.)); #99442=DIRECTION('ref_axis',(-0.619816671245249,-0.784746643220892,0.)); #99443=DIRECTION('center_axis',(0.,0.,-1.)); #99444=DIRECTION('ref_axis',(0.231654588092856,-0.972798104344128,0.)); #99445=DIRECTION('',(0.,0.,-1.)); #99446=DIRECTION('',(0.,0.,1.)); #99447=DIRECTION('center_axis',(0.99574223483933,0.0921813525458216,0.)); #99448=DIRECTION('ref_axis',(0.0921813525458216,-0.99574223483933,0.)); #99449=DIRECTION('center_axis',(0.,0.,1.)); #99450=DIRECTION('ref_axis',(1.,0.,0.)); #99451=DIRECTION('center_axis',(0.995742234839329,-0.0921813525458254,-1.08808657058104E-15)); #99452=DIRECTION('ref_axis',(-0.0921813525458254,-0.995742234839329,0.)); #99453=DIRECTION('center_axis',(0.,0.,1.)); #99454=DIRECTION('ref_axis',(-1.60812264967664E-16,-1.,0.)); #99455=DIRECTION('center_axis',(0.,0.,-1.)); #99456=DIRECTION('ref_axis',(-1.60812264967664E-16,-1.,0.)); #99457=DIRECTION('center_axis',(0.,0.,1.)); #99458=DIRECTION('ref_axis',(-0.231654588092854,-0.972798104344129,0.)); #99459=DIRECTION('center_axis',(0.,0.,1.)); #99460=DIRECTION('ref_axis',(0.619816671245249,-0.784746643220892,0.)); #99461=DIRECTION('center_axis',(0.,0.,1.)); #99462=DIRECTION('ref_axis',(-0.231654588092857,-0.972798104344128,0.)); #99463=DIRECTION('',(0.,0.,1.)); #99464=DIRECTION('',(0.,0.,-1.)); #99465=DIRECTION('center_axis',(0.695779632843332,-0.178273399700526,0.695779632843341)); #99466=DIRECTION('ref_axis',(-0.248203382717108,-0.96870794402017,0.)); #99467=DIRECTION('center_axis',(0.688835849579937,-0.225854698129106,0.688835849579925)); #99468=DIRECTION('ref_axis',(-0.31155923693843,-0.950226731826854,0.)); #99469=DIRECTION('center_axis',(-0.707106781186546,8.5994783063568E-17, 0.707106781186549)); #99470=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546)); #99471=DIRECTION('center_axis',(0.697190193824353,-4.23943887027685E-17, -0.716886206894205)); #99472=DIRECTION('ref_axis',(-0.716886206894205,0.,-0.697190193824353)); #99473=DIRECTION('center_axis',(0.936183540887896,-0.351511561361218,0.)); #99474=DIRECTION('ref_axis',(-0.351511561361218,-0.936183540887896,0.)); #99475=DIRECTION('center_axis',(0.,0.,-1.)); #99476=DIRECTION('ref_axis',(-1.,0.,0.)); #99477=DIRECTION('center_axis',(0.351511561361225,-0.936183540887893,-1.53644184584714E-15)); #99478=DIRECTION('ref_axis',(-0.936183540887893,-0.351511561361225,0.)); #99479=DIRECTION('center_axis',(0.,0.,1.)); #99480=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #99481=DIRECTION('center_axis',(0.,0.,-1.)); #99482=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #99483=DIRECTION('center_axis',(0.225854698129125,-0.688835849579945,-0.688835849579911)); #99484=DIRECTION('ref_axis',(-0.950226731826846,-0.311559236938452,0.)); #99485=DIRECTION('center_axis',(0.178273399700529,-0.695779632843345,-0.695779632843327)); #99486=DIRECTION('ref_axis',(-0.96870794402017,-0.248203382717107,0.)); #99487=DIRECTION('center_axis',(0.,-0.707106781186544,0.707106781186551)); #99488=DIRECTION('ref_axis',(0.,-0.707106781186551,-0.707106781186544)); #99489=DIRECTION('center_axis',(0.,0.697190193824351,-0.716886206894207)); #99490=DIRECTION('ref_axis',(0.,-0.716886206894206,-0.697190193824351)); #99491=DIRECTION('center_axis',(0.,0.,-1.)); #99492=DIRECTION('ref_axis',(-0.972798104344126,-0.231654588092867,0.)); #99493=DIRECTION('center_axis',(0.,0.,-1.)); #99494=DIRECTION('ref_axis',(-0.784746643220897,0.619816671245243,0.)); #99495=DIRECTION('center_axis',(0.,0.,-1.)); #99496=DIRECTION('ref_axis',(-0.972798104344126,-0.231654588092867,0.)); #99497=DIRECTION('',(0.,0.,-1.)); #99498=DIRECTION('',(0.,0.,1.)); #99499=DIRECTION('center_axis',(0.0921813525458294,-0.995742234839329,0.)); #99500=DIRECTION('ref_axis',(-0.995742234839329,-0.0921813525458293,0.)); #99501=DIRECTION('center_axis',(0.,0.,1.)); #99502=DIRECTION('ref_axis',(-1.,0.,0.)); #99503=DIRECTION('center_axis',(-0.0921813525458294,-0.995742234839329, 0.)); #99504=DIRECTION('ref_axis',(-0.995742234839329,0.0921813525458293,0.)); #99505=DIRECTION('center_axis',(0.,0.,1.)); #99506=DIRECTION('ref_axis',(-1.,0.,0.)); #99507=DIRECTION('center_axis',(0.,0.,-1.)); #99508=DIRECTION('ref_axis',(-1.,0.,0.)); #99509=DIRECTION('center_axis',(0.,0.,-1.)); #99510=DIRECTION('ref_axis',(-1.,0.,0.)); #99511=DIRECTION('center_axis',(0.,0.,1.)); #99512=DIRECTION('ref_axis',(-0.972798104344125,0.231654588092868,0.)); #99513=DIRECTION('center_axis',(0.,0.,1.)); #99514=DIRECTION('ref_axis',(-0.784746643220897,-0.619816671245243,0.)); #99515=DIRECTION('center_axis',(0.,0.,1.)); #99516=DIRECTION('ref_axis',(-0.972798104344126,0.231654588092867,0.)); #99517=DIRECTION('',(0.,0.,1.)); #99518=DIRECTION('',(0.,0.,-1.)); #99519=DIRECTION('center_axis',(-0.178273399700525,-0.695779632843337,0.695779632843337)); #99520=DIRECTION('ref_axis',(-0.968707944020171,0.248203382717105,0.)); #99521=DIRECTION('center_axis',(-0.225854698129124,-0.688835849579937,0.68883584957992)); #99522=DIRECTION('ref_axis',(-0.950226731826846,0.311559236938453,0.)); #99523=DIRECTION('center_axis',(0.,0.707106781186544,0.707106781186551)); #99524=DIRECTION('ref_axis',(0.,0.707106781186551,-0.707106781186544)); #99525=DIRECTION('center_axis',(0.,-0.697190193824351,-0.716886206894207)); #99526=DIRECTION('ref_axis',(0.,0.716886206894206,-0.697190193824351)); #99527=DIRECTION('center_axis',(-0.351511561361225,-0.936183540887893,0.)); #99528=DIRECTION('ref_axis',(-0.936183540887893,0.351511561361225,0.)); #99529=DIRECTION('center_axis',(0.,0.,-1.)); #99530=DIRECTION('ref_axis',(-1.,0.,0.)); #99531=DIRECTION('center_axis',(-0.936183540887892,-0.351511561361228,4.09201780460177E-15)); #99532=DIRECTION('ref_axis',(-0.351511561361228,0.936183540887892,0.)); #99533=DIRECTION('center_axis',(0.,0.,1.)); #99534=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #99535=DIRECTION('center_axis',(0.,0.,-1.)); #99536=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #99537=DIRECTION('center_axis',(-0.688835849579936,-0.225854698129105,-0.688835849579926)); #99538=DIRECTION('ref_axis',(-0.31155923693843,0.950226731826854,0.)); #99539=DIRECTION('center_axis',(-0.695779632843341,-0.17827339970053,-0.695779632843331)); #99540=DIRECTION('ref_axis',(-0.24820338271711,0.968707944020169,0.)); #99541=DIRECTION('center_axis',(-0.707106781186546,0.,0.707106781186549)); #99542=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546)); #99543=DIRECTION('center_axis',(0.697190193824353,0.,-0.716886206894205)); #99544=DIRECTION('ref_axis',(-0.716886206894205,0.,-0.697190193824353)); #99545=DIRECTION('center_axis',(0.,0.,-1.)); #99546=DIRECTION('ref_axis',(-0.231654588092852,0.972798104344129,0.)); #99547=DIRECTION('center_axis',(0.,0.,-1.)); #99548=DIRECTION('ref_axis',(0.61981667124525,0.784746643220891,0.)); #99549=DIRECTION('center_axis',(0.,0.,-1.)); #99550=DIRECTION('ref_axis',(-0.231654588092857,0.972798104344128,0.)); #99551=DIRECTION('',(0.,0.,-1.)); #99552=DIRECTION('',(0.,0.,1.)); #99553=DIRECTION('center_axis',(-0.99574223483933,-0.0921813525458206,-1.63212985587156E-15)); #99554=DIRECTION('ref_axis',(-0.0921813525458206,0.99574223483933,0.)); #99555=DIRECTION('center_axis',(0.,0.,1.)); #99556=DIRECTION('ref_axis',(1.,0.,0.)); #99557=DIRECTION('center_axis',(-0.995742234839329,0.0921813525458254,-1.08808657058104E-15)); #99558=DIRECTION('ref_axis',(0.0921813525458254,0.995742234839329,0.)); #99559=DIRECTION('center_axis',(0.,0.,1.)); #99560=DIRECTION('ref_axis',(6.12323399573676E-17,1.,0.)); #99561=DIRECTION('center_axis',(0.,0.,-1.)); #99562=DIRECTION('ref_axis',(6.12323399573676E-17,1.,0.)); #99563=DIRECTION('center_axis',(0.,0.,1.)); #99564=DIRECTION('ref_axis',(0.231654588092857,0.972798104344128,0.)); #99565=DIRECTION('center_axis',(0.,0.,1.)); #99566=DIRECTION('ref_axis',(-0.619816671245252,0.784746643220891,0.)); #99567=DIRECTION('center_axis',(0.,0.,1.)); #99568=DIRECTION('ref_axis',(0.231654588092857,0.972798104344128,0.)); #99569=DIRECTION('',(0.,0.,1.)); #99570=DIRECTION('',(0.,0.,-1.)); #99571=DIRECTION('center_axis',(-0.695779632843331,0.17827339970053,0.695779632843341)); #99572=DIRECTION('ref_axis',(0.248203382717113,0.968707944020169,0.)); #99573=DIRECTION('center_axis',(-0.688835849579925,0.225854698129102,0.688835849579938)); #99574=DIRECTION('ref_axis',(0.31155923693843,0.950226731826854,0.)); #99575=DIRECTION('center_axis',(0.707106781186546,-2.57984349190704E-16, 0.707106781186549)); #99576=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #99577=DIRECTION('center_axis',(-0.697190193824353,1.27183166108306E-16, -0.716886206894205)); #99578=DIRECTION('ref_axis',(0.716886206894205,0.,-0.697190193824353)); #99579=DIRECTION('center_axis',(-0.936183540887897,0.351511561361216,2.0460089023009E-15)); #99580=DIRECTION('ref_axis',(0.351511561361216,0.936183540887896,0.)); #99581=DIRECTION('center_axis',(0.,0.,-1.)); #99582=DIRECTION('ref_axis',(-1.,0.,0.)); #99583=DIRECTION('center_axis',(-0.351511561361226,0.936183540887893,0.)); #99584=DIRECTION('ref_axis',(0.936183540887893,0.351511561361226,0.)); #99585=DIRECTION('center_axis',(0.,0.,1.)); #99586=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #99587=DIRECTION('center_axis',(0.,0.,-1.)); #99588=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #99589=DIRECTION('center_axis',(-0.22585469812912,0.688835849579937,-0.68883584957992)); #99590=DIRECTION('ref_axis',(0.950226731826848,0.311559236938448,0.)); #99591=DIRECTION('center_axis',(-0.178273399700528,0.695779632843345,-0.695779632843328)); #99592=DIRECTION('ref_axis',(0.96870794402017,0.248203382717107,0.)); #99593=DIRECTION('center_axis',(-8.59947830635682E-17,0.707106781186548, 0.707106781186548)); #99594=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186547)); #99595=DIRECTION('center_axis',(0.,-0.697190193824355,-0.716886206894204)); #99596=DIRECTION('ref_axis',(0.,0.716886206894203,-0.697190193824355)); #99597=DIRECTION('center_axis',(0.,0.,-1.)); #99598=DIRECTION('ref_axis',(0.972798104344126,0.231654588092867,0.)); #99599=DIRECTION('center_axis',(0.,0.,-1.)); #99600=DIRECTION('ref_axis',(0.78474664322087,-0.619816671245278,0.)); #99601=DIRECTION('center_axis',(0.,0.,-1.)); #99602=DIRECTION('ref_axis',(0.972798104344126,0.231654588092867,0.)); #99603=DIRECTION('',(0.,0.,-1.)); #99604=DIRECTION('',(0.,0.,1.)); #99605=DIRECTION('center_axis',(-0.0921813525458294,0.995742234839329,0.)); #99606=DIRECTION('ref_axis',(0.995742234839329,0.0921813525458293,0.)); #99607=DIRECTION('center_axis',(0.,0.,1.)); #99608=DIRECTION('ref_axis',(1.,0.,0.)); #99609=DIRECTION('center_axis',(0.0921813525458294,0.995742234839329,0.)); #99610=DIRECTION('ref_axis',(0.995742234839329,-0.0921813525458293,0.)); #99611=DIRECTION('center_axis',(0.,0.,1.)); #99612=DIRECTION('ref_axis',(1.,0.,0.)); #99613=DIRECTION('center_axis',(0.,0.,-1.)); #99614=DIRECTION('ref_axis',(1.,0.,0.)); #99615=DIRECTION('center_axis',(0.,0.,1.)); #99616=DIRECTION('ref_axis',(0.972798104344126,-0.231654588092867,0.)); #99617=DIRECTION('center_axis',(0.,0.,1.)); #99618=DIRECTION('ref_axis',(0.78474664322087,0.619816671245278,0.)); #99619=DIRECTION('center_axis',(0.,0.,1.)); #99620=DIRECTION('ref_axis',(0.972798104344126,-0.231654588092867,0.)); #99621=DIRECTION('',(0.,0.,1.)); #99622=DIRECTION('',(0.,0.,-1.)); #99623=DIRECTION('center_axis',(0.178273399700525,0.695779632843337,0.695779632843337)); #99624=DIRECTION('ref_axis',(0.968707944020171,-0.248203382717105,0.)); #99625=DIRECTION('center_axis',(0.225854698129123,0.688835849579937,0.68883584957992)); #99626=DIRECTION('ref_axis',(0.950226731826846,-0.311559236938452,0.)); #99627=DIRECTION('center_axis',(-8.59947830635682E-17,-0.707106781186548, 0.707106781186548)); #99628=DIRECTION('ref_axis',(0.,-0.707106781186547,-0.707106781186547)); #99629=DIRECTION('center_axis',(0.,0.697190193824355,-0.716886206894204)); #99630=DIRECTION('ref_axis',(0.,-0.716886206894203,-0.697190193824355)); #99631=DIRECTION('center_axis',(0.351511561361226,0.936183540887893,-1.53644184584714E-15)); #99632=DIRECTION('ref_axis',(0.936183540887893,-0.351511561361226,0.)); #99633=DIRECTION('center_axis',(0.,0.,-1.)); #99634=DIRECTION('ref_axis',(-1.,0.,0.)); #99635=DIRECTION('center_axis',(0.,0.,1.)); #99636=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #99637=DIRECTION('center_axis',(0.,0.,-1.)); #99638=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #99639=DIRECTION('center_axis',(0.707106781186546,0.,-0.707106781186549)); #99640=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546)); #99641=DIRECTION('',(0.,1.,0.)); #99642=DIRECTION('center_axis',(0.707106781186546,0.,-0.707106781186549)); #99643=DIRECTION('ref_axis',(-0.707106781186549,-2.60731060623715E-15,-0.707106781186546)); #99644=DIRECTION('',(0.,-1.,0.)); #99645=DIRECTION('center_axis',(0.,-1.,0.)); #99646=DIRECTION('ref_axis',(0.923879532511287,0.,-0.38268343236509)); #99647=DIRECTION('',(0.,-1.,0.)); #99648=DIRECTION('center_axis',(-1.,0.,0.)); #99649=DIRECTION('ref_axis',(0.,-1.,0.)); #99650=DIRECTION('',(0.,1.,0.)); #99651=DIRECTION('center_axis',(0.,-1.,0.)); #99652=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #99653=DIRECTION('',(0.,-1.,0.)); #99654=DIRECTION('center_axis',(0.,0.,1.)); #99655=DIRECTION('ref_axis',(1.,0.,0.)); #99656=DIRECTION('',(3.64844965505491E-16,1.,0.)); #99657=DIRECTION('center_axis',(0.,0.,-1.)); #99658=DIRECTION('ref_axis',(3.83201628165196E-17,1.,0.)); #99659=DIRECTION('center_axis',(3.64844965505491E-16,1.,0.)); #99660=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546)); #99661=DIRECTION('',(-3.64844965505491E-16,-1.,0.)); #99662=DIRECTION('center_axis',(1.,-3.64844965505491E-16,0.)); #99663=DIRECTION('ref_axis',(3.64844965505491E-16,1.,0.)); #99664=DIRECTION('',(3.64844965505491E-16,1.,0.)); #99665=DIRECTION('center_axis',(3.64844965505491E-16,1.,0.)); #99666=DIRECTION('ref_axis',(-0.923879532511287,0.,-0.38268343236509)); #99667=DIRECTION('',(-3.64844965505491E-16,-1.,0.)); #99668=DIRECTION('center_axis',(-0.707106781186546,2.57984349190704E-16, -0.707106781186549)); #99669=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186546)); #99670=DIRECTION('',(3.64844965505491E-16,1.,0.)); #99671=DIRECTION('center_axis',(-0.707106781186546,2.57984349190704E-16, -0.707106781186549)); #99672=DIRECTION('ref_axis',(0.70710678118655,8.69103535412383E-16,-0.707106781186545)); #99673=DIRECTION('center_axis',(-3.64844965505491E-16,-1.,0.)); #99674=DIRECTION('ref_axis',(-0.382683432365089,0.,-0.923879532511287)); #99675=DIRECTION('',(-3.64844965505491E-16,-1.,0.)); #99676=DIRECTION('center_axis',(0.,0.,1.)); #99677=DIRECTION('ref_axis',(1.,0.,0.)); #99678=DIRECTION('',(1.,1.2161498850183E-16,0.)); #99679=DIRECTION('center_axis',(0.,0.,-1.)); #99680=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #99681=DIRECTION('center_axis',(1.,1.2161498850183E-16,0.)); #99682=DIRECTION('ref_axis',(0.,-0.38268343236509,-0.923879532511287)); #99683=DIRECTION('',(-1.,-1.2161498850183E-16,-8.71576399210525E-33)); #99684=DIRECTION('center_axis',(8.59947830635682E-17,-0.707106781186548, -0.707106781186548)); #99685=DIRECTION('ref_axis',(-1.,0.,-1.2161498850183E-16)); #99686=DIRECTION('',(1.,1.2161498850183E-16,1.74315279842105E-32)); #99687=DIRECTION('center_axis',(8.59947830635682E-17,-0.707106781186548, -0.707106781186548)); #99688=DIRECTION('ref_axis',(2.93457900883665E-15,0.707106781186548,-0.707106781186548)); #99689=DIRECTION('center_axis',(-1.,-1.2161498850183E-16,-1.74315279842105E-32)); #99690=DIRECTION('ref_axis',(0.,-0.923879532511287,-0.38268343236509)); #99691=DIRECTION('',(-1.,-1.2161498850183E-16,-1.74315279842105E-32)); #99692=DIRECTION('center_axis',(-1.2161498850183E-16,1.,0.)); #99693=DIRECTION('ref_axis',(-1.,-1.2161498850183E-16,0.)); #99694=DIRECTION('',(1.,1.2161498850183E-16,0.)); #99695=DIRECTION('center_axis',(-1.,-1.2161498850183E-16,0.)); #99696=DIRECTION('ref_axis',(0.,0.707106781186544,0.707106781186551)); #99697=DIRECTION('',(-1.,-1.2161498850183E-16,0.)); #99698=DIRECTION('center_axis',(0.,0.,1.)); #99699=DIRECTION('ref_axis',(1.,0.,0.)); #99700=DIRECTION('',(1.,-1.2161498850183E-16,0.)); #99701=DIRECTION('center_axis',(0.,0.,-1.)); #99702=DIRECTION('ref_axis',(1.,0.,0.)); #99703=DIRECTION('center_axis',(1.,-1.2161498850183E-16,0.)); #99704=DIRECTION('ref_axis',(0.,-0.707106781186544,0.707106781186551)); #99705=DIRECTION('',(-1.,1.2161498850183E-16,0.)); #99706=DIRECTION('center_axis',(-1.2161498850183E-16,-1.,0.)); #99707=DIRECTION('ref_axis',(1.,-1.2161498850183E-16,0.)); #99708=DIRECTION('',(1.,-1.2161498850183E-16,1.74315279842105E-32)); #99709=DIRECTION('center_axis',(1.,-1.2161498850183E-16,1.74315279842105E-32)); #99710=DIRECTION('ref_axis',(0.,0.923879532511287,-0.38268343236509)); #99711=DIRECTION('',(-1.,1.2161498850183E-16,-1.74315279842105E-32)); #99712=DIRECTION('center_axis',(8.59947830635682E-17,0.707106781186548, -0.707106781186548)); #99713=DIRECTION('ref_axis',(-1.,0.,-1.2161498850183E-16)); #99714=DIRECTION('',(1.,-1.2161498850183E-16,8.71576399210525E-33)); #99715=DIRECTION('center_axis',(8.59947830635682E-17,0.707106781186548, -0.707106781186548)); #99716=DIRECTION('ref_axis',(-1.51325311055422E-15,-0.707106781186548,-0.707106781186548)); #99717=DIRECTION('center_axis',(-1.,1.2161498850183E-16,0.)); #99718=DIRECTION('ref_axis',(0.,0.38268343236509,-0.923879532511287)); #99719=DIRECTION('',(-1.,1.2161498850183E-16,0.)); #99720=DIRECTION('center_axis',(0.,0.,1.)); #99721=DIRECTION('ref_axis',(1.,0.,0.)); #99722=DIRECTION('',(0.,-1.,0.)); #99723=DIRECTION('center_axis',(0.,0.,-1.)); #99724=DIRECTION('ref_axis',(0.707106781186521,-0.707106781186575,0.)); #99725=DIRECTION('center_axis',(0.,-1.,0.)); #99726=DIRECTION('ref_axis',(-0.382683432365088,0.,-0.923879532511287)); #99727=DIRECTION('',(0.,1.,0.)); #99728=DIRECTION('center_axis',(0.,0.,1.)); #99729=DIRECTION('ref_axis',(1.,0.,0.)); #99730=DIRECTION('center_axis',(0.,0.,1.)); #99731=DIRECTION('ref_axis',(1.,0.,0.)); #99732=DIRECTION('',(0.,0.,-1.)); #99733=DIRECTION('center_axis',(0.,0.,1.)); #99734=DIRECTION('ref_axis',(1.,0.,0.)); #99735=DIRECTION('center_axis',(-0.288493672824429,-0.677041874901481,-0.677041874901515)); #99736=DIRECTION('ref_axis',(-0.921520600892492,0.388304962191865,0.00436330928474898)); #99737=DIRECTION('center_axis',(0.43814225930267,0.898905646112623,3.14326218985761E-14)); #99738=DIRECTION('ref_axis',(0.,3.49676543189028E-14,-1.)); #99739=DIRECTION('center_axis',(-0.22708796576423,-0.688633086558089,-0.688633086558106)); #99740=DIRECTION('ref_axis',(-0.950975238036133,0.309236249773869,0.00436330928473154)); #99741=DIRECTION('center_axis',(-0.00455715620046933,0.,-0.99998961610977)); #99742=DIRECTION('ref_axis',(-0.95745324160163,0.288555456851219,0.00436330928474898)); #99743=DIRECTION('center_axis',(-0.00455662850587937,-1.75035624776586E-14, -0.999989618514442)); #99744=DIRECTION('ref_axis',(-0.957564124763359,0.288187280250652,0.00436330928473144)); #99745=DIRECTION('center_axis',(-0.114591508284544,-0.99341269683303,0.)); #99746=DIRECTION('ref_axis',(-0.993403240260143,0.114590417455975,0.00436330928474895)); #99747=DIRECTION('center_axis',(0.,0.,-1.)); #99748=DIRECTION('ref_axis',(1.,0.,0.)); #99749=DIRECTION('center_axis',(0.114591508284544,-0.99341269683303,-5.00874531196952E-16)); #99750=DIRECTION('ref_axis',(-0.993403240260143,-0.114590417455975,0.00436330928474895)); #99751=DIRECTION('center_axis',(0.,0.,1.)); #99752=DIRECTION('ref_axis',(1.,0.,0.)); #99753=DIRECTION('center_axis',(0.00455662850586601,8.74182282567596E-14, 0.999989618514442)); #99754=DIRECTION('ref_axis',(-0.957564124763369,-0.288187280250617,0.00436330928474893)); #99755=DIRECTION('center_axis',(0.00455715620045869,3.49672912186181E-14, 0.99998961610977)); #99756=DIRECTION('ref_axis',(-0.957453241601632,-0.288555456851213,0.00436330928474889)); #99757=DIRECTION('center_axis',(0.227087965764195,-0.688633086558086,0.68863308655812)); #99758=DIRECTION('ref_axis',(-0.950975238036146,-0.309236249773827,0.00436330928473144)); #99759=DIRECTION('center_axis',(0.288493672824421,-0.677041874901484,0.677041874901516)); #99760=DIRECTION('ref_axis',(-0.921520600892497,-0.388304962191854,0.00436330928474886)); #99761=DIRECTION('center_axis',(0.438142259302668,-0.898905646112624,3.14326218985762E-14)); #99762=DIRECTION('ref_axis',(-0.898897089178745,-0.438138088504144,0.00436330928474893)); #99763=DIRECTION('center_axis',(0.,0.,1.)); #99764=DIRECTION('ref_axis',(1.,0.,0.)); #99765=DIRECTION('center_axis',(0.898905646112622,-0.438142259302672,1.96453886866101E-15)); #99766=DIRECTION('ref_axis',(-0.438138088504147,-0.898897089178743,0.00436330928474884)); #99767=DIRECTION('center_axis',(0.,0.,1.)); #99768=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #99769=DIRECTION('center_axis',(0.677041874901486,-0.288493672824445,-0.677041874901503)); #99770=DIRECTION('ref_axis',(-0.38830496219188,-0.921520600892486,0.00436330928474889)); #99771=DIRECTION('center_axis',(0.688633086558091,-0.227087965764225,-0.688633086558105)); #99772=DIRECTION('ref_axis',(-0.309236249773862,-0.950975238036135,0.00436330928473163)); #99773=DIRECTION('center_axis',(0.,-0.00455715620046935,-0.99998961610977)); #99774=DIRECTION('ref_axis',(-0.288555456851189,-0.957453241601639,0.00436330928474904)); #99775=DIRECTION('center_axis',(3.49672913027043E-14,-0.00455662850584822, -0.999989618514442)); #99776=DIRECTION('ref_axis',(-0.288187280250634,-0.957564124763365,0.00436330928469659)); #99777=DIRECTION('center_axis',(0.993412696833029,-0.11459150828455,-2.17108198617914E-15)); #99778=DIRECTION('ref_axis',(-0.114590417455981,-0.993403240260142,0.00436330928473129)); #99779=DIRECTION('center_axis',(0.,0.,-1.)); #99780=DIRECTION('ref_axis',(-1.,0.,0.)); #99781=DIRECTION('center_axis',(0.99341269683303,0.114591508284543,0.)); #99782=DIRECTION('ref_axis',(0.114590417455974,-0.993403240260143,0.00436330928473145)); #99783=DIRECTION('center_axis',(0.,0.,1.)); #99784=DIRECTION('ref_axis',(8.81101253216675E-17,1.,0.)); #99785=DIRECTION('center_axis',(-6.99345826054085E-14,0.00455662850587126, 0.999989618514442)); #99786=DIRECTION('ref_axis',(0.288187280250636,-0.957564124763364,0.00436330928474888)); #99787=DIRECTION('center_axis',(-1.74836456093093E-14,0.00455715620046375, 0.99998961610977)); #99788=DIRECTION('ref_axis',(0.288555456851176,-0.957453241601643,0.00436330928474874)); #99789=DIRECTION('center_axis',(0.688633086558087,0.227087965764227,0.688633086558109)); #99790=DIRECTION('ref_axis',(0.309236249773865,-0.950975238036134,0.00436330928473147)); #99791=DIRECTION('center_axis',(0.677041874901496,0.288493672824446,0.677041874901492)); #99792=DIRECTION('ref_axis',(0.388304962191876,-0.921520600892488,0.00436330928474906)); #99793=DIRECTION('center_axis',(0.898905646112616,0.438142259302684,5.89361660598298E-15)); #99794=DIRECTION('ref_axis',(0.438138088504159,-0.898897089178737,0.00436330928474898)); #99795=DIRECTION('center_axis',(0.,0.,1.)); #99796=DIRECTION('ref_axis',(1.,0.,0.)); #99797=DIRECTION('center_axis',(0.43814225930267,0.898905646112623,-1.91510088322485E-15)); #99798=DIRECTION('ref_axis',(0.898897089178744,-0.438138088504145,0.00436330928474895)); #99799=DIRECTION('center_axis',(0.,0.,-1.)); #99800=DIRECTION('ref_axis',(-0.707106781186588,0.707106781186507,0.)); #99801=DIRECTION('center_axis',(0.,0.,1.)); #99802=DIRECTION('ref_axis',(-0.707106781186588,0.707106781186507,0.)); #99803=DIRECTION('center_axis',(0.288493672824431,0.677041874901481,-0.677041874901514)); #99804=DIRECTION('ref_axis',(0.921520600892492,-0.388304962191866,0.004363309284749)); #99805=DIRECTION('center_axis',(0.227087965764217,0.688633086558099,-0.6886330865581)); #99806=DIRECTION('ref_axis',(0.950975238036144,-0.309236249773833,0.00436330928474902)); #99807=DIRECTION('center_axis',(0.,-0.707106781186544,-0.707106781186551)); #99808=DIRECTION('ref_axis',(0.,0.707106781186551,-0.707106781186544)); #99809=DIRECTION('center_axis',(0.00455715620046933,0.,-0.99998961610977)); #99810=DIRECTION('ref_axis',(0.95745324160163,-0.288555456851219,0.00436330928474898)); #99811=DIRECTION('center_axis',(0.00455662850587937,1.74836456513519E-14, -0.999989618514442)); #99812=DIRECTION('ref_axis',(0.957564124763359,-0.288187280250652,0.00436330928473144)); #99813=DIRECTION('center_axis',(0.114591508284544,0.99341269683303,0.)); #99814=DIRECTION('ref_axis',(0.993403240260143,-0.114590417455975,0.00436330928474895)); #99815=DIRECTION('center_axis',(0.,0.,-1.)); #99816=DIRECTION('ref_axis',(-1.,0.,0.)); #99817=DIRECTION('center_axis',(-0.114591508284544,0.99341269683303,-5.00874531196952E-16)); #99818=DIRECTION('ref_axis',(0.993403240260143,0.114590417455975,0.00436330928474895)); #99819=DIRECTION('center_axis',(0.,0.,-1.)); #99820=DIRECTION('ref_axis',(-1.,0.,0.)); #99821=DIRECTION('center_axis',(0.,0.,1.)); #99822=DIRECTION('ref_axis',(-1.,0.,0.)); #99823=DIRECTION('center_axis',(0.,0.,1.)); #99824=DIRECTION('ref_axis',(-1.,0.,0.)); #99825=DIRECTION('center_axis',(-0.00455662850586601,-8.74182282567596E-14, 0.999989618514442)); #99826=DIRECTION('ref_axis',(0.957564124763369,0.288187280250617,0.00436330928474893)); #99827=DIRECTION('center_axis',(-0.00455715620046396,-1.7483645609309E-14, 0.99998961610977)); #99828=DIRECTION('ref_axis',(0.957453241601632,0.288555456851213,0.00436330928474889)); #99829=DIRECTION('center_axis',(-0.227087965764196,0.688633086558086,0.68863308655812)); #99830=DIRECTION('ref_axis',(0.950975238036146,0.309236249773828,0.00436330928473146)); #99831=DIRECTION('center_axis',(-0.28849367282443,0.677041874901489,0.677041874901506)); #99832=DIRECTION('ref_axis',(0.921520600892494,0.388304962191861,0.00436330928474895)); #99833=DIRECTION('center_axis',(0.,0.707106781186544,-0.707106781186551)); #99834=DIRECTION('ref_axis',(0.,-0.707106781186551,-0.707106781186544)); #99835=DIRECTION('center_axis',(-0.438142259302668,0.898905646112624,3.14326218985762E-14)); #99836=DIRECTION('ref_axis',(0.898897089178745,0.438138088504144,0.00436330928474893)); #99837=DIRECTION('center_axis',(0.,0.,1.)); #99838=DIRECTION('ref_axis',(1.,0.,0.)); #99839=DIRECTION('center_axis',(-0.898905646112636,0.438142259302644,7.85815547464414E-15)); #99840=DIRECTION('ref_axis',(0.43813808850412,0.898897089178757,0.00436330928474874)); #99841=DIRECTION('center_axis',(0.,0.,-1.)); #99842=DIRECTION('ref_axis',(-0.707106781186519,-0.707106781186575,0.)); #99843=DIRECTION('center_axis',(0.,0.,1.)); #99844=DIRECTION('ref_axis',(-0.70710678118652,-0.707106781186575,0.)); #99845=DIRECTION('center_axis',(-0.677041874901495,0.288493672824449,-0.677041874901493)); #99846=DIRECTION('ref_axis',(0.38830496219188,0.921520600892486,0.00436330928474889)); #99847=DIRECTION('center_axis',(-0.688633086558093,0.227087965764236,-0.6886330865581)); #99848=DIRECTION('ref_axis',(0.309236249773859,0.950975238036136,0.00436330928474912)); #99849=DIRECTION('center_axis',(0.707106781186546,-8.5994783063568E-17, -0.707106781186549)); #99850=DIRECTION('ref_axis',(-0.70710678118655,-1.73820707082477E-15,-0.707106781186545)); #99851=DIRECTION('center_axis',(0.,0.00455715620046935,-0.99998961610977)); #99852=DIRECTION('ref_axis',(0.288555456851189,0.957453241601639,0.00436330928474904)); #99853=DIRECTION('center_axis',(-3.51266259131564E-14,0.0045566285058481, -0.999989618514442)); #99854=DIRECTION('ref_axis',(0.28818728025062,0.957564124763369,0.00436330928469645)); #99855=DIRECTION('center_axis',(-0.99341269683303,0.114591508284547,-1.08554099308957E-15)); #99856=DIRECTION('ref_axis',(0.114590417455978,0.993403240260142,0.00436330928474877)); #99857=DIRECTION('center_axis',(0.,0.,-1.)); #99858=DIRECTION('ref_axis',(-1.,0.,0.)); #99859=DIRECTION('center_axis',(-0.99341269683303,-0.114591508284544,0.)); #99860=DIRECTION('ref_axis',(-0.114590417455975,0.993403240260143,0.00436330928474893)); #99861=DIRECTION('center_axis',(0.,0.,-1.)); #99862=DIRECTION('ref_axis',(-1.37900087826816E-16,-1.,0.)); #99863=DIRECTION('center_axis',(0.,0.,1.)); #99864=DIRECTION('ref_axis',(-8.81101253216678E-17,-1.,0.)); #99865=DIRECTION('center_axis',(6.99345826054085E-14,-0.004556628505853, 0.999989618514442)); #99866=DIRECTION('ref_axis',(-0.288187280250638,0.957564124763363,0.0043633092847314)); #99867=DIRECTION('center_axis',(3.49672912186185E-14,-0.00455715620045865, 0.99998961610977)); #99868=DIRECTION('ref_axis',(-0.288555456851186,0.95745324160164,0.00436330928474889)); #99869=DIRECTION('center_axis',(-0.688633086558087,-0.227087965764226,0.688633086558109)); #99870=DIRECTION('ref_axis',(-0.309236249773864,0.950975238036134,0.00436330928473147)); #99871=DIRECTION('center_axis',(-0.677041874901496,-0.288493672824446,0.677041874901492)); #99872=DIRECTION('ref_axis',(-0.388304962191876,0.921520600892488,0.00436330928474906)); #99873=DIRECTION('center_axis',(-0.707106781186546,0.,-0.707106781186549)); #99874=DIRECTION('ref_axis',(0.707106781186549,2.60731060623715E-15,-0.707106781186546)); #99875=DIRECTION('center_axis',(-0.898905646112617,-0.438142259302682,5.89361660598298E-15)); #99876=DIRECTION('ref_axis',(-0.438138088504158,0.898897089178738,0.00436330928474899)); #99877=DIRECTION('center_axis',(0.,0.,1.)); #99878=DIRECTION('ref_axis',(1.,0.,0.)); #99879=DIRECTION('center_axis',(0.,0.,1.)); #99880=DIRECTION('ref_axis',(0.70710678118652,-0.707106781186575,0.)); #99881=DIRECTION('center_axis',(0.,-0.707106781186544,-0.707106781186551)); #99882=DIRECTION('ref_axis',(-1.,0.,0.)); #99883=DIRECTION('',(-1.,0.,0.)); #99884=DIRECTION('',(1.,0.,0.)); #99885=DIRECTION('center_axis',(-1.,0.,0.)); #99886=DIRECTION('ref_axis',(0.,-0.923879532511287,-0.38268343236509)); #99887=DIRECTION('',(-1.,0.,0.)); #99888=DIRECTION('center_axis',(0.,1.,0.)); #99889=DIRECTION('ref_axis',(-1.,0.,0.)); #99890=DIRECTION('',(1.,0.,0.)); #99891=DIRECTION('center_axis',(-1.,0.,0.)); #99892=DIRECTION('ref_axis',(0.,0.707106781186544,0.707106781186551)); #99893=DIRECTION('',(-1.,0.,0.)); #99894=DIRECTION('center_axis',(0.,0.,1.)); #99895=DIRECTION('ref_axis',(1.,0.,0.)); #99896=DIRECTION('',(1.,0.,0.)); #99897=DIRECTION('center_axis',(1.,0.,0.)); #99898=DIRECTION('ref_axis',(0.,-0.707106781186544,0.707106781186551)); #99899=DIRECTION('',(-1.,0.,0.)); #99900=DIRECTION('center_axis',(0.,-1.,0.)); #99901=DIRECTION('ref_axis',(1.,0.,0.)); #99902=DIRECTION('',(1.,0.,0.)); #99903=DIRECTION('center_axis',(1.,0.,0.)); #99904=DIRECTION('ref_axis',(0.,0.923879532511287,-0.38268343236509)); #99905=DIRECTION('',(-1.,0.,0.)); #99906=DIRECTION('center_axis',(0.,0.707106781186544,-0.707106781186551)); #99907=DIRECTION('ref_axis',(-1.,0.,0.)); #99908=DIRECTION('',(1.,0.,0.)); #99909=DIRECTION('center_axis',(-1.,0.,0.)); #99910=DIRECTION('ref_axis',(0.,0.38268343236509,-0.923879532511287)); #99911=DIRECTION('',(-1.,0.,0.)); #99912=DIRECTION('center_axis',(0.,0.,1.)); #99913=DIRECTION('ref_axis',(1.,0.,0.)); #99914=DIRECTION('',(1.2161498850183E-16,1.,0.)); #99915=DIRECTION('center_axis',(1.2161498850183E-16,1.,0.)); #99916=DIRECTION('ref_axis',(0.382683432365089,0.,-0.923879532511287)); #99917=DIRECTION('',(-1.2161498850183E-16,-1.,0.)); #99918=DIRECTION('center_axis',(0.707106781186546,-8.5994783063568E-17, -0.707106781186549)); #99919=DIRECTION('ref_axis',(-0.70710678118655,0.,-0.707106781186546)); #99920=DIRECTION('',(1.2161498850183E-16,1.,0.)); #99921=DIRECTION('center_axis',(-1.2161498850183E-16,-1.,0.)); #99922=DIRECTION('ref_axis',(0.923879532511287,0.,-0.38268343236509)); #99923=DIRECTION('',(-1.2161498850183E-16,-1.,0.)); #99924=DIRECTION('center_axis',(-1.,1.2161498850183E-16,0.)); #99925=DIRECTION('ref_axis',(-1.2161498850183E-16,-1.,0.)); #99926=DIRECTION('',(1.2161498850183E-16,1.,0.)); #99927=DIRECTION('center_axis',(-1.2161498850183E-16,-1.,0.)); #99928=DIRECTION('ref_axis',(-0.707106781186545,0.,0.707106781186549)); #99929=DIRECTION('',(-1.2161498850183E-16,-1.,0.)); #99930=DIRECTION('center_axis',(0.,0.,1.)); #99931=DIRECTION('ref_axis',(1.,0.,0.)); #99932=DIRECTION('',(0.,1.,0.)); #99933=DIRECTION('center_axis',(0.,1.,0.)); #99934=DIRECTION('ref_axis',(0.707106781186545,0.,0.70710678118655)); #99935=DIRECTION('',(0.,-1.,0.)); #99936=DIRECTION('center_axis',(1.,0.,0.)); #99937=DIRECTION('ref_axis',(0.,1.,0.)); #99938=DIRECTION('',(0.,1.,0.)); #99939=DIRECTION('center_axis',(0.,1.,0.)); #99940=DIRECTION('ref_axis',(-0.923879532511287,0.,-0.38268343236509)); #99941=DIRECTION('',(0.,-1.,0.)); #99942=DIRECTION('center_axis',(-0.707106781186546,0.,-0.707106781186549)); #99943=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186546)); #99944=DIRECTION('center_axis',(0.,0.,1.)); #99945=DIRECTION('ref_axis',(1.,-1.99132427784183E-16,0.)); #99946=DIRECTION('',(-0.00436330928474657,3.34525096119371E-19,0.999990480720735)); #99947=DIRECTION('center_axis',(0.,0.,-1.)); #99948=DIRECTION('ref_axis',(1.,0.,0.)); #99949=DIRECTION('center_axis',(0.,0.,-1.)); #99950=DIRECTION('ref_axis',(1.,0.,0.)); #99951=DIRECTION('center_axis',(0.,0.,1.)); #99952=DIRECTION('ref_axis',(1.,0.,0.)); #99953=DIRECTION('center_axis',(0.,0.,-1.)); #99954=DIRECTION('ref_axis',(1.,0.,0.)); #99955=DIRECTION('center_axis',(0.,-1.,0.)); #99956=DIRECTION('ref_axis',(-1.,0.,0.)); #99957=DIRECTION('center_axis',(0.,0.,1.)); #99958=DIRECTION('ref_axis',(1.,0.,0.)); #99959=DIRECTION('center_axis',(0.,0.,1.)); #99960=DIRECTION('ref_axis',(1.,0.,0.)); #99961=DIRECTION('',(-1.,2.47954741491043E-16,0.)); #99962=DIRECTION('center_axis',(0.,0.,-1.)); #99963=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #99964=DIRECTION('',(-1.,1.23977370745522E-16,0.)); #99965=DIRECTION('center_axis',(0.,0.,-1.)); #99966=DIRECTION('ref_axis',(7.47663661423904E-16,1.,0.)); #99967=DIRECTION('center_axis',(0.,0.,1.)); #99968=DIRECTION('ref_axis',(1.,-1.99132427784183E-16,0.)); #99969=DIRECTION('',(0.0174524064372835,-1.33803669644878E-18,-0.999847695156391)); #99970=DIRECTION('center_axis',(0.,0.,1.)); #99971=DIRECTION('ref_axis',(1.,0.,0.)); #99972=DIRECTION('center_axis',(-0.0892849847577961,-0.996006120210514, -1.56104324143956E-15)); #99973=DIRECTION('ref_axis',(0.995968195349389,-0.089281585059161,0.00872653549836309)); #99974=DIRECTION('center_axis',(0.,0.,1.)); #99975=DIRECTION('ref_axis',(0.999853250662103,0.017131174519749,0.)); #99976=DIRECTION('center_axis',(-0.123965603820792,0.992286515613987,1.08369659545988E-15)); #99977=DIRECTION('ref_axis',(8.74191357972569E-15,0.,1.)); #99978=DIRECTION('center_axis',(0.,0.,-1.)); #99979=DIRECTION('ref_axis',(0.999853250662103,0.017131174519749,0.)); #99980=DIRECTION('center_axis',(-0.0087262747620026,-0.00773021614992709, 0.999932045634629)); #99981=DIRECTION('ref_axis',(0.999961923064171,0.,0.00872653549838014)); #99982=DIRECTION('center_axis',(0.00872627609911317,0.00771036988722613, -0.999932198852324)); #99983=DIRECTION('ref_axis',(0.123139663507894,0.99235098168408,0.00872653549838002)); #99984=DIRECTION('center_axis',(0.9808671871568,-0.194678096248928,0.)); #99985=DIRECTION('ref_axis',(0.19467068350355,0.980829838739858,0.00872653549838015)); #99986=DIRECTION('center_axis',(0.,0.,1.)); #99987=DIRECTION('ref_axis',(1.,0.,0.)); #99988=DIRECTION('center_axis',(0.195144767383051,-0.980774448975408,-1.7059386919983E-15)); #99989=DIRECTION('ref_axis',(0.980737104089652,0.195137336868266,0.00872653549838021)); #99990=DIRECTION('center_axis',(0.,0.,1.)); #99991=DIRECTION('ref_axis',(0.706941588577628,0.707271935212574,0.)); #99992=DIRECTION('center_axis',(0.,0.,-1.)); #99993=DIRECTION('ref_axis',(0.706941588577628,0.707271935212574,0.)); #99994=DIRECTION('center_axis',(-0.00879397158757541,-1.53752279282292E-16, 0.999961332284262)); #99995=DIRECTION('ref_axis',(0.992293183607162,0.123604552282506,0.00872653549838018)); #99996=DIRECTION('center_axis',(-0.00879436551356242,0.,0.999961328819877)); #99997=DIRECTION('ref_axis',(0.992248732384008,0.123960883590446,0.00872653549838006)); #99998=DIRECTION('center_axis',(0.,0.,1.)); #99999=DIRECTION('ref_axis',(1.,0.,0.)); #100000=DIRECTION('center_axis',(0.992699759137684,-0.120611725002109,-1.08476193812452E-15)); #100001=DIRECTION('ref_axis',(0.120607132477196,0.992661960172658,0.00872653549836269)); #100002=DIRECTION('center_axis',(0.,0.,1.)); #100003=DIRECTION('ref_axis',(3.85773103393101E-17,1.,0.)); #100004=DIRECTION('center_axis',(-0.992699759137684,-0.120611725002108, -1.08476193812452E-15)); #100005=DIRECTION('ref_axis',(-1.09273919746571E-15,0.,1.)); #100006=DIRECTION('center_axis',(0.,0.,-1.)); #100007=DIRECTION('ref_axis',(9.58543155671663E-18,1.,0.)); #100008=DIRECTION('center_axis',(0.00879436551356466,1.73293918726055E-14, 0.999961328819877)); #100009=DIRECTION('ref_axis',(1.74838271594511E-14,1.,-1.74838271594511E-14)); #100010=DIRECTION('center_axis',(-0.00879397158757316,1.76369033790747E-14, -0.999961332284262)); #100011=DIRECTION('ref_axis',(-0.992293183607162,0.123604552282505,0.0087265354983801)); #100012=DIRECTION('center_axis',(0.195144767383049,0.980774448975408,0.)); #100013=DIRECTION('ref_axis',(-0.980737104089652,0.195137336868264,0.00872653549838013)); #100014=DIRECTION('center_axis',(0.,0.,1.)); #100015=DIRECTION('ref_axis',(1.,0.,0.)); #100016=DIRECTION('center_axis',(0.980867187156801,0.194678096248924,-4.28732809165669E-15)); #100017=DIRECTION('ref_axis',(-0.194670683503545,0.980829838739859,0.00872653549837985)); #100018=DIRECTION('center_axis',(0.,0.,1.)); #100019=DIRECTION('ref_axis',(-0.706941588577628,0.707271935212574,0.)); #100020=DIRECTION('center_axis',(0.,0.,-1.)); #100021=DIRECTION('ref_axis',(-0.706941588577628,0.707271935212574,0.)); #100022=DIRECTION('center_axis',(0.00872627609911316,-0.00771036988722585, 0.999932198852324)); #100023=DIRECTION('ref_axis',(-0.123139663507891,0.992350981684081,0.00872653549837971)); #100024=DIRECTION('center_axis',(0.0087262747619851,-0.00773021614994681, 0.999932045634629)); #100025=DIRECTION('ref_axis',(-0.120607132477194,0.992661960172658,0.00872653549839735)); #100026=DIRECTION('center_axis',(0.,0.,1.)); #100027=DIRECTION('ref_axis',(-0.999847915835585,0.0174397591507601,0.)); #100028=DIRECTION('center_axis',(0.123965603820792,0.992286515613987,-1.08369659545988E-15)); #100029=DIRECTION('ref_axis',(-0.992248732384008,0.123960883590451,0.00872653549836288)); #100030=DIRECTION('center_axis',(0.,0.,1.)); #100031=DIRECTION('ref_axis',(-0.999853250662103,0.017131174519749,0.)); #100032=DIRECTION('center_axis',(0.0892849847577938,-0.996006120210514, 0.)); #100033=DIRECTION('ref_axis',(0.,0.,1.)); #100034=DIRECTION('center_axis',(0.,0.,-1.)); #100035=DIRECTION('ref_axis',(-0.999853250662103,0.0171311745197484,0.)); #100036=DIRECTION('center_axis',(0.,0.,1.)); #100037=DIRECTION('ref_axis',(1.,0.,0.)); #100038=DIRECTION('center_axis',(0.148256941805885,-0.988948875931596,0.)); #100039=DIRECTION('ref_axis',(0.,0.,-1.)); #100040=DIRECTION('center_axis',(0.,0.,1.)); #100041=DIRECTION('ref_axis',(1.11022302462516E-16,-1.,0.)); #100042=DIRECTION('center_axis',(-0.148256941805885,-0.988948875931596, 0.)); #100043=DIRECTION('ref_axis',(-0.988911219788709,0.148251296635825,-0.00872653549839757)); #100044=DIRECTION('center_axis',(0.0946653157558616,0.9955091551527,0.)); #100045=DIRECTION('ref_axis',(0.,0.,-1.)); #100046=DIRECTION('center_axis',(-1.,0.,0.)); #100047=DIRECTION('ref_axis',(0.,0.999961923064171,-0.00872653549838003)); #100048=DIRECTION('center_axis',(1.,0.,0.)); #100049=DIRECTION('ref_axis',(0.,0.,-1.)); #100050=DIRECTION('center_axis',(-0.0946653157558959,0.995509155152697, 3.48106200086824E-14)); #100051=DIRECTION('ref_axis',(0.995471249214479,0.0946617111907429,-0.00872653549838016)); #100052=DIRECTION('center_axis',(1.,-2.47954741491043E-16,0.)); #100053=DIRECTION('ref_axis',(0.,0.704014724455953,-0.7101853756233)); #100054=DIRECTION('center_axis',(-1.,0.,0.)); #100055=DIRECTION('ref_axis',(0.,0.999961923064171,-0.008726535498415)); #100056=DIRECTION('',(1.,-2.47954741491043E-16,0.)); #100057=DIRECTION('center_axis',(0.,0.,1.)); #100058=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #100059=DIRECTION('center_axis',(0.,0.,1.)); #100060=DIRECTION('ref_axis',(7.86005387650771E-16,1.,0.)); #100061=DIRECTION('center_axis',(0.00797900109355956,0.00872625770886282, 0.999930091540377)); #100062=DIRECTION('ref_axis',(-0.995968195349387,-0.089281585059191,0.00872653549838008)); #100063=DIRECTION('center_axis',(1.,-1.23977370745522E-16,0.)); #100064=DIRECTION('ref_axis',(0.,0.704014724455953,-0.7101853756233)); #100065=DIRECTION('center_axis',(1.,0.,0.)); #100066=DIRECTION('ref_axis',(0.,0.,-1.)); #100067=DIRECTION('',(1.,-1.23977370745522E-16,0.)); #100068=DIRECTION('center_axis',(-0.00797900109355822,0.00872625770888031, 0.999930091540377)); #100069=DIRECTION('ref_axis',(0.,-0.999961923064171,0.00872653549838003)); #100070=DIRECTION('center_axis',(-0.840511340522802,0.405499167076103,-0.35932034725736)); #100071=DIRECTION('ref_axis',(0.541793952026549,0.62907060373258,-0.557431151862704)); #100072=DIRECTION('center_axis',(-0.00751561207357806,-0.00872628903822436, -0.999933681528321)); #100073=DIRECTION('ref_axis',(-8.74191357972555E-15,0.999961923064171,-0.00872653549838003)); #100074=DIRECTION('center_axis',(-0.84051134052282,-0.405499167076062,0.359320347257363)); #100075=DIRECTION('ref_axis',(-0.541793952026546,0.629070603732551,-0.55743115186274)); #100076=DIRECTION('center_axis',(0.00751561207357806,-0.00872628903822436, -0.999933681528321)); #100077=DIRECTION('ref_axis',(0.,0.999961923064171,-0.00872653549838003)); #100078=DIRECTION('center_axis',(-0.831461414048881,0.406007942564245,-0.379248556388266)); #100079=DIRECTION('ref_axis',(-0.55558250237731,-0.607614416571904,0.567567444297284)); #100080=DIRECTION('center_axis',(1.,-2.47954741491043E-16,0.)); #100081=DIRECTION('ref_axis',(0.,-0.704014724455974,0.71018537562328)); #100082=DIRECTION('center_axis',(-0.835754507246877,-0.408657976729554, -0.36676022367732)); #100083=DIRECTION('ref_axis',(-0.549103272269014,0.62199182416598,0.558221969340278)); #100084=DIRECTION('center_axis',(1.,-1.34570470683317E-14,0.)); #100085=DIRECTION('ref_axis',(8.74191357972557E-15,0.707106781186565,0.70710678118653)); #100086=DIRECTION('center_axis',(-0.420763013330493,0.372735116604694,-0.827059259946183)); #100087=DIRECTION('ref_axis',(-0.619083475077528,0.548418335168191,0.562114739654887)); #100088=DIRECTION('center_axis',(0.,-1.,0.)); #100089=DIRECTION('ref_axis',(-0.704014724455978,0.,0.710185375623276)); #100090=DIRECTION('center_axis',(0.420763013330524,0.372735116604693,-0.827059259946168)); #100091=DIRECTION('ref_axis',(0.619083475077552,0.548418335168149,0.562114739654901)); #100092=DIRECTION('center_axis',(1.23459147415885E-16,-1.,0.)); #100093=DIRECTION('ref_axis',(0.704014724455978,0.,0.710185375623276)); #100094=DIRECTION('center_axis',(-0.835754507246865,0.408657976729598,0.366760223677298)); #100095=DIRECTION('ref_axis',(0.549103272269027,0.621991824166026,0.558221969340213)); #100096=DIRECTION('center_axis',(-1.,-9.38289520360732E-15,0.)); #100097=DIRECTION('ref_axis',(-8.74191357972568E-15,0.707106781186539,0.707106781186556)); #100098=DIRECTION('center_axis',(-0.831461414048903,-0.406007942564206, 0.37924855638826)); #100099=DIRECTION('ref_axis',(0.55558250237728,-0.607614416571908,0.567567444297309)); #100100=DIRECTION('center_axis',(-1.,1.23977370745522E-16,0.)); #100101=DIRECTION('ref_axis',(0.,-0.704014724455974,0.71018537562328)); #100102=DIRECTION('center_axis',(0.,-1.,0.)); #100103=DIRECTION('ref_axis',(-0.704014724455974,0.,0.71018537562328)); #100104=DIRECTION('',(0.,-1.,0.)); #100105=DIRECTION('',(0.,1.,0.)); #100106=DIRECTION('center_axis',(0.,0.,1.)); #100107=DIRECTION('ref_axis',(1.,0.,0.)); #100108=DIRECTION('',(-1.23459147415885E-16,1.,0.)); #100109=DIRECTION('center_axis',(0.,0.,-1.)); #100110=DIRECTION('ref_axis',(6.12323399573676E-17,1.,0.)); #100111=DIRECTION('center_axis',(-1.23459147415885E-16,1.,0.)); #100112=DIRECTION('ref_axis',(0.704014724455974,0.,0.71018537562328)); #100113=DIRECTION('',(1.23459147415885E-16,-1.,0.)); #100114=DIRECTION('center_axis',(0.999961923064171,1.23454446469852E-16, 0.00872653549837393)); #100115=DIRECTION('ref_axis',(-1.23459147415885E-16,1.,0.)); #100116=DIRECTION('',(-1.23459147415885E-16,1.,0.)); #100117=DIRECTION('center_axis',(-1.23459147415885E-16,1.,0.)); #100118=DIRECTION('ref_axis',(-0.70401472445597,0.,-0.710185375623284)); #100119=DIRECTION('',(1.23459147415885E-16,-1.,0.)); #100120=DIRECTION('center_axis',(0.,0.,1.)); #100121=DIRECTION('ref_axis',(1.,0.,0.)); #100122=DIRECTION('',(1.,9.38289520360732E-15,0.)); #100123=DIRECTION('center_axis',(0.,0.,-1.)); #100124=DIRECTION('ref_axis',(0.70691003955955,0.707303468088427,0.)); #100125=DIRECTION('center_axis',(-1.,-9.38289520360732E-15,0.)); #100126=DIRECTION('ref_axis',(6.18146637277076E-15,-0.707106781186548,-0.707106781186548)); #100127=DIRECTION('',(-1.,-9.38289520360732E-15,0.)); #100128=DIRECTION('center_axis',(-9.38289520360732E-15,1.,0.)); #100129=DIRECTION('ref_axis',(-1.,-9.38289520360732E-15,0.)); #100130=DIRECTION('',(1.,9.38289520360732E-15,0.)); #100131=DIRECTION('center_axis',(-1.,-9.38289520360732E-15,0.)); #100132=DIRECTION('ref_axis',(-1.23629327455416E-14,0.707106781186535,0.70710678118656)); #100133=DIRECTION('',(-1.,-9.38289520360732E-15,0.)); #100134=DIRECTION('center_axis',(0.,0.,1.)); #100135=DIRECTION('ref_axis',(1.,0.,0.)); #100136=DIRECTION('',(1.,-1.23977370745522E-16,0.)); #100137=DIRECTION('center_axis',(0.,0.,-1.)); #100138=DIRECTION('ref_axis',(0.999793823343791,0.0203054377840961,0.)); #100139=DIRECTION('center_axis',(1.,-1.23977370745522E-16,0.)); #100140=DIRECTION('ref_axis',(0.,-0.704014724455966,0.710185375623288)); #100141=DIRECTION('',(-1.,1.23977370745522E-16,0.)); #100142=DIRECTION('center_axis',(-1.23972650067131E-16,-0.999961923064171, 0.00872653549837393)); #100143=DIRECTION('ref_axis',(1.,-1.23977370745522E-16,0.)); #100144=DIRECTION('center_axis',(0.,0.,-1.)); #100145=DIRECTION('ref_axis',(7.47663661423903E-16,1.,0.)); #100146=DIRECTION('center_axis',(0.,0.,1.)); #100147=DIRECTION('ref_axis',(1.,0.,0.)); #100148=DIRECTION('center_axis',(0.,0.,1.)); #100149=DIRECTION('ref_axis',(1.,0.,0.)); #100150=DIRECTION('center_axis',(0.,-1.,0.)); #100151=DIRECTION('ref_axis',(-1.,0.,0.)); #100152=DIRECTION('center_axis',(0.,0.,-1.)); #100153=DIRECTION('ref_axis',(1.,0.,0.)); #100154=DIRECTION('center_axis',(0.,0.,1.)); #100155=DIRECTION('ref_axis',(1.,0.,0.)); #100156=DIRECTION('center_axis',(0.,0.,-1.)); #100157=DIRECTION('ref_axis',(1.,0.,0.)); #100158=DIRECTION('center_axis',(0.,0.,-1.)); #100159=DIRECTION('ref_axis',(1.,0.,0.)); #100160=DIRECTION('center_axis',(0.,0.,1.)); #100161=DIRECTION('ref_axis',(-0.999793823343791,0.0203054377840961,0.)); #100162=DIRECTION('',(0.00872653549837393,1.06869237657294E-18,0.999961923064171)); #100163=DIRECTION('center_axis',(0.,0.,1.)); #100164=DIRECTION('ref_axis',(-0.999793823343791,0.0203054377840961,0.)); #100165=DIRECTION('center_axis',(0.,0.,1.)); #100166=DIRECTION('ref_axis',(-0.70691003955955,0.707303468088426,0.)); #100167=DIRECTION('center_axis',(0.,0.,1.)); #100168=DIRECTION('ref_axis',(1.11022302462516E-16,1.,0.)); #100169=DIRECTION('center_axis',(0.,0.,1.)); #100170=DIRECTION('ref_axis',(0.70691003955955,0.707303468088426,0.)); #100171=DIRECTION('center_axis',(0.,0.,1.)); #100172=DIRECTION('ref_axis',(0.999793823343791,0.0203054377840961,0.)); #100173=DIRECTION('center_axis',(0.,0.,-1.)); #100174=DIRECTION('ref_axis',(-1.,0.,0.)); #100175=DIRECTION('center_axis',(0.,-1.,0.)); #100176=DIRECTION('ref_axis',(1.,0.,0.)); #100177=DIRECTION('center_axis',(-0.00755996786912087,-0.00872628612047693, -0.999933347186882)); #100178=DIRECTION('ref_axis',(0.,0.999961923064171,-0.00872653549838003)); #100179=DIRECTION('center_axis',(0.101643041005506,-0.99482093474914,0.)); #100180=DIRECTION('ref_axis',(0.,0.,-1.)); #100181=DIRECTION('center_axis',(0.,0.,-1.)); #100182=DIRECTION('ref_axis',(-1.,0.,0.)); #100183=DIRECTION('center_axis',(-0.141123851749597,-0.989991948688149, -1.23369251603299E-15)); #100184=DIRECTION('ref_axis',(8.74191357972569E-15,0.,-1.)); #100185=DIRECTION('center_axis',(0.,0.,-1.)); #100186=DIRECTION('ref_axis',(-0.999793823343791,0.0203054377840961,0.)); #100187=DIRECTION('center_axis',(0.00887468587665188,-7.75817369809015E-17, 0.999960619199872)); #100188=DIRECTION('ref_axis',(-8.74191357972554E-15,-1.,0.)); #100189=DIRECTION('center_axis',(0.00887369396571443,3.48111314409456E-14, 0.999960628002624)); #100190=DIRECTION('ref_axis',(-1.74838271594511E-14,-1.,3.49676543189021E-14)); #100191=DIRECTION('center_axis',(-0.222047522093551,-0.975035844434508, 0.)); #100192=DIRECTION('ref_axis',(0.,0.,-1.)); #100193=DIRECTION('center_axis',(0.,0.,-1.)); #100194=DIRECTION('ref_axis',(-1.,0.,0.)); #100195=DIRECTION('center_axis',(-0.975156619925861,-0.221516515444718, -6.39355117356696E-15)); #100196=DIRECTION('ref_axis',(6.55643518479427E-15,0.,-1.)); #100197=DIRECTION('center_axis',(0.,0.,-1.)); #100198=DIRECTION('ref_axis',(-0.70691003955955,0.707303468088427,0.)); #100199=DIRECTION('center_axis',(-0.00872630500586307,0.00726807860386836, -0.999935511237776)); #100200=DIRECTION('ref_axis',(0.999961923064171,0.,-0.00872653549838013)); #100201=DIRECTION('center_axis',(-0.0087263037198098,0.00728832657226986, -0.999935363870668)); #100202=DIRECTION('ref_axis',(0.999961923064171,0.,-0.00872653549838013)); #100203=DIRECTION('center_axis',(-0.990528711759644,-0.137305757999365, 5.41194774777489E-15)); #100204=DIRECTION('ref_axis',(-5.46369598732855E-15,0.,-1.)); #100205=DIRECTION('center_axis',(0.,0.,-1.)); #100206=DIRECTION('ref_axis',(-1.,0.,0.)); #100207=DIRECTION('center_axis',(-0.990528711759643,0.137305757999374,3.24716864866493E-15)); #100208=DIRECTION('ref_axis',(-3.27821759239713E-15,0.,-1.)); #100209=DIRECTION('center_axis',(-0.0087263037198098,-0.00728832657226954, 0.999935363870668)); #100210=DIRECTION('ref_axis',(-0.999961923064171,0.,-0.00872653549838013)); #100211=DIRECTION('center_axis',(-0.00872630500588056,-0.00726807860388322, 0.999935511237776)); #100212=DIRECTION('ref_axis',(-0.999961923064171,0.,-0.00872653549839762)); #100213=DIRECTION('center_axis',(-0.975156619925857,0.221516515444737,2.13118372452231E-15)); #100214=DIRECTION('ref_axis',(-2.18547839493142E-15,0.,-1.)); #100215=DIRECTION('center_axis',(0.,0.,-1.)); #100216=DIRECTION('ref_axis',(-1.,0.,0.)); #100217=DIRECTION('center_axis',(-0.222047522093552,0.975035844434508,0.)); #100218=DIRECTION('ref_axis',(0.,0.,-1.)); #100219=DIRECTION('center_axis',(0.00887369396576141,7.75730657816182E-17, -0.999960628002624)); #100220=DIRECTION('ref_axis',(8.74191357972554E-15,-1.,0.)); #100221=DIRECTION('center_axis',(0.00887468587664542,3.50438590016773E-14, -0.999960619199872)); #100222=DIRECTION('ref_axis',(8.74191357972554E-15,-1.,-3.49676543189021E-14)); #100223=DIRECTION('center_axis',(-0.141123851749597,0.989991948688149,0.)); #100224=DIRECTION('ref_axis',(0.,0.,-1.)); #100225=DIRECTION('center_axis',(0.,0.,-1.)); #100226=DIRECTION('ref_axis',(0.999802223751854,0.0198875182174007,0.)); #100227=DIRECTION('center_axis',(0.101643041005506,0.99482093474914,0.)); #100228=DIRECTION('ref_axis',(0.,0.,-1.)); #100229=DIRECTION('center_axis',(-0.00755996786910327,0.00872628612047693, 0.999933347186882)); #100230=DIRECTION('ref_axis',(0.,0.999961923064171,-0.00872653549838003)); #100231=DIRECTION('center_axis',(-0.999961923064171,0.,0.00872653549837393)); #100232=DIRECTION('ref_axis',(0.,-1.,0.)); #100233=DIRECTION('',(0.,-1.,0.)); #100234=DIRECTION('center_axis',(0.,-1.,0.)); #100235=DIRECTION('ref_axis',(0.70401472445597,0.,-0.710185375623284)); #100236=DIRECTION('',(0.,1.,0.)); #100237=DIRECTION('center_axis',(0.,0.,1.)); #100238=DIRECTION('ref_axis',(1.,0.,0.)); #100239=DIRECTION('',(1.,-1.34570470683317E-14,0.)); #100240=DIRECTION('center_axis',(-1.,1.34570470683317E-14,0.)); #100241=DIRECTION('ref_axis',(-1.03024439546179E-14,-0.707106781186548, -0.707106781186548)); #100242=DIRECTION('',(-1.,1.34570470683317E-14,0.)); #100243=DIRECTION('center_axis',(1.34570470683317E-14,1.,0.)); #100244=DIRECTION('ref_axis',(-1.,1.34570470683317E-14,0.)); #100245=DIRECTION('',(1.,-1.34570470683317E-14,0.)); #100246=DIRECTION('center_axis',(-1.,1.34570470683317E-14,0.)); #100247=DIRECTION('ref_axis',(1.23629327455412E-14,0.70710678118656,0.707106781186535)); #100248=DIRECTION('',(-1.,1.34570470683317E-14,0.)); #100249=DIRECTION('center_axis',(0.,0.,1.)); #100250=DIRECTION('ref_axis',(1.,0.,0.)); #100251=DIRECTION('',(1.,-2.47954741491043E-16,0.)); #100252=DIRECTION('center_axis',(1.,-2.47954741491043E-16,0.)); #100253=DIRECTION('ref_axis',(0.,-0.704014724455966,0.710185375623288)); #100254=DIRECTION('',(-1.,2.47954741491043E-16,0.)); #100255=DIRECTION('center_axis',(-2.47945300134263E-16,-0.999961923064171, 0.00872653549837393)); #100256=DIRECTION('ref_axis',(1.,-2.47954741491043E-16,0.)); #100257=DIRECTION('center_axis',(-0.642787609686539,0.766044443118979,0.)); #100258=DIRECTION('ref_axis',(-0.766044443118978,-0.642787609686539,0.)); #100259=DIRECTION('',(-0.766044443118978,-0.642787609686539,0.)); #100260=DIRECTION('',(0.,0.,1.)); #100261=DIRECTION('',(-0.766044443118978,-0.642787609686539,0.)); #100262=DIRECTION('',(0.,0.,1.)); #100263=DIRECTION('center_axis',(0.766044443118982,0.642787609686535,0.)); #100264=DIRECTION('ref_axis',(-0.642787609686535,0.766044443118982,0.)); #100265=DIRECTION('',(-0.642787609686535,0.766044443118982,0.)); #100266=DIRECTION('',(0.,0.,1.)); #100267=DIRECTION('',(-0.642787609686535,0.766044443118982,0.)); #100268=DIRECTION('center_axis',(0.642787609686539,-0.766044443118978,0.)); #100269=DIRECTION('ref_axis',(0.766044443118978,0.642787609686539,0.)); #100270=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #100271=DIRECTION('',(0.,0.,1.)); #100272=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #100273=DIRECTION('center_axis',(-0.225589662433119,0.974222410029307,0.)); #100274=DIRECTION('ref_axis',(-0.974222410029307,-0.225589662433119,0.)); #100275=DIRECTION('',(-0.974222410029307,-0.225589662433119,0.)); #100276=DIRECTION('',(0.,0.,1.)); #100277=DIRECTION('',(-0.974222410029307,-0.225589662433119,0.)); #100278=DIRECTION('center_axis',(0.766044443118981,0.642787609686536,0.)); #100279=DIRECTION('ref_axis',(-0.642787609686536,0.766044443118981,0.)); #100280=DIRECTION('',(-0.642787609686536,0.766044443118981,0.)); #100281=DIRECTION('',(0.,0.,1.)); #100282=DIRECTION('',(-0.642787609686536,0.766044443118981,0.)); #100283=DIRECTION('center_axis',(0.642787609686539,-0.766044443118979,0.)); #100284=DIRECTION('ref_axis',(0.766044443118978,0.642787609686539,0.)); #100285=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #100286=DIRECTION('',(0.,0.,1.)); #100287=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #100288=DIRECTION('center_axis',(-0.766044443118979,-0.642787609686538, 0.)); #100289=DIRECTION('ref_axis',(0.642787609686538,-0.766044443118979,0.)); #100290=DIRECTION('',(0.642787609686538,-0.766044443118979,0.)); #100291=DIRECTION('',(0.,0.,1.)); #100292=DIRECTION('',(0.642787609686538,-0.766044443118979,0.)); #100293=DIRECTION('center_axis',(-0.642787609686538,0.766044443118979,0.)); #100294=DIRECTION('ref_axis',(-0.766044443118979,-0.642787609686538,0.)); #100295=DIRECTION('',(-0.766044443118979,-0.642787609686538,0.)); #100296=DIRECTION('',(0.,0.,1.)); #100297=DIRECTION('',(-0.766044443118979,-0.642787609686538,0.)); #100298=DIRECTION('center_axis',(0.222144395371294,-0.975013778161684,0.)); #100299=DIRECTION('ref_axis',(0.975013778161684,0.222144395371294,0.)); #100300=DIRECTION('',(0.975013778161684,0.222144395371294,0.)); #100301=DIRECTION('',(0.,0.,1.)); #100302=DIRECTION('',(0.975013778161684,0.222144395371294,0.)); #100303=DIRECTION('center_axis',(-0.766044443118971,-0.642787609686548, 0.)); #100304=DIRECTION('ref_axis',(0.642787609686548,-0.766044443118971,0.)); #100305=DIRECTION('',(0.642787609686548,-0.766044443118971,0.)); #100306=DIRECTION('',(0.642787609686548,-0.766044443118971,0.)); #100307=DIRECTION('center_axis',(0.,0.,1.)); #100308=DIRECTION('ref_axis',(1.,0.,0.)); #100309=DIRECTION('center_axis',(-0.642787609686542,0.766044443118976,0.)); #100310=DIRECTION('ref_axis',(-0.766044443118976,-0.642787609686542,0.)); #100311=DIRECTION('',(-0.766044443118976,-0.642787609686542,0.)); #100312=DIRECTION('',(0.,0.,1.)); #100313=DIRECTION('',(-0.766044443118976,-0.642787609686542,0.)); #100314=DIRECTION('',(0.,0.,1.)); #100315=DIRECTION('center_axis',(0.766044443118968,0.642787609686552,0.)); #100316=DIRECTION('ref_axis',(-0.642787609686552,0.766044443118967,0.)); #100317=DIRECTION('',(-0.642787609686552,0.766044443118967,0.)); #100318=DIRECTION('',(0.,0.,1.)); #100319=DIRECTION('',(-0.642787609686552,0.766044443118967,0.)); #100320=DIRECTION('center_axis',(0.642787609686532,-0.766044443118985,0.)); #100321=DIRECTION('ref_axis',(0.766044443118985,0.642787609686531,0.)); #100322=DIRECTION('',(0.766044443118985,0.642787609686531,0.)); #100323=DIRECTION('',(0.,0.,1.)); #100324=DIRECTION('',(0.766044443118985,0.642787609686531,0.)); #100325=DIRECTION('center_axis',(-0.766044443118979,-0.642787609686538, 0.)); #100326=DIRECTION('ref_axis',(0.642787609686538,-0.766044443118979,0.)); #100327=DIRECTION('',(0.642787609686538,-0.766044443118979,0.)); #100328=DIRECTION('',(0.642787609686538,-0.766044443118979,0.)); #100329=DIRECTION('center_axis',(0.,0.,1.)); #100330=DIRECTION('ref_axis',(1.,0.,0.)); #100331=DIRECTION('center_axis',(-1.,0.,0.)); #100332=DIRECTION('ref_axis',(0.,-1.,0.)); #100333=DIRECTION('',(0.,-1.,0.)); #100334=DIRECTION('',(0.,0.,1.)); #100335=DIRECTION('',(0.,-1.,0.)); #100336=DIRECTION('',(0.,0.,1.)); #100337=DIRECTION('center_axis',(0.,1.,0.)); #100338=DIRECTION('ref_axis',(-1.,0.,0.)); #100339=DIRECTION('',(-1.,0.,0.)); #100340=DIRECTION('',(0.,0.,1.)); #100341=DIRECTION('',(-1.,0.,0.)); #100342=DIRECTION('center_axis',(1.,0.,0.)); #100343=DIRECTION('ref_axis',(0.,1.,0.)); #100344=DIRECTION('',(0.,1.,0.)); #100345=DIRECTION('',(0.,0.,1.)); #100346=DIRECTION('',(0.,1.,0.)); #100347=DIRECTION('center_axis',(0.,-1.,0.)); #100348=DIRECTION('ref_axis',(1.,0.,0.)); #100349=DIRECTION('',(1.,0.,0.)); #100350=DIRECTION('',(1.,0.,0.)); #100351=DIRECTION('center_axis',(0.,0.,1.)); #100352=DIRECTION('ref_axis',(1.,0.,0.)); #100353=DIRECTION('',(0.,0.,1.)); #100354=DIRECTION('',(0.,0.,1.)); #100355=DIRECTION('',(0.,0.,1.)); #100356=DIRECTION('',(0.,0.,1.)); #100357=DIRECTION('',(0.,0.,1.)); #100358=DIRECTION('',(0.,0.,1.)); #100359=DIRECTION('',(0.,0.,1.)); #100360=DIRECTION('',(0.,0.,1.)); #100361=DIRECTION('',(0.,0.,1.)); #100362=DIRECTION('',(0.,0.,1.)); #100363=DIRECTION('',(0.,0.,1.)); #100364=DIRECTION('',(0.,0.,1.)); #100365=DIRECTION('',(0.,0.,1.)); #100366=DIRECTION('',(0.,0.,1.)); #100367=DIRECTION('center_axis',(0.,0.,1.)); #100368=DIRECTION('ref_axis',(1.,0.,0.)); #100369=DIRECTION('center_axis',(-1.,0.,0.)); #100370=DIRECTION('ref_axis',(0.,-1.,0.)); #100371=DIRECTION('',(0.,-1.,0.)); #100372=DIRECTION('',(0.,0.,1.)); #100373=DIRECTION('',(0.,-1.,0.)); #100374=DIRECTION('',(0.,0.,1.)); #100375=DIRECTION('center_axis',(0.,1.,0.)); #100376=DIRECTION('ref_axis',(-1.,0.,0.)); #100377=DIRECTION('',(-1.,0.,0.)); #100378=DIRECTION('',(0.,0.,1.)); #100379=DIRECTION('',(-1.,0.,0.)); #100380=DIRECTION('center_axis',(1.,0.,0.)); #100381=DIRECTION('ref_axis',(0.,1.,0.)); #100382=DIRECTION('',(0.,1.,0.)); #100383=DIRECTION('',(0.,0.,1.)); #100384=DIRECTION('',(0.,1.,0.)); #100385=DIRECTION('center_axis',(0.,-1.,0.)); #100386=DIRECTION('ref_axis',(1.,0.,0.)); #100387=DIRECTION('',(1.,0.,0.)); #100388=DIRECTION('',(1.,0.,0.)); #100389=DIRECTION('center_axis',(0.,0.,1.)); #100390=DIRECTION('ref_axis',(1.,0.,0.)); #100391=DIRECTION('center_axis',(-1.,0.,0.)); #100392=DIRECTION('ref_axis',(0.,-1.,0.)); #100393=DIRECTION('',(0.,-1.,0.)); #100394=DIRECTION('',(0.,0.,1.)); #100395=DIRECTION('',(0.,-1.,0.)); #100396=DIRECTION('',(0.,0.,1.)); #100397=DIRECTION('center_axis',(0.,1.,0.)); #100398=DIRECTION('ref_axis',(-1.,0.,0.)); #100399=DIRECTION('',(-1.,0.,0.)); #100400=DIRECTION('',(0.,0.,1.)); #100401=DIRECTION('',(-1.,0.,0.)); #100402=DIRECTION('center_axis',(1.,0.,0.)); #100403=DIRECTION('ref_axis',(0.,1.,0.)); #100404=DIRECTION('',(0.,1.,0.)); #100405=DIRECTION('',(0.,0.,1.)); #100406=DIRECTION('',(0.,1.,0.)); #100407=DIRECTION('center_axis',(0.,-1.,0.)); #100408=DIRECTION('ref_axis',(1.,0.,0.)); #100409=DIRECTION('',(1.,0.,0.)); #100410=DIRECTION('',(0.,0.,1.)); #100411=DIRECTION('',(1.,0.,0.)); #100412=DIRECTION('center_axis',(-1.,0.,0.)); #100413=DIRECTION('ref_axis',(0.,-1.,0.)); #100414=DIRECTION('',(0.,-1.,0.)); #100415=DIRECTION('',(0.,0.,1.)); #100416=DIRECTION('',(0.,-1.,0.)); #100417=DIRECTION('center_axis',(0.,-1.,0.)); #100418=DIRECTION('ref_axis',(1.,0.,0.)); #100419=DIRECTION('',(1.,0.,0.)); #100420=DIRECTION('',(0.,0.,1.)); #100421=DIRECTION('',(1.,0.,0.)); #100422=DIRECTION('center_axis',(-1.,0.,0.)); #100423=DIRECTION('ref_axis',(0.,-1.,0.)); #100424=DIRECTION('',(0.,-1.,0.)); #100425=DIRECTION('',(0.,0.,1.)); #100426=DIRECTION('',(0.,-1.,0.)); #100427=DIRECTION('center_axis',(0.,1.,0.)); #100428=DIRECTION('ref_axis',(-1.,0.,0.)); #100429=DIRECTION('',(-1.,0.,0.)); #100430=DIRECTION('',(0.,0.,1.)); #100431=DIRECTION('',(-1.,0.,0.)); #100432=DIRECTION('center_axis',(-1.,0.,0.)); #100433=DIRECTION('ref_axis',(0.,-1.,0.)); #100434=DIRECTION('',(0.,-1.,0.)); #100435=DIRECTION('',(0.,0.,1.)); #100436=DIRECTION('',(0.,-1.,0.)); #100437=DIRECTION('center_axis',(0.,-1.,0.)); #100438=DIRECTION('ref_axis',(1.,0.,0.)); #100439=DIRECTION('',(1.,0.,0.)); #100440=DIRECTION('',(1.,0.,0.)); #100441=DIRECTION('center_axis',(0.,0.,1.)); #100442=DIRECTION('ref_axis',(1.,0.,0.)); #100443=DIRECTION('center_axis',(-1.,0.,0.)); #100444=DIRECTION('ref_axis',(0.,-1.,0.)); #100445=DIRECTION('',(0.,-1.,0.)); #100446=DIRECTION('',(0.,0.,1.)); #100447=DIRECTION('',(0.,-1.,0.)); #100448=DIRECTION('',(0.,0.,1.)); #100449=DIRECTION('center_axis',(0.,1.,0.)); #100450=DIRECTION('ref_axis',(-1.,0.,0.)); #100451=DIRECTION('',(-1.,0.,0.)); #100452=DIRECTION('',(0.,0.,1.)); #100453=DIRECTION('',(-1.,0.,0.)); #100454=DIRECTION('center_axis',(1.,0.,0.)); #100455=DIRECTION('ref_axis',(0.,1.,0.)); #100456=DIRECTION('',(0.,1.,0.)); #100457=DIRECTION('',(0.,0.,1.)); #100458=DIRECTION('',(0.,1.,0.)); #100459=DIRECTION('center_axis',(0.,1.,0.)); #100460=DIRECTION('ref_axis',(-1.,0.,0.)); #100461=DIRECTION('',(-1.,0.,0.)); #100462=DIRECTION('',(0.,0.,1.)); #100463=DIRECTION('',(-1.,0.,0.)); #100464=DIRECTION('center_axis',(-1.,0.,0.)); #100465=DIRECTION('ref_axis',(0.,-1.,0.)); #100466=DIRECTION('',(0.,-1.,0.)); #100467=DIRECTION('',(0.,0.,1.)); #100468=DIRECTION('',(0.,-1.,0.)); #100469=DIRECTION('center_axis',(0.,1.,0.)); #100470=DIRECTION('ref_axis',(-1.,0.,0.)); #100471=DIRECTION('',(-1.,0.,0.)); #100472=DIRECTION('',(0.,0.,1.)); #100473=DIRECTION('',(-1.,0.,0.)); #100474=DIRECTION('center_axis',(1.,0.,0.)); #100475=DIRECTION('ref_axis',(0.,1.,0.)); #100476=DIRECTION('',(0.,1.,0.)); #100477=DIRECTION('',(0.,0.,1.)); #100478=DIRECTION('',(0.,1.,0.)); #100479=DIRECTION('center_axis',(0.,-1.,0.)); #100480=DIRECTION('ref_axis',(1.,0.,0.)); #100481=DIRECTION('',(1.,0.,0.)); #100482=DIRECTION('',(0.,0.,1.)); #100483=DIRECTION('',(1.,0.,0.)); #100484=DIRECTION('center_axis',(-1.,0.,0.)); #100485=DIRECTION('ref_axis',(0.,-1.,0.)); #100486=DIRECTION('',(0.,-1.,0.)); #100487=DIRECTION('',(0.,0.,1.)); #100488=DIRECTION('',(0.,-1.,0.)); #100489=DIRECTION('center_axis',(0.,-1.,0.)); #100490=DIRECTION('ref_axis',(1.,0.,0.)); #100491=DIRECTION('',(1.,0.,0.)); #100492=DIRECTION('',(0.,0.,1.)); #100493=DIRECTION('',(1.,0.,0.)); #100494=DIRECTION('center_axis',(1.,0.,0.)); #100495=DIRECTION('ref_axis',(0.,1.,0.)); #100496=DIRECTION('',(0.,1.,0.)); #100497=DIRECTION('',(0.,0.,1.)); #100498=DIRECTION('',(0.,1.,0.)); #100499=DIRECTION('center_axis',(0.,-1.,0.)); #100500=DIRECTION('ref_axis',(1.,0.,0.)); #100501=DIRECTION('',(1.,0.,0.)); #100502=DIRECTION('',(1.,0.,0.)); #100503=DIRECTION('center_axis',(0.,0.,1.)); #100504=DIRECTION('ref_axis',(1.,0.,0.)); #100505=DIRECTION('',(0.,0.,1.)); #100506=DIRECTION('',(0.,0.,1.)); #100507=DIRECTION('center_axis',(0.,1.,0.)); #100508=DIRECTION('ref_axis',(-1.,0.,0.)); #100509=DIRECTION('',(1.,0.,0.)); #100510=DIRECTION('',(1.,0.,0.)); #100511=DIRECTION('',(0.,0.,1.)); #100512=DIRECTION('center_axis',(1.,0.,0.)); #100513=DIRECTION('ref_axis',(0.,1.,0.)); #100514=DIRECTION('',(0.,-1.,0.)); #100515=DIRECTION('',(0.,-1.,0.)); #100516=DIRECTION('',(0.,0.,1.)); #100517=DIRECTION('center_axis',(0.,-1.,0.)); #100518=DIRECTION('ref_axis',(1.,0.,0.)); #100519=DIRECTION('',(-1.,0.,0.)); #100520=DIRECTION('',(-1.,0.,0.)); #100521=DIRECTION('',(0.,0.,1.)); #100522=DIRECTION('',(0.,0.,1.)); #100523=DIRECTION('',(0.,0.,1.)); #100524=DIRECTION('center_axis',(-0.774214179185868,0.632923695833513,0.)); #100525=DIRECTION('ref_axis',(-0.632923695833513,-0.774214179185868,0.)); #100526=DIRECTION('',(-0.632923695833513,-0.774214179185868,0.)); #100527=DIRECTION('',(0.,0.,1.)); #100528=DIRECTION('',(-0.632923695833513,-0.774214179185868,0.)); #100529=DIRECTION('',(0.,0.,1.)); #100530=DIRECTION('',(0.,0.,1.)); #100531=DIRECTION('',(0.,0.,1.)); #100532=DIRECTION('',(0.,0.,1.)); #100533=DIRECTION('center_axis',(0.,1.,0.)); #100534=DIRECTION('ref_axis',(-1.,0.,0.)); #100535=DIRECTION('',(-1.,0.,0.)); #100536=DIRECTION('',(0.,0.,1.)); #100537=DIRECTION('',(-1.,0.,0.)); #100538=DIRECTION('center_axis',(1.,0.,0.)); #100539=DIRECTION('ref_axis',(0.,1.,0.)); #100540=DIRECTION('',(0.,1.,0.)); #100541=DIRECTION('',(0.,0.,1.)); #100542=DIRECTION('',(0.,1.,0.)); #100543=DIRECTION('center_axis',(0.,-1.,0.)); #100544=DIRECTION('ref_axis',(1.,0.,0.)); #100545=DIRECTION('',(1.,0.,0.)); #100546=DIRECTION('',(0.,0.,1.)); #100547=DIRECTION('',(1.,0.,0.)); #100548=DIRECTION('center_axis',(-1.,0.,0.)); #100549=DIRECTION('ref_axis',(0.,-1.,0.)); #100550=DIRECTION('',(0.,-1.,0.)); #100551=DIRECTION('',(0.,0.,1.)); #100552=DIRECTION('',(0.,-1.,0.)); #100553=DIRECTION('center_axis',(0.,-1.,0.)); #100554=DIRECTION('ref_axis',(1.,0.,0.)); #100555=DIRECTION('',(1.,0.,0.)); #100556=DIRECTION('',(0.,0.,1.)); #100557=DIRECTION('',(1.,0.,0.)); #100558=DIRECTION('center_axis',(0.783042313268789,-0.621968436201279,0.)); #100559=DIRECTION('ref_axis',(0.621968436201279,0.783042313268789,0.)); #100560=DIRECTION('',(0.621968436201279,0.783042313268789,0.)); #100561=DIRECTION('',(0.,0.,1.)); #100562=DIRECTION('',(0.621968436201279,0.783042313268789,0.)); #100563=DIRECTION('center_axis',(0.,-1.,0.)); #100564=DIRECTION('ref_axis',(1.,0.,0.)); #100565=DIRECTION('',(1.,0.,0.)); #100566=DIRECTION('',(1.,0.,0.)); #100567=DIRECTION('center_axis',(0.,0.,1.)); #100568=DIRECTION('ref_axis',(1.,0.,0.)); #100569=DIRECTION('',(0.,0.,1.)); #100570=DIRECTION('',(0.,0.,1.)); #100571=DIRECTION('center_axis',(0.,1.,0.)); #100572=DIRECTION('ref_axis',(-1.,0.,0.)); #100573=DIRECTION('',(1.,0.,0.)); #100574=DIRECTION('',(1.,0.,0.)); #100575=DIRECTION('',(0.,0.,1.)); #100576=DIRECTION('center_axis',(1.,0.,0.)); #100577=DIRECTION('ref_axis',(0.,1.,0.)); #100578=DIRECTION('',(0.,-1.,0.)); #100579=DIRECTION('',(0.,-1.,0.)); #100580=DIRECTION('',(0.,0.,1.)); #100581=DIRECTION('center_axis',(0.,-1.,0.)); #100582=DIRECTION('ref_axis',(1.,0.,0.)); #100583=DIRECTION('',(-1.,0.,0.)); #100584=DIRECTION('',(-1.,0.,0.)); #100585=DIRECTION('',(0.,0.,1.)); #100586=DIRECTION('',(0.,0.,1.)); #100587=DIRECTION('',(0.,0.,1.)); #100588=DIRECTION('',(0.,0.,1.)); #100589=DIRECTION('',(0.,0.,1.)); #100590=DIRECTION('center_axis',(0.,1.,0.)); #100591=DIRECTION('ref_axis',(-1.,0.,0.)); #100592=DIRECTION('',(1.,0.,0.)); #100593=DIRECTION('',(1.,0.,0.)); #100594=DIRECTION('',(0.,0.,1.)); #100595=DIRECTION('center_axis',(1.,0.,0.)); #100596=DIRECTION('ref_axis',(0.,1.,0.)); #100597=DIRECTION('',(0.,-1.,0.)); #100598=DIRECTION('',(0.,-1.,0.)); #100599=DIRECTION('',(0.,0.,1.)); #100600=DIRECTION('center_axis',(0.,-1.,0.)); #100601=DIRECTION('ref_axis',(1.,0.,0.)); #100602=DIRECTION('',(-1.,0.,0.)); #100603=DIRECTION('',(-1.,0.,0.)); #100604=DIRECTION('',(0.,0.,1.)); #100605=DIRECTION('',(0.,0.,1.)); #100606=DIRECTION('',(0.,0.,1.)); #100607=DIRECTION('',(0.,0.,1.)); #100608=DIRECTION('',(0.,0.,1.)); #100609=DIRECTION('center_axis',(-1.,0.,0.)); #100610=DIRECTION('ref_axis',(0.,-1.,0.)); #100611=DIRECTION('',(0.,-1.,0.)); #100612=DIRECTION('',(0.,0.,1.)); #100613=DIRECTION('',(0.,-1.,0.)); #100614=DIRECTION('',(0.,0.,1.)); #100615=DIRECTION('',(0.,0.,1.)); #100616=DIRECTION('',(0.,0.,1.)); #100617=DIRECTION('center_axis',(0.,1.,0.)); #100618=DIRECTION('ref_axis',(-1.,0.,0.)); #100619=DIRECTION('',(-1.,0.,0.)); #100620=DIRECTION('',(0.,0.,1.)); #100621=DIRECTION('',(-1.,0.,0.)); #100622=DIRECTION('center_axis',(1.,0.,0.)); #100623=DIRECTION('ref_axis',(0.,1.,0.)); #100624=DIRECTION('',(0.,1.,0.)); #100625=DIRECTION('',(0.,0.,1.)); #100626=DIRECTION('',(0.,1.,0.)); #100627=DIRECTION('center_axis',(0.,-1.,0.)); #100628=DIRECTION('ref_axis',(1.,0.,0.)); #100629=DIRECTION('',(1.,0.,0.)); #100630=DIRECTION('',(0.,0.,1.)); #100631=DIRECTION('',(1.,0.,0.)); #100632=DIRECTION('',(0.,0.,1.)); #100633=DIRECTION('center_axis',(0.,0.,1.)); #100634=DIRECTION('ref_axis',(1.,0.,0.)); #100635=DIRECTION('center_axis',(0.,-1.,0.)); #100636=DIRECTION('ref_axis',(1.,0.,0.)); #100637=DIRECTION('',(1.,0.,0.)); #100638=DIRECTION('',(0.,0.,1.)); #100639=DIRECTION('',(1.,0.,0.)); #100640=DIRECTION('',(0.,0.,1.)); #100641=DIRECTION('',(0.,0.,1.)); #100642=DIRECTION('center_axis',(0.,-1.,0.)); #100643=DIRECTION('ref_axis',(1.,0.,0.)); #100644=DIRECTION('',(1.,0.,0.)); #100645=DIRECTION('',(0.,0.,1.)); #100646=DIRECTION('',(1.,0.,0.)); #100647=DIRECTION('',(0.,0.,1.)); #100648=DIRECTION('',(0.,0.,1.)); #100649=DIRECTION('center_axis',(-1.,0.,0.)); #100650=DIRECTION('ref_axis',(0.,-1.,0.)); #100651=DIRECTION('',(0.,-1.,0.)); #100652=DIRECTION('',(0.,0.,1.)); #100653=DIRECTION('',(0.,-1.,0.)); #100654=DIRECTION('center_axis',(0.,1.,0.)); #100655=DIRECTION('ref_axis',(-1.,0.,0.)); #100656=DIRECTION('',(-1.,0.,0.)); #100657=DIRECTION('',(0.,0.,1.)); #100658=DIRECTION('',(-1.,0.,0.)); #100659=DIRECTION('center_axis',(1.,0.,0.)); #100660=DIRECTION('ref_axis',(0.,1.,0.)); #100661=DIRECTION('',(0.,1.,0.)); #100662=DIRECTION('',(0.,1.,0.)); #100663=DIRECTION('center_axis',(0.,0.,1.)); #100664=DIRECTION('ref_axis',(1.,0.,0.)); #100665=DIRECTION('',(0.,0.,1.)); #100666=DIRECTION('',(0.,0.,1.)); #100667=DIRECTION('',(0.,0.,1.)); #100668=DIRECTION('',(0.,0.,1.)); #100669=DIRECTION('center_axis',(0.,1.,0.)); #100670=DIRECTION('ref_axis',(-1.,0.,0.)); #100671=DIRECTION('',(-1.,0.,0.)); #100672=DIRECTION('',(0.,0.,1.)); #100673=DIRECTION('',(-1.,0.,0.)); #100674=DIRECTION('',(0.,0.,1.)); #100675=DIRECTION('',(0.,0.,1.)); #100676=DIRECTION('',(0.,0.,1.)); #100677=DIRECTION('',(0.,0.,1.)); #100678=DIRECTION('center_axis',(0.,-1.,0.)); #100679=DIRECTION('ref_axis',(1.,0.,0.)); #100680=DIRECTION('',(1.,0.,0.)); #100681=DIRECTION('',(1.,0.,0.)); #100682=DIRECTION('center_axis',(0.,0.,1.)); #100683=DIRECTION('ref_axis',(1.,0.,0.)); #100684=DIRECTION('center_axis',(0.,1.,0.)); #100685=DIRECTION('ref_axis',(-1.,0.,0.)); #100686=DIRECTION('',(-1.,0.,0.)); #100687=DIRECTION('',(0.,0.,1.)); #100688=DIRECTION('',(-1.,0.,0.)); #100689=DIRECTION('',(0.,0.,1.)); #100690=DIRECTION('',(0.,0.,1.)); #100691=DIRECTION('',(0.,0.,1.)); #100692=DIRECTION('',(0.,0.,1.)); #100693=DIRECTION('',(0.,0.,1.)); #100694=DIRECTION('center_axis',(0.101876803556509,-0.994797022963533,0.)); #100695=DIRECTION('ref_axis',(0.994797022963533,0.101876803556509,0.)); #100696=DIRECTION('',(0.994797022963533,0.101876803556509,0.)); #100697=DIRECTION('',(0.,0.,1.)); #100698=DIRECTION('',(0.994797022963533,0.101876803556509,0.)); #100699=DIRECTION('',(0.,0.,1.)); #100700=DIRECTION('',(0.,0.,1.)); #100701=DIRECTION('',(0.,0.,1.)); #100702=DIRECTION('',(0.,0.,1.)); #100703=DIRECTION('',(0.,0.,1.)); #100704=DIRECTION('center_axis',(0.,-1.,0.)); #100705=DIRECTION('ref_axis',(1.,0.,0.)); #100706=DIRECTION('',(1.,0.,0.)); #100707=DIRECTION('',(0.,0.,1.)); #100708=DIRECTION('',(1.,0.,0.)); #100709=DIRECTION('center_axis',(-1.,0.,0.)); #100710=DIRECTION('ref_axis',(0.,-1.,0.)); #100711=DIRECTION('',(0.,-1.,0.)); #100712=DIRECTION('',(0.,-1.,0.)); #100713=DIRECTION('center_axis',(0.,0.,1.)); #100714=DIRECTION('ref_axis',(1.,0.,0.)); #100715=DIRECTION('',(0.,0.,1.)); #100716=DIRECTION('',(0.,0.,1.)); #100717=DIRECTION('',(0.,0.,1.)); #100718=DIRECTION('',(0.,0.,1.)); #100719=DIRECTION('',(0.,0.,1.)); #100720=DIRECTION('center_axis',(0.,1.,0.)); #100721=DIRECTION('ref_axis',(-1.,0.,0.)); #100722=DIRECTION('',(1.,0.,0.)); #100723=DIRECTION('',(1.,0.,0.)); #100724=DIRECTION('',(0.,0.,1.)); #100725=DIRECTION('center_axis',(1.,0.,0.)); #100726=DIRECTION('ref_axis',(0.,1.,0.)); #100727=DIRECTION('',(0.,-1.,0.)); #100728=DIRECTION('',(0.,-1.,0.)); #100729=DIRECTION('',(0.,0.,1.)); #100730=DIRECTION('center_axis',(0.,-1.,0.)); #100731=DIRECTION('ref_axis',(1.,0.,0.)); #100732=DIRECTION('',(-1.,0.,0.)); #100733=DIRECTION('',(-1.,0.,0.)); #100734=DIRECTION('center_axis',(0.,-1.,0.)); #100735=DIRECTION('ref_axis',(1.,0.,0.)); #100736=DIRECTION('',(1.,0.,0.)); #100737=DIRECTION('',(0.,0.,1.)); #100738=DIRECTION('',(1.,0.,0.)); #100739=DIRECTION('',(0.,0.,1.)); #100740=DIRECTION('',(0.,0.,1.)); #100741=DIRECTION('',(0.,0.,1.)); #100742=DIRECTION('',(0.,0.,1.)); #100743=DIRECTION('',(0.,0.,1.)); #100744=DIRECTION('center_axis',(0.,1.,0.)); #100745=DIRECTION('ref_axis',(-1.,0.,0.)); #100746=DIRECTION('',(-1.,0.,0.)); #100747=DIRECTION('',(0.,0.,1.)); #100748=DIRECTION('',(-1.,0.,0.)); #100749=DIRECTION('center_axis',(1.,0.,0.)); #100750=DIRECTION('ref_axis',(0.,1.,0.)); #100751=DIRECTION('',(0.,1.,0.)); #100752=DIRECTION('',(0.,1.,0.)); #100753=DIRECTION('center_axis',(0.,0.,1.)); #100754=DIRECTION('ref_axis',(1.,0.,0.)); #100755=DIRECTION('center_axis',(0.,-1.,0.)); #100756=DIRECTION('ref_axis',(1.,0.,0.)); #100757=DIRECTION('',(1.,0.,0.)); #100758=DIRECTION('',(0.,0.,1.)); #100759=DIRECTION('',(1.,0.,0.)); #100760=DIRECTION('',(0.,0.,1.)); #100761=DIRECTION('center_axis',(-1.,0.,0.)); #100762=DIRECTION('ref_axis',(0.,-1.,0.)); #100763=DIRECTION('',(0.,-1.,0.)); #100764=DIRECTION('',(0.,0.,1.)); #100765=DIRECTION('',(0.,-1.,0.)); #100766=DIRECTION('center_axis',(0.,-1.,0.)); #100767=DIRECTION('ref_axis',(1.,0.,0.)); #100768=DIRECTION('',(1.,0.,0.)); #100769=DIRECTION('',(0.,0.,1.)); #100770=DIRECTION('',(1.,0.,0.)); #100771=DIRECTION('center_axis',(-1.,0.,0.)); #100772=DIRECTION('ref_axis',(0.,-1.,0.)); #100773=DIRECTION('',(0.,-1.,0.)); #100774=DIRECTION('',(0.,0.,1.)); #100775=DIRECTION('',(0.,-1.,0.)); #100776=DIRECTION('center_axis',(0.,1.,0.)); #100777=DIRECTION('ref_axis',(-1.,0.,0.)); #100778=DIRECTION('',(-1.,0.,0.)); #100779=DIRECTION('',(0.,0.,1.)); #100780=DIRECTION('',(-1.,0.,0.)); #100781=DIRECTION('center_axis',(-1.,0.,0.)); #100782=DIRECTION('ref_axis',(0.,-1.,0.)); #100783=DIRECTION('',(0.,-1.,0.)); #100784=DIRECTION('',(0.,0.,1.)); #100785=DIRECTION('',(0.,-1.,0.)); #100786=DIRECTION('center_axis',(0.,1.,0.)); #100787=DIRECTION('ref_axis',(-1.,0.,0.)); #100788=DIRECTION('',(-1.,0.,0.)); #100789=DIRECTION('',(0.,0.,1.)); #100790=DIRECTION('',(-1.,0.,0.)); #100791=DIRECTION('center_axis',(1.,0.,0.)); #100792=DIRECTION('ref_axis',(0.,1.,0.)); #100793=DIRECTION('',(0.,1.,0.)); #100794=DIRECTION('',(0.,0.,1.)); #100795=DIRECTION('',(0.,1.,0.)); #100796=DIRECTION('center_axis',(0.,1.,0.)); #100797=DIRECTION('ref_axis',(-1.,0.,0.)); #100798=DIRECTION('',(-1.,0.,0.)); #100799=DIRECTION('',(0.,0.,1.)); #100800=DIRECTION('',(-1.,0.,0.)); #100801=DIRECTION('center_axis',(1.,0.,0.)); #100802=DIRECTION('ref_axis',(0.,1.,0.)); #100803=DIRECTION('',(0.,1.,0.)); #100804=DIRECTION('',(0.,0.,1.)); #100805=DIRECTION('',(0.,1.,0.)); #100806=DIRECTION('center_axis',(0.,-1.,0.)); #100807=DIRECTION('ref_axis',(1.,0.,0.)); #100808=DIRECTION('',(1.,0.,0.)); #100809=DIRECTION('',(0.,0.,1.)); #100810=DIRECTION('',(1.,0.,0.)); #100811=DIRECTION('center_axis',(1.,0.,0.)); #100812=DIRECTION('ref_axis',(0.,1.,0.)); #100813=DIRECTION('',(0.,1.,0.)); #100814=DIRECTION('',(0.,1.,0.)); #100815=DIRECTION('center_axis',(0.,0.,1.)); #100816=DIRECTION('ref_axis',(1.,0.,0.)); #100817=DIRECTION('center_axis',(-1.,0.,0.)); #100818=DIRECTION('ref_axis',(0.,-1.,0.)); #100819=DIRECTION('',(0.,-1.,0.)); #100820=DIRECTION('',(0.,0.,1.)); #100821=DIRECTION('',(0.,-1.,0.)); #100822=DIRECTION('',(0.,0.,1.)); #100823=DIRECTION('center_axis',(0.,1.,0.)); #100824=DIRECTION('ref_axis',(-1.,0.,0.)); #100825=DIRECTION('',(-1.,0.,0.)); #100826=DIRECTION('',(0.,0.,1.)); #100827=DIRECTION('',(-1.,0.,0.)); #100828=DIRECTION('',(0.,0.,1.)); #100829=DIRECTION('center_axis',(1.,0.,0.)); #100830=DIRECTION('ref_axis',(0.,1.,0.)); #100831=DIRECTION('',(0.,1.,0.)); #100832=DIRECTION('',(0.,0.,1.)); #100833=DIRECTION('',(0.,1.,0.)); #100834=DIRECTION('',(0.,0.,1.)); #100835=DIRECTION('center_axis',(1.,0.,0.)); #100836=DIRECTION('ref_axis',(0.,1.,0.)); #100837=DIRECTION('',(0.,1.,0.)); #100838=DIRECTION('',(0.,0.,1.)); #100839=DIRECTION('',(0.,1.,0.)); #100840=DIRECTION('center_axis',(0.,-1.,0.)); #100841=DIRECTION('ref_axis',(1.,0.,0.)); #100842=DIRECTION('',(1.,0.,0.)); #100843=DIRECTION('',(1.,0.,0.)); #100844=DIRECTION('center_axis',(0.,0.,1.)); #100845=DIRECTION('ref_axis',(1.,0.,0.)); #100846=DIRECTION('center_axis',(0.,-1.,0.)); #100847=DIRECTION('ref_axis',(1.,0.,0.)); #100848=DIRECTION('',(1.,0.,0.)); #100849=DIRECTION('',(0.,0.,1.)); #100850=DIRECTION('',(1.,0.,0.)); #100851=DIRECTION('',(0.,0.,1.)); #100852=DIRECTION('center_axis',(1.,0.,0.)); #100853=DIRECTION('ref_axis',(0.,1.,0.)); #100854=DIRECTION('',(0.,1.,0.)); #100855=DIRECTION('',(0.,0.,1.)); #100856=DIRECTION('',(0.,1.,0.)); #100857=DIRECTION('',(0.,0.,1.)); #100858=DIRECTION('',(0.,0.,1.)); #100859=DIRECTION('',(0.,0.,1.)); #100860=DIRECTION('',(0.,0.,1.)); #100861=DIRECTION('',(0.,0.,1.)); #100862=DIRECTION('center_axis',(-0.26573096609791,-0.964047225843564,0.)); #100863=DIRECTION('ref_axis',(0.964047225843564,-0.26573096609791,0.)); #100864=DIRECTION('',(0.964047225843564,-0.26573096609791,0.)); #100865=DIRECTION('',(0.,0.,1.)); #100866=DIRECTION('',(0.964047225843564,-0.26573096609791,0.)); #100867=DIRECTION('',(0.,0.,1.)); #100868=DIRECTION('',(0.,0.,1.)); #100869=DIRECTION('',(0.,0.,1.)); #100870=DIRECTION('',(0.,0.,1.)); #100871=DIRECTION('center_axis',(-1.,0.,0.)); #100872=DIRECTION('ref_axis',(0.,-1.,0.)); #100873=DIRECTION('',(0.,-1.,0.)); #100874=DIRECTION('',(0.,0.,1.)); #100875=DIRECTION('',(0.,-1.,0.)); #100876=DIRECTION('center_axis',(0.,1.,0.)); #100877=DIRECTION('ref_axis',(-1.,0.,0.)); #100878=DIRECTION('',(-1.,0.,0.)); #100879=DIRECTION('',(0.,0.,1.)); #100880=DIRECTION('',(-1.,0.,0.)); #100881=DIRECTION('center_axis',(1.,0.,0.)); #100882=DIRECTION('ref_axis',(0.,1.,0.)); #100883=DIRECTION('',(0.,1.,0.)); #100884=DIRECTION('',(0.,1.,0.)); #100885=DIRECTION('center_axis',(0.,0.,1.)); #100886=DIRECTION('ref_axis',(1.,0.,0.)); #100887=DIRECTION('center_axis',(0.,-1.,0.)); #100888=DIRECTION('ref_axis',(1.,0.,0.)); #100889=DIRECTION('',(1.,0.,0.)); #100890=DIRECTION('',(0.,0.,1.)); #100891=DIRECTION('',(1.,0.,0.)); #100892=DIRECTION('',(0.,0.,1.)); #100893=DIRECTION('center_axis',(-1.,0.,0.)); #100894=DIRECTION('ref_axis',(0.,-1.,0.)); #100895=DIRECTION('',(0.,-1.,0.)); #100896=DIRECTION('',(0.,0.,1.)); #100897=DIRECTION('',(0.,-1.,0.)); #100898=DIRECTION('center_axis',(0.,1.,0.)); #100899=DIRECTION('ref_axis',(-1.,0.,0.)); #100900=DIRECTION('',(-1.,0.,0.)); #100901=DIRECTION('',(0.,0.,1.)); #100902=DIRECTION('',(-1.,0.,0.)); #100903=DIRECTION('center_axis',(1.,0.,0.)); #100904=DIRECTION('ref_axis',(0.,1.,0.)); #100905=DIRECTION('',(0.,1.,0.)); #100906=DIRECTION('',(0.,1.,0.)); #100907=DIRECTION('center_axis',(0.,0.,1.)); #100908=DIRECTION('ref_axis',(1.,0.,0.)); #100909=DIRECTION('',(0.,0.,1.)); #100910=DIRECTION('',(0.,0.,1.)); #100911=DIRECTION('',(0.,0.,1.)); #100912=DIRECTION('',(0.,0.,1.)); #100913=DIRECTION('',(0.,0.,1.)); #100914=DIRECTION('',(0.,0.,1.)); #100915=DIRECTION('',(0.,0.,1.)); #100916=DIRECTION('',(0.,0.,1.)); #100917=DIRECTION('',(0.,0.,1.)); #100918=DIRECTION('',(0.,0.,1.)); #100919=DIRECTION('',(0.,0.,1.)); #100920=DIRECTION('',(0.,0.,1.)); #100921=DIRECTION('',(0.,0.,1.)); #100922=DIRECTION('',(0.,0.,1.)); #100923=DIRECTION('',(0.,0.,1.)); #100924=DIRECTION('',(0.,0.,1.)); #100925=DIRECTION('center_axis',(-0.0809831321077346,-0.996715472095232, 0.)); #100926=DIRECTION('ref_axis',(0.996715472095232,-0.0809831321077346,0.)); #100927=DIRECTION('',(0.996715472095232,-0.0809831321077346,0.)); #100928=DIRECTION('',(0.996715472095232,-0.0809831321077346,0.)); #100929=DIRECTION('center_axis',(0.,0.,1.)); #100930=DIRECTION('ref_axis',(1.,0.,0.)); #100931=DIRECTION('center_axis',(-0.935056260256882,0.35449935141607,0.)); #100932=DIRECTION('ref_axis',(-0.35449935141607,-0.935056260256882,0.)); #100933=DIRECTION('',(0.35449935141607,0.935056260256882,0.)); #100934=DIRECTION('',(0.,0.,1.)); #100935=DIRECTION('',(0.35449935141607,0.935056260256882,0.)); #100936=DIRECTION('',(0.,0.,1.)); #100937=DIRECTION('',(0.,0.,1.)); #100938=DIRECTION('',(0.,0.,1.)); #100939=DIRECTION('center_axis',(0.935828766419948,0.352454989950373,0.)); #100940=DIRECTION('ref_axis',(-0.352454989950373,0.935828766419948,0.)); #100941=DIRECTION('',(0.352454989950373,-0.935828766419948,0.)); #100942=DIRECTION('',(0.352454989950373,-0.935828766419948,0.)); #100943=DIRECTION('',(0.,0.,1.)); #100944=DIRECTION('center_axis',(0.,-1.,0.)); #100945=DIRECTION('ref_axis',(1.,0.,0.)); #100946=DIRECTION('',(-1.,0.,0.)); #100947=DIRECTION('',(-1.,0.,0.)); #100948=DIRECTION('center_axis',(0.,-1.,0.)); #100949=DIRECTION('ref_axis',(1.,0.,0.)); #100950=DIRECTION('',(1.,0.,0.)); #100951=DIRECTION('',(0.,0.,1.)); #100952=DIRECTION('',(1.,0.,0.)); #100953=DIRECTION('',(0.,0.,1.)); #100954=DIRECTION('center_axis',(-0.940069662775618,-0.340982446951359, 0.)); #100955=DIRECTION('ref_axis',(0.340982446951359,-0.940069662775618,0.)); #100956=DIRECTION('',(0.340982446951359,-0.940069662775618,0.)); #100957=DIRECTION('',(0.,0.,1.)); #100958=DIRECTION('',(0.340982446951359,-0.940069662775618,0.)); #100959=DIRECTION('center_axis',(0.,-1.,0.)); #100960=DIRECTION('ref_axis',(1.,0.,0.)); #100961=DIRECTION('',(1.,0.,0.)); #100962=DIRECTION('',(0.,0.,1.)); #100963=DIRECTION('',(1.,0.,0.)); #100964=DIRECTION('center_axis',(0.933890180405367,-0.357559968316409,0.)); #100965=DIRECTION('ref_axis',(0.357559968316409,0.933890180405367,0.)); #100966=DIRECTION('',(0.357559968316409,0.933890180405367,0.)); #100967=DIRECTION('',(0.,0.,1.)); #100968=DIRECTION('',(0.357559968316409,0.933890180405367,0.)); #100969=DIRECTION('center_axis',(0.,-1.,0.)); #100970=DIRECTION('ref_axis',(1.,0.,0.)); #100971=DIRECTION('',(1.,0.,0.)); #100972=DIRECTION('',(0.,0.,1.)); #100973=DIRECTION('',(1.,0.,0.)); #100974=DIRECTION('center_axis',(-0.925726682166435,0.378193217714338,0.)); #100975=DIRECTION('ref_axis',(-0.378193217714338,-0.925726682166435,0.)); #100976=DIRECTION('',(-0.378193217714338,-0.925726682166435,0.)); #100977=DIRECTION('',(0.,0.,1.)); #100978=DIRECTION('',(-0.378193217714338,-0.925726682166435,0.)); #100979=DIRECTION('center_axis',(0.,1.,0.)); #100980=DIRECTION('ref_axis',(-1.,0.,0.)); #100981=DIRECTION('',(-1.,0.,0.)); #100982=DIRECTION('',(0.,0.,1.)); #100983=DIRECTION('',(-1.,0.,0.)); #100984=DIRECTION('center_axis',(0.933492229961595,0.358597624924272,0.)); #100985=DIRECTION('ref_axis',(-0.358597624924272,0.933492229961595,0.)); #100986=DIRECTION('',(-0.358597624924272,0.933492229961595,0.)); #100987=DIRECTION('',(-0.358597624924272,0.933492229961595,0.)); #100988=DIRECTION('center_axis',(0.,0.,1.)); #100989=DIRECTION('ref_axis',(1.,0.,0.)); #100990=DIRECTION('',(0.,0.,1.)); #100991=DIRECTION('',(0.,0.,1.)); #100992=DIRECTION('',(0.,0.,1.)); #100993=DIRECTION('',(0.,0.,1.)); #100994=DIRECTION('center_axis',(0.,1.,0.)); #100995=DIRECTION('ref_axis',(-1.,0.,0.)); #100996=DIRECTION('',(1.,0.,0.)); #100997=DIRECTION('',(1.,0.,0.)); #100998=DIRECTION('',(0.,0.,1.)); #100999=DIRECTION('center_axis',(1.,0.,0.)); #101000=DIRECTION('ref_axis',(0.,1.,0.)); #101001=DIRECTION('',(0.,-1.,0.)); #101002=DIRECTION('',(0.,-1.,0.)); #101003=DIRECTION('',(0.,0.,1.)); #101004=DIRECTION('center_axis',(0.,-1.,0.)); #101005=DIRECTION('ref_axis',(1.,0.,0.)); #101006=DIRECTION('',(-1.,0.,0.)); #101007=DIRECTION('',(-1.,0.,0.)); #101008=DIRECTION('center_axis',(0.,-1.,0.)); #101009=DIRECTION('ref_axis',(1.,0.,0.)); #101010=DIRECTION('',(1.,0.,0.)); #101011=DIRECTION('',(0.,0.,1.)); #101012=DIRECTION('',(1.,0.,0.)); #101013=DIRECTION('',(0.,0.,1.)); #101014=DIRECTION('center_axis',(-1.,0.,0.)); #101015=DIRECTION('ref_axis',(0.,-1.,0.)); #101016=DIRECTION('',(0.,-1.,0.)); #101017=DIRECTION('',(0.,0.,1.)); #101018=DIRECTION('',(0.,-1.,0.)); #101019=DIRECTION('center_axis',(0.,-1.,0.)); #101020=DIRECTION('ref_axis',(1.,0.,0.)); #101021=DIRECTION('',(1.,0.,0.)); #101022=DIRECTION('',(0.,0.,1.)); #101023=DIRECTION('',(1.,0.,0.)); #101024=DIRECTION('',(0.,0.,1.)); #101025=DIRECTION('',(0.,0.,1.)); #101026=DIRECTION('',(0.,0.,1.)); #101027=DIRECTION('center_axis',(0.,1.,0.)); #101028=DIRECTION('ref_axis',(-1.,0.,0.)); #101029=DIRECTION('',(-1.,0.,0.)); #101030=DIRECTION('',(0.,0.,1.)); #101031=DIRECTION('',(-1.,0.,0.)); #101032=DIRECTION('center_axis',(1.,0.,0.)); #101033=DIRECTION('ref_axis',(0.,1.,0.)); #101034=DIRECTION('',(0.,1.,0.)); #101035=DIRECTION('',(0.,1.,0.)); #101036=DIRECTION('center_axis',(0.,0.,1.)); #101037=DIRECTION('ref_axis',(1.,0.,0.)); #101038=DIRECTION('center_axis',(-0.707106781180757,0.707106781192338,0.)); #101039=DIRECTION('ref_axis',(-0.707106781192338,-0.707106781180757,0.)); #101040=DIRECTION('',(-0.707106781192338,-0.707106781180757,0.)); #101041=DIRECTION('',(0.,0.,1.)); #101042=DIRECTION('',(-0.707106781192338,-0.707106781180757,0.)); #101043=DIRECTION('',(0.,0.,1.)); #101044=DIRECTION('center_axis',(0.707106781190793,0.707106781182303,0.)); #101045=DIRECTION('ref_axis',(-0.707106781182302,0.707106781190793,0.)); #101046=DIRECTION('',(-0.707106781182302,0.707106781190793,0.)); #101047=DIRECTION('',(0.,0.,1.)); #101048=DIRECTION('',(-0.707106781182302,0.707106781190793,0.)); #101049=DIRECTION('center_axis',(8.45544295763999E-12,-1.,0.)); #101050=DIRECTION('ref_axis',(1.,8.45544295763999E-12,0.)); #101051=DIRECTION('',(1.,8.45544295763999E-12,0.)); #101052=DIRECTION('',(0.,0.,1.)); #101053=DIRECTION('',(1.,8.45544295763999E-12,0.)); #101054=DIRECTION('center_axis',(1.,-2.44027024625684E-12,0.)); #101055=DIRECTION('ref_axis',(2.44027024625684E-12,1.,0.)); #101056=DIRECTION('',(2.44027024625684E-12,1.,0.)); #101057=DIRECTION('',(0.,0.,1.)); #101058=DIRECTION('',(2.44027024625684E-12,1.,0.)); #101059=DIRECTION('center_axis',(-4.71476180353585E-12,-1.,0.)); #101060=DIRECTION('ref_axis',(1.,-4.71476180353585E-12,0.)); #101061=DIRECTION('',(1.,-4.71476180353585E-12,0.)); #101062=DIRECTION('',(0.,0.,1.)); #101063=DIRECTION('',(1.,-4.71476180353585E-12,0.)); #101064=DIRECTION('center_axis',(-1.,2.4091840010818E-12,0.)); #101065=DIRECTION('ref_axis',(-2.4091840010818E-12,-1.,0.)); #101066=DIRECTION('',(-2.4091840010818E-12,-1.,0.)); #101067=DIRECTION('',(0.,0.,1.)); #101068=DIRECTION('',(-2.4091840010818E-12,-1.,0.)); #101069=DIRECTION('center_axis',(-1.92734361526988E-12,-1.,0.)); #101070=DIRECTION('ref_axis',(1.,-1.92734361526988E-12,0.)); #101071=DIRECTION('',(1.,-1.92734361526988E-12,0.)); #101072=DIRECTION('',(1.,-1.92734361526988E-12,0.)); #101073=DIRECTION('center_axis',(0.,0.,1.)); #101074=DIRECTION('ref_axis',(1.,0.,0.)); #101075=DIRECTION('center_axis',(1.81336430200527E-11,-1.,0.)); #101076=DIRECTION('ref_axis',(1.,1.81336430200527E-11,0.)); #101077=DIRECTION('',(1.,1.81336430200527E-11,0.)); #101078=DIRECTION('',(0.,0.,1.)); #101079=DIRECTION('',(1.,1.81336430200527E-11,0.)); #101080=DIRECTION('',(0.,0.,1.)); #101081=DIRECTION('center_axis',(-1.,-1.36779478770484E-11,0.)); #101082=DIRECTION('ref_axis',(1.36779478770484E-11,-1.,0.)); #101083=DIRECTION('',(1.36779478770484E-11,-1.,0.)); #101084=DIRECTION('',(0.,0.,1.)); #101085=DIRECTION('',(1.36779478770484E-11,-1.,0.)); #101086=DIRECTION('center_axis',(1.17436926212488E-11,1.,0.)); #101087=DIRECTION('ref_axis',(-1.,1.17436926212488E-11,0.)); #101088=DIRECTION('',(-1.,1.17436926212488E-11,0.)); #101089=DIRECTION('',(0.,0.,1.)); #101090=DIRECTION('',(-1.,1.17436926212488E-11,0.)); #101091=DIRECTION('center_axis',(0.704585883065537,0.709618723953052,0.)); #101092=DIRECTION('ref_axis',(-0.709618723953052,0.704585883065536,0.)); #101093=DIRECTION('',(-0.709618723953052,0.704585883065536,0.)); #101094=DIRECTION('',(0.,0.,1.)); #101095=DIRECTION('',(-0.709618723953052,0.704585883065536,0.)); #101096=DIRECTION('center_axis',(1.,5.00715102203033E-11,0.)); #101097=DIRECTION('ref_axis',(-5.00715102203033E-11,1.,0.)); #101098=DIRECTION('',(-5.00715102203033E-11,1.,0.)); #101099=DIRECTION('',(0.,0.,1.)); #101100=DIRECTION('',(-5.00715102203033E-11,1.,0.)); #101101=DIRECTION('center_axis',(-0.704586025046714,-0.709618582978822, 0.)); #101102=DIRECTION('ref_axis',(0.709618582978822,-0.704586025046714,0.)); #101103=DIRECTION('',(0.709618582978822,-0.704586025046714,0.)); #101104=DIRECTION('',(0.,0.,1.)); #101105=DIRECTION('',(0.709618582978822,-0.704586025046714,0.)); #101106=DIRECTION('center_axis',(1.,-5.61943649042508E-12,0.)); #101107=DIRECTION('ref_axis',(5.61943649042508E-12,1.,0.)); #101108=DIRECTION('',(5.61943649042508E-12,1.,0.)); #101109=DIRECTION('',(5.61943649042508E-12,1.,0.)); #101110=DIRECTION('center_axis',(0.,0.,1.)); #101111=DIRECTION('ref_axis',(1.,0.,0.)); #101112=DIRECTION('center_axis',(0.866025403777608,-0.500000000011831,0.)); #101113=DIRECTION('ref_axis',(0.500000000011831,0.866025403777608,0.)); #101114=DIRECTION('',(0.500000000011831,0.866025403777608,0.)); #101115=DIRECTION('',(0.,0.,1.)); #101116=DIRECTION('',(0.500000000011831,0.866025403777608,0.)); #101117=DIRECTION('',(0.,0.,1.)); #101118=DIRECTION('center_axis',(-0.500000000018473,-0.866025403773773, 0.)); #101119=DIRECTION('ref_axis',(0.866025403773773,-0.500000000018473,0.)); #101120=DIRECTION('',(0.866025403773773,-0.500000000018473,0.)); #101121=DIRECTION('',(0.,0.,1.)); #101122=DIRECTION('',(0.866025403773773,-0.500000000018473,0.)); #101123=DIRECTION('center_axis',(-0.866025403785792,0.499999999997656,0.)); #101124=DIRECTION('ref_axis',(-0.499999999997656,-0.866025403785792,0.)); #101125=DIRECTION('',(-0.499999999997656,-0.866025403785792,0.)); #101126=DIRECTION('',(0.,0.,1.)); #101127=DIRECTION('',(-0.499999999997656,-0.866025403785792,0.)); #101128=DIRECTION('center_axis',(0.500000000031243,0.8660254037664,0.)); #101129=DIRECTION('ref_axis',(-0.8660254037664,0.500000000031243,0.)); #101130=DIRECTION('',(-0.8660254037664,0.500000000031243,0.)); #101131=DIRECTION('',(0.,0.,1.)); #101132=DIRECTION('',(-0.8660254037664,0.500000000031243,0.)); #101133=DIRECTION('center_axis',(0.995228618177215,0.0975704748429146,0.)); #101134=DIRECTION('ref_axis',(-0.0975704748429146,0.995228618177215,0.)); #101135=DIRECTION('',(-0.0975704748429146,0.995228618177215,0.)); #101136=DIRECTION('',(0.,0.,1.)); #101137=DIRECTION('',(-0.0975704748429146,0.995228618177215,0.)); #101138=DIRECTION('center_axis',(0.866025403758206,-0.500000000045437,0.)); #101139=DIRECTION('ref_axis',(0.500000000045437,0.866025403758206,0.)); #101140=DIRECTION('',(0.500000000045437,0.866025403758206,0.)); #101141=DIRECTION('',(0.,0.,1.)); #101142=DIRECTION('',(0.500000000045437,0.866025403758206,0.)); #101143=DIRECTION('center_axis',(-0.995228636670899,-0.097570286205302, 0.)); #101144=DIRECTION('ref_axis',(0.097570286205302,-0.995228636670899,0.)); #101145=DIRECTION('',(0.097570286205302,-0.995228636670899,0.)); #101146=DIRECTION('',(0.097570286205302,-0.995228636670899,0.)); #101147=DIRECTION('center_axis',(0.,0.,1.)); #101148=DIRECTION('ref_axis',(1.,0.,0.)); #101149=DIRECTION('center_axis',(-0.979770694300476,0.200123428388491,0.)); #101150=DIRECTION('ref_axis',(-0.200123428388491,-0.979770694300476,0.)); #101151=DIRECTION('',(0.200123428388491,0.979770694300476,0.)); #101152=DIRECTION('',(0.,0.,1.)); #101153=DIRECTION('',(0.200123428388491,0.979770694300476,0.)); #101154=DIRECTION('',(0.,0.,1.)); #101155=DIRECTION('center_axis',(-0.826599278788758,0.562790931257696,0.)); #101156=DIRECTION('ref_axis',(-0.562790931257696,-0.826599278788758,0.)); #101157=DIRECTION('',(0.562790931257696,0.826599278788758,0.)); #101158=DIRECTION('',(0.562790931257696,0.826599278788758,0.)); #101159=DIRECTION('',(0.,0.,1.)); #101160=DIRECTION('center_axis',(-0.546622410554081,0.837379209366966,0.)); #101161=DIRECTION('ref_axis',(-0.837379209366966,-0.546622410554081,0.)); #101162=DIRECTION('',(0.837379209366966,0.546622410554081,0.)); #101163=DIRECTION('',(0.837379209366966,0.546622410554081,0.)); #101164=DIRECTION('',(0.,0.,1.)); #101165=DIRECTION('center_axis',(-0.161064059112741,0.986943954265959,0.)); #101166=DIRECTION('ref_axis',(-0.986943954265959,-0.161064059112741,0.)); #101167=DIRECTION('',(0.986943954265959,0.161064059112741,0.)); #101168=DIRECTION('',(0.986943954265959,0.161064059112741,0.)); #101169=DIRECTION('',(0.,0.,1.)); #101170=DIRECTION('center_axis',(1.10448702121208E-11,1.,0.)); #101171=DIRECTION('ref_axis',(-1.,1.10448702121208E-11,0.)); #101172=DIRECTION('',(1.,-1.10448702121208E-11,0.)); #101173=DIRECTION('',(1.,-1.10448702121208E-11,0.)); #101174=DIRECTION('',(0.,0.,1.)); #101175=DIRECTION('center_axis',(0.161064095736133,0.986943948289214,0.)); #101176=DIRECTION('ref_axis',(-0.986943948289214,0.161064095736133,0.)); #101177=DIRECTION('',(0.986943948289214,-0.161064095736133,0.)); #101178=DIRECTION('',(0.986943948289214,-0.161064095736133,0.)); #101179=DIRECTION('',(0.,0.,1.)); #101180=DIRECTION('center_axis',(0.546622276267572,0.83737929702618,0.)); #101181=DIRECTION('ref_axis',(-0.83737929702618,0.546622276267571,0.)); #101182=DIRECTION('',(0.83737929702618,-0.546622276267571,0.)); #101183=DIRECTION('',(0.83737929702618,-0.546622276267571,0.)); #101184=DIRECTION('',(0.,0.,1.)); #101185=DIRECTION('center_axis',(0.82659927881428,0.562790931220211,0.)); #101186=DIRECTION('ref_axis',(-0.562790931220211,0.82659927881428,0.)); #101187=DIRECTION('',(0.562790931220211,-0.82659927881428,0.)); #101188=DIRECTION('',(0.562790931220211,-0.82659927881428,0.)); #101189=DIRECTION('',(0.,0.,1.)); #101190=DIRECTION('center_axis',(0.979770694319175,0.200123428296945,0.)); #101191=DIRECTION('ref_axis',(-0.200123428296945,0.979770694319175,0.)); #101192=DIRECTION('',(0.200123428296945,-0.979770694319175,0.)); #101193=DIRECTION('',(0.200123428296945,-0.979770694319175,0.)); #101194=DIRECTION('',(0.,0.,1.)); #101195=DIRECTION('center_axis',(0.979770716765423,-0.200123318403854,0.)); #101196=DIRECTION('ref_axis',(0.200123318403854,0.979770716765422,0.)); #101197=DIRECTION('',(-0.200123318403854,-0.979770716765422,0.)); #101198=DIRECTION('',(-0.200123318403854,-0.979770716765422,0.)); #101199=DIRECTION('',(0.,0.,1.)); #101200=DIRECTION('center_axis',(0.826599278789159,-0.562790931257108,0.)); #101201=DIRECTION('ref_axis',(0.562790931257108,0.826599278789158,0.)); #101202=DIRECTION('',(-0.562790931257108,-0.826599278789159,0.)); #101203=DIRECTION('',(-0.562790931257108,-0.826599278789158,0.)); #101204=DIRECTION('',(0.,0.,1.)); #101205=DIRECTION('center_axis',(0.546622276320827,-0.837379296991416,0.)); #101206=DIRECTION('ref_axis',(0.837379296991415,0.546622276320827,0.)); #101207=DIRECTION('',(-0.837379296991416,-0.546622276320827,0.)); #101208=DIRECTION('',(-0.837379296991415,-0.546622276320827,0.)); #101209=DIRECTION('',(0.,0.,1.)); #101210=DIRECTION('center_axis',(0.161064095726433,-0.986943948290797,0.)); #101211=DIRECTION('ref_axis',(0.986943948290797,0.161064095726433,0.)); #101212=DIRECTION('',(-0.986943948290797,-0.161064095726433,0.)); #101213=DIRECTION('',(-0.986943948290797,-0.161064095726433,0.)); #101214=DIRECTION('',(0.,0.,1.)); #101215=DIRECTION('center_axis',(1.90458785568134E-11,-1.,0.)); #101216=DIRECTION('ref_axis',(1.,1.90458785568134E-11,0.)); #101217=DIRECTION('',(-1.,-1.90458785568134E-11,0.)); #101218=DIRECTION('',(-1.,-1.90458785568134E-11,0.)); #101219=DIRECTION('',(0.,0.,1.)); #101220=DIRECTION('center_axis',(-0.161064059121528,-0.986943954264525, 0.)); #101221=DIRECTION('ref_axis',(0.986943954264525,-0.161064059121528,0.)); #101222=DIRECTION('',(-0.986943954264525,0.161064059121528,0.)); #101223=DIRECTION('',(-0.986943954264525,0.161064059121528,0.)); #101224=DIRECTION('',(0.,0.,1.)); #101225=DIRECTION('center_axis',(-0.546622410493784,-0.837379209406327, 0.)); #101226=DIRECTION('ref_axis',(0.837379209406327,-0.546622410493784,0.)); #101227=DIRECTION('',(-0.837379209406327,0.546622410493784,0.)); #101228=DIRECTION('',(-0.837379209406327,0.546622410493784,0.)); #101229=DIRECTION('',(0.,0.,1.)); #101230=DIRECTION('center_axis',(-0.82659927881407,-0.56279093122052,0.)); #101231=DIRECTION('ref_axis',(0.56279093122052,-0.82659927881407,0.)); #101232=DIRECTION('',(-0.56279093122052,0.82659927881407,0.)); #101233=DIRECTION('',(-0.56279093122052,0.82659927881407,0.)); #101234=DIRECTION('',(0.,0.,1.)); #101235=DIRECTION('center_axis',(-0.979770716761151,-0.200123318424767, 0.)); #101236=DIRECTION('ref_axis',(0.200123318424767,-0.979770716761151,0.)); #101237=DIRECTION('',(-0.200123318424767,0.979770716761151,0.)); #101238=DIRECTION('',(-0.200123318424767,0.979770716761151,0.)); #101239=DIRECTION('center_axis',(-0.699624793461702,-0.714510425657786, 0.)); #101240=DIRECTION('ref_axis',(0.714510425657786,-0.699624793461702,0.)); #101241=DIRECTION('',(0.714510425657786,-0.699624793461702,0.)); #101242=DIRECTION('',(0.,0.,1.)); #101243=DIRECTION('',(0.714510425657786,-0.699624793461702,0.)); #101244=DIRECTION('',(0.,0.,1.)); #101245=DIRECTION('center_axis',(-0.85265344662154,-0.522476889406995,0.)); #101246=DIRECTION('ref_axis',(0.522476889406995,-0.85265344662154,0.)); #101247=DIRECTION('',(0.522476889406995,-0.85265344662154,0.)); #101248=DIRECTION('',(0.,0.,1.)); #101249=DIRECTION('',(0.522476889406995,-0.85265344662154,0.)); #101250=DIRECTION('center_axis',(-0.985821170677876,-0.167799342797587, 0.)); #101251=DIRECTION('ref_axis',(0.167799342797587,-0.985821170677876,0.)); #101252=DIRECTION('',(0.167799342797587,-0.985821170677876,0.)); #101253=DIRECTION('',(0.,0.,1.)); #101254=DIRECTION('',(0.167799342797587,-0.985821170677876,0.)); #101255=DIRECTION('center_axis',(-0.985821170667511,0.167799342858479,0.)); #101256=DIRECTION('ref_axis',(-0.167799342858479,-0.985821170667511,0.)); #101257=DIRECTION('',(-0.167799342858479,-0.985821170667511,0.)); #101258=DIRECTION('',(0.,0.,1.)); #101259=DIRECTION('',(-0.167799342858479,-0.985821170667511,0.)); #101260=DIRECTION('center_axis',(-0.852653446628008,0.522476889396439,0.)); #101261=DIRECTION('ref_axis',(-0.522476889396439,-0.852653446628008,0.)); #101262=DIRECTION('',(-0.522476889396439,-0.852653446628008,0.)); #101263=DIRECTION('',(0.,0.,1.)); #101264=DIRECTION('',(-0.522476889396439,-0.852653446628008,0.)); #101265=DIRECTION('center_axis',(-0.699624793521768,0.714510425598972,0.)); #101266=DIRECTION('ref_axis',(-0.714510425598972,-0.699624793521768,0.)); #101267=DIRECTION('',(-0.714510425598972,-0.699624793521768,0.)); #101268=DIRECTION('',(0.,0.,1.)); #101269=DIRECTION('',(-0.714510425598972,-0.699624793521768,0.)); #101270=DIRECTION('center_axis',(-0.439713095457495,0.898138293183844,0.)); #101271=DIRECTION('ref_axis',(-0.898138293183844,-0.439713095457495,0.)); #101272=DIRECTION('',(-0.898138293183844,-0.439713095457495,0.)); #101273=DIRECTION('',(0.,0.,1.)); #101274=DIRECTION('',(-0.898138293183844,-0.439713095457495,0.)); #101275=DIRECTION('center_axis',(-0.310280599820464,0.95064501754075,0.)); #101276=DIRECTION('ref_axis',(-0.95064501754075,-0.310280599820464,0.)); #101277=DIRECTION('',(-0.95064501754075,-0.310280599820464,0.)); #101278=DIRECTION('',(0.,0.,1.)); #101279=DIRECTION('',(-0.95064501754075,-0.310280599820464,0.)); #101280=DIRECTION('center_axis',(-0.192182095494483,0.981359282919028,0.)); #101281=DIRECTION('ref_axis',(-0.981359282919028,-0.192182095494483,0.)); #101282=DIRECTION('',(-0.981359282919028,-0.192182095494483,0.)); #101283=DIRECTION('',(0.,0.,1.)); #101284=DIRECTION('',(-0.981359282919028,-0.192182095494483,0.)); #101285=DIRECTION('center_axis',(6.29064786367149E-12,1.,0.)); #101286=DIRECTION('ref_axis',(-1.,6.29064786367149E-12,0.)); #101287=DIRECTION('',(-1.,6.29064786367149E-12,0.)); #101288=DIRECTION('',(0.,0.,1.)); #101289=DIRECTION('',(-1.,6.29064786367149E-12,0.)); #101290=DIRECTION('center_axis',(0.192182147364694,0.981359272761151,0.)); #101291=DIRECTION('ref_axis',(-0.981359272761151,0.192182147364694,0.)); #101292=DIRECTION('',(-0.981359272761151,0.192182147364694,0.)); #101293=DIRECTION('',(0.,0.,1.)); #101294=DIRECTION('',(-0.981359272761151,0.192182147364694,0.)); #101295=DIRECTION('center_axis',(0.310280337950694,0.950645103012267,0.)); #101296=DIRECTION('ref_axis',(-0.950645103012266,0.310280337950694,0.)); #101297=DIRECTION('',(-0.950645103012266,0.310280337950694,0.)); #101298=DIRECTION('',(0.,0.,1.)); #101299=DIRECTION('',(-0.950645103012266,0.310280337950694,0.)); #101300=DIRECTION('center_axis',(0.439713157552102,0.898138262783387,0.)); #101301=DIRECTION('ref_axis',(-0.898138262783387,0.439713157552102,0.)); #101302=DIRECTION('',(-0.898138262783387,0.439713157552102,0.)); #101303=DIRECTION('',(0.,0.,1.)); #101304=DIRECTION('',(-0.898138262783387,0.439713157552102,0.)); #101305=DIRECTION('center_axis',(0.699624835233111,0.714510384756612,0.)); #101306=DIRECTION('ref_axis',(-0.714510384756612,0.699624835233111,0.)); #101307=DIRECTION('',(-0.714510384756612,0.699624835233111,0.)); #101308=DIRECTION('',(0.,0.,1.)); #101309=DIRECTION('',(-0.714510384756612,0.699624835233111,0.)); #101310=DIRECTION('center_axis',(0.852653467005061,0.522476856142211,0.)); #101311=DIRECTION('ref_axis',(-0.522476856142211,0.852653467005061,0.)); #101312=DIRECTION('',(-0.522476856142211,0.852653467005061,0.)); #101313=DIRECTION('',(0.,0.,1.)); #101314=DIRECTION('',(-0.522476856142211,0.852653467005061,0.)); #101315=DIRECTION('center_axis',(0.985821173088923,0.167799328632684,0.)); #101316=DIRECTION('ref_axis',(-0.167799328632684,0.985821173088923,0.)); #101317=DIRECTION('',(-0.167799328632684,0.985821173088923,0.)); #101318=DIRECTION('',(0.,0.,1.)); #101319=DIRECTION('',(-0.167799328632684,0.985821173088923,0.)); #101320=DIRECTION('center_axis',(0.985821173098612,-0.167799328575764,0.)); #101321=DIRECTION('ref_axis',(0.167799328575764,0.985821173098612,0.)); #101322=DIRECTION('',(0.167799328575764,0.985821173098612,0.)); #101323=DIRECTION('',(0.,0.,1.)); #101324=DIRECTION('',(0.167799328575764,0.985821173098612,0.)); #101325=DIRECTION('center_axis',(0.852653467014895,-0.522476856126164,0.)); #101326=DIRECTION('ref_axis',(0.522476856126164,0.852653467014895,0.)); #101327=DIRECTION('',(0.522476856126164,0.852653467014895,0.)); #101328=DIRECTION('',(0.,0.,1.)); #101329=DIRECTION('',(0.522476856126164,0.852653467014895,0.)); #101330=DIRECTION('center_axis',(0.699624835121693,-0.714510384865709,0.)); #101331=DIRECTION('ref_axis',(0.714510384865709,0.699624835121693,0.)); #101332=DIRECTION('',(0.714510384865709,0.699624835121693,0.)); #101333=DIRECTION('',(0.,0.,1.)); #101334=DIRECTION('',(0.714510384865709,0.699624835121693,0.)); #101335=DIRECTION('center_axis',(0.439713411319572,-0.898138138543123,0.)); #101336=DIRECTION('ref_axis',(0.898138138543123,0.439713411319572,0.)); #101337=DIRECTION('',(0.898138138543123,0.439713411319572,0.)); #101338=DIRECTION('',(0.,0.,1.)); #101339=DIRECTION('',(0.898138138543123,0.439713411319572,0.)); #101340=DIRECTION('center_axis',(0.310279936746881,-0.950645233960783,0.)); #101341=DIRECTION('ref_axis',(0.950645233960783,0.310279936746881,0.)); #101342=DIRECTION('',(0.950645233960783,0.310279936746881,0.)); #101343=DIRECTION('',(0.,0.,1.)); #101344=DIRECTION('',(0.950645233960783,0.310279936746881,0.)); #101345=DIRECTION('center_axis',(0.192182941667269,-0.981359117210471,0.)); #101346=DIRECTION('ref_axis',(0.981359117210471,0.192182941667269,0.)); #101347=DIRECTION('',(0.981359117210471,0.192182941667269,0.)); #101348=DIRECTION('',(0.,0.,1.)); #101349=DIRECTION('',(0.981359117210471,0.192182941667269,0.)); #101350=DIRECTION('center_axis',(1.53545920986428E-11,-1.,0.)); #101351=DIRECTION('ref_axis',(1.,1.53545920986428E-11,0.)); #101352=DIRECTION('',(1.,1.53545920986428E-11,0.)); #101353=DIRECTION('',(0.,0.,1.)); #101354=DIRECTION('',(1.,1.53545920986428E-11,0.)); #101355=DIRECTION('center_axis',(-0.19218288982572,-0.981359127362779,0.)); #101356=DIRECTION('ref_axis',(0.981359127362779,-0.19218288982572,0.)); #101357=DIRECTION('',(0.981359127362779,-0.19218288982572,0.)); #101358=DIRECTION('',(0.,0.,1.)); #101359=DIRECTION('',(0.981359127362779,-0.19218288982572,0.)); #101360=DIRECTION('center_axis',(-0.310280198680739,-0.950645148468471, 0.)); #101361=DIRECTION('ref_axis',(0.950645148468471,-0.310280198680739,0.)); #101362=DIRECTION('',(0.950645148468471,-0.310280198680739,0.)); #101363=DIRECTION('',(0.,0.,1.)); #101364=DIRECTION('',(0.950645148468471,-0.310280198680739,0.)); #101365=DIRECTION('center_axis',(-0.439713349160112,-0.898138168975352, 0.)); #101366=DIRECTION('ref_axis',(0.898138168975352,-0.439713349160112,0.)); #101367=DIRECTION('',(0.898138168975352,-0.439713349160112,0.)); #101368=DIRECTION('',(0.898138168975352,-0.439713349160112,0.)); #101369=DIRECTION('center_axis',(0.,0.,1.)); #101370=DIRECTION('ref_axis',(1.,0.,0.)); #101371=DIRECTION('center_axis',(-0.939729912382897,0.341917668120313,0.)); #101372=DIRECTION('ref_axis',(-0.341917668120313,-0.939729912382897,0.)); #101373=DIRECTION('',(-0.341917668120313,-0.939729912382897,0.)); #101374=DIRECTION('',(0.,0.,1.)); #101375=DIRECTION('',(-0.341917668120313,-0.939729912382897,0.)); #101376=DIRECTION('',(0.,0.,1.)); #101377=DIRECTION('center_axis',(0.499999999998743,-0.866025403785165,0.)); #101378=DIRECTION('ref_axis',(0.866025403785164,0.499999999998743,0.)); #101379=DIRECTION('',(0.866025403785164,0.499999999998743,0.)); #101380=DIRECTION('',(0.,0.,1.)); #101381=DIRECTION('',(0.866025403785164,0.499999999998743,0.)); #101382=DIRECTION('center_axis',(-0.866025403779793,-0.500000000008047, 0.)); #101383=DIRECTION('ref_axis',(0.500000000008047,-0.866025403779793,0.)); #101384=DIRECTION('',(0.500000000008047,-0.866025403779793,0.)); #101385=DIRECTION('',(0.,0.,1.)); #101386=DIRECTION('',(0.500000000008047,-0.866025403779793,0.)); #101387=DIRECTION('center_axis',(-0.50000000000205,0.866025403783255,0.)); #101388=DIRECTION('ref_axis',(-0.866025403783255,-0.50000000000205,0.)); #101389=DIRECTION('',(-0.866025403783255,-0.50000000000205,0.)); #101390=DIRECTION('',(0.,0.,1.)); #101391=DIRECTION('',(-0.866025403783255,-0.50000000000205,0.)); #101392=DIRECTION('center_axis',(0.866025403779587,0.500000000008403,0.)); #101393=DIRECTION('ref_axis',(-0.500000000008403,0.866025403779587,0.)); #101394=DIRECTION('',(-0.500000000008403,0.866025403779587,0.)); #101395=DIRECTION('',(0.,0.,1.)); #101396=DIRECTION('',(-0.500000000008403,0.866025403779587,0.)); #101397=DIRECTION('center_axis',(0.939729979769818,-0.341917482913373,0.)); #101398=DIRECTION('ref_axis',(0.341917482913373,0.939729979769818,0.)); #101399=DIRECTION('',(0.341917482913373,0.939729979769818,0.)); #101400=DIRECTION('',(0.,0.,1.)); #101401=DIRECTION('',(0.341917482913373,0.939729979769818,0.)); #101402=DIRECTION('center_axis',(0.500000000005889,-0.866025403781039,0.)); #101403=DIRECTION('ref_axis',(0.866025403781039,0.500000000005889,0.)); #101404=DIRECTION('',(0.866025403781039,0.500000000005889,0.)); #101405=DIRECTION('',(0.866025403781039,0.500000000005889,0.)); #101406=DIRECTION('center_axis',(0.,0.,1.)); #101407=DIRECTION('ref_axis',(1.,0.,0.)); #101408=DIRECTION('center_axis',(-0.866025403819855,-0.499999999938657, 0.)); #101409=DIRECTION('ref_axis',(0.499999999938657,-0.866025403819855,0.)); #101410=DIRECTION('',(0.499999999938657,-0.866025403819855,0.)); #101411=DIRECTION('',(0.,0.,1.)); #101412=DIRECTION('',(0.499999999938657,-0.866025403819855,0.)); #101413=DIRECTION('',(0.,0.,1.)); #101414=DIRECTION('center_axis',(-0.499999999996825,0.866025403786272,0.)); #101415=DIRECTION('ref_axis',(-0.866025403786272,-0.499999999996825,0.)); #101416=DIRECTION('',(-0.866025403786272,-0.499999999996825,0.)); #101417=DIRECTION('',(0.,0.,1.)); #101418=DIRECTION('',(-0.866025403786272,-0.499999999996825,0.)); #101419=DIRECTION('center_axis',(-0.207002960336103,-0.978340316256102, 0.)); #101420=DIRECTION('ref_axis',(0.978340316256102,-0.207002960336103,0.)); #101421=DIRECTION('',(0.978340316256102,-0.207002960336103,0.)); #101422=DIRECTION('',(0.,0.,1.)); #101423=DIRECTION('',(0.978340316256102,-0.207002960336103,0.)); #101424=DIRECTION('center_axis',(-0.451880122964649,-0.892078670560761, 0.)); #101425=DIRECTION('ref_axis',(0.892078670560761,-0.451880122964649,0.)); #101426=DIRECTION('',(0.892078670560761,-0.451880122964649,0.)); #101427=DIRECTION('',(0.,0.,1.)); #101428=DIRECTION('',(0.892078670560761,-0.451880122964649,0.)); #101429=DIRECTION('center_axis',(-0.72230327061523,-0.691576449323241,0.)); #101430=DIRECTION('ref_axis',(0.691576449323241,-0.72230327061523,0.)); #101431=DIRECTION('',(0.691576449323241,-0.72230327061523,0.)); #101432=DIRECTION('',(0.,0.,1.)); #101433=DIRECTION('',(0.691576449323241,-0.72230327061523,0.)); #101434=DIRECTION('center_axis',(-0.866025403701148,-0.500000000144264, 0.)); #101435=DIRECTION('ref_axis',(0.500000000144264,-0.866025403701147,0.)); #101436=DIRECTION('',(0.500000000144264,-0.866025403701147,0.)); #101437=DIRECTION('',(0.,0.,1.)); #101438=DIRECTION('',(0.500000000144264,-0.866025403701147,0.)); #101439=DIRECTION('center_axis',(-0.960074409127228,-0.279744756767673, 0.)); #101440=DIRECTION('ref_axis',(0.279744756767673,-0.960074409127228,0.)); #101441=DIRECTION('',(0.279744756767673,-0.960074409127228,0.)); #101442=DIRECTION('',(0.,0.,1.)); #101443=DIRECTION('',(0.279744756767673,-0.960074409127228,0.)); #101444=DIRECTION('center_axis',(-0.96859650285564,0.248637918781155,0.)); #101445=DIRECTION('ref_axis',(-0.248637918781155,-0.96859650285564,0.)); #101446=DIRECTION('',(-0.248637918781155,-0.96859650285564,0.)); #101447=DIRECTION('',(0.,0.,1.)); #101448=DIRECTION('',(-0.248637918781155,-0.96859650285564,0.)); #101449=DIRECTION('center_axis',(-0.839151229293987,0.543898165444957,0.)); #101450=DIRECTION('ref_axis',(-0.543898165444957,-0.839151229293987,0.)); #101451=DIRECTION('',(-0.543898165444957,-0.839151229293987,0.)); #101452=DIRECTION('',(0.,0.,1.)); #101453=DIRECTION('',(-0.543898165444957,-0.839151229293987,0.)); #101454=DIRECTION('center_axis',(-0.63822906791052,0.769846515140563,0.)); #101455=DIRECTION('ref_axis',(-0.769846515140563,-0.63822906791052,0.)); #101456=DIRECTION('',(-0.769846515140563,-0.63822906791052,0.)); #101457=DIRECTION('',(0.,0.,1.)); #101458=DIRECTION('',(-0.769846515140563,-0.63822906791052,0.)); #101459=DIRECTION('center_axis',(-0.499999999926655,0.866025403826784,0.)); #101460=DIRECTION('ref_axis',(-0.866025403826784,-0.499999999926655,0.)); #101461=DIRECTION('',(-0.866025403826784,-0.499999999926655,0.)); #101462=DIRECTION('',(0.,0.,1.)); #101463=DIRECTION('',(-0.866025403826784,-0.499999999926655,0.)); #101464=DIRECTION('center_axis',(-0.369735457008699,0.929137068376119,0.)); #101465=DIRECTION('ref_axis',(-0.929137068376119,-0.369735457008699,0.)); #101466=DIRECTION('',(-0.929137068376119,-0.369735457008699,0.)); #101467=DIRECTION('',(0.,0.,1.)); #101468=DIRECTION('',(-0.929137068376119,-0.369735457008699,0.)); #101469=DIRECTION('center_axis',(-0.0514540859325889,0.998675361186428, 0.)); #101470=DIRECTION('ref_axis',(-0.998675361186428,-0.0514540859325889,0.)); #101471=DIRECTION('',(-0.998675361186428,-0.0514540859325889,0.)); #101472=DIRECTION('',(0.,0.,1.)); #101473=DIRECTION('',(-0.998675361186428,-0.0514540859325889,0.)); #101474=DIRECTION('center_axis',(0.268971734087215,0.963148070787725,0.)); #101475=DIRECTION('ref_axis',(-0.963148070787725,0.268971734087215,0.)); #101476=DIRECTION('',(-0.963148070787725,0.268971734087215,0.)); #101477=DIRECTION('',(0.,0.,1.)); #101478=DIRECTION('',(-0.963148070787725,0.268971734087215,0.)); #101479=DIRECTION('center_axis',(0.722303113492764,0.69157661342664,0.)); #101480=DIRECTION('ref_axis',(-0.69157661342664,0.722303113492764,0.)); #101481=DIRECTION('',(-0.69157661342664,0.722303113492764,0.)); #101482=DIRECTION('',(0.,0.,1.)); #101483=DIRECTION('',(-0.69157661342664,0.722303113492763,0.)); #101484=DIRECTION('center_axis',(0.500000000000186,-0.866025403784332,0.)); #101485=DIRECTION('ref_axis',(0.866025403784332,0.500000000000186,0.)); #101486=DIRECTION('',(0.866025403784332,0.500000000000186,0.)); #101487=DIRECTION('',(0.,0.,1.)); #101488=DIRECTION('',(0.866025403784332,0.500000000000186,0.)); #101489=DIRECTION('center_axis',(-0.451880268967217,-0.892078596603526, 0.)); #101490=DIRECTION('ref_axis',(0.892078596603526,-0.451880268967217,0.)); #101491=DIRECTION('',(0.892078596603526,-0.451880268967217,0.)); #101492=DIRECTION('',(0.,0.,1.)); #101493=DIRECTION('',(0.892078596603526,-0.451880268967217,0.)); #101494=DIRECTION('center_axis',(-0.0740916040681506,-0.99725143981175, 0.)); #101495=DIRECTION('ref_axis',(0.99725143981175,-0.0740916040681506,0.)); #101496=DIRECTION('',(0.99725143981175,-0.0740916040681506,0.)); #101497=DIRECTION('',(0.,0.,1.)); #101498=DIRECTION('',(0.99725143981175,-0.0740916040681506,0.)); #101499=DIRECTION('center_axis',(0.316573454163889,-0.948567998679454,0.)); #101500=DIRECTION('ref_axis',(0.948567998679454,0.316573454163889,0.)); #101501=DIRECTION('',(0.948567998679454,0.316573454163889,0.)); #101502=DIRECTION('',(0.,0.,1.)); #101503=DIRECTION('',(0.948567998679454,0.316573454163889,0.)); #101504=DIRECTION('center_axis',(0.4999999999999,-0.866025403784497,0.)); #101505=DIRECTION('ref_axis',(0.866025403784497,0.4999999999999,0.)); #101506=DIRECTION('',(0.866025403784497,0.4999999999999,0.)); #101507=DIRECTION('',(0.,0.,1.)); #101508=DIRECTION('',(0.866025403784497,0.4999999999999,0.)); #101509=DIRECTION('center_axis',(0.663197277971008,-0.748444634219423,0.)); #101510=DIRECTION('ref_axis',(0.748444634219423,0.663197277971008,0.)); #101511=DIRECTION('',(0.748444634219423,0.663197277971008,0.)); #101512=DIRECTION('',(0.,0.,1.)); #101513=DIRECTION('',(0.748444634219423,0.663197277971008,0.)); #101514=DIRECTION('center_axis',(0.900690882864048,-0.434460508591497,0.)); #101515=DIRECTION('ref_axis',(0.434460508591497,0.900690882864048,0.)); #101516=DIRECTION('',(0.434460508591497,0.900690882864048,0.)); #101517=DIRECTION('',(0.,0.,1.)); #101518=DIRECTION('',(0.434460508591497,0.900690882864048,0.)); #101519=DIRECTION('center_axis',(0.998502852362907,-0.0546996693147201, 0.)); #101520=DIRECTION('ref_axis',(0.0546996693147201,0.998502852362907,0.)); #101521=DIRECTION('',(0.0546996693147201,0.998502852362907,0.)); #101522=DIRECTION('',(0.,0.,1.)); #101523=DIRECTION('',(0.0546996693147201,0.998502852362907,0.)); #101524=DIRECTION('center_axis',(0.866025403701335,0.50000000014394,0.)); #101525=DIRECTION('ref_axis',(-0.50000000014394,0.866025403701335,0.)); #101526=DIRECTION('',(-0.50000000014394,0.866025403701335,0.)); #101527=DIRECTION('',(0.,0.,1.)); #101528=DIRECTION('',(-0.50000000014394,0.866025403701335,0.)); #101529=DIRECTION('center_axis',(0.557953504077221,0.8298722114205,0.)); #101530=DIRECTION('ref_axis',(-0.8298722114205,0.557953504077221,0.)); #101531=DIRECTION('',(-0.8298722114205,0.557953504077221,0.)); #101532=DIRECTION('',(0.,0.,1.)); #101533=DIRECTION('',(-0.8298722114205,0.557953504077221,0.)); #101534=DIRECTION('center_axis',(0.214057716781541,0.976821014253007,0.)); #101535=DIRECTION('ref_axis',(-0.976821014253007,0.214057716781541,0.)); #101536=DIRECTION('',(-0.976821014253007,0.214057716781541,0.)); #101537=DIRECTION('',(0.,0.,1.)); #101538=DIRECTION('',(-0.976821014253007,0.214057716781541,0.)); #101539=DIRECTION('center_axis',(0.866025403767744,0.500000000028917,0.)); #101540=DIRECTION('ref_axis',(-0.500000000028917,0.866025403767743,0.)); #101541=DIRECTION('',(-0.500000000028917,0.866025403767743,0.)); #101542=DIRECTION('',(0.,0.,1.)); #101543=DIRECTION('',(-0.500000000028917,0.866025403767743,0.)); #101544=DIRECTION('center_axis',(0.499999999991296,-0.866025403789464,0.)); #101545=DIRECTION('ref_axis',(0.866025403789464,0.499999999991296,0.)); #101546=DIRECTION('',(0.866025403789464,0.499999999991296,0.)); #101547=DIRECTION('',(0.866025403789464,0.499999999991296,0.)); #101548=DIRECTION('center_axis',(0.,0.,1.)); #101549=DIRECTION('ref_axis',(1.,0.,0.)); #101550=DIRECTION('center_axis',(-2.24511770710022E-13,-1.,0.)); #101551=DIRECTION('ref_axis',(1.,-2.24511770710022E-13,0.)); #101552=DIRECTION('',(1.,-2.24511770710022E-13,0.)); #101553=DIRECTION('',(0.,0.,1.)); #101554=DIRECTION('',(1.,-2.24511770710022E-13,0.)); #101555=DIRECTION('',(0.,0.,1.)); #101556=DIRECTION('center_axis',(-1.,2.4594403906534E-11,0.)); #101557=DIRECTION('ref_axis',(-2.4594403906534E-11,-1.,0.)); #101558=DIRECTION('',(-2.4594403906534E-11,-1.,0.)); #101559=DIRECTION('',(0.,0.,1.)); #101560=DIRECTION('',(-2.4594403906534E-11,-1.,0.)); #101561=DIRECTION('center_axis',(2.25893381605794E-12,1.,0.)); #101562=DIRECTION('ref_axis',(-1.,2.25893381605794E-12,0.)); #101563=DIRECTION('',(-1.,2.25893381605794E-12,0.)); #101564=DIRECTION('',(0.,0.,1.)); #101565=DIRECTION('',(-1.,2.25893381605794E-12,0.)); #101566=DIRECTION('center_axis',(-0.906320914760864,-0.422590108103622, 0.)); #101567=DIRECTION('ref_axis',(0.422590108103622,-0.906320914760864,0.)); #101568=DIRECTION('',(0.422590108103622,-0.906320914760864,0.)); #101569=DIRECTION('',(0.,0.,1.)); #101570=DIRECTION('',(0.422590108103622,-0.906320914760864,0.)); #101571=DIRECTION('center_axis',(4.0930217933536E-12,1.,0.)); #101572=DIRECTION('ref_axis',(-1.,4.0930217933536E-12,0.)); #101573=DIRECTION('',(-1.,4.0930217933536E-12,0.)); #101574=DIRECTION('',(0.,0.,1.)); #101575=DIRECTION('',(-1.,4.0930217933536E-12,0.)); #101576=DIRECTION('center_axis',(0.906320920551337,0.422590095684904,0.)); #101577=DIRECTION('ref_axis',(-0.422590095684904,0.906320920551337,0.)); #101578=DIRECTION('',(-0.422590095684904,0.906320920551337,0.)); #101579=DIRECTION('',(0.,0.,1.)); #101580=DIRECTION('',(-0.422590095684904,0.906320920551337,0.)); #101581=DIRECTION('center_axis',(1.,-2.43508949569658E-11,0.)); #101582=DIRECTION('ref_axis',(2.43508949569658E-11,1.,0.)); #101583=DIRECTION('',(2.43508949569658E-11,1.,0.)); #101584=DIRECTION('',(2.43508949569658E-11,1.,0.)); #101585=DIRECTION('center_axis',(0.,0.,1.)); #101586=DIRECTION('ref_axis',(1.,0.,0.)); #101587=DIRECTION('center_axis',(0.109606438274369,0.993975064420032,0.)); #101588=DIRECTION('ref_axis',(-0.993975064420032,0.109606438274369,0.)); #101589=DIRECTION('',(-0.993975064420032,0.109606438274369,0.)); #101590=DIRECTION('',(0.,0.,1.)); #101591=DIRECTION('',(-0.993975064420032,0.109606438274369,0.)); #101592=DIRECTION('',(0.,0.,1.)); #101593=DIRECTION('center_axis',(0.477181016699579,0.878805028036115,0.)); #101594=DIRECTION('ref_axis',(-0.878805028036115,0.477181016699579,0.)); #101595=DIRECTION('',(-0.878805028036115,0.477181016699579,0.)); #101596=DIRECTION('',(0.,0.,1.)); #101597=DIRECTION('',(-0.878805028036115,0.477181016699579,0.)); #101598=DIRECTION('center_axis',(0.748444641432556,0.663197269830699,0.)); #101599=DIRECTION('ref_axis',(-0.663197269830699,0.748444641432556,0.)); #101600=DIRECTION('',(-0.663197269830699,0.748444641432556,0.)); #101601=DIRECTION('',(0.,0.,1.)); #101602=DIRECTION('',(-0.663197269830699,0.748444641432556,0.)); #101603=DIRECTION('center_axis',(0.866025403777443,0.500000000012117,0.)); #101604=DIRECTION('ref_axis',(-0.500000000012117,0.866025403777443,0.)); #101605=DIRECTION('',(-0.500000000012117,0.866025403777443,0.)); #101606=DIRECTION('',(0.,0.,1.)); #101607=DIRECTION('',(-0.500000000012117,0.866025403777443,0.)); #101608=DIRECTION('center_axis',(0.948567995245961,0.316573464451869,0.)); #101609=DIRECTION('ref_axis',(-0.316573464451869,0.948567995245961,0.)); #101610=DIRECTION('',(-0.316573464451869,0.948567995245961,0.)); #101611=DIRECTION('',(0.,0.,1.)); #101612=DIRECTION('',(-0.316573464451869,0.948567995245961,0.)); #101613=DIRECTION('center_axis',(0.99965798343747,-0.026151790564516,0.)); #101614=DIRECTION('ref_axis',(0.0261517905645159,0.99965798343747,0.)); #101615=DIRECTION('',(0.0261517905645159,0.99965798343747,0.)); #101616=DIRECTION('',(0.,0.,1.)); #101617=DIRECTION('',(0.0261517905645159,0.99965798343747,0.)); #101618=DIRECTION('center_axis',(0.915610887070468,-0.402065546245921,0.)); #101619=DIRECTION('ref_axis',(0.402065546245921,0.915610887070468,0.)); #101620=DIRECTION('',(0.402065546245921,0.915610887070468,0.)); #101621=DIRECTION('',(0.,0.,1.)); #101622=DIRECTION('',(0.402065546245921,0.915610887070468,0.)); #101623=DIRECTION('center_axis',(0.781396670397714,-0.62403464927147,0.)); #101624=DIRECTION('ref_axis',(0.62403464927147,0.781396670397714,0.)); #101625=DIRECTION('',(0.62403464927147,0.781396670397714,0.)); #101626=DIRECTION('',(0.,0.,1.)); #101627=DIRECTION('',(0.62403464927147,0.781396670397714,0.)); #101628=DIRECTION('center_axis',(0.500000000012213,-0.866025403777387,0.)); #101629=DIRECTION('ref_axis',(0.866025403777387,0.500000000012213,0.)); #101630=DIRECTION('',(0.866025403777387,0.500000000012213,0.)); #101631=DIRECTION('',(0.,0.,1.)); #101632=DIRECTION('',(0.866025403777387,0.500000000012213,0.)); #101633=DIRECTION('center_axis',(0.14973157090177,-0.988726684516651,0.)); #101634=DIRECTION('ref_axis',(0.988726684516651,0.14973157090177,0.)); #101635=DIRECTION('',(0.988726684516651,0.14973157090177,0.)); #101636=DIRECTION('',(0.,0.,1.)); #101637=DIRECTION('',(0.988726684516651,0.14973157090177,0.)); #101638=DIRECTION('center_axis',(-0.109606508030634,-0.99397505672795,0.)); #101639=DIRECTION('ref_axis',(0.99397505672795,-0.109606508030634,0.)); #101640=DIRECTION('',(0.99397505672795,-0.109606508030634,0.)); #101641=DIRECTION('',(0.,0.,1.)); #101642=DIRECTION('',(0.99397505672795,-0.109606508030634,0.)); #101643=DIRECTION('center_axis',(-0.477180910948739,-0.878805085457596, 0.)); #101644=DIRECTION('ref_axis',(0.878805085457596,-0.477180910948738,0.)); #101645=DIRECTION('',(0.878805085457596,-0.477180910948738,0.)); #101646=DIRECTION('',(0.,0.,1.)); #101647=DIRECTION('',(0.878805085457596,-0.477180910948739,0.)); #101648=DIRECTION('center_axis',(-0.748444671431409,-0.663197235975792, 0.)); #101649=DIRECTION('ref_axis',(0.663197235975792,-0.748444671431409,0.)); #101650=DIRECTION('',(0.663197235975792,-0.748444671431409,0.)); #101651=DIRECTION('',(0.,0.,1.)); #101652=DIRECTION('',(0.663197235975792,-0.748444671431409,0.)); #101653=DIRECTION('center_axis',(-0.866025403779626,-0.500000000008336, 0.)); #101654=DIRECTION('ref_axis',(0.500000000008336,-0.866025403779626,0.)); #101655=DIRECTION('',(0.500000000008336,-0.866025403779626,0.)); #101656=DIRECTION('',(0.,0.,1.)); #101657=DIRECTION('',(0.500000000008336,-0.866025403779626,0.)); #101658=DIRECTION('center_axis',(-0.948567998682206,-0.316573454155642, 0.)); #101659=DIRECTION('ref_axis',(0.316573454155642,-0.948567998682206,0.)); #101660=DIRECTION('',(0.316573454155642,-0.948567998682206,0.)); #101661=DIRECTION('',(0.,0.,1.)); #101662=DIRECTION('',(0.316573454155642,-0.948567998682206,0.)); #101663=DIRECTION('center_axis',(-0.999657988621897,0.0261515923878958, 0.)); #101664=DIRECTION('ref_axis',(-0.0261515923878958,-0.999657988621897,0.)); #101665=DIRECTION('',(-0.0261515923878958,-0.999657988621897,0.)); #101666=DIRECTION('',(0.,0.,1.)); #101667=DIRECTION('',(-0.0261515923878958,-0.999657988621897,0.)); #101668=DIRECTION('center_axis',(0.499999999982064,-0.866025403794794,0.)); #101669=DIRECTION('ref_axis',(0.866025403794794,0.499999999982064,0.)); #101670=DIRECTION('',(0.866025403794794,0.499999999982064,0.)); #101671=DIRECTION('',(0.,0.,1.)); #101672=DIRECTION('',(0.866025403794794,0.499999999982064,0.)); #101673=DIRECTION('center_axis',(0.866025403778578,0.500000000010151,0.)); #101674=DIRECTION('ref_axis',(-0.500000000010151,0.866025403778578,0.)); #101675=DIRECTION('',(-0.500000000010151,0.866025403778578,0.)); #101676=DIRECTION('',(0.,0.,1.)); #101677=DIRECTION('',(-0.500000000010151,0.866025403778578,0.)); #101678=DIRECTION('center_axis',(0.499999999952089,-0.8660254038121,0.)); #101679=DIRECTION('ref_axis',(0.8660254038121,0.499999999952089,0.)); #101680=DIRECTION('',(0.8660254038121,0.499999999952089,0.)); #101681=DIRECTION('',(0.,0.,1.)); #101682=DIRECTION('',(0.8660254038121,0.499999999952089,0.)); #101683=DIRECTION('center_axis',(-0.866025403788584,-0.49999999999282,0.)); #101684=DIRECTION('ref_axis',(0.49999999999282,-0.866025403788584,0.)); #101685=DIRECTION('',(0.49999999999282,-0.866025403788584,0.)); #101686=DIRECTION('',(0.,0.,1.)); #101687=DIRECTION('',(0.49999999999282,-0.866025403788584,0.)); #101688=DIRECTION('center_axis',(-0.500000000005536,0.866025403781242,0.)); #101689=DIRECTION('ref_axis',(-0.866025403781242,-0.500000000005536,0.)); #101690=DIRECTION('',(-0.866025403781242,-0.500000000005536,0.)); #101691=DIRECTION('',(0.,0.,1.)); #101692=DIRECTION('',(-0.866025403781242,-0.500000000005536,0.)); #101693=DIRECTION('center_axis',(0.866025403768225,0.500000000028084,0.)); #101694=DIRECTION('ref_axis',(-0.500000000028084,0.866025403768224,0.)); #101695=DIRECTION('',(-0.500000000028084,0.866025403768224,0.)); #101696=DIRECTION('',(0.,0.,1.)); #101697=DIRECTION('',(-0.500000000028084,0.866025403768225,0.)); #101698=DIRECTION('center_axis',(0.991557095754301,-0.129670836579763,0.)); #101699=DIRECTION('ref_axis',(0.129670836579763,0.991557095754301,0.)); #101700=DIRECTION('',(0.129670836579763,0.991557095754301,0.)); #101701=DIRECTION('',(0.,0.,1.)); #101702=DIRECTION('',(0.129670836579763,0.991557095754301,0.)); #101703=DIRECTION('center_axis',(0.962798970346193,0.270218694209542,0.)); #101704=DIRECTION('ref_axis',(-0.270218694209542,0.962798970346193,0.)); #101705=DIRECTION('',(-0.270218694209542,0.962798970346193,0.)); #101706=DIRECTION('',(0.,0.,1.)); #101707=DIRECTION('',(-0.270218694209542,0.962798970346193,0.)); #101708=DIRECTION('center_axis',(0.866025403779652,0.500000000008291,0.)); #101709=DIRECTION('ref_axis',(-0.500000000008291,0.866025403779652,0.)); #101710=DIRECTION('',(-0.500000000008291,0.866025403779652,0.)); #101711=DIRECTION('',(0.,0.,1.)); #101712=DIRECTION('',(-0.500000000008291,0.866025403779652,0.)); #101713=DIRECTION('center_axis',(0.715415708998803,0.69869905060601,0.)); #101714=DIRECTION('ref_axis',(-0.69869905060601,0.715415708998803,0.)); #101715=DIRECTION('',(-0.69869905060601,0.715415708998803,0.)); #101716=DIRECTION('',(0.,0.,1.)); #101717=DIRECTION('',(-0.69869905060601,0.715415708998803,0.)); #101718=DIRECTION('center_axis',(0.434460508575918,0.900690882871563,0.)); #101719=DIRECTION('ref_axis',(-0.900690882871563,0.434460508575918,0.)); #101720=DIRECTION('',(-0.900690882871563,0.434460508575918,0.)); #101721=DIRECTION('',(0.,0.,1.)); #101722=DIRECTION('',(-0.900690882871563,0.434460508575918,0.)); #101723=DIRECTION('center_axis',(0.0546996693282092,0.998502852362168,0.)); #101724=DIRECTION('ref_axis',(-0.998502852362168,0.0546996693282092,0.)); #101725=DIRECTION('',(-0.998502852362168,0.0546996693282092,0.)); #101726=DIRECTION('',(0.,0.,1.)); #101727=DIRECTION('',(-0.998502852362168,0.0546996693282092,0.)); #101728=DIRECTION('center_axis',(-0.20661258745985,0.978422832267903,0.)); #101729=DIRECTION('ref_axis',(-0.978422832267903,-0.20661258745985,0.)); #101730=DIRECTION('',(-0.978422832267903,-0.20661258745985,0.)); #101731=DIRECTION('',(0.,0.,1.)); #101732=DIRECTION('',(-0.978422832267903,-0.20661258745985,0.)); #101733=DIRECTION('center_axis',(-0.499999999904267,0.86602540383971,0.)); #101734=DIRECTION('ref_axis',(-0.86602540383971,-0.499999999904266,0.)); #101735=DIRECTION('',(-0.86602540383971,-0.499999999904266,0.)); #101736=DIRECTION('',(0.,0.,1.)); #101737=DIRECTION('',(-0.86602540383971,-0.499999999904266,0.)); #101738=DIRECTION('center_axis',(-0.744032826702739,0.668143063115028,0.)); #101739=DIRECTION('ref_axis',(-0.668143063115028,-0.744032826702739,0.)); #101740=DIRECTION('',(-0.668143063115028,-0.744032826702739,0.)); #101741=DIRECTION('',(0.,0.,1.)); #101742=DIRECTION('',(-0.668143063115028,-0.744032826702739,0.)); #101743=DIRECTION('center_axis',(-0.892078622289373,0.451880218259545,0.)); #101744=DIRECTION('ref_axis',(-0.451880218259545,-0.892078622289373,0.)); #101745=DIRECTION('',(-0.451880218259545,-0.892078622289373,0.)); #101746=DIRECTION('',(0.,0.,1.)); #101747=DIRECTION('',(-0.451880218259545,-0.892078622289373,0.)); #101748=DIRECTION('center_axis',(-0.9972514398134,0.0740916040459452,0.)); #101749=DIRECTION('ref_axis',(-0.0740916040459452,-0.9972514398134,0.)); #101750=DIRECTION('',(-0.0740916040459452,-0.9972514398134,0.)); #101751=DIRECTION('',(0.,0.,1.)); #101752=DIRECTION('',(-0.0740916040459452,-0.9972514398134,0.)); #101753=DIRECTION('center_axis',(-0.96279898191882,-0.270218652975851,0.)); #101754=DIRECTION('ref_axis',(0.270218652975851,-0.96279898191882,0.)); #101755=DIRECTION('',(0.270218652975851,-0.96279898191882,0.)); #101756=DIRECTION('',(0.,0.,1.)); #101757=DIRECTION('',(0.270218652975851,-0.96279898191882,0.)); #101758=DIRECTION('center_axis',(-0.866025403826765,-0.499999999926689, 0.)); #101759=DIRECTION('ref_axis',(0.499999999926689,-0.866025403826765,0.)); #101760=DIRECTION('',(0.499999999926689,-0.866025403826765,0.)); #101761=DIRECTION('',(0.,0.,1.)); #101762=DIRECTION('',(0.499999999926689,-0.866025403826765,0.)); #101763=DIRECTION('center_axis',(-0.715415738891376,-0.698699019998244, 0.)); #101764=DIRECTION('ref_axis',(0.698699019998244,-0.715415738891376,0.)); #101765=DIRECTION('',(0.698699019998244,-0.715415738891376,0.)); #101766=DIRECTION('',(0.,0.,1.)); #101767=DIRECTION('',(0.698699019998244,-0.715415738891376,0.)); #101768=DIRECTION('center_axis',(-0.43446050857218,-0.900690882873365,0.)); #101769=DIRECTION('ref_axis',(0.900690882873365,-0.43446050857218,0.)); #101770=DIRECTION('',(0.900690882873365,-0.43446050857218,0.)); #101771=DIRECTION('',(0.,0.,1.)); #101772=DIRECTION('',(0.900690882873365,-0.43446050857218,0.)); #101773=DIRECTION('center_axis',(0.0682660128347987,-0.997667154662134, 0.)); #101774=DIRECTION('ref_axis',(0.997667154662134,0.0682660128347987,0.)); #101775=DIRECTION('',(0.997667154662134,0.0682660128347987,0.)); #101776=DIRECTION('',(0.,0.,1.)); #101777=DIRECTION('',(0.997667154662134,0.0682660128347987,0.)); #101778=DIRECTION('center_axis',(-0.866025403779895,-0.500000000007869, 0.)); #101779=DIRECTION('ref_axis',(0.500000000007869,-0.866025403779895,0.)); #101780=DIRECTION('',(0.500000000007869,-0.866025403779895,0.)); #101781=DIRECTION('',(0.,0.,1.)); #101782=DIRECTION('',(0.500000000007869,-0.866025403779895,0.)); #101783=DIRECTION('center_axis',(-0.0682660480583956,0.997667152251937, 0.)); #101784=DIRECTION('ref_axis',(-0.997667152251937,-0.0682660480583956,0.)); #101785=DIRECTION('',(-0.997667152251937,-0.0682660480583956,0.)); #101786=DIRECTION('',(-0.997667152251937,-0.0682660480583956,0.)); #101787=DIRECTION('center_axis',(0.,0.,1.)); #101788=DIRECTION('ref_axis',(1.,0.,0.)); #101789=DIRECTION('center_axis',(-0.658971588174718,0.752167830991522,0.)); #101790=DIRECTION('ref_axis',(-0.752167830991522,-0.658971588174717,0.)); #101791=DIRECTION('',(0.752167830991522,0.658971588174717,0.)); #101792=DIRECTION('',(0.,0.,1.)); #101793=DIRECTION('',(0.752167830991522,0.658971588174717,0.)); #101794=DIRECTION('',(0.,0.,1.)); #101795=DIRECTION('center_axis',(1.,1.98951966330184E-11,0.)); #101796=DIRECTION('ref_axis',(-1.98951966330184E-11,1.,0.)); #101797=DIRECTION('',(1.98951966330184E-11,-1.,0.)); #101798=DIRECTION('',(1.98951966330184E-11,-1.,0.)); #101799=DIRECTION('',(0.,0.,1.)); #101800=DIRECTION('center_axis',(-7.17710482359133E-12,-1.,0.)); #101801=DIRECTION('ref_axis',(1.,-7.17710482359133E-12,0.)); #101802=DIRECTION('',(-1.,7.17710482359133E-12,0.)); #101803=DIRECTION('',(-1.,7.17710482359133E-12,0.)); #101804=DIRECTION('center_axis',(1.,-3.4402111327604E-11,0.)); #101805=DIRECTION('ref_axis',(3.4402111327604E-11,1.,0.)); #101806=DIRECTION('',(3.4402111327604E-11,1.,0.)); #101807=DIRECTION('',(0.,0.,1.)); #101808=DIRECTION('',(3.4402111327604E-11,1.,0.)); #101809=DIRECTION('',(0.,0.,1.)); #101810=DIRECTION('center_axis',(-6.58778610134103E-12,-1.,0.)); #101811=DIRECTION('ref_axis',(1.,-6.58778610134103E-12,0.)); #101812=DIRECTION('',(1.,-6.58778610134103E-12,0.)); #101813=DIRECTION('',(0.,0.,1.)); #101814=DIRECTION('',(1.,-6.58778610134103E-12,0.)); #101815=DIRECTION('center_axis',(1.,5.83178015094392E-11,0.)); #101816=DIRECTION('ref_axis',(-5.83178015094392E-11,1.,0.)); #101817=DIRECTION('',(-5.83178015094392E-11,1.,0.)); #101818=DIRECTION('',(0.,0.,1.)); #101819=DIRECTION('',(-5.83178015094392E-11,1.,0.)); #101820=DIRECTION('center_axis',(-2.23216484974951E-11,-1.,0.)); #101821=DIRECTION('ref_axis',(1.,-2.23216484974951E-11,0.)); #101822=DIRECTION('',(1.,-2.23216484974951E-11,0.)); #101823=DIRECTION('',(0.,0.,1.)); #101824=DIRECTION('',(1.,-2.23216484974951E-11,0.)); #101825=DIRECTION('center_axis',(-1.,1.90247838616816E-11,0.)); #101826=DIRECTION('ref_axis',(-1.90247838616816E-11,-1.,0.)); #101827=DIRECTION('',(-1.90247838616816E-11,-1.,0.)); #101828=DIRECTION('',(0.,0.,1.)); #101829=DIRECTION('',(-1.90247838616816E-11,-1.,0.)); #101830=DIRECTION('center_axis',(3.70900167113646E-12,-1.,0.)); #101831=DIRECTION('ref_axis',(1.,3.70900167113646E-12,0.)); #101832=DIRECTION('',(1.,3.70900167113646E-12,0.)); #101833=DIRECTION('',(0.,0.,1.)); #101834=DIRECTION('',(1.,3.70900167113646E-12,0.)); #101835=DIRECTION('center_axis',(-1.,-9.40877020613029E-11,0.)); #101836=DIRECTION('ref_axis',(9.40877020613029E-11,-1.,0.)); #101837=DIRECTION('',(9.40877020613029E-11,-1.,0.)); #101838=DIRECTION('',(0.,0.,1.)); #101839=DIRECTION('',(9.40877020613029E-11,-1.,0.)); #101840=DIRECTION('center_axis',(-0.65652448378789,0.754304714413906,0.)); #101841=DIRECTION('ref_axis',(-0.754304714413906,-0.65652448378789,0.)); #101842=DIRECTION('',(-0.754304714413906,-0.65652448378789,0.)); #101843=DIRECTION('',(0.,0.,1.)); #101844=DIRECTION('',(-0.754304714413906,-0.65652448378789,0.)); #101845=DIRECTION('center_axis',(-1.14652103660696E-11,1.,0.)); #101846=DIRECTION('ref_axis',(-1.,-1.14652103660696E-11,0.)); #101847=DIRECTION('',(-1.,-1.14652103660696E-11,0.)); #101848=DIRECTION('',(0.,0.,1.)); #101849=DIRECTION('',(-1.,-1.14652103660696E-11,0.)); #101850=DIRECTION('center_axis',(1.,-2.43508920539444E-12,0.)); #101851=DIRECTION('ref_axis',(2.43508920539444E-12,1.,0.)); #101852=DIRECTION('',(2.43508920539444E-12,1.,0.)); #101853=DIRECTION('',(0.,0.,1.)); #101854=DIRECTION('',(2.43508920539444E-12,1.,0.)); #101855=DIRECTION('center_axis',(7.08784907273057E-12,1.,0.)); #101856=DIRECTION('ref_axis',(-1.,7.08784907273057E-12,0.)); #101857=DIRECTION('',(-1.,7.08784907273057E-12,0.)); #101858=DIRECTION('',(-1.,7.08784907273057E-12,0.)); #101859=DIRECTION('center_axis',(0.,0.,1.)); #101860=DIRECTION('ref_axis',(1.,0.,0.)); #101861=DIRECTION('center_axis',(0.478238739803558,0.878229871816659,0.)); #101862=DIRECTION('ref_axis',(-0.878229871816659,0.478238739803558,0.)); #101863=DIRECTION('',(-0.878229871816659,0.478238739803558,0.)); #101864=DIRECTION('',(0.,0.,1.)); #101865=DIRECTION('',(-0.878229871816659,0.478238739803558,0.)); #101866=DIRECTION('',(0.,0.,1.)); #101867=DIRECTION('center_axis',(-0.87822987181712,0.478238739802711,0.)); #101868=DIRECTION('ref_axis',(-0.478238739802711,-0.87822987181712,0.)); #101869=DIRECTION('',(-0.478238739802711,-0.87822987181712,0.)); #101870=DIRECTION('',(0.,0.,1.)); #101871=DIRECTION('',(-0.478238739802711,-0.87822987181712,0.)); #101872=DIRECTION('center_axis',(0.,0.,1.)); #101873=DIRECTION('ref_axis',(-0.878229871817116,0.478238739802718,0.)); #101874=DIRECTION('center_axis',(0.,0.,1.)); #101875=DIRECTION('ref_axis',(-0.878229871817116,0.478238739802718,0.)); #101876=DIRECTION('',(0.,0.,1.)); #101877=DIRECTION('center_axis',(0.,0.,1.)); #101878=DIRECTION('ref_axis',(-0.878229871817116,0.478238739802718,0.)); #101879=DIRECTION('center_axis',(0.86602540378462,0.499999999999685,0.)); #101880=DIRECTION('ref_axis',(-0.499999999999685,0.86602540378462,0.)); #101881=DIRECTION('',(-0.499999999999685,0.86602540378462,0.)); #101882=DIRECTION('',(0.,0.,1.)); #101883=DIRECTION('',(-0.499999999999685,0.86602540378462,0.)); #101884=DIRECTION('center_axis',(0.501681402684098,-0.865052466733039,0.)); #101885=DIRECTION('ref_axis',(0.865052466733039,0.501681402684098,0.)); #101886=DIRECTION('',(0.865052466733039,0.501681402684098,0.)); #101887=DIRECTION('',(0.,0.,1.)); #101888=DIRECTION('',(0.865052466733039,0.501681402684098,0.)); #101889=DIRECTION('center_axis',(-0.866025403784621,-0.499999999999685, 0.)); #101890=DIRECTION('ref_axis',(0.499999999999685,-0.866025403784621,0.)); #101891=DIRECTION('',(0.499999999999685,-0.866025403784621,0.)); #101892=DIRECTION('',(0.,0.,1.)); #101893=DIRECTION('',(0.499999999999685,-0.866025403784621,0.)); #101894=DIRECTION('center_axis',(0.,0.,1.)); #101895=DIRECTION('ref_axis',(0.87822987181712,-0.47823873980271,0.)); #101896=DIRECTION('center_axis',(0.,0.,-1.)); #101897=DIRECTION('ref_axis',(0.87822987181712,-0.47823873980271,0.)); #101898=DIRECTION('',(0.,0.,1.)); #101899=DIRECTION('center_axis',(0.,0.,-1.)); #101900=DIRECTION('ref_axis',(0.87822987181712,-0.47823873980271,0.)); #101901=DIRECTION('center_axis',(0.878229871817115,-0.47823873980272,0.)); #101902=DIRECTION('ref_axis',(0.47823873980272,0.878229871817115,0.)); #101903=DIRECTION('',(0.47823873980272,0.878229871817115,0.)); #101904=DIRECTION('',(0.,0.,1.)); #101905=DIRECTION('',(0.47823873980272,0.878229871817115,0.)); #101906=DIRECTION('center_axis',(0.47823873980273,0.87822987181711,0.)); #101907=DIRECTION('ref_axis',(-0.87822987181711,0.47823873980273,0.)); #101908=DIRECTION('',(-0.87822987181711,0.47823873980273,0.)); #101909=DIRECTION('',(0.,0.,1.)); #101910=DIRECTION('',(-0.87822987181711,0.47823873980273,0.)); #101911=DIRECTION('center_axis',(0.441102913416214,-0.89745652807015,0.)); #101912=DIRECTION('ref_axis',(0.89745652807015,0.441102913416214,0.)); #101913=DIRECTION('',(0.89745652807015,0.441102913416214,0.)); #101914=DIRECTION('',(0.,0.,1.)); #101915=DIRECTION('',(0.89745652807015,0.441102913416214,0.)); #101916=DIRECTION('center_axis',(-0.990999264679639,-0.133867312680933, 0.)); #101917=DIRECTION('ref_axis',(0.133867312680933,-0.990999264679639,0.)); #101918=DIRECTION('',(0.133867312680933,-0.990999264679639,0.)); #101919=DIRECTION('',(0.133867312680933,-0.990999264679639,0.)); #101920=DIRECTION('center_axis',(0.,0.,1.)); #101921=DIRECTION('ref_axis',(1.,0.,0.)); #101922=DIRECTION('center_axis',(-5.05192516401733E-13,-1.,0.)); #101923=DIRECTION('ref_axis',(1.,-5.05192516401733E-13,0.)); #101924=DIRECTION('',(1.,-5.05192516401733E-13,0.)); #101925=DIRECTION('',(0.,0.,1.)); #101926=DIRECTION('',(1.,-5.05192516401733E-13,0.)); #101927=DIRECTION('',(0.,0.,1.)); #101928=DIRECTION('center_axis',(0.,0.,1.)); #101929=DIRECTION('ref_axis',(-5.44031457188702E-12,-1.,0.)); #101930=DIRECTION('center_axis',(0.,0.,1.)); #101931=DIRECTION('ref_axis',(-5.44031457188702E-12,-1.,0.)); #101932=DIRECTION('',(0.,0.,1.)); #101933=DIRECTION('center_axis',(0.,0.,1.)); #101934=DIRECTION('ref_axis',(-5.44031457188702E-12,-1.,0.)); #101935=DIRECTION('center_axis',(-0.878229871817633,0.478238739801769,0.)); #101936=DIRECTION('ref_axis',(-0.478238739801769,-0.878229871817633,0.)); #101937=DIRECTION('',(-0.478238739801769,-0.878229871817633,0.)); #101938=DIRECTION('',(0.,0.,1.)); #101939=DIRECTION('',(-0.478238739801769,-0.878229871817633,0.)); #101940=DIRECTION('center_axis',(0.478238739802706,0.878229871817123,0.)); #101941=DIRECTION('ref_axis',(-0.878229871817123,0.478238739802706,0.)); #101942=DIRECTION('',(-0.878229871817123,0.478238739802706,0.)); #101943=DIRECTION('',(0.,0.,1.)); #101944=DIRECTION('',(-0.878229871817123,0.478238739802706,0.)); #101945=DIRECTION('center_axis',(0.878229871817629,-0.478238739801776,0.)); #101946=DIRECTION('ref_axis',(0.478238739801776,0.878229871817629,0.)); #101947=DIRECTION('',(0.478238739801776,0.878229871817629,0.)); #101948=DIRECTION('',(0.,0.,1.)); #101949=DIRECTION('',(0.478238739801776,0.878229871817629,0.)); #101950=DIRECTION('center_axis',(0.,0.,1.)); #101951=DIRECTION('ref_axis',(1.26940673344149E-11,1.,0.)); #101952=DIRECTION('center_axis',(0.,0.,-1.)); #101953=DIRECTION('ref_axis',(1.26940673344149E-11,1.,0.)); #101954=DIRECTION('',(0.,0.,1.)); #101955=DIRECTION('center_axis',(0.,0.,-1.)); #101956=DIRECTION('ref_axis',(1.26940673344149E-11,1.,0.)); #101957=DIRECTION('center_axis',(4.92121558668182E-13,1.,0.)); #101958=DIRECTION('ref_axis',(-1.,4.92121558668182E-13,0.)); #101959=DIRECTION('',(-1.,4.92121558668182E-13,0.)); #101960=DIRECTION('',(0.,0.,1.)); #101961=DIRECTION('',(-1.,4.92121558668182E-13,0.)); #101962=DIRECTION('center_axis',(-1.,0.,0.)); #101963=DIRECTION('ref_axis',(0.,-1.,0.)); #101964=DIRECTION('',(0.,-1.,0.)); #101965=DIRECTION('',(0.,0.,1.)); #101966=DIRECTION('',(0.,-1.,0.)); #101967=DIRECTION('center_axis',(0.582357768896125,0.812932610372058,0.)); #101968=DIRECTION('ref_axis',(-0.812932610372058,0.582357768896125,0.)); #101969=DIRECTION('',(-0.812932610372058,0.582357768896125,0.)); #101970=DIRECTION('',(0.,0.,1.)); #101971=DIRECTION('',(-0.812932610372058,0.582357768896125,0.)); #101972=DIRECTION('center_axis',(0.575493406174598,-0.817806419300778,0.)); #101973=DIRECTION('ref_axis',(0.817806419300778,0.575493406174598,0.)); #101974=DIRECTION('',(0.817806419300778,0.575493406174598,0.)); #101975=DIRECTION('',(0.,0.,1.)); #101976=DIRECTION('',(0.817806419300778,0.575493406174598,0.)); #101977=DIRECTION('center_axis',(-1.,0.,0.)); #101978=DIRECTION('ref_axis',(0.,-1.,0.)); #101979=DIRECTION('',(0.,-1.,0.)); #101980=DIRECTION('',(0.,-1.,0.)); #101981=DIRECTION('center_axis',(0.,0.,1.)); #101982=DIRECTION('ref_axis',(1.,0.,0.)); #101983=DIRECTION('center_axis',(-1.,0.,0.)); #101984=DIRECTION('ref_axis',(0.,-1.,0.)); #101985=DIRECTION('',(0.,-1.,0.)); #101986=DIRECTION('',(0.,0.,1.)); #101987=DIRECTION('',(0.,-1.,0.)); #101988=DIRECTION('',(0.,0.,1.)); #101989=DIRECTION('center_axis',(2.76479502695124E-13,1.,0.)); #101990=DIRECTION('ref_axis',(-1.,2.76479502695124E-13,0.)); #101991=DIRECTION('',(-1.,2.76479502695124E-13,0.)); #101992=DIRECTION('',(0.,0.,1.)); #101993=DIRECTION('',(-1.,2.76479502695124E-13,0.)); #101994=DIRECTION('center_axis',(0.,0.,1.)); #101995=DIRECTION('ref_axis',(0.866025403784628,0.499999999999672,0.)); #101996=DIRECTION('center_axis',(0.,0.,-1.)); #101997=DIRECTION('ref_axis',(0.866025403784628,0.499999999999672,0.)); #101998=DIRECTION('',(0.,0.,1.)); #101999=DIRECTION('center_axis',(0.,0.,-1.)); #102000=DIRECTION('ref_axis',(0.866025403784628,0.499999999999672,0.)); #102001=DIRECTION('center_axis',(-0.866025403784622,-0.499999999999682, 0.)); #102002=DIRECTION('ref_axis',(0.499999999999682,-0.866025403784622,0.)); #102003=DIRECTION('',(0.499999999999682,-0.866025403784622,0.)); #102004=DIRECTION('',(0.,0.,1.)); #102005=DIRECTION('',(0.499999999999682,-0.866025403784622,0.)); #102006=DIRECTION('center_axis',(0.499999999999915,-0.866025403784488,0.)); #102007=DIRECTION('ref_axis',(0.866025403784488,0.499999999999915,0.)); #102008=DIRECTION('',(0.866025403784488,0.499999999999915,0.)); #102009=DIRECTION('',(0.,0.,1.)); #102010=DIRECTION('',(0.866025403784488,0.499999999999915,0.)); #102011=DIRECTION('center_axis',(-0.995854351815442,0.090962134815789,0.)); #102012=DIRECTION('ref_axis',(-0.0909621348157889,-0.995854351815442,0.)); #102013=DIRECTION('',(-0.0909621348157889,-0.995854351815442,0.)); #102014=DIRECTION('',(0.,0.,1.)); #102015=DIRECTION('',(-0.0909621348157889,-0.995854351815442,0.)); #102016=DIRECTION('center_axis',(0.423415492830285,0.905935605013561,0.)); #102017=DIRECTION('ref_axis',(-0.905935605013561,0.423415492830284,0.)); #102018=DIRECTION('',(-0.905935605013561,0.423415492830285,0.)); #102019=DIRECTION('',(0.,0.,1.)); #102020=DIRECTION('',(-0.905935605013561,0.423415492830284,0.)); #102021=DIRECTION('center_axis',(0.49999999999968,-0.866025403784624,0.)); #102022=DIRECTION('ref_axis',(0.866025403784623,0.49999999999968,0.)); #102023=DIRECTION('',(0.866025403784623,0.49999999999968,0.)); #102024=DIRECTION('',(0.,0.,1.)); #102025=DIRECTION('',(0.866025403784623,0.49999999999968,0.)); #102026=DIRECTION('center_axis',(0.866025403784623,0.499999999999682,0.)); #102027=DIRECTION('ref_axis',(-0.499999999999682,0.866025403784623,0.)); #102028=DIRECTION('',(-0.499999999999682,0.866025403784623,0.)); #102029=DIRECTION('',(0.,0.,1.)); #102030=DIRECTION('',(-0.499999999999682,0.866025403784623,0.)); #102031=DIRECTION('center_axis',(0.,0.,1.)); #102032=DIRECTION('ref_axis',(-0.866025403784625,-0.499999999999678,0.)); #102033=DIRECTION('center_axis',(0.,0.,1.)); #102034=DIRECTION('ref_axis',(-0.866025403784625,-0.499999999999678,0.)); #102035=DIRECTION('',(0.,0.,1.)); #102036=DIRECTION('center_axis',(0.,0.,1.)); #102037=DIRECTION('ref_axis',(-0.866025403784625,-0.499999999999678,0.)); #102038=DIRECTION('center_axis',(-4.33351568354787E-13,-1.,0.)); #102039=DIRECTION('ref_axis',(1.,-4.33351568354787E-13,0.)); #102040=DIRECTION('',(1.,-4.33351568354787E-13,0.)); #102041=DIRECTION('',(1.,-4.33351568354787E-13,0.)); #102042=DIRECTION('center_axis',(0.,0.,1.)); #102043=DIRECTION('ref_axis',(1.,0.,0.)); #102044=DIRECTION('center_axis',(0.,0.,1.)); #102045=DIRECTION('ref_axis',(1.,0.,0.)); #102046=DIRECTION('center_axis',(0.,0.,-1.)); #102047=DIRECTION('ref_axis',(1.,0.,0.)); #102048=DIRECTION('',(0.,0.,-1.)); #102049=DIRECTION('center_axis',(0.,0.,-1.)); #102050=DIRECTION('ref_axis',(1.,0.,0.)); #102051=DIRECTION('center_axis',(0.707106781188759,-0.707106781184336,0.)); #102052=DIRECTION('ref_axis',(0.707106781184336,0.707106781188759,0.)); #102053=DIRECTION('',(-0.707106781184336,-0.707106781188759,0.)); #102054=DIRECTION('',(0.,0.,1.)); #102055=DIRECTION('',(-0.707106781184336,-0.707106781188759,0.)); #102056=DIRECTION('',(0.,0.,1.)); #102057=DIRECTION('center_axis',(0.,0.,1.)); #102058=DIRECTION('ref_axis',(-0.283189908665322,-0.95906385378145,0.)); #102059=DIRECTION('center_axis',(0.,0.,1.)); #102060=DIRECTION('ref_axis',(-0.283189908665322,-0.95906385378145,0.)); #102061=DIRECTION('center_axis',(0.,0.,1.)); #102062=DIRECTION('ref_axis',(-0.283189908665322,-0.95906385378145,0.)); #102063=DIRECTION('',(0.,0.,1.)); #102064=DIRECTION('center_axis',(-0.965925826289076,0.258819045102492,0.)); #102065=DIRECTION('ref_axis',(-0.258819045102492,-0.965925826289076,0.)); #102066=DIRECTION('',(0.258819045102492,0.965925826289076,0.)); #102067=DIRECTION('',(0.258819045102492,0.965925826289076,0.)); #102068=DIRECTION('',(0.,0.,1.)); #102069=DIRECTION('center_axis',(0.,0.,1.)); #102070=DIRECTION('ref_axis',(0.270098720106681,0.962832634156494,0.)); #102071=DIRECTION('center_axis',(0.,0.,-1.)); #102072=DIRECTION('ref_axis',(0.270098720106681,0.962832634156494,0.)); #102073=DIRECTION('center_axis',(0.,0.,-1.)); #102074=DIRECTION('ref_axis',(0.270098720106681,0.962832634156494,0.)); #102075=DIRECTION('center_axis',(0.,0.,1.)); #102076=DIRECTION('ref_axis',(-0.972168615559036,-0.234282271890185,0.)); #102077=DIRECTION('center_axis',(0.,0.,1.)); #102078=DIRECTION('ref_axis',(-0.972168615559036,-0.234282271890185,0.)); #102079=DIRECTION('',(0.,0.,1.)); #102080=DIRECTION('center_axis',(0.,0.,1.)); #102081=DIRECTION('ref_axis',(-0.972168615559036,-0.234282271890185,0.)); #102082=DIRECTION('',(0.,0.,1.)); #102083=DIRECTION('center_axis',(-0.258819045102169,0.965925826289162,0.)); #102084=DIRECTION('ref_axis',(-0.965925826289163,-0.258819045102169,0.)); #102085=DIRECTION('',(0.965925826289163,0.258819045102169,0.)); #102086=DIRECTION('',(0.965925826289163,0.258819045102169,0.)); #102087=DIRECTION('',(0.,0.,1.)); #102088=DIRECTION('center_axis',(0.,0.,1.)); #102089=DIRECTION('ref_axis',(0.968886880825715,0.247503963935563,0.)); #102090=DIRECTION('center_axis',(0.,0.,-1.)); #102091=DIRECTION('ref_axis',(0.968886880825715,0.247503963935563,0.)); #102092=DIRECTION('center_axis',(0.,0.,-1.)); #102093=DIRECTION('ref_axis',(0.968886880825715,0.247503963935563,0.)); #102094=DIRECTION('',(0.,0.,1.)); #102095=DIRECTION('center_axis',(-0.258819045102521,-0.965925826289068, 0.)); #102096=DIRECTION('ref_axis',(0.965925826289068,-0.258819045102521,0.)); #102097=DIRECTION('',(-0.965925826289068,0.258819045102521,0.)); #102098=DIRECTION('',(-0.965925826289068,0.258819045102521,0.)); #102099=DIRECTION('center_axis',(-0.707106781188664,0.707106781184431,0.)); #102100=DIRECTION('ref_axis',(-0.707106781184431,-0.707106781188664,0.)); #102101=DIRECTION('',(0.707106781184431,0.707106781188664,0.)); #102102=DIRECTION('',(0.,0.,1.)); #102103=DIRECTION('',(0.707106781184431,0.707106781188664,0.)); #102104=DIRECTION('',(0.,0.,1.)); #102105=DIRECTION('center_axis',(0.,0.,1.)); #102106=DIRECTION('ref_axis',(-0.715328670220502,-0.698788160718661,0.)); #102107=DIRECTION('center_axis',(0.,0.,-1.)); #102108=DIRECTION('ref_axis',(-0.715328670220502,-0.698788160718661,0.)); #102109=DIRECTION('center_axis',(0.,0.,-1.)); #102110=DIRECTION('ref_axis',(-0.715328670220502,-0.698788160718661,0.)); #102111=DIRECTION('',(0.,0.,1.)); #102112=DIRECTION('center_axis',(0.258819045102106,-0.965925826289179,0.)); #102113=DIRECTION('ref_axis',(0.965925826289179,0.258819045102106,0.)); #102114=DIRECTION('',(-0.965925826289179,-0.258819045102106,0.)); #102115=DIRECTION('',(-0.965925826289179,-0.258819045102106,0.)); #102116=DIRECTION('',(0.,0.,1.)); #102117=DIRECTION('center_axis',(0.,0.,1.)); #102118=DIRECTION('ref_axis',(0.724781581893116,0.688978706890505,0.)); #102119=DIRECTION('center_axis',(0.,0.,1.)); #102120=DIRECTION('ref_axis',(0.724781581893116,0.688978706890505,0.)); #102121=DIRECTION('center_axis',(0.,0.,1.)); #102122=DIRECTION('ref_axis',(0.724781581893116,0.688978706890505,0.)); #102123=DIRECTION('center_axis',(0.258819045102504,0.965925826289073,0.)); #102124=DIRECTION('ref_axis',(-0.965925826289073,0.258819045102504,0.)); #102125=DIRECTION('',(0.965925826289073,-0.258819045102504,0.)); #102126=DIRECTION('',(0.,0.,1.)); #102127=DIRECTION('',(0.965925826289073,-0.258819045102504,0.)); #102128=DIRECTION('',(0.,0.,1.)); #102129=DIRECTION('center_axis',(0.,0.,1.)); #102130=DIRECTION('ref_axis',(-0.962832634156456,0.270098720106817,0.)); #102131=DIRECTION('center_axis',(0.,0.,-1.)); #102132=DIRECTION('ref_axis',(-0.962832634156456,0.270098720106817,0.)); #102133=DIRECTION('center_axis',(0.,0.,-1.)); #102134=DIRECTION('ref_axis',(-0.962832634156456,0.270098720106817,0.)); #102135=DIRECTION('',(0.,0.,1.)); #102136=DIRECTION('center_axis',(-0.707106781186292,-0.707106781186803, 0.)); #102137=DIRECTION('ref_axis',(0.707106781186803,-0.707106781186292,0.)); #102138=DIRECTION('',(-0.707106781186803,0.707106781186292,0.)); #102139=DIRECTION('',(-0.707106781186803,0.707106781186292,0.)); #102140=DIRECTION('',(0.,0.,1.)); #102141=DIRECTION('center_axis',(0.,0.,1.)); #102142=DIRECTION('ref_axis',(0.959063853781366,-0.283189908665607,0.)); #102143=DIRECTION('center_axis',(0.,0.,1.)); #102144=DIRECTION('ref_axis',(0.959063853781366,-0.283189908665607,0.)); #102145=DIRECTION('center_axis',(0.,0.,1.)); #102146=DIRECTION('ref_axis',(0.959063853781366,-0.283189908665607,0.)); #102147=DIRECTION('center_axis',(0.965925826289841,0.258819045099638,0.)); #102148=DIRECTION('ref_axis',(-0.258819045099638,0.965925826289841,0.)); #102149=DIRECTION('',(0.258819045099638,-0.965925826289841,0.)); #102150=DIRECTION('',(0.,0.,1.)); #102151=DIRECTION('',(0.258819045099638,-0.965925826289841,0.)); #102152=DIRECTION('',(0.,0.,1.)); #102153=DIRECTION('center_axis',(0.,0.,1.)); #102154=DIRECTION('ref_axis',(0.688978706894311,-0.724781581889498,0.)); #102155=DIRECTION('center_axis',(0.,0.,1.)); #102156=DIRECTION('ref_axis',(0.688978706894311,-0.724781581889498,0.)); #102157=DIRECTION('center_axis',(0.,0.,1.)); #102158=DIRECTION('ref_axis',(0.688978706894311,-0.724781581889498,0.)); #102159=DIRECTION('',(0.,0.,1.)); #102160=DIRECTION('center_axis',(-0.70710678118625,-0.707106781186845,0.)); #102161=DIRECTION('ref_axis',(0.707106781186845,-0.70710678118625,0.)); #102162=DIRECTION('',(-0.707106781186845,0.70710678118625,0.)); #102163=DIRECTION('',(-0.707106781186845,0.70710678118625,0.)); #102164=DIRECTION('',(0.,0.,1.)); #102165=DIRECTION('center_axis',(0.,0.,1.)); #102166=DIRECTION('ref_axis',(-0.698788160719405,0.715328670219775,0.)); #102167=DIRECTION('center_axis',(0.,0.,-1.)); #102168=DIRECTION('ref_axis',(-0.698788160719405,0.715328670219775,0.)); #102169=DIRECTION('center_axis',(0.,0.,-1.)); #102170=DIRECTION('ref_axis',(-0.698788160719405,0.715328670219775,0.)); #102171=DIRECTION('center_axis',(0.,0.,1.)); #102172=DIRECTION('ref_axis',(-0.247503963936168,0.96888688082556,0.)); #102173=DIRECTION('center_axis',(0.,0.,-1.)); #102174=DIRECTION('ref_axis',(-0.247503963936168,0.96888688082556,0.)); #102175=DIRECTION('',(0.,0.,1.)); #102176=DIRECTION('center_axis',(0.,0.,-1.)); #102177=DIRECTION('ref_axis',(-0.247503963936168,0.96888688082556,0.)); #102178=DIRECTION('',(0.,0.,1.)); #102179=DIRECTION('center_axis',(0.965925826289055,-0.258819045102571,0.)); #102180=DIRECTION('ref_axis',(0.258819045102571,0.965925826289055,0.)); #102181=DIRECTION('',(-0.258819045102571,-0.965925826289055,0.)); #102182=DIRECTION('',(-0.258819045102571,-0.965925826289055,0.)); #102183=DIRECTION('',(0.,0.,1.)); #102184=DIRECTION('center_axis',(0.,0.,1.)); #102185=DIRECTION('ref_axis',(0.234282271894628,-0.972168615557966,0.)); #102186=DIRECTION('center_axis',(0.,0.,1.)); #102187=DIRECTION('ref_axis',(0.234282271894628,-0.972168615557966,0.)); #102188=DIRECTION('center_axis',(0.,0.,1.)); #102189=DIRECTION('ref_axis',(0.234282271894628,-0.972168615557966,0.)); #102190=DIRECTION('',(0.,0.,1.)); #102191=DIRECTION('center_axis',(-0.965925826289857,-0.258819045099577, 0.)); #102192=DIRECTION('ref_axis',(0.258819045099577,-0.965925826289857,0.)); #102193=DIRECTION('',(-0.258819045099577,0.965925826289857,0.)); #102194=DIRECTION('',(-0.258819045099577,0.965925826289857,0.)); #102195=DIRECTION('center_axis',(0.,0.,1.)); #102196=DIRECTION('ref_axis',(0.247503963936043,-0.968886880825593,0.)); #102197=DIRECTION('center_axis',(0.,0.,-1.)); #102198=DIRECTION('ref_axis',(0.247503963936043,-0.968886880825593,0.)); #102199=DIRECTION('',(0.,0.,1.)); #102200=DIRECTION('center_axis',(0.,0.,-1.)); #102201=DIRECTION('ref_axis',(0.247503963936043,-0.968886880825593,0.)); #102202=DIRECTION('',(0.,0.,1.)); #102203=DIRECTION('center_axis',(-0.965925826289061,0.25881904510255,0.)); #102204=DIRECTION('ref_axis',(-0.25881904510255,-0.965925826289061,0.)); #102205=DIRECTION('',(0.25881904510255,0.965925826289061,0.)); #102206=DIRECTION('',(0.25881904510255,0.965925826289061,0.)); #102207=DIRECTION('',(0.,0.,1.)); #102208=DIRECTION('center_axis',(0.,0.,1.)); #102209=DIRECTION('ref_axis',(-0.234282271894369,0.972168615558028,0.)); #102210=DIRECTION('center_axis',(0.,0.,1.)); #102211=DIRECTION('ref_axis',(-0.234282271894369,0.972168615558028,0.)); #102212=DIRECTION('center_axis',(0.,0.,1.)); #102213=DIRECTION('ref_axis',(-0.234282271894369,0.972168615558028,0.)); #102214=DIRECTION('',(0.,0.,1.)); #102215=DIRECTION('center_axis',(0.965925826289859,0.258819045099569,0.)); #102216=DIRECTION('ref_axis',(-0.258819045099569,0.965925826289859,0.)); #102217=DIRECTION('',(0.258819045099569,-0.965925826289859,0.)); #102218=DIRECTION('',(0.258819045099569,-0.965925826289859,0.)); #102219=DIRECTION('center_axis',(0.707106781186239,0.707106781186856,0.)); #102220=DIRECTION('ref_axis',(-0.707106781186856,0.707106781186239,0.)); #102221=DIRECTION('',(0.707106781186856,-0.707106781186239,0.)); #102222=DIRECTION('',(0.,0.,1.)); #102223=DIRECTION('',(0.707106781186856,-0.707106781186239,0.)); #102224=DIRECTION('',(0.,0.,1.)); #102225=DIRECTION('center_axis',(0.,0.,1.)); #102226=DIRECTION('ref_axis',(0.698788160719251,-0.715328670219925,0.)); #102227=DIRECTION('center_axis',(0.,0.,-1.)); #102228=DIRECTION('ref_axis',(0.698788160719251,-0.715328670219925,0.)); #102229=DIRECTION('center_axis',(0.,0.,-1.)); #102230=DIRECTION('ref_axis',(0.698788160719251,-0.715328670219925,0.)); #102231=DIRECTION('',(0.,0.,1.)); #102232=DIRECTION('center_axis',(-0.965925826289835,-0.25881904509966,0.)); #102233=DIRECTION('ref_axis',(0.25881904509966,-0.965925826289835,0.)); #102234=DIRECTION('',(-0.25881904509966,0.965925826289835,0.)); #102235=DIRECTION('',(-0.25881904509966,0.965925826289835,0.)); #102236=DIRECTION('',(0.,0.,1.)); #102237=DIRECTION('center_axis',(0.,0.,1.)); #102238=DIRECTION('ref_axis',(-0.688978706893971,0.724781581889821,0.)); #102239=DIRECTION('center_axis',(0.,0.,1.)); #102240=DIRECTION('ref_axis',(-0.688978706893971,0.724781581889821,0.)); #102241=DIRECTION('center_axis',(0.,0.,1.)); #102242=DIRECTION('ref_axis',(-0.688978706893971,0.724781581889821,0.)); #102243=DIRECTION('center_axis',(0.707106781188669,-0.707106781184426,0.)); #102244=DIRECTION('ref_axis',(0.707106781184426,0.707106781188669,0.)); #102245=DIRECTION('',(-0.707106781184426,-0.707106781188669,0.)); #102246=DIRECTION('',(0.,0.,1.)); #102247=DIRECTION('',(-0.707106781184426,-0.707106781188669,0.)); #102248=DIRECTION('',(0.,0.,1.)); #102249=DIRECTION('center_axis',(0.,0.,1.)); #102250=DIRECTION('ref_axis',(-0.71532867022042,-0.698788160718744,0.)); #102251=DIRECTION('center_axis',(0.,0.,-1.)); #102252=DIRECTION('ref_axis',(-0.71532867022042,-0.698788160718744,0.)); #102253=DIRECTION('center_axis',(0.,0.,-1.)); #102254=DIRECTION('ref_axis',(-0.71532867022042,-0.698788160718744,0.)); #102255=DIRECTION('',(0.,0.,1.)); #102256=DIRECTION('center_axis',(-0.258819045102102,0.965925826289181,0.)); #102257=DIRECTION('ref_axis',(-0.96592582628918,-0.258819045102102,0.)); #102258=DIRECTION('',(0.96592582628918,0.258819045102102,0.)); #102259=DIRECTION('',(0.96592582628918,0.258819045102102,0.)); #102260=DIRECTION('',(0.,0.,1.)); #102261=DIRECTION('center_axis',(0.,0.,1.)); #102262=DIRECTION('ref_axis',(0.724781581892937,0.688978706890693,0.)); #102263=DIRECTION('center_axis',(0.,0.,1.)); #102264=DIRECTION('ref_axis',(0.724781581892937,0.688978706890693,0.)); #102265=DIRECTION('center_axis',(0.,0.,1.)); #102266=DIRECTION('ref_axis',(0.724781581892937,0.688978706890693,0.)); #102267=DIRECTION('center_axis',(0.,0.,1.)); #102268=DIRECTION('ref_axis',(-0.968886880825665,-0.247503963935761,0.)); #102269=DIRECTION('center_axis',(0.,0.,-1.)); #102270=DIRECTION('ref_axis',(-0.968886880825665,-0.247503963935761,0.)); #102271=DIRECTION('',(0.,0.,1.)); #102272=DIRECTION('center_axis',(0.,0.,-1.)); #102273=DIRECTION('ref_axis',(-0.968886880825665,-0.247503963935761,0.)); #102274=DIRECTION('',(0.,0.,1.)); #102275=DIRECTION('center_axis',(0.25881904510259,0.96592582628905,0.)); #102276=DIRECTION('ref_axis',(-0.96592582628905,0.25881904510259,0.)); #102277=DIRECTION('',(0.96592582628905,-0.25881904510259,0.)); #102278=DIRECTION('',(0.96592582628905,-0.25881904510259,0.)); #102279=DIRECTION('',(0.,0.,1.)); #102280=DIRECTION('center_axis',(0.,0.,1.)); #102281=DIRECTION('ref_axis',(0.972168615558953,0.234282271890532,0.)); #102282=DIRECTION('center_axis',(0.,0.,1.)); #102283=DIRECTION('ref_axis',(0.972168615558953,0.234282271890532,0.)); #102284=DIRECTION('center_axis',(0.,0.,1.)); #102285=DIRECTION('ref_axis',(0.972168615558953,0.234282271890532,0.)); #102286=DIRECTION('',(0.,0.,1.)); #102287=DIRECTION('center_axis',(0.258819045102312,-0.965925826289124,0.)); #102288=DIRECTION('ref_axis',(0.965925826289124,0.258819045102312,0.)); #102289=DIRECTION('',(-0.965925826289124,-0.258819045102312,0.)); #102290=DIRECTION('',(-0.965925826289124,-0.258819045102312,0.)); #102291=DIRECTION('center_axis',(-0.258819045102463,-0.965925826289084, 0.)); #102292=DIRECTION('ref_axis',(0.965925826289084,-0.258819045102463,0.)); #102293=DIRECTION('',(-0.965925826289084,0.258819045102463,0.)); #102294=DIRECTION('',(0.,0.,1.)); #102295=DIRECTION('',(-0.965925826289084,0.258819045102463,0.)); #102296=DIRECTION('',(0.,0.,1.)); #102297=DIRECTION('center_axis',(0.,0.,1.)); #102298=DIRECTION('ref_axis',(0.962832634156525,-0.270098720106569,0.)); #102299=DIRECTION('center_axis',(0.,0.,-1.)); #102300=DIRECTION('ref_axis',(0.962832634156525,-0.270098720106569,0.)); #102301=DIRECTION('center_axis',(0.,0.,-1.)); #102302=DIRECTION('ref_axis',(0.962832634156525,-0.270098720106569,0.)); #102303=DIRECTION('',(0.,0.,1.)); #102304=DIRECTION('center_axis',(0.707106781186332,0.707106781186764,0.)); #102305=DIRECTION('ref_axis',(-0.707106781186764,0.707106781186332,0.)); #102306=DIRECTION('',(0.707106781186764,-0.707106781186332,0.)); #102307=DIRECTION('',(0.707106781186764,-0.707106781186332,0.)); #102308=DIRECTION('',(0.,0.,1.)); #102309=DIRECTION('center_axis',(0.,0.,1.)); #102310=DIRECTION('ref_axis',(-0.959063853781516,0.2831899086651,0.)); #102311=DIRECTION('center_axis',(0.,0.,1.)); #102312=DIRECTION('ref_axis',(-0.959063853781516,0.2831899086651,0.)); #102313=DIRECTION('center_axis',(0.,0.,1.)); #102314=DIRECTION('ref_axis',(-0.959063853781516,0.2831899086651,0.)); #102315=DIRECTION('center_axis',(0.96592582628907,-0.258819045102513,0.)); #102316=DIRECTION('ref_axis',(0.258819045102513,0.96592582628907,0.)); #102317=DIRECTION('',(-0.258819045102513,-0.96592582628907,0.)); #102318=DIRECTION('',(0.,0.,1.)); #102319=DIRECTION('',(-0.258819045102513,-0.96592582628907,0.)); #102320=DIRECTION('',(0.,0.,1.)); #102321=DIRECTION('center_axis',(0.,0.,1.)); #102322=DIRECTION('ref_axis',(0.270098720106697,0.962832634156489,0.)); #102323=DIRECTION('center_axis',(0.,0.,-1.)); #102324=DIRECTION('ref_axis',(0.270098720106697,0.962832634156489,0.)); #102325=DIRECTION('center_axis',(0.,0.,-1.)); #102326=DIRECTION('ref_axis',(0.270098720106697,0.962832634156489,0.)); #102327=DIRECTION('',(0.,0.,1.)); #102328=DIRECTION('center_axis',(-0.707106781188706,0.707106781184389,0.)); #102329=DIRECTION('ref_axis',(-0.707106781184389,-0.707106781188706,0.)); #102330=DIRECTION('',(0.707106781184389,0.707106781188706,0.)); #102331=DIRECTION('',(0.707106781184389,0.707106781188706,0.)); #102332=DIRECTION('',(0.,0.,1.)); #102333=DIRECTION('center_axis',(0.,0.,1.)); #102334=DIRECTION('ref_axis',(-0.283189908665324,-0.959063853781449,0.)); #102335=DIRECTION('center_axis',(0.,0.,1.)); #102336=DIRECTION('ref_axis',(-0.283189908665324,-0.959063853781449,0.)); #102337=DIRECTION('center_axis',(0.,0.,1.)); #102338=DIRECTION('ref_axis',(-0.283189908665324,-0.959063853781449,0.)); #102339=DIRECTION('center_axis',(0.,0.,1.)); #102340=DIRECTION('ref_axis',(1.,0.,0.)); #102341=DIRECTION('center_axis',(0.,0.,1.)); #102342=DIRECTION('ref_axis',(1.,0.,0.)); #102343=DIRECTION('',(0.,0.,1.)); #102344=DIRECTION('center_axis',(0.,0.,1.)); #102345=DIRECTION('ref_axis',(1.,0.,0.)); #102346=DIRECTION('center_axis',(0.,0.,1.)); #102347=DIRECTION('ref_axis',(1.,0.,0.)); #102348=DIRECTION('center_axis',(0.998502858208262,0.0546995626118931,0.)); #102349=DIRECTION('ref_axis',(-0.0546995626118931,0.998502858208262,0.)); #102350=DIRECTION('',(0.0546995626118931,-0.998502858208262,0.)); #102351=DIRECTION('',(0.,0.,1.)); #102352=DIRECTION('',(0.0546995626118931,-0.998502858208262,0.)); #102353=DIRECTION('',(0.,0.,1.)); #102354=DIRECTION('center_axis',(0.978422860733218,-0.206612452661075,0.)); #102355=DIRECTION('ref_axis',(0.206612452661075,0.978422860733218,0.)); #102356=DIRECTION('',(-0.206612452661075,-0.978422860733218,0.)); #102357=DIRECTION('',(-0.206612452661075,-0.978422860733218,0.)); #102358=DIRECTION('',(0.,0.,1.)); #102359=DIRECTION('center_axis',(0.866025403747465,-0.50000000006404,0.)); #102360=DIRECTION('ref_axis',(0.50000000006404,0.866025403747465,0.)); #102361=DIRECTION('',(-0.50000000006404,-0.866025403747465,0.)); #102362=DIRECTION('',(-0.50000000006404,-0.866025403747465,0.)); #102363=DIRECTION('',(0.,0.,1.)); #102364=DIRECTION('center_axis',(0.668143165615154,-0.744032734657395,0.)); #102365=DIRECTION('ref_axis',(0.744032734657395,0.668143165615154,0.)); #102366=DIRECTION('',(-0.744032734657395,-0.668143165615154,0.)); #102367=DIRECTION('',(-0.744032734657395,-0.668143165615154,0.)); #102368=DIRECTION('',(0.,0.,1.)); #102369=DIRECTION('center_axis',(0.268971497296596,-0.96314813691458,0.)); #102370=DIRECTION('ref_axis',(0.963148136914581,0.268971497296596,0.)); #102371=DIRECTION('',(-0.963148136914581,-0.268971497296596,0.)); #102372=DIRECTION('',(-0.963148136914581,-0.268971497296596,0.)); #102373=DIRECTION('',(0.,0.,1.)); #102374=DIRECTION('center_axis',(0.0740916040767001,-0.997251439811115, 0.)); #102375=DIRECTION('ref_axis',(0.997251439811115,0.0740916040767001,0.)); #102376=DIRECTION('',(-0.997251439811115,-0.0740916040767001,0.)); #102377=DIRECTION('',(-0.997251439811115,-0.0740916040767001,0.)); #102378=DIRECTION('',(0.,0.,1.)); #102379=DIRECTION('center_axis',(-0.194236210370189,-0.980954787225705, 0.)); #102380=DIRECTION('ref_axis',(0.980954787225705,-0.194236210370189,0.)); #102381=DIRECTION('',(-0.980954787225705,0.194236210370189,0.)); #102382=DIRECTION('',(-0.980954787225705,0.194236210370189,0.)); #102383=DIRECTION('',(0.,0.,1.)); #102384=DIRECTION('center_axis',(-0.499999999992738,-0.866025403788632, 0.)); #102385=DIRECTION('ref_axis',(0.866025403788632,-0.499999999992738,0.)); #102386=DIRECTION('',(-0.866025403788632,0.499999999992738,0.)); #102387=DIRECTION('',(-0.866025403788632,0.499999999992738,0.)); #102388=DIRECTION('',(0.,0.,1.)); #102389=DIRECTION('center_axis',(-0.752413564727461,-0.658690995546558, 0.)); #102390=DIRECTION('ref_axis',(0.658690995546558,-0.752413564727461,0.)); #102391=DIRECTION('',(-0.658690995546558,0.752413564727461,0.)); #102392=DIRECTION('',(-0.658690995546558,0.752413564727461,0.)); #102393=DIRECTION('',(0.,0.,1.)); #102394=DIRECTION('center_axis',(-0.900690882884765,-0.434460508548547, 0.)); #102395=DIRECTION('ref_axis',(0.434460508548547,-0.900690882884765,0.)); #102396=DIRECTION('',(-0.434460508548547,0.900690882884765,0.)); #102397=DIRECTION('',(-0.434460508548547,0.900690882884765,0.)); #102398=DIRECTION('',(0.,0.,1.)); #102399=DIRECTION('center_axis',(-0.968596547228752,-0.248637745920727, 0.)); #102400=DIRECTION('ref_axis',(0.248637745920727,-0.968596547228752,0.)); #102401=DIRECTION('',(-0.248637745920727,0.968596547228752,0.)); #102402=DIRECTION('',(-0.248637745920727,0.968596547228752,0.)); #102403=DIRECTION('',(0.,0.,1.)); #102404=DIRECTION('center_axis',(-0.978422875827734,0.206612381180283,0.)); #102405=DIRECTION('ref_axis',(-0.206612381180283,-0.978422875827734,0.)); #102406=DIRECTION('',(0.206612381180283,0.978422875827734,0.)); #102407=DIRECTION('',(0.206612381180283,0.978422875827734,0.)); #102408=DIRECTION('',(0.,0.,1.)); #102409=DIRECTION('center_axis',(-0.866025403747465,0.50000000006404,0.)); #102410=DIRECTION('ref_axis',(-0.50000000006404,-0.866025403747465,0.)); #102411=DIRECTION('',(0.50000000006404,0.866025403747465,0.)); #102412=DIRECTION('',(0.50000000006404,0.866025403747465,0.)); #102413=DIRECTION('',(0.,0.,1.)); #102414=DIRECTION('center_axis',(-0.668142906298407,0.744032967524369,0.)); #102415=DIRECTION('ref_axis',(-0.744032967524369,-0.668142906298407,0.)); #102416=DIRECTION('',(0.744032967524369,0.668142906298407,0.)); #102417=DIRECTION('',(0.744032967524369,0.668142906298407,0.)); #102418=DIRECTION('',(0.,0.,1.)); #102419=DIRECTION('center_axis',(-0.451880364285814,0.892078548320113,0.)); #102420=DIRECTION('ref_axis',(-0.892078548320113,-0.451880364285814,0.)); #102421=DIRECTION('',(0.892078548320113,0.451880364285814,0.)); #102422=DIRECTION('',(0.892078548320113,0.451880364285814,0.)); #102423=DIRECTION('',(0.,0.,1.)); #102424=DIRECTION('center_axis',(-0.0740916040768345,0.997251439811105, 0.)); #102425=DIRECTION('ref_axis',(-0.997251439811105,-0.0740916040768344,0.)); #102426=DIRECTION('',(0.997251439811105,0.0740916040768344,0.)); #102427=DIRECTION('',(0.997251439811105,0.0740916040768345,0.)); #102428=DIRECTION('',(0.,0.,1.)); #102429=DIRECTION('center_axis',(0.194236353080715,0.980954758967968,0.)); #102430=DIRECTION('ref_axis',(-0.980954758967968,0.194236353080715,0.)); #102431=DIRECTION('',(0.980954758967968,-0.194236353080715,0.)); #102432=DIRECTION('',(0.980954758967968,-0.194236353080715,0.)); #102433=DIRECTION('',(0.,0.,1.)); #102434=DIRECTION('center_axis',(0.499999999992773,0.866025403788611,0.)); #102435=DIRECTION('ref_axis',(-0.866025403788611,0.499999999992773,0.)); #102436=DIRECTION('',(0.866025403788611,-0.499999999992773,0.)); #102437=DIRECTION('',(0.866025403788611,-0.499999999992773,0.)); #102438=DIRECTION('',(0.,0.,1.)); #102439=DIRECTION('center_axis',(0.752413660527775,0.658690886115175,0.)); #102440=DIRECTION('ref_axis',(-0.658690886115175,0.752413660527775,0.)); #102441=DIRECTION('',(0.658690886115175,-0.752413660527775,0.)); #102442=DIRECTION('',(0.658690886115175,-0.752413660527775,0.)); #102443=DIRECTION('',(0.,0.,1.)); #102444=DIRECTION('center_axis',(0.900690882884615,0.434460508548859,0.)); #102445=DIRECTION('ref_axis',(-0.434460508548859,0.900690882884615,0.)); #102446=DIRECTION('',(0.434460508548859,-0.900690882884615,0.)); #102447=DIRECTION('',(0.434460508548859,-0.900690882884615,0.)); #102448=DIRECTION('center_axis',(-0.866025403817701,0.499999999942388,0.)); #102449=DIRECTION('ref_axis',(-0.499999999942388,-0.866025403817701,0.)); #102450=DIRECTION('',(-0.499999999942388,-0.866025403817701,0.)); #102451=DIRECTION('',(0.,0.,1.)); #102452=DIRECTION('',(-0.499999999942388,-0.866025403817701,0.)); #102453=DIRECTION('',(0.,0.,1.)); #102454=DIRECTION('center_axis',(-0.788409024140084,0.615151372147117,0.)); #102455=DIRECTION('ref_axis',(-0.615151372147117,-0.788409024140084,0.)); #102456=DIRECTION('',(-0.615151372147117,-0.788409024140084,0.)); #102457=DIRECTION('',(0.,0.,1.)); #102458=DIRECTION('',(-0.615151372147117,-0.788409024140084,0.)); #102459=DIRECTION('center_axis',(-0.624034779754238,0.781396566192276,0.)); #102460=DIRECTION('ref_axis',(-0.781396566192276,-0.624034779754238,0.)); #102461=DIRECTION('',(-0.781396566192276,-0.624034779754238,0.)); #102462=DIRECTION('',(0.,0.,1.)); #102463=DIRECTION('',(-0.781396566192276,-0.624034779754238,0.)); #102464=DIRECTION('center_axis',(-0.402065546242515,0.915610887071963,0.)); #102465=DIRECTION('ref_axis',(-0.915610887071963,-0.402065546242515,0.)); #102466=DIRECTION('',(-0.915610887071963,-0.402065546242515,0.)); #102467=DIRECTION('',(0.,0.,1.)); #102468=DIRECTION('',(-0.915610887071963,-0.402065546242515,0.)); #102469=DIRECTION('center_axis',(-0.0261515994858941,0.99965798843621,0.)); #102470=DIRECTION('ref_axis',(-0.99965798843621,-0.0261515994858941,0.)); #102471=DIRECTION('',(-0.99965798843621,-0.0261515994858941,0.)); #102472=DIRECTION('',(0.,0.,1.)); #102473=DIRECTION('',(-0.99965798843621,-0.0261515994858941,0.)); #102474=DIRECTION('center_axis',(0.31657343786309,0.948568004119654,0.)); #102475=DIRECTION('ref_axis',(-0.948568004119654,0.31657343786309,0.)); #102476=DIRECTION('',(-0.948568004119654,0.31657343786309,0.)); #102477=DIRECTION('',(0.,0.,1.)); #102478=DIRECTION('',(-0.948568004119654,0.31657343786309,0.)); #102479=DIRECTION('center_axis',(0.499999999981458,0.866025403795144,0.)); #102480=DIRECTION('ref_axis',(-0.866025403795144,0.499999999981458,0.)); #102481=DIRECTION('',(-0.866025403795144,0.499999999981458,0.)); #102482=DIRECTION('',(0.,0.,1.)); #102483=DIRECTION('',(-0.866025403795144,0.499999999981458,0.)); #102484=DIRECTION('center_axis',(0.663197248901054,0.748444659978327,0.)); #102485=DIRECTION('ref_axis',(-0.748444659978327,0.663197248901054,0.)); #102486=DIRECTION('',(-0.748444659978327,0.663197248901054,0.)); #102487=DIRECTION('',(0.,0.,1.)); #102488=DIRECTION('',(-0.748444659978327,0.663197248901054,0.)); #102489=DIRECTION('center_axis',(0.878805104053119,0.477180876702103,0.)); #102490=DIRECTION('ref_axis',(-0.477180876702103,0.878805104053118,0.)); #102491=DIRECTION('',(-0.477180876702103,0.878805104053118,0.)); #102492=DIRECTION('',(0.,0.,1.)); #102493=DIRECTION('',(-0.477180876702103,0.878805104053118,0.)); #102494=DIRECTION('center_axis',(0.993975061303372,0.109606466538053,0.)); #102495=DIRECTION('ref_axis',(-0.109606466538053,0.993975061303372,0.)); #102496=DIRECTION('',(-0.109606466538053,0.993975061303372,0.)); #102497=DIRECTION('',(0.,0.,1.)); #102498=DIRECTION('',(-0.109606466538053,0.993975061303372,0.)); #102499=DIRECTION('center_axis',(0.988726691645518,-0.149731523827511,0.)); #102500=DIRECTION('ref_axis',(0.149731523827511,0.988726691645518,0.)); #102501=DIRECTION('',(0.149731523827511,0.988726691645518,0.)); #102502=DIRECTION('',(0.,0.,1.)); #102503=DIRECTION('',(0.149731523827511,0.988726691645518,0.)); #102504=DIRECTION('center_axis',(0.866025403783644,-0.500000000001377,0.)); #102505=DIRECTION('ref_axis',(0.500000000001377,0.866025403783644,0.)); #102506=DIRECTION('',(0.500000000001377,0.866025403783644,0.)); #102507=DIRECTION('',(0.,0.,1.)); #102508=DIRECTION('',(0.500000000001377,0.866025403783644,0.)); #102509=DIRECTION('center_axis',(0.624034612020098,-0.781396700147196,0.)); #102510=DIRECTION('ref_axis',(0.781396700147196,0.624034612020098,0.)); #102511=DIRECTION('',(0.781396700147196,0.624034612020098,0.)); #102512=DIRECTION('',(0.,0.,1.)); #102513=DIRECTION('',(0.781396700147196,0.624034612020098,0.)); #102514=DIRECTION('center_axis',(0.268971726933823,-0.963148072785403,0.)); #102515=DIRECTION('ref_axis',(0.963148072785403,0.268971726933823,0.)); #102516=DIRECTION('',(0.963148072785403,0.268971726933823,0.)); #102517=DIRECTION('',(0.,0.,1.)); #102518=DIRECTION('',(0.963148072785403,0.268971726933823,0.)); #102519=DIRECTION('center_axis',(0.0261517516396017,-0.999657984455774, 0.)); #102520=DIRECTION('ref_axis',(0.999657984455774,0.0261517516396017,0.)); #102521=DIRECTION('',(0.999657984455774,0.0261517516396017,0.)); #102522=DIRECTION('',(0.,0.,1.)); #102523=DIRECTION('',(0.999657984455774,0.0261517516396017,0.)); #102524=DIRECTION('center_axis',(-0.316573480755586,-0.948567989804786, 0.)); #102525=DIRECTION('ref_axis',(0.948567989804786,-0.316573480755586,0.)); #102526=DIRECTION('',(0.948567989804786,-0.316573480755586,0.)); #102527=DIRECTION('',(0.,0.,1.)); #102528=DIRECTION('',(0.948567989804786,-0.316573480755586,0.)); #102529=DIRECTION('center_axis',(-0.499999999981569,-0.86602540379508,0.)); #102530=DIRECTION('ref_axis',(0.86602540379508,-0.499999999981569,0.)); #102531=DIRECTION('',(0.86602540379508,-0.499999999981569,0.)); #102532=DIRECTION('',(0.,0.,1.)); #102533=DIRECTION('',(0.86602540379508,-0.499999999981569,0.)); #102534=DIRECTION('center_axis',(-0.6631972570585,-0.748444652750009,0.)); #102535=DIRECTION('ref_axis',(0.748444652750009,-0.6631972570585,0.)); #102536=DIRECTION('',(0.748444652750009,-0.6631972570585,0.)); #102537=DIRECTION('',(0.,0.,1.)); #102538=DIRECTION('',(0.748444652750009,-0.6631972570585,0.)); #102539=DIRECTION('center_axis',(-0.900690870794351,-0.434460533613486, 0.)); #102540=DIRECTION('ref_axis',(0.434460533613486,-0.90069087079435,0.)); #102541=DIRECTION('',(0.434460533613486,-0.900690870794351,0.)); #102542=DIRECTION('',(0.,0.,1.)); #102543=DIRECTION('',(0.434460533613486,-0.90069087079435,0.)); #102544=DIRECTION('center_axis',(0.866025403875331,-0.49999999984257,0.)); #102545=DIRECTION('ref_axis',(0.49999999984257,0.866025403875331,0.)); #102546=DIRECTION('',(0.49999999984257,0.866025403875331,0.)); #102547=DIRECTION('',(0.,0.,1.)); #102548=DIRECTION('',(0.49999999984257,0.866025403875331,0.)); #102549=DIRECTION('center_axis',(0.93525047856345,-0.353986641480775,0.)); #102550=DIRECTION('ref_axis',(0.353986641480775,0.93525047856345,0.)); #102551=DIRECTION('',(0.353986641480775,0.93525047856345,0.)); #102552=DIRECTION('',(0.,0.,1.)); #102553=DIRECTION('',(0.353986641480775,0.93525047856345,0.)); #102554=DIRECTION('center_axis',(0.978422847413999,-0.206612515734848,0.)); #102555=DIRECTION('ref_axis',(0.206612515734848,0.978422847413999,0.)); #102556=DIRECTION('',(0.206612515734848,0.978422847413999,0.)); #102557=DIRECTION('',(0.,0.,1.)); #102558=DIRECTION('',(0.206612515734848,0.978422847413999,0.)); #102559=DIRECTION('center_axis',(0.998502861315065,0.054699505899301,0.)); #102560=DIRECTION('ref_axis',(-0.054699505899301,0.998502861315065,0.)); #102561=DIRECTION('',(-0.054699505899301,0.998502861315065,0.)); #102562=DIRECTION('',(0.,0.,1.)); #102563=DIRECTION('',(-0.054699505899301,0.998502861315065,0.)); #102564=DIRECTION('center_axis',(0.900690882884615,0.434460508548859,0.)); #102565=DIRECTION('ref_axis',(-0.434460508548859,0.900690882884615,0.)); #102566=DIRECTION('',(-0.434460508548859,0.900690882884615,0.)); #102567=DIRECTION('',(0.,0.,1.)); #102568=DIRECTION('',(-0.434460508548859,0.900690882884615,0.)); #102569=DIRECTION('center_axis',(0.752413564727437,0.658690995546585,0.)); #102570=DIRECTION('ref_axis',(-0.658690995546585,0.752413564727437,0.)); #102571=DIRECTION('',(-0.658690995546585,0.752413564727437,0.)); #102572=DIRECTION('',(0.,0.,1.)); #102573=DIRECTION('',(-0.658690995546585,0.752413564727437,0.)); #102574=DIRECTION('center_axis',(0.499999999967443,0.866025403803236,0.)); #102575=DIRECTION('ref_axis',(-0.866025403803236,0.499999999967443,0.)); #102576=DIRECTION('',(-0.866025403803236,0.499999999967443,0.)); #102577=DIRECTION('',(0.,0.,1.)); #102578=DIRECTION('',(-0.866025403803236,0.499999999967443,0.)); #102579=DIRECTION('center_axis',(0.194236353064729,0.980954758971133,0.)); #102580=DIRECTION('ref_axis',(-0.980954758971133,0.194236353064729,0.)); #102581=DIRECTION('',(-0.980954758971133,0.194236353064729,0.)); #102582=DIRECTION('',(0.,0.,1.)); #102583=DIRECTION('',(-0.980954758971133,0.194236353064729,0.)); #102584=DIRECTION('center_axis',(-0.0740916040044076,0.997251439816486, 0.)); #102585=DIRECTION('ref_axis',(-0.997251439816486,-0.0740916040044076,0.)); #102586=DIRECTION('',(-0.997251439816486,-0.0740916040044076,0.)); #102587=DIRECTION('',(0.,0.,1.)); #102588=DIRECTION('',(-0.997251439816486,-0.0740916040044076,0.)); #102589=DIRECTION('center_axis',(-0.45188012307218,0.892078670506291,0.)); #102590=DIRECTION('ref_axis',(-0.892078670506291,-0.45188012307218,0.)); #102591=DIRECTION('',(-0.892078670506291,-0.45188012307218,0.)); #102592=DIRECTION('',(0.,0.,1.)); #102593=DIRECTION('',(-0.892078670506291,-0.45188012307218,0.)); #102594=DIRECTION('center_axis',(0.499999999974719,0.866025403799035,0.)); #102595=DIRECTION('ref_axis',(-0.866025403799035,0.499999999974719,0.)); #102596=DIRECTION('',(-0.866025403799035,0.499999999974719,0.)); #102597=DIRECTION('',(0.,0.,1.)); #102598=DIRECTION('',(-0.866025403799035,0.499999999974719,0.)); #102599=DIRECTION('center_axis',(0.668143063177809,-0.744032826646361,0.)); #102600=DIRECTION('ref_axis',(0.744032826646361,0.668143063177809,0.)); #102601=DIRECTION('',(0.744032826646361,0.668143063177809,0.)); #102602=DIRECTION('',(0.,0.,1.)); #102603=DIRECTION('',(0.744032826646361,0.668143063177809,0.)); #102604=DIRECTION('center_axis',(0.402065507927233,-0.915610903897074,0.)); #102605=DIRECTION('ref_axis',(0.915610903897074,0.402065507927233,0.)); #102606=DIRECTION('',(0.915610903897074,0.402065507927233,0.)); #102607=DIRECTION('',(0.,0.,1.)); #102608=DIRECTION('',(0.915610903897074,0.402065507927233,0.)); #102609=DIRECTION('center_axis',(0.0261514968318466,-0.999657991121691, 0.)); #102610=DIRECTION('ref_axis',(0.999657991121691,0.0261514968318466,0.)); #102611=DIRECTION('',(0.999657991121691,0.0261514968318466,0.)); #102612=DIRECTION('',(0.,0.,1.)); #102613=DIRECTION('',(0.999657991121691,0.0261514968318466,0.)); #102614=DIRECTION('center_axis',(-0.316573427527422,-0.948568007569062, 0.)); #102615=DIRECTION('ref_axis',(0.948568007569062,-0.316573427527422,0.)); #102616=DIRECTION('',(0.948568007569062,-0.316573427527422,0.)); #102617=DIRECTION('',(0.,0.,1.)); #102618=DIRECTION('',(0.948568007569062,-0.316573427527422,0.)); #102619=DIRECTION('center_axis',(-0.500000000002634,-0.866025403782918, 0.)); #102620=DIRECTION('ref_axis',(0.866025403782918,-0.500000000002634,0.)); #102621=DIRECTION('',(0.866025403782918,-0.500000000002634,0.)); #102622=DIRECTION('',(0.,0.,1.)); #102623=DIRECTION('',(0.866025403782918,-0.500000000002634,0.)); #102624=DIRECTION('center_axis',(-0.663197257006049,-0.748444652796486, 0.)); #102625=DIRECTION('ref_axis',(0.748444652796486,-0.663197257006049,0.)); #102626=DIRECTION('',(0.748444652796486,-0.663197257006049,0.)); #102627=DIRECTION('',(0.,0.,1.)); #102628=DIRECTION('',(0.748444652796486,-0.663197257006049,0.)); #102629=DIRECTION('center_axis',(-0.878804994196174,-0.477181079021228, 0.)); #102630=DIRECTION('ref_axis',(0.477181079021228,-0.878804994196173,0.)); #102631=DIRECTION('',(0.477181079021228,-0.878804994196173,0.)); #102632=DIRECTION('',(0.,0.,1.)); #102633=DIRECTION('',(0.477181079021228,-0.878804994196173,0.)); #102634=DIRECTION('center_axis',(-0.993975056736876,-0.109606507949681, 0.)); #102635=DIRECTION('ref_axis',(0.109606507949681,-0.993975056736876,0.)); #102636=DIRECTION('',(0.109606507949681,-0.993975056736876,0.)); #102637=DIRECTION('',(0.,0.,1.)); #102638=DIRECTION('',(0.109606507949681,-0.993975056736876,0.)); #102639=DIRECTION('center_axis',(-0.988726645269799,0.14973183006138,0.)); #102640=DIRECTION('ref_axis',(-0.14973183006138,-0.988726645269799,0.)); #102641=DIRECTION('',(-0.14973183006138,-0.988726645269799,0.)); #102642=DIRECTION('',(0.,0.,1.)); #102643=DIRECTION('',(-0.14973183006138,-0.988726645269799,0.)); #102644=DIRECTION('center_axis',(-0.926941237785799,0.375206532048858,0.)); #102645=DIRECTION('ref_axis',(-0.375206532048858,-0.926941237785799,0.)); #102646=DIRECTION('',(-0.375206532048858,-0.926941237785799,0.)); #102647=DIRECTION('',(-0.375206532048858,-0.926941237785799,0.)); #102648=DIRECTION('center_axis',(0.,0.,1.)); #102649=DIRECTION('ref_axis',(1.,0.,0.)); #102650=DIRECTION('center_axis',(-0.206612520110697,-0.978422846489955, 0.)); #102651=DIRECTION('ref_axis',(0.978422846489955,-0.206612520110697,0.)); #102652=DIRECTION('',(0.978422846489955,-0.206612520110697,0.)); #102653=DIRECTION('',(0.,0.,1.)); #102654=DIRECTION('',(0.978422846489955,-0.206612520110697,0.)); #102655=DIRECTION('',(0.,0.,1.)); #102656=DIRECTION('center_axis',(-0.500000000003384,-0.866025403782485, 0.)); #102657=DIRECTION('ref_axis',(0.866025403782485,-0.500000000003384,0.)); #102658=DIRECTION('',(0.866025403782485,-0.500000000003384,0.)); #102659=DIRECTION('',(0.,0.,1.)); #102660=DIRECTION('',(0.866025403782485,-0.500000000003384,0.)); #102661=DIRECTION('center_axis',(-0.69157639098746,-0.7223033264694,0.)); #102662=DIRECTION('ref_axis',(0.7223033264694,-0.69157639098746,0.)); #102663=DIRECTION('',(0.7223033264694,-0.69157639098746,0.)); #102664=DIRECTION('',(0.,0.,1.)); #102665=DIRECTION('',(0.7223033264694,-0.69157639098746,0.)); #102666=DIRECTION('center_axis',(-0.892078670505981,-0.451880123072792, 0.)); #102667=DIRECTION('ref_axis',(0.451880123072792,-0.892078670505981,0.)); #102668=DIRECTION('',(0.451880123072792,-0.892078670505981,0.)); #102669=DIRECTION('',(0.,0.,1.)); #102670=DIRECTION('',(0.451880123072792,-0.892078670505981,0.)); #102671=DIRECTION('center_axis',(-0.990796408236902,0.135360546042244,0.)); #102672=DIRECTION('ref_axis',(-0.135360546042244,-0.990796408236902,0.)); #102673=DIRECTION('',(-0.135360546042244,-0.990796408236902,0.)); #102674=DIRECTION('',(0.,0.,1.)); #102675=DIRECTION('',(-0.135360546042244,-0.990796408236902,0.)); #102676=DIRECTION('center_axis',(0.383480468803828,-0.92354898627306,0.)); #102677=DIRECTION('ref_axis',(0.92354898627306,0.383480468803828,0.)); #102678=DIRECTION('',(0.92354898627306,0.383480468803828,0.)); #102679=DIRECTION('',(0.,0.,1.)); #102680=DIRECTION('',(0.92354898627306,0.383480468803828,0.)); #102681=DIRECTION('center_axis',(0.0546990154665797,-0.998502888181595, 0.)); #102682=DIRECTION('ref_axis',(0.998502888181595,0.0546990154665797,0.)); #102683=DIRECTION('',(0.998502888181595,0.0546990154665797,0.)); #102684=DIRECTION('',(0.,0.,1.)); #102685=DIRECTION('',(0.998502888181595,0.0546990154665797,0.)); #102686=DIRECTION('center_axis',(-0.279744122487759,-0.960074593942342, 0.)); #102687=DIRECTION('ref_axis',(0.960074593942342,-0.279744122487759,0.)); #102688=DIRECTION('',(0.960074593942342,-0.279744122487759,0.)); #102689=DIRECTION('',(0.,0.,1.)); #102690=DIRECTION('',(0.960074593942342,-0.279744122487759,0.)); #102691=DIRECTION('center_axis',(-0.499999999951272,-0.866025403812572, 0.)); #102692=DIRECTION('ref_axis',(0.866025403812572,-0.499999999951272,0.)); #102693=DIRECTION('',(0.866025403812572,-0.499999999951272,0.)); #102694=DIRECTION('',(0.,0.,1.)); #102695=DIRECTION('',(0.866025403812572,-0.499999999951272,0.)); #102696=DIRECTION('center_axis',(-0.691576926683124,-0.722302813561961, 0.)); #102697=DIRECTION('ref_axis',(0.722302813561961,-0.691576926683124,0.)); #102698=DIRECTION('',(0.722302813561961,-0.691576926683124,0.)); #102699=DIRECTION('',(0.,0.,1.)); #102700=DIRECTION('',(0.722302813561961,-0.691576926683124,0.)); #102701=DIRECTION('center_axis',(-0.963148040738018,-0.268971841690758, 0.)); #102702=DIRECTION('ref_axis',(0.268971841690758,-0.963148040738018,0.)); #102703=DIRECTION('',(0.268971841690758,-0.963148040738018,0.)); #102704=DIRECTION('',(0.,0.,1.)); #102705=DIRECTION('',(0.268971841690758,-0.963148040738018,0.)); #102706=DIRECTION('center_axis',(-0.998675364912437,0.0514540136142097, 0.)); #102707=DIRECTION('ref_axis',(-0.0514540136142097,-0.998675364912437,0.)); #102708=DIRECTION('',(-0.0514540136142097,-0.998675364912437,0.)); #102709=DIRECTION('',(0.,0.,1.)); #102710=DIRECTION('',(-0.0514540136142097,-0.998675364912437,0.)); #102711=DIRECTION('center_axis',(-0.929137062991914,0.369735470539086,0.)); #102712=DIRECTION('ref_axis',(-0.369735470539086,-0.929137062991914,0.)); #102713=DIRECTION('',(-0.369735470539086,-0.929137062991914,0.)); #102714=DIRECTION('',(0.,0.,1.)); #102715=DIRECTION('',(-0.369735470539086,-0.929137062991914,0.)); #102716=DIRECTION('center_axis',(-0.866025403840565,0.499999999902786,0.)); #102717=DIRECTION('ref_axis',(-0.499999999902786,-0.866025403840565,0.)); #102718=DIRECTION('',(-0.499999999902786,-0.866025403840565,0.)); #102719=DIRECTION('',(0.,0.,1.)); #102720=DIRECTION('',(-0.499999999902786,-0.866025403840565,0.)); #102721=DIRECTION('center_axis',(-0.784768832645753,0.619788576296645,0.)); #102722=DIRECTION('ref_axis',(-0.619788576296645,-0.784768832645753,0.)); #102723=DIRECTION('',(-0.619788576296645,-0.784768832645753,0.)); #102724=DIRECTION('',(0.,0.,1.)); #102725=DIRECTION('',(-0.619788576296645,-0.784768832645753,0.)); #102726=DIRECTION('center_axis',(-0.543898226073725,0.839151189997283,0.)); #102727=DIRECTION('ref_axis',(-0.839151189997283,-0.543898226073725,0.)); #102728=DIRECTION('',(-0.839151189997283,-0.543898226073725,0.)); #102729=DIRECTION('',(0.,0.,1.)); #102730=DIRECTION('',(-0.839151189997283,-0.543898226073725,0.)); #102731=DIRECTION('center_axis',(-0.248637680795763,0.968596563946262,0.)); #102732=DIRECTION('ref_axis',(-0.968596563946262,-0.248637680795763,0.)); #102733=DIRECTION('',(-0.968596563946262,-0.248637680795763,0.)); #102734=DIRECTION('',(0.,0.,1.)); #102735=DIRECTION('',(-0.968596563946262,-0.248637680795763,0.)); #102736=DIRECTION('center_axis',(0.279744538794522,0.960074472639826,0.)); #102737=DIRECTION('ref_axis',(-0.960074472639826,0.279744538794522,0.)); #102738=DIRECTION('',(-0.960074472639826,0.279744538794522,0.)); #102739=DIRECTION('',(0.,0.,1.)); #102740=DIRECTION('',(-0.960074472639826,0.279744538794522,0.)); #102741=DIRECTION('center_axis',(0.866025403803867,-0.499999999966349,0.)); #102742=DIRECTION('ref_axis',(0.499999999966349,0.866025403803867,0.)); #102743=DIRECTION('',(0.499999999966349,0.866025403803867,0.)); #102744=DIRECTION('',(0.,0.,1.)); #102745=DIRECTION('',(0.499999999966349,0.866025403803867,0.)); #102746=DIRECTION('center_axis',(0.054699505836113,-0.998502861318527,0.)); #102747=DIRECTION('ref_axis',(0.998502861318527,0.054699505836113,0.)); #102748=DIRECTION('',(0.998502861318527,0.054699505836113,0.)); #102749=DIRECTION('',(0.,0.,1.)); #102750=DIRECTION('',(0.998502861318527,0.054699505836113,0.)); #102751=DIRECTION('center_axis',(0.43446050859258,-0.900690882863525,0.)); #102752=DIRECTION('ref_axis',(0.900690882863525,0.43446050859258,0.)); #102753=DIRECTION('',(0.900690882863525,0.43446050859258,0.)); #102754=DIRECTION('',(0.,0.,1.)); #102755=DIRECTION('',(0.900690882863525,0.43446050859258,0.)); #102756=DIRECTION('center_axis',(0.748444652840413,-0.663197256956475,0.)); #102757=DIRECTION('ref_axis',(0.663197256956475,0.748444652840413,0.)); #102758=DIRECTION('',(0.663197256956475,0.748444652840413,0.)); #102759=DIRECTION('',(0.,0.,1.)); #102760=DIRECTION('',(0.663197256956475,0.748444652840413,0.)); #102761=DIRECTION('center_axis',(0.866025403782076,-0.500000000004093,0.)); #102762=DIRECTION('ref_axis',(0.500000000004093,0.866025403782076,0.)); #102763=DIRECTION('',(0.500000000004093,0.866025403782076,0.)); #102764=DIRECTION('',(0.,0.,1.)); #102765=DIRECTION('',(0.500000000004093,0.866025403782076,0.)); #102766=DIRECTION('center_axis',(0.948568007573426,-0.316573427514346,0.)); #102767=DIRECTION('ref_axis',(0.316573427514346,0.948568007573426,0.)); #102768=DIRECTION('',(0.316573427514346,0.948568007573426,0.)); #102769=DIRECTION('',(0.,0.,1.)); #102770=DIRECTION('',(0.316573427514346,0.948568007573426,0.)); #102771=DIRECTION('center_axis',(0.997251439809825,0.0740916040940568,0.)); #102772=DIRECTION('ref_axis',(-0.0740916040940568,0.997251439809825,0.)); #102773=DIRECTION('',(-0.0740916040940568,0.997251439809825,0.)); #102774=DIRECTION('',(0.,0.,1.)); #102775=DIRECTION('',(-0.0740916040940568,0.997251439809825,0.)); #102776=DIRECTION('center_axis',(0.892078670579502,0.45188012292765,0.)); #102777=DIRECTION('ref_axis',(-0.45188012292765,0.892078670579502,0.)); #102778=DIRECTION('',(-0.45188012292765,0.892078670579502,0.)); #102779=DIRECTION('',(0.,0.,1.)); #102780=DIRECTION('',(-0.45188012292765,0.892078670579502,0.)); #102781=DIRECTION('center_axis',(0.500000000039443,0.866025403761666,0.)); #102782=DIRECTION('ref_axis',(-0.866025403761666,0.500000000039443,0.)); #102783=DIRECTION('',(-0.866025403761666,0.500000000039443,0.)); #102784=DIRECTION('',(0.,0.,1.)); #102785=DIRECTION('',(-0.866025403761666,0.500000000039443,0.)); #102786=DIRECTION('center_axis',(0.0682658029613354,0.997667169022838,0.)); #102787=DIRECTION('ref_axis',(-0.997667169022838,0.0682658029613354,0.)); #102788=DIRECTION('',(-0.997667169022838,0.0682658029613354,0.)); #102789=DIRECTION('',(0.,0.,1.)); #102790=DIRECTION('',(-0.997667169022838,0.0682658029613354,0.)); #102791=DIRECTION('center_axis',(-0.248637226324812,0.968596680608345,0.)); #102792=DIRECTION('ref_axis',(-0.968596680608345,-0.248637226324812,0.)); #102793=DIRECTION('',(-0.968596680608345,-0.248637226324812,0.)); #102794=DIRECTION('',(0.,0.,1.)); #102795=DIRECTION('',(-0.968596680608345,-0.248637226324812,0.)); #102796=DIRECTION('center_axis',(-0.658691050326171,0.752413516771334,0.)); #102797=DIRECTION('ref_axis',(-0.752413516771334,-0.658691050326171,0.)); #102798=DIRECTION('',(-0.752413516771334,-0.658691050326171,0.)); #102799=DIRECTION('',(0.,0.,1.)); #102800=DIRECTION('',(-0.752413516771334,-0.658691050326171,0.)); #102801=DIRECTION('center_axis',(-0.866025403782446,0.500000000003451,0.)); #102802=DIRECTION('ref_axis',(-0.500000000003451,-0.866025403782446,0.)); #102803=DIRECTION('',(-0.500000000003451,-0.866025403782446,0.)); #102804=DIRECTION('',(0.,0.,1.)); #102805=DIRECTION('',(-0.500000000003451,-0.866025403782446,0.)); #102806=DIRECTION('center_axis',(0.499999999959641,0.86602540380774,0.)); #102807=DIRECTION('ref_axis',(-0.86602540380774,0.499999999959641,0.)); #102808=DIRECTION('',(-0.86602540380774,0.499999999959641,0.)); #102809=DIRECTION('',(0.,0.,1.)); #102810=DIRECTION('',(-0.86602540380774,0.499999999959641,0.)); #102811=DIRECTION('center_axis',(0.866025403782312,-0.500000000003684,0.)); #102812=DIRECTION('ref_axis',(0.500000000003684,0.866025403782312,0.)); #102813=DIRECTION('',(0.500000000003684,0.866025403782312,0.)); #102814=DIRECTION('',(0.,0.,1.)); #102815=DIRECTION('',(0.500000000003684,0.866025403782312,0.)); #102816=DIRECTION('center_axis',(0.937645830062141,-0.347592142268894,0.)); #102817=DIRECTION('ref_axis',(0.347592142268894,0.937645830062141,0.)); #102818=DIRECTION('',(0.347592142268894,0.937645830062141,0.)); #102819=DIRECTION('',(0.,0.,1.)); #102820=DIRECTION('',(0.347592142268894,0.937645830062141,0.)); #102821=DIRECTION('center_axis',(0.997251439809828,0.0740916040940188,0.)); #102822=DIRECTION('ref_axis',(-0.0740916040940188,0.997251439809828,0.)); #102823=DIRECTION('',(-0.0740916040940188,0.997251439809828,0.)); #102824=DIRECTION('',(0.,0.,1.)); #102825=DIRECTION('',(-0.0740916040940188,0.997251439809828,0.)); #102826=DIRECTION('center_axis',(0.829871819028736,0.557954087700715,0.)); #102827=DIRECTION('ref_axis',(-0.557954087700715,0.829871819028736,0.)); #102828=DIRECTION('',(-0.557954087700715,0.829871819028736,0.)); #102829=DIRECTION('',(0.,0.,1.)); #102830=DIRECTION('',(-0.557954087700715,0.829871819028736,0.)); #102831=DIRECTION('center_axis',(0.50000000000363,0.866025403782343,0.)); #102832=DIRECTION('ref_axis',(-0.866025403782343,0.50000000000363,0.)); #102833=DIRECTION('',(-0.866025403782343,0.50000000000363,0.)); #102834=DIRECTION('',(0.,0.,1.)); #102835=DIRECTION('',(-0.866025403782343,0.50000000000363,0.)); #102836=DIRECTION('center_axis',(0.0682663665025307,0.997667130462131,0.)); #102837=DIRECTION('ref_axis',(-0.997667130462131,0.0682663665025307,0.)); #102838=DIRECTION('',(-0.997667130462131,0.0682663665025307,0.)); #102839=DIRECTION('',(0.,0.,1.)); #102840=DIRECTION('',(-0.997667130462131,0.0682663665025307,0.)); #102841=DIRECTION('center_axis',(-0.248637749306917,0.968596546359521,0.)); #102842=DIRECTION('ref_axis',(-0.96859654635952,-0.248637749306917,0.)); #102843=DIRECTION('',(-0.96859654635952,-0.248637749306917,0.)); #102844=DIRECTION('',(0.,0.,1.)); #102845=DIRECTION('',(-0.96859654635952,-0.248637749306917,0.)); #102846=DIRECTION('center_axis',(-0.543897922087835,0.839151387026522,0.)); #102847=DIRECTION('ref_axis',(-0.839151387026522,-0.543897922087835,0.)); #102848=DIRECTION('',(-0.839151387026522,-0.543897922087835,0.)); #102849=DIRECTION('',(0.,0.,1.)); #102850=DIRECTION('',(-0.839151387026522,-0.543897922087835,0.)); #102851=DIRECTION('center_axis',(-0.74844467138626,0.663197236026745,0.)); #102852=DIRECTION('ref_axis',(-0.663197236026745,-0.74844467138626,0.)); #102853=DIRECTION('',(-0.663197236026745,-0.74844467138626,0.)); #102854=DIRECTION('',(0.,0.,1.)); #102855=DIRECTION('',(-0.663197236026745,-0.74844467138626,0.)); #102856=DIRECTION('center_axis',(-0.866025403801756,0.499999999970006,0.)); #102857=DIRECTION('ref_axis',(-0.499999999970006,-0.866025403801755,0.)); #102858=DIRECTION('',(-0.499999999970006,-0.866025403801755,0.)); #102859=DIRECTION('',(0.,0.,1.)); #102860=DIRECTION('',(-0.499999999970006,-0.866025403801755,0.)); #102861=DIRECTION('center_axis',(-0.948567998713952,0.316573454060521,0.)); #102862=DIRECTION('ref_axis',(-0.316573454060521,-0.948567998713952,0.)); #102863=DIRECTION('',(-0.316573454060521,-0.948567998713952,0.)); #102864=DIRECTION('',(0.,0.,1.)); #102865=DIRECTION('',(-0.316573454060521,-0.948567998713952,0.)); #102866=DIRECTION('center_axis',(-0.998675364912408,0.051454013614769,0.)); #102867=DIRECTION('ref_axis',(-0.051454013614769,-0.998675364912408,0.)); #102868=DIRECTION('',(-0.051454013614769,-0.998675364912408,0.)); #102869=DIRECTION('',(0.,0.,1.)); #102870=DIRECTION('',(-0.051454013614769,-0.998675364912408,0.)); #102871=DIRECTION('center_axis',(-0.963148041785389,-0.268971837940277, 0.)); #102872=DIRECTION('ref_axis',(0.268971837940277,-0.963148041785389,0.)); #102873=DIRECTION('',(0.268971837940277,-0.963148041785389,0.)); #102874=DIRECTION('',(0.,0.,1.)); #102875=DIRECTION('',(0.268971837940277,-0.963148041785389,0.)); #102876=DIRECTION('center_axis',(-0.829872132507304,-0.557953621448754, 0.)); #102877=DIRECTION('ref_axis',(0.557953621448754,-0.829872132507304,0.)); #102878=DIRECTION('',(0.557953621448754,-0.829872132507304,0.)); #102879=DIRECTION('',(0.,0.,1.)); #102880=DIRECTION('',(0.557953621448754,-0.829872132507304,0.)); #102881=DIRECTION('center_axis',(-0.866025403732213,0.500000000090458,0.)); #102882=DIRECTION('ref_axis',(-0.500000000090458,-0.866025403732213,0.)); #102883=DIRECTION('',(-0.500000000090458,-0.866025403732213,0.)); #102884=DIRECTION('',(0.,0.,1.)); #102885=DIRECTION('',(-0.500000000090458,-0.866025403732213,0.)); #102886=DIRECTION('center_axis',(0.744032974483844,0.668142898548449,0.)); #102887=DIRECTION('ref_axis',(-0.668142898548449,0.744032974483845,0.)); #102888=DIRECTION('',(-0.668142898548449,0.744032974483845,0.)); #102889=DIRECTION('',(0.,0.,1.)); #102890=DIRECTION('',(-0.668142898548449,0.744032974483845,0.)); #102891=DIRECTION('center_axis',(0.892078568882314,0.451880323692992,0.)); #102892=DIRECTION('ref_axis',(-0.451880323692992,0.892078568882314,0.)); #102893=DIRECTION('',(-0.451880323692992,0.892078568882314,0.)); #102894=DIRECTION('',(0.,0.,1.)); #102895=DIRECTION('',(-0.451880323692992,0.892078568882314,0.)); #102896=DIRECTION('center_axis',(0.997251433771859,0.0740916853632762,0.)); #102897=DIRECTION('ref_axis',(-0.0740916853632762,0.997251433771859,0.)); #102898=DIRECTION('',(-0.0740916853632762,0.997251433771859,0.)); #102899=DIRECTION('',(0.,0.,1.)); #102900=DIRECTION('',(-0.0740916853632762,0.997251433771859,0.)); #102901=DIRECTION('center_axis',(0.998675363927489,-0.0514540327311373, 0.)); #102902=DIRECTION('ref_axis',(0.0514540327311373,0.998675363927489,0.)); #102903=DIRECTION('',(0.0514540327311373,0.998675363927489,0.)); #102904=DIRECTION('',(0.,0.,1.)); #102905=DIRECTION('',(0.0514540327311373,0.998675363927489,0.)); #102906=DIRECTION('center_axis',(0.962798976015109,-0.270218674010989,0.)); #102907=DIRECTION('ref_axis',(0.270218674010989,0.962798976015109,0.)); #102908=DIRECTION('',(0.270218674010989,0.962798976015109,0.)); #102909=DIRECTION('',(0.,0.,1.)); #102910=DIRECTION('',(0.270218674010989,0.962798976015109,0.)); #102911=DIRECTION('center_axis',(0.866025403763243,-0.500000000036712,0.)); #102912=DIRECTION('ref_axis',(0.500000000036712,0.866025403763243,0.)); #102913=DIRECTION('',(0.500000000036712,0.866025403763243,0.)); #102914=DIRECTION('',(0.,0.,1.)); #102915=DIRECTION('',(0.500000000036712,0.866025403763243,0.)); #102916=DIRECTION('center_axis',(0.715415754241819,-0.698699004280533,0.)); #102917=DIRECTION('ref_axis',(0.698699004280533,0.715415754241819,0.)); #102918=DIRECTION('',(0.698699004280533,0.715415754241819,0.)); #102919=DIRECTION('',(0.,0.,1.)); #102920=DIRECTION('',(0.698699004280533,0.715415754241819,0.)); #102921=DIRECTION('center_axis',(0.543898120717116,-0.839151258284458,0.)); #102922=DIRECTION('ref_axis',(0.839151258284458,0.543898120717116,0.)); #102923=DIRECTION('',(0.839151258284458,0.543898120717116,0.)); #102924=DIRECTION('',(0.,0.,1.)); #102925=DIRECTION('',(0.839151258284458,0.543898120717116,0.)); #102926=DIRECTION('center_axis',(0.434460435204461,-0.90069091826328,0.)); #102927=DIRECTION('ref_axis',(0.90069091826328,0.434460435204461,0.)); #102928=DIRECTION('',(0.90069091826328,0.434460435204461,0.)); #102929=DIRECTION('',(0.,0.,1.)); #102930=DIRECTION('',(0.90069091826328,0.434460435204461,0.)); #102931=DIRECTION('center_axis',(0.0546996693162965,-0.99850285236282,0.)); #102932=DIRECTION('ref_axis',(0.99850285236282,0.0546996693162964,0.)); #102933=DIRECTION('',(0.99850285236282,0.0546996693162964,0.)); #102934=DIRECTION('',(0.99850285236282,0.0546996693162964,0.)); #102935=DIRECTION('center_axis',(0.,0.,1.)); #102936=DIRECTION('ref_axis',(1.,0.,0.)); #102937=DIRECTION('center_axis',(-0.993975059651767,0.109606481515763,0.)); #102938=DIRECTION('ref_axis',(-0.109606481515763,-0.993975059651768,0.)); #102939=DIRECTION('',(0.109606481515763,0.993975059651768,0.)); #102940=DIRECTION('',(0.,0.,1.)); #102941=DIRECTION('',(0.109606481515763,0.993975059651768,0.)); #102942=DIRECTION('',(0.,0.,1.)); #102943=DIRECTION('center_axis',(-0.83915118992118,0.54389822619114,0.)); #102944=DIRECTION('ref_axis',(-0.54389822619114,-0.839151189921179,0.)); #102945=DIRECTION('',(0.54389822619114,0.839151189921179,0.)); #102946=DIRECTION('',(0.54389822619114,0.839151189921179,0.)); #102947=DIRECTION('',(0.,0.,1.)); #102948=DIRECTION('center_axis',(-0.698698989313013,0.715415768859602,0.)); #102949=DIRECTION('ref_axis',(-0.715415768859601,-0.698698989313013,0.)); #102950=DIRECTION('',(0.715415768859601,0.698698989313013,0.)); #102951=DIRECTION('',(0.715415768859601,0.698698989313013,0.)); #102952=DIRECTION('',(0.,0.,1.)); #102953=DIRECTION('center_axis',(-0.499999999987926,0.86602540379141,0.)); #102954=DIRECTION('ref_axis',(-0.86602540379141,-0.499999999987926,0.)); #102955=DIRECTION('',(0.86602540379141,0.499999999987926,0.)); #102956=DIRECTION('',(0.86602540379141,0.499999999987926,0.)); #102957=DIRECTION('',(0.,0.,1.)); #102958=DIRECTION('center_axis',(-0.27021873544096,0.962798958774202,0.)); #102959=DIRECTION('ref_axis',(-0.962798958774202,-0.27021873544096,0.)); #102960=DIRECTION('',(0.962798958774202,0.27021873544096,0.)); #102961=DIRECTION('',(0.962798958774202,0.27021873544096,0.)); #102962=DIRECTION('',(0.,0.,1.)); #102963=DIRECTION('center_axis',(-0.051454013634533,0.99867536491139,0.)); #102964=DIRECTION('ref_axis',(-0.99867536491139,-0.051454013634533,0.)); #102965=DIRECTION('',(0.99867536491139,0.051454013634533,0.)); #102966=DIRECTION('',(0.99867536491139,0.051454013634533,0.)); #102967=DIRECTION('',(0.,0.,1.)); #102968=DIRECTION('center_axis',(0.402065584455277,0.915610870291871,0.)); #102969=DIRECTION('ref_axis',(-0.915610870291871,0.402065584455277,0.)); #102970=DIRECTION('',(0.915610870291871,-0.402065584455277,0.)); #102971=DIRECTION('',(0.915610870291871,-0.402065584455277,0.)); #102972=DIRECTION('',(0.,0.,1.)); #102973=DIRECTION('center_axis',(0.866025403772576,0.500000000020547,0.)); #102974=DIRECTION('ref_axis',(-0.500000000020547,0.866025403772576,0.)); #102975=DIRECTION('',(0.500000000020547,-0.866025403772576,0.)); #102976=DIRECTION('',(0.500000000020547,-0.866025403772576,0.)); #102977=DIRECTION('',(0.,0.,1.)); #102978=DIRECTION('center_axis',(0.993975075035825,-0.109606342004127,0.)); #102979=DIRECTION('ref_axis',(0.109606342004127,0.993975075035825,0.)); #102980=DIRECTION('',(-0.109606342004127,-0.993975075035825,0.)); #102981=DIRECTION('',(-0.109606342004127,-0.993975075035825,0.)); #102982=DIRECTION('',(0.,0.,1.)); #102983=DIRECTION('center_axis',(0.839151229386135,-0.543898165302788,0.)); #102984=DIRECTION('ref_axis',(0.543898165302788,0.839151229386135,0.)); #102985=DIRECTION('',(-0.543898165302788,-0.839151229386135,0.)); #102986=DIRECTION('',(-0.543898165302788,-0.839151229386135,0.)); #102987=DIRECTION('',(0.,0.,1.)); #102988=DIRECTION('center_axis',(0.698698989312901,-0.715415768859711,0.)); #102989=DIRECTION('ref_axis',(0.715415768859711,0.698698989312901,0.)); #102990=DIRECTION('',(-0.715415768859711,-0.698698989312901,0.)); #102991=DIRECTION('',(-0.715415768859711,-0.698698989312901,0.)); #102992=DIRECTION('',(0.,0.,1.)); #102993=DIRECTION('center_axis',(0.500000000000151,-0.866025403784352,0.)); #102994=DIRECTION('ref_axis',(0.866025403784351,0.500000000000151,0.)); #102995=DIRECTION('',(-0.866025403784351,-0.500000000000151,0.)); #102996=DIRECTION('',(-0.866025403784351,-0.500000000000151,0.)); #102997=DIRECTION('',(0.,0.,1.)); #102998=DIRECTION('center_axis',(0.270218735431352,-0.962798958776899,0.)); #102999=DIRECTION('ref_axis',(0.962798958776899,0.270218735431352,0.)); #103000=DIRECTION('',(-0.962798958776899,-0.270218735431352,0.)); #103001=DIRECTION('',(-0.962798958776899,-0.270218735431352,0.)); #103002=DIRECTION('',(0.,0.,1.)); #103003=DIRECTION('center_axis',(0.0514540859153518,-0.998675361187316, 0.)); #103004=DIRECTION('ref_axis',(0.998675361187316,0.0514540859153518,0.)); #103005=DIRECTION('',(-0.998675361187316,-0.0514540859153518,0.)); #103006=DIRECTION('',(-0.998675361187316,-0.0514540859153518,0.)); #103007=DIRECTION('',(0.,0.,1.)); #103008=DIRECTION('center_axis',(-0.402065608869665,-0.915610859570956, 0.)); #103009=DIRECTION('ref_axis',(0.915610859570956,-0.402065608869665,0.)); #103010=DIRECTION('',(-0.915610859570956,0.402065608869665,0.)); #103011=DIRECTION('',(-0.915610859570956,0.402065608869665,0.)); #103012=DIRECTION('',(0.,0.,1.)); #103013=DIRECTION('center_axis',(-0.866025403775156,-0.500000000016078, 0.)); #103014=DIRECTION('ref_axis',(0.500000000016078,-0.866025403775156,0.)); #103015=DIRECTION('',(-0.500000000016078,0.866025403775156,0.)); #103016=DIRECTION('',(-0.500000000016078,0.866025403775156,0.)); #103017=DIRECTION('center_axis',(0.129671007686456,0.991557073377816,0.)); #103018=DIRECTION('ref_axis',(-0.991557073377816,0.129671007686456,0.)); #103019=DIRECTION('',(0.991557073377816,-0.129671007686456,0.)); #103020=DIRECTION('',(0.,0.,1.)); #103021=DIRECTION('',(0.991557073377816,-0.129671007686456,0.)); #103022=DIRECTION('',(0.,0.,1.)); #103023=DIRECTION('center_axis',(0.45188012294555,0.892078670570435,0.)); #103024=DIRECTION('ref_axis',(-0.892078670570435,0.45188012294555,0.)); #103025=DIRECTION('',(0.892078670570435,-0.45188012294555,0.)); #103026=DIRECTION('',(0.892078670570435,-0.45188012294555,0.)); #103027=DIRECTION('',(0.,0.,1.)); #103028=DIRECTION('center_axis',(0.86602540372895,0.500000000096109,0.)); #103029=DIRECTION('ref_axis',(-0.500000000096109,0.86602540372895,0.)); #103030=DIRECTION('',(0.500000000096109,-0.86602540372895,0.)); #103031=DIRECTION('',(0.500000000096109,-0.86602540372895,0.)); #103032=DIRECTION('',(0.,0.,1.)); #103033=DIRECTION('center_axis',(0.998502875733453,-0.0546992427006597, 0.)); #103034=DIRECTION('ref_axis',(0.0546992427006596,0.998502875733452,0.)); #103035=DIRECTION('',(-0.0546992427006596,-0.998502875733452,0.)); #103036=DIRECTION('',(-0.0546992427006596,-0.998502875733452,0.)); #103037=DIRECTION('',(0.,0.,1.)); #103038=DIRECTION('center_axis',(0.923549118695921,-0.383480149885215,0.)); #103039=DIRECTION('ref_axis',(0.383480149885215,0.923549118695921,0.)); #103040=DIRECTION('',(-0.383480149885215,-0.923549118695921,0.)); #103041=DIRECTION('',(-0.383480149885215,-0.923549118695921,0.)); #103042=DIRECTION('',(0.,0.,1.)); #103043=DIRECTION('center_axis',(0.698698989312785,-0.715415768859824,0.)); #103044=DIRECTION('ref_axis',(0.715415768859824,0.698698989312785,0.)); #103045=DIRECTION('',(-0.715415768859824,-0.698698989312785,0.)); #103046=DIRECTION('',(-0.715415768859824,-0.698698989312785,0.)); #103047=DIRECTION('',(0.,0.,1.)); #103048=DIRECTION('center_axis',(0.499999999999976,-0.866025403784452,0.)); #103049=DIRECTION('ref_axis',(0.866025403784452,0.499999999999976,0.)); #103050=DIRECTION('',(-0.866025403784452,-0.499999999999976,0.)); #103051=DIRECTION('',(-0.866025403784452,-0.499999999999976,0.)); #103052=DIRECTION('',(0.,0.,1.)); #103053=DIRECTION('center_axis',(0.2702187354315,-0.962798958776858,0.)); #103054=DIRECTION('ref_axis',(0.962798958776857,0.2702187354315,0.)); #103055=DIRECTION('',(-0.962798958776858,-0.2702187354315,0.)); #103056=DIRECTION('',(-0.962798958776858,-0.2702187354315,0.)); #103057=DIRECTION('',(0.,0.,1.)); #103058=DIRECTION('center_axis',(-0.129670922090945,-0.991557084571576, 0.)); #103059=DIRECTION('ref_axis',(0.991557084571576,-0.129670922090945,0.)); #103060=DIRECTION('',(-0.991557084571576,0.129670922090945,0.)); #103061=DIRECTION('',(-0.991557084571576,0.129670922090945,0.)); #103062=DIRECTION('',(0.,0.,1.)); #103063=DIRECTION('center_axis',(-0.451880650318848,-0.892078403430671, 0.)); #103064=DIRECTION('ref_axis',(0.892078403430671,-0.451880650318847,0.)); #103065=DIRECTION('',(-0.892078403430671,0.451880650318847,0.)); #103066=DIRECTION('',(-0.892078403430671,0.451880650318847,0.)); #103067=DIRECTION('',(0.,0.,1.)); #103068=DIRECTION('center_axis',(-0.866025403728912,-0.500000000096175, 0.)); #103069=DIRECTION('ref_axis',(0.500000000096175,-0.866025403728912,0.)); #103070=DIRECTION('',(-0.500000000096175,0.866025403728912,0.)); #103071=DIRECTION('',(-0.500000000096175,0.866025403728912,0.)); #103072=DIRECTION('',(0.,0.,1.)); #103073=DIRECTION('center_axis',(-0.998502861314696,0.0546995059060498, 0.)); #103074=DIRECTION('ref_axis',(-0.0546995059060498,-0.998502861314695,0.)); #103075=DIRECTION('',(0.0546995059060498,0.998502861314695,0.)); #103076=DIRECTION('',(0.0546995059060498,0.998502861314695,0.)); #103077=DIRECTION('',(0.,0.,1.)); #103078=DIRECTION('center_axis',(-0.9235490855454,0.383480229722805,0.)); #103079=DIRECTION('ref_axis',(-0.383480229722805,-0.9235490855454,0.)); #103080=DIRECTION('',(0.383480229722805,0.9235490855454,0.)); #103081=DIRECTION('',(0.383480229722805,0.9235490855454,0.)); #103082=DIRECTION('',(0.,0.,1.)); #103083=DIRECTION('center_axis',(-0.698698989312979,0.715415768859634,0.)); #103084=DIRECTION('ref_axis',(-0.715415768859634,-0.698698989312979,0.)); #103085=DIRECTION('',(0.715415768859634,0.698698989312979,0.)); #103086=DIRECTION('',(0.715415768859634,0.698698989312979,0.)); #103087=DIRECTION('',(0.,0.,1.)); #103088=DIRECTION('center_axis',(-0.499999999999954,0.866025403784465,0.)); #103089=DIRECTION('ref_axis',(-0.866025403784465,-0.499999999999954,0.)); #103090=DIRECTION('',(0.866025403784465,0.499999999999954,0.)); #103091=DIRECTION('',(0.866025403784465,0.499999999999954,0.)); #103092=DIRECTION('',(0.,0.,1.)); #103093=DIRECTION('center_axis',(-0.270218735526799,0.962798958750111,0.)); #103094=DIRECTION('ref_axis',(-0.962798958750111,-0.270218735526799,0.)); #103095=DIRECTION('',(0.962798958750111,0.270218735526799,0.)); #103096=DIRECTION('',(0.962798958750111,0.270218735526799,0.)); #103097=DIRECTION('center_axis',(-0.866025403765751,-0.500000000032368, 0.)); #103098=DIRECTION('ref_axis',(0.500000000032368,-0.866025403765751,0.)); #103099=DIRECTION('',(0.500000000032368,-0.866025403765751,0.)); #103100=DIRECTION('',(0.,0.,1.)); #103101=DIRECTION('',(0.500000000032368,-0.866025403765751,0.)); #103102=DIRECTION('',(0.,0.,1.)); #103103=DIRECTION('center_axis',(-0.988726668907874,-0.149731673971606, 0.)); #103104=DIRECTION('ref_axis',(0.149731673971606,-0.988726668907874,0.)); #103105=DIRECTION('',(0.149731673971606,-0.988726668907874,0.)); #103106=DIRECTION('',(0.,0.,1.)); #103107=DIRECTION('',(0.149731673971606,-0.988726668907874,0.)); #103108=DIRECTION('center_axis',(-0.96859658398951,0.248637602714979,0.)); #103109=DIRECTION('ref_axis',(-0.248637602714979,-0.96859658398951,0.)); #103110=DIRECTION('',(-0.248637602714979,-0.96859658398951,0.)); #103111=DIRECTION('',(0.,0.,1.)); #103112=DIRECTION('',(-0.248637602714979,-0.96859658398951,0.)); #103113=DIRECTION('center_axis',(-0.878805028042495,0.477181016687829,0.)); #103114=DIRECTION('ref_axis',(-0.477181016687829,-0.878805028042495,0.)); #103115=DIRECTION('',(-0.477181016687829,-0.878805028042495,0.)); #103116=DIRECTION('',(0.,0.,1.)); #103117=DIRECTION('',(-0.477181016687829,-0.878805028042495,0.)); #103118=DIRECTION('center_axis',(-0.663197269938812,0.748444641336757,0.)); #103119=DIRECTION('ref_axis',(-0.748444641336757,-0.663197269938812,0.)); #103120=DIRECTION('',(-0.748444641336757,-0.663197269938812,0.)); #103121=DIRECTION('',(0.,0.,1.)); #103122=DIRECTION('',(-0.748444641336757,-0.663197269938812,0.)); #103123=DIRECTION('center_axis',(-0.499999999995941,0.866025403786782,0.)); #103124=DIRECTION('ref_axis',(-0.866025403786782,-0.499999999995941,0.)); #103125=DIRECTION('',(-0.866025403786782,-0.499999999995941,0.)); #103126=DIRECTION('',(0.,0.,1.)); #103127=DIRECTION('',(-0.866025403786782,-0.499999999995941,0.)); #103128=DIRECTION('center_axis',(-0.316573464430604,0.948567995253058,0.)); #103129=DIRECTION('ref_axis',(-0.948567995253058,-0.316573464430604,0.)); #103130=DIRECTION('',(-0.948567995253058,-0.316573464430604,0.)); #103131=DIRECTION('',(0.,0.,1.)); #103132=DIRECTION('',(-0.948567995253058,-0.316573464430604,0.)); #103133=DIRECTION('center_axis',(0.0261517906337223,0.99965798343566,0.)); #103134=DIRECTION('ref_axis',(-0.99965798343566,0.0261517906337223,0.)); #103135=DIRECTION('',(-0.99965798343566,0.0261517906337223,0.)); #103136=DIRECTION('',(0.,0.,1.)); #103137=DIRECTION('',(-0.99965798343566,0.0261517906337223,0.)); #103138=DIRECTION('center_axis',(0.26897178311144,0.963148057097066,0.)); #103139=DIRECTION('ref_axis',(-0.963148057097066,0.26897178311144,0.)); #103140=DIRECTION('',(-0.963148057097066,0.26897178311144,0.)); #103141=DIRECTION('',(0.,0.,1.)); #103142=DIRECTION('',(-0.963148057097066,0.26897178311144,0.)); #103143=DIRECTION('center_axis',(0.624034649272735,0.781396670396703,0.)); #103144=DIRECTION('ref_axis',(-0.781396670396703,0.624034649272735,0.)); #103145=DIRECTION('',(-0.781396670396703,0.624034649272735,0.)); #103146=DIRECTION('',(0.,0.,1.)); #103147=DIRECTION('',(-0.781396670396703,0.624034649272735,0.)); #103148=DIRECTION('center_axis',(0.866025403771514,0.500000000022386,0.)); #103149=DIRECTION('ref_axis',(-0.500000000022386,0.866025403771514,0.)); #103150=DIRECTION('',(-0.500000000022386,0.866025403771514,0.)); #103151=DIRECTION('',(0.,0.,1.)); #103152=DIRECTION('',(-0.500000000022386,0.866025403771514,0.)); #103153=DIRECTION('center_axis',(0.98872668451452,0.149731570915837,0.)); #103154=DIRECTION('ref_axis',(-0.149731570915837,0.98872668451452,0.)); #103155=DIRECTION('',(-0.149731570915837,0.98872668451452,0.)); #103156=DIRECTION('',(0.,0.,1.)); #103157=DIRECTION('',(-0.149731570915837,0.98872668451452,0.)); #103158=DIRECTION('center_axis',(0.923549118695807,-0.383480149885491,0.)); #103159=DIRECTION('ref_axis',(0.383480149885491,0.923549118695807,0.)); #103160=DIRECTION('',(0.383480149885491,0.923549118695807,0.)); #103161=DIRECTION('',(0.,0.,1.)); #103162=DIRECTION('',(0.383480149885491,0.923549118695807,0.)); #103163=DIRECTION('center_axis',(0.839151071888791,-0.543898408296892,0.)); #103164=DIRECTION('ref_axis',(0.543898408296892,0.839151071888791,0.)); #103165=DIRECTION('',(0.543898408296892,0.839151071888791,0.)); #103166=DIRECTION('',(0.,0.,1.)); #103167=DIRECTION('',(0.543898408296892,0.839151071888791,0.)); #103168=DIRECTION('center_axis',(-0.05145430293332,0.99867535000602,0.)); #103169=DIRECTION('ref_axis',(-0.99867535000602,-0.05145430293332,0.)); #103170=DIRECTION('',(-0.99867535000602,-0.05145430293332,0.)); #103171=DIRECTION('',(0.,0.,1.)); #103172=DIRECTION('',(-0.99867535000602,-0.05145430293332,0.)); #103173=DIRECTION('center_axis',(0.268971726928209,0.963148072786971,0.)); #103174=DIRECTION('ref_axis',(-0.963148072786971,0.268971726928209,0.)); #103175=DIRECTION('',(-0.963148072786971,0.268971726928209,0.)); #103176=DIRECTION('',(0.,0.,1.)); #103177=DIRECTION('',(-0.963148072786971,0.268971726928209,0.)); #103178=DIRECTION('center_axis',(0.557953504063363,0.829872211429817,0.)); #103179=DIRECTION('ref_axis',(-0.829872211429817,0.557953504063363,0.)); #103180=DIRECTION('',(-0.829872211429817,0.557953504063363,0.)); #103181=DIRECTION('',(0.,0.,1.)); #103182=DIRECTION('',(-0.829872211429817,0.557953504063363,0.)); #103183=DIRECTION('center_axis',(0.866025403775105,0.500000000016166,0.)); #103184=DIRECTION('ref_axis',(-0.500000000016166,0.866025403775105,0.)); #103185=DIRECTION('',(-0.500000000016166,0.866025403775105,0.)); #103186=DIRECTION('',(0.,0.,1.)); #103187=DIRECTION('',(-0.500000000016166,0.866025403775105,0.)); #103188=DIRECTION('center_axis',(0.988726670278246,0.149731664922598,0.)); #103189=DIRECTION('ref_axis',(-0.149731664922598,0.988726670278246,0.)); #103190=DIRECTION('',(-0.149731664922598,0.988726670278246,0.)); #103191=DIRECTION('',(0.,0.,1.)); #103192=DIRECTION('',(-0.149731664922598,0.988726670278246,0.)); #103193=DIRECTION('center_axis',(0.968596562126725,-0.248637687883976,0.)); #103194=DIRECTION('ref_axis',(0.248637687883976,0.968596562126725,0.)); #103195=DIRECTION('',(0.248637687883976,0.968596562126725,0.)); #103196=DIRECTION('',(0.,0.,1.)); #103197=DIRECTION('',(0.248637687883976,0.968596562126725,0.)); #103198=DIRECTION('center_axis',(0.87880496377937,-0.477181135038615,0.)); #103199=DIRECTION('ref_axis',(0.477181135038615,0.878804963779369,0.)); #103200=DIRECTION('',(0.477181135038615,0.878804963779369,0.)); #103201=DIRECTION('',(0.,0.,1.)); #103202=DIRECTION('',(0.477181135038615,0.87880496377937,0.)); #103203=DIRECTION('center_axis',(0.663197278068961,-0.748444634132627,0.)); #103204=DIRECTION('ref_axis',(0.748444634132627,0.663197278068961,0.)); #103205=DIRECTION('',(0.748444634132627,0.663197278068961,0.)); #103206=DIRECTION('',(0.,0.,1.)); #103207=DIRECTION('',(0.748444634132627,0.663197278068961,0.)); #103208=DIRECTION('center_axis',(0.4999999999999,-0.866025403784497,0.)); #103209=DIRECTION('ref_axis',(0.866025403784497,0.4999999999999,0.)); #103210=DIRECTION('',(0.866025403784497,0.4999999999999,0.)); #103211=DIRECTION('',(0.,0.,1.)); #103212=DIRECTION('',(0.866025403784497,0.4999999999999,0.)); #103213=DIRECTION('center_axis',(0.316573454094409,-0.948567998702642,0.)); #103214=DIRECTION('ref_axis',(0.948567998702642,0.316573454094409,0.)); #103215=DIRECTION('',(0.948567998702642,0.316573454094409,0.)); #103216=DIRECTION('',(0.,0.,1.)); #103217=DIRECTION('',(0.948567998702642,0.316573454094409,0.)); #103218=DIRECTION('center_axis',(-0.026151560522089,-0.999657989455524, 0.)); #103219=DIRECTION('ref_axis',(0.999657989455524,-0.026151560522089,0.)); #103220=DIRECTION('',(0.999657989455524,-0.026151560522089,0.)); #103221=DIRECTION('',(0.,0.,1.)); #103222=DIRECTION('',(0.999657989455524,-0.026151560522089,0.)); #103223=DIRECTION('center_axis',(-0.268971726910333,-0.963148072791964, 0.)); #103224=DIRECTION('ref_axis',(0.963148072791963,-0.268971726910333,0.)); #103225=DIRECTION('',(0.963148072791964,-0.268971726910333,0.)); #103226=DIRECTION('',(0.,0.,1.)); #103227=DIRECTION('',(0.963148072791963,-0.268971726910333,0.)); #103228=DIRECTION('center_axis',(-0.624034891217706,-0.7813964771759,0.)); #103229=DIRECTION('ref_axis',(0.7813964771759,-0.624034891217706,0.)); #103230=DIRECTION('',(0.7813964771759,-0.624034891217706,0.)); #103231=DIRECTION('',(0.,0.,1.)); #103232=DIRECTION('',(0.7813964771759,-0.624034891217706,0.)); #103233=DIRECTION('center_axis',(-0.86602540377498,-0.500000000016382,0.)); #103234=DIRECTION('ref_axis',(0.500000000016382,-0.86602540377498,0.)); #103235=DIRECTION('',(0.500000000016382,-0.86602540377498,0.)); #103236=DIRECTION('',(0.,0.,1.)); #103237=DIRECTION('',(0.500000000016382,-0.86602540377498,0.)); #103238=DIRECTION('center_axis',(-0.997667155773264,-0.0682659965962916, 0.)); #103239=DIRECTION('ref_axis',(0.0682659965962916,-0.997667155773264,0.)); #103240=DIRECTION('',(0.0682659965962916,-0.997667155773264,0.)); #103241=DIRECTION('',(0.,0.,1.)); #103242=DIRECTION('',(0.0682659965962916,-0.997667155773264,0.)); #103243=DIRECTION('center_axis',(-0.968596562126646,0.248637687884284,0.)); #103244=DIRECTION('ref_axis',(-0.248637687884285,-0.968596562126646,0.)); #103245=DIRECTION('',(-0.248637687884285,-0.968596562126646,0.)); #103246=DIRECTION('',(0.,0.,1.)); #103247=DIRECTION('',(-0.248637687884284,-0.968596562126646,0.)); #103248=DIRECTION('center_axis',(-0.839151150456527,0.543898287079015,0.)); #103249=DIRECTION('ref_axis',(-0.543898287079015,-0.839151150456527,0.)); #103250=DIRECTION('',(-0.543898287079015,-0.839151150456527,0.)); #103251=DIRECTION('',(0.,0.,1.)); #103252=DIRECTION('',(-0.543898287079015,-0.839151150456527,0.)); #103253=DIRECTION('center_axis',(0.0514541582889679,-0.998675357458455, 0.)); #103254=DIRECTION('ref_axis',(0.998675357458455,0.0514541582889679,0.)); #103255=DIRECTION('',(0.998675357458455,0.0514541582889679,0.)); #103256=DIRECTION('',(0.,0.,1.)); #103257=DIRECTION('',(0.998675357458455,0.0514541582889679,0.)); #103258=DIRECTION('center_axis',(-0.129670900720857,-0.99155708736625,0.)); #103259=DIRECTION('ref_axis',(0.99155708736625,-0.129670900720857,0.)); #103260=DIRECTION('',(0.99155708736625,-0.129670900720857,0.)); #103261=DIRECTION('',(0.,0.,1.)); #103262=DIRECTION('',(0.99155708736625,-0.129670900720857,0.)); #103263=DIRECTION('center_axis',(-0.624034805053988,-0.781396545987523, 0.)); #103264=DIRECTION('ref_axis',(0.781396545987523,-0.624034805053988,0.)); #103265=DIRECTION('',(0.781396545987523,-0.624034805053988,0.)); #103266=DIRECTION('',(0.781396545987523,-0.624034805053988,0.)); #103267=DIRECTION('center_axis',(0.,0.,1.)); #103268=DIRECTION('ref_axis',(1.,0.,0.)); #103269=DIRECTION('center_axis',(3.74071150236061E-11,1.,0.)); #103270=DIRECTION('ref_axis',(-1.,3.74071150236061E-11,0.)); #103271=DIRECTION('',(-1.,3.74071150236061E-11,0.)); #103272=DIRECTION('',(0.,0.,1.)); #103273=DIRECTION('',(-1.,3.74071150236061E-11,0.)); #103274=DIRECTION('',(0.,0.,1.)); #103275=DIRECTION('center_axis',(0.765974216114051,0.642871293688298,0.)); #103276=DIRECTION('ref_axis',(-0.642871293688298,0.765974216114051,0.)); #103277=DIRECTION('',(-0.642871293688298,0.765974216114051,0.)); #103278=DIRECTION('',(0.,0.,1.)); #103279=DIRECTION('',(-0.642871293688298,0.765974216114051,0.)); #103280=DIRECTION('center_axis',(1.,4.99193171800782E-11,0.)); #103281=DIRECTION('ref_axis',(-4.99193171800782E-11,1.,0.)); #103282=DIRECTION('',(-4.99193171800782E-11,1.,0.)); #103283=DIRECTION('',(0.,0.,1.)); #103284=DIRECTION('',(-4.99193171800782E-11,1.,0.)); #103285=DIRECTION('center_axis',(-0.765974342763628,-0.642871142786662, 0.)); #103286=DIRECTION('ref_axis',(0.642871142786662,-0.765974342763628,0.)); #103287=DIRECTION('',(0.642871142786662,-0.765974342763628,0.)); #103288=DIRECTION('',(0.,0.,1.)); #103289=DIRECTION('',(0.642871142786662,-0.765974342763628,0.)); #103290=DIRECTION('center_axis',(1.,1.36115239433958E-11,0.)); #103291=DIRECTION('ref_axis',(-1.36115239433958E-11,1.,0.)); #103292=DIRECTION('',(-1.36115239433958E-11,1.,0.)); #103293=DIRECTION('',(0.,0.,1.)); #103294=DIRECTION('',(-1.36115239433958E-11,1.,0.)); #103295=DIRECTION('center_axis',(9.8439776390233E-12,-1.,0.)); #103296=DIRECTION('ref_axis',(1.,9.8439776390233E-12,0.)); #103297=DIRECTION('',(1.,9.8439776390233E-12,0.)); #103298=DIRECTION('',(0.,0.,1.)); #103299=DIRECTION('',(1.,9.8439776390233E-12,0.)); #103300=DIRECTION('center_axis',(-1.,-1.34188958339119E-11,0.)); #103301=DIRECTION('ref_axis',(1.34188958339119E-11,-1.,0.)); #103302=DIRECTION('',(1.34188958339119E-11,-1.,0.)); #103303=DIRECTION('',(1.34188958339119E-11,-1.,0.)); #103304=DIRECTION('center_axis',(0.,0.,1.)); #103305=DIRECTION('ref_axis',(1.,0.,0.)); #103306=DIRECTION('center_axis',(0.980954758948953,-0.194236353176747,0.)); #103307=DIRECTION('ref_axis',(0.194236353176747,0.980954758948953,0.)); #103308=DIRECTION('',(-0.194236353176747,-0.980954758948953,0.)); #103309=DIRECTION('',(0.,0.,1.)); #103310=DIRECTION('',(-0.194236353176747,-0.980954758948953,0.)); #103311=DIRECTION('',(0.,0.,1.)); #103312=DIRECTION('center_axis',(0.866025403779668,-0.500000000008263,0.)); #103313=DIRECTION('ref_axis',(0.500000000008262,0.866025403779668,0.)); #103314=DIRECTION('',(-0.500000000008262,-0.866025403779668,0.)); #103315=DIRECTION('',(-0.500000000008262,-0.866025403779668,0.)); #103316=DIRECTION('',(0.,0.,1.)); #103317=DIRECTION('center_axis',(0.658690940904318,-0.752413612563319,0.)); #103318=DIRECTION('ref_axis',(0.752413612563319,0.658690940904318,0.)); #103319=DIRECTION('',(-0.752413612563319,-0.658690940904318,0.)); #103320=DIRECTION('',(-0.752413612563319,-0.658690940904318,0.)); #103321=DIRECTION('',(0.,0.,1.)); #103322=DIRECTION('center_axis',(0.434460508592575,-0.900690882863528,0.)); #103323=DIRECTION('ref_axis',(0.900690882863528,0.434460508592575,0.)); #103324=DIRECTION('',(-0.900690882863528,-0.434460508592575,0.)); #103325=DIRECTION('',(-0.900690882863528,-0.434460508592575,0.)); #103326=DIRECTION('',(0.,0.,1.)); #103327=DIRECTION('center_axis',(0.0546995058361315,-0.998502861318526, 0.)); #103328=DIRECTION('ref_axis',(0.998502861318526,0.0546995058361315,0.)); #103329=DIRECTION('',(-0.998502861318526,-0.0546995058361315,0.)); #103330=DIRECTION('',(-0.998502861318526,-0.0546995058361315,0.)); #103331=DIRECTION('',(0.,0.,1.)); #103332=DIRECTION('center_axis',(-0.206612412599218,-0.978422869193035, 0.)); #103333=DIRECTION('ref_axis',(0.978422869193035,-0.206612412599218,0.)); #103334=DIRECTION('',(-0.978422869193035,0.206612412599218,0.)); #103335=DIRECTION('',(-0.978422869193035,0.206612412599218,0.)); #103336=DIRECTION('',(0.,0.,1.)); #103337=DIRECTION('center_axis',(-0.500000000004903,-0.866025403781608, 0.)); #103338=DIRECTION('ref_axis',(0.866025403781608,-0.500000000004903,0.)); #103339=DIRECTION('',(-0.866025403781608,0.500000000004903,0.)); #103340=DIRECTION('',(-0.866025403781608,0.500000000004903,0.)); #103341=DIRECTION('',(0.,0.,1.)); #103342=DIRECTION('center_axis',(-0.744033038155312,-0.66814282764494,0.)); #103343=DIRECTION('ref_axis',(0.66814282764494,-0.744033038155312,0.)); #103344=DIRECTION('',(-0.66814282764494,0.744033038155312,0.)); #103345=DIRECTION('',(-0.66814282764494,0.744033038155312,0.)); #103346=DIRECTION('',(0.,0.,1.)); #103347=DIRECTION('center_axis',(-0.892078596564383,-0.451880269044491, 0.)); #103348=DIRECTION('ref_axis',(0.451880269044491,-0.892078596564383,0.)); #103349=DIRECTION('',(-0.451880269044491,0.892078596564383,0.)); #103350=DIRECTION('',(-0.451880269044491,0.892078596564383,0.)); #103351=DIRECTION('',(0.,0.,1.)); #103352=DIRECTION('center_axis',(-0.997251439809851,-0.0740916040937161, 0.)); #103353=DIRECTION('ref_axis',(0.0740916040937161,-0.997251439809851,0.)); #103354=DIRECTION('',(-0.0740916040937161,0.997251439809851,0.)); #103355=DIRECTION('',(-0.0740916040937161,0.997251439809851,0.)); #103356=DIRECTION('',(0.,0.,1.)); #103357=DIRECTION('center_axis',(-0.980954773106014,0.194236281679115,0.)); #103358=DIRECTION('ref_axis',(-0.194236281679115,-0.980954773106014,0.)); #103359=DIRECTION('',(0.194236281679115,0.980954773106014,0.)); #103360=DIRECTION('',(0.194236281679115,0.980954773106014,0.)); #103361=DIRECTION('',(0.,0.,1.)); #103362=DIRECTION('center_axis',(-0.866025403779704,0.500000000008201,0.)); #103363=DIRECTION('ref_axis',(-0.500000000008201,-0.866025403779704,0.)); #103364=DIRECTION('',(0.500000000008201,0.866025403779704,0.)); #103365=DIRECTION('',(0.500000000008201,0.866025403779704,0.)); #103366=DIRECTION('',(0.,0.,1.)); #103367=DIRECTION('center_axis',(-0.6586909955366,0.752413564736178,0.)); #103368=DIRECTION('ref_axis',(-0.752413564736178,-0.6586909955366,0.)); #103369=DIRECTION('',(0.752413564736178,0.6586909955366,0.)); #103370=DIRECTION('',(0.752413564736178,0.6586909955366,0.)); #103371=DIRECTION('',(0.,0.,1.)); #103372=DIRECTION('center_axis',(-0.434460508592441,0.900690882863592,0.)); #103373=DIRECTION('ref_axis',(-0.900690882863592,-0.434460508592441,0.)); #103374=DIRECTION('',(0.900690882863592,0.434460508592441,0.)); #103375=DIRECTION('',(0.900690882863592,0.434460508592441,0.)); #103376=DIRECTION('',(0.,0.,1.)); #103377=DIRECTION('center_axis',(-0.0546996693139246,0.99850285236295,0.)); #103378=DIRECTION('ref_axis',(-0.99850285236295,-0.0546996693139246,0.)); #103379=DIRECTION('',(0.99850285236295,0.0546996693139246,0.)); #103380=DIRECTION('',(0.99850285236295,0.0546996693139246,0.)); #103381=DIRECTION('',(0.,0.,1.)); #103382=DIRECTION('center_axis',(0.206612452731104,0.978422860718431,0.)); #103383=DIRECTION('ref_axis',(-0.978422860718431,0.206612452731104,0.)); #103384=DIRECTION('',(0.978422860718431,-0.206612452731104,0.)); #103385=DIRECTION('',(0.978422860718431,-0.206612452731104,0.)); #103386=DIRECTION('',(0.,0.,1.)); #103387=DIRECTION('center_axis',(0.50000000000175,0.866025403783428,0.)); #103388=DIRECTION('ref_axis',(-0.866025403783428,0.50000000000175,0.)); #103389=DIRECTION('',(0.866025403783428,-0.50000000000175,0.)); #103390=DIRECTION('',(0.866025403783428,-0.50000000000175,0.)); #103391=DIRECTION('',(0.,0.,1.)); #103392=DIRECTION('center_axis',(0.744032642635929,0.668143268088661,0.)); #103393=DIRECTION('ref_axis',(-0.668143268088661,0.744032642635929,0.)); #103394=DIRECTION('',(0.668143268088661,-0.744032642635929,0.)); #103395=DIRECTION('',(0.668143268088661,-0.744032642635929,0.)); #103396=DIRECTION('',(0.,0.,1.)); #103397=DIRECTION('center_axis',(0.892078670506016,0.451880123072723,0.)); #103398=DIRECTION('ref_axis',(-0.451880123072723,0.892078670506016,0.)); #103399=DIRECTION('',(0.451880123072723,-0.892078670506016,0.)); #103400=DIRECTION('',(0.451880123072723,-0.892078670506016,0.)); #103401=DIRECTION('',(0.,0.,1.)); #103402=DIRECTION('center_axis',(0.997251439809825,0.0740916040940568,0.)); #103403=DIRECTION('ref_axis',(-0.0740916040940568,0.997251439809825,0.)); #103404=DIRECTION('',(0.0740916040940568,-0.997251439809825,0.)); #103405=DIRECTION('',(0.0740916040940568,-0.997251439809825,0.)); #103406=DIRECTION('center_axis',(-0.149731815056139,-0.988726647542178, 0.)); #103407=DIRECTION('ref_axis',(0.988726647542178,-0.149731815056139,0.)); #103408=DIRECTION('',(0.988726647542178,-0.149731815056139,0.)); #103409=DIRECTION('',(0.,0.,1.)); #103410=DIRECTION('',(0.988726647542178,-0.149731815056139,0.)); #103411=DIRECTION('',(0.,0.,1.)); #103412=DIRECTION('center_axis',(-0.500000000003541,-0.866025403782395, 0.)); #103413=DIRECTION('ref_axis',(0.866025403782395,-0.500000000003541,0.)); #103414=DIRECTION('',(0.866025403782395,-0.500000000003541,0.)); #103415=DIRECTION('',(0.,0.,1.)); #103416=DIRECTION('',(0.866025403782395,-0.500000000003541,0.)); #103417=DIRECTION('center_axis',(-0.781396605400238,-0.624034730659267, 0.)); #103418=DIRECTION('ref_axis',(0.624034730659267,-0.781396605400238,0.)); #103419=DIRECTION('',(0.624034730659267,-0.781396605400238,0.)); #103420=DIRECTION('',(0.,0.,1.)); #103421=DIRECTION('',(0.624034730659267,-0.781396605400238,0.)); #103422=DIRECTION('center_axis',(-0.915610892432056,-0.402065534036148, 0.)); #103423=DIRECTION('ref_axis',(0.402065534036148,-0.915610892432056,0.)); #103424=DIRECTION('',(0.402065534036148,-0.915610892432056,0.)); #103425=DIRECTION('',(0.,0.,1.)); #103426=DIRECTION('',(0.402065534036148,-0.915610892432056,0.)); #103427=DIRECTION('center_axis',(-0.999657988623333,-0.0261515923330175, 0.)); #103428=DIRECTION('ref_axis',(0.0261515923330175,-0.999657988623333,0.)); #103429=DIRECTION('',(0.0261515923330175,-0.999657988623333,0.)); #103430=DIRECTION('',(0.,0.,1.)); #103431=DIRECTION('',(0.0261515923330175,-0.999657988623333,0.)); #103432=DIRECTION('center_axis',(-0.948568085648499,0.316573193573212,0.)); #103433=DIRECTION('ref_axis',(-0.316573193573212,-0.948568085648499,0.)); #103434=DIRECTION('',(-0.316573193573212,-0.948568085648499,0.)); #103435=DIRECTION('',(0.,0.,1.)); #103436=DIRECTION('',(-0.316573193573212,-0.948568085648499,0.)); #103437=DIRECTION('center_axis',(-0.866025403755402,0.500000000050294,0.)); #103438=DIRECTION('ref_axis',(-0.500000000050294,-0.866025403755402,0.)); #103439=DIRECTION('',(-0.500000000050294,-0.866025403755402,0.)); #103440=DIRECTION('',(0.,0.,1.)); #103441=DIRECTION('',(-0.500000000050294,-0.866025403755402,0.)); #103442=DIRECTION('center_axis',(-0.748444452077677,0.663197483525191,0.)); #103443=DIRECTION('ref_axis',(-0.663197483525191,-0.748444452077677,0.)); #103444=DIRECTION('',(-0.663197483525191,-0.748444452077677,0.)); #103445=DIRECTION('',(0.,0.,1.)); #103446=DIRECTION('',(-0.663197483525191,-0.748444452077677,0.)); #103447=DIRECTION('center_axis',(-0.434460508592717,0.90069088286346,0.)); #103448=DIRECTION('ref_axis',(-0.900690882863459,-0.434460508592716,0.)); #103449=DIRECTION('',(-0.90069088286346,-0.434460508592717,0.)); #103450=DIRECTION('',(0.,0.,1.)); #103451=DIRECTION('',(-0.900690882863459,-0.434460508592716,0.)); #103452=DIRECTION('center_axis',(-0.500000000001935,-0.866025403783321, 0.)); #103453=DIRECTION('ref_axis',(0.866025403783321,-0.500000000001935,0.)); #103454=DIRECTION('',(0.866025403783321,-0.500000000001935,0.)); #103455=DIRECTION('',(0.,0.,1.)); #103456=DIRECTION('',(0.866025403783321,-0.500000000001935,0.)); #103457=DIRECTION('center_axis',(-0.35398683564442,-0.935250405073663,0.)); #103458=DIRECTION('ref_axis',(0.935250405073663,-0.35398683564442,0.)); #103459=DIRECTION('',(0.935250405073663,-0.35398683564442,0.)); #103460=DIRECTION('',(0.,0.,1.)); #103461=DIRECTION('',(0.935250405073663,-0.35398683564442,0.)); #103462=DIRECTION('center_axis',(-0.206612452731026,-0.978422860718447, 0.)); #103463=DIRECTION('ref_axis',(0.978422860718447,-0.206612452731026,0.)); #103464=DIRECTION('',(0.978422860718447,-0.206612452731026,0.)); #103465=DIRECTION('',(0.,0.,1.)); #103466=DIRECTION('',(0.978422860718447,-0.206612452731026,0.)); #103467=DIRECTION('center_axis',(0.0546995625900645,-0.998502858209457, 0.)); #103468=DIRECTION('ref_axis',(0.998502858209457,0.0546995625900645,0.)); #103469=DIRECTION('',(0.998502858209457,0.0546995625900645,0.)); #103470=DIRECTION('',(0.,0.,1.)); #103471=DIRECTION('',(0.998502858209457,0.0546995625900645,0.)); #103472=DIRECTION('center_axis',(0.434460508592372,-0.900690882863626,0.)); #103473=DIRECTION('ref_axis',(0.900690882863626,0.434460508592372,0.)); #103474=DIRECTION('',(0.900690882863626,0.434460508592372,0.)); #103475=DIRECTION('',(0.,0.,1.)); #103476=DIRECTION('',(0.900690882863626,0.434460508592372,0.)); #103477=DIRECTION('center_axis',(0.658690995536184,-0.752413564736543,0.)); #103478=DIRECTION('ref_axis',(0.752413564736542,0.658690995536184,0.)); #103479=DIRECTION('',(0.752413564736542,0.658690995536184,0.)); #103480=DIRECTION('',(0.,0.,1.)); #103481=DIRECTION('',(0.752413564736542,0.658690995536184,0.)); #103482=DIRECTION('center_axis',(0.866025403801845,-0.499999999969851,0.)); #103483=DIRECTION('ref_axis',(0.499999999969851,0.866025403801845,0.)); #103484=DIRECTION('',(0.499999999969851,0.866025403801845,0.)); #103485=DIRECTION('',(0.,0.,1.)); #103486=DIRECTION('',(0.499999999969851,0.866025403801845,0.)); #103487=DIRECTION('center_axis',(0.980954758997829,-0.194236352929905,0.)); #103488=DIRECTION('ref_axis',(0.194236352929905,0.980954758997829,0.)); #103489=DIRECTION('',(0.194236352929905,0.980954758997829,0.)); #103490=DIRECTION('',(0.,0.,1.)); #103491=DIRECTION('',(0.194236352929905,0.980954758997829,0.)); #103492=DIRECTION('center_axis',(0.997251439825234,0.0740916038866652,0.)); #103493=DIRECTION('ref_axis',(-0.0740916038866652,0.997251439825234,0.)); #103494=DIRECTION('',(-0.0740916038866652,0.997251439825234,0.)); #103495=DIRECTION('',(0.,0.,1.)); #103496=DIRECTION('',(-0.0740916038866652,0.997251439825234,0.)); #103497=DIRECTION('center_axis',(0.892078548248362,0.451880364427461,0.)); #103498=DIRECTION('ref_axis',(-0.45188036442746,0.892078548248362,0.)); #103499=DIRECTION('',(-0.45188036442746,0.892078548248362,0.)); #103500=DIRECTION('',(0.,0.,1.)); #103501=DIRECTION('',(-0.45188036442746,0.892078548248362,0.)); #103502=DIRECTION('center_axis',(0.866025403743715,-0.500000000070536,0.)); #103503=DIRECTION('ref_axis',(0.500000000070536,0.866025403743715,0.)); #103504=DIRECTION('',(0.500000000070536,0.866025403743715,0.)); #103505=DIRECTION('',(0.,0.,1.)); #103506=DIRECTION('',(0.500000000070536,0.866025403743715,0.)); #103507=DIRECTION('center_axis',(-0.744032956856689,-0.668142918177759, 0.)); #103508=DIRECTION('ref_axis',(0.668142918177759,-0.744032956856689,0.)); #103509=DIRECTION('',(0.668142918177759,-0.744032956856689,0.)); #103510=DIRECTION('',(0.,0.,1.)); #103511=DIRECTION('',(0.668142918177759,-0.744032956856689,0.)); #103512=DIRECTION('center_axis',(-0.915610887070741,-0.4020655462453,0.)); #103513=DIRECTION('ref_axis',(0.4020655462453,-0.915610887070741,0.)); #103514=DIRECTION('',(0.4020655462453,-0.915610887070741,0.)); #103515=DIRECTION('',(0.,0.,1.)); #103516=DIRECTION('',(0.4020655462453,-0.915610887070741,0.)); #103517=DIRECTION('center_axis',(-0.999657988437222,-0.02615159944721,0.)); #103518=DIRECTION('ref_axis',(0.02615159944721,-0.999657988437222,0.)); #103519=DIRECTION('',(0.02615159944721,-0.999657988437222,0.)); #103520=DIRECTION('',(0.,0.,1.)); #103521=DIRECTION('',(0.02615159944721,-0.999657988437222,0.)); #103522=DIRECTION('center_axis',(-0.948568004100811,0.31657343791955,0.)); #103523=DIRECTION('ref_axis',(-0.31657343791955,-0.948568004100811,0.)); #103524=DIRECTION('',(-0.31657343791955,-0.948568004100811,0.)); #103525=DIRECTION('',(0.,0.,1.)); #103526=DIRECTION('',(-0.31657343791955,-0.948568004100811,0.)); #103527=DIRECTION('center_axis',(-0.86602540378221,0.50000000000386,0.)); #103528=DIRECTION('ref_axis',(-0.50000000000386,-0.86602540378221,0.)); #103529=DIRECTION('',(-0.50000000000386,-0.86602540378221,0.)); #103530=DIRECTION('',(0.,0.,1.)); #103531=DIRECTION('',(-0.50000000000386,-0.86602540378221,0.)); #103532=DIRECTION('center_axis',(-0.748444622812861,0.663197290843769,0.)); #103533=DIRECTION('ref_axis',(-0.663197290843769,-0.748444622812861,0.)); #103534=DIRECTION('',(-0.663197290843769,-0.748444622812861,0.)); #103535=DIRECTION('',(0.,0.,1.)); #103536=DIRECTION('',(-0.663197290843769,-0.748444622812861,0.)); #103537=DIRECTION('center_axis',(-0.477181100776319,0.878804982383407,0.)); #103538=DIRECTION('ref_axis',(-0.878804982383407,-0.477181100776319,0.)); #103539=DIRECTION('',(-0.878804982383407,-0.477181100776319,0.)); #103540=DIRECTION('',(0.,0.,1.)); #103541=DIRECTION('',(-0.878804982383407,-0.477181100776319,0.)); #103542=DIRECTION('center_axis',(-0.109606466473924,0.993975061310444,0.)); #103543=DIRECTION('ref_axis',(-0.993975061310444,-0.109606466473924,0.)); #103544=DIRECTION('',(-0.993975061310444,-0.109606466473924,0.)); #103545=DIRECTION('',(0.,0.,1.)); #103546=DIRECTION('',(-0.993975061310444,-0.109606466473924,0.)); #103547=DIRECTION('center_axis',(0.149731523871312,0.988726691638885,0.)); #103548=DIRECTION('ref_axis',(-0.988726691638885,0.149731523871312,0.)); #103549=DIRECTION('',(-0.988726691638885,0.149731523871312,0.)); #103550=DIRECTION('',(0.,0.,1.)); #103551=DIRECTION('',(-0.988726691638885,0.149731523871312,0.)); #103552=DIRECTION('center_axis',(0.375206682542832,0.926941176868955,0.)); #103553=DIRECTION('ref_axis',(-0.926941176868955,0.375206682542832,0.)); #103554=DIRECTION('',(-0.926941176868955,0.375206682542832,0.)); #103555=DIRECTION('',(0.,0.,1.)); #103556=DIRECTION('',(-0.926941176868955,0.375206682542832,0.)); #103557=DIRECTION('center_axis',(0.500000000016505,0.866025403774909,0.)); #103558=DIRECTION('ref_axis',(-0.866025403774909,0.500000000016505,0.)); #103559=DIRECTION('',(-0.866025403774909,0.500000000016505,0.)); #103560=DIRECTION('',(0.,0.,1.)); #103561=DIRECTION('',(-0.866025403774909,0.500000000016505,0.)); #103562=DIRECTION('center_axis',(0.615151287345252,0.788409090306219,0.)); #103563=DIRECTION('ref_axis',(-0.788409090306219,0.615151287345252,0.)); #103564=DIRECTION('',(-0.788409090306219,0.615151287345252,0.)); #103565=DIRECTION('',(0.,0.,1.)); #103566=DIRECTION('',(-0.788409090306219,0.615151287345252,0.)); #103567=DIRECTION('center_axis',(0.781396581357315,0.624034760765056,0.)); #103568=DIRECTION('ref_axis',(-0.624034760765056,0.781396581357315,0.)); #103569=DIRECTION('',(-0.624034760765056,0.781396581357315,0.)); #103570=DIRECTION('',(0.,0.,1.)); #103571=DIRECTION('',(-0.624034760765056,0.781396581357315,0.)); #103572=DIRECTION('center_axis',(0.915610903873151,0.402065507981712,0.)); #103573=DIRECTION('ref_axis',(-0.402065507981712,0.915610903873151,0.)); #103574=DIRECTION('',(-0.402065507981712,0.915610903873151,0.)); #103575=DIRECTION('',(0.,0.,1.)); #103576=DIRECTION('',(-0.402065507981712,0.915610903873151,0.)); #103577=DIRECTION('center_axis',(0.999657991122851,0.026151496787505,0.)); #103578=DIRECTION('ref_axis',(-0.026151496787505,0.999657991122851,0.)); #103579=DIRECTION('',(-0.026151496787505,0.999657991122851,0.)); #103580=DIRECTION('',(0.,0.,1.)); #103581=DIRECTION('',(-0.026151496787505,0.999657991122851,0.)); #103582=DIRECTION('center_axis',(0.948568007573329,-0.316573427514638,0.)); #103583=DIRECTION('ref_axis',(0.316573427514638,0.948568007573328,0.)); #103584=DIRECTION('',(0.316573427514638,0.948568007573328,0.)); #103585=DIRECTION('',(0.,0.,1.)); #103586=DIRECTION('',(0.316573427514638,0.948568007573328,0.)); #103587=DIRECTION('center_axis',(0.866025403755433,-0.50000000005024,0.)); #103588=DIRECTION('ref_axis',(0.50000000005024,0.866025403755433,0.)); #103589=DIRECTION('',(0.50000000005024,0.866025403755433,0.)); #103590=DIRECTION('',(0.,0.,1.)); #103591=DIRECTION('',(0.50000000005024,0.866025403755433,0.)); #103592=DIRECTION('center_axis',(0.748444652840305,-0.663197256956597,0.)); #103593=DIRECTION('ref_axis',(0.663197256956597,0.748444652840305,0.)); #103594=DIRECTION('',(0.663197256956597,0.748444652840305,0.)); #103595=DIRECTION('',(0.,0.,1.)); #103596=DIRECTION('',(0.663197256956597,0.748444652840305,0.)); #103597=DIRECTION('center_axis',(0.477181050964426,-0.878805009430696,0.)); #103598=DIRECTION('ref_axis',(0.878805009430696,0.477181050964426,0.)); #103599=DIRECTION('',(0.878805009430696,0.477181050964426,0.)); #103600=DIRECTION('',(0.,0.,1.)); #103601=DIRECTION('',(0.878805009430696,0.477181050964426,0.)); #103602=DIRECTION('center_axis',(0.109606479744304,-0.993975059847108,0.)); #103603=DIRECTION('ref_axis',(0.993975059847108,0.109606479744304,0.)); #103604=DIRECTION('',(0.993975059847108,0.109606479744304,0.)); #103605=DIRECTION('',(0.993975059847108,0.109606479744304,0.)); #103606=DIRECTION('center_axis',(0.,0.,1.)); #103607=DIRECTION('ref_axis',(1.,0.,0.)); #103608=DIRECTION('center_axis',(-0.866025403779819,-0.500000000008002, 0.)); #103609=DIRECTION('ref_axis',(0.500000000008002,-0.866025403779819,0.)); #103610=DIRECTION('',(0.500000000008002,-0.866025403779819,0.)); #103611=DIRECTION('',(0.,0.,1.)); #103612=DIRECTION('',(0.500000000008002,-0.866025403779819,0.)); #103613=DIRECTION('',(0.,0.,1.)); #103614=DIRECTION('center_axis',(-0.499999999991837,0.866025403789151,0.)); #103615=DIRECTION('ref_axis',(-0.866025403789151,-0.499999999991837,0.)); #103616=DIRECTION('',(-0.866025403789151,-0.499999999991837,0.)); #103617=DIRECTION('',(0.,0.,1.)); #103618=DIRECTION('',(-0.866025403789151,-0.499999999991837,0.)); #103619=DIRECTION('center_axis',(0.86602540382692,0.499999999926421,0.)); #103620=DIRECTION('ref_axis',(-0.499999999926421,0.86602540382692,0.)); #103621=DIRECTION('',(-0.499999999926421,0.86602540382692,0.)); #103622=DIRECTION('',(0.,0.,1.)); #103623=DIRECTION('',(-0.499999999926421,0.86602540382692,0.)); #103624=DIRECTION('center_axis',(0.9397300199292,-0.341917372538843,0.)); #103625=DIRECTION('ref_axis',(0.341917372538843,0.9397300199292,0.)); #103626=DIRECTION('',(0.341917372538843,0.9397300199292,0.)); #103627=DIRECTION('',(0.,0.,1.)); #103628=DIRECTION('',(0.341917372538843,0.9397300199292,0.)); #103629=DIRECTION('center_axis',(0.500000000011969,-0.866025403777529,0.)); #103630=DIRECTION('ref_axis',(0.866025403777528,0.500000000011969,0.)); #103631=DIRECTION('',(0.866025403777528,0.500000000011969,0.)); #103632=DIRECTION('',(0.,0.,1.)); #103633=DIRECTION('',(0.866025403777528,0.500000000011969,0.)); #103634=DIRECTION('center_axis',(-0.939729952542609,0.341917557744941,0.)); #103635=DIRECTION('ref_axis',(-0.341917557744941,-0.939729952542609,0.)); #103636=DIRECTION('',(-0.341917557744941,-0.939729952542609,0.)); #103637=DIRECTION('',(0.,0.,1.)); #103638=DIRECTION('',(-0.341917557744941,-0.939729952542609,0.)); #103639=DIRECTION('center_axis',(0.49999999999878,-0.866025403785143,0.)); #103640=DIRECTION('ref_axis',(0.866025403785143,0.49999999999878,0.)); #103641=DIRECTION('',(0.866025403785143,0.49999999999878,0.)); #103642=DIRECTION('',(0.866025403785143,0.49999999999878,0.)); #103643=DIRECTION('center_axis',(0.,0.,1.)); #103644=DIRECTION('ref_axis',(1.,0.,0.)); #103645=DIRECTION('center_axis',(0.642871293680705,-0.765974216120423,0.)); #103646=DIRECTION('ref_axis',(0.765974216120423,0.642871293680705,0.)); #103647=DIRECTION('',(0.765974216120423,0.642871293680705,0.)); #103648=DIRECTION('',(0.,0.,1.)); #103649=DIRECTION('',(0.765974216120423,0.642871293680705,0.)); #103650=DIRECTION('',(0.,0.,1.)); #103651=DIRECTION('center_axis',(1.49910144590924E-11,-1.,0.)); #103652=DIRECTION('ref_axis',(1.,1.49910144590924E-11,0.)); #103653=DIRECTION('',(1.,1.49910144590924E-11,0.)); #103654=DIRECTION('',(0.,0.,1.)); #103655=DIRECTION('',(1.,1.49910144590924E-11,0.)); #103656=DIRECTION('center_axis',(-0.642871142753259,0.765974342791662,0.)); #103657=DIRECTION('ref_axis',(-0.765974342791662,-0.642871142753259,0.)); #103658=DIRECTION('',(-0.765974342791662,-0.642871142753259,0.)); #103659=DIRECTION('',(0.,0.,1.)); #103660=DIRECTION('',(-0.765974342791662,-0.642871142753259,0.)); #103661=DIRECTION('center_axis',(-4.38628237161585E-12,-1.,0.)); #103662=DIRECTION('ref_axis',(1.,-4.38628237161585E-12,0.)); #103663=DIRECTION('',(1.,-4.38628237161585E-12,0.)); #103664=DIRECTION('',(0.,0.,1.)); #103665=DIRECTION('',(1.,-4.38628237161585E-12,0.)); #103666=DIRECTION('center_axis',(-1.,3.46093529620879E-11,0.)); #103667=DIRECTION('ref_axis',(-3.46093529620879E-11,-1.,0.)); #103668=DIRECTION('',(-3.46093529620879E-11,-1.,0.)); #103669=DIRECTION('',(0.,0.,1.)); #103670=DIRECTION('',(-3.46093529620879E-11,-1.,0.)); #103671=DIRECTION('center_axis',(-1.47012034475329E-12,1.,0.)); #103672=DIRECTION('ref_axis',(-1.,-1.47012034475329E-12,0.)); #103673=DIRECTION('',(-1.,-1.47012034475329E-12,0.)); #103674=DIRECTION('',(0.,0.,1.)); #103675=DIRECTION('',(-1.,-1.47012034475329E-12,0.)); #103676=DIRECTION('center_axis',(1.,9.4502185330408E-11,0.)); #103677=DIRECTION('ref_axis',(-9.4502185330408E-11,1.,0.)); #103678=DIRECTION('',(-9.4502185330408E-11,1.,0.)); #103679=DIRECTION('',(-9.4502185330408E-11,1.,0.)); #103680=DIRECTION('center_axis',(0.,0.,1.)); #103681=DIRECTION('ref_axis',(1.,0.,0.)); #103682=DIRECTION('center_axis',(-0.866025403827059,0.49999999992618,0.)); #103683=DIRECTION('ref_axis',(-0.49999999992618,-0.866025403827059,0.)); #103684=DIRECTION('',(-0.49999999992618,-0.866025403827059,0.)); #103685=DIRECTION('',(0.,0.,1.)); #103686=DIRECTION('',(-0.49999999992618,-0.866025403827059,0.)); #103687=DIRECTION('',(0.,0.,1.)); #103688=DIRECTION('center_axis',(0.499999999998685,0.866025403785198,0.)); #103689=DIRECTION('ref_axis',(-0.866025403785198,0.499999999998685,0.)); #103690=DIRECTION('',(-0.866025403785198,0.499999999998685,0.)); #103691=DIRECTION('',(0.,0.,1.)); #103692=DIRECTION('',(-0.866025403785198,0.499999999998685,0.)); #103693=DIRECTION('center_axis',(-0.972350330276172,-0.233526947506748, 0.)); #103694=DIRECTION('ref_axis',(0.233526947506748,-0.972350330276172,0.)); #103695=DIRECTION('',(0.233526947506748,-0.972350330276172,0.)); #103696=DIRECTION('',(0.,0.,1.)); #103697=DIRECTION('',(0.233526947506748,-0.972350330276172,0.)); #103698=DIRECTION('center_axis',(-0.999879347885658,0.0155335015933941, 0.)); #103699=DIRECTION('ref_axis',(-0.0155335015933941,-0.999879347885658,0.)); #103700=DIRECTION('',(-0.0155335015933941,-0.999879347885658,0.)); #103701=DIRECTION('',(0.,0.,1.)); #103702=DIRECTION('',(-0.0155335015933941,-0.999879347885658,0.)); #103703=DIRECTION('center_axis',(-0.949940812674919,0.312429916004398,0.)); #103704=DIRECTION('ref_axis',(-0.312429916004398,-0.949940812674919,0.)); #103705=DIRECTION('',(-0.312429916004398,-0.949940812674919,0.)); #103706=DIRECTION('',(0.,0.,1.)); #103707=DIRECTION('',(-0.312429916004398,-0.949940812674919,0.)); #103708=DIRECTION('center_axis',(-0.866025403800336,0.499999999972465,0.)); #103709=DIRECTION('ref_axis',(-0.499999999972465,-0.866025403800336,0.)); #103710=DIRECTION('',(-0.499999999972465,-0.866025403800336,0.)); #103711=DIRECTION('',(0.,0.,1.)); #103712=DIRECTION('',(-0.499999999972465,-0.866025403800336,0.)); #103713=DIRECTION('center_axis',(-0.745542650486065,0.666457917880951,0.)); #103714=DIRECTION('ref_axis',(-0.666457917880951,-0.745542650486065,0.)); #103715=DIRECTION('',(-0.666457917880951,-0.745542650486065,0.)); #103716=DIRECTION('',(0.,0.,1.)); #103717=DIRECTION('',(-0.666457917880951,-0.745542650486065,0.)); #103718=DIRECTION('center_axis',(-0.344157359080111,0.938911983197043,0.)); #103719=DIRECTION('ref_axis',(-0.938911983197043,-0.344157359080111,0.)); #103720=DIRECTION('',(-0.938911983197043,-0.344157359080111,0.)); #103721=DIRECTION('',(0.,0.,1.)); #103722=DIRECTION('',(-0.938911983197043,-0.344157359080111,0.)); #103723=DIRECTION('center_axis',(-0.0158181174628378,0.999874885753179, 0.)); #103724=DIRECTION('ref_axis',(-0.999874885753179,-0.0158181174628378,0.)); #103725=DIRECTION('',(-0.999874885753179,-0.0158181174628378,0.)); #103726=DIRECTION('',(0.,0.,1.)); #103727=DIRECTION('',(-0.999874885753179,-0.0158181174628378,0.)); #103728=DIRECTION('center_axis',(0.320862298059605,0.947125855250457,0.)); #103729=DIRECTION('ref_axis',(-0.947125855250457,0.320862298059605,0.)); #103730=DIRECTION('',(-0.947125855250457,0.320862298059605,0.)); #103731=DIRECTION('',(0.,0.,1.)); #103732=DIRECTION('',(-0.947125855250457,0.320862298059605,0.)); #103733=DIRECTION('center_axis',(0.499999999970538,0.866025403801448,0.)); #103734=DIRECTION('ref_axis',(-0.866025403801448,0.499999999970538,0.)); #103735=DIRECTION('',(-0.866025403801448,0.499999999970538,0.)); #103736=DIRECTION('',(0.,0.,1.)); #103737=DIRECTION('',(-0.866025403801448,0.499999999970538,0.)); #103738=DIRECTION('center_axis',(0.638847299918048,0.769333560549272,0.)); #103739=DIRECTION('ref_axis',(-0.769333560549272,0.638847299918048,0.)); #103740=DIRECTION('',(-0.769333560549272,0.638847299918048,0.)); #103741=DIRECTION('',(0.,0.,1.)); #103742=DIRECTION('',(-0.769333560549272,0.638847299918048,0.)); #103743=DIRECTION('center_axis',(0.873826110372608,0.486238551362476,0.)); #103744=DIRECTION('ref_axis',(-0.486238551362476,0.873826110372608,0.)); #103745=DIRECTION('',(-0.486238551362476,0.873826110372608,0.)); #103746=DIRECTION('',(0.,0.,1.)); #103747=DIRECTION('',(-0.486238551362476,0.873826110372608,0.)); #103748=DIRECTION('center_axis',(0.98520033906583,0.17140680238711,0.)); #103749=DIRECTION('ref_axis',(-0.17140680238711,0.98520033906583,0.)); #103750=DIRECTION('',(-0.17140680238711,0.98520033906583,0.)); #103751=DIRECTION('',(0.,0.,1.)); #103752=DIRECTION('',(-0.17140680238711,0.98520033906583,0.)); #103753=DIRECTION('center_axis',(0.949941080951366,-0.312429100310695,0.)); #103754=DIRECTION('ref_axis',(0.312429100310695,0.949941080951366,0.)); #103755=DIRECTION('',(0.312429100310695,0.949941080951366,0.)); #103756=DIRECTION('',(0.,0.,1.)); #103757=DIRECTION('',(0.312429100310695,0.949941080951366,0.)); #103758=DIRECTION('center_axis',(-0.499999999986248,-0.866025403792378, 0.)); #103759=DIRECTION('ref_axis',(0.866025403792379,-0.499999999986248,0.)); #103760=DIRECTION('',(0.866025403792378,-0.499999999986248,0.)); #103761=DIRECTION('',(0.,0.,1.)); #103762=DIRECTION('',(0.866025403792378,-0.499999999986248,0.)); #103763=DIRECTION('center_axis',(-0.99987932899637,0.0155347174344729,0.)); #103764=DIRECTION('ref_axis',(-0.0155347174344729,-0.99987932899637,0.)); #103765=DIRECTION('',(-0.0155347174344729,-0.99987932899637,0.)); #103766=DIRECTION('',(0.,0.,1.)); #103767=DIRECTION('',(-0.0155347174344729,-0.99987932899637,0.)); #103768=DIRECTION('center_axis',(-0.931032306514493,-0.364936767435543, 0.)); #103769=DIRECTION('ref_axis',(0.364936767435543,-0.931032306514493,0.)); #103770=DIRECTION('',(0.364936767435543,-0.931032306514493,0.)); #103771=DIRECTION('',(0.,0.,1.)); #103772=DIRECTION('',(0.364936767435543,-0.931032306514493,0.)); #103773=DIRECTION('center_axis',(-0.687926707481065,-0.725780163089528, 0.)); #103774=DIRECTION('ref_axis',(0.725780163089528,-0.687926707481065,0.)); #103775=DIRECTION('',(0.725780163089528,-0.687926707481065,0.)); #103776=DIRECTION('',(0.,0.,1.)); #103777=DIRECTION('',(0.725780163089528,-0.687926707481065,0.)); #103778=DIRECTION('center_axis',(-0.499999999999293,-0.866025403784847, 0.)); #103779=DIRECTION('ref_axis',(0.866025403784847,-0.499999999999293,0.)); #103780=DIRECTION('',(0.866025403784847,-0.499999999999293,0.)); #103781=DIRECTION('',(0.,0.,1.)); #103782=DIRECTION('',(0.866025403784847,-0.499999999999293,0.)); #103783=DIRECTION('center_axis',(-0.284580705093921,-0.958652086154433, 0.)); #103784=DIRECTION('ref_axis',(0.958652086154433,-0.284580705093921,0.)); #103785=DIRECTION('',(0.958652086154433,-0.284580705093921,0.)); #103786=DIRECTION('',(0.,0.,1.)); #103787=DIRECTION('',(0.958652086154433,-0.284580705093921,0.)); #103788=DIRECTION('center_axis',(0.149471642037862,-0.988766012879946,0.)); #103789=DIRECTION('ref_axis',(0.988766012879946,0.149471642037862,0.)); #103790=DIRECTION('',(0.988766012879946,0.149471642037862,0.)); #103791=DIRECTION('',(0.,0.,1.)); #103792=DIRECTION('',(0.988766012879946,0.149471642037862,0.)); #103793=DIRECTION('center_axis',(0.513393124336509,-0.858153540972709,0.)); #103794=DIRECTION('ref_axis',(0.858153540972709,0.513393124336509,0.)); #103795=DIRECTION('',(0.858153540972709,0.513393124336509,0.)); #103796=DIRECTION('',(0.,0.,1.)); #103797=DIRECTION('',(0.858153540972709,0.513393124336509,0.)); #103798=DIRECTION('center_axis',(0.866025403795382,-0.499999999981047,0.)); #103799=DIRECTION('ref_axis',(0.499999999981047,0.866025403795382,0.)); #103800=DIRECTION('',(0.499999999981047,0.866025403795382,0.)); #103801=DIRECTION('',(0.,0.,1.)); #103802=DIRECTION('',(0.499999999981047,0.866025403795382,0.)); #103803=DIRECTION('center_axis',(0.991819110759654,-0.127651288798546,0.)); #103804=DIRECTION('ref_axis',(0.127651288798546,0.991819110759654,0.)); #103805=DIRECTION('',(0.127651288798546,0.991819110759654,0.)); #103806=DIRECTION('',(0.,0.,1.)); #103807=DIRECTION('',(0.127651288798546,0.991819110759654,0.)); #103808=DIRECTION('center_axis',(0.974015440186572,0.226481615761981,0.)); #103809=DIRECTION('ref_axis',(-0.226481615761981,0.974015440186572,0.)); #103810=DIRECTION('',(-0.226481615761981,0.974015440186572,0.)); #103811=DIRECTION('',(0.,0.,1.)); #103812=DIRECTION('',(-0.226481615761981,0.974015440186572,0.)); #103813=DIRECTION('center_axis',(0.866025403751232,-0.500000000057516,0.)); #103814=DIRECTION('ref_axis',(0.500000000057516,0.866025403751232,0.)); #103815=DIRECTION('',(0.500000000057516,0.866025403751232,0.)); #103816=DIRECTION('',(0.,0.,1.)); #103817=DIRECTION('',(0.500000000057516,0.866025403751232,0.)); #103818=DIRECTION('center_axis',(-0.500000000004924,-0.866025403781596, 0.)); #103819=DIRECTION('ref_axis',(0.866025403781596,-0.500000000004924,0.)); #103820=DIRECTION('',(0.866025403781596,-0.500000000004924,0.)); #103821=DIRECTION('',(0.866025403781596,-0.500000000004924,0.)); #103822=DIRECTION('center_axis',(0.,0.,1.)); #103823=DIRECTION('ref_axis',(1.,0.,0.)); #103824=DIRECTION('center_axis',(0.247383189913408,-0.968917724756992,0.)); #103825=DIRECTION('ref_axis',(0.968917724756992,0.247383189913408,0.)); #103826=DIRECTION('',(-0.968917724756992,-0.247383189913408,0.)); #103827=DIRECTION('',(0.,0.,1.)); #103828=DIRECTION('',(-0.968917724756992,-0.247383189913408,0.)); #103829=DIRECTION('',(0.,0.,1.)); #103830=DIRECTION('center_axis',(-1.38333824012937E-11,-1.,0.)); #103831=DIRECTION('ref_axis',(1.,-1.38333824012937E-11,0.)); #103832=DIRECTION('',(-1.,1.38333824012937E-11,0.)); #103833=DIRECTION('',(-1.,1.38333824012937E-11,0.)); #103834=DIRECTION('',(0.,0.,1.)); #103835=DIRECTION('center_axis',(-0.247383189916413,-0.968917724756225, 0.)); #103836=DIRECTION('ref_axis',(0.968917724756225,-0.247383189916413,0.)); #103837=DIRECTION('',(-0.968917724756225,0.247383189916413,0.)); #103838=DIRECTION('',(-0.968917724756225,0.247383189916413,0.)); #103839=DIRECTION('',(0.,0.,1.)); #103840=DIRECTION('center_axis',(-0.454777199521749,-0.890605242964106, 0.)); #103841=DIRECTION('ref_axis',(0.890605242964106,-0.454777199521749,0.)); #103842=DIRECTION('',(-0.890605242964106,0.454777199521749,0.)); #103843=DIRECTION('',(-0.890605242964106,0.454777199521749,0.)); #103844=DIRECTION('',(0.,0.,1.)); #103845=DIRECTION('center_axis',(-0.806004445271445,-0.59190948142657,0.)); #103846=DIRECTION('ref_axis',(0.59190948142657,-0.806004445271445,0.)); #103847=DIRECTION('',(-0.59190948142657,0.806004445271445,0.)); #103848=DIRECTION('',(-0.59190948142657,0.806004445271445,0.)); #103849=DIRECTION('',(0.,0.,1.)); #103850=DIRECTION('center_axis',(-1.,-2.39450467068835E-11,0.)); #103851=DIRECTION('ref_axis',(2.39450467068835E-11,-1.,0.)); #103852=DIRECTION('',(-2.39450467068835E-11,1.,0.)); #103853=DIRECTION('',(-2.39450467068835E-11,1.,0.)); #103854=DIRECTION('',(0.,0.,1.)); #103855=DIRECTION('center_axis',(-0.806004494707498,0.591909414109381,0.)); #103856=DIRECTION('ref_axis',(-0.591909414109381,-0.806004494707498,0.)); #103857=DIRECTION('',(0.591909414109381,0.806004494707498,0.)); #103858=DIRECTION('',(0.591909414109381,0.806004494707498,0.)); #103859=DIRECTION('',(0.,0.,1.)); #103860=DIRECTION('center_axis',(-0.454777199613893,0.890605242917054,0.)); #103861=DIRECTION('ref_axis',(-0.890605242917054,-0.454777199613893,0.)); #103862=DIRECTION('',(0.890605242917054,0.454777199613893,0.)); #103863=DIRECTION('',(0.890605242917054,0.454777199613893,0.)); #103864=DIRECTION('',(0.,0.,1.)); #103865=DIRECTION('center_axis',(-0.247383189913546,0.968917724756957,0.)); #103866=DIRECTION('ref_axis',(-0.968917724756957,-0.247383189913546,0.)); #103867=DIRECTION('',(0.968917724756957,0.247383189913546,0.)); #103868=DIRECTION('',(0.968917724756957,0.247383189913546,0.)); #103869=DIRECTION('',(0.,0.,1.)); #103870=DIRECTION('center_axis',(-4.66293788800984E-13,1.,0.)); #103871=DIRECTION('ref_axis',(-1.,-4.66293788800984E-13,0.)); #103872=DIRECTION('',(1.,4.66293788800984E-13,0.)); #103873=DIRECTION('',(1.,4.66293788800984E-13,0.)); #103874=DIRECTION('',(0.,0.,1.)); #103875=DIRECTION('center_axis',(0.24738318992692,0.968917724753542,0.)); #103876=DIRECTION('ref_axis',(-0.968917724753542,0.24738318992692,0.)); #103877=DIRECTION('',(0.968917724753542,-0.24738318992692,0.)); #103878=DIRECTION('',(0.968917724753542,-0.24738318992692,0.)); #103879=DIRECTION('',(0.,0.,1.)); #103880=DIRECTION('center_axis',(0.454777135061997,0.890605275879726,0.)); #103881=DIRECTION('ref_axis',(-0.890605275879725,0.454777135061997,0.)); #103882=DIRECTION('',(0.890605275879725,-0.454777135061997,0.)); #103883=DIRECTION('',(0.890605275879725,-0.454777135061997,0.)); #103884=DIRECTION('',(0.,0.,1.)); #103885=DIRECTION('center_axis',(0.806004461054736,0.591909459934426,0.)); #103886=DIRECTION('ref_axis',(-0.591909459934426,0.806004461054736,0.)); #103887=DIRECTION('',(0.591909459934426,-0.806004461054736,0.)); #103888=DIRECTION('',(0.591909459934426,-0.806004461054736,0.)); #103889=DIRECTION('',(0.,0.,1.)); #103890=DIRECTION('center_axis',(1.,1.86690194662852E-11,0.)); #103891=DIRECTION('ref_axis',(-1.86690194662852E-11,1.,0.)); #103892=DIRECTION('',(1.86690194662852E-11,-1.,0.)); #103893=DIRECTION('',(1.86690194662852E-11,-1.,0.)); #103894=DIRECTION('',(0.,0.,1.)); #103895=DIRECTION('center_axis',(0.806004411628818,-0.591909527237807,0.)); #103896=DIRECTION('ref_axis',(0.591909527237807,0.806004411628818,0.)); #103897=DIRECTION('',(-0.591909527237807,-0.806004411628818,0.)); #103898=DIRECTION('',(-0.591909527237807,-0.806004411628818,0.)); #103899=DIRECTION('',(0.,0.,1.)); #103900=DIRECTION('center_axis',(0.454777134992065,-0.890605275915435,0.)); #103901=DIRECTION('ref_axis',(0.890605275915435,0.454777134992065,0.)); #103902=DIRECTION('',(-0.890605275915435,-0.454777134992065,0.)); #103903=DIRECTION('',(-0.890605275915435,-0.454777134992065,0.)); #103904=DIRECTION('center_axis',(-0.6080769234795,-0.793878111004269,0.)); #103905=DIRECTION('ref_axis',(0.793878111004268,-0.6080769234795,0.)); #103906=DIRECTION('',(-0.793878111004268,0.6080769234795,0.)); #103907=DIRECTION('',(0.,0.,1.)); #103908=DIRECTION('',(-0.793878111004268,0.6080769234795,0.)); #103909=DIRECTION('',(0.,0.,1.)); #103910=DIRECTION('center_axis',(-0.837379001221338,-0.546622729415411, 0.)); #103911=DIRECTION('ref_axis',(0.546622729415411,-0.837379001221338,0.)); #103912=DIRECTION('',(-0.546622729415411,0.837379001221338,0.)); #103913=DIRECTION('',(-0.546622729415411,0.837379001221338,0.)); #103914=DIRECTION('',(0.,0.,1.)); #103915=DIRECTION('center_axis',(-1.,-1.11405344413502E-10,0.)); #103916=DIRECTION('ref_axis',(1.11405344413502E-10,-1.,0.)); #103917=DIRECTION('',(-1.11405344413502E-10,1.,0.)); #103918=DIRECTION('',(-1.11405344413502E-10,1.,0.)); #103919=DIRECTION('',(0.,0.,1.)); #103920=DIRECTION('center_axis',(-0.837379234786268,0.546622371613864,0.)); #103921=DIRECTION('ref_axis',(-0.546622371613864,-0.837379234786268,0.)); #103922=DIRECTION('',(0.546622371613864,0.837379234786268,0.)); #103923=DIRECTION('',(0.546622371613864,0.837379234786268,0.)); #103924=DIRECTION('',(0.,0.,1.)); #103925=DIRECTION('center_axis',(-0.608076923491241,0.793878110995276,0.)); #103926=DIRECTION('ref_axis',(-0.793878110995276,-0.608076923491241,0.)); #103927=DIRECTION('',(0.793878110995276,0.608076923491241,0.)); #103928=DIRECTION('',(0.793878110995276,0.608076923491241,0.)); #103929=DIRECTION('',(0.,0.,1.)); #103930=DIRECTION('center_axis',(-0.247383189913546,0.968917724756957,0.)); #103931=DIRECTION('ref_axis',(-0.968917724756957,-0.247383189913546,0.)); #103932=DIRECTION('',(0.968917724756957,0.247383189913546,0.)); #103933=DIRECTION('',(0.968917724756957,0.247383189913546,0.)); #103934=DIRECTION('',(0.,0.,1.)); #103935=DIRECTION('center_axis',(3.10862525867419E-13,1.,0.)); #103936=DIRECTION('ref_axis',(-1.,3.10862525867419E-13,0.)); #103937=DIRECTION('',(1.,-3.10862525867419E-13,0.)); #103938=DIRECTION('',(1.,-3.10862525867419E-13,0.)); #103939=DIRECTION('',(0.,0.,1.)); #103940=DIRECTION('center_axis',(0.247383189926069,0.96891772475376,0.)); #103941=DIRECTION('ref_axis',(-0.96891772475376,0.247383189926069,0.)); #103942=DIRECTION('',(0.96891772475376,-0.247383189926069,0.)); #103943=DIRECTION('',(0.96891772475376,-0.247383189926069,0.)); #103944=DIRECTION('',(0.,0.,1.)); #103945=DIRECTION('center_axis',(0.608076854948754,0.793878163495906,0.)); #103946=DIRECTION('ref_axis',(-0.793878163495906,0.608076854948754,0.)); #103947=DIRECTION('',(0.793878163495906,-0.608076854948754,0.)); #103948=DIRECTION('',(0.793878163495906,-0.608076854948754,0.)); #103949=DIRECTION('',(0.,0.,1.)); #103950=DIRECTION('center_axis',(0.837379324369736,0.546622234379543,0.)); #103951=DIRECTION('ref_axis',(-0.546622234379543,0.837379324369736,0.)); #103952=DIRECTION('',(0.546622234379543,-0.837379324369736,0.)); #103953=DIRECTION('',(0.546622234379543,-0.837379324369736,0.)); #103954=DIRECTION('',(0.,0.,1.)); #103955=DIRECTION('center_axis',(1.,1.09579027291836E-10,0.)); #103956=DIRECTION('ref_axis',(-1.09579027291836E-10,1.,0.)); #103957=DIRECTION('',(1.09579027291836E-10,-1.,0.)); #103958=DIRECTION('',(1.09579027291836E-10,-1.,0.)); #103959=DIRECTION('',(0.,0.,1.)); #103960=DIRECTION('center_axis',(0.837379090697164,-0.546622592346119,0.)); #103961=DIRECTION('ref_axis',(0.546622592346119,0.837379090697164,0.)); #103962=DIRECTION('',(-0.546622592346119,-0.837379090697164,0.)); #103963=DIRECTION('',(-0.546622592346119,-0.837379090697164,0.)); #103964=DIRECTION('',(0.,0.,1.)); #103965=DIRECTION('center_axis',(0.60807685486304,-0.793878163561559,0.)); #103966=DIRECTION('ref_axis',(0.793878163561559,0.60807685486304,0.)); #103967=DIRECTION('',(-0.793878163561559,-0.60807685486304,0.)); #103968=DIRECTION('',(-0.793878163561559,-0.60807685486304,0.)); #103969=DIRECTION('',(0.,0.,1.)); #103970=DIRECTION('center_axis',(0.247383189913763,-0.968917724756902,0.)); #103971=DIRECTION('ref_axis',(0.968917724756902,0.247383189913763,0.)); #103972=DIRECTION('',(-0.968917724756902,-0.247383189913763,0.)); #103973=DIRECTION('',(-0.968917724756902,-0.247383189913763,0.)); #103974=DIRECTION('',(0.,0.,1.)); #103975=DIRECTION('center_axis',(0.,-1.,0.)); #103976=DIRECTION('ref_axis',(1.,0.,0.)); #103977=DIRECTION('',(-1.,0.,0.)); #103978=DIRECTION('',(-1.,0.,0.)); #103979=DIRECTION('',(0.,0.,1.)); #103980=DIRECTION('center_axis',(-0.247383189831038,-0.968917724778023, 0.)); #103981=DIRECTION('ref_axis',(0.968917724778023,-0.247383189831038,0.)); #103982=DIRECTION('',(-0.968917724778023,0.247383189831038,0.)); #103983=DIRECTION('',(-0.968917724778023,0.247383189831038,0.)); #103984=DIRECTION('center_axis',(-3.10862451698072E-13,-1.,0.)); #103985=DIRECTION('ref_axis',(1.,-3.10862451698072E-13,0.)); #103986=DIRECTION('',(1.,-3.10862451698072E-13,0.)); #103987=DIRECTION('',(0.,0.,1.)); #103988=DIRECTION('',(1.,-3.10862451698072E-13,0.)); #103989=DIRECTION('',(0.,0.,1.)); #103990=DIRECTION('center_axis',(-0.200123335307182,-0.979770713312829, 0.)); #103991=DIRECTION('ref_axis',(0.979770713312829,-0.200123335307182,0.)); #103992=DIRECTION('',(0.979770713312829,-0.200123335307182,0.)); #103993=DIRECTION('',(0.,0.,1.)); #103994=DIRECTION('',(0.979770713312829,-0.200123335307182,0.)); #103995=DIRECTION('center_axis',(-0.522477106761377,-0.852653313434165, 0.)); #103996=DIRECTION('ref_axis',(0.852653313434165,-0.522477106761377,0.)); #103997=DIRECTION('',(0.852653313434165,-0.522477106761377,0.)); #103998=DIRECTION('',(0.,0.,1.)); #103999=DIRECTION('',(0.852653313434165,-0.522477106761377,0.)); #104000=DIRECTION('center_axis',(-0.714510425624201,-0.699624793496002, 0.)); #104001=DIRECTION('ref_axis',(0.699624793496002,-0.714510425624201,0.)); #104002=DIRECTION('',(0.699624793496002,-0.714510425624201,0.)); #104003=DIRECTION('',(0.,0.,1.)); #104004=DIRECTION('',(0.699624793496002,-0.714510425624201,0.)); #104005=DIRECTION('center_axis',(-0.931128194310192,-0.364692042359908, 0.)); #104006=DIRECTION('ref_axis',(0.364692042359908,-0.931128194310192,0.)); #104007=DIRECTION('',(0.364692042359908,-0.931128194310192,0.)); #104008=DIRECTION('',(0.,0.,1.)); #104009=DIRECTION('',(0.364692042359908,-0.931128194310192,0.)); #104010=DIRECTION('center_axis',(-1.,-2.59742879532769E-11,0.)); #104011=DIRECTION('ref_axis',(2.59742879532769E-11,-1.,0.)); #104012=DIRECTION('',(2.59742879532769E-11,-1.,0.)); #104013=DIRECTION('',(0.,0.,1.)); #104014=DIRECTION('',(2.59742879532769E-11,-1.,0.)); #104015=DIRECTION('center_axis',(-0.931128211647112,0.364691998095448,0.)); #104016=DIRECTION('ref_axis',(-0.364691998095448,-0.931128211647112,0.)); #104017=DIRECTION('',(-0.364691998095448,-0.931128211647112,0.)); #104018=DIRECTION('',(0.,0.,1.)); #104019=DIRECTION('',(-0.364691998095448,-0.931128211647112,0.)); #104020=DIRECTION('center_axis',(-0.608076923490262,0.793878110996026,0.)); #104021=DIRECTION('ref_axis',(-0.793878110996026,-0.608076923490261,0.)); #104022=DIRECTION('',(-0.793878110996026,-0.608076923490261,0.)); #104023=DIRECTION('',(0.,0.,1.)); #104024=DIRECTION('',(-0.793878110996026,-0.608076923490262,0.)); #104025=DIRECTION('center_axis',(-0.454776941720424,0.890605374607305,0.)); #104026=DIRECTION('ref_axis',(-0.890605374607305,-0.454776941720424,0.)); #104027=DIRECTION('',(-0.890605374607305,-0.454776941720424,0.)); #104028=DIRECTION('',(0.,0.,1.)); #104029=DIRECTION('',(-0.890605374607305,-0.454776941720424,0.)); #104030=DIRECTION('center_axis',(-0.454776941529189,-0.890605374704957, 0.)); #104031=DIRECTION('ref_axis',(0.890605374704957,-0.454776941529189,0.)); #104032=DIRECTION('',(0.890605374704957,-0.454776941529189,0.)); #104033=DIRECTION('',(0.,0.,1.)); #104034=DIRECTION('',(0.890605374704957,-0.454776941529189,0.)); #104035=DIRECTION('center_axis',(-0.714510384812956,-0.699624835175568, 0.)); #104036=DIRECTION('ref_axis',(0.699624835175568,-0.714510384812956,0.)); #104037=DIRECTION('',(0.699624835175568,-0.714510384812956,0.)); #104038=DIRECTION('',(0.,0.,1.)); #104039=DIRECTION('',(0.699624835175568,-0.714510384812956,0.)); #104040=DIRECTION('center_axis',(-0.898138014364214,-0.439713664961538, 0.)); #104041=DIRECTION('ref_axis',(0.439713664961538,-0.898138014364214,0.)); #104042=DIRECTION('',(0.439713664961538,-0.898138014364214,0.)); #104043=DIRECTION('',(0.,0.,1.)); #104044=DIRECTION('',(0.439713664961538,-0.898138014364214,0.)); #104045=DIRECTION('center_axis',(-1.,-1.78573229677511E-11,0.)); #104046=DIRECTION('ref_axis',(1.78573229677511E-11,-1.,0.)); #104047=DIRECTION('',(1.78573229677511E-11,-1.,0.)); #104048=DIRECTION('',(0.,0.,1.)); #104049=DIRECTION('',(1.78573229677511E-11,-1.,0.)); #104050=DIRECTION('center_axis',(-0.93112824632141,0.364691909565343,0.)); #104051=DIRECTION('ref_axis',(-0.364691909565343,-0.93112824632141,0.)); #104052=DIRECTION('',(-0.364691909565343,-0.93112824632141,0.)); #104053=DIRECTION('',(0.,0.,1.)); #104054=DIRECTION('',(-0.364691909565343,-0.93112824632141,0.)); #104055=DIRECTION('center_axis',(-0.714510384878094,0.699624835109044,0.)); #104056=DIRECTION('ref_axis',(-0.699624835109044,-0.714510384878094,0.)); #104057=DIRECTION('',(-0.699624835109044,-0.714510384878094,0.)); #104058=DIRECTION('',(0.,0.,1.)); #104059=DIRECTION('',(-0.699624835109044,-0.714510384878094,0.)); #104060=DIRECTION('center_axis',(-0.522476856085518,0.852653467039801,0.)); #104061=DIRECTION('ref_axis',(-0.852653467039801,-0.522476856085518,0.)); #104062=DIRECTION('',(-0.852653467039801,-0.522476856085518,0.)); #104063=DIRECTION('',(0.,0.,1.)); #104064=DIRECTION('',(-0.852653467039801,-0.522476856085518,0.)); #104065=DIRECTION('center_axis',(-0.200123373428963,0.979770705526253,0.)); #104066=DIRECTION('ref_axis',(-0.979770705526253,-0.200123373428963,0.)); #104067=DIRECTION('',(-0.979770705526253,-0.200123373428963,0.)); #104068=DIRECTION('',(0.,0.,1.)); #104069=DIRECTION('',(-0.979770705526253,-0.200123373428963,0.)); #104070=DIRECTION('center_axis',(3.10862525867612E-13,1.,0.)); #104071=DIRECTION('ref_axis',(-1.,3.10862525867612E-13,0.)); #104072=DIRECTION('',(-1.,3.10862525867612E-13,0.)); #104073=DIRECTION('',(0.,0.,1.)); #104074=DIRECTION('',(-1.,3.10862525867612E-13,0.)); #104075=DIRECTION('center_axis',(0.200123345908686,0.979770711147416,0.)); #104076=DIRECTION('ref_axis',(-0.979770711147416,0.200123345908686,0.)); #104077=DIRECTION('',(-0.979770711147416,0.200123345908686,0.)); #104078=DIRECTION('',(0.,0.,1.)); #104079=DIRECTION('',(-0.979770711147416,0.200123345908686,0.)); #104080=DIRECTION('center_axis',(0.522476910488453,0.852653433703542,0.)); #104081=DIRECTION('ref_axis',(-0.852653433703542,0.522476910488453,0.)); #104082=DIRECTION('',(-0.852653433703542,0.522476910488453,0.)); #104083=DIRECTION('',(0.,0.,1.)); #104084=DIRECTION('',(-0.852653433703542,0.522476910488453,0.)); #104085=DIRECTION('center_axis',(0.714510384800185,0.699624835188612,0.)); #104086=DIRECTION('ref_axis',(-0.699624835188612,0.714510384800185,0.)); #104087=DIRECTION('',(-0.699624835188612,0.714510384800185,0.)); #104088=DIRECTION('',(0.,0.,1.)); #104089=DIRECTION('',(-0.699624835188612,0.714510384800185,0.)); #104090=DIRECTION('center_axis',(0.931128307230371,0.364691754053069,0.)); #104091=DIRECTION('ref_axis',(-0.364691754053069,0.931128307230371,0.)); #104092=DIRECTION('',(-0.364691754053069,0.931128307230371,0.)); #104093=DIRECTION('',(0.,0.,1.)); #104094=DIRECTION('',(-0.364691754053069,0.931128307230371,0.)); #104095=DIRECTION('center_axis',(1.,1.90748677155523E-11,0.)); #104096=DIRECTION('ref_axis',(-1.90748677155523E-11,1.,0.)); #104097=DIRECTION('',(-1.90748677155523E-11,1.,0.)); #104098=DIRECTION('',(0.,0.,1.)); #104099=DIRECTION('',(-1.90748677155523E-11,1.,0.)); #104100=DIRECTION('center_axis',(0.898138099719192,-0.439713490619516,0.)); #104101=DIRECTION('ref_axis',(0.439713490619516,0.898138099719192,0.)); #104102=DIRECTION('',(0.439713490619516,0.898138099719192,0.)); #104103=DIRECTION('',(0.,0.,1.)); #104104=DIRECTION('',(0.439713490619516,0.898138099719192,0.)); #104105=DIRECTION('center_axis',(0.71451038487831,-0.699624835108824,0.)); #104106=DIRECTION('ref_axis',(0.699624835108824,0.71451038487831,0.)); #104107=DIRECTION('',(0.699624835108824,0.71451038487831,0.)); #104108=DIRECTION('',(0.,0.,1.)); #104109=DIRECTION('',(0.699624835108824,0.71451038487831,0.)); #104110=DIRECTION('center_axis',(0.454777070368821,-0.890605308914534,0.)); #104111=DIRECTION('ref_axis',(0.890605308914534,0.454777070368821,0.)); #104112=DIRECTION('',(0.890605308914534,0.454777070368821,0.)); #104113=DIRECTION('',(0.,0.,1.)); #104114=DIRECTION('',(0.890605308914534,0.454777070368821,0.)); #104115=DIRECTION('center_axis',(0.454777070521632,0.890605308836503,0.)); #104116=DIRECTION('ref_axis',(-0.890605308836503,0.454777070521632,0.)); #104117=DIRECTION('',(-0.890605308836503,0.454777070521632,0.)); #104118=DIRECTION('',(0.,0.,1.)); #104119=DIRECTION('',(-0.890605308836503,0.454777070521632,0.)); #104120=DIRECTION('center_axis',(0.608076837838854,0.79387817660136,0.)); #104121=DIRECTION('ref_axis',(-0.79387817660136,0.608076837838854,0.)); #104122=DIRECTION('',(-0.79387817660136,0.608076837838854,0.)); #104123=DIRECTION('',(0.,0.,1.)); #104124=DIRECTION('',(-0.79387817660136,0.608076837838854,0.)); #104125=DIRECTION('center_axis',(0.931128267016286,0.364691856727359,0.)); #104126=DIRECTION('ref_axis',(-0.364691856727359,0.931128267016286,0.)); #104127=DIRECTION('',(-0.364691856727359,0.931128267016286,0.)); #104128=DIRECTION('',(0.,0.,1.)); #104129=DIRECTION('',(-0.364691856727359,0.931128267016286,0.)); #104130=DIRECTION('center_axis',(1.,3.73380389332523E-11,0.)); #104131=DIRECTION('ref_axis',(-3.73380389332523E-11,1.,0.)); #104132=DIRECTION('',(-3.73380389332523E-11,1.,0.)); #104133=DIRECTION('',(0.,0.,1.)); #104134=DIRECTION('',(-3.73380389332523E-11,1.,0.)); #104135=DIRECTION('center_axis',(0.931128249659236,-0.364691901043234,0.)); #104136=DIRECTION('ref_axis',(0.364691901043234,0.931128249659236,0.)); #104137=DIRECTION('',(0.364691901043234,0.931128249659236,0.)); #104138=DIRECTION('',(0.,0.,1.)); #104139=DIRECTION('',(0.364691901043234,0.931128249659236,0.)); #104140=DIRECTION('center_axis',(0.714510446396357,-0.699624772281885,0.)); #104141=DIRECTION('ref_axis',(0.699624772281885,0.714510446396357,0.)); #104142=DIRECTION('',(0.699624772281885,0.714510446396357,0.)); #104143=DIRECTION('',(0.,0.,1.)); #104144=DIRECTION('',(0.699624772281885,0.714510446396357,0.)); #104145=DIRECTION('center_axis',(0.522476970914474,-0.852653396676537,0.)); #104146=DIRECTION('ref_axis',(0.852653396676537,0.522476970914474,0.)); #104147=DIRECTION('',(0.852653396676537,0.522476970914474,0.)); #104148=DIRECTION('',(0.,0.,1.)); #104149=DIRECTION('',(0.852653396676537,0.522476970914474,0.)); #104150=DIRECTION('center_axis',(0.200123362818775,-0.97977070769344,0.)); #104151=DIRECTION('ref_axis',(0.97977070769344,0.200123362818775,0.)); #104152=DIRECTION('',(0.97977070769344,0.200123362818775,0.)); #104153=DIRECTION('',(0.97977070769344,0.200123362818775,0.)); #104154=DIRECTION('center_axis',(0.,0.,1.)); #104155=DIRECTION('ref_axis',(1.,0.,0.)); #104156=DIRECTION('center_axis',(-1.,0.,0.)); #104157=DIRECTION('ref_axis',(0.,-1.,0.)); #104158=DIRECTION('',(0.,-1.,0.)); #104159=DIRECTION('',(0.,0.,1.)); #104160=DIRECTION('',(0.,-1.,0.)); #104161=DIRECTION('',(0.,0.,1.)); #104162=DIRECTION('center_axis',(-0.389432283000483,0.921055099848555,0.)); #104163=DIRECTION('ref_axis',(-0.921055099848555,-0.389432283000483,0.)); #104164=DIRECTION('',(-0.921055099848555,-0.389432283000483,0.)); #104165=DIRECTION('',(0.,0.,1.)); #104166=DIRECTION('',(-0.921055099848555,-0.389432283000483,0.)); #104167=DIRECTION('center_axis',(1.,0.,0.)); #104168=DIRECTION('ref_axis',(0.,1.,0.)); #104169=DIRECTION('',(0.,1.,0.)); #104170=DIRECTION('',(0.,0.,1.)); #104171=DIRECTION('',(0.,1.,0.)); #104172=DIRECTION('center_axis',(0.379733669572643,-0.925095854597184,0.)); #104173=DIRECTION('ref_axis',(0.925095854597184,0.379733669572643,0.)); #104174=DIRECTION('',(0.925095854597184,0.379733669572643,0.)); #104175=DIRECTION('',(0.,0.,1.)); #104176=DIRECTION('',(0.925095854597184,0.379733669572643,0.)); #104177=DIRECTION('center_axis',(0.383182908431335,0.923672484534482,0.)); #104178=DIRECTION('ref_axis',(-0.923672484534482,0.383182908431335,0.)); #104179=DIRECTION('',(-0.923672484534482,0.383182908431335,0.)); #104180=DIRECTION('',(0.,0.,1.)); #104181=DIRECTION('',(-0.923672484534482,0.383182908431335,0.)); #104182=DIRECTION('center_axis',(1.,0.,0.)); #104183=DIRECTION('ref_axis',(0.,1.,0.)); #104184=DIRECTION('',(0.,1.,0.)); #104185=DIRECTION('',(0.,0.,1.)); #104186=DIRECTION('',(0.,1.,0.)); #104187=DIRECTION('center_axis',(-0.393024131708959,-0.919528157205868, 0.)); #104188=DIRECTION('ref_axis',(0.919528157205868,-0.393024131708959,0.)); #104189=DIRECTION('',(0.919528157205868,-0.393024131708959,0.)); #104190=DIRECTION('',(0.919528157205868,-0.393024131708959,0.)); #104191=DIRECTION('center_axis',(0.,0.,1.)); #104192=DIRECTION('ref_axis',(1.,0.,0.)); #104193=DIRECTION('center_axis',(0.,-1.,0.)); #104194=DIRECTION('ref_axis',(1.,0.,0.)); #104195=DIRECTION('',(1.,0.,0.)); #104196=DIRECTION('',(0.,0.,1.)); #104197=DIRECTION('',(1.,0.,0.)); #104198=DIRECTION('',(0.,0.,1.)); #104199=DIRECTION('',(0.,0.,1.)); #104200=DIRECTION('',(0.,0.,1.)); #104201=DIRECTION('',(0.,0.,1.)); #104202=DIRECTION('',(0.,0.,1.)); #104203=DIRECTION('center_axis',(0.,1.,0.)); #104204=DIRECTION('ref_axis',(-1.,0.,0.)); #104205=DIRECTION('',(-1.,0.,0.)); #104206=DIRECTION('',(0.,0.,1.)); #104207=DIRECTION('',(-1.,0.,0.)); #104208=DIRECTION('',(0.,0.,1.)); #104209=DIRECTION('',(0.,0.,1.)); #104210=DIRECTION('center_axis',(0.,0.,1.)); #104211=DIRECTION('ref_axis',(1.,0.,0.)); #104212=DIRECTION('center_axis',(0.,-1.,0.)); #104213=DIRECTION('ref_axis',(1.,0.,0.)); #104214=DIRECTION('',(1.,0.,0.)); #104215=DIRECTION('',(0.,0.,1.)); #104216=DIRECTION('',(1.,0.,0.)); #104217=DIRECTION('',(0.,0.,1.)); #104218=DIRECTION('center_axis',(-1.,0.,0.)); #104219=DIRECTION('ref_axis',(0.,-1.,0.)); #104220=DIRECTION('',(0.,-1.,0.)); #104221=DIRECTION('',(0.,0.,1.)); #104222=DIRECTION('',(0.,-1.,0.)); #104223=DIRECTION('center_axis',(0.,-1.,0.)); #104224=DIRECTION('ref_axis',(1.,0.,0.)); #104225=DIRECTION('',(1.,0.,0.)); #104226=DIRECTION('',(0.,0.,1.)); #104227=DIRECTION('',(1.,0.,0.)); #104228=DIRECTION('center_axis',(-1.,0.,0.)); #104229=DIRECTION('ref_axis',(0.,-1.,0.)); #104230=DIRECTION('',(0.,-1.,0.)); #104231=DIRECTION('',(0.,0.,1.)); #104232=DIRECTION('',(0.,-1.,0.)); #104233=DIRECTION('center_axis',(0.,1.,0.)); #104234=DIRECTION('ref_axis',(-1.,0.,0.)); #104235=DIRECTION('',(-1.,0.,0.)); #104236=DIRECTION('',(0.,0.,1.)); #104237=DIRECTION('',(-1.,0.,0.)); #104238=DIRECTION('center_axis',(-1.,0.,0.)); #104239=DIRECTION('ref_axis',(0.,-1.,0.)); #104240=DIRECTION('',(0.,-1.,0.)); #104241=DIRECTION('',(0.,0.,1.)); #104242=DIRECTION('',(0.,-1.,0.)); #104243=DIRECTION('center_axis',(0.,-1.,0.)); #104244=DIRECTION('ref_axis',(1.,0.,0.)); #104245=DIRECTION('',(1.,0.,0.)); #104246=DIRECTION('',(0.,0.,1.)); #104247=DIRECTION('',(1.,0.,0.)); #104248=DIRECTION('center_axis',(-1.,0.,0.)); #104249=DIRECTION('ref_axis',(0.,-1.,0.)); #104250=DIRECTION('',(0.,-1.,0.)); #104251=DIRECTION('',(0.,0.,1.)); #104252=DIRECTION('',(0.,-1.,0.)); #104253=DIRECTION('center_axis',(0.,1.,0.)); #104254=DIRECTION('ref_axis',(-1.,0.,0.)); #104255=DIRECTION('',(-1.,0.,0.)); #104256=DIRECTION('',(0.,0.,1.)); #104257=DIRECTION('',(-1.,0.,0.)); #104258=DIRECTION('center_axis',(1.,0.,0.)); #104259=DIRECTION('ref_axis',(0.,1.,0.)); #104260=DIRECTION('',(0.,1.,0.)); #104261=DIRECTION('',(0.,1.,0.)); #104262=DIRECTION('center_axis',(0.,0.,1.)); #104263=DIRECTION('ref_axis',(1.,0.,0.)); #104264=DIRECTION('',(0.,0.,1.)); #104265=DIRECTION('',(0.,0.,1.)); #104266=DIRECTION('',(0.,0.,1.)); #104267=DIRECTION('',(0.,0.,1.)); #104268=DIRECTION('',(0.,0.,1.)); #104269=DIRECTION('',(0.,0.,1.)); #104270=DIRECTION('center_axis',(-0.980258033660391,-0.197722501107646, 0.)); #104271=DIRECTION('ref_axis',(0.197722501107646,-0.980258033660391,0.)); #104272=DIRECTION('',(0.197722501107646,-0.980258033660391,0.)); #104273=DIRECTION('',(0.,0.,1.)); #104274=DIRECTION('',(0.197722501107646,-0.980258033660391,0.)); #104275=DIRECTION('center_axis',(0.,-1.,0.)); #104276=DIRECTION('ref_axis',(1.,0.,0.)); #104277=DIRECTION('',(1.,0.,0.)); #104278=DIRECTION('',(0.,0.,1.)); #104279=DIRECTION('',(1.,0.,0.)); #104280=DIRECTION('center_axis',(-1.,0.,0.)); #104281=DIRECTION('ref_axis',(0.,-1.,0.)); #104282=DIRECTION('',(0.,-1.,0.)); #104283=DIRECTION('',(0.,0.,1.)); #104284=DIRECTION('',(0.,-1.,0.)); #104285=DIRECTION('center_axis',(0.,1.,0.)); #104286=DIRECTION('ref_axis',(-1.,0.,0.)); #104287=DIRECTION('',(-1.,0.,0.)); #104288=DIRECTION('',(0.,0.,1.)); #104289=DIRECTION('',(-1.,0.,0.)); #104290=DIRECTION('center_axis',(0.982706733626139,0.185168776217387,0.)); #104291=DIRECTION('ref_axis',(-0.185168776217387,0.982706733626139,0.)); #104292=DIRECTION('',(-0.185168776217387,0.982706733626139,0.)); #104293=DIRECTION('',(0.,0.,1.)); #104294=DIRECTION('',(-0.185168776217387,0.982706733626139,0.)); #104295=DIRECTION('center_axis',(0.131303603071187,-0.991342203187438,0.)); #104296=DIRECTION('ref_axis',(0.991342203187438,0.131303603071187,0.)); #104297=DIRECTION('',(0.991342203187438,0.131303603071187,0.)); #104298=DIRECTION('',(0.,0.,1.)); #104299=DIRECTION('',(0.991342203187438,0.131303603071187,0.)); #104300=DIRECTION('',(0.,0.,1.)); #104301=DIRECTION('',(0.,0.,1.)); #104302=DIRECTION('',(0.,0.,1.)); #104303=DIRECTION('',(0.,0.,1.)); #104304=DIRECTION('center_axis',(0.0825574455867987,0.996586307440646,0.)); #104305=DIRECTION('ref_axis',(-0.996586307440647,0.0825574455867987,0.)); #104306=DIRECTION('',(-0.996586307440647,0.0825574455867987,0.)); #104307=DIRECTION('',(-0.996586307440647,0.0825574455867987,0.)); #104308=DIRECTION('center_axis',(0.,0.,1.)); #104309=DIRECTION('ref_axis',(1.,0.,0.)); #104310=DIRECTION('center_axis',(0.,-1.,0.)); #104311=DIRECTION('ref_axis',(1.,0.,0.)); #104312=DIRECTION('',(1.,0.,0.)); #104313=DIRECTION('',(0.,0.,1.)); #104314=DIRECTION('',(1.,0.,0.)); #104315=DIRECTION('',(0.,0.,1.)); #104316=DIRECTION('center_axis',(-1.,0.,0.)); #104317=DIRECTION('ref_axis',(0.,-1.,0.)); #104318=DIRECTION('',(0.,-1.,0.)); #104319=DIRECTION('',(0.,0.,1.)); #104320=DIRECTION('',(0.,-1.,0.)); #104321=DIRECTION('center_axis',(0.946715902430352,-0.322069868329037,0.)); #104322=DIRECTION('ref_axis',(0.322069868329037,0.946715902430353,0.)); #104323=DIRECTION('',(0.322069868329037,0.946715902430353,0.)); #104324=DIRECTION('',(0.,0.,1.)); #104325=DIRECTION('',(0.322069868329037,0.946715902430353,0.)); #104326=DIRECTION('center_axis',(0.,-1.,0.)); #104327=DIRECTION('ref_axis',(1.,0.,0.)); #104328=DIRECTION('',(1.,0.,0.)); #104329=DIRECTION('',(0.,0.,1.)); #104330=DIRECTION('',(1.,0.,0.)); #104331=DIRECTION('center_axis',(-0.944206400657653,-0.329354327369658, 0.)); #104332=DIRECTION('ref_axis',(0.329354327369658,-0.944206400657653,0.)); #104333=DIRECTION('',(0.329354327369658,-0.944206400657653,0.)); #104334=DIRECTION('',(0.,0.,1.)); #104335=DIRECTION('',(0.329354327369658,-0.944206400657653,0.)); #104336=DIRECTION('center_axis',(1.,0.,0.)); #104337=DIRECTION('ref_axis',(0.,1.,0.)); #104338=DIRECTION('',(0.,1.,0.)); #104339=DIRECTION('',(0.,0.,1.)); #104340=DIRECTION('',(0.,1.,0.)); #104341=DIRECTION('center_axis',(0.,-1.,0.)); #104342=DIRECTION('ref_axis',(1.,0.,0.)); #104343=DIRECTION('',(1.,0.,0.)); #104344=DIRECTION('',(0.,0.,1.)); #104345=DIRECTION('',(1.,0.,0.)); #104346=DIRECTION('center_axis',(-1.,0.,0.)); #104347=DIRECTION('ref_axis',(0.,-1.,0.)); #104348=DIRECTION('',(0.,-1.,0.)); #104349=DIRECTION('',(0.,0.,1.)); #104350=DIRECTION('',(0.,-1.,0.)); #104351=DIRECTION('center_axis',(0.,1.,0.)); #104352=DIRECTION('ref_axis',(-1.,0.,0.)); #104353=DIRECTION('',(-1.,0.,0.)); #104354=DIRECTION('',(0.,0.,1.)); #104355=DIRECTION('',(-1.,0.,0.)); #104356=DIRECTION('center_axis',(0.945317952353717,0.326150224525103,0.)); #104357=DIRECTION('ref_axis',(-0.326150224525103,0.945317952353718,0.)); #104358=DIRECTION('',(-0.326150224525103,0.945317952353718,0.)); #104359=DIRECTION('',(0.,0.,1.)); #104360=DIRECTION('',(-0.326150224525103,0.945317952353718,0.)); #104361=DIRECTION('',(0.,0.,1.)); #104362=DIRECTION('',(0.,0.,1.)); #104363=DIRECTION('center_axis',(-0.948274208379073,0.317452399145199,0.)); #104364=DIRECTION('ref_axis',(-0.317452399145199,-0.948274208379073,0.)); #104365=DIRECTION('',(-0.317452399145199,-0.948274208379073,0.)); #104366=DIRECTION('',(0.,0.,1.)); #104367=DIRECTION('',(-0.317452399145199,-0.948274208379073,0.)); #104368=DIRECTION('center_axis',(0.,1.,0.)); #104369=DIRECTION('ref_axis',(-1.,0.,0.)); #104370=DIRECTION('',(-1.,0.,0.)); #104371=DIRECTION('',(0.,0.,1.)); #104372=DIRECTION('',(-1.,0.,0.)); #104373=DIRECTION('center_axis',(1.,0.,0.)); #104374=DIRECTION('ref_axis',(0.,1.,0.)); #104375=DIRECTION('',(0.,1.,0.)); #104376=DIRECTION('',(0.,1.,0.)); #104377=DIRECTION('center_axis',(0.,0.,1.)); #104378=DIRECTION('ref_axis',(1.,0.,0.)); #104379=DIRECTION('',(0.,0.,1.)); #104380=DIRECTION('',(0.,0.,1.)); #104381=DIRECTION('',(0.,0.,1.)); #104382=DIRECTION('',(0.,0.,1.)); #104383=DIRECTION('',(0.,0.,1.)); #104384=DIRECTION('',(0.,0.,1.)); #104385=DIRECTION('center_axis',(-0.980258033660394,-0.197722501107634, 0.)); #104386=DIRECTION('ref_axis',(0.197722501107634,-0.980258033660394,0.)); #104387=DIRECTION('',(0.197722501107634,-0.980258033660394,0.)); #104388=DIRECTION('',(0.,0.,1.)); #104389=DIRECTION('',(0.197722501107634,-0.980258033660394,0.)); #104390=DIRECTION('center_axis',(0.,-1.,0.)); #104391=DIRECTION('ref_axis',(1.,0.,0.)); #104392=DIRECTION('',(1.,0.,0.)); #104393=DIRECTION('',(0.,0.,1.)); #104394=DIRECTION('',(1.,0.,0.)); #104395=DIRECTION('center_axis',(-1.,0.,0.)); #104396=DIRECTION('ref_axis',(0.,-1.,0.)); #104397=DIRECTION('',(0.,-1.,0.)); #104398=DIRECTION('',(0.,0.,1.)); #104399=DIRECTION('',(0.,-1.,0.)); #104400=DIRECTION('center_axis',(0.,1.,0.)); #104401=DIRECTION('ref_axis',(-1.,0.,0.)); #104402=DIRECTION('',(-1.,0.,0.)); #104403=DIRECTION('',(0.,0.,1.)); #104404=DIRECTION('',(-1.,0.,0.)); #104405=DIRECTION('center_axis',(0.982706733626139,0.185168776217387,0.)); #104406=DIRECTION('ref_axis',(-0.185168776217387,0.982706733626139,0.)); #104407=DIRECTION('',(-0.185168776217387,0.982706733626139,0.)); #104408=DIRECTION('',(0.,0.,1.)); #104409=DIRECTION('',(-0.185168776217387,0.982706733626139,0.)); #104410=DIRECTION('center_axis',(0.131303603071185,-0.991342203187439,0.)); #104411=DIRECTION('ref_axis',(0.991342203187438,0.131303603071185,0.)); #104412=DIRECTION('',(0.991342203187438,0.131303603071185,0.)); #104413=DIRECTION('',(0.,0.,1.)); #104414=DIRECTION('',(0.991342203187438,0.131303603071185,0.)); #104415=DIRECTION('',(0.,0.,1.)); #104416=DIRECTION('',(0.,0.,1.)); #104417=DIRECTION('',(0.,0.,1.)); #104418=DIRECTION('',(0.,0.,1.)); #104419=DIRECTION('center_axis',(0.0825574455867987,0.996586307440646,0.)); #104420=DIRECTION('ref_axis',(-0.996586307440647,0.0825574455867987,0.)); #104421=DIRECTION('',(-0.996586307440647,0.0825574455867987,0.)); #104422=DIRECTION('',(-0.996586307440647,0.0825574455867987,0.)); #104423=DIRECTION('center_axis',(0.,0.,1.)); #104424=DIRECTION('ref_axis',(1.,0.,0.)); #104425=DIRECTION('center_axis',(0.39302413170896,-0.919528157205867,0.)); #104426=DIRECTION('ref_axis',(0.919528157205867,0.39302413170896,0.)); #104427=DIRECTION('',(0.919528157205867,0.39302413170896,0.)); #104428=DIRECTION('',(0.,0.,1.)); #104429=DIRECTION('',(0.919528157205867,0.39302413170896,0.)); #104430=DIRECTION('',(0.,0.,1.)); #104431=DIRECTION('center_axis',(-1.,0.,0.)); #104432=DIRECTION('ref_axis',(0.,-1.,0.)); #104433=DIRECTION('',(0.,-1.,0.)); #104434=DIRECTION('',(0.,0.,1.)); #104435=DIRECTION('',(0.,-1.,0.)); #104436=DIRECTION('center_axis',(-0.382707581204812,0.923869529364597,0.)); #104437=DIRECTION('ref_axis',(-0.923869529364597,-0.382707581204812,0.)); #104438=DIRECTION('',(-0.923869529364597,-0.382707581204812,0.)); #104439=DIRECTION('',(0.,0.,1.)); #104440=DIRECTION('',(-0.923869529364597,-0.382707581204812,0.)); #104441=DIRECTION('center_axis',(-0.379261170856174,-0.925289665067542, 0.)); #104442=DIRECTION('ref_axis',(0.925289665067542,-0.379261170856174,0.)); #104443=DIRECTION('',(0.925289665067542,-0.379261170856174,0.)); #104444=DIRECTION('',(0.,0.,1.)); #104445=DIRECTION('',(0.925289665067542,-0.379261170856174,0.)); #104446=DIRECTION('center_axis',(-1.,0.,0.)); #104447=DIRECTION('ref_axis',(0.,-1.,0.)); #104448=DIRECTION('',(0.,-1.,0.)); #104449=DIRECTION('',(0.,0.,1.)); #104450=DIRECTION('',(0.,-1.,0.)); #104451=DIRECTION('center_axis',(0.389432283000484,0.921055099848555,0.)); #104452=DIRECTION('ref_axis',(-0.921055099848555,0.389432283000484,0.)); #104453=DIRECTION('',(-0.921055099848555,0.389432283000484,0.)); #104454=DIRECTION('',(0.,0.,1.)); #104455=DIRECTION('',(-0.921055099848555,0.389432283000484,0.)); #104456=DIRECTION('center_axis',(1.,0.,0.)); #104457=DIRECTION('ref_axis',(0.,1.,0.)); #104458=DIRECTION('',(0.,1.,0.)); #104459=DIRECTION('',(0.,1.,0.)); #104460=DIRECTION('center_axis',(0.,0.,1.)); #104461=DIRECTION('ref_axis',(1.,0.,0.)); #104462=DIRECTION('center_axis',(0.,-1.,0.)); #104463=DIRECTION('ref_axis',(1.,0.,0.)); #104464=DIRECTION('',(1.,0.,0.)); #104465=DIRECTION('',(0.,0.,1.)); #104466=DIRECTION('',(1.,0.,0.)); #104467=DIRECTION('',(0.,0.,1.)); #104468=DIRECTION('center_axis',(-1.,0.,0.)); #104469=DIRECTION('ref_axis',(0.,-1.,0.)); #104470=DIRECTION('',(0.,-1.,0.)); #104471=DIRECTION('',(0.,0.,1.)); #104472=DIRECTION('',(0.,-1.,0.)); #104473=DIRECTION('center_axis',(0.,1.,0.)); #104474=DIRECTION('ref_axis',(-1.,0.,0.)); #104475=DIRECTION('',(-1.,0.,0.)); #104476=DIRECTION('',(0.,0.,1.)); #104477=DIRECTION('',(-1.,0.,0.)); #104478=DIRECTION('center_axis',(-1.,0.,0.)); #104479=DIRECTION('ref_axis',(0.,-1.,0.)); #104480=DIRECTION('',(0.,-1.,0.)); #104481=DIRECTION('',(0.,0.,1.)); #104482=DIRECTION('',(0.,-1.,0.)); #104483=DIRECTION('center_axis',(0.,-1.,0.)); #104484=DIRECTION('ref_axis',(1.,0.,0.)); #104485=DIRECTION('',(1.,0.,0.)); #104486=DIRECTION('',(0.,0.,1.)); #104487=DIRECTION('',(1.,0.,0.)); #104488=DIRECTION('center_axis',(-1.,0.,0.)); #104489=DIRECTION('ref_axis',(0.,-1.,0.)); #104490=DIRECTION('',(0.,-1.,0.)); #104491=DIRECTION('',(0.,0.,1.)); #104492=DIRECTION('',(0.,-1.,0.)); #104493=DIRECTION('center_axis',(0.,1.,0.)); #104494=DIRECTION('ref_axis',(-1.,0.,0.)); #104495=DIRECTION('',(-1.,0.,0.)); #104496=DIRECTION('',(0.,0.,1.)); #104497=DIRECTION('',(-1.,0.,0.)); #104498=DIRECTION('center_axis',(-1.,0.,0.)); #104499=DIRECTION('ref_axis',(0.,-1.,0.)); #104500=DIRECTION('',(0.,-1.,0.)); #104501=DIRECTION('',(0.,0.,1.)); #104502=DIRECTION('',(0.,-1.,0.)); #104503=DIRECTION('center_axis',(0.,-1.,0.)); #104504=DIRECTION('ref_axis',(1.,0.,0.)); #104505=DIRECTION('',(1.,0.,0.)); #104506=DIRECTION('',(0.,0.,1.)); #104507=DIRECTION('',(1.,0.,0.)); #104508=DIRECTION('center_axis',(-1.,0.,0.)); #104509=DIRECTION('ref_axis',(0.,-1.,0.)); #104510=DIRECTION('',(0.,-1.,0.)); #104511=DIRECTION('',(0.,0.,1.)); #104512=DIRECTION('',(0.,-1.,0.)); #104513=DIRECTION('center_axis',(0.,1.,0.)); #104514=DIRECTION('ref_axis',(-1.,0.,0.)); #104515=DIRECTION('',(-1.,0.,0.)); #104516=DIRECTION('',(0.,0.,1.)); #104517=DIRECTION('',(-1.,0.,0.)); #104518=DIRECTION('center_axis',(1.,0.,0.)); #104519=DIRECTION('ref_axis',(0.,1.,0.)); #104520=DIRECTION('',(0.,1.,0.)); #104521=DIRECTION('',(0.,1.,0.)); #104522=DIRECTION('center_axis',(0.,0.,1.)); #104523=DIRECTION('ref_axis',(1.,0.,0.)); #104524=DIRECTION('center_axis',(0.,-1.,0.)); #104525=DIRECTION('ref_axis',(1.,0.,0.)); #104526=DIRECTION('',(1.,0.,0.)); #104527=DIRECTION('',(0.,0.,1.)); #104528=DIRECTION('',(1.,0.,0.)); #104529=DIRECTION('',(0.,0.,1.)); #104530=DIRECTION('center_axis',(-1.,0.,0.)); #104531=DIRECTION('ref_axis',(0.,-1.,0.)); #104532=DIRECTION('',(0.,-1.,0.)); #104533=DIRECTION('',(0.,0.,1.)); #104534=DIRECTION('',(0.,-1.,0.)); #104535=DIRECTION('center_axis',(0.,1.,0.)); #104536=DIRECTION('ref_axis',(-1.,0.,0.)); #104537=DIRECTION('',(-1.,0.,0.)); #104538=DIRECTION('',(0.,0.,1.)); #104539=DIRECTION('',(-1.,0.,0.)); #104540=DIRECTION('center_axis',(-1.,0.,0.)); #104541=DIRECTION('ref_axis',(0.,-1.,0.)); #104542=DIRECTION('',(0.,-1.,0.)); #104543=DIRECTION('',(0.,0.,1.)); #104544=DIRECTION('',(0.,-1.,0.)); #104545=DIRECTION('center_axis',(0.,-1.,0.)); #104546=DIRECTION('ref_axis',(1.,0.,0.)); #104547=DIRECTION('',(1.,0.,0.)); #104548=DIRECTION('',(0.,0.,1.)); #104549=DIRECTION('',(1.,0.,0.)); #104550=DIRECTION('center_axis',(-1.,0.,0.)); #104551=DIRECTION('ref_axis',(0.,-1.,0.)); #104552=DIRECTION('',(0.,-1.,0.)); #104553=DIRECTION('',(0.,0.,1.)); #104554=DIRECTION('',(0.,-1.,0.)); #104555=DIRECTION('center_axis',(0.,1.,0.)); #104556=DIRECTION('ref_axis',(-1.,0.,0.)); #104557=DIRECTION('',(-1.,0.,0.)); #104558=DIRECTION('',(0.,0.,1.)); #104559=DIRECTION('',(-1.,0.,0.)); #104560=DIRECTION('center_axis',(-1.,0.,0.)); #104561=DIRECTION('ref_axis',(0.,-1.,0.)); #104562=DIRECTION('',(0.,-1.,0.)); #104563=DIRECTION('',(0.,0.,1.)); #104564=DIRECTION('',(0.,-1.,0.)); #104565=DIRECTION('center_axis',(0.,-1.,0.)); #104566=DIRECTION('ref_axis',(1.,0.,0.)); #104567=DIRECTION('',(1.,0.,0.)); #104568=DIRECTION('',(0.,0.,1.)); #104569=DIRECTION('',(1.,0.,0.)); #104570=DIRECTION('center_axis',(-1.,0.,0.)); #104571=DIRECTION('ref_axis',(0.,-1.,0.)); #104572=DIRECTION('',(0.,-1.,0.)); #104573=DIRECTION('',(0.,0.,1.)); #104574=DIRECTION('',(0.,-1.,0.)); #104575=DIRECTION('center_axis',(0.,1.,0.)); #104576=DIRECTION('ref_axis',(-1.,0.,0.)); #104577=DIRECTION('',(-1.,0.,0.)); #104578=DIRECTION('',(0.,0.,1.)); #104579=DIRECTION('',(-1.,0.,0.)); #104580=DIRECTION('center_axis',(1.,0.,0.)); #104581=DIRECTION('ref_axis',(0.,1.,0.)); #104582=DIRECTION('',(0.,1.,0.)); #104583=DIRECTION('',(0.,1.,0.)); #104584=DIRECTION('center_axis',(0.,0.,1.)); #104585=DIRECTION('ref_axis',(1.,0.,0.)); #104586=DIRECTION('center_axis',(0.950467445728689,0.310824121666869,0.)); #104587=DIRECTION('ref_axis',(-0.310824121666869,0.950467445728689,0.)); #104588=DIRECTION('',(0.310824121666869,-0.950467445728689,0.)); #104589=DIRECTION('',(0.,0.,1.)); #104590=DIRECTION('',(0.310824121666869,-0.950467445728689,0.)); #104591=DIRECTION('',(0.,0.,1.)); #104592=DIRECTION('center_axis',(0.,-1.,0.)); #104593=DIRECTION('ref_axis',(1.,0.,0.)); #104594=DIRECTION('',(-1.,0.,0.)); #104595=DIRECTION('',(-1.,0.,0.)); #104596=DIRECTION('',(0.,0.,1.)); #104597=DIRECTION('center_axis',(-0.949983248285707,0.312300861312513,0.)); #104598=DIRECTION('ref_axis',(-0.312300861312513,-0.949983248285707,0.)); #104599=DIRECTION('',(0.312300861312513,0.949983248285707,0.)); #104600=DIRECTION('',(0.312300861312513,0.949983248285707,0.)); #104601=DIRECTION('center_axis',(0.,-1.,0.)); #104602=DIRECTION('ref_axis',(1.,0.,0.)); #104603=DIRECTION('',(1.,0.,0.)); #104604=DIRECTION('',(0.,0.,1.)); #104605=DIRECTION('',(1.,0.,0.)); #104606=DIRECTION('',(0.,0.,1.)); #104607=DIRECTION('center_axis',(-0.951135317488762,-0.30877436393838,0.)); #104608=DIRECTION('ref_axis',(0.30877436393838,-0.951135317488762,0.)); #104609=DIRECTION('',(0.30877436393838,-0.951135317488762,0.)); #104610=DIRECTION('',(0.,0.,1.)); #104611=DIRECTION('',(0.30877436393838,-0.951135317488762,0.)); #104612=DIRECTION('center_axis',(0.,-1.,0.)); #104613=DIRECTION('ref_axis',(1.,0.,0.)); #104614=DIRECTION('',(1.,0.,0.)); #104615=DIRECTION('',(0.,0.,1.)); #104616=DIRECTION('',(1.,0.,0.)); #104617=DIRECTION('center_axis',(0.951135317488762,-0.30877436393838,0.)); #104618=DIRECTION('ref_axis',(0.30877436393838,0.951135317488762,0.)); #104619=DIRECTION('',(0.30877436393838,0.951135317488762,0.)); #104620=DIRECTION('',(0.,0.,1.)); #104621=DIRECTION('',(0.30877436393838,0.951135317488762,0.)); #104622=DIRECTION('center_axis',(0.,-1.,0.)); #104623=DIRECTION('ref_axis',(1.,0.,0.)); #104624=DIRECTION('',(1.,0.,0.)); #104625=DIRECTION('',(0.,0.,1.)); #104626=DIRECTION('',(1.,0.,0.)); #104627=DIRECTION('center_axis',(-0.947602739606771,0.319451166674568,0.)); #104628=DIRECTION('ref_axis',(-0.319451166674568,-0.947602739606771,0.)); #104629=DIRECTION('',(-0.319451166674568,-0.947602739606771,0.)); #104630=DIRECTION('',(0.,0.,1.)); #104631=DIRECTION('',(-0.319451166674568,-0.947602739606771,0.)); #104632=DIRECTION('center_axis',(0.,1.,0.)); #104633=DIRECTION('ref_axis',(-1.,0.,0.)); #104634=DIRECTION('',(-1.,0.,0.)); #104635=DIRECTION('',(0.,0.,1.)); #104636=DIRECTION('',(-1.,0.,0.)); #104637=DIRECTION('center_axis',(0.947602739606771,0.319451166674568,0.)); #104638=DIRECTION('ref_axis',(-0.319451166674568,0.947602739606771,0.)); #104639=DIRECTION('',(-0.319451166674568,0.947602739606771,0.)); #104640=DIRECTION('',(-0.319451166674568,0.947602739606771,0.)); #104641=DIRECTION('center_axis',(0.,0.,1.)); #104642=DIRECTION('ref_axis',(1.,0.,0.)); #104643=DIRECTION('',(0.,0.,1.)); #104644=DIRECTION('',(0.,0.,1.)); #104645=DIRECTION('center_axis',(0.,1.,0.)); #104646=DIRECTION('ref_axis',(-1.,0.,0.)); #104647=DIRECTION('',(1.,0.,0.)); #104648=DIRECTION('',(1.,0.,0.)); #104649=DIRECTION('',(0.,0.,1.)); #104650=DIRECTION('center_axis',(1.,0.,0.)); #104651=DIRECTION('ref_axis',(0.,1.,0.)); #104652=DIRECTION('',(0.,-1.,0.)); #104653=DIRECTION('',(0.,-1.,0.)); #104654=DIRECTION('',(0.,0.,1.)); #104655=DIRECTION('center_axis',(0.,-1.,0.)); #104656=DIRECTION('ref_axis',(1.,0.,0.)); #104657=DIRECTION('',(-1.,0.,0.)); #104658=DIRECTION('',(-1.,0.,0.)); #104659=DIRECTION('',(0.,0.,1.)); #104660=DIRECTION('',(0.,0.,1.)); #104661=DIRECTION('',(0.,0.,1.)); #104662=DIRECTION('center_axis',(-0.774214179185868,0.632923695833513,0.)); #104663=DIRECTION('ref_axis',(-0.632923695833513,-0.774214179185868,0.)); #104664=DIRECTION('',(-0.632923695833513,-0.774214179185868,0.)); #104665=DIRECTION('',(0.,0.,1.)); #104666=DIRECTION('',(-0.632923695833513,-0.774214179185868,0.)); #104667=DIRECTION('',(0.,0.,1.)); #104668=DIRECTION('',(0.,0.,1.)); #104669=DIRECTION('',(0.,0.,1.)); #104670=DIRECTION('',(0.,0.,1.)); #104671=DIRECTION('center_axis',(0.,1.,0.)); #104672=DIRECTION('ref_axis',(-1.,0.,0.)); #104673=DIRECTION('',(-1.,0.,0.)); #104674=DIRECTION('',(0.,0.,1.)); #104675=DIRECTION('',(-1.,0.,0.)); #104676=DIRECTION('center_axis',(1.,0.,0.)); #104677=DIRECTION('ref_axis',(0.,1.,0.)); #104678=DIRECTION('',(0.,1.,0.)); #104679=DIRECTION('',(0.,0.,1.)); #104680=DIRECTION('',(0.,1.,0.)); #104681=DIRECTION('center_axis',(0.,-1.,0.)); #104682=DIRECTION('ref_axis',(1.,0.,0.)); #104683=DIRECTION('',(1.,0.,0.)); #104684=DIRECTION('',(0.,0.,1.)); #104685=DIRECTION('',(1.,0.,0.)); #104686=DIRECTION('center_axis',(-1.,0.,0.)); #104687=DIRECTION('ref_axis',(0.,-1.,0.)); #104688=DIRECTION('',(0.,-1.,0.)); #104689=DIRECTION('',(0.,0.,1.)); #104690=DIRECTION('',(0.,-1.,0.)); #104691=DIRECTION('center_axis',(0.,-1.,0.)); #104692=DIRECTION('ref_axis',(1.,0.,0.)); #104693=DIRECTION('',(1.,0.,0.)); #104694=DIRECTION('',(0.,0.,1.)); #104695=DIRECTION('',(1.,0.,0.)); #104696=DIRECTION('center_axis',(0.783042313268789,-0.621968436201279,0.)); #104697=DIRECTION('ref_axis',(0.621968436201279,0.783042313268789,0.)); #104698=DIRECTION('',(0.621968436201279,0.783042313268789,0.)); #104699=DIRECTION('',(0.,0.,1.)); #104700=DIRECTION('',(0.621968436201279,0.783042313268789,0.)); #104701=DIRECTION('center_axis',(0.,-1.,0.)); #104702=DIRECTION('ref_axis',(1.,0.,0.)); #104703=DIRECTION('',(1.,0.,0.)); #104704=DIRECTION('',(1.,0.,0.)); #104705=DIRECTION('center_axis',(0.,0.,1.)); #104706=DIRECTION('ref_axis',(1.,0.,0.)); #104707=DIRECTION('',(0.,0.,1.)); #104708=DIRECTION('',(0.,0.,1.)); #104709=DIRECTION('center_axis',(0.,1.,0.)); #104710=DIRECTION('ref_axis',(-1.,0.,0.)); #104711=DIRECTION('',(1.,0.,0.)); #104712=DIRECTION('',(1.,0.,0.)); #104713=DIRECTION('',(0.,0.,1.)); #104714=DIRECTION('center_axis',(1.,0.,0.)); #104715=DIRECTION('ref_axis',(0.,1.,0.)); #104716=DIRECTION('',(0.,-1.,0.)); #104717=DIRECTION('',(0.,-1.,0.)); #104718=DIRECTION('',(0.,0.,1.)); #104719=DIRECTION('center_axis',(0.,-1.,0.)); #104720=DIRECTION('ref_axis',(1.,0.,0.)); #104721=DIRECTION('',(-1.,0.,0.)); #104722=DIRECTION('',(-1.,0.,0.)); #104723=DIRECTION('',(0.,0.,1.)); #104724=DIRECTION('',(0.,0.,1.)); #104725=DIRECTION('',(0.,0.,1.)); #104726=DIRECTION('',(0.,0.,1.)); #104727=DIRECTION('',(0.,0.,1.)); #104728=DIRECTION('',(0.,0.,1.)); #104729=DIRECTION('center_axis',(0.,1.,0.)); #104730=DIRECTION('ref_axis',(-1.,0.,0.)); #104731=DIRECTION('',(-1.,0.,0.)); #104732=DIRECTION('',(0.,0.,1.)); #104733=DIRECTION('',(-1.,0.,0.)); #104734=DIRECTION('center_axis',(1.,0.,0.)); #104735=DIRECTION('ref_axis',(0.,1.,0.)); #104736=DIRECTION('',(0.,1.,0.)); #104737=DIRECTION('',(0.,0.,1.)); #104738=DIRECTION('',(0.,1.,0.)); #104739=DIRECTION('center_axis',(0.,-1.,0.)); #104740=DIRECTION('ref_axis',(1.,0.,0.)); #104741=DIRECTION('',(1.,0.,0.)); #104742=DIRECTION('',(0.,0.,1.)); #104743=DIRECTION('',(1.,0.,0.)); #104744=DIRECTION('',(0.,0.,1.)); #104745=DIRECTION('center_axis',(0.,0.,1.)); #104746=DIRECTION('ref_axis',(1.,0.,0.)); #104747=DIRECTION('center_axis',(-1.,0.,0.)); #104748=DIRECTION('ref_axis',(0.,-1.,0.)); #104749=DIRECTION('',(0.,-1.,0.)); #104750=DIRECTION('',(0.,0.,1.)); #104751=DIRECTION('',(0.,-1.,0.)); #104752=DIRECTION('',(0.,0.,1.)); #104753=DIRECTION('center_axis',(0.,1.,0.)); #104754=DIRECTION('ref_axis',(-1.,0.,0.)); #104755=DIRECTION('',(-1.,0.,0.)); #104756=DIRECTION('',(0.,0.,1.)); #104757=DIRECTION('',(-1.,0.,0.)); #104758=DIRECTION('center_axis',(0.917409408006231,0.397944692264712,0.)); #104759=DIRECTION('ref_axis',(-0.397944692264712,0.917409408006231,0.)); #104760=DIRECTION('',(-0.397944692264712,0.917409408006231,0.)); #104761=DIRECTION('',(0.,0.,1.)); #104762=DIRECTION('',(-0.397944692264712,0.917409408006231,0.)); #104763=DIRECTION('center_axis',(-0.912492227721691,0.409094040958196,0.)); #104764=DIRECTION('ref_axis',(-0.409094040958196,-0.912492227721691,0.)); #104765=DIRECTION('',(-0.409094040958196,-0.912492227721691,0.)); #104766=DIRECTION('',(0.,0.,1.)); #104767=DIRECTION('',(-0.409094040958196,-0.912492227721691,0.)); #104768=DIRECTION('center_axis',(0.,1.,0.)); #104769=DIRECTION('ref_axis',(-1.,0.,0.)); #104770=DIRECTION('',(-1.,0.,0.)); #104771=DIRECTION('',(0.,0.,1.)); #104772=DIRECTION('',(-1.,0.,0.)); #104773=DIRECTION('center_axis',(1.,0.,0.)); #104774=DIRECTION('ref_axis',(0.,1.,0.)); #104775=DIRECTION('',(0.,1.,0.)); #104776=DIRECTION('',(0.,0.,1.)); #104777=DIRECTION('',(0.,1.,0.)); #104778=DIRECTION('center_axis',(0.,-1.,0.)); #104779=DIRECTION('ref_axis',(1.,0.,0.)); #104780=DIRECTION('',(1.,0.,0.)); #104781=DIRECTION('',(0.,0.,1.)); #104782=DIRECTION('',(1.,0.,0.)); #104783=DIRECTION('center_axis',(-1.,0.,0.)); #104784=DIRECTION('ref_axis',(0.,-1.,0.)); #104785=DIRECTION('',(0.,-1.,0.)); #104786=DIRECTION('',(0.,0.,1.)); #104787=DIRECTION('',(0.,-1.,0.)); #104788=DIRECTION('center_axis',(0.914904056356068,-0.403671360964849,0.)); #104789=DIRECTION('ref_axis',(0.403671360964849,0.914904056356068,0.)); #104790=DIRECTION('',(0.403671360964849,0.914904056356068,0.)); #104791=DIRECTION('',(0.,0.,1.)); #104792=DIRECTION('',(0.403671360964849,0.914904056356068,0.)); #104793=DIRECTION('center_axis',(0.,-1.,0.)); #104794=DIRECTION('ref_axis',(1.,0.,0.)); #104795=DIRECTION('',(1.,0.,0.)); #104796=DIRECTION('',(0.,0.,1.)); #104797=DIRECTION('',(1.,0.,0.)); #104798=DIRECTION('center_axis',(-0.913498663408962,-0.406841728378543, 0.)); #104799=DIRECTION('ref_axis',(0.406841728378543,-0.913498663408962,0.)); #104800=DIRECTION('',(0.406841728378543,-0.913498663408962,0.)); #104801=DIRECTION('',(0.,0.,1.)); #104802=DIRECTION('',(0.406841728378543,-0.913498663408962,0.)); #104803=DIRECTION('center_axis',(1.,0.,0.)); #104804=DIRECTION('ref_axis',(0.,1.,0.)); #104805=DIRECTION('',(0.,1.,0.)); #104806=DIRECTION('',(0.,0.,1.)); #104807=DIRECTION('',(0.,1.,0.)); #104808=DIRECTION('center_axis',(0.,-1.,0.)); #104809=DIRECTION('ref_axis',(1.,0.,0.)); #104810=DIRECTION('',(1.,0.,0.)); #104811=DIRECTION('',(1.,0.,0.)); #104812=DIRECTION('center_axis',(0.,0.,1.)); #104813=DIRECTION('ref_axis',(1.,0.,0.)); #104814=DIRECTION('',(0.,0.,1.)); #104815=DIRECTION('',(0.,0.,1.)); #104816=DIRECTION('',(0.,0.,1.)); #104817=DIRECTION('center_axis',(-1.,0.,0.)); #104818=DIRECTION('ref_axis',(0.,-1.,0.)); #104819=DIRECTION('',(0.,-1.,0.)); #104820=DIRECTION('',(0.,0.,1.)); #104821=DIRECTION('',(0.,-1.,0.)); #104822=DIRECTION('center_axis',(0.,1.,0.)); #104823=DIRECTION('ref_axis',(-1.,0.,0.)); #104824=DIRECTION('',(-1.,0.,0.)); #104825=DIRECTION('',(0.,0.,1.)); #104826=DIRECTION('',(-1.,0.,0.)); #104827=DIRECTION('center_axis',(1.,0.,0.)); #104828=DIRECTION('ref_axis',(0.,1.,0.)); #104829=DIRECTION('',(0.,1.,0.)); #104830=DIRECTION('',(0.,0.,1.)); #104831=DIRECTION('',(0.,1.,0.)); #104832=DIRECTION('center_axis',(0.,-1.,0.)); #104833=DIRECTION('ref_axis',(1.,0.,0.)); #104834=DIRECTION('',(1.,0.,0.)); #104835=DIRECTION('',(0.,0.,1.)); #104836=DIRECTION('',(1.,0.,0.)); #104837=DIRECTION('center_axis',(1.,0.,0.)); #104838=DIRECTION('ref_axis',(0.,1.,0.)); #104839=DIRECTION('',(0.,1.,0.)); #104840=DIRECTION('',(0.,0.,1.)); #104841=DIRECTION('',(0.,1.,0.)); #104842=DIRECTION('',(0.,0.,1.)); #104843=DIRECTION('',(0.,0.,1.)); #104844=DIRECTION('',(0.,0.,1.)); #104845=DIRECTION('',(0.,0.,1.)); #104846=DIRECTION('',(0.,0.,1.)); #104847=DIRECTION('',(0.,0.,1.)); #104848=DIRECTION('center_axis',(0.,-1.,0.)); #104849=DIRECTION('ref_axis',(1.,0.,0.)); #104850=DIRECTION('',(1.,0.,0.)); #104851=DIRECTION('',(0.,0.,1.)); #104852=DIRECTION('',(1.,0.,0.)); #104853=DIRECTION('center_axis',(-1.,0.,0.)); #104854=DIRECTION('ref_axis',(0.,-1.,0.)); #104855=DIRECTION('',(0.,-1.,0.)); #104856=DIRECTION('',(0.,0.,1.)); #104857=DIRECTION('',(0.,-1.,0.)); #104858=DIRECTION('',(0.,0.,1.)); #104859=DIRECTION('',(0.,0.,1.)); #104860=DIRECTION('',(0.,0.,1.)); #104861=DIRECTION('',(0.,0.,1.)); #104862=DIRECTION('',(0.,0.,1.)); #104863=DIRECTION('center_axis',(0.,0.,1.)); #104864=DIRECTION('ref_axis',(1.,0.,0.)); #104865=DIRECTION('',(0.,0.,1.)); #104866=DIRECTION('',(0.,0.,1.)); #104867=DIRECTION('',(0.,0.,1.)); #104868=DIRECTION('center_axis',(-1.,0.,0.)); #104869=DIRECTION('ref_axis',(0.,-1.,0.)); #104870=DIRECTION('',(0.,-1.,0.)); #104871=DIRECTION('',(0.,0.,1.)); #104872=DIRECTION('',(0.,-1.,0.)); #104873=DIRECTION('center_axis',(0.,1.,0.)); #104874=DIRECTION('ref_axis',(-1.,0.,0.)); #104875=DIRECTION('',(-1.,0.,0.)); #104876=DIRECTION('',(0.,0.,1.)); #104877=DIRECTION('',(-1.,0.,0.)); #104878=DIRECTION('',(0.,0.,1.)); #104879=DIRECTION('',(0.,0.,1.)); #104880=DIRECTION('',(0.,0.,1.)); #104881=DIRECTION('',(0.,0.,1.)); #104882=DIRECTION('',(0.,0.,1.)); #104883=DIRECTION('',(0.,0.,1.)); #104884=DIRECTION('',(0.,0.,1.)); #104885=DIRECTION('',(0.,0.,1.)); #104886=DIRECTION('center_axis',(0.,-1.,0.)); #104887=DIRECTION('ref_axis',(1.,0.,0.)); #104888=DIRECTION('',(1.,0.,0.)); #104889=DIRECTION('',(0.,0.,1.)); #104890=DIRECTION('',(1.,0.,0.)); #104891=DIRECTION('center_axis',(-1.,0.,0.)); #104892=DIRECTION('ref_axis',(0.,-1.,0.)); #104893=DIRECTION('',(0.,-1.,0.)); #104894=DIRECTION('',(0.,0.,1.)); #104895=DIRECTION('',(0.,-1.,0.)); #104896=DIRECTION('',(0.,0.,1.)); #104897=DIRECTION('',(0.,0.,1.)); #104898=DIRECTION('',(0.,0.,1.)); #104899=DIRECTION('',(0.,0.,1.)); #104900=DIRECTION('',(0.,0.,1.)); #104901=DIRECTION('center_axis',(0.,0.,1.)); #104902=DIRECTION('ref_axis',(1.,0.,0.)); #104903=DIRECTION('',(0.,0.,1.)); #104904=DIRECTION('',(0.,0.,1.)); #104905=DIRECTION('center_axis',(0.766044443118979,0.642787609686539,0.)); #104906=DIRECTION('ref_axis',(-0.642787609686539,0.766044443118978,0.)); #104907=DIRECTION('',(0.642787609686539,-0.766044443118978,0.)); #104908=DIRECTION('',(0.642787609686539,-0.766044443118978,0.)); #104909=DIRECTION('',(0.,0.,1.)); #104910=DIRECTION('center_axis',(0.642787609686537,-0.76604444311898,0.)); #104911=DIRECTION('ref_axis',(0.76604444311898,0.642787609686537,0.)); #104912=DIRECTION('',(-0.76604444311898,-0.642787609686537,0.)); #104913=DIRECTION('',(-0.76604444311898,-0.642787609686537,0.)); #104914=DIRECTION('',(0.,0.,1.)); #104915=DIRECTION('center_axis',(-0.766044443118972,-0.642787609686547, 0.)); #104916=DIRECTION('ref_axis',(0.642787609686547,-0.766044443118972,0.)); #104917=DIRECTION('',(-0.642787609686547,0.766044443118972,0.)); #104918=DIRECTION('',(-0.642787609686547,0.766044443118972,0.)); #104919=DIRECTION('',(0.,0.,1.)); #104920=DIRECTION('',(0.,0.,1.)); #104921=DIRECTION('',(0.,0.,1.)); #104922=DIRECTION('',(0.,0.,1.)); #104923=DIRECTION('',(0.,0.,1.)); #104924=DIRECTION('',(0.,0.,1.)); #104925=DIRECTION('center_axis',(0.766044443118979,0.642787609686538,0.)); #104926=DIRECTION('ref_axis',(-0.642787609686538,0.766044443118979,0.)); #104927=DIRECTION('',(-0.642787609686538,0.766044443118979,0.)); #104928=DIRECTION('',(0.,0.,1.)); #104929=DIRECTION('',(-0.642787609686538,0.766044443118979,0.)); #104930=DIRECTION('center_axis',(0.642787609686539,-0.766044443118979,0.)); #104931=DIRECTION('ref_axis',(0.766044443118978,0.642787609686539,0.)); #104932=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #104933=DIRECTION('',(0.,0.,1.)); #104934=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #104935=DIRECTION('center_axis',(-0.766044443118977,-0.642787609686541, 0.)); #104936=DIRECTION('ref_axis',(0.64278760968654,-0.766044443118977,0.)); #104937=DIRECTION('',(0.64278760968654,-0.766044443118977,0.)); #104938=DIRECTION('',(0.,0.,1.)); #104939=DIRECTION('',(0.64278760968654,-0.766044443118977,0.)); #104940=DIRECTION('center_axis',(-0.642787609686536,0.76604444311898,0.)); #104941=DIRECTION('ref_axis',(-0.76604444311898,-0.642787609686536,0.)); #104942=DIRECTION('',(-0.76604444311898,-0.642787609686536,0.)); #104943=DIRECTION('',(0.,0.,1.)); #104944=DIRECTION('',(-0.76604444311898,-0.642787609686536,0.)); #104945=DIRECTION('center_axis',(-0.766044443118977,-0.64278760968654,0.)); #104946=DIRECTION('ref_axis',(0.64278760968654,-0.766044443118977,0.)); #104947=DIRECTION('',(0.64278760968654,-0.766044443118977,0.)); #104948=DIRECTION('',(0.,0.,1.)); #104949=DIRECTION('',(0.64278760968654,-0.766044443118977,0.)); #104950=DIRECTION('',(0.,0.,1.)); #104951=DIRECTION('center_axis',(0.,0.,1.)); #104952=DIRECTION('ref_axis',(1.,0.,0.)); #104953=DIRECTION('center_axis',(0.849053788715057,-0.528306410966787,0.)); #104954=DIRECTION('ref_axis',(0.528306410966787,0.849053788715057,0.)); #104955=DIRECTION('',(-0.528306410966787,-0.849053788715057,0.)); #104956=DIRECTION('',(0.,0.,1.)); #104957=DIRECTION('',(-0.528306410966787,-0.849053788715057,0.)); #104958=DIRECTION('',(0.,0.,1.)); #104959=DIRECTION('center_axis',(-0.766044443118974,-0.642787609686544, 0.)); #104960=DIRECTION('ref_axis',(0.642787609686544,-0.766044443118974,0.)); #104961=DIRECTION('',(-0.642787609686544,0.766044443118974,0.)); #104962=DIRECTION('',(-0.642787609686544,0.766044443118974,0.)); #104963=DIRECTION('',(0.,0.,1.)); #104964=DIRECTION('center_axis',(-0.371401122018098,0.928472512551501,0.)); #104965=DIRECTION('ref_axis',(-0.928472512551501,-0.371401122018098,0.)); #104966=DIRECTION('',(0.928472512551501,0.371401122018098,0.)); #104967=DIRECTION('',(0.928472512551501,0.371401122018098,0.)); #104968=DIRECTION('center_axis',(-0.766044443118967,-0.642787609686553, 0.)); #104969=DIRECTION('ref_axis',(0.642787609686552,-0.766044443118967,0.)); #104970=DIRECTION('',(0.642787609686552,-0.766044443118967,0.)); #104971=DIRECTION('',(0.,0.,1.)); #104972=DIRECTION('',(0.642787609686552,-0.766044443118967,0.)); #104973=DIRECTION('',(0.,0.,1.)); #104974=DIRECTION('center_axis',(-0.847912882889638,0.530135589288045,0.)); #104975=DIRECTION('ref_axis',(-0.530135589288045,-0.847912882889638,0.)); #104976=DIRECTION('',(-0.530135589288045,-0.847912882889638,0.)); #104977=DIRECTION('',(0.,0.,1.)); #104978=DIRECTION('',(-0.530135589288045,-0.847912882889638,0.)); #104979=DIRECTION('center_axis',(-0.766044443118983,-0.642787609686534, 0.)); #104980=DIRECTION('ref_axis',(0.642787609686534,-0.766044443118983,0.)); #104981=DIRECTION('',(0.642787609686534,-0.766044443118983,0.)); #104982=DIRECTION('',(0.,0.,1.)); #104983=DIRECTION('',(0.642787609686534,-0.766044443118983,0.)); #104984=DIRECTION('center_axis',(0.374843111544449,-0.927088259944907,0.)); #104985=DIRECTION('ref_axis',(0.927088259944907,0.374843111544449,0.)); #104986=DIRECTION('',(0.927088259944907,0.374843111544449,0.)); #104987=DIRECTION('',(0.,0.,1.)); #104988=DIRECTION('',(0.927088259944907,0.374843111544449,0.)); #104989=DIRECTION('center_axis',(-0.76604444311896,-0.642787609686561,0.)); #104990=DIRECTION('ref_axis',(0.642787609686561,-0.76604444311896,0.)); #104991=DIRECTION('',(0.642787609686561,-0.76604444311896,0.)); #104992=DIRECTION('',(0.,0.,1.)); #104993=DIRECTION('',(0.642787609686561,-0.76604444311896,0.)); #104994=DIRECTION('center_axis',(-0.364393508845326,0.931245064798408,0.)); #104995=DIRECTION('ref_axis',(-0.931245064798408,-0.364393508845326,0.)); #104996=DIRECTION('',(-0.931245064798408,-0.364393508845326,0.)); #104997=DIRECTION('',(0.,0.,1.)); #104998=DIRECTION('',(-0.931245064798408,-0.364393508845326,0.)); #104999=DIRECTION('center_axis',(0.766044443118979,0.642787609686538,0.)); #105000=DIRECTION('ref_axis',(-0.642787609686538,0.766044443118979,0.)); #105001=DIRECTION('',(-0.642787609686538,0.766044443118979,0.)); #105002=DIRECTION('',(0.,0.,1.)); #105003=DIRECTION('',(-0.642787609686538,0.766044443118979,0.)); #105004=DIRECTION('center_axis',(0.853821091003179,-0.520566561121766,0.)); #105005=DIRECTION('ref_axis',(0.520566561121766,0.853821091003179,0.)); #105006=DIRECTION('',(0.520566561121766,0.853821091003179,0.)); #105007=DIRECTION('',(0.520566561121766,0.853821091003179,0.)); #105008=DIRECTION('center_axis',(0.,0.,1.)); #105009=DIRECTION('ref_axis',(1.,0.,0.)); #105010=DIRECTION('center_axis',(-0.766044443118984,-0.642787609686532, 0.)); #105011=DIRECTION('ref_axis',(0.642787609686532,-0.766044443118984,0.)); #105012=DIRECTION('',(0.642787609686532,-0.766044443118984,0.)); #105013=DIRECTION('',(0.,0.,1.)); #105014=DIRECTION('',(0.642787609686532,-0.766044443118984,0.)); #105015=DIRECTION('',(0.,0.,1.)); #105016=DIRECTION('center_axis',(-0.642787609686539,0.766044443118978,0.)); #105017=DIRECTION('ref_axis',(-0.766044443118978,-0.642787609686539,0.)); #105018=DIRECTION('',(-0.766044443118978,-0.642787609686539,0.)); #105019=DIRECTION('',(0.,0.,1.)); #105020=DIRECTION('',(-0.766044443118978,-0.642787609686539,0.)); #105021=DIRECTION('center_axis',(-0.766044443118975,-0.642787609686543, 0.)); #105022=DIRECTION('ref_axis',(0.642787609686543,-0.766044443118975,0.)); #105023=DIRECTION('',(0.642787609686543,-0.766044443118975,0.)); #105024=DIRECTION('',(0.,0.,1.)); #105025=DIRECTION('',(0.642787609686543,-0.766044443118975,0.)); #105026=DIRECTION('center_axis',(-0.642787609686535,0.766044443118982,0.)); #105027=DIRECTION('ref_axis',(-0.766044443118982,-0.642787609686535,0.)); #105028=DIRECTION('',(-0.766044443118982,-0.642787609686535,0.)); #105029=DIRECTION('',(0.,0.,1.)); #105030=DIRECTION('',(-0.766044443118982,-0.642787609686535,0.)); #105031=DIRECTION('center_axis',(0.766044443118978,0.64278760968654,0.)); #105032=DIRECTION('ref_axis',(-0.64278760968654,0.766044443118978,0.)); #105033=DIRECTION('',(-0.64278760968654,0.766044443118978,0.)); #105034=DIRECTION('',(0.,0.,1.)); #105035=DIRECTION('',(-0.64278760968654,0.766044443118978,0.)); #105036=DIRECTION('center_axis',(0.642787609686535,-0.766044443118982,0.)); #105037=DIRECTION('ref_axis',(0.766044443118982,0.642787609686535,0.)); #105038=DIRECTION('',(0.766044443118982,0.642787609686535,0.)); #105039=DIRECTION('',(0.,0.,1.)); #105040=DIRECTION('',(0.766044443118982,0.642787609686535,0.)); #105041=DIRECTION('center_axis',(-0.766044443118978,-0.64278760968654,0.)); #105042=DIRECTION('ref_axis',(0.64278760968654,-0.766044443118978,0.)); #105043=DIRECTION('',(0.64278760968654,-0.766044443118978,0.)); #105044=DIRECTION('',(0.,0.,1.)); #105045=DIRECTION('',(0.64278760968654,-0.766044443118978,0.)); #105046=DIRECTION('center_axis',(0.642787609686539,-0.766044443118978,0.)); #105047=DIRECTION('ref_axis',(0.766044443118978,0.642787609686539,0.)); #105048=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #105049=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #105050=DIRECTION('center_axis',(0.,0.,1.)); #105051=DIRECTION('ref_axis',(1.,0.,0.)); #105052=DIRECTION('',(0.,0.,1.)); #105053=DIRECTION('',(0.,0.,1.)); #105054=DIRECTION('center_axis',(0.766044443118979,0.642787609686539,0.)); #105055=DIRECTION('ref_axis',(-0.642787609686539,0.766044443118978,0.)); #105056=DIRECTION('',(0.642787609686539,-0.766044443118978,0.)); #105057=DIRECTION('',(0.642787609686539,-0.766044443118978,0.)); #105058=DIRECTION('',(0.,0.,1.)); #105059=DIRECTION('center_axis',(0.642787609686537,-0.76604444311898,0.)); #105060=DIRECTION('ref_axis',(0.76604444311898,0.642787609686537,0.)); #105061=DIRECTION('',(-0.76604444311898,-0.642787609686537,0.)); #105062=DIRECTION('',(-0.76604444311898,-0.642787609686537,0.)); #105063=DIRECTION('',(0.,0.,1.)); #105064=DIRECTION('center_axis',(-0.76604444311897,-0.642787609686549,0.)); #105065=DIRECTION('ref_axis',(0.642787609686549,-0.76604444311897,0.)); #105066=DIRECTION('',(-0.642787609686549,0.76604444311897,0.)); #105067=DIRECTION('',(-0.642787609686549,0.76604444311897,0.)); #105068=DIRECTION('',(0.,0.,1.)); #105069=DIRECTION('',(0.,0.,1.)); #105070=DIRECTION('',(0.,0.,1.)); #105071=DIRECTION('',(0.,0.,1.)); #105072=DIRECTION('',(0.,0.,1.)); #105073=DIRECTION('',(0.,0.,1.)); #105074=DIRECTION('center_axis',(0.766044443118976,0.642787609686542,0.)); #105075=DIRECTION('ref_axis',(-0.642787609686542,0.766044443118976,0.)); #105076=DIRECTION('',(-0.642787609686542,0.766044443118976,0.)); #105077=DIRECTION('',(0.,0.,1.)); #105078=DIRECTION('',(-0.642787609686542,0.766044443118976,0.)); #105079=DIRECTION('center_axis',(0.642787609686539,-0.766044443118979,0.)); #105080=DIRECTION('ref_axis',(0.766044443118978,0.642787609686539,0.)); #105081=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #105082=DIRECTION('',(0.,0.,1.)); #105083=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #105084=DIRECTION('center_axis',(-0.766044443118964,-0.642787609686556, 0.)); #105085=DIRECTION('ref_axis',(0.642787609686556,-0.766044443118964,0.)); #105086=DIRECTION('',(0.642787609686556,-0.766044443118964,0.)); #105087=DIRECTION('',(0.,0.,1.)); #105088=DIRECTION('',(0.642787609686556,-0.766044443118964,0.)); #105089=DIRECTION('center_axis',(-0.642787609686534,0.766044443118983,0.)); #105090=DIRECTION('ref_axis',(-0.766044443118983,-0.642787609686534,0.)); #105091=DIRECTION('',(-0.766044443118983,-0.642787609686534,0.)); #105092=DIRECTION('',(0.,0.,1.)); #105093=DIRECTION('',(-0.766044443118983,-0.642787609686534,0.)); #105094=DIRECTION('center_axis',(-0.766044443118985,-0.642787609686532, 0.)); #105095=DIRECTION('ref_axis',(0.642787609686532,-0.766044443118984,0.)); #105096=DIRECTION('',(0.642787609686532,-0.766044443118985,0.)); #105097=DIRECTION('',(0.,0.,1.)); #105098=DIRECTION('',(0.642787609686532,-0.766044443118984,0.)); #105099=DIRECTION('',(0.,0.,1.)); #105100=DIRECTION('center_axis',(0.,0.,1.)); #105101=DIRECTION('ref_axis',(1.,0.,0.)); #105102=DIRECTION('center_axis',(-0.766044443118977,-0.642787609686541, 0.)); #105103=DIRECTION('ref_axis',(0.642787609686541,-0.766044443118977,0.)); #105104=DIRECTION('',(0.642787609686541,-0.766044443118977,0.)); #105105=DIRECTION('',(0.,0.,1.)); #105106=DIRECTION('',(0.642787609686541,-0.766044443118977,0.)); #105107=DIRECTION('',(0.,0.,1.)); #105108=DIRECTION('center_axis',(-0.642787609686535,0.766044443118982,0.)); #105109=DIRECTION('ref_axis',(-0.766044443118982,-0.642787609686535,0.)); #105110=DIRECTION('',(-0.766044443118982,-0.642787609686535,0.)); #105111=DIRECTION('',(0.,0.,1.)); #105112=DIRECTION('',(-0.766044443118982,-0.642787609686535,0.)); #105113=DIRECTION('center_axis',(0.76604444311898,0.642787609686537,0.)); #105114=DIRECTION('ref_axis',(-0.642787609686537,0.76604444311898,0.)); #105115=DIRECTION('',(-0.642787609686537,0.76604444311898,0.)); #105116=DIRECTION('',(0.,0.,1.)); #105117=DIRECTION('',(-0.642787609686537,0.76604444311898,0.)); #105118=DIRECTION('center_axis',(-0.642787609686538,0.766044443118979,0.)); #105119=DIRECTION('ref_axis',(-0.766044443118979,-0.642787609686538,0.)); #105120=DIRECTION('',(-0.766044443118979,-0.642787609686538,0.)); #105121=DIRECTION('',(0.,0.,1.)); #105122=DIRECTION('',(-0.766044443118979,-0.642787609686538,0.)); #105123=DIRECTION('center_axis',(-0.766044443118978,-0.642787609686539, 0.)); #105124=DIRECTION('ref_axis',(0.642787609686539,-0.766044443118978,0.)); #105125=DIRECTION('',(0.642787609686539,-0.766044443118978,0.)); #105126=DIRECTION('',(0.,0.,1.)); #105127=DIRECTION('',(0.642787609686539,-0.766044443118978,0.)); #105128=DIRECTION('center_axis',(-0.642787609686535,0.766044443118982,0.)); #105129=DIRECTION('ref_axis',(-0.766044443118982,-0.642787609686535,0.)); #105130=DIRECTION('',(-0.766044443118982,-0.642787609686535,0.)); #105131=DIRECTION('',(0.,0.,1.)); #105132=DIRECTION('',(-0.766044443118982,-0.642787609686535,0.)); #105133=DIRECTION('center_axis',(0.766044443118978,0.642787609686539,0.)); #105134=DIRECTION('ref_axis',(-0.642787609686539,0.766044443118978,0.)); #105135=DIRECTION('',(-0.642787609686539,0.766044443118978,0.)); #105136=DIRECTION('',(0.,0.,1.)); #105137=DIRECTION('',(-0.642787609686539,0.766044443118978,0.)); #105138=DIRECTION('center_axis',(-0.642787609686545,0.766044443118973,0.)); #105139=DIRECTION('ref_axis',(-0.766044443118973,-0.642787609686545,0.)); #105140=DIRECTION('',(-0.766044443118973,-0.642787609686545,0.)); #105141=DIRECTION('',(0.,0.,1.)); #105142=DIRECTION('',(-0.766044443118973,-0.642787609686545,0.)); #105143=DIRECTION('center_axis',(-0.76604444311898,-0.642787609686537,0.)); #105144=DIRECTION('ref_axis',(0.642787609686537,-0.76604444311898,0.)); #105145=DIRECTION('',(0.642787609686537,-0.76604444311898,0.)); #105146=DIRECTION('',(0.,0.,1.)); #105147=DIRECTION('',(0.642787609686537,-0.76604444311898,0.)); #105148=DIRECTION('center_axis',(-0.642787609686535,0.766044443118982,0.)); #105149=DIRECTION('ref_axis',(-0.766044443118982,-0.642787609686535,0.)); #105150=DIRECTION('',(-0.766044443118982,-0.642787609686535,0.)); #105151=DIRECTION('',(0.,0.,1.)); #105152=DIRECTION('',(-0.766044443118982,-0.642787609686535,0.)); #105153=DIRECTION('center_axis',(0.766044443118977,0.642787609686541,0.)); #105154=DIRECTION('ref_axis',(-0.642787609686541,0.766044443118977,0.)); #105155=DIRECTION('',(-0.642787609686541,0.766044443118977,0.)); #105156=DIRECTION('',(0.,0.,1.)); #105157=DIRECTION('',(-0.642787609686541,0.766044443118977,0.)); #105158=DIRECTION('center_axis',(0.642787609686539,-0.766044443118979,0.)); #105159=DIRECTION('ref_axis',(0.766044443118978,0.642787609686539,0.)); #105160=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #105161=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #105162=DIRECTION('center_axis',(0.,0.,1.)); #105163=DIRECTION('ref_axis',(1.,0.,0.)); #105164=DIRECTION('',(0.,0.,1.)); #105165=DIRECTION('',(0.,0.,1.)); #105166=DIRECTION('center_axis',(0.766044443118991,0.642787609686524,0.)); #105167=DIRECTION('ref_axis',(-0.642787609686524,0.766044443118991,0.)); #105168=DIRECTION('',(0.642787609686524,-0.766044443118991,0.)); #105169=DIRECTION('',(0.642787609686524,-0.766044443118991,0.)); #105170=DIRECTION('',(0.,0.,1.)); #105171=DIRECTION('center_axis',(0.642787609686539,-0.766044443118978,0.)); #105172=DIRECTION('ref_axis',(0.766044443118978,0.642787609686539,0.)); #105173=DIRECTION('',(-0.766044443118978,-0.642787609686539,0.)); #105174=DIRECTION('',(-0.766044443118978,-0.642787609686539,0.)); #105175=DIRECTION('',(0.,0.,1.)); #105176=DIRECTION('center_axis',(-0.766044443118983,-0.642787609686534, 0.)); #105177=DIRECTION('ref_axis',(0.642787609686534,-0.766044443118983,0.)); #105178=DIRECTION('',(-0.642787609686534,0.766044443118983,0.)); #105179=DIRECTION('',(-0.642787609686534,0.766044443118983,0.)); #105180=DIRECTION('',(0.,0.,1.)); #105181=DIRECTION('',(0.,0.,1.)); #105182=DIRECTION('',(0.,0.,1.)); #105183=DIRECTION('',(0.,0.,1.)); #105184=DIRECTION('',(0.,0.,1.)); #105185=DIRECTION('',(0.,0.,1.)); #105186=DIRECTION('center_axis',(0.766044443118984,0.642787609686532,0.)); #105187=DIRECTION('ref_axis',(-0.642787609686532,0.766044443118984,0.)); #105188=DIRECTION('',(-0.642787609686532,0.766044443118984,0.)); #105189=DIRECTION('',(0.,0.,1.)); #105190=DIRECTION('',(-0.642787609686532,0.766044443118984,0.)); #105191=DIRECTION('center_axis',(0.642787609686539,-0.766044443118979,0.)); #105192=DIRECTION('ref_axis',(0.766044443118978,0.642787609686539,0.)); #105193=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #105194=DIRECTION('',(0.,0.,1.)); #105195=DIRECTION('',(0.766044443118978,0.642787609686539,0.)); #105196=DIRECTION('center_axis',(-0.766044443118987,-0.642787609686529, 0.)); #105197=DIRECTION('ref_axis',(0.642787609686529,-0.766044443118987,0.)); #105198=DIRECTION('',(0.642787609686529,-0.766044443118987,0.)); #105199=DIRECTION('',(0.,0.,1.)); #105200=DIRECTION('',(0.642787609686529,-0.766044443118987,0.)); #105201=DIRECTION('',(0.,0.,1.)); #105202=DIRECTION('center_axis',(0.,0.,1.)); #105203=DIRECTION('ref_axis',(1.,0.,0.)); #105204=DIRECTION('center_axis',(-0.642787609686532,0.766044443118985,0.)); #105205=DIRECTION('ref_axis',(-0.766044443118985,-0.642787609686531,0.)); #105206=DIRECTION('',(-0.766044443118985,-0.642787609686531,0.)); #105207=DIRECTION('',(0.,0.,1.)); #105208=DIRECTION('',(-0.766044443118985,-0.642787609686531,0.)); #105209=DIRECTION('',(0.,0.,1.)); #105210=DIRECTION('center_axis',(0.766044443118978,0.64278760968654,0.)); #105211=DIRECTION('ref_axis',(-0.64278760968654,0.766044443118978,0.)); #105212=DIRECTION('',(-0.64278760968654,0.766044443118978,0.)); #105213=DIRECTION('',(0.,0.,1.)); #105214=DIRECTION('',(-0.64278760968654,0.766044443118978,0.)); #105215=DIRECTION('center_axis',(0.642787609686532,-0.766044443118985,0.)); #105216=DIRECTION('ref_axis',(0.766044443118985,0.642787609686531,0.)); #105217=DIRECTION('',(0.766044443118985,0.642787609686531,0.)); #105218=DIRECTION('',(0.,0.,1.)); #105219=DIRECTION('',(0.766044443118985,0.642787609686531,0.)); #105220=DIRECTION('center_axis',(-0.766044443118978,-0.64278760968654,0.)); #105221=DIRECTION('ref_axis',(0.64278760968654,-0.766044443118978,0.)); #105222=DIRECTION('',(0.64278760968654,-0.766044443118978,0.)); #105223=DIRECTION('',(0.64278760968654,-0.766044443118978,0.)); #105224=DIRECTION('center_axis',(0.,0.,1.)); #105225=DIRECTION('ref_axis',(1.,0.,0.)); #105226=DIRECTION('center_axis',(0.,0.,1.)); #105227=DIRECTION('ref_axis',(1.,0.,0.)); #105228=DIRECTION('',(7.53835631801336E-16,1.,0.)); #105229=DIRECTION('center_axis',(0.,0.,-1.)); #105230=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #105231=DIRECTION('',(-1.,7.53835631801336E-16,0.)); #105232=DIRECTION('center_axis',(0.,0.,-1.)); #105233=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #105234=DIRECTION('',(-5.3397575096902E-15,-1.,0.)); #105235=DIRECTION('center_axis',(0.,0.,-1.)); #105236=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #105237=DIRECTION('',(1.,-7.53835631801336E-16,0.)); #105238=DIRECTION('center_axis',(0.,0.,-1.)); #105239=DIRECTION('ref_axis',(0.668141650761502,-0.744034094996792,0.)); #105240=DIRECTION('',(-0.891006524188368,0.453990499739546,0.)); #105241=DIRECTION('center_axis',(0.,0.,1.)); #105242=DIRECTION('ref_axis',(0.963743610778185,0.266830007091081,0.)); #105243=DIRECTION('center_axis',(0.,0.,1.)); #105244=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,0.)); #105245=DIRECTION('',(0.694658370458997,-0.719339800338652,0.)); #105246=DIRECTION('',(-0.694658370458996,0.719339800338652,0.)); #105247=DIRECTION('center_axis',(0.,0.,1.)); #105248=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,0.)); #105249=DIRECTION('',(0.390731128489271,-0.920504853452441,0.)); #105250=DIRECTION('',(-0.390731128489272,0.920504853452441,0.)); #105251=DIRECTION('center_axis',(0.,0.,1.)); #105252=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,0.)); #105253=DIRECTION('',(-9.07611467346921E-16,-1.,0.)); #105254=DIRECTION('',(7.84027867633538E-16,1.,0.)); #105255=DIRECTION('center_axis',(0.,0.,1.)); #105256=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,0.)); #105257=DIRECTION('',(-0.390731128489272,-0.920504853452441,0.)); #105258=DIRECTION('',(0.390731128489271,0.920504853452441,0.)); #105259=DIRECTION('center_axis',(0.,0.,1.)); #105260=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,0.)); #105261=DIRECTION('',(-0.694658370458996,-0.719339800338652,0.)); #105262=DIRECTION('',(0.694658370458997,0.719339800338652,0.)); #105263=DIRECTION('center_axis',(0.,0.,1.)); #105264=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,0.)); #105265=DIRECTION('center_axis',(0.,0.,1.)); #105266=DIRECTION('ref_axis',(-0.963743610778185,0.266830007091081,0.)); #105267=DIRECTION('',(-0.891006524188368,-0.453990499739547,0.)); #105268=DIRECTION('center_axis',(0.,0.,-1.)); #105269=DIRECTION('ref_axis',(-0.668141650761499,-0.744034094996795,0.)); #105270=DIRECTION('',(1.,-7.53835631801336E-16,0.)); #105271=DIRECTION('center_axis',(0.,0.,-1.)); #105272=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #105273=DIRECTION('',(7.53835631801336E-16,1.,0.)); #105274=DIRECTION('center_axis',(0.,0.,-1.)); #105275=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #105276=DIRECTION('',(-1.,7.53835631801336E-16,0.)); #105277=DIRECTION('center_axis',(0.,0.,-1.)); #105278=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #105279=DIRECTION('',(-7.53835631801336E-16,-1.,0.)); #105280=DIRECTION('center_axis',(0.,0.,-1.)); #105281=DIRECTION('ref_axis',(-0.668141650761499,-0.744034094996795,0.)); #105282=DIRECTION('center_axis',(0.,0.,-1.)); #105283=DIRECTION('ref_axis',(-0.114268593842611,-0.993449892275012,0.)); #105284=DIRECTION('center_axis',(0.,0.,-1.)); #105285=DIRECTION('ref_axis',(-0.370885806380862,-0.928678479682402,0.)); #105286=DIRECTION('',(0.,-1.,0.)); #105287=DIRECTION('center_axis',(0.,0.,-1.)); #105288=DIRECTION('ref_axis',(0.928678479682402,0.370885806380862,0.)); #105289=DIRECTION('center_axis',(0.,0.,-1.)); #105290=DIRECTION('ref_axis',(0.114268593842611,-0.993449892275012,0.)); #105291=DIRECTION('center_axis',(0.,0.,-1.)); #105292=DIRECTION('ref_axis',(0.668141650761502,-0.744034094996792,0.)); #105293=DIRECTION('center_axis',(0.,0.,1.)); #105294=DIRECTION('ref_axis',(1.,0.,0.)); #105295=DIRECTION('center_axis',(0.,0.,1.)); #105296=DIRECTION('ref_axis',(1.,0.,0.)); #105297=DIRECTION('center_axis',(0.,0.,1.)); #105298=DIRECTION('ref_axis',(1.,0.,0.)); #105299=DIRECTION('center_axis',(0.,0.,1.)); #105300=DIRECTION('ref_axis',(1.,0.,0.)); #105301=DIRECTION('center_axis',(0.,0.,1.)); #105302=DIRECTION('ref_axis',(1.,0.,0.)); #105303=DIRECTION('center_axis',(0.,0.,1.)); #105304=DIRECTION('ref_axis',(1.,0.,0.)); #105305=DIRECTION('center_axis',(0.,0.,1.)); #105306=DIRECTION('ref_axis',(1.,0.,0.)); #105307=DIRECTION('center_axis',(0.,0.,1.)); #105308=DIRECTION('ref_axis',(1.,0.,0.)); #105309=DIRECTION('center_axis',(0.,0.,1.)); #105310=DIRECTION('ref_axis',(1.,0.,0.)); #105311=DIRECTION('center_axis',(0.,0.,1.)); #105312=DIRECTION('ref_axis',(1.,0.,0.)); #105313=DIRECTION('center_axis',(0.,0.,1.)); #105314=DIRECTION('ref_axis',(1.,0.,0.)); #105315=DIRECTION('center_axis',(0.,0.,1.)); #105316=DIRECTION('ref_axis',(1.,0.,0.)); #105317=DIRECTION('center_axis',(0.,0.,1.)); #105318=DIRECTION('ref_axis',(1.,0.,0.)); #105319=DIRECTION('center_axis',(0.,0.,1.)); #105320=DIRECTION('ref_axis',(1.,0.,0.)); #105321=DIRECTION('center_axis',(0.,0.,1.)); #105322=DIRECTION('ref_axis',(1.,0.,0.)); #105323=DIRECTION('center_axis',(0.,0.,1.)); #105324=DIRECTION('ref_axis',(1.,0.,0.)); #105325=DIRECTION('center_axis',(0.,0.,1.)); #105326=DIRECTION('ref_axis',(1.,0.,0.)); #105327=DIRECTION('center_axis',(0.,0.,1.)); #105328=DIRECTION('ref_axis',(1.,0.,0.)); #105329=DIRECTION('center_axis',(0.,0.,1.)); #105330=DIRECTION('ref_axis',(1.,0.,0.)); #105331=DIRECTION('center_axis',(0.,0.,1.)); #105332=DIRECTION('ref_axis',(1.,0.,0.)); #105333=DIRECTION('center_axis',(0.,0.,1.)); #105334=DIRECTION('ref_axis',(1.,0.,0.)); #105335=DIRECTION('center_axis',(0.,0.,1.)); #105336=DIRECTION('ref_axis',(1.,0.,0.)); #105337=DIRECTION('center_axis',(0.,0.,1.)); #105338=DIRECTION('ref_axis',(1.,0.,0.)); #105339=DIRECTION('center_axis',(0.,0.,1.)); #105340=DIRECTION('ref_axis',(1.,0.,0.)); #105341=DIRECTION('center_axis',(0.,0.,1.)); #105342=DIRECTION('ref_axis',(1.,0.,0.)); #105343=DIRECTION('center_axis',(0.,0.,1.)); #105344=DIRECTION('ref_axis',(1.,0.,0.)); #105345=DIRECTION('center_axis',(0.,0.,1.)); #105346=DIRECTION('ref_axis',(1.,0.,0.)); #105347=DIRECTION('center_axis',(0.,0.,1.)); #105348=DIRECTION('ref_axis',(1.,0.,0.)); #105349=DIRECTION('center_axis',(0.,0.,1.)); #105350=DIRECTION('ref_axis',(1.,0.,0.)); #105351=DIRECTION('center_axis',(0.,0.,1.)); #105352=DIRECTION('ref_axis',(1.,0.,0.)); #105353=DIRECTION('center_axis',(0.,0.,1.)); #105354=DIRECTION('ref_axis',(1.,0.,0.)); #105355=DIRECTION('center_axis',(0.,0.,1.)); #105356=DIRECTION('ref_axis',(1.,0.,0.)); #105357=DIRECTION('center_axis',(0.,0.,1.)); #105358=DIRECTION('ref_axis',(1.,0.,0.)); #105359=DIRECTION('center_axis',(0.,0.,1.)); #105360=DIRECTION('ref_axis',(1.,0.,0.)); #105361=DIRECTION('center_axis',(0.,0.,1.)); #105362=DIRECTION('ref_axis',(1.,0.,0.)); #105363=DIRECTION('center_axis',(-1.92937129134369E-31,8.00202823815741E-30, -1.)); #105364=DIRECTION('ref_axis',(-1.,0.,0.)); #105365=DIRECTION('center_axis',(1.92937129134369E-31,-8.00202823815741E-30, 1.)); #105366=DIRECTION('ref_axis',(1.,8.68305673063098E-31,-1.92937129134369E-31)); #105367=DIRECTION('center_axis',(0.,-1.,-8.00202823815741E-30)); #105368=DIRECTION('ref_axis',(1.,0.,0.)); #105369=DIRECTION('center_axis',(1.92937129134369E-31,-8.00202823815741E-30, 1.)); #105370=DIRECTION('ref_axis',(1.,0.,-1.92937129134369E-31)); #105371=DIRECTION('',(3.13850472316056E-16,1.,8.00202823815741E-30)); #105372=DIRECTION('center_axis',(-1.92937129134369E-31,8.00202823815741E-30, -1.)); #105373=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,-5.52186127809378E-30)); #105374=DIRECTION('',(-1.,9.51423844782233E-16,1.92937129134376E-31)); #105375=DIRECTION('center_axis',(-1.92937129134369E-31,8.00202823815741E-30, -1.)); #105376=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,-5.79471558280092E-30)); #105377=DIRECTION('',(-1.13712606322255E-15,-1.,-8.00202823815741E-30)); #105378=DIRECTION('center_axis',(0.442934671042262,0.89655388972927,6.86410415265519E-11)); #105379=DIRECTION('ref_axis',(-0.153777476244283,0.0759724279450896,0.985180530660278)); #105380=DIRECTION('center_axis',(0.745389255678657,0.666629475435056,0.)); #105381=DIRECTION('ref_axis',(-0.172233975058984,0.192582775293589,0.966047272391781)); #105382=DIRECTION('center_axis',(-0.0328066403075194,0.999461717301735, 0.)); #105383=DIRECTION('ref_axis',(-0.860464942445454,-0.0282441672105748,0.508726203218316)); #105384=DIRECTION('center_axis',(-0.048293420402126,0.998833192052539,0.)); #105385=DIRECTION('ref_axis',(-0.867759906748594,-0.0419560486357117,0.495209485190408)); #105386=DIRECTION('center_axis',(-3.13850472316056E-16,-1.,-8.00202823815741E-30)); #105387=DIRECTION('ref_axis',(-0.700909264299851,0.,0.713250449154182)); #105388=DIRECTION('center_axis',(0.,-1.,0.)); #105389=DIRECTION('ref_axis',(0.,0.,1.)); #105390=DIRECTION('',(-3.13850472316056E-16,-1.,-8.00217233867368E-30)); #105391=DIRECTION('center_axis',(0.,1.,0.)); #105392=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372825)); #105393=DIRECTION('center_axis',(1.92937129134369E-31,-8.00202823815741E-30, 1.)); #105394=DIRECTION('ref_axis',(1.,0.,0.)); #105395=DIRECTION('center_axis',(-1.,-4.37162260916055E-15,0.)); #105396=DIRECTION('ref_axis',(4.37095678986275E-15,-0.999847695156391,0.0174524064372822)); #105397=DIRECTION('center_axis',(0.,0.,1.)); #105398=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #105399=DIRECTION('center_axis',(1.,-9.51423844782233E-16,-1.92937129134376E-31)); #105400=DIRECTION('ref_axis',(0.,-0.700909264299849,0.713250449154184)); #105401=DIRECTION('center_axis',(-1.,1.45720753638687E-15,0.)); #105402=DIRECTION('ref_axis',(-1.45698559662093E-15,-0.999847695156391, 0.0174524064372824)); #105403=DIRECTION('',(1.,-9.51423844782233E-16,-1.92878363091335E-31)); #105404=DIRECTION('center_axis',(1.92937129134369E-31,-8.00202823815741E-30, 1.)); #105405=DIRECTION('ref_axis',(1.,0.,0.)); #105406=DIRECTION('center_axis',(0.,-1.,0.)); #105407=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372826)); #105408=DIRECTION('center_axis',(0.,0.,1.)); #105409=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #105410=DIRECTION('center_axis',(1.13712606322255E-15,1.,8.00202823815741E-30)); #105411=DIRECTION('ref_axis',(0.700909264299851,0.,0.713250449154182)); #105412=DIRECTION('center_axis',(0.,-1.,0.)); #105413=DIRECTION('ref_axis',(0.999847695156409,0.,0.0174524064362451)); #105414=DIRECTION('',(1.13712606322255E-15,1.,8.00303280874495E-30)); #105415=DIRECTION('center_axis',(0.0328066403123455,0.999461717301577,0.)); #105416=DIRECTION('ref_axis',(0.,0.,1.)); #105417=DIRECTION('center_axis',(0.74538925567961,-0.66662947543399,0.)); #105418=DIRECTION('ref_axis',(0.172233975058717,0.192582775293845,0.966047272391778)); #105419=DIRECTION('center_axis',(0.442934670688144,-0.896553889904219,-2.9740768184552E-15)); #105420=DIRECTION('ref_axis',(0.153777476244212,0.0759724279449146,0.985180530660303)); #105421=DIRECTION('center_axis',(0.0482932533657461,0.998833200128706,0.)); #105422=DIRECTION('ref_axis',(0.,0.,1.)); #105423=DIRECTION('center_axis',(0.800880508772232,0.598362804810454,0.0235024357907423)); #105424=DIRECTION('ref_axis',(0.598765603331448,-0.800734141757846,-0.0174524064372826)); #105425=DIRECTION('center_axis',(0.0015197207474558,-0.996201496144325, -0.0870647433158743)); #105426=DIRECTION('ref_axis',(-0.999847695156391,8.15911934107722E-14,-0.0174524064372824)); #105427=DIRECTION('center_axis',(-0.0181800007320435,0.996124582492608, 0.0860540744375895)); #105428=DIRECTION('ref_axis',(0.0599232076148454,0.0869991230039497,-0.994404526229488)); #105429=DIRECTION('center_axis',(0.0015197188989414,-0.996201505402973, -0.0870646374099116)); #105430=DIRECTION('ref_axis',(-0.999847695156391,0.,-0.0174524064372797)); #105431=DIRECTION('center_axis',(-0.999125167513785,-0.000729856979118293, -0.0418134780823195)); #105432=DIRECTION('ref_axis',(-4.31996229398112E-12,0.999847695156391,-0.0174524064372826)); #105433=DIRECTION('center_axis',(-0.999125167513805,0.000729856974796506, 0.0418134780819236)); #105434=DIRECTION('ref_axis',(-2.91397119324189E-15,0.999847695156389,-0.0174524064373992)); #105435=DIRECTION('center_axis',(-1.02734659358881E-15,-0.999853471384223, 0.0171182873243717)); #105436=DIRECTION('ref_axis',(7.5887661925147E-15,-0.0171182873243717,-0.999853471384222)); #105437=DIRECTION('center_axis',(9.51278938322348E-16,0.999847695156391, -0.0174524064372835)); #105438=DIRECTION('ref_axis',(7.58850008850732E-15,-0.0174524064372835, -0.999847695156391)); #105439=DIRECTION('center_axis',(0.00151971889896493,0.996201505402972, 0.0870646374099152)); #105440=DIRECTION('ref_axis',(0.999847695156391,-2.3311769545935E-14,-0.0174524064372825)); #105441=DIRECTION('center_axis',(0.00151972074753728,0.996201496144324, 0.0870647433158851)); #105442=DIRECTION('ref_axis',(0.999847695156391,0.,-0.0174524064372825)); #105443=DIRECTION('center_axis',(0.0181800007339507,0.996124582492583,0.0860540744374825)); #105444=DIRECTION('ref_axis',(0.999707588708851,-0.0167376995878376,-0.0174524064369912)); #105445=DIRECTION('center_axis',(-0.800880508772237,0.598362804810446,0.0235024357907662)); #105446=DIRECTION('ref_axis',(0.035859618739887,0.0870996874024141,-0.995553982563593)); #105447=DIRECTION('center_axis',(-0.999847695156391,3.13802671368953E-16, 0.0174524064372835)); #105448=DIRECTION('ref_axis',(0.0174524064372835,-5.4774460033932E-18,0.999847695156391)); #105449=DIRECTION('',(-0.0174524064372835,-1.21874765936521E-33,-0.999847695156391)); #105450=DIRECTION('center_axis',(0.,0.,-1.)); #105451=DIRECTION('ref_axis',(1.0363118617151E-15,1.,0.)); #105452=DIRECTION('',(-1.66046356332625E-17,-0.0174524064372835,-0.999847695156391)); #105453=DIRECTION('center_axis',(-9.51278938322348E-16,-0.999847695156391, 0.0174524064372835)); #105454=DIRECTION('ref_axis',(-1.66046356332625E-17,-0.0174524064372835, -0.999847695156391)); #105455=DIRECTION('',(-1.66046356332625E-17,-0.0174524064372835,-0.999847695156391)); #105456=DIRECTION('center_axis',(0.,0.,-1.)); #105457=DIRECTION('ref_axis',(-1.,7.25488267769304E-16,0.)); #105458=DIRECTION('',(0.0174524064372835,-1.21874765936521E-33,-0.999847695156391)); #105459=DIRECTION('center_axis',(0.999847695156391,-1.13695287341533E-15, 0.0174524064372835)); #105460=DIRECTION('ref_axis',(0.0174524064372835,-1.98455862257881E-17, -0.999847695156391)); #105461=DIRECTION('center_axis',(0.,0.,1.)); #105462=DIRECTION('ref_axis',(1.,0.,0.)); #105463=DIRECTION('center_axis',(0.949549326169058,0.313617724581837,-9.37594197180706E-13)); #105464=DIRECTION('ref_axis',(-0.186594796646879,0.564958385753531,0.803743991723157)); #105465=DIRECTION('center_axis',(6.25593041365344E-18,9.11746776111621E-21, 1.)); #105466=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,4.42361551610742E-18)); #105467=DIRECTION('center_axis',(-0.310848629107535,-0.950459430897483, 3.68601589669848E-12)); #105468=DIRECTION('ref_axis',(0.950314671322523,-0.310801285355631,0.01745240643728)); #105469=DIRECTION('center_axis',(0.,0.,1.)); #105470=DIRECTION('ref_axis',(1.,0.,0.)); #105471=DIRECTION('center_axis',(-2.45743041893642E-19,-1.25285063179649E-18, 1.)); #105472=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,0.)); #105473=DIRECTION('center_axis',(-0.0712301371026602,-0.997459907749848, -1.03305876763516E-11)); #105474=DIRECTION('ref_axis',(0.99730798977458,-0.0712192884079484,0.0174524064372758)); #105475=DIRECTION('center_axis',(0.,0.,1.)); #105476=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #105477=DIRECTION('center_axis',(0.402364819513852,-0.915479411028771,1.41072159155125E-11)); #105478=DIRECTION('ref_axis',(0.915339979080148,0.402303537403168,0.0174524064372821)); #105479=DIRECTION('center_axis',(0.,0.,1.)); #105480=DIRECTION('ref_axis',(1.,0.,0.)); #105481=DIRECTION('center_axis',(0.402364819513854,0.91547941102877,-1.39697716048753E-11)); #105482=DIRECTION('ref_axis',(-0.915339979080148,0.402303537403168,0.0174524064372914)); #105483=DIRECTION('center_axis',(0.,0.,1.)); #105484=DIRECTION('ref_axis',(1.,0.,0.)); #105485=DIRECTION('center_axis',(-0.0712301371027175,0.997459907749844, 1.04660900635334E-11)); #105486=DIRECTION('ref_axis',(-0.997307989774576,-0.071219288408008,0.0174524064372817)); #105487=DIRECTION('center_axis',(0.,0.,-1.)); #105488=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #105489=DIRECTION('center_axis',(0.,0.,1.)); #105490=DIRECTION('ref_axis',(1.,0.,0.)); #105491=DIRECTION('center_axis',(-0.310848629107435,0.950459430897515,-3.97375605137658E-12)); #105492=DIRECTION('ref_axis',(-0.950314671322557,-0.310801285355527,0.0174524064372868)); #105493=DIRECTION('center_axis',(0.,0.,-1.)); #105494=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,0.)); #105495=DIRECTION('center_axis',(0.,0.,1.)); #105496=DIRECTION('ref_axis',(1.,0.,0.)); #105497=DIRECTION('center_axis',(6.25593041365345E-18,-9.11746776112999E-21, 1.)); #105498=DIRECTION('ref_axis',(0.708136575003193,0.706075485442418,-4.42361551610742E-18)); #105499=DIRECTION('center_axis',(-0.949549326169511,0.313617724580463,1.71007973882367E-12)); #105500=DIRECTION('ref_axis',(-0.313569959081939,-0.9494047052079,0.0174524064372845)); #105501=DIRECTION('center_axis',(0.,0.,-1.)); #105502=DIRECTION('ref_axis',(0.708136575003193,0.706075485442418,0.)); #105503=DIRECTION('center_axis',(0.,0.,1.)); #105504=DIRECTION('ref_axis',(1.,0.,0.)); #105505=DIRECTION('center_axis',(2.41673309330793E-18,-2.33674340539501E-33, 1.)); #105506=DIRECTION('ref_axis',(9.66901728563068E-16,1.,0.)); #105507=DIRECTION('center_axis',(0.,0.,-1.)); #105508=DIRECTION('ref_axis',(9.66657229283109E-16,1.,0.)); #105509=DIRECTION('center_axis',(0.,0.,1.)); #105510=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029172,0.)); #105511=DIRECTION('',(-0.0165878042901727,0.00542505661565516,0.999847695156391)); #105512=DIRECTION('center_axis',(0.,0.,1.)); #105513=DIRECTION('ref_axis',(-0.950459430897519,0.310848629107424,0.)); #105514=DIRECTION('',(-0.00547338399531512,0.0165719207725587,0.999847695156391)); #105515=DIRECTION('center_axis',(0.,0.,1.)); #105516=DIRECTION('ref_axis',(-1.,1.4470566153126E-15,0.)); #105517=DIRECTION('center_axis',(-0.0712301371029242,0.99745990774983,0.)); #105518=DIRECTION('ref_axis',(-0.620165822638109,-0.0442869896121574,-0.783219646703714)); #105519=DIRECTION('center_axis',(-0.310848629107437,0.950459430897515,0.)); #105520=DIRECTION('ref_axis',(-0.590943505866245,-0.19326861589979,-0.783219646703714)); #105521=DIRECTION('center_axis',(-0.949549326169494,0.313617724580515,0.)); #105522=DIRECTION('ref_axis',(-0.194990287476444,-0.590377652699662,-0.783219646703714)); #105523=DIRECTION('center_axis',(0.,0.,1.)); #105524=DIRECTION('ref_axis',(1.,0.,0.)); #105525=DIRECTION('center_axis',(-7.53835631801336E-16,-1.,-2.096487410377E-31)); #105526=DIRECTION('ref_axis',(0.70090926429985,0.,-0.713250449154183)); #105527=DIRECTION('center_axis',(0.,-1.,0.)); #105528=DIRECTION('ref_axis',(0.,0.,-1.)); #105529=DIRECTION('',(-7.53835631801336E-16,-1.,-2.0958488486344E-31)); #105530=DIRECTION('center_axis',(0.,1.,0.)); #105531=DIRECTION('ref_axis',(0.999847695156391,0.,-0.0174524064372856)); #105532=DIRECTION('',(7.53835631801336E-16,1.,2.096487410377E-31)); #105533=DIRECTION('center_axis',(-7.53835631801336E-16,-1.,-2.096487410377E-31)); #105534=DIRECTION('ref_axis',(0.70090926429985,0.,-0.713250449154183)); #105535=DIRECTION('center_axis',(0.,-1.,0.)); #105536=DIRECTION('ref_axis',(0.,0.,-1.)); #105537=DIRECTION('',(-7.53835631801336E-16,-1.,-2.0958488486344E-31)); #105538=DIRECTION('center_axis',(0.,1.,0.)); #105539=DIRECTION('ref_axis',(0.999847695156391,0.,-0.0174524064372768)); #105540=DIRECTION('',(7.53835631801336E-16,1.,2.096487410377E-31)); #105541=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037699E-31, 1.)); #105542=DIRECTION('ref_axis',(1.,0.,0.)); #105543=DIRECTION('center_axis',(-1.,0.,0.)); #105544=DIRECTION('ref_axis',(0.,0.999847695156391,-0.0174524064372858)); #105545=DIRECTION('center_axis',(1.56893524633284E-30,2.09648741037699E-31, -1.)); #105546=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-1.25764879837952E-30)); #105547=DIRECTION('center_axis',(0.,0.,1.)); #105548=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #105549=DIRECTION('center_axis',(1.,-1.51727527394059E-15,1.56893524633284E-30)); #105550=DIRECTION('ref_axis',(0.,0.700909264299853,-0.71325044915418)); #105551=DIRECTION('center_axis',(-1.,0.,0.)); #105552=DIRECTION('ref_axis',(0.,0.999847695156391,-0.0174524064372854)); #105553=DIRECTION('',(-1.,1.51727527394059E-15,-1.56893524633284E-30)); #105554=DIRECTION('',(1.,-1.51727527394059E-15,1.56845736985242E-30)); #105555=DIRECTION('center_axis',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #105556=DIRECTION('ref_axis',(0.,0.70090926429985,-0.713250449154183)); #105557=DIRECTION('center_axis',(1.,0.,0.)); #105558=DIRECTION('ref_axis',(0.,0.,-1.)); #105559=DIRECTION('',(1.,-7.53835631801336E-16,1.56845736985242E-30)); #105560=DIRECTION('center_axis',(-1.,0.,0.)); #105561=DIRECTION('ref_axis',(0.,0.999847695156391,-0.0174524064372856)); #105562=DIRECTION('',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105563=DIRECTION('center_axis',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105564=DIRECTION('ref_axis',(0.,-0.700909264299853,-0.71325044915418)); #105565=DIRECTION('center_axis',(-1.,0.,0.)); #105566=DIRECTION('ref_axis',(0.,0.,-1.)); #105567=DIRECTION('',(-1.,7.53835631801336E-16,-1.56845736985242E-30)); #105568=DIRECTION('center_axis',(1.,0.,0.)); #105569=DIRECTION('ref_axis',(0.,-0.999847695156391,-0.0174524064372846)); #105570=DIRECTION('',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #105571=DIRECTION('center_axis',(7.53835631801336E-16,1.,2.096487410377E-31)); #105572=DIRECTION('ref_axis',(-0.70090926429985,0.,-0.713250449154183)); #105573=DIRECTION('center_axis',(0.,1.,0.)); #105574=DIRECTION('ref_axis',(0.,0.,-1.)); #105575=DIRECTION('',(7.53835631801336E-16,1.,2.0958488486344E-31)); #105576=DIRECTION('center_axis',(0.,-1.,0.)); #105577=DIRECTION('ref_axis',(-0.999847695156391,0.,-0.0174524064372856)); #105578=DIRECTION('',(-7.53835631801336E-16,-1.,-2.096487410377E-31)); #105579=DIRECTION('center_axis',(1.56893524633284E-30,2.09648741037699E-31, -1.)); #105580=DIRECTION('ref_axis',(-1.,0.,0.)); #105581=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037699E-31, 1.)); #105582=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-1.25764879837952E-30)); #105583=DIRECTION('center_axis',(0.,0.,-1.)); #105584=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #105585=DIRECTION('center_axis',(-0.396467732860952,-0.821225140003805, -0.410368866053248)); #105586=DIRECTION('ref_axis',(-0.570604301969177,0.570604301969177,-0.590611091284727)); #105587=DIRECTION('center_axis',(0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #105588=DIRECTION('ref_axis',(-0.706891438803878,0.706891438803896,-0.0246776719230434)); #105589=DIRECTION('center_axis',(0.396467732860952,-0.821225140003806,-0.410368866053245)); #105590=DIRECTION('ref_axis',(0.570604301969178,0.570604301969178,-0.590611091284725)); #105591=DIRECTION('center_axis',(-0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #105592=DIRECTION('ref_axis',(0.706891438803878,0.706891438803895,-0.0246776719230477)); #105593=DIRECTION('center_axis',(0.396467732860952,-0.821225140003805,-0.410368866053248)); #105594=DIRECTION('ref_axis',(0.570604301969177,0.570604301969177,-0.590611091284727)); #105595=DIRECTION('center_axis',(-0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #105596=DIRECTION('ref_axis',(0.706891438803885,0.706891438803889,-0.0246776719230473)); #105597=DIRECTION('center_axis',(-0.396467732860952,-0.821225140003805, 0.410368866053248)); #105598=DIRECTION('ref_axis',(0.570604301969177,-0.570604301969177,-0.590611091284727)); #105599=DIRECTION('center_axis',(-0.0174497491606827,0.0174497491606827, -0.999695459881888)); #105600=DIRECTION('ref_axis',(0.706891438803885,-0.706891438803889,-0.0246776719230473)); #105601=DIRECTION('center_axis',(0.,0.,-1.)); #105602=DIRECTION('ref_axis',(-7.53835631801336E-16,-1.,-2.096487410377E-31)); #105603=DIRECTION('',(0.0174524064372841,0.,0.999847695156391)); #105604=DIRECTION('center_axis',(0.,0.,-1.)); #105605=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #105606=DIRECTION('',(0.,0.0174524064372835,0.999847695156391)); #105607=DIRECTION('center_axis',(0.999847695156391,-7.53720818983328E-16, -0.0174524064372835)); #105608=DIRECTION('ref_axis',(-7.53835631801336E-16,-1.,-2.09616810518984E-31)); #105609=DIRECTION('',(-0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #105610=DIRECTION('',(7.53835631801336E-16,1.,0.)); #105611=DIRECTION('center_axis',(0.0174497491606827,0.0174497491606827, 0.999695459881888)); #105612=DIRECTION('ref_axis',(0.706891438803887,0.706891438803887,-0.0246776719230466)); #105613=DIRECTION('',(0.0174497491606827,0.0174497491606827,0.999695459881887)); #105614=DIRECTION('center_axis',(7.53720818983328E-16,0.999847695156391, -0.0174524064372835)); #105615=DIRECTION('ref_axis',(1.,-7.53835631801336E-16,1.56869628989552E-30)); #105616=DIRECTION('',(0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #105617=DIRECTION('',(-1.,7.53835631801336E-16,0.)); #105618=DIRECTION('center_axis',(-0.0174497491606827,0.0174497491606827, 0.999695459881888)); #105619=DIRECTION('ref_axis',(-0.706891438803887,0.706891438803887,-0.0246776719230458)); #105620=DIRECTION('',(-0.0174497491606827,0.0174497491606827,0.999695459881887)); #105621=DIRECTION('center_axis',(0.0174285216494536,-0.0174285216494536, 0.999696200486042)); #105622=DIRECTION('ref_axis',(-0.706891962490124,0.706891962490089,0.0246476516887704)); #105623=DIRECTION('center_axis',(-0.999847695156391,7.53720818983328E-16, -0.0174524064372835)); #105624=DIRECTION('ref_axis',(7.53835631801336E-16,1.,2.09616810518984E-31)); #105625=DIRECTION('',(-0.0174524064372819,-1.82523410996612E-16,0.999847695156391)); #105626=DIRECTION('',(-7.53835631801336E-16,-1.,0.)); #105627=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037699E-31, 1.)); #105628=DIRECTION('ref_axis',(1.,0.,1.56893524633284E-30)); #105629=DIRECTION('center_axis',(7.53835631801336E-16,1.,2.096487410377E-31)); #105630=DIRECTION('ref_axis',(-0.700909264299859,0.,-0.713250449154173)); #105631=DIRECTION('center_axis',(0.,1.,0.)); #105632=DIRECTION('ref_axis',(0.,0.,-1.)); #105633=DIRECTION('',(7.53835631801336E-16,1.,2.09584884863439E-31)); #105634=DIRECTION('center_axis',(0.,-1.,0.)); #105635=DIRECTION('ref_axis',(-0.999847695156391,0.,-0.0174524064372852)); #105636=DIRECTION('',(-7.53835631801336E-16,-1.,-2.096487410377E-31)); #105637=DIRECTION('center_axis',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105638=DIRECTION('ref_axis',(0.,-0.70090926429985,-0.713250449154183)); #105639=DIRECTION('center_axis',(-1.,0.,0.)); #105640=DIRECTION('ref_axis',(0.,0.,-1.)); #105641=DIRECTION('',(-1.,7.53835631801336E-16,-1.56845736985241E-30)); #105642=DIRECTION('center_axis',(1.,0.,0.)); #105643=DIRECTION('ref_axis',(0.,-0.999847695156391,-0.0174524064372854)); #105644=DIRECTION('',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #105645=DIRECTION('center_axis',(1.56893524633284E-30,2.09648741037698E-31, -1.)); #105646=DIRECTION('ref_axis',(-1.,0.,0.)); #105647=DIRECTION('center_axis',(-8.74324521832223E-15,-1.,0.)); #105648=DIRECTION('ref_axis',(0.,0.,-1.)); #105649=DIRECTION('center_axis',(0.,0.,-1.)); #105650=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #105651=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037698E-31, 1.)); #105652=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,9.61160705469558E-31)); #105653=DIRECTION('center_axis',(-5.3397575096902E-15,-1.,-2.09648741037707E-31)); #105654=DIRECTION('ref_axis',(0.700909264299847,-1.22564431471335E-14,-0.713250449154186)); #105655=DIRECTION('center_axis',(-8.74324521832131E-15,-1.,0.)); #105656=DIRECTION('ref_axis',(0.,0.,-1.)); #105657=DIRECTION('',(-5.3397575096902E-15,-1.,-2.09584884863446E-31)); #105658=DIRECTION('',(5.3397575096902E-15,1.,2.09648741037707E-31)); #105659=DIRECTION('center_axis',(0.396467732860951,-0.821225140003803,0.410368866053252)); #105660=DIRECTION('ref_axis',(-0.570604301969162,-0.570604301969184,-0.590611091284736)); #105661=DIRECTION('center_axis',(0.0174497491606827,0.0174497491606827, -0.999695459881888)); #105662=DIRECTION('ref_axis',(-0.706891438803885,-0.706891438803889,-0.0246776719230473)); #105663=DIRECTION('center_axis',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #105664=DIRECTION('ref_axis',(0.,0.700909264299847,-0.713250449154186)); #105665=DIRECTION('center_axis',(1.,0.,0.)); #105666=DIRECTION('ref_axis',(0.,0.,-1.)); #105667=DIRECTION('',(1.,-7.53835631801336E-16,1.56845736985241E-30)); #105668=DIRECTION('center_axis',(-1.,0.,0.)); #105669=DIRECTION('ref_axis',(0.,0.999847695156391,-0.0174524064372854)); #105670=DIRECTION('',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105671=DIRECTION('center_axis',(0.396467732860952,-0.821225140003805,-0.410368866053248)); #105672=DIRECTION('ref_axis',(0.570604301969177,0.570604301969177,-0.590611091284727)); #105673=DIRECTION('center_axis',(-0.0174497491606828,-0.0174497491606827, -0.999695459881888)); #105674=DIRECTION('ref_axis',(0.706891438803878,0.706891438803895,-0.0246776719230477)); #105675=DIRECTION('center_axis',(-3.83208624608753E-15,1.,2.09648741037692E-31)); #105676=DIRECTION('ref_axis',(-0.700909264299847,0.,-0.713250449154186)); #105677=DIRECTION('center_axis',(0.,1.,0.)); #105678=DIRECTION('ref_axis',(0.,0.,-1.)); #105679=DIRECTION('',(-3.83208624608753E-15,1.,2.09584884863432E-31)); #105680=DIRECTION('center_axis',(0.,-1.,0.)); #105681=DIRECTION('ref_axis',(-0.999847695156391,0.,-0.0174524064372905)); #105682=DIRECTION('',(3.83208624608753E-15,-1.,-2.09648741037692E-31)); #105683=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037698E-31, 1.)); #105684=DIRECTION('ref_axis',(1.,0.,0.)); #105685=DIRECTION('center_axis',(1.,1.74864904366425E-14,0.)); #105686=DIRECTION('ref_axis',(0.,0.,-1.)); #105687=DIRECTION('center_axis',(0.,0.,1.)); #105688=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #105689=DIRECTION('center_axis',(1.56893524633284E-30,2.09648741037698E-31, -1.)); #105690=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,9.6116070546956E-31)); #105691=DIRECTION('center_axis',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #105692=DIRECTION('ref_axis',(0.,0.70090926429985,-0.713250449154183)); #105693=DIRECTION('center_axis',(1.,0.,0.)); #105694=DIRECTION('ref_axis',(0.,0.,-1.)); #105695=DIRECTION('',(1.,-7.53835631801336E-16,1.56845736985241E-30)); #105696=DIRECTION('',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105697=DIRECTION('center_axis',(-0.396467732860952,-0.821225140003806, -0.410368866053245)); #105698=DIRECTION('ref_axis',(-0.570604301969177,0.570604301969177,-0.590611091284728)); #105699=DIRECTION('center_axis',(0.0174497491606828,-0.0174497491606827, -0.999695459881888)); #105700=DIRECTION('ref_axis',(-0.706891438803874,0.7068914388039,-0.0246776719230476)); #105701=DIRECTION('center_axis',(-0.396467732860952,-0.821225140003805, -0.410368866053248)); #105702=DIRECTION('ref_axis',(-0.570604301969177,0.570604301969177,-0.590611091284727)); #105703=DIRECTION('center_axis',(0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #105704=DIRECTION('ref_axis',(-0.706891438803885,0.706891438803889,-0.0246776719230473)); #105705=DIRECTION('center_axis',(0.,0.,1.)); #105706=DIRECTION('ref_axis',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105707=DIRECTION('',(0.0174524064372849,0.,0.999847695156391)); #105708=DIRECTION('center_axis',(0.,0.,1.)); #105709=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #105710=DIRECTION('',(0.,-0.0174524064372835,0.999847695156391)); #105711=DIRECTION('center_axis',(0.999847695156391,-5.33894423875778E-15, -0.0174524064372835)); #105712=DIRECTION('ref_axis',(-5.3397575096902E-15,-1.,-2.09616810518991E-31)); #105713=DIRECTION('',(-0.0174497491606828,-0.0174497491606827,-0.999695459881887)); #105714=DIRECTION('',(5.3397575096902E-15,1.,0.)); #105715=DIRECTION('center_axis',(-0.0174497491606828,-0.0174497491606827, -0.999695459881888)); #105716=DIRECTION('ref_axis',(0.706891438803887,0.706891438803887,-0.0246776719230466)); #105717=DIRECTION('',(0.0174497491606828,0.0174497491606827,0.999695459881887)); #105718=DIRECTION('center_axis',(-0.0174285216494543,-0.0174285216494539, 0.999696200486042)); #105719=DIRECTION('ref_axis',(0.706891962490107,0.706891962490107,0.0246476516887711)); #105720=DIRECTION('center_axis',(7.53720818983328E-16,0.999847695156391, -0.0174524064372835)); #105721=DIRECTION('ref_axis',(1.,-7.53835631801336E-16,1.56869628989552E-30)); #105722=DIRECTION('',(0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #105723=DIRECTION('',(-1.,7.53835631801336E-16,0.)); #105724=DIRECTION('center_axis',(-0.0174497491606828,0.0174497491606827, 0.999695459881888)); #105725=DIRECTION('ref_axis',(-0.706891438803881,0.706891438803893,-0.0246776719230456)); #105726=DIRECTION('',(-0.0174497491606827,0.0174497491606827,0.999695459881887)); #105727=DIRECTION('center_axis',(-0.999847695156391,-3.83150260079112E-15, -0.0174524064372835)); #105728=DIRECTION('ref_axis',(-3.83208624608753E-15,1.,2.09616810518976E-31)); #105729=DIRECTION('',(-0.0174524064372819,-1.82523410996612E-16,0.999847695156391)); #105730=DIRECTION('',(3.83208624608753E-15,-1.,0.)); #105731=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037698E-31, 1.)); #105732=DIRECTION('ref_axis',(1.,0.,1.56893524633284E-30)); #105733=DIRECTION('center_axis',(-0.951056516295154,-0.309016994374946, -1.55693111349995E-30)); #105734=DIRECTION('ref_axis',(0.216592874183512,-0.666604323144011,-0.71325044915418)); #105735=DIRECTION('center_axis',(-0.951056516295156,-0.309016994374939, 0.)); #105736=DIRECTION('ref_axis',(0.,0.,-1.)); #105737=DIRECTION('',(-0.951056516295154,-0.309016994374946,-1.55645689331622E-30)); #105738=DIRECTION('center_axis',(0.951056516295156,0.309016994374939,0.)); #105739=DIRECTION('ref_axis',(0.308969929589938,-0.950911665781179,-0.0174524064372856)); #105740=DIRECTION('',(0.951056516295154,0.309016994374946,1.55693111349995E-30)); #105741=DIRECTION('center_axis',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105742=DIRECTION('ref_axis',(0.,0.700909264299825,-0.713250449154207)); #105743=DIRECTION('center_axis',(-1.,0.,0.)); #105744=DIRECTION('ref_axis',(0.,0.999847695156391,-0.0174524064372818)); #105745=DIRECTION('',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105746=DIRECTION('center_axis',(1.,0.,0.)); #105747=DIRECTION('ref_axis',(0.,0.,-1.)); #105748=DIRECTION('',(1.,-7.53835631801336E-16,1.56845736985242E-30)); #105749=DIRECTION('center_axis',(1.56893524633284E-30,2.09648741037699E-31, -1.)); #105750=DIRECTION('ref_axis',(-1.,0.,0.)); #105751=DIRECTION('center_axis',(0.,1.,0.)); #105752=DIRECTION('ref_axis',(0.999847695156391,0.,-0.0174524064372856)); #105753=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037699E-31, 1.)); #105754=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,1.25764879837952E-30)); #105755=DIRECTION('center_axis',(0.,0.,-1.)); #105756=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #105757=DIRECTION('center_axis',(-2.06345580535894E-15,-1.,-2.09648741037702E-31)); #105758=DIRECTION('ref_axis',(0.70090926429985,0.,-0.713250449154183)); #105759=DIRECTION('center_axis',(0.,1.,0.)); #105760=DIRECTION('ref_axis',(0.999847695156391,0.,-0.0174524064372806)); #105761=DIRECTION('',(2.06345580535894E-15,1.,2.09648741037702E-31)); #105762=DIRECTION('',(-2.06345580535894E-15,-1.,-2.09584884863442E-31)); #105763=DIRECTION('center_axis',(0.275637355817,0.961261695938319,6.33984467108511E-31)); #105764=DIRECTION('ref_axis',(-0.67375722809976,0.193196776279252,-0.713250449154175)); #105765=DIRECTION('center_axis',(0.275637355817006,0.961261695938317,0.)); #105766=DIRECTION('ref_axis',(0.,0.,-1.)); #105767=DIRECTION('',(0.275637355817,0.961261695938318,6.33791364004673E-31)); #105768=DIRECTION('center_axis',(-0.275637355817006,-0.961261695938317, 0.)); #105769=DIRECTION('ref_axis',(-0.96111529112605,0.275595374912636,-0.0174524064372855)); #105770=DIRECTION('',(-0.275637355817,-0.961261695938318,-6.33984467108511E-31)); #105771=DIRECTION('center_axis',(-7.53835631801336E-16,-1.,-2.096487410377E-31)); #105772=DIRECTION('ref_axis',(-0.700909264299875,0.,-0.713250449154158)); #105773=DIRECTION('center_axis',(0.,-1.,0.)); #105774=DIRECTION('ref_axis',(-0.999847695156391,0.,-0.0174524064372849)); #105775=DIRECTION('',(-7.53835631801336E-16,-1.,-2.096487410377E-31)); #105776=DIRECTION('center_axis',(0.,1.,0.)); #105777=DIRECTION('ref_axis',(0.,0.,-1.)); #105778=DIRECTION('',(7.53835631801336E-16,1.,2.0958488486344E-31)); #105779=DIRECTION('center_axis',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105780=DIRECTION('ref_axis',(0.,-0.70090926429985,-0.713250449154183)); #105781=DIRECTION('center_axis',(-1.,0.,0.)); #105782=DIRECTION('ref_axis',(0.,0.,-1.)); #105783=DIRECTION('',(-1.,7.53835631801336E-16,-1.56845736985242E-30)); #105784=DIRECTION('center_axis',(1.,0.,0.)); #105785=DIRECTION('ref_axis',(0.,-0.999847695156391,-0.0174524064372806)); #105786=DIRECTION('',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #105787=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037699E-31, 1.)); #105788=DIRECTION('ref_axis',(1.,0.,0.)); #105789=DIRECTION('center_axis',(1.56893524633284E-30,2.09648741037699E-31, -1.)); #105790=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,1.25764879837952E-30)); #105791=DIRECTION('center_axis',(0.,0.,1.)); #105792=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #105793=DIRECTION('center_axis',(0.310511670716146,-0.889826835513991,0.334351170400561)); #105794=DIRECTION('ref_axis',(-0.60552838950876,-0.456298370366516,-0.652017765632034)); #105795=DIRECTION('center_axis',(0.023153916638827,0.0174477276589302,-0.999579648124061)); #105796=DIRECTION('ref_axis',(-0.798299802112451,-0.601562049078101,-0.0289918446494531)); #105797=DIRECTION('center_axis',(-0.863093263314199,-0.392181658709891, 0.318219366778964)); #105798=DIRECTION('ref_axis',(-0.505044571123813,0.670216782797393,-0.543819313039796)); #105799=DIRECTION('center_axis',(0.0131501943915077,-0.0174508973705517, -0.999761240781232)); #105800=DIRECTION('ref_axis',(-0.601671334267265,0.798444828456843,-0.0218508908644917)); #105801=DIRECTION('center_axis',(-0.435960377027225,0.316743754435535,-0.842384676788676)); #105802=DIRECTION('ref_axis',(0.681503519323085,-0.495141289773531,-0.538876661502105)); #105803=DIRECTION('center_axis',(-0.0174510036052466,0.0126788962755859, -0.99976732696283)); #105804=DIRECTION('ref_axis',(0.808828757933735,-0.587648490512632,-0.0215706267316766)); #105805=DIRECTION('center_axis',(0.337129875460132,-0.79455176864341,0.505005875231036)); #105806=DIRECTION('ref_axis',(-0.441154018682947,-0.60719641545846,-0.660829512699216)); #105807=DIRECTION('center_axis',(0.017447373448281,0.024014249373922,-0.999559355409554)); #105808=DIRECTION('ref_axis',(-0.587526247900693,-0.808660505412807,-0.0296832446548029)); #105809=DIRECTION('center_axis',(0.,0.,1.)); #105810=DIRECTION('ref_axis',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105811=DIRECTION('',(-1.84930216767248E-17,-0.0174524064372835,-0.999847695156391)); #105812=DIRECTION('',(0.0174524064372834,0.,0.999847695156391)); #105813=DIRECTION('center_axis',(7.53720818983328E-16,0.999847695156391, -0.0174524064372834)); #105814=DIRECTION('ref_axis',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105815=DIRECTION('',(0.0131501943915077,-0.0174508973705517,-0.999761240781232)); #105816=DIRECTION('',(-1.,7.53835631801336E-16,-4.27496637588151E-32)); #105817=DIRECTION('center_axis',(7.53720818983328E-16,0.999847695156391, -0.0174524064372834)); #105818=DIRECTION('ref_axis',(0.998152930619909,0.00106025733340843,0.0607421019497437)); #105819=DIRECTION('',(-0.023153916638827,-0.0174477276589302,-0.999579648124061)); #105820=DIRECTION('center_axis',(-0.0131501943915077,0.0174508973705517, 0.999761240781232)); #105821=DIRECTION('ref_axis',(-0.601671334267269,0.79844482845684,-0.0218508908644928)); #105822=DIRECTION('',(-0.0131501943915077,0.0174508973705517,0.999761240781232)); #105823=DIRECTION('center_axis',(-0.0131501943915073,0.0174508973705537, 0.999761240781232)); #105824=DIRECTION('ref_axis',(3.49676543189016E-14,0.999847695156391,-0.0174524064372849)); #105825=DIRECTION('center_axis',(-0.961115291126052,0.27559537491263,-0.0174524064372837)); #105826=DIRECTION('ref_axis',(-0.275637355817,-0.961261695938318,0.)); #105827=DIRECTION('',(0.023153916638827,0.0174477276589302,-0.999579648124061)); #105828=DIRECTION('center_axis',(-0.961115291126052,0.27559537491263,-0.0174524064372837)); #105829=DIRECTION('ref_axis',(0.276147419259506,0.95919393236563,-0.0607421019497474)); #105830=DIRECTION('',(0.275637355817,0.961261695938318,-1.31131264416709E-31)); #105831=DIRECTION('',(0.275637355817,0.961261695938318,-1.31131264416709E-31)); #105832=DIRECTION('center_axis',(-0.961115291126052,0.27559537491263,-0.0174524064372837)); #105833=DIRECTION('ref_axis',(-0.276147419259506,-0.95919393236563,0.0607421019497474)); #105834=DIRECTION('center_axis',(0.023153916638827,0.0174477276589302,-0.999579648124061)); #105835=DIRECTION('ref_axis',(-0.798299802112452,-0.6015620490781,-0.0289918446494521)); #105836=DIRECTION('',(-0.023153916638827,-0.0174477276589302,0.999579648124061)); #105837=DIRECTION('center_axis',(0.023153916638828,0.0174477276589308,-0.999579648124061)); #105838=DIRECTION('ref_axis',(0.,-0.999847695156391,-0.017452406437284)); #105839=DIRECTION('center_axis',(-7.53720818983328E-16,-0.999847695156391, -0.0174524064372834)); #105840=DIRECTION('ref_axis',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #105841=DIRECTION('',(1.09954386453971E-17,0.0174524064372835,-0.999847695156391)); #105842=DIRECTION('',(0.0131501943915077,-0.0174508973705517,0.999761240781232)); #105843=DIRECTION('',(1.,-7.53835631801336E-16,4.27496637588151E-32)); #105844=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037699E-31, 1.)); #105845=DIRECTION('ref_axis',(1.,0.,1.56893524633284E-30)); #105846=DIRECTION('center_axis',(0.275637355817,-0.961261695938319,2.30929858386047E-31)); #105847=DIRECTION('ref_axis',(0.673757228099743,0.193196776279255,-0.71325044915419)); #105848=DIRECTION('center_axis',(0.275637355817001,-0.961261695938318,0.)); #105849=DIRECTION('ref_axis',(0.,0.,-1.)); #105850=DIRECTION('',(0.275637355817,-0.961261695938318,2.30859520270941E-31)); #105851=DIRECTION('center_axis',(-0.275637355817006,0.961261695938317,0.)); #105852=DIRECTION('ref_axis',(0.96111529112605,0.275595374912636,-0.0174524064372855)); #105853=DIRECTION('',(-0.275637355817,0.961261695938318,-2.30929858386047E-31)); #105854=DIRECTION('center_axis',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #105855=DIRECTION('ref_axis',(0.,0.700909264299847,-0.713250449154186)); #105856=DIRECTION('center_axis',(1.,0.,0.)); #105857=DIRECTION('ref_axis',(0.,0.,-1.)); #105858=DIRECTION('',(1.,-7.53835631801336E-16,1.56845736985242E-30)); #105859=DIRECTION('center_axis',(-1.,0.,0.)); #105860=DIRECTION('ref_axis',(0.,0.999847695156391,-0.0174524064372893)); #105861=DIRECTION('',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #105862=DIRECTION('center_axis',(1.56893524633284E-30,2.09648741037699E-31, -1.)); #105863=DIRECTION('ref_axis',(-1.,0.,0.)); #105864=DIRECTION('center_axis',(0.,1.,0.)); #105865=DIRECTION('ref_axis',(0.,0.,-1.)); #105866=DIRECTION('center_axis',(0.,0.,-1.)); #105867=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #105868=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037699E-31, 1.)); #105869=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,-9.61160705469558E-31)); #105870=DIRECTION('center_axis',(-3.37307597891652E-15,-1.,-2.09648741037704E-31)); #105871=DIRECTION('ref_axis',(-0.700909264299847,0.,-0.713250449154186)); #105872=DIRECTION('center_axis',(0.,1.,0.)); #105873=DIRECTION('ref_axis',(0.,0.,-1.)); #105874=DIRECTION('',(3.37307597891652E-15,1.,2.09584884863444E-31)); #105875=DIRECTION('',(-3.37307597891652E-15,-1.,-2.09648741037704E-31)); #105876=DIRECTION('center_axis',(-0.863093263314195,0.392181658709889,-0.318219366778979)); #105877=DIRECTION('ref_axis',(0.505044571123843,0.670216782797359,-0.54381931303981)); #105878=DIRECTION('center_axis',(-0.0131501943915077,-0.0174508973705516, -0.999761240781232)); #105879=DIRECTION('ref_axis',(0.601671334267293,0.798444828456822,-0.0218508908644921)); #105880=DIRECTION('center_axis',(-0.951056516295154,0.309016994374946,-1.42736106584003E-30)); #105881=DIRECTION('ref_axis',(-0.216592874183512,-0.666604323144011,-0.71325044915418)); #105882=DIRECTION('center_axis',(-0.951056516295156,0.309016994374939,0.)); #105883=DIRECTION('ref_axis',(0.,0.,-1.)); #105884=DIRECTION('',(-0.951056516295154,0.309016994374946,-1.42692631094238E-30)); #105885=DIRECTION('center_axis',(0.951056516295153,-0.30901699437495,0.)); #105886=DIRECTION('ref_axis',(-0.308969929589949,-0.950911665781176,-0.0174524064372818)); #105887=DIRECTION('',(0.951056516295154,-0.309016994374946,1.42736106584003E-30)); #105888=DIRECTION('center_axis',(0.435960377027223,0.316743754435534,-0.842384676788677)); #105889=DIRECTION('ref_axis',(-0.681503519323101,-0.495141289773526,-0.538876661502088)); #105890=DIRECTION('center_axis',(0.0174510036052466,0.0126788962755859, -0.99976732696283)); #105891=DIRECTION('ref_axis',(-0.808828757933747,-0.587648490512615,-0.021570626731676)); #105892=DIRECTION('center_axis',(-1.97518653788251E-15,-1.,-2.09648741037702E-31)); #105893=DIRECTION('ref_axis',(0.700909264299847,0.,-0.713250449154186)); #105894=DIRECTION('center_axis',(0.,-1.,0.)); #105895=DIRECTION('ref_axis',(0.,0.,-1.)); #105896=DIRECTION('',(-1.97518653788251E-15,-1.,-2.09584884863442E-31)); #105897=DIRECTION('center_axis',(0.,1.,0.)); #105898=DIRECTION('ref_axis',(0.999847695156391,0.,-0.0174524064372893)); #105899=DIRECTION('',(1.97518653788251E-15,1.,2.09648741037702E-31)); #105900=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037699E-31, 1.)); #105901=DIRECTION('ref_axis',(1.,0.,0.)); #105902=DIRECTION('center_axis',(-1.,0.,0.)); #105903=DIRECTION('ref_axis',(0.,0.,-1.)); #105904=DIRECTION('center_axis',(0.,0.,1.)); #105905=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #105906=DIRECTION('center_axis',(1.56893524633284E-30,2.09648741037699E-31, -1.)); #105907=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,-9.6116070546956E-31)); #105908=DIRECTION('center_axis',(1.,2.93125429835519E-15,1.56893524633284E-30)); #105909=DIRECTION('ref_axis',(0.,-0.700909264299847,-0.713250449154186)); #105910=DIRECTION('center_axis',(-1.,0.,0.)); #105911=DIRECTION('ref_axis',(0.,0.,-1.)); #105912=DIRECTION('',(-1.,-2.93125429835519E-15,-1.56845736985242E-30)); #105913=DIRECTION('',(1.,2.93125429835519E-15,1.56893524633284E-30)); #105914=DIRECTION('center_axis',(-0.337129875460146,-0.794551768643436, 0.505005875230986)); #105915=DIRECTION('ref_axis',(0.441154018682988,-0.607196415458455,-0.660829512699193)); #105916=DIRECTION('center_axis',(-0.0174473734482809,0.024014249373922, -0.999559355409554)); #105917=DIRECTION('ref_axis',(0.587526247900716,-0.80866050541279,-0.0296832446548023)); #105918=DIRECTION('center_axis',(-0.310511670716136,-0.889826835514004, 0.334351170400535)); #105919=DIRECTION('ref_axis',(0.605528389508754,-0.456298370366512,-0.652017765632042)); #105920=DIRECTION('center_axis',(-0.023153916638827,0.0174477276589303, -0.999579648124061)); #105921=DIRECTION('ref_axis',(0.798299802112451,-0.601562049078101,-0.0289918446494574)); #105922=DIRECTION('center_axis',(0.,0.,1.)); #105923=DIRECTION('ref_axis',(-7.53835631801336E-16,-1.,-2.096487410377E-31)); #105924=DIRECTION('',(0.0174524064372835,-1.31562458331033E-17,-0.999847695156391)); #105925=DIRECTION('',(0.,0.0174524064372834,0.999847695156391)); #105926=DIRECTION('center_axis',(-0.999847695156391,3.37256224310707E-15, -0.0174524064372833)); #105927=DIRECTION('ref_axis',(-3.37307597891652E-15,-1.,-2.09648741037704E-31)); #105928=DIRECTION('',(0.0174510036052466,0.0126788962755859,-0.99976732696283)); #105929=DIRECTION('',(-3.37307597891652E-15,-1.,1.48674049222101E-31)); #105930=DIRECTION('center_axis',(-0.999847695156391,3.37256224310707E-15, -0.0174524064372833)); #105931=DIRECTION('ref_axis',(-0.000936071477129689,0.998560571583052,0.0536274990086017)); #105932=DIRECTION('',(0.0174473734482808,-0.0240142493739223,-0.999559355409554)); #105933=DIRECTION('center_axis',(-0.0174510036052466,-0.0126788962755859, 0.99976732696283)); #105934=DIRECTION('ref_axis',(-0.80882875793375,-0.587648490512611,-0.0215706267316783)); #105935=DIRECTION('',(-0.0174510036052466,-0.0126788962755859,0.99976732696283)); #105936=DIRECTION('center_axis',(-0.017451003605247,-0.0126788962755861, 0.99976732696283)); #105937=DIRECTION('ref_axis',(-0.999847695156391,3.49676543189028E-14,-0.0174524064372834)); #105938=DIRECTION('center_axis',(0.308969929589946,0.950911665781177,0.0174524064372828)); #105939=DIRECTION('ref_axis',(-0.951056516295154,0.309016994374946,0.)); #105940=DIRECTION('',(-0.0174473734482809,0.024014249373922,-0.999559355409554)); #105941=DIRECTION('center_axis',(-0.308969929589946,-0.950911665781177, -0.0174524064372828)); #105942=DIRECTION('ref_axis',(-0.949398276525092,0.309462443409969,-0.0536274990085993)); #105943=DIRECTION('',(-0.951056516295154,0.309016994374946,-8.66001541194144E-32)); #105944=DIRECTION('',(-0.951056516295154,0.309016994374946,-8.66001541194144E-32)); #105945=DIRECTION('center_axis',(-0.308969929589946,-0.950911665781177, -0.0174524064372828)); #105946=DIRECTION('ref_axis',(0.949398276525092,-0.309462443409969,0.0536274990085987)); #105947=DIRECTION('center_axis',(-0.0174473734482809,0.024014249373922, -0.999559355409554)); #105948=DIRECTION('ref_axis',(0.587526247900732,-0.808660505412779,-0.0296832446547991)); #105949=DIRECTION('',(0.0174473734482809,-0.024014249373922,0.999559355409554)); #105950=DIRECTION('center_axis',(-0.0174473734482805,0.0240142493739212, -0.999559355409554)); #105951=DIRECTION('ref_axis',(0.999847695156391,0.,-0.0174524064372829)); #105952=DIRECTION('center_axis',(0.999847695156391,-1.97488570740576E-15, -0.0174524064372834)); #105953=DIRECTION('ref_axis',(1.97518653788251E-15,1.,2.09648741037702E-31)); #105954=DIRECTION('',(-0.0174524064372835,1.31562458331033E-17,-0.999847695156391)); #105955=DIRECTION('',(0.0174510036052466,0.0126788962755862,0.99976732696283)); #105956=DIRECTION('',(1.97518653788251E-15,1.,-1.48674049222101E-31)); #105957=DIRECTION('center_axis',(-1.56893524633284E-30,-2.09648741037699E-31, 1.)); #105958=DIRECTION('ref_axis',(1.,0.,1.56893524633284E-30)); #105959=DIRECTION('center_axis',(0.,0.,1.)); #105960=DIRECTION('ref_axis',(1.,0.,0.)); #105961=DIRECTION('center_axis',(-1.,-3.49729808732839E-14,0.)); #105962=DIRECTION('ref_axis',(0.,0.,1.)); #105963=DIRECTION('center_axis',(0.,1.,0.)); #105964=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372843)); #105965=DIRECTION('center_axis',(5.3397575096902E-15,1.,0.)); #105966=DIRECTION('ref_axis',(-0.700909264299849,1.22564431471335E-14,0.713250449154184)); #105967=DIRECTION('center_axis',(8.74324521832161E-15,1.,0.)); #105968=DIRECTION('ref_axis',(-0.999847695156391,8.74191357972601E-15,0.017452406437284)); #105969=DIRECTION('center_axis',(-1.,0.,0.)); #105970=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372845)); #105971=DIRECTION('center_axis',(-1.,7.53835631801336E-16,0.)); #105972=DIRECTION('ref_axis',(0.,-0.700909264299848,0.713250449154184)); #105973=DIRECTION('center_axis',(-1.,0.,0.)); #105974=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372835)); #105975=DIRECTION('center_axis',(-0.991461828770069,-0.00227574575741288, -0.13037738712353)); #105976=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372858)); #105977=DIRECTION('center_axis',(-0.00235603148005674,-0.990845937191841, 0.13497695309232)); #105978=DIRECTION('ref_axis',(0.999847695156391,-1.74838271594514E-14,0.0174524064372834)); #105979=DIRECTION('center_axis',(-1.88598064588214E-14,-1.,7.86772222175279E-14)); #105980=DIRECTION('ref_axis',(0.999847695156391,-1.74838271594514E-14,0.0174524064372845)); #105981=DIRECTION('center_axis',(3.83208624608753E-15,-1.,0.)); #105982=DIRECTION('ref_axis',(0.700909264299873,0.,0.71325044915416)); #105983=DIRECTION('center_axis',(0.,-1.,0.)); #105984=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372836)); #105985=DIRECTION('',(-3.83208624608753E-15,1.,0.)); #105986=DIRECTION('center_axis',(0.,0.,-1.)); #105987=DIRECTION('ref_axis',(-1.,0.,0.)); #105988=DIRECTION('center_axis',(-1.,0.,0.)); #105989=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372853)); #105990=DIRECTION('center_axis',(0.,0.,1.)); #105991=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #105992=DIRECTION('center_axis',(0.,0.,-1.)); #105993=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #105994=DIRECTION('center_axis',(-1.,7.53835631801336E-16,0.)); #105995=DIRECTION('ref_axis',(0.,-0.700909264299852,0.713250449154181)); #105996=DIRECTION('center_axis',(-1.,0.,0.)); #105997=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372835)); #105998=DIRECTION('',(1.,-7.53835631801336E-16,0.)); #105999=DIRECTION('',(-1.,7.53835631801336E-16,0.)); #106000=DIRECTION('center_axis',(-0.996135447276782,-0.00153285099527516, -0.08781697470599)); #106001=DIRECTION('ref_axis',(-1.74838271594512E-14,-0.999847695156391, 0.0174524064372821)); #106002=DIRECTION('center_axis',(-0.00252113425790508,-0.989510976604135, 0.144435698710481)); #106003=DIRECTION('ref_axis',(0.999847695156465,2.42937778380574E-10,0.017452406433044)); #106004=DIRECTION('center_axis',(-1.10628235119961E-14,-1.,6.33788734530107E-13)); #106005=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372838)); #106006=DIRECTION('center_axis',(-7.53835631801336E-16,-1.,0.)); #106007=DIRECTION('ref_axis',(0.700909264299848,0.,0.713250449154184)); #106008=DIRECTION('center_axis',(0.,-1.,0.)); #106009=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372833)); #106010=DIRECTION('',(-7.53835631801336E-16,-1.,0.)); #106011=DIRECTION('center_axis',(1.,3.46677995350233E-14,1.74838271594513E-14)); #106012=DIRECTION('ref_axis',(-3.49676543189028E-14,0.999847695156391,0.0174524064372828)); #106013=DIRECTION('center_axis',(0.0174285216494536,0.0174285216494535, 0.999696200486042)); #106014=DIRECTION('ref_axis',(-0.706891962490111,-0.706891962490102,0.0246476516887703)); #106015=DIRECTION('center_axis',(1.,-7.53835631801336E-16,0.)); #106016=DIRECTION('ref_axis',(0.,0.700909264299852,0.713250449154181)); #106017=DIRECTION('',(1.,-7.53835631801336E-16,0.)); #106018=DIRECTION('center_axis',(0.,0.,1.)); #106019=DIRECTION('ref_axis',(1.,0.,0.)); #106020=DIRECTION('center_axis',(-0.981925774823147,-0.189266406786736, 0.)); #106021=DIRECTION('ref_axis',(0.,0.,1.)); #106022=DIRECTION('center_axis',(0.,0.,1.)); #106023=DIRECTION('ref_axis',(-0.999582479062256,-0.0288940746824475,0.)); #106024=DIRECTION('center_axis',(0.,0.,-1.)); #106025=DIRECTION('ref_axis',(0.668141650761502,-0.744034094996792,0.)); #106026=DIRECTION('center_axis',(0.,0.,1.)); #106027=DIRECTION('ref_axis',(1.,0.,0.)); #106028=DIRECTION('center_axis',(1.,-7.53835631801336E-16,0.)); #106029=DIRECTION('ref_axis',(0.,0.700909264299852,0.713250449154181)); #106030=DIRECTION('center_axis',(-1.,-1.74864904366425E-14,0.)); #106031=DIRECTION('ref_axis',(0.,0.,1.)); #106032=DIRECTION('',(1.,-7.53835631801336E-16,0.)); #106033=DIRECTION('center_axis',(1.,0.,0.)); #106034=DIRECTION('ref_axis',(0.,0.999847695156391,0.0174524064372838)); #106035=DIRECTION('center_axis',(4.29532727459509E-15,1.,-4.37095678986282E-15)); #106036=DIRECTION('ref_axis',(-0.999847695156391,4.37095678986277E-15,0.0174524064372831)); #106037=DIRECTION('center_axis',(-0.0174285216494535,0.0174285216494536, 0.999696200486042)); #106038=DIRECTION('ref_axis',(0.706891962490111,-0.706891962490102,0.0246476516887703)); #106039=DIRECTION('center_axis',(7.53835631801336E-16,1.,0.)); #106040=DIRECTION('ref_axis',(-0.700909264299848,0.,0.713250449154184)); #106041=DIRECTION('center_axis',(0.,1.,0.)); #106042=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372836)); #106043=DIRECTION('',(7.53835631801336E-16,1.,0.)); #106044=DIRECTION('center_axis',(-0.00252113434313058,0.989510976604073, -0.144435698709418)); #106045=DIRECTION('ref_axis',(-0.999847695156439,1.57022251719032E-10,0.0174524064345439)); #106046=DIRECTION('center_axis',(-0.996135447276786,0.00153285099529189, 0.0878169747059458)); #106047=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372831)); #106048=DIRECTION('center_axis',(-1.,6.98895782739789E-12,4.00397125770615E-10)); #106049=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372838)); #106050=DIRECTION('center_axis',(-1.,1.51727527394059E-15,0.)); #106051=DIRECTION('ref_axis',(0.,-0.700909264299855,0.713250449154178)); #106052=DIRECTION('center_axis',(-1.,0.,0.)); #106053=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372838)); #106054=DIRECTION('',(1.,-1.51727527394059E-15,0.)); #106055=DIRECTION('',(-1.,1.51727527394059E-15,0.)); #106056=DIRECTION('center_axis',(0.,0.,-1.)); #106057=DIRECTION('ref_axis',(-1.,0.,0.)); #106058=DIRECTION('center_axis',(8.74324521832131E-15,1.,0.)); #106059=DIRECTION('ref_axis',(-0.999847695156391,8.7419135797257E-15,0.0174524064372856)); #106060=DIRECTION('center_axis',(0.,0.,1.)); #106061=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #106062=DIRECTION('center_axis',(7.53835631801336E-16,1.,0.)); #106063=DIRECTION('ref_axis',(-0.700909264299848,0.,0.713250449154184)); #106064=DIRECTION('center_axis',(0.,1.,0.)); #106065=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372833)); #106066=DIRECTION('',(-7.53835631801336E-16,-1.,0.)); #106067=DIRECTION('center_axis',(-0.00235603148003185,0.990845937191833, -0.134976953092381)); #106068=DIRECTION('ref_axis',(-0.999847695156391,8.7419135797257E-15,0.0174524064372836)); #106069=DIRECTION('center_axis',(-0.991461828770059,0.00227574575737901, 0.130377387123604)); #106070=DIRECTION('ref_axis',(3.49676543189025E-14,-0.999847695156391,0.0174524064372821)); #106071=DIRECTION('center_axis',(-1.,0.,0.)); #106072=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372839)); #106073=DIRECTION('center_axis',(-1.,7.53835631801336E-16,0.)); #106074=DIRECTION('ref_axis',(0.,-0.700909264299848,0.713250449154184)); #106075=DIRECTION('center_axis',(-1.,0.,0.)); #106076=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372838)); #106077=DIRECTION('center_axis',(-1.52590669130972E-16,-1.,8.74191357972564E-15)); #106078=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372828)); #106079=DIRECTION('center_axis',(-7.53835631801336E-16,-1.,0.)); #106080=DIRECTION('ref_axis',(0.700909264299848,0.,0.713250449154184)); #106081=DIRECTION('center_axis',(0.,-1.,0.)); #106082=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372839)); #106083=DIRECTION('center_axis',(0.,0.,1.)); #106084=DIRECTION('ref_axis',(1.,0.,0.)); #106085=DIRECTION('center_axis',(0.,0.,1.)); #106086=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #106087=DIRECTION('center_axis',(0.,0.,-1.)); #106088=DIRECTION('ref_axis',(-1.,0.,0.)); #106089=DIRECTION('center_axis',(0.,0.,-1.)); #106090=DIRECTION('ref_axis',(0.999582479062256,-0.028894074682449,0.)); #106091=DIRECTION('center_axis',(-0.981925774823147,0.189266406786737,0.)); #106092=DIRECTION('ref_axis',(0.,0.,1.)); #106093=DIRECTION('center_axis',(0.,0.,-1.)); #106094=DIRECTION('ref_axis',(-0.668141650761499,-0.744034094996795,0.)); #106095=DIRECTION('center_axis',(1.51704418556728E-15,0.999847695156391, -0.0174524064372835)); #106096=DIRECTION('ref_axis',(1.,-1.51727527394059E-15,1.56869628989552E-30)); #106097=DIRECTION('',(0.0174497491606827,0.0174497491606827,0.999695459881887)); #106098=DIRECTION('center_axis',(-0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #106099=DIRECTION('ref_axis',(0.706891438803884,0.70689143880389,-0.0246776719230457)); #106100=DIRECTION('',(-0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #106101=DIRECTION('center_axis',(0.999847695156391,-7.53720818983328E-16, -0.0174524064372835)); #106102=DIRECTION('ref_axis',(-7.53835631801336E-16,-1.,-2.09616810518984E-31)); #106103=DIRECTION('',(0.0174497491606827,-0.0174497491606827,0.999695459881887)); #106104=DIRECTION('center_axis',(0.0174497491606827,-0.0174497491606827, 0.999695459881888)); #106105=DIRECTION('ref_axis',(0.706891438803884,-0.70689143880389,-0.0246776719230457)); #106106=DIRECTION('',(-0.0174497491606827,0.0174497491606827,-0.999695459881887)); #106107=DIRECTION('center_axis',(-7.53720818983328E-16,-0.999847695156391, -0.0174524064372835)); #106108=DIRECTION('ref_axis',(-1.,7.53835631801336E-16,-1.56869628989552E-30)); #106109=DIRECTION('',(0.,-0.0174524064372816,0.999847695156391)); #106110=DIRECTION('center_axis',(0.867041329732242,0.497240201125778,0.0314883299103082)); #106111=DIRECTION('ref_axis',(-0.498236221621978,0.865308033560691,0.0547966652195656)); #106112=DIRECTION('center_axis',(-0.0131501943915118,-0.0174508973705516, 0.999761240781232)); #106113=DIRECTION('ref_axis',(0.96111529112605,0.275595374912636,0.0174524064372877)); #106114=DIRECTION('center_axis',(0.0131501943915077,0.0174508973705518, -0.999761240781232)); #106115=DIRECTION('ref_axis',(0.601671334267283,0.798444828456829,0.0218508908644927)); #106116=DIRECTION('',(0.0131501943915077,0.0174508973705518,-0.999761240781232)); #106117=DIRECTION('',(-0.0131501943915077,-0.0174508973705518,0.999761240781232)); #106118=DIRECTION('center_axis',(0.00850572188723277,0.873197395256992, 0.487292480561297)); #106119=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372899)); #106120=DIRECTION('center_axis',(-0.00577039285831931,-0.943758378631592, -0.330585579432814)); #106121=DIRECTION('ref_axis',(0.841371000796413,-0.183245521309883,0.508444606558778)); #106122=DIRECTION('center_axis',(0.,0.,1.)); #106123=DIRECTION('ref_axis',(1.,0.,0.)); #106124=DIRECTION('center_axis',(0.481711838151486,-0.172287347783399,-0.859226847100623)); #106125=DIRECTION('ref_axis',(0.841371000769249,-0.183245521413353,0.508444606566439)); #106126=DIRECTION('center_axis',(-0.0174510036052466,-0.0126788962755862, -0.99976732696283)); #106127=DIRECTION('ref_axis',(-0.808828757933747,-0.587648490512615,0.0215706267316782)); #106128=DIRECTION('center_axis',(0.974984644653223,0.218509262970015,0.0407264617506849)); #106129=DIRECTION('ref_axis',(-0.219351486150354,0.975489794427999,0.017452406437285)); #106130=DIRECTION('center_axis',(0.000703633622102116,-0.999186927773097, 0.0403111432123428)); #106131=DIRECTION('ref_axis',(-0.0917345044486523,0.0400766861346739,0.99497670320567)); #106132=DIRECTION('center_axis',(0.000664501405348871,-0.999274882041831, 0.0380692600160133)); #106133=DIRECTION('ref_axis',(-0.0890171103343416,0.0378590279211778,0.99531032752232)); #106134=DIRECTION('center_axis',(-0.858801098177936,-0.00894102765369659, 0.5122311312219)); #106135=DIRECTION('ref_axis',(0.41940814910837,-0.586458117307977,0.692938439621497)); #106136=DIRECTION('center_axis',(-0.878390496356809,-0.205239758544246, 0.431632688084138)); #106137=DIRECTION('ref_axis',(0.4671626123098,-0.559427606642494,0.684689598713267)); #106138=DIRECTION('center_axis',(1.,0.,0.)); #106139=DIRECTION('ref_axis',(0.,-1.,0.)); #106140=DIRECTION('center_axis',(-0.766093513226815,-0.642724511079237, -0.00243553890375241)); #106141=DIRECTION('ref_axis',(0.467162612292139,-0.559427606782502,0.684689598610923)); #106142=DIRECTION('center_axis',(0.,1.,0.)); #106143=DIRECTION('ref_axis',(-0.336195317789634,0.,0.941792285111917)); #106144=DIRECTION('center_axis',(0.431840668100198,0.901939587288459,0.00431488779673785)); #106145=DIRECTION('ref_axis',(0.715772584268061,-0.345608568031527,0.606814902019898)); #106146=DIRECTION('center_axis',(0.0174510036052466,0.0126788962755862, 0.99976732696283)); #106147=DIRECTION('ref_axis',(-0.80882875793375,-0.587648490512611,0.0215706267316782)); #106148=DIRECTION('',(-0.0174510036052466,-0.0126788962755862,-0.99976732696283)); #106149=DIRECTION('center_axis',(0.0174510036052465,0.0126788962755862, 0.99976732696283)); #106150=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372833)); #106151=DIRECTION('center_axis',(0.491713647092479,-0.175421086044066,-0.852903940566658)); #106152=DIRECTION('ref_axis',(0.825644813593744,-0.217256412483929,0.520682526133117)); #106153=DIRECTION('center_axis',(0.858801098177943,-0.00894102765371872, 0.512231131221887)); #106154=DIRECTION('ref_axis',(-1.7483827159452E-14,0.999847695156391,0.0174524064372862)); #106155=DIRECTION('center_axis',(0.878390496356802,-0.205239758544168,0.431632688084188)); #106156=DIRECTION('ref_axis',(0.219351486118373,0.97548979443519,0.0174524064372739)); #106157=DIRECTION('center_axis',(-0.00070363362213718,-0.999186927773097, 0.040311143212346)); #106158=DIRECTION('ref_axis',(0.999847695156391,-3.49676543189016E-14,0.0174524064372849)); #106159=DIRECTION('center_axis',(-0.974984644653229,0.218509262969981,0.0407264617507216)); #106160=DIRECTION('ref_axis',(0.055642466610353,0.0625467714960489,0.996489747706888)); #106161=DIRECTION('center_axis',(-0.000664501405349151,-0.99927488204183, 0.0380692600160484)); #106162=DIRECTION('ref_axis',(0.999847695156391,0.,0.017452406437279)); #106163=DIRECTION('center_axis',(1.,0.,0.)); #106164=DIRECTION('ref_axis',(0.,-1.,0.)); #106165=DIRECTION('center_axis',(0.766093513226818,-0.642724511079234,-0.00243553890375235)); #106166=DIRECTION('ref_axis',(0.0556424666319107,0.0625467716042739,0.996489747698891)); #106167=DIRECTION('center_axis',(0.00577039285834824,-0.943758378631644, -0.330585579432664)); #106168=DIRECTION('ref_axis',(0.999847695156416,1.11756623203216E-10,0.0174524064358665)); #106169=DIRECTION('center_axis',(-0.00850572188723026,0.87319739525697, 0.487292480561336)); #106170=DIRECTION('ref_axis',(-0.715772584121272,-0.345608568103353,0.606814902152137)); #106171=DIRECTION('center_axis',(0.,0.,1.)); #106172=DIRECTION('ref_axis',(1.,0.,0.)); #106173=DIRECTION('center_axis',(0.0174510036052466,-0.0126788962755863, -0.99976732696283)); #106174=DIRECTION('ref_axis',(0.808828757933747,-0.587648490512615,0.0215706267316793)); #106175=DIRECTION('center_axis',(-0.481711838151485,-0.172287347783399, -0.859226847100624)); #106176=DIRECTION('ref_axis',(-0.841371000769248,-0.183245521413318,0.508444606566452)); #106177=DIRECTION('center_axis',(0.,1.,0.)); #106178=DIRECTION('ref_axis',(0.336195317789655,0.,0.94179228511191)); #106179=DIRECTION('center_axis',(-0.431840668100175,0.90193958728847,0.00431488779673797)); #106180=DIRECTION('ref_axis',(0.0890171103465807,0.0378590279209332,0.995310327521234)); #106181=DIRECTION('center_axis',(0.0131501943915059,-0.0174508973705523, 0.999761240781232)); #106182=DIRECTION('ref_axis',(0.,0.999847695156391,0.017452406437284)); #106183=DIRECTION('center_axis',(0.0131501943915077,-0.0174508973705517, 0.999761240781232)); #106184=DIRECTION('ref_axis',(-0.601671334267269,0.79844482845684,0.0218508908644926)); #106185=DIRECTION('',(-0.0131501943915077,0.0174508973705517,-0.999761240781232)); #106186=DIRECTION('center_axis',(-0.491713647092487,-0.175421086044062, -0.852903940566654)); #106187=DIRECTION('ref_axis',(0.308969929589928,-0.950911665781182,0.0174524064372839)); #106188=DIRECTION('center_axis',(0.0174510036052466,-0.0126788962755863, -0.99976732696283)); #106189=DIRECTION('ref_axis',(0.80882875793375,-0.587648490512611,0.0215706267316779)); #106190=DIRECTION('',(0.0174510036052466,-0.0126788962755863,-0.99976732696283)); #106191=DIRECTION('center_axis',(0.0174510036052471,-0.0126788962755864, -0.99976732696283)); #106192=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372839)); #106193=DIRECTION('',(-0.0174510036052466,0.0126788962755863,0.99976732696283)); #106194=DIRECTION('center_axis',(-0.999847695156391,7.53720818983328E-16, -0.0174524064372834)); #106195=DIRECTION('ref_axis',(-7.53835631801336E-16,-1.,-2.096487410377E-31)); #106196=DIRECTION('',(0.017447373448281,0.024014249373922,-0.999559355409554)); #106197=DIRECTION('',(-7.53835631801336E-16,-1.,1.48674049222101E-31)); #106198=DIRECTION('',(0.0174524064372835,7.44549578654357E-18,-0.999847695156391)); #106199=DIRECTION('center_axis',(0.,0.,-1.)); #106200=DIRECTION('ref_axis',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #106201=DIRECTION('center_axis',(0.961115291126052,-0.27559537491263,-0.0174524064372837)); #106202=DIRECTION('ref_axis',(0.276147419259506,0.95919393236563,0.0607421019497457)); #106203=DIRECTION('center_axis',(0.275637355817006,0.961261695938317,0.)); #106204=DIRECTION('ref_axis',(0.96111529112605,-0.275595374912636,-0.0174524064372833)); #106205=DIRECTION('center_axis',(-0.867041329732236,0.49724020112579,0.0314883299103089)); #106206=DIRECTION('ref_axis',(0.49823622162199,0.865308033560684,0.0547966652195643)); #106207=DIRECTION('center_axis',(-0.769087686239869,0.252164705916219,-0.587296425976177)); #106208=DIRECTION('ref_axis',(-0.369838671812255,-0.92499904504721,0.0871557427476614)); #106209=DIRECTION('center_axis',(0.07812289461161,0.210740900782422,0.97441525340838)); #106210=DIRECTION('ref_axis',(-0.961115291126129,0.275595374912585,0.0174524064337269)); #106211=DIRECTION('center_axis',(0.207104835026365,0.677571455322988,0.705694346186924)); #106212=DIRECTION('ref_axis',(-0.961115291126054,0.275595374912623,0.0174524064372833)); #106213=DIRECTION('center_axis',(-0.934391105357173,0.356248876811424,4.09114068079385E-12)); #106214=DIRECTION('ref_axis',(-0.354893242280347,-0.930835465101028,0.0871557427476571)); #106215=DIRECTION('center_axis',(0.759342501685346,-0.306246925399196,-0.57411826814497)); #106216=DIRECTION('ref_axis',(0.484215840735794,-0.323446054388013,0.812968430802423)); #106217=DIRECTION('center_axis',(0.943391906129859,-0.331680134238803,0.)); #106218=DIRECTION('ref_axis',(0.,0.,1.)); #106219=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106220=DIRECTION('ref_axis',(1.,0.,0.)); #106221=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #106222=DIRECTION('ref_axis',(0.343993945680247,0.93897186610427,-1.24933176884344E-31)); #106223=DIRECTION('center_axis',(0.,0.,1.)); #106224=DIRECTION('ref_axis',(0.343993945680247,0.93897186610427,0.)); #106225=DIRECTION('center_axis',(0.961115291126052,-0.27559537491263,-0.0174524064372837)); #106226=DIRECTION('ref_axis',(0.275637355817001,0.961261695938318,0.)); #106227=DIRECTION('',(-0.275637355817001,-0.961261695938318,1.31131264416709E-31)); #106228=DIRECTION('center_axis',(0.275637355817001,0.961261695938318,-1.31171217397464E-31)); #106229=DIRECTION('ref_axis',(0.673757228099748,-0.193196776279232,-0.713250449154192)); #106230=DIRECTION('',(0.275637355817001,0.961261695938318,-1.31171217397464E-31)); #106231=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106232=DIRECTION('ref_axis',(1.,0.,4.27626886960872E-32)); #106233=DIRECTION('',(-0.275637355817,-0.961261695938318,1.31171217397464E-31)); #106234=DIRECTION('',(-1.,7.53835631801336E-16,-4.27626886960873E-32)); #106235=DIRECTION('center_axis',(0.275637355816979,0.961261695938325,0.)); #106236=DIRECTION('ref_axis',(0.,0.,-1.)); #106237=DIRECTION('center_axis',(-0.975017875526076,0.222103876986277,0.00316389511256616)); #106238=DIRECTION('ref_axis',(-0.220990938178112,-0.971373708594824,0.0871557427476581)); #106239=DIRECTION('center_axis',(-0.75934250168537,-0.306246925399173,-0.57411826814495)); #106240=DIRECTION('ref_axis',(0.315229477129045,-0.945004895889462,0.08715574274766)); #106241=DIRECTION('center_axis',(-0.943391906129867,-0.331680134238783, -1.64957506054604E-14)); #106242=DIRECTION('ref_axis',(0.330417991191032,-0.939802015109239,0.0871557427476587)); #106243=DIRECTION('center_axis',(-0.0781228946110793,0.210740900782879, 0.974415253408323)); #106244=DIRECTION('ref_axis',(0.372106391188892,-0.900603624092767,0.224610654037945)); #106245=DIRECTION('center_axis',(0.769087686239604,0.252164705916049,-0.587296425976597)); #106246=DIRECTION('ref_axis',(0.56480672452901,0.161955722104228,0.809174707961457)); #106247=DIRECTION('center_axis',(-0.207104835026364,0.677571455322986,0.705694346186927)); #106248=DIRECTION('ref_axis',(0.269546869225612,-0.653894502605683,0.706941627542711)); #106249=DIRECTION('center_axis',(0.934391105357294,0.356248876811105,-9.35985453469587E-13)); #106250=DIRECTION('ref_axis',(9.61706664436264E-13,1.04913454302138E-13, 1.)); #106251=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106252=DIRECTION('ref_axis',(1.,0.,0.)); #106253=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #106254=DIRECTION('ref_axis',(-0.343993945680272,0.938971866104261,-1.5435338890927E-31)); #106255=DIRECTION('center_axis',(1.42368344823377E-17,5.21568861045356E-18, 1.)); #106256=DIRECTION('ref_axis',(-0.343993945680272,0.938971866104261,0.)); #106257=DIRECTION('center_axis',(0.,0.,1.)); #106258=DIRECTION('ref_axis',(0.20842191351325,-0.978039010452792,0.)); #106259=DIRECTION('',(0.0181651666771355,-0.0852417163921977,-0.996194698091746)); #106260=DIRECTION('',(0.0865050561677869,-0.0106300870788387,-0.996194698091746)); #106261=DIRECTION('center_axis',(1.11607982432639E-17,-1.83089708064283E-17, 1.)); #106262=DIRECTION('ref_axis',(-0.971819999952494,0.235724177148496,1.51621540260196E-17)); #106263=DIRECTION('center_axis',(0.975017875526076,0.222103876986274,0.00316389511256425)); #106264=DIRECTION('ref_axis',(0.154881180187314,-0.689987826126351,0.707056305976558)); #106265=DIRECTION('center_axis',(-0.275637355816978,0.961261695938325,4.81919588779222E-15)); #106266=DIRECTION('ref_axis',(0.961115291125942,0.275595374912575,-0.0174524064442173)); #106267=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106268=DIRECTION('ref_axis',(1.,0.,4.27626886960872E-32)); #106269=DIRECTION('',(0.275637355817001,-0.961261695938318,1.54745206277094E-31)); #106270=DIRECTION('',(-1.,-2.93125429835519E-15,-4.27626886960867E-32)); #106271=DIRECTION('',(-0.275637355817,0.961261695938318,-1.54745206277094E-31)); #106272=DIRECTION('center_axis',(-0.275637355817001,0.961261695938318,-1.54745206277094E-31)); #106273=DIRECTION('ref_axis',(-0.673757228099752,-0.193196776279254,-0.713250449154183)); #106274=DIRECTION('',(-0.275637355817001,0.961261695938318,-1.546980729778E-31)); #106275=DIRECTION('center_axis',(0.275637355817001,-0.961261695938318,3.36130666935731E-14)); #106276=DIRECTION('ref_axis',(-0.961115291126051,-0.275595374912631,-0.0174524064372835)); #106277=DIRECTION('center_axis',(-0.0781278201419173,-0.0436649506801116, -0.995986654429654)); #106278=DIRECTION('ref_axis',(0.308969929589939,-0.950911665781179,0.0174524064372812)); #106279=DIRECTION('center_axis',(-0.249144613895086,0.968466293356185,-1.53558580729799E-12)); #106280=DIRECTION('ref_axis',(-0.96478098672203,-0.24819654342027,0.087155742747656)); #106281=DIRECTION('center_axis',(0.,0.,1.)); #106282=DIRECTION('ref_axis',(-0.208421913513251,-0.978039010452791,0.)); #106283=DIRECTION('center_axis',(-1.11607982432639E-17,-1.83089708064283E-17, 1.)); #106284=DIRECTION('ref_axis',(0.971819999952494,0.235724177148494,1.51621540260196E-17)); #106285=DIRECTION('',(-0.0865050561677882,-0.0106300870788404,-0.996194698091746)); #106286=DIRECTION('',(-0.0181651666771356,-0.0852417163921975,-0.996194698091746)); #106287=DIRECTION('center_axis',(0.544635761497524,-0.838108258458926,0.0307641739846574)); #106288=DIRECTION('ref_axis',(0.836774397408072,0.545503938668592,0.0472658517262814)); #106289=DIRECTION('center_axis',(0.544635761497524,-0.838108258458926,0.0307641739846574)); #106290=DIRECTION('ref_axis',(0.836774397408072,0.545503938668592,0.0472658517262814)); #106291=DIRECTION('center_axis',(-0.95105651629515,-0.30901699437496,-2.24506160615786E-14)); #106292=DIRECTION('ref_axis',(-0.308969929589959,0.950911665781172,-0.017452406437283)); #106293=DIRECTION('center_axis',(-0.308969929589945,0.950911665781177,-0.0174524064372831)); #106294=DIRECTION('ref_axis',(0.949398276525092,0.309462443409968,0.0536274990085994)); #106295=DIRECTION('center_axis',(0.249144613894969,0.968466293356215,4.25769972996852E-14)); #106296=DIRECTION('ref_axis',(-3.49676543189029E-14,-3.49676543189029E-14, 1.)); #106297=DIRECTION('center_axis',(0.0781278134820292,-0.0436649485275035, -0.995986655046446)); #106298=DIRECTION('ref_axis',(0.957596067015877,-0.274615456487485,0.0871557427476536)); #106299=DIRECTION('center_axis',(0.951056516295154,-0.309016994374946,8.6626539393028E-32)); #106300=DIRECTION('ref_axis',(0.216592874183489,0.666604323144014,-0.713250449154185)); #106301=DIRECTION('',(-0.951056516295154,0.309016994374946,-8.6626539393028E-32)); #106302=DIRECTION('center_axis',(-0.951056516295146,0.30901699437497,-2.24506160615782E-14)); #106303=DIRECTION('ref_axis',(0.30896992958997,0.950911665781168,-0.0174524064372832)); #106304=DIRECTION('',(0.951056516295154,-0.309016994374946,8.66001541194143E-32)); #106305=DIRECTION('center_axis',(0.308969929589945,0.950911665781177,-0.0174524064372831)); #106306=DIRECTION('ref_axis',(-0.949398276525092,0.309462443409968,0.0536274990086007)); #106307=DIRECTION('center_axis',(-0.544635761497524,-0.838108258458926, 0.0307641739846574)); #106308=DIRECTION('ref_axis',(-0.836774397408072,0.545503938668592,0.0472658517262823)); #106309=DIRECTION('center_axis',(-0.023153916638827,-0.0174477276589302, -0.999579648124061)); #106310=DIRECTION('ref_axis',(-0.798299802112424,-0.601562049078137,0.0289918446494516)); #106311=DIRECTION('center_axis',(0.0231539166388266,0.0174477276589296, 0.999579648124061)); #106312=DIRECTION('ref_axis',(-0.961115289221798,0.275595381563698,0.0174524062770793)); #106313=DIRECTION('center_axis',(-0.0231539166388266,-0.0174477276589296, -0.999579648124061)); #106314=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372828)); #106315=DIRECTION('',(0.023153916638827,0.0174477276589302,0.999579648124061)); #106316=DIRECTION('center_axis',(-3.66217605914346E-15,1.,-2.09805925913418E-13)); #106317=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372832)); #106318=DIRECTION('center_axis',(0.544635761497524,-0.838108258458926,0.0307641739846574)); #106319=DIRECTION('ref_axis',(-0.836774397408072,-0.545503938668592,-0.0472658517262823)); #106320=DIRECTION('center_axis',(0.017447373448281,0.0240142493739224,0.999559355409554)); #106321=DIRECTION('ref_axis',(-0.587526247900732,-0.808660505412779,0.0296832446547995)); #106322=DIRECTION('center_axis',(-0.0174473734482815,-0.0240142493739227, -0.999559355409554)); #106323=DIRECTION('ref_axis',(0.308969929589938,-0.950911665781179,0.0174524064372834)); #106324=DIRECTION('',(0.017447373448281,0.0240142493739224,0.999559355409554)); #106325=DIRECTION('center_axis',(0.0174473734482809,0.024014249373927,0.999559355409554)); #106326=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372834)); #106327=DIRECTION('',(-0.017447373448281,-0.0240142493739224,-0.999559355409554)); #106328=DIRECTION('center_axis',(-0.965390026615222,0.258989694989786,0.0307641739846495)); #106329=DIRECTION('ref_axis',(0.260227566278477,0.964389730870964,0.0472658517262845)); #106330=DIRECTION('center_axis',(0.999847695156391,-2.06314153104522E-15, -0.0174524064372834)); #106331=DIRECTION('ref_axis',(0.00093607147713511,0.998560571583052,0.0536274990086011)); #106332=DIRECTION('center_axis',(-0.00091936643399223,0.998611524365042, -0.0526704677280083)); #106333=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372884)); #106334=DIRECTION('center_axis',(0.00053209533857141,-0.999535121742199, 0.0304837215306536)); #106335=DIRECTION('ref_axis',(0.10082412916151,0.0303820119141725,0.994440258804354)); #106336=DIRECTION('center_axis',(-0.00118199053167154,0.997703924123444, -0.0677161922073583)); #106337=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372899)); #106338=DIRECTION('center_axis',(-0.997540544339738,0.0012232708269038, 0.0700811387383524)); #106339=DIRECTION('ref_axis',(1.7483827159452E-14,-0.999847695156391,0.0174524064372818)); #106340=DIRECTION('center_axis',(-0.999271228473423,0.000666172766585634, 0.0381650122371495)); #106341=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372828)); #106342=DIRECTION('center_axis',(0.577350269189637,0.577350269189615,0.577350269189626)); #106343=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #106344=DIRECTION('center_axis',(0.946337176336787,0.305551307212562,-0.105282227102255)); #106345=DIRECTION('ref_axis',(0.100824129175706,0.0303820119141722,0.994440258802914)); #106346=DIRECTION('center_axis',(-0.933211697791102,-0.00627112167786997, -0.359272320306965)); #106347=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372849)); #106348=DIRECTION('center_axis',(0.90425937104483,0.00745189123133372,0.426918562719735)); #106349=DIRECTION('ref_axis',(-0.327534300794456,-0.629337504473505,0.704737956453424)); #106350=DIRECTION('center_axis',(1.,0.,0.)); #106351=DIRECTION('ref_axis',(0.,-1.,0.)); #106352=DIRECTION('center_axis',(-0.886353387251243,0.463006846463976,0.00152742781563094)); #106353=DIRECTION('ref_axis',(0.0381186345012255,0.0696835889253647,0.996840592641694)); #106354=DIRECTION('center_axis',(0.,0.,1.)); #106355=DIRECTION('ref_axis',(-0.798635500888314,-0.601815035306423,0.)); #106356=DIRECTION('center_axis',(0.207666038285799,0.679666864776191,0.703511030096887)); #106357=DIRECTION('ref_axis',(-0.268617987174123,-0.651919449414205,0.709115934415525)); #106358=DIRECTION('center_axis',(-0.867041329732228,0.497240201125802,0.0314883299103271)); #106359=DIRECTION('ref_axis',(-0.498236221622003,-0.865308033560677,-0.0547966652195667)); #106360=DIRECTION('center_axis',(1.,-4.57772007392937E-15,-2.62257407391765E-13)); #106361=DIRECTION('ref_axis',(2.62257407391765E-13,-6.99353086378041E-14, 1.)); #106362=DIRECTION('center_axis',(0.238988979511459,-0.970511593311245,0.0314883299102998)); #106363=DIRECTION('ref_axis',(0.969119982549728,0.240424177036399,0.0547966652195618)); #106364=DIRECTION('center_axis',(-0.308969929589945,0.950911665781177,-0.0174524064372831)); #106365=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374946,0.)); #106366=DIRECTION('',(0.951056516295154,0.309016994374946,-5.28546154491879E-33)); #106367=DIRECTION('center_axis',(0.90430008757733,0.288042207930733,-0.315076241659098)); #106368=DIRECTION('ref_axis',(0.301669835638235,0.0910448155694505,0.949055399765433)); #106369=DIRECTION('center_axis',(0.,0.,1.)); #106370=DIRECTION('ref_axis',(-1.,1.4470566153126E-15,0.)); #106371=DIRECTION('center_axis',(-0.310848629107437,0.950459430897515,0.)); #106372=DIRECTION('ref_axis',(-0.590943505866245,-0.19326861589979,-0.783219646703714)); #106373=DIRECTION('center_axis',(0.,0.,1.)); #106374=DIRECTION('ref_axis',(0.953965454000584,0.299916509337948,0.)); #106375=DIRECTION('center_axis',(0.,0.,1.)); #106376=DIRECTION('ref_axis',(0.728437025076597,0.685112764804128,0.)); #106377=DIRECTION('center_axis',(0.923661739120509,-0.383207016443559,-0.0011722769736716)); #106378=DIRECTION('ref_axis',(0.0310421858764581,0.0717726736023704,0.99693784461218)); #106379=DIRECTION('center_axis',(0.207841212305317,0.680321112207721,0.702826589388809)); #106380=DIRECTION('ref_axis',(-0.96111529112605,0.275595374912636,0.0174524064372899)); #106381=DIRECTION('center_axis',(0.961115291126052,-0.27559537491263,-0.0174524064372837)); #106382=DIRECTION('ref_axis',(0.275637355817001,0.961261695938318,0.)); #106383=DIRECTION('',(-0.275637355817001,-0.961261695938318,1.31131264416709E-31)); #106384=DIRECTION('center_axis',(0.275637355817001,0.961261695938318,-1.31171217397464E-31)); #106385=DIRECTION('ref_axis',(0.673757228099748,-0.193196776279232,-0.713250449154192)); #106386=DIRECTION('center_axis',(0.275637355816937,0.961261695938337,0.)); #106387=DIRECTION('ref_axis',(0.961115291126069,-0.275595374912566,-0.0174524064373554)); #106388=DIRECTION('center_axis',(-0.904259371044841,0.00745189123133638, 0.426918562719712)); #106389=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372893)); #106390=DIRECTION('center_axis',(0.933211697791127,-0.00627112167786964, -0.359272320306901)); #106391=DIRECTION('ref_axis',(0.268618000939232,-0.651919444498352,0.709115933720553)); #106392=DIRECTION('center_axis',(-1.,0.,0.)); #106393=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372835)); #106394=DIRECTION('center_axis',(0.867041329732228,0.497240201125804,0.0314883299102924)); #106395=DIRECTION('ref_axis',(0.498236221622003,-0.865308033560677,-0.0547966652195648)); #106396=DIRECTION('center_axis',(-0.023153916638827,0.0174477276589303, 0.999579648124061)); #106397=DIRECTION('ref_axis',(0.798299802112462,-0.601562049078086,0.028991844649452)); #106398=DIRECTION('center_axis',(0.0231539166388265,-0.0174477276589302, -0.999579648124061)); #106399=DIRECTION('ref_axis',(0.961115291126049,0.27559537491264,0.017452406437283)); #106400=DIRECTION('',(-0.023153916638827,0.0174477276589303,0.999579648124061)); #106401=DIRECTION('center_axis',(-0.023153916638825,0.0174477276589296, 0.999579648124061)); #106402=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372828)); #106403=DIRECTION('',(0.023153916638827,-0.0174477276589303,-0.999579648124061)); #106404=DIRECTION('center_axis',(-0.238988979511457,-0.970511593311244, 0.0314883299103337)); #106405=DIRECTION('ref_axis',(-0.969119982549728,0.240424177036399,0.0547966652195613)); #106406=DIRECTION('center_axis',(7.53720818983328E-16,0.999847695156391, -0.0174524064372834)); #106407=DIRECTION('ref_axis',(-0.99815293061991,0.00106025733340992,0.0607421019497427)); #106408=DIRECTION('center_axis',(0.,0.,1.)); #106409=DIRECTION('ref_axis',(1.,0.,0.)); #106410=DIRECTION('center_axis',(-0.207666038285637,0.679666864775586,0.70351103009752)); #106411=DIRECTION('ref_axis',(0.961115291126051,0.275595374912631,0.0174524064372808)); #106412=DIRECTION('center_axis',(-0.997540544339739,-0.00122327082693896, -0.0700811387383313)); #106413=DIRECTION('ref_axis',(1.74838271594502E-14,-0.999847695156391,0.0174524064372909)); #106414=DIRECTION('center_axis',(0.999271228473422,0.000666172766586191, 0.0381650122371671)); #106415=DIRECTION('ref_axis',(-0.0381186345013654,0.0696835889184413,0.996840592642172)); #106416=DIRECTION('center_axis',(-0.00118199053167171,-0.997703924123442, 0.0677161922073937)); #106417=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372834)); #106418=DIRECTION('center_axis',(-0.000919366432786616,-0.998611524368685, 0.0526704676589538)); #106419=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372834)); #106420=DIRECTION('center_axis',(-0.000532095338538311,-0.999535121742196, 0.030483721530761)); #106421=DIRECTION('ref_axis',(0.999847695156391,3.49676543189028E-14,0.0174524064372877)); #106422=DIRECTION('center_axis',(1.,0.,0.)); #106423=DIRECTION('ref_axis',(0.,-1.,0.)); #106424=DIRECTION('center_axis',(0.886353387251389,0.463006846463697,0.00152742781562968)); #106425=DIRECTION('ref_axis',(0.327534300794611,-0.629337504473674,0.704737956453201)); #106426=DIRECTION('center_axis',(0.0174473734482808,-0.0240142493739223, -0.999559355409554)); #106427=DIRECTION('ref_axis',(0.587526247900732,-0.808660505412779,0.0296832446547993)); #106428=DIRECTION('center_axis',(-0.0174473734482809,0.0240142493739239, 0.999559355409554)); #106429=DIRECTION('ref_axis',(-0.308970075609796,-0.950911618404108,0.0174524027502723)); #106430=DIRECTION('center_axis',(0.0174473734482809,-0.024014249373922, -0.999559355409554)); #106431=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372834)); #106432=DIRECTION('',(-0.0174473734482808,0.0240142493739223,0.999559355409554)); #106433=DIRECTION('center_axis',(0.577350269189637,0.577350269189615,0.577350269189626)); #106434=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #106435=DIRECTION('center_axis',(-0.946337176336788,0.305551307212563,-0.105282227102247)); #106436=DIRECTION('ref_axis',(-0.100824129175742,0.0303820119141375,0.994440258802912)); #106437=DIRECTION('center_axis',(-0.544635761497525,-0.838108258458926, 0.0307641739846384)); #106438=DIRECTION('ref_axis',(0.836774397408072,-0.545503938668592,-0.0472658517262814)); #106439=DIRECTION('center_axis',(3.05181338261957E-15,1.,-1.74838271594515E-13)); #106440=DIRECTION('ref_axis',(3.49676543189029E-14,1.74838271594515E-13, 1.)); #106441=DIRECTION('center_axis',(0.965390026615222,0.258989694989785,0.0307641739846742)); #106442=DIRECTION('ref_axis',(-0.260227566278477,0.964389730870964,0.047265851726285)); #106443=DIRECTION('center_axis',(-0.275637355817001,0.961261695938318,-1.54745206277094E-31)); #106444=DIRECTION('ref_axis',(-0.673757228099752,-0.193196776279254,-0.713250449154183)); #106445=DIRECTION('',(-0.275637355817001,0.961261695938318,-1.546980729778E-31)); #106446=DIRECTION('center_axis',(0.275637355817074,-0.961261695938297,6.72261333871448E-14)); #106447=DIRECTION('ref_axis',(-0.96111529112603,-0.275595374912705,-0.0174524064372898)); #106448=DIRECTION('center_axis',(0.961115291126052,0.27559537491263,0.0174524064372837)); #106449=DIRECTION('ref_axis',(-0.275637355817001,0.961261695938318,0.)); #106450=DIRECTION('center_axis',(-0.207841212305316,0.680321112207725,0.702826589388806)); #106451=DIRECTION('ref_axis',(0.269182441543686,-0.650994206443607,0.709751615948452)); #106452=DIRECTION('center_axis',(-0.923661739120512,-0.383207016443551, -0.00117227697367152)); #106453=DIRECTION('ref_axis',(0.269182441541018,-0.650994206437355,0.709751615955198)); #106454=DIRECTION('center_axis',(-0.310848629107438,-0.950459430897514, 0.)); #106455=DIRECTION('ref_axis',(0.590943505866245,-0.193268615899791,-0.783219646703714)); #106456=DIRECTION('center_axis',(0.,0.,1.)); #106457=DIRECTION('ref_axis',(-0.728437025076594,0.685112764804132,0.)); #106458=DIRECTION('center_axis',(0.,0.,1.)); #106459=DIRECTION('ref_axis',(1.,0.,0.)); #106460=DIRECTION('center_axis',(0.,0.,1.)); #106461=DIRECTION('ref_axis',(-0.953965454000584,0.299916509337949,0.)); #106462=DIRECTION('center_axis',(-0.904300087577283,0.288042207930828,-0.315076241659144)); #106463=DIRECTION('ref_axis',(-0.30896992958997,-0.950911665781168,0.0174524064372854)); #106464=DIRECTION('center_axis',(-0.308969929589945,-0.950911665781177, 0.0174524064372831)); #106465=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374946,0.)); #106466=DIRECTION('',(0.951056516295154,-0.309016994374946,8.66001541194143E-32)); #106467=DIRECTION('center_axis',(0.951056516295154,-0.309016994374946,8.6626539393028E-32)); #106468=DIRECTION('ref_axis',(0.216592874183489,0.666604323144014,-0.713250449154185)); #106469=DIRECTION('center_axis',(-0.951056516295156,0.309016994374939,-1.08055994379691E-14)); #106470=DIRECTION('ref_axis',(0.308969929589938,0.950911665781178,-0.0174524064373015)); #106471=DIRECTION('center_axis',(-0.0174497491606827,-0.0174497491606827, 0.999695459881888)); #106472=DIRECTION('ref_axis',(-0.706891438803884,-0.70689143880389,-0.0246776719230457)); #106473=DIRECTION('',(-0.0174497491606827,-0.0174497491606827,0.999695459881887)); #106474=DIRECTION('',(0.0174497491606827,0.0174497491606827,-0.999695459881887)); #106475=DIRECTION('center_axis',(-0.999847695156391,7.53720818983328E-16, -0.0174524064372835)); #106476=DIRECTION('ref_axis',(7.53835631801336E-16,1.,2.09616810518984E-31)); #106477=DIRECTION('',(-0.0174497491606827,0.0174497491606827,0.999695459881887)); #106478=DIRECTION('center_axis',(-0.0174497491606827,0.0174497491606827, 0.999695459881888)); #106479=DIRECTION('ref_axis',(-0.706891438803884,0.70689143880389,-0.0246776719230457)); #106480=DIRECTION('',(0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #106481=DIRECTION('center_axis',(0.989439824985501,-0.032083242745929,0.141348853081897)); #106482=DIRECTION('ref_axis',(0.133577896838458,-0.176719627420496,-0.975154920389871)); #106483=DIRECTION('center_axis',(0.0177226008201698,0.0168415970377026, 0.999701090341202)); #106484=DIRECTION('ref_axis',(0.653219472803285,-0.757167708312202,0.00117551684223873)); #106485=DIRECTION('center_axis',(0.999390827019095,-0.0348994967025128, 3.49463529686859E-14)); #106486=DIRECTION('ref_axis',(3.49676543189026E-14,0.,-1.)); #106487=DIRECTION('center_axis',(-0.999390827019096,0.0348994967024988, -4.7926869186168E-32)); #106488=DIRECTION('ref_axis',(0.0244613805581688,0.700482289313973,-0.713250449154183)); #106489=DIRECTION('center_axis',(0.999390827019095,-0.0348994967025121, 3.49463529686859E-14)); #106490=DIRECTION('ref_axis',(3.49676543189026E-14,0.,-1.)); #106491=DIRECTION('',(0.999390827019096,-0.0348994967024988,4.79122713092842E-32)); #106492=DIRECTION('center_axis',(-0.0735828325328676,-0.997289108912977, 0.)); #106493=DIRECTION('ref_axis',(0.,0.,-1.)); #106494=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106495=DIRECTION('ref_axis',(1.,0.,0.)); #106496=DIRECTION('center_axis',(-0.188050245385214,-0.982159409266419, 0.)); #106497=DIRECTION('ref_axis',(0.,0.,-1.)); #106498=DIRECTION('center_axis',(-9.10594458695042E-19,-1.86994934231379E-18, 1.)); #106499=DIRECTION('ref_axis',(-0.991377804529527,0.131034532418802,-6.57715197560911E-19)); #106500=DIRECTION('center_axis',(-0.984807753012208,0.17364817766693,0.)); #106501=DIRECTION('ref_axis',(0.,0.,-1.)); #106502=DIRECTION('center_axis',(0.984807753012208,-0.173648177666929,6.79378709798177E-32)); #106503=DIRECTION('ref_axis',(-0.121711616455538,-0.690260877640579,-0.713250449154179)); #106504=DIRECTION('center_axis',(-0.984807753012206,0.17364817766694,0.)); #106505=DIRECTION('ref_axis',(0.,0.,-1.)); #106506=DIRECTION('',(-0.984807753012208,0.173648177666929,-6.79171780221272E-32)); #106507=DIRECTION('center_axis',(0.,0.,-1.)); #106508=DIRECTION('ref_axis',(-1.,0.,0.)); #106509=DIRECTION('center_axis',(0.,0.,1.)); #106510=DIRECTION('ref_axis',(-0.659246391107134,0.751926988352073,0.)); #106511=DIRECTION('center_axis',(0.00516827767209326,-0.0176432328890164, -0.999830988337093)); #106512=DIRECTION('ref_axis',(0.568533446152066,-0.822475005161861,0.0174524064372853)); #106513=DIRECTION('center_axis',(-0.984807753012208,-0.17364817766693,0.)); #106514=DIRECTION('ref_axis',(0.,0.,-1.)); #106515=DIRECTION('center_axis',(-0.984807753012208,-0.173648177666929, -1.62881837552906E-32)); #106516=DIRECTION('ref_axis',(0.121711616455538,-0.690260877640579,-0.713250449154179)); #106517=DIRECTION('center_axis',(-0.984807753012215,-0.17364817766689,0.)); #106518=DIRECTION('ref_axis',(0.,0.,-1.)); #106519=DIRECTION('',(-0.984807753012208,-0.173648177666929,-1.62832225945648E-32)); #106520=DIRECTION('center_axis',(-0.188050245385235,0.982159409266415,0.)); #106521=DIRECTION('ref_axis',(0.,0.,-1.)); #106522=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106523=DIRECTION('ref_axis',(1.,0.,0.)); #106524=DIRECTION('center_axis',(-0.073582832532885,0.997289108912976,0.)); #106525=DIRECTION('ref_axis',(0.,0.,-1.)); #106526=DIRECTION('center_axis',(3.44733613508532E-19,-2.60817699425456E-18, 1.)); #106527=DIRECTION('ref_axis',(0.991377804529528,0.131034532418801,0.)); #106528=DIRECTION('center_axis',(0.999390827019095,0.0348994967025128,6.10176768298575E-16)); #106529=DIRECTION('ref_axis',(0.,1.74838271594513E-14,-1.)); #106530=DIRECTION('center_axis',(0.999390827019096,0.0348994967024988,3.75464084569174E-32)); #106531=DIRECTION('ref_axis',(-0.0244613805581686,0.70048228931398,-0.713250449154176)); #106532=DIRECTION('center_axis',(0.999390827019097,0.0348994967024773,3.37221739974952E-15)); #106533=DIRECTION('ref_axis',(-3.97229056297299E-9,1.13751615301241E-7, -0.999999999999993)); #106534=DIRECTION('',(0.999390827019096,0.0348994967024988,3.75349723281365E-32)); #106535=DIRECTION('center_axis',(0.0177226008202087,-0.0168415970377014, -0.999701090341202)); #106536=DIRECTION('ref_axis',(-0.653219472802834,-0.757167708312591,0.00117551684222685)); #106537=DIRECTION('center_axis',(0.989439824985538,0.0320832427456487,-0.141348853081698)); #106538=DIRECTION('ref_axis',(-0.133577896838318,-0.176719627420356,-0.975154920389915)); #106539=DIRECTION('center_axis',(0.,0.,-1.)); #106540=DIRECTION('ref_axis',(-1.,0.,0.)); #106541=DIRECTION('center_axis',(0.,0.,1.)); #106542=DIRECTION('ref_axis',(0.659246391185453,0.751926988283408,0.)); #106543=DIRECTION('center_axis',(-0.789871779568896,0.523561979566431,0.319351570206169)); #106544=DIRECTION('ref_axis',(-0.14458864714497,0.347070710070545,-0.926626162661035)); #106545=DIRECTION('center_axis',(-0.87671880351749,0.366511007480403,0.311502521586199)); #106546=DIRECTION('ref_axis',(-0.161200869680635,0.386277120368853,-0.9081873517583)); #106547=DIRECTION('center_axis',(-0.145387772265666,0.300400505100332,0.942667455792918)); #106548=DIRECTION('ref_axis',(-0.366103681608923,0.868825059031612,-0.33333333333333)); #106549=DIRECTION('center_axis',(0.269832734052873,-0.602117652769459,-0.751428391702728)); #106550=DIRECTION('ref_axis',(-0.920364656438988,-0.390671617423508,-0.0174524072162856)); #106551=DIRECTION('center_axis',(-0.39073112848927,0.920504853452442,-1.21549836227552E-15)); #106552=DIRECTION('ref_axis',(-1.74838271594513E-14,-8.74191357972564E-15, -1.)); #106553=DIRECTION('center_axis',(-0.390731128489272,0.920504853452441,-1.53605594443316E-31)); #106554=DIRECTION('ref_axis',(-0.645190379617797,-0.27386706780846,-0.71325044915418)); #106555=DIRECTION('center_axis',(-0.390731128489275,0.92050485345244,0.)); #106556=DIRECTION('ref_axis',(0.,0.,-1.)); #106557=DIRECTION('',(-0.390731128489272,0.920504853452441,-9.68803173089582E-19)); #106558=DIRECTION('center_axis',(0.904701953472902,0.42604503914764,0.)); #106559=DIRECTION('ref_axis',(0.,0.,-1.)); #106560=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106561=DIRECTION('ref_axis',(1.,0.,0.)); #106562=DIRECTION('center_axis',(0.999930058417545,0.0118270145466022,0.)); #106563=DIRECTION('ref_axis',(0.,0.,-1.)); #106564=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106565=DIRECTION('ref_axis',(0.224053770987721,-0.974576783894518,0.)); #106566=DIRECTION('center_axis',(0.,-1.,0.)); #106567=DIRECTION('ref_axis',(0.,0.,-1.)); #106568=DIRECTION('center_axis',(9.07611467346921E-16,1.,-1.48719347126105E-31)); #106569=DIRECTION('ref_axis',(0.700909264299851,0.,-0.713250449154182)); #106570=DIRECTION('center_axis',(0.,-1.,0.)); #106571=DIRECTION('ref_axis',(0.,0.,-1.)); #106572=DIRECTION('',(-9.07611467346921E-16,-1.,1.48674049222101E-31)); #106573=DIRECTION('center_axis',(-0.0164541926724797,-0.333348553123269, -0.942660066871468)); #106574=DIRECTION('ref_axis',(-0.00373978103159352,0.942801624376373,-0.333333333333328)); #106575=DIRECTION('center_axis',(-0.0131107012150397,-0.660045089053915, -0.751111569561712)); #106576=DIRECTION('ref_axis',(-0.00306026892782913,0.751199101325235,-0.660068591073874)); #106577=DIRECTION('center_axis',(0.664045253189467,0.680037452452713,0.310794087746471)); #106578=DIRECTION('ref_axis',(0.595099305338465,-0.229048955679955,-0.770320318236251)); #106579=DIRECTION('center_axis',(-0.522323666337493,-0.790894809008565, -0.318846967473529)); #106580=DIRECTION('ref_axis',(-0.00302387137811863,0.375621047377296,-0.926768409565773)); #106581=DIRECTION('center_axis',(-0.00931971171611322,-0.0227035088173365, 0.999698801470178)); #106582=DIRECTION('ref_axis',(0.920364656104699,0.390671618245845,0.0174524064372839)); #106583=DIRECTION('center_axis',(-0.369529296282723,0.883426963917285,-0.288105707358746)); #106584=DIRECTION('ref_axis',(-0.109643600722708,0.266431443807836,0.957597288306014)); #106585=DIRECTION('center_axis',(-0.920364656104695,-0.390671618245854, -0.0174524064372836)); #106586=DIRECTION('ref_axis',(0.390731128489272,-0.920504853452441,0.)); #106587=DIRECTION('center_axis',(0.920364656104695,0.390671618245854,0.0174524064372836)); #106588=DIRECTION('ref_axis',(0.0160650248299442,0.00681919846209326,-0.999847695156391)); #106589=DIRECTION('',(0.390731128489272,-0.920504853452441,9.68803173089429E-19)); #106590=DIRECTION('center_axis',(-0.920363524934385,-0.390671138092545, -0.0175226662305948)); #106591=DIRECTION('ref_axis',(0.0161296993106897,0.00684665115042116,-0.999846466297787)); #106592=DIRECTION('center_axis',(0.015809660704591,0.00742078910202801, -0.999847481627828)); #106593=DIRECTION('ref_axis',(0.920364656104699,0.390671618245845,0.0174524064372832)); #106594=DIRECTION('center_axis',(0.,0.,1.)); #106595=DIRECTION('ref_axis',(-0.915479411028676,0.402364819514067,0.)); #106596=DIRECTION('center_axis',(0.999846466297787,-7.88208358449418E-16, -0.0175226662305947)); #106597=DIRECTION('ref_axis',(0.0175226662305947,-1.59742063939244E-17, 0.999846466297787)); #106598=DIRECTION('center_axis',(0.,0.,-1.)); #106599=DIRECTION('ref_axis',(0.224053335212491,-0.9745768840785,0.)); #106600=DIRECTION('center_axis',(-0.999847695156391,9.07473233724329E-16, 0.0174524064372835)); #106601=DIRECTION('ref_axis',(0.0174524064372835,-1.59742260269797E-17, 0.999847695156391)); #106602=DIRECTION('center_axis',(-0.0174524059819751,-0.00022842318094913, -0.99984766907179)); #106603=DIRECTION('ref_axis',(-0.999847695156336,0.,0.0174524064404303)); #106604=DIRECTION('center_axis',(0.999847695156391,-9.07473233724329E-16, -0.0174524064372835)); #106605=DIRECTION('ref_axis',(9.07611467346921E-16,1.,0.)); #106606=DIRECTION('',(9.07611467346921E-16,1.,0.)); #106607=DIRECTION('center_axis',(-0.00503717841576746,0.957442609250953, -0.288579758168057)); #106608=DIRECTION('ref_axis',(-0.999847695156391,2.62257407391769E-14,0.0174524064372828)); #106609=DIRECTION('center_axis',(-0.017449814443817,0.0172340823626656, -0.999699199950162)); #106610=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372833)); #106611=DIRECTION('center_axis',(1.18206803292464E-10,1.,6.7720632251202E-9)); #106612=DIRECTION('ref_axis',(-0.999847695156391,0.,0.017452406437283)); #106613=DIRECTION('center_axis',(9.07611467346921E-16,1.,0.)); #106614=DIRECTION('ref_axis',(-0.700909264299851,1.53205539339168E-15,0.713250449154182)); #106615=DIRECTION('center_axis',(-0.52232367306285,0.790894805686353,0.318846964696983)); #106616=DIRECTION('ref_axis',(0.0030238713726574,0.375621045955931,-0.926768410141872)); #106617=DIRECTION('center_axis',(-0.664045253189465,0.680037452452712,0.310794087746478)); #106618=DIRECTION('ref_axis',(0.00310865603883219,0.41817853067,-0.908359539358899)); #106619=DIRECTION('center_axis',(-0.0164541926724793,0.333348553123295, 0.942660066871459)); #106620=DIRECTION('ref_axis',(0.00373978103289245,0.942801624376366,-0.333333333333333)); #106621=DIRECTION('center_axis',(0.0131107012150326,-0.660045089053908, -0.751111569561719)); #106622=DIRECTION('ref_axis',(-0.999847695142363,9.14806288463968E-10,-0.0174524072409283)); #106623=DIRECTION('center_axis',(0.,1.,0.)); #106624=DIRECTION('ref_axis',(2.59525559398105E-14,0.,-1.)); #106625=DIRECTION('center_axis',(-7.84027867633538E-16,-1.,1.48719347126105E-31)); #106626=DIRECTION('ref_axis',(-0.700909264299851,0.,-0.713250449154182)); #106627=DIRECTION('center_axis',(0.,1.,0.)); #106628=DIRECTION('ref_axis',(0.,0.,-1.)); #106629=DIRECTION('',(7.84027867633538E-16,1.,-1.48674049222101E-31)); #106630=DIRECTION('center_axis',(0.999930058417545,-0.0118270145466114, -5.46331384778091E-16)); #106631=DIRECTION('ref_axis',(-5.46369598732432E-16,0.,-1.)); #106632=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106633=DIRECTION('ref_axis',(1.,0.,0.)); #106634=DIRECTION('center_axis',(0.904701953472906,-0.426045039147633,0.)); #106635=DIRECTION('ref_axis',(0.,0.,-1.)); #106636=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106637=DIRECTION('ref_axis',(-0.224053770987718,-0.974576783894519,0.)); #106638=DIRECTION('center_axis',(-0.390731128489277,-0.920504853452439, 0.)); #106639=DIRECTION('ref_axis',(0.,0.,-1.)); #106640=DIRECTION('center_axis',(0.390731128489272,0.920504853452441,-1.201881672204E-31)); #106641=DIRECTION('ref_axis',(0.645190379617794,-0.273867067808471,-0.713250449154178)); #106642=DIRECTION('center_axis',(-0.390731128489265,-0.920504853452444, 0.)); #106643=DIRECTION('ref_axis',(0.,0.,-1.)); #106644=DIRECTION('',(-0.390731128489272,-0.920504853452441,9.68803173089549E-19)); #106645=DIRECTION('center_axis',(-0.145387772265671,-0.300400505100337, -0.942667455792915)); #106646=DIRECTION('ref_axis',(0.366103681608752,0.868825059031683,-0.333333333333333)); #106647=DIRECTION('center_axis',(-0.269832734052864,-0.602117652769454, -0.751428391702736)); #106648=DIRECTION('ref_axis',(0.291749628515583,0.692569457266328,-0.659719410903487)); #106649=DIRECTION('center_axis',(0.876718803517521,0.366511007480348,0.311502521586177)); #106650=DIRECTION('ref_axis',(0.458868359203002,-0.443098129068344,-0.770132376243512)); #106651=DIRECTION('center_axis',(-0.789871840864478,-0.523561908321796, -0.319351535402291)); #106652=DIRECTION('ref_axis',(0.144588640217827,0.347070693637778,-0.926626169896875)); #106653=DIRECTION('center_axis',(-0.0174498144438171,-0.0172340823626634, 0.999699199950162)); #106654=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372834)); #106655=DIRECTION('center_axis',(0.00503717841580748,0.957442609250955, -0.288579758168052)); #106656=DIRECTION('ref_axis',(0.00357004934469596,0.288564362138198,0.957453844136338)); #106657=DIRECTION('center_axis',(-0.999847695156391,7.83908456391773E-16, -0.0174524064372835)); #106658=DIRECTION('ref_axis',(-7.84027867633538E-16,-1.,0.)); #106659=DIRECTION('center_axis',(0.999847695156391,-7.83908456391773E-16, 0.0174524064372835)); #106660=DIRECTION('ref_axis',(-0.0174524064372835,1.22878661745998E-17, 0.999847695156391)); #106661=DIRECTION('',(-7.84027867633538E-16,-1.,0.)); #106662=DIRECTION('center_axis',(-0.999846466297787,7.87643447212822E-16, -0.0175226662305947)); #106663=DIRECTION('ref_axis',(-0.0175226662305947,1.22878510722495E-17, 0.999846466297787)); #106664=DIRECTION('center_axis',(0.0174524059819751,-0.000228423180949085, -0.99984766907179)); #106665=DIRECTION('ref_axis',(0.999847695156336,0.,0.0174524064404303)); #106666=DIRECTION('center_axis',(0.,0.,1.)); #106667=DIRECTION('ref_axis',(-0.915479411028676,0.402364819514067,0.)); #106668=DIRECTION('center_axis',(0.920363524934385,-0.390671138092545,-0.0175226662305948)); #106669=DIRECTION('ref_axis',(-0.0161296993106897,0.00684665115042116,-0.999846466297787)); #106670=DIRECTION('center_axis',(0.,0.,-1.)); #106671=DIRECTION('ref_axis',(-0.224053335212489,-0.974576884078501,0.)); #106672=DIRECTION('center_axis',(-0.920364656104695,0.390671618245854,0.0174524064372836)); #106673=DIRECTION('ref_axis',(-0.0160650248299442,0.00681919846209326,-0.999847695156391)); #106674=DIRECTION('center_axis',(-0.0158096607045911,0.00742078910202796, -0.999847481627828)); #106675=DIRECTION('ref_axis',(-0.920364656104684,0.390671618245881,0.0174524064372811)); #106676=DIRECTION('center_axis',(-0.920364656104695,0.390671618245854,0.0174524064372836)); #106677=DIRECTION('ref_axis',(-0.390731128489272,-0.920504853452441,0.)); #106678=DIRECTION('',(0.390731128489272,0.920504853452441,-9.68803173089429E-19)); #106679=DIRECTION('center_axis',(0.369529296282742,0.883426963917272,-0.288105707358762)); #106680=DIRECTION('ref_axis',(-0.920364656104686,0.390671618245874,0.0174524064372884)); #106681=DIRECTION('center_axis',(-0.00931971171611265,0.0227035088173332, -0.999698801470178)); #106682=DIRECTION('ref_axis',(-0.920364656104693,0.390671618245858,0.0174524064372823)); #106683=DIRECTION('center_axis',(0.39073112712497,0.920504854031549,-8.49101419681449E-8)); #106684=DIRECTION('ref_axis',(-0.920364656104699,0.390671618245845,0.0174524064372843)); #106685=DIRECTION('center_axis',(0.390731128489272,0.920504853452441,0.)); #106686=DIRECTION('ref_axis',(-0.645190379617795,0.273867067808467,0.713250449154179)); #106687=DIRECTION('center_axis',(0.023153916638827,-0.0174477276589303, 0.999579648124061)); #106688=DIRECTION('ref_axis',(0.798299802112452,-0.6015620490781,-0.0289918446494522)); #106689=DIRECTION('',(0.023153916638827,-0.0174477276589303,0.999579648124061)); #106690=DIRECTION('center_axis',(-0.0231539166388268,0.0174477276589308, -0.999579648124061)); #106691=DIRECTION('ref_axis',(0.96111529112605,0.275595374912636,-0.0174524064372833)); #106692=DIRECTION('',(-0.023153916638827,0.0174477276589303,-0.999579648124061)); #106693=DIRECTION('center_axis',(-0.275637355817006,0.961261695938317,0.)); #106694=DIRECTION('ref_axis',(0.96111529112605,0.275595374912636,-0.0174524064372833)); #106695=DIRECTION('center_axis',(-0.867041329732242,-0.497240201125779, 0.0314883299103082)); #106696=DIRECTION('ref_axis',(-0.498236221621978,0.865308033560691,-0.0547966652195647)); #106697=DIRECTION('center_axis',(0.961115291126052,0.27559537491263,-0.0174524064372837)); #106698=DIRECTION('ref_axis',(-0.276147419259506,0.95919393236563,-0.060742101949746)); #106699=DIRECTION('center_axis',(0.275637355817,-0.961261695938319,1.54745206277094E-31)); #106700=DIRECTION('ref_axis',(0.673757228099748,0.193196776279232,-0.713250449154192)); #106701=DIRECTION('',(0.275637355817,-0.961261695938318,1.546980729778E-31)); #106702=DIRECTION('center_axis',(0.183404904436986,-0.684301848587406,-0.705757480334653)); #106703=DIRECTION('ref_axis',(0.214366781356126,-0.672817282539372,0.708070467797768)); #106704=DIRECTION('center_axis',(-0.961115291126052,-0.27559537491263,0.0174524064372837)); #106705=DIRECTION('ref_axis',(0.275637355817,-0.961261695938318,0.)); #106706=DIRECTION('',(-0.0131501943915077,-0.0174508973705516,-0.999761240781232)); #106707=DIRECTION('center_axis',(0.961115291126052,0.27559537491263,-0.0174524064372837)); #106708=DIRECTION('ref_axis',(0.276147419259506,-0.95919393236563,0.060742101949745)); #106709=DIRECTION('',(0.275637355817,-0.961261695938318,1.546980729778E-31)); #106710=DIRECTION('center_axis',(0.867041329732242,0.497240201125778,0.0314883299103082)); #106711=DIRECTION('ref_axis',(-0.498236221621978,0.865308033560691,0.0547966652195656)); #106712=DIRECTION('center_axis',(-0.961115291126052,-0.27559537491263,-0.0174524064372837)); #106713=DIRECTION('ref_axis',(-0.276147419259506,0.95919393236563,0.0607421019497471)); #106714=DIRECTION('center_axis',(0.961115291126052,0.27559537491263,0.0174524064372837)); #106715=DIRECTION('ref_axis',(-0.275637355817001,0.961261695938318,0.)); #106716=DIRECTION('center_axis',(0.275637355817034,0.961261695938309,0.)); #106717=DIRECTION('ref_axis',(0.,0.,-1.)); #106718=DIRECTION('center_axis',(0.867041329732236,-0.49724020112579,0.0314883299103089)); #106719=DIRECTION('ref_axis',(0.49823622162199,0.865308033560684,-0.0547966652195652)); #106720=DIRECTION('center_axis',(-0.275637355817,-0.961261695938319,1.31171217397464E-31)); #106721=DIRECTION('ref_axis',(-0.673757228099752,0.193196776279254,-0.713250449154183)); #106722=DIRECTION('center_axis',(1.,-7.53835631801336E-16,4.27626886960873E-32)); #106723=DIRECTION('ref_axis',(0.,0.700909264299849,0.713250449154184)); #106724=DIRECTION('center_axis',(-0.951056516295154,-0.309016994374946, 5.28707191559833E-33)); #106725=DIRECTION('ref_axis',(-0.216592874183484,0.666604323143989,-0.71325044915421)); #106726=DIRECTION('',(0.951056516295154,0.309016994374946,-5.28546154491879E-33)); #106727=DIRECTION('',(-0.951056516295154,-0.309016994374946,5.28707191559832E-33)); #106728=DIRECTION('center_axis',(-0.308969929589945,0.950911665781177,-0.0174524064372831)); #106729=DIRECTION('ref_axis',(-0.951056516295154,-0.309016994374946,0.)); #106730=DIRECTION('center_axis',(-0.0131501943915077,-0.0174508973705516, -0.999761240781232)); #106731=DIRECTION('ref_axis',(0.601671334267283,0.798444828456829,-0.0218508908644927)); #106732=DIRECTION('',(0.0131501943915077,0.0174508973705516,0.999761240781232)); #106733=DIRECTION('center_axis',(-0.013150194391507,-0.0174508973705514, -0.999761240781232)); #106734=DIRECTION('ref_axis',(-1.7483827159452E-14,0.999847695156391,-0.0174524064372829)); #106735=DIRECTION('center_axis',(0.275637355816975,-0.961261695938326,6.24069374993546E-14)); #106736=DIRECTION('ref_axis',(-1.74838271594513E-14,-6.99353086378051E-14, -1.)); #106737=DIRECTION('center_axis',(-0.867041329732228,-0.497240201125804, 0.0314883299102924)); #106738=DIRECTION('ref_axis',(0.498236221622003,-0.865308033560677,0.0547966652195648)); #106739=DIRECTION('center_axis',(0.275637355817,-0.961261695938319,1.54745206277094E-31)); #106740=DIRECTION('ref_axis',(0.673757228099748,0.193196776279232,-0.713250449154192)); #106741=DIRECTION('center_axis',(0.275637355816938,-0.961261695938336,6.72261333871475E-14)); #106742=DIRECTION('ref_axis',(0.,-6.99353086378051E-14,-1.)); #106743=DIRECTION('center_axis',(-0.949965756481993,-0.312354064343008, 0.)); #106744=DIRECTION('ref_axis',(0.,0.,-1.)); #106745=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106746=DIRECTION('ref_axis',(1.,0.,0.)); #106747=DIRECTION('center_axis',(-0.992466540024295,-0.12251598643526,0.)); #106748=DIRECTION('ref_axis',(0.,0.,-1.)); #106749=DIRECTION('center_axis',(0.,0.,1.)); #106750=DIRECTION('ref_axis',(-0.218470567503298,0.975843538245136,0.)); #106751=DIRECTION('center_axis',(-0.13917310096003,0.990268068741575,0.)); #106752=DIRECTION('ref_axis',(0.,0.,-1.)); #106753=DIRECTION('center_axis',(-0.139173100960066,0.99026806874157,-1.53223436654299E-31)); #106754=DIRECTION('ref_axis',(-0.694088063521293,-0.0975477158042475,-0.713250449154177)); #106755=DIRECTION('center_axis',(-0.139173100960077,0.990268068741569,0.)); #106756=DIRECTION('ref_axis',(0.,0.,-1.)); #106757=DIRECTION('',(-0.139173100960066,0.99026806874157,-1.53176766865474E-31)); #106758=DIRECTION('center_axis',(-1.,7.53835631801336E-16,-4.27626886960873E-32)); #106759=DIRECTION('ref_axis',(0.,-0.700909264299824,0.713250449154208)); #106760=DIRECTION('',(-1.,7.53835631801336E-16,-4.27496637588151E-32)); #106761=DIRECTION('center_axis',(7.53720818983328E-16,0.999847695156391, -0.0174524064372834)); #106762=DIRECTION('ref_axis',(-1.,7.53835631801336E-16,-1.56893524633284E-30)); #106763=DIRECTION('center_axis',(-0.308969929589945,-0.950911665781177, 0.0174524064372831)); #106764=DIRECTION('ref_axis',(0.951056516295154,-0.309016994374946,0.)); #106765=DIRECTION('center_axis',(0.931001257822472,0.365015969421854,0.)); #106766=DIRECTION('ref_axis',(0.364960375721713,-0.930859461821492,-0.0174524064377122)); #106767=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106768=DIRECTION('ref_axis',(1.,0.,0.)); #106769=DIRECTION('center_axis',(0.31084862910743,0.950459430897517,0.)); #106770=DIRECTION('ref_axis',(0.950314671322538,-0.310801285355587,-0.0174524064372832)); #106771=DIRECTION('center_axis',(0.,0.,1.)); #106772=DIRECTION('ref_axis',(-0.727096649831145,0.686535113307633,0.)); #106773=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106774=DIRECTION('ref_axis',(1.,0.,0.)); #106775=DIRECTION('center_axis',(0.288574479679252,0.957457450583497,0.)); #106776=DIRECTION('ref_axis',(0.957311625176223,-0.288530528388255,-0.0174524064372852)); #106777=DIRECTION('center_axis',(-3.29843858118088E-18,-1.72138314197051E-18, 1.)); #106778=DIRECTION('ref_axis',(-0.954026480946281,0.299722327585475,-2.63086079024364E-18)); #106779=DIRECTION('center_axis',(-0.0216424002831163,-0.0113158251053234, -0.999701734825028)); #106780=DIRECTION('ref_axis',(-0.308969929590044,-0.950911665781144,0.01745240643729)); #106781=DIRECTION('center_axis',(0.,0.,-1.)); #106782=DIRECTION('ref_axis',(0.99745990774983,-0.0712301371029172,0.)); #106783=DIRECTION('',(0.0165878042901727,-0.00542505661565515,0.999847695156391)); #106784=DIRECTION('center_axis',(1.72136546446733E-18,-3.30004218464532E-18, -1.)); #106785=DIRECTION('ref_axis',(-0.953965454000584,0.299916509337949,-2.63186031949831E-18)); #106786=DIRECTION('center_axis',(0.,0.,-1.)); #106787=DIRECTION('ref_axis',(0.950459430897519,-0.310848629107424,0.)); #106788=DIRECTION('center_axis',(0.,0.,-1.)); #106789=DIRECTION('ref_axis',(-0.728437025076594,0.685112764804131,0.)); #106790=DIRECTION('center_axis',(0.0114676765772315,0.0233122787641587, -0.99966245805909)); #106791=DIRECTION('ref_axis',(0.961115291126068,0.275595374912571,0.0174524064372858)); #106792=DIRECTION('center_axis',(-0.95105651629516,0.309016994374929,0.)); #106793=DIRECTION('ref_axis',(0.,0.,-1.)); #106794=DIRECTION('center_axis',(0.544635761497524,0.838108258458926,0.0307641739846574)); #106795=DIRECTION('ref_axis',(-0.836774397408072,0.545503938668592,-0.0472658517262814)); #106796=DIRECTION('center_axis',(-0.951056516295154,0.309016994374946,-8.66265393930281E-32)); #106797=DIRECTION('ref_axis',(-0.216592874183489,-0.666604323144014,-0.713250449154185)); #106798=DIRECTION('center_axis',(-0.951056516295166,0.309016994374908,-3.32562154995491E-14)); #106799=DIRECTION('ref_axis',(3.49676543189026E-14,0.,-1.)); #106800=DIRECTION('',(0.951056516295154,-0.309016994374946,8.66265393930281E-32)); #106801=DIRECTION('center_axis',(-0.951025660586811,0.30872215086482,0.0155185846912652)); #106802=DIRECTION('ref_axis',(-0.0151823051716922,0.00349161741982987,-0.999878645745306)); #106803=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #106804=DIRECTION('ref_axis',(-1.,0.,0.)); #106805=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #106806=DIRECTION('ref_axis',(-0.971819999952493,0.235724177148496,-7.66143818539625E-32)); #106807=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106808=DIRECTION('ref_axis',(1.,0.,4.27626886960872E-32)); #106809=DIRECTION('',(-1.97518653788251E-15,-1.,1.48719347126105E-31)); #106810=DIRECTION('center_axis',(1.97518653788251E-15,1.,-1.48719347126105E-31)); #106811=DIRECTION('ref_axis',(-0.700909264299849,0.,0.713250449154184)); #106812=DIRECTION('center_axis',(0.984807753012208,-0.17364817766693,0.)); #106813=DIRECTION('ref_axis',(0.,0.,-1.)); #106814=DIRECTION('center_axis',(-0.984807753012208,0.173648177666929,-6.79378709798177E-32)); #106815=DIRECTION('ref_axis',(0.121711616455526,0.69026087764058,-0.71325044915418)); #106816=DIRECTION('center_axis',(0.984807753012213,-0.173648177666902,0.)); #106817=DIRECTION('ref_axis',(0.,0.,-1.)); #106818=DIRECTION('',(0.984807753012208,-0.173648177666929,6.79171780221271E-32)); #106819=DIRECTION('center_axis',(-0.194998270749041,-0.980803586048136, 0.)); #106820=DIRECTION('ref_axis',(0.,0.,-1.)); #106821=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106822=DIRECTION('ref_axis',(1.,0.,0.)); #106823=DIRECTION('center_axis',(-0.281788384185107,-0.959476579463171, 0.)); #106824=DIRECTION('ref_axis',(0.,0.,-1.)); #106825=DIRECTION('center_axis',(-1.59133126240235E-18,-9.63524292439823E-19, 1.)); #106826=DIRECTION('ref_axis',(-0.971110126509417,0.238631771126279,-1.31543039512182E-18)); #106827=DIRECTION('center_axis',(-0.951056516295146,0.309016994374971,0.)); #106828=DIRECTION('ref_axis',(0.,0.,-1.)); #106829=DIRECTION('center_axis',(-0.951056516295154,0.309016994374946,-8.66265393930281E-32)); #106830=DIRECTION('ref_axis',(-0.216592874183489,-0.666604323144014,-0.713250449154185)); #106831=DIRECTION('center_axis',(-0.951056516295146,0.309016994374971,-1.08055994379702E-14)); #106832=DIRECTION('ref_axis',(0.,-3.49676543189026E-14,-1.)); #106833=DIRECTION('center_axis',(0.544635761497524,0.838108258458926,0.0307641739846574)); #106834=DIRECTION('ref_axis',(0.836774397408072,-0.545503938668592,0.0472658517262805)); #106835=DIRECTION('center_axis',(-3.37307597891652E-15,-1.,1.48719347126105E-31)); #106836=DIRECTION('ref_axis',(0.700909264299849,0.,0.713250449154184)); #106837=DIRECTION('center_axis',(0.174545985060741,0.932011361975642,0.317629533021544)); #106838=DIRECTION('ref_axis',(0.263387196130377,0.26663254817686,-0.927110710307724)); #106839=DIRECTION('center_axis',(-0.00550313703645792,0.95101834006408, 0.309085477407981)); #106840=DIRECTION('ref_axis',(0.293072463688523,0.297051525942108,-0.90877330614571)); #106841=DIRECTION('center_axis',(0.219764082559334,0.251258641440509,0.942641418100395)); #106842=DIRECTION('ref_axis',(0.659800587525133,0.673462748480103,-0.33333333333334)); #106843=DIRECTION('center_axis',(-0.449693082814602,-0.484525052115705, -0.75034099257669)); #106844=DIRECTION('ref_axis',(-0.719230240709096,0.694552571321184,-0.0174524073029291)); #106845=DIRECTION('center_axis',(0.694658370459001,0.719339800338648,1.25768127380351E-14)); #106846=DIRECTION('ref_axis',(0.,1.74838271594513E-14,-1.)); #106847=DIRECTION('center_axis',(0.694658370458997,0.719339800338652,-7.72742858221177E-32)); #106848=DIRECTION('ref_axis',(-0.504191930236957,0.486892487378151,-0.713250449154186)); #106849=DIRECTION('center_axis',(0.694658370458997,0.719339800338652,0.)); #106850=DIRECTION('ref_axis',(0.,0.,-1.)); #106851=DIRECTION('',(0.694658370458997,0.719339800338652,9.68803173089359E-19)); #106852=DIRECTION('center_axis',(0.644376466521018,-0.764708421160567,-3.34250746573705E-15)); #106853=DIRECTION('ref_axis',(0.,4.37095678986281E-15,-1.)); #106854=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106855=DIRECTION('ref_axis',(1.,0.,0.)); #106856=DIRECTION('center_axis',(0.402364819514021,-0.915479411028697,0.)); #106857=DIRECTION('ref_axis',(0.,0.,-1.)); #106858=DIRECTION('center_axis',(3.01899527866579E-18,-7.0116969344319E-19, 1.)); #106859=DIRECTION('ref_axis',(-0.84874368520521,-0.528804459913377,2.19157151738308E-18)); #106860=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106861=DIRECTION('ref_axis',(-0.98132874512122,-0.192337968167523,0.)); #106862=DIRECTION('center_axis',(-0.0270071601751371,-0.999635240124754, 0.)); #106863=DIRECTION('ref_axis',(0.,0.,-1.)); #106864=DIRECTION('center_axis',(0.,0.,1.)); #106865=DIRECTION('ref_axis',(-0.98134752725541,-0.192242114922025,0.)); #106866=DIRECTION('center_axis',(-0.999390827019097,0.0348994967024778, 6.10176768297962E-16)); #106867=DIRECTION('ref_axis',(0.,1.74838271594513E-14,-1.)); #106868=DIRECTION('center_axis',(0.999390827019096,-0.0348994967024988, 4.7926869186168E-32)); #106869=DIRECTION('ref_axis',(-0.0244613805581931,-0.700482289313979,-0.713250449154176)); #106870=DIRECTION('center_axis',(-0.999390827019097,0.0348994967024774, -2.51300741135723E-14)); #106871=DIRECTION('ref_axis',(-4.89547160464636E-13,-1.47388662954174E-11, -1.)); #106872=DIRECTION('',(-0.999390827019096,0.0348994967024988,1.21100396636131E-19)); #106873=DIRECTION('center_axis',(0.0178308234153101,0.0168377853314967, -0.999699230129474)); #106874=DIRECTION('ref_axis',(0.720621251132583,-0.69332793869587,0.00117551684222318)); #106875=DIRECTION('center_axis',(-0.116061394227078,0.0213965995539703, -0.993011549931618)); #106876=DIRECTION('ref_axis',(0.717009497224051,-0.690044460997439,-0.0986712862856675)); #106877=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #106878=DIRECTION('ref_axis',(-1.,0.,0.)); #106879=DIRECTION('center_axis',(-0.694658370458997,-0.719339800338652, 0.)); #106880=DIRECTION('ref_axis',(0.504191930236962,-0.486892487378138,0.713250449154192)); #106881=DIRECTION('center_axis',(0.694658370986825,0.719339799828933,-4.20379385872288E-8)); #106882=DIRECTION('ref_axis',(3.07073002196503E-8,2.87859165364788E-8,0.999999999999999)); #106883=DIRECTION('center_axis',(0.668493308358694,0.684965048324464,-0.289723280482451)); #106884=DIRECTION('ref_axis',(0.204502092956197,0.205247922497288,0.957106151002637)); #106885=DIRECTION('center_axis',(-0.0244854256806003,-0.000235381934108814, 0.999700159310073)); #106886=DIRECTION('ref_axis',(0.71923024140287,-0.694552570624511,0.0174524064372834)); #106887=DIRECTION('center_axis',(-0.171475537417567,0.932337827892655,0.318343077116124)); #106888=DIRECTION('ref_axis',(0.149877707650668,0.344055350071495,-0.926910237745037)); #106889=DIRECTION('center_axis',(-0.345714491855536,0.885622759660103,0.310086790581431)); #106890=DIRECTION('ref_axis',(0.166628000461773,0.383153208706571,-0.908531082638327)); #106891=DIRECTION('center_axis',(0.115111988554549,0.313297804139076,0.942652489527637)); #106892=DIRECTION('ref_axis',(0.37298473973645,0.865893338011458,-0.333333333333328)); #106893=DIRECTION('center_axis',(-0.24597812069907,-0.613028215962178,-0.750793693747878)); #106894=DIRECTION('ref_axis',(-0.920364655723063,0.390671619107894,-0.0174524072661725)); #106895=DIRECTION('center_axis',(0.390731128489269,0.920504853452442,1.60939477571985E-14)); #106896=DIRECTION('ref_axis',(0.,1.74838271594513E-14,-1.)); #106897=DIRECTION('center_axis',(-0.390731128489271,-0.920504853452441, 1.201881672204E-31)); #106898=DIRECTION('ref_axis',(-0.645190379617797,0.27386706780846,-0.71325044915418)); #106899=DIRECTION('center_axis',(0.390731128489269,0.920504853452443,0.)); #106900=DIRECTION('ref_axis',(0.,0.,-1.)); #106901=DIRECTION('',(0.390731128489271,0.920504853452441,-1.20151559528353E-31)); #106902=DIRECTION('center_axis',(0.894357541686348,-0.447352867017473,0.)); #106903=DIRECTION('ref_axis',(0.,0.,-1.)); #106904=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106905=DIRECTION('ref_axis',(1.,0.,0.)); #106906=DIRECTION('center_axis',(0.66235415770079,-0.749190876730675,0.)); #106907=DIRECTION('ref_axis',(0.,0.,-1.)); #106908=DIRECTION('center_axis',(2.13963058361143E-18,-4.40873105833169E-18, 1.)); #106909=DIRECTION('ref_axis',(-0.609410323148008,-0.79285500442429,-2.19157151738308E-18)); #106910=DIRECTION('center_axis',(-0.694658370458983,-0.719339800338665, 0.)); #106911=DIRECTION('ref_axis',(0.,0.,-1.)); #106912=DIRECTION('center_axis',(-0.694658370458996,-0.719339800338652, 7.72742858221177E-32)); #106913=DIRECTION('ref_axis',(0.50419193023696,-0.486892487378142,-0.71325044915419)); #106914=DIRECTION('center_axis',(-0.694658370458995,-0.719339800338653, 6.2884063690176E-15)); #106915=DIRECTION('ref_axis',(0.,-8.74191357972564E-15,-1.)); #106916=DIRECTION('',(-0.694658370458996,-0.719339800338652,9.68803173089526E-19)); #106917=DIRECTION('center_axis',(-0.243365256743067,-0.228324919109369, -0.942677613568967)); #106918=DIRECTION('ref_axis',(0.654437074188746,0.678675920315555,-0.333333333333328)); #106919=DIRECTION('center_axis',(-0.467341332496357,-0.465053313157468, -0.751875983697971)); #106920=DIRECTION('ref_axis',(0.521900134392711,0.541334790941041,-0.659224463925368)); #106921=DIRECTION('center_axis',(0.949498854376032,0.0281039855645414,0.312509346314619)); #106922=DIRECTION('ref_axis',(0.270495310390193,-0.578050957916266,-0.769863219740364)); #106923=DIRECTION('center_axis',(-0.924903051865458,-0.205208509465273, -0.320068449387008)); #106924=DIRECTION('ref_axis',(0.260231921458528,0.272063302397271,-0.926423718685297)); #106925=DIRECTION('center_axis',(-0.0227875211851066,-0.00902462642724102, 0.999699597377176)); #106926=DIRECTION('ref_axis',(0.920364656104699,-0.390671618245845,0.0174524064372831)); #106927=DIRECTION('center_axis',(0.37869117216976,0.879227674265556,-0.289053097070892)); #106928=DIRECTION('ref_axis',(0.116585631941974,0.26450888496062,0.95731021106096)); #106929=DIRECTION('center_axis',(0.920364656104695,-0.390671618245854,0.0174524064372832)); #106930=DIRECTION('ref_axis',(0.390731128489271,0.920504853452441,0.)); #106931=DIRECTION('',(-0.390731128489271,-0.920504853452441,0.)); #106932=DIRECTION('center_axis',(0.00879524348546967,-0.0239380043122533, -0.999674754928611)); #106933=DIRECTION('ref_axis',(0.920364656104702,-0.390671618245838,0.0174524064372829)); #106934=DIRECTION('center_axis',(0.,0.,-1.)); #106935=DIRECTION('ref_axis',(-0.915479411028676,0.402364819514067,0.)); #106936=DIRECTION('center_axis',(-6.95867794340281E-18,5.34971391917179E-18, -1.)); #106937=DIRECTION('ref_axis',(-0.609487757563347,-0.792795480171527,0.)); #106938=DIRECTION('center_axis',(-0.0237671518598693,0.000508845392438078, -0.999717391850735)); #106939=DIRECTION('ref_axis',(-0.719230241402847,0.694552570624535,0.0174524064372834)); #106940=DIRECTION('center_axis',(-0.71923024140286,0.694552570624522,0.017452406437284)); #106941=DIRECTION('ref_axis',(-0.694658370458996,-0.719339800338652,0.)); #106942=DIRECTION('center_axis',(0.661726338864694,0.692460147912547,-0.287432071985124)); #106943=DIRECTION('ref_axis',(-0.719230241402872,0.69455257062451,0.0174524064372878)); #106944=DIRECTION('center_axis',(-0.000541679869177684,0.0245590443118283, -0.999698234431475)); #106945=DIRECTION('ref_axis',(-0.719230241402867,0.694552570624515,0.0174524064372841)); #106946=DIRECTION('center_axis',(0.694658370520403,0.719339800279353,4.88967345006398E-9)); #106947=DIRECTION('ref_axis',(-0.719230241402849,0.694552570624533,0.0174524064372837)); #106948=DIRECTION('center_axis',(0.694658370458996,0.719339800338652,0.)); #106949=DIRECTION('ref_axis',(-0.504191930236962,0.486892487378137,0.713250449154192)); #106950=DIRECTION('center_axis',(-0.924903040680596,0.205208508136848,0.320068482559651)); #106951=DIRECTION('ref_axis',(-0.260231947121957,0.272063329002902,-0.926423703663164)); #106952=DIRECTION('center_axis',(-0.949498854376031,0.0281039855645459, 0.312509346314622)); #106953=DIRECTION('ref_axis',(-0.289872757924377,0.302679866492774,-0.907942004003137)); #106954=DIRECTION('center_axis',(-0.243365256743074,0.228324919109386,0.94267761356896)); #106955=DIRECTION('ref_axis',(-0.654437074188105,0.678675920316171,-0.333333333333332)); #106956=DIRECTION('center_axis',(0.467341332496352,-0.465053313157453,-0.751875983697984)); #106957=DIRECTION('ref_axis',(-0.719230241984353,-0.694552570003637,-0.017452407182747)); #106958=DIRECTION('center_axis',(-0.694658370459003,0.719339800338645,-1.02186603496535E-14)); #106959=DIRECTION('ref_axis',(0.,-1.42056095670542E-14,-1.)); #106960=DIRECTION('center_axis',(0.694658370458996,-0.719339800338652,1.36685205114256E-31)); #106961=DIRECTION('ref_axis',(-0.504191930236959,-0.486892487378145,-0.713250449154189)); #106962=DIRECTION('center_axis',(-0.694658370459001,0.719339800338648,3.14420318450878E-15)); #106963=DIRECTION('ref_axis',(0.,4.37095678986282E-15,-1.)); #106964=DIRECTION('',(-0.694658370458996,0.719339800338652,-9.68803173089585E-19)); #106965=DIRECTION('center_axis',(0.662354157700753,0.749190876730708,-3.27468094954937E-15)); #106966=DIRECTION('ref_axis',(0.,-4.37095678986282E-15,-1.)); #106967=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #106968=DIRECTION('ref_axis',(1.,0.,0.)); #106969=DIRECTION('center_axis',(0.894357541686351,0.447352867017466,0.)); #106970=DIRECTION('ref_axis',(0.,0.,-1.)); #106971=DIRECTION('center_axis',(4.02032138500507E-19,3.0731647517213E-18, 1.)); #106972=DIRECTION('ref_axis',(0.60941032314801,-0.792855004424288,2.19157151738308E-18)); #106973=DIRECTION('center_axis',(0.390731128489262,-0.920504853452445,0.)); #106974=DIRECTION('ref_axis',(0.,0.,-1.)); #106975=DIRECTION('center_axis',(0.390731128489271,-0.920504853452441,1.53605594443316E-31)); #106976=DIRECTION('ref_axis',(0.645190379617794,0.273867067808471,-0.713250449154178)); #106977=DIRECTION('center_axis',(0.390731128489271,-0.920504853452442,0.)); #106978=DIRECTION('ref_axis',(0.,0.,-1.)); #106979=DIRECTION('',(0.390731128489271,-0.920504853452441,1.5355880825439E-31)); #106980=DIRECTION('center_axis',(0.115111988554544,-0.313297804139071,-0.942652489527639)); #106981=DIRECTION('ref_axis',(-0.372984739736032,0.865893338011637,-0.33333333333333)); #106982=DIRECTION('center_axis',(0.245978120699086,-0.613028215962178,-0.750793693747873)); #106983=DIRECTION('ref_axis',(-0.297139814085615,0.689605707307093,-0.660417973206855)); #106984=DIRECTION('center_axis',(0.345714491855502,0.885622759660126,0.310086790581405)); #106985=DIRECTION('ref_axis',(0.637082930762967,0.0210870465740134,-0.770506765575264)); #106986=DIRECTION('center_axis',(-0.171475529039981,-0.932337828373395, -0.318343080220759)); #106987=DIRECTION('ref_axis',(-0.149877708278669,0.344055351529906,-0.926910237102152)); #106988=DIRECTION('center_axis',(-0.000541679869176529,-0.0245590443118287, 0.999698234431475)); #106989=DIRECTION('ref_axis',(0.719230241402851,0.694552570624532,0.017452406437284)); #106990=DIRECTION('center_axis',(-0.661726338864701,0.692460147912537,-0.287432071985133)); #106991=DIRECTION('ref_axis',(-0.197776758625326,0.208572974003375,0.957800432377772)); #106992=DIRECTION('center_axis',(-0.71923024140286,-0.694552570624522,-0.017452406437284)); #106993=DIRECTION('ref_axis',(0.694658370458996,-0.719339800338652,0.)); #106994=DIRECTION('center_axis',(0.0237671518598693,0.000508845392438106, -0.999717391850735)); #106995=DIRECTION('ref_axis',(0.719230241402851,0.694552570624531,0.0174524064372857)); #106996=DIRECTION('center_axis',(0.,0.,-1.)); #106997=DIRECTION('ref_axis',(-0.915479411028676,0.402364819514067,0.)); #106998=DIRECTION('center_axis',(0.,0.,-1.)); #106999=DIRECTION('ref_axis',(0.609487757563349,-0.792795480171526,0.)); #107000=DIRECTION('center_axis',(-0.00879524348546965,-0.0239380043122534, -0.999674754928611)); #107001=DIRECTION('ref_axis',(-0.920364656104705,-0.39067161824583,0.0174524064372833)); #107002=DIRECTION('center_axis',(0.920364656104695,0.390671618245854,-0.0174524064372832)); #107003=DIRECTION('ref_axis',(-0.390731128489271,0.920504853452441,0.)); #107004=DIRECTION('',(-0.390731128489271,0.920504853452441,0.)); #107005=DIRECTION('center_axis',(-0.378691172169741,0.879227674265549,-0.289053097070939)); #107006=DIRECTION('ref_axis',(-0.920364656104699,-0.390671618245845,0.017452406437279)); #107007=DIRECTION('center_axis',(-0.0227875211850974,0.00902462642722724, -0.999699597377176)); #107008=DIRECTION('ref_axis',(-0.920364656104696,-0.390671618245852,0.0174524064372799)); #107009=DIRECTION('center_axis',(-0.390731128367625,0.920504853504077,7.57045502222976E-9)); #107010=DIRECTION('ref_axis',(-0.920364656104698,-0.390671618245846,0.0174524064372837)); #107011=DIRECTION('center_axis',(-0.390731128489271,0.920504853452441,0.)); #107012=DIRECTION('ref_axis',(-0.645190379617795,-0.273867067808465,0.713250449154179)); #107013=DIRECTION('center_axis',(-0.174545983231765,0.932011367608968,0.317629517496906)); #107014=DIRECTION('ref_axis',(0.3739914943261,0.361159387944055,-0.854221434214575)); #107015=DIRECTION('center_axis',(0.00550313703649759,0.95101834006409,0.309085477407949)); #107016=DIRECTION('ref_axis',(0.587243215405562,0.247105354321681,-0.770768674652579)); #107017=DIRECTION('center_axis',(-0.219764082559324,0.251258641440509,0.942641418100398)); #107018=DIRECTION('ref_axis',(0.719230241402869,0.694552570624513,-0.0174524064372841)); #107019=DIRECTION('center_axis',(0.449693082814595,-0.484525052115712,-0.75034099257669)); #107020=DIRECTION('ref_axis',(-0.525254435886862,0.536004286413272,-0.660913899481448)); #107021=DIRECTION('center_axis',(-0.69465837045901,0.719339800338639,-1.21067864279714E-14)); #107022=DIRECTION('ref_axis',(0.719230241402847,0.694552570624535,-0.0174524064372828)); #107023=DIRECTION('center_axis',(-0.694658370458997,0.719339800338652,-1.36685205114256E-31)); #107024=DIRECTION('ref_axis',(0.504191930236957,0.486892487378151,-0.713250449154186)); #107025=DIRECTION('center_axis',(-0.694658370459012,0.719339800338637,0.)); #107026=DIRECTION('ref_axis',(0.719230241402845,0.694552570624538,-0.0174524064372833)); #107027=DIRECTION('',(0.694658370458997,-0.719339800338652,-9.68803173089299E-19)); #107028=DIRECTION('center_axis',(-0.644376466521032,-0.764708421160555, 0.)); #107029=DIRECTION('ref_axis',(-0.764591952364061,0.644278324864071,-0.0174524064375053)); #107030=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #107031=DIRECTION('ref_axis',(1.,0.,0.)); #107032=DIRECTION('center_axis',(-0.402364819514092,-0.915479411028665, 0.)); #107033=DIRECTION('ref_axis',(-0.915339979080142,0.402303537403182,-0.017452406437285)); #107034=DIRECTION('center_axis',(7.01169693443189E-19,-3.01899527866579E-18, 1.)); #107035=DIRECTION('ref_axis',(0.84874368520521,-0.528804459913377,-2.19157151738308E-18)); #107036=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #107037=DIRECTION('ref_axis',(1.,0.,0.)); #107038=DIRECTION('center_axis',(0.027007160175138,-0.999635240124754,0.)); #107039=DIRECTION('ref_axis',(-0.999482990835841,-0.0270030468538312,-0.0174524064372935)); #107040=DIRECTION('center_axis',(5.9927623416832E-18,-1.1739585363936E-18, 1.)); #107041=DIRECTION('ref_axis',(0.98134752725541,-0.192242114922024,-6.10666677730722E-18)); #107042=DIRECTION('center_axis',(0.999390827019097,0.0348994967024778,-6.10176768297963E-16)); #107043=DIRECTION('ref_axis',(0.0348941813400906,-0.999238614955485,-0.0174524064371844)); #107044=DIRECTION('center_axis',(-0.999390827019096,-0.0348994967024988, -3.75464084569174E-32)); #107045=DIRECTION('ref_axis',(0.0244613805581931,-0.700482289313979,-0.713250449154176)); #107046=DIRECTION('center_axis',(0.999390827019095,0.0348994967025122,-2.17451545487081E-14)); #107047=DIRECTION('ref_axis',(0.0348941813401245,-0.999238614955482,-0.0174524064372839)); #107048=DIRECTION('',(-0.999390827019096,-0.0348994967024988,-1.21100396636217E-19)); #107049=DIRECTION('center_axis',(-0.0178308234153102,0.016837785331496, -0.999699230129474)); #107050=DIRECTION('ref_axis',(0.0348941813401245,-0.999238614955482,-0.0174524064372839)); #107051=DIRECTION('center_axis',(0.116061394227097,0.0213965995539683,-0.993011549931616)); #107052=DIRECTION('ref_axis',(0.0348941813400905,-0.999238614955483,-0.0174524064372804)); #107053=DIRECTION('center_axis',(0.0170318419063379,0.0180550887127398, -0.999691917609047)); #107054=DIRECTION('ref_axis',(-0.0348941813401604,0.999238614955481,0.0174524064372811)); #107055=DIRECTION('center_axis',(0.,0.,-1.)); #107056=DIRECTION('ref_axis',(-0.99745990774983,-0.0712301371029158,0.)); #107057=DIRECTION('',(-0.0159773187662374,0.00702223436622373,0.999847695156391)); #107058=DIRECTION('center_axis',(0.,0.,-1.)); #107059=DIRECTION('ref_axis',(0.980966530056671,-0.194176895918578,0.)); #107060=DIRECTION('center_axis',(0.,0.,-1.)); #107061=DIRECTION('ref_axis',(-0.915479411028676,0.402364819514067,0.)); #107062=DIRECTION('center_axis',(-7.45333311414494E-18,4.63578075695689E-18, -1.)); #107063=DIRECTION('ref_axis',(0.849151067654868,-0.528150039572656,-8.77739356170049E-18)); #107064=DIRECTION('center_axis',(0.00090107553838694,-0.0260521050621233, -0.999660180203606)); #107065=DIRECTION('ref_axis',(-0.719230241402858,-0.694552570624524,0.0174524064372836)); #107066=DIRECTION('center_axis',(0.71923024140286,0.694552570624522,-0.0174524064372837)); #107067=DIRECTION('ref_axis',(-0.694658370458997,0.719339800338652,0.)); #107068=DIRECTION('center_axis',(-0.668493308358734,0.684965048324431,-0.289723280482436)); #107069=DIRECTION('ref_axis',(-0.719230241402829,-0.694552570624555,0.0174524064372828)); #107070=DIRECTION('center_axis',(-0.0244854256805892,0.000235381934101284, -0.999700159310073)); #107071=DIRECTION('ref_axis',(-0.719230241402852,-0.69455257062453,0.0174524064372802)); #107072=DIRECTION('center_axis',(-0.694658370349465,0.719339800444425,8.72274723404648E-9)); #107073=DIRECTION('ref_axis',(-0.719230241402867,-0.694552570624515,0.0174524064372831)); #107074=DIRECTION('center_axis',(-0.694658370458997,0.719339800338652,0.)); #107075=DIRECTION('ref_axis',(-0.504191930236962,-0.486892487378138,0.713250449154192)); #107076=DIRECTION('center_axis',(-0.017447373448281,-0.024014249373922, 0.999559355409554)); #107077=DIRECTION('ref_axis',(-0.587526247900693,-0.808660505412808,-0.0296832446547999)); #107078=DIRECTION('',(-0.017447373448281,-0.024014249373922,0.999559355409554)); #107079=DIRECTION('center_axis',(0.0174473734482809,0.0240142493739214, -0.999559355409554)); #107080=DIRECTION('ref_axis',(0.308969929589938,-0.950911665781179,-0.0174524064372823)); #107081=DIRECTION('center_axis',(0.95105651629516,0.309016994374929,0.)); #107082=DIRECTION('ref_axis',(0.308969929589928,-0.950911665781182,-0.0174524064372823)); #107083=DIRECTION('center_axis',(-0.544635761497524,0.838108258458926,0.0307641739846574)); #107084=DIRECTION('ref_axis',(0.836774397408072,0.545503938668592,-0.0472658517262814)); #107085=DIRECTION('center_axis',(0.308969929589946,-0.950911665781177,-0.0174524064372828)); #107086=DIRECTION('ref_axis',(0.949398276525092,0.309462443409969,-0.0536274990085988)); #107087=DIRECTION('center_axis',(0.951056516295154,0.309016994374946,-5.28707191559842E-33)); #107088=DIRECTION('ref_axis',(0.216592874183489,-0.666604323144014,-0.713250449154185)); #107089=DIRECTION('center_axis',(0.951056516295156,0.30901699437494,-3.32562154995488E-14)); #107090=DIRECTION('ref_axis',(0.308969929589938,-0.950911665781179,-0.0174524064372829)); #107091=DIRECTION('',(0.951056516295154,0.309016994374946,-5.28707191559842E-33)); #107092=DIRECTION('',(-0.951056516295154,-0.309016994374946,5.28546154491888E-33)); #107093=DIRECTION('center_axis',(0.951025660586818,0.308722150864801,0.0155185846911879)); #107094=DIRECTION('ref_axis',(0.308969929589874,-0.950911665781371,-0.0174524064279223)); #107095=DIRECTION('center_axis',(0.0868995106110137,0.00995236279614693, 0.996167368232036)); #107096=DIRECTION('ref_axis',(-0.308969929589939,0.950911665781179,0.0174524064372812)); #107097=DIRECTION('center_axis',(0.308969929589946,-0.950911665781177,-0.0174524064372828)); #107098=DIRECTION('ref_axis',(0.951056516295154,0.309016994374946,0.)); #107099=DIRECTION('',(-0.0174510036052466,0.0126788962755859,-0.99976732696283)); #107100=DIRECTION('center_axis',(0.308969929589946,-0.950911665781177,-0.0174524064372828)); #107101=DIRECTION('ref_axis',(-0.949398276525092,-0.309462443409969,0.0536274990085993)); #107102=DIRECTION('',(-0.951056516295154,-0.309016994374946,5.28546154491888E-33)); #107103=DIRECTION('center_axis',(0.984807753012208,0.173648177666929,1.62881837552907E-32)); #107104=DIRECTION('ref_axis',(-0.121711616455538,0.690260877640579,-0.713250449154179)); #107105=DIRECTION('center_axis',(0.984807753012208,0.173648177666928,0.)); #107106=DIRECTION('ref_axis',(0.,0.,-1.)); #107107=DIRECTION('',(0.984807753012208,0.173648177666929,1.62832225945648E-32)); #107108=DIRECTION('center_axis',(-0.984807753012208,-0.17364817766693,0.)); #107109=DIRECTION('ref_axis',(-0.173621730208387,0.984657762021401,-0.0174524064372842)); #107110=DIRECTION('center_axis',(0.194998270749081,-0.980803586048128,0.)); #107111=DIRECTION('ref_axis',(-0.98065420491134,-0.19496857156795,-0.01745240643756)); #107112=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #107113=DIRECTION('ref_axis',(1.,0.,0.)); #107114=DIRECTION('center_axis',(0.281788384185128,-0.959476579463165,0.)); #107115=DIRECTION('ref_axis',(-0.959330446532783,-0.281745466449344,-0.0174524064372831)); #107116=DIRECTION('center_axis',(9.63524292439825E-19,1.59133126240235E-18, 1.)); #107117=DIRECTION('ref_axis',(0.971110126509417,0.238631771126278,-1.31543039512182E-18)); #107118=DIRECTION('center_axis',(0.951056516295156,0.309016994374939,0.)); #107119=DIRECTION('ref_axis',(0.308969929589939,-0.950911665781182,-0.017452406437113)); #107120=DIRECTION('center_axis',(0.951056516295154,0.309016994374946,-5.28707191559842E-33)); #107121=DIRECTION('ref_axis',(0.216592874183489,-0.666604323144014,-0.713250449154185)); #107122=DIRECTION('center_axis',(0.951056516295156,0.30901699437494,-3.32562154995488E-14)); #107123=DIRECTION('ref_axis',(0.308969929589938,-0.950911665781179,-0.0174524064372829)); #107124=DIRECTION('center_axis',(0.0174510036052482,-0.0126788962755855, 0.99976732696283)); #107125=DIRECTION('ref_axis',(0.308969929589949,-0.950911665781176,-0.0174524064372829)); #107126=DIRECTION('center_axis',(-0.544635761497524,0.838108258458926,0.0307641739846574)); #107127=DIRECTION('ref_axis',(-0.836774397408072,-0.545503938668592,0.0472658517262814)); #107128=DIRECTION('center_axis',(-0.0174510036052466,0.0126788962755859, -0.99976732696283)); #107129=DIRECTION('ref_axis',(0.808828757933735,-0.587648490512631,-0.0215706267316787)); #107130=DIRECTION('',(0.0174510036052466,-0.0126788962755859,0.99976732696283)); #107131=DIRECTION('center_axis',(2.06345580535894E-15,1.,-1.48719347126105E-31)); #107132=DIRECTION('ref_axis',(-0.700909264299849,0.,0.713250449154184)); #107133=DIRECTION('',(2.06345580535894E-15,1.,-1.48674049222101E-31)); #107134=DIRECTION('center_axis',(0.999847695156391,-2.06314153104522E-15, -0.0174524064372834)); #107135=DIRECTION('ref_axis',(2.06345580535894E-15,1.,2.09648741037702E-31)); #107136=DIRECTION('center_axis',(0.0116898874509456,0.0221458605483906, -0.999686404524917)); #107137=DIRECTION('ref_axis',(-0.308969929590044,0.950911665781145,0.0174524064372835)); #107138=DIRECTION('center_axis',(0.,0.,-1.)); #107139=DIRECTION('ref_axis',(-0.950459430897519,-0.310848629107422,0.)); #107140=DIRECTION('center_axis',(-6.28041792842424E-19,2.55582711617844E-18, -1.)); #107141=DIRECTION('ref_axis',(0.971110471647538,0.238630366585011,0.)); #107142=DIRECTION('center_axis',(0.0205988656882001,-0.0140866818912659, -0.999688577570862)); #107143=DIRECTION('ref_axis',(0.173621730208326,-0.984657762021412,0.0174524064372883)); #107144=DIRECTION('center_axis',(-0.173621730208386,0.984657762021401,-0.0174524064372838)); #107145=DIRECTION('ref_axis',(-0.984807753012208,-0.173648177666929,0.)); #107146=DIRECTION('center_axis',(0.139173100960065,-0.99026806874157,0.)); #107147=DIRECTION('ref_axis',(0.,0.,-1.)); #107148=DIRECTION('center_axis',(0.139173100960066,-0.99026806874157,1.532234366543E-31)); #107149=DIRECTION('ref_axis',(0.694088063521288,0.0975477158042482,-0.713250449154182)); #107150=DIRECTION('center_axis',(0.13917310096003,-0.990268068741575,0.)); #107151=DIRECTION('ref_axis',(0.,0.,-1.)); #107152=DIRECTION('',(0.139173100960066,-0.99026806874157,-4.84401586544557E-19)); #107153=DIRECTION('center_axis',(-0.994061656737602,-0.108818300869356, 0.)); #107154=DIRECTION('ref_axis',(0.,0.,-1.)); #107155=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #107156=DIRECTION('ref_axis',(1.,0.,0.)); #107157=DIRECTION('center_axis',(-0.994061656737603,0.108818300869347,0.)); #107158=DIRECTION('ref_axis',(0.,0.,-1.)); #107159=DIRECTION('center_axis',(0.,0.,1.)); #107160=DIRECTION('ref_axis',(1.47408721614817E-15,1.,0.)); #107161=DIRECTION('center_axis',(0.1391731009601,0.990268068741565,0.)); #107162=DIRECTION('ref_axis',(0.,0.,-1.)); #107163=DIRECTION('center_axis',(-0.139173100960066,-0.99026806874157,1.41320604671851E-31)); #107164=DIRECTION('ref_axis',(-0.694088063521288,0.0975477158042482,-0.713250449154182)); #107165=DIRECTION('center_axis',(0.139173100960053,0.990268068741572,0.)); #107166=DIRECTION('ref_axis',(0.,0.,-1.)); #107167=DIRECTION('',(0.139173100960066,0.99026806874157,4.84401586544569E-19)); #107168=DIRECTION('center_axis',(-0.139173100960065,-0.99026806874157,0.)); #107169=DIRECTION('ref_axis',(0.,0.,-1.)); #107170=DIRECTION('center_axis',(0.139173100960066,0.99026806874157,-1.41320604671851E-31)); #107171=DIRECTION('ref_axis',(0.694088063521289,-0.0975477158042479,-0.71325044915418)); #107172=DIRECTION('center_axis',(-0.139173100960029,-0.990268068741575, 0.)); #107173=DIRECTION('ref_axis',(0.,0.,-1.)); #107174=DIRECTION('',(-0.139173100960066,-0.99026806874157,1.41277560324845E-31)); #107175=DIRECTION('center_axis',(-0.992466540024293,0.122515986435277,0.)); #107176=DIRECTION('ref_axis',(0.,0.,-1.)); #107177=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #107178=DIRECTION('ref_axis',(1.,0.,0.)); #107179=DIRECTION('center_axis',(-0.949965756481981,0.312354064343044,0.)); #107180=DIRECTION('ref_axis',(0.,0.,-1.)); #107181=DIRECTION('center_axis',(-2.49745879344235E-18,5.5912778896979E-19, 1.)); #107182=DIRECTION('ref_axis',(0.218470567503301,0.975843538245136,0.)); #107183=DIRECTION('center_axis',(0.275637355817052,0.961261695938304,0.)); #107184=DIRECTION('ref_axis',(0.,0.,-1.)); #107185=DIRECTION('center_axis',(-0.275637355817,-0.961261695938319,1.31171217397464E-31)); #107186=DIRECTION('ref_axis',(-0.673757228099752,0.193196776279254,-0.713250449154183)); #107187=DIRECTION('center_axis',(0.275637355817002,0.961261695938318,-3.84322625813657E-14)); #107188=DIRECTION('ref_axis',(-1.74838271594513E-14,-3.49676543189025E-14, -1.)); #107189=DIRECTION('center_axis',(0.867041329732228,-0.497240201125802,0.0314883299103271)); #107190=DIRECTION('ref_axis',(-0.498236221622003,-0.865308033560677,0.0547966652195667)); #107191=DIRECTION('center_axis',(-1.,7.53835631801336E-16,-4.27626886960873E-32)); #107192=DIRECTION('ref_axis',(0.,-0.700909264299849,0.713250449154184)); #107193=DIRECTION('center_axis',(-0.951056516295154,-0.309016994374946, 5.28707191559833E-33)); #107194=DIRECTION('ref_axis',(-0.216592874183484,0.666604323143989,-0.71325044915421)); #107195=DIRECTION('center_axis',(-0.95105651629515,-0.30901699437496,0.)); #107196=DIRECTION('ref_axis',(-0.308969929589959,0.950911665781172,-0.0174524064372808)); #107197=DIRECTION('center_axis',(0.288574479679171,-0.957457450583521,3.34800411570623E-14)); #107198=DIRECTION('ref_axis',(-0.957311625176244,-0.288530528388174,-0.017452406437484)); #107199=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #107200=DIRECTION('ref_axis',(1.,0.,0.)); #107201=DIRECTION('center_axis',(0.310848629107429,-0.950459430897517,0.)); #107202=DIRECTION('ref_axis',(-0.950314671322538,-0.310801285355587,-0.0174524064372873)); #107203=DIRECTION('center_axis',(-8.60691570985258E-19,-1.64921929059044E-18, 1.)); #107204=DIRECTION('ref_axis',(0.954026480946282,0.299722327585473,1.31543039512182E-18)); #107205=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #107206=DIRECTION('ref_axis',(1.,0.,0.)); #107207=DIRECTION('center_axis',(0.931001257822462,-0.365015969421877,0.)); #107208=DIRECTION('ref_axis',(-0.36496037572174,-0.93085946182149,-0.0174524064372927)); #107209=DIRECTION('center_axis',(4.04020805691193E-18,3.81482255045815E-18, 1.)); #107210=DIRECTION('ref_axis',(0.727096649831147,0.686535113307631,-5.55663137472877E-18)); #107211=DIRECTION('center_axis',(-0.0114676765772315,0.0233122787641588, -0.99966245805909)); #107212=DIRECTION('ref_axis',(-0.96111529112605,0.275595374912636,0.0174524064372855)); #107213=DIRECTION('center_axis',(0.,0.,-1.)); #107214=DIRECTION('ref_axis',(-0.313617724580513,-0.949549326169495,0.)); #107215=DIRECTION('',(-0.0165878042901727,-0.00542505661565514,0.999847695156391)); #107216=DIRECTION('center_axis',(1.62427394625324E-17,1.52766920929074E-17, -1.)); #107217=DIRECTION('ref_axis',(0.728437025076597,0.685112764804128,2.22980695700145E-17)); #107218=DIRECTION('center_axis',(0.,0.,-1.)); #107219=DIRECTION('ref_axis',(-0.950459430897519,-0.310848629107422,0.)); #107220=DIRECTION('center_axis',(-3.30004218464532E-18,1.72136546446734E-18, -1.)); #107221=DIRECTION('ref_axis',(0.953965454000584,0.299916509337948,-2.63186031949831E-18)); #107222=DIRECTION('center_axis',(0.0216424002831162,-0.0113158251053234, -0.999701734825028)); #107223=DIRECTION('ref_axis',(0.30896992958996,-0.950911665781172,0.0174524064372849)); #107224=DIRECTION('center_axis',(0.256468583587724,0.914270214755167,-0.31358226997719)); #107225=DIRECTION('ref_axis',(-0.96111529112609,0.275595374912497,0.0174524064372856)); #107226=DIRECTION('center_axis',(-0.273506229102331,-0.958739822904966, 0.0775389877311893)); #107227=DIRECTION('ref_axis',(0.0310421857692478,0.0717726733437508,0.996937844634137)); #107228=DIRECTION('center_axis',(1.,0.,0.)); #107229=DIRECTION('ref_axis',(0.,-1.,0.)); #107230=DIRECTION('center_axis',(0.,0.,-1.)); #107231=DIRECTION('ref_axis',(-1.,0.,0.)); #107232=DIRECTION('center_axis',(-0.929690346363369,0.368342041964747,2.3847408213601E-14)); #107233=DIRECTION('ref_axis',(0.116067989695563,0.292954040668734,0.949055399765415)); #107234=DIRECTION('center_axis',(0.310848629107368,-0.950459430897537,1.21460349854307E-12)); #107235=DIRECTION('ref_axis',(0.950314671322551,0.310801285355546,0.0174524064372809)); #107236=DIRECTION('center_axis',(0.,0.,-1.)); #107237=DIRECTION('ref_axis',(-1.,0.,0.)); #107238=DIRECTION('center_axis',(0.28893093922904,-0.957349942474655,-1.01201186116552E-14)); #107239=DIRECTION('ref_axis',(0.957204133441387,0.288886933647527,0.017452406437285)); #107240=DIRECTION('center_axis',(0.,0.,1.)); #107241=DIRECTION('ref_axis',(1.,0.,0.)); #107242=DIRECTION('center_axis',(0.,0.,1.)); #107243=DIRECTION('ref_axis',(1.,0.,0.)); #107244=DIRECTION('center_axis',(-0.711488855781808,-0.137668166104281, -0.689079882263136)); #107245=DIRECTION('ref_axis',(0.173621730208381,-0.984657762021402,0.0174524064372847)); #107246=DIRECTION('center_axis',(0.696744283627762,0.135340480742164,0.704436198320698)); #107247=DIRECTION('ref_axis',(-0.69347238417343,-0.124023376159359,0.709728296290103)); #107248=DIRECTION('center_axis',(0.900945324825498,0.298515055783126,-0.314938538679969)); #107249=DIRECTION('ref_axis',(-0.308969929589907,0.950911665781189,0.0174524064372836)); #107250=DIRECTION('center_axis',(-0.946345078411502,-0.309209833337784, 0.0939163006807076)); #107251=DIRECTION('ref_axis',(0.0901873199815997,0.0263654833751174,0.995575767383343)); #107252=DIRECTION('center_axis',(0.464885906315969,0.134991062336662,0.875019146760801)); #107253=DIRECTION('ref_axis',(-0.308969929589938,0.950911665781179,0.0174524064372826)); #107254=DIRECTION('center_axis',(0.45840589295205,0.132816873401028,0.87876260471575)); #107255=DIRECTION('ref_axis',(-0.308969929589928,0.950911665781182,0.0174524064372818)); #107256=DIRECTION('center_axis',(0.582225713584315,0.174602997096993,0.794057310177284)); #107257=DIRECTION('ref_axis',(-0.308969929589938,0.950911665781179,0.0174524064372834)); #107258=DIRECTION('center_axis',(1.,0.,0.)); #107259=DIRECTION('ref_axis',(0.,-1.,0.)); #107260=DIRECTION('center_axis',(0.255130824257188,-0.966903325448168,0.00249434382607172)); #107261=DIRECTION('ref_axis',(0.0901873199812878,0.0263654833757826,0.995575767383354)); #107262=DIRECTION('center_axis',(0.,0.,-1.)); #107263=DIRECTION('ref_axis',(-1.,0.,0.)); #107264=DIRECTION('center_axis',(-0.281412838769858,0.959586793456168,5.12797209299176E-14)); #107265=DIRECTION('ref_axis',(0.30237468810444,0.0886757924680203,0.949055399765425)); #107266=DIRECTION('center_axis',(0.195379532106085,-0.980727708609279,-2.44820031100497E-14)); #107267=DIRECTION('ref_axis',(0.980578339028997,0.195349774857003,0.0174524064372885)); #107268=DIRECTION('center_axis',(0.,0.,1.)); #107269=DIRECTION('ref_axis',(0.971110471647538,0.238630366585011,0.)); #107270=DIRECTION('center_axis',(0.,0.,1.)); #107271=DIRECTION('ref_axis',(1.,0.,0.)); #107272=DIRECTION('center_axis',(-0.981996190618759,-0.171758789950575, 0.0786282371986104)); #107273=DIRECTION('ref_axis',(0.173621730208387,-0.984657762021401,0.0174524064372853)); #107274=DIRECTION('center_axis',(0.935612329662475,0.159390552846818,-0.314998762293984)); #107275=DIRECTION('ref_axis',(0.309036386315832,0.0615659005370007,0.94905539976543)); #107276=DIRECTION('center_axis',(0.,0.,1.)); #107277=DIRECTION('ref_axis',(1.,0.,0.)); #107278=DIRECTION('center_axis',(1.,0.,0.)); #107279=DIRECTION('ref_axis',(0.,-1.,0.)); #107280=DIRECTION('center_axis',(-0.17752797177542,0.984114626962958,-0.00149004525586739)); #107281=DIRECTION('ref_axis',(0.0771243063879016,0.0154221374905262,0.99690220134144)); #107282=DIRECTION('center_axis',(0.,0.,1.)); #107283=DIRECTION('ref_axis',(-1.,1.4470566153126E-15,0.)); #107284=DIRECTION('center_axis',(0.037387139191862,-0.999264290666595,-0.00854863858373736)); #107285=DIRECTION('ref_axis',(-0.60560671885987,-0.0294616137123068,0.795218533102222)); #107286=DIRECTION('center_axis',(-0.119069258911211,0.992350203038857,0.0326126679539534)); #107287=DIRECTION('ref_axis',(-0.988757316451129,-0.121502451294064,0.0871557427476603)); #107288=DIRECTION('center_axis',(0.933669674070514,0.170216076934974,-0.315099074695447)); #107289=DIRECTION('ref_axis',(-0.173621730208421,0.984657762021395,0.0174524064372852)); #107290=DIRECTION('center_axis',(-0.98162381542968,-0.1744573178552,0.0773261225463105)); #107291=DIRECTION('ref_axis',(0.0759542283854704,0.0145369483953796,0.997005332143072)); #107292=DIRECTION('center_axis',(0.,0.,-1.)); #107293=DIRECTION('ref_axis',(-1.,0.,0.)); #107294=DIRECTION('center_axis',(-0.187682067789992,0.98222983126663,1.70672627411109E-14)); #107295=DIRECTION('ref_axis',(0.309509719080615,0.0591403582227027,0.949055399765435)); #107296=DIRECTION('center_axis',(-2.95405794739965E-18,2.26427010891026E-18, -1.)); #107297=DIRECTION('ref_axis',(0.991376331344331,0.131045677724431,-2.63186031949831E-18)); #107298=DIRECTION('center_axis',(0.0739771708192622,-0.997259935121018, -2.26338846397083E-14)); #107299=DIRECTION('ref_axis',(0.997108047602563,0.0739659037378295,0.0174524064372833)); #107300=DIRECTION('center_axis',(0.,0.,1.)); #107301=DIRECTION('ref_axis',(0.991376331344331,0.131045677724431,0.)); #107302=DIRECTION('center_axis',(0.00516827767209341,0.0176432328890146, 0.999830988337093)); #107303=DIRECTION('ref_axis',(-0.568533446152098,-0.822475005161839,0.0174524064372837)); #107304=DIRECTION('center_axis',(0.00514622512366365,0.017642465020428, 0.999831115636526)); #107305=DIRECTION('ref_axis',(-0.566657015368815,-0.823768924178896,0.0174524064372853)); #107306=DIRECTION('center_axis',(-0.874782765228307,-0.0389830540725417, -0.482944546666276)); #107307=DIRECTION('ref_axis',(0.0348941813401255,-0.999238614955482,0.0174524064372811)); #107308=DIRECTION('center_axis',(0.843025114119472,0.0388089522787572,0.536472293960161)); #107309=DIRECTION('ref_axis',(-0.537805192725448,0.044842086102937,0.84187574023218)); #107310=DIRECTION('center_axis',(0.,0.,1.)); #107311=DIRECTION('ref_axis',(-0.775475419132519,-0.631377758811034,0.)); #107312=DIRECTION('center_axis',(-0.729963921531209,0.49208046999473,-0.474351646263133)); #107313=DIRECTION('ref_axis',(-0.483961586326273,0.117944108577444,0.867104590123031)); #107314=DIRECTION('center_axis',(-0.998140036978463,-0.0339717032275037, 0.0506200549234588)); #107315=DIRECTION('ref_axis',(0.0348941813400905,-0.999238614955483,0.0174524064372811)); #107316=DIRECTION('center_axis',(0.948748144424604,0.0276324128872464,-0.314822820343283)); #107317=DIRECTION('ref_axis',(0.314245844042091,0.023310891840007,0.949055399765418)); #107318=DIRECTION('center_axis',(1.,0.,0.)); #107319=DIRECTION('ref_axis',(0.,-1.,0.)); #107320=DIRECTION('center_axis',(0.0715349545888234,0.997410428946307,-0.00742876174862265)); #107321=DIRECTION('ref_axis',(0.0505194036082381,0.00381519816057958,0.998715792466537)); #107322=DIRECTION('center_axis',(0.,0.,1.)); #107323=DIRECTION('ref_axis',(1.,0.,0.)); #107324=DIRECTION('center_axis',(0.0187441243818108,-0.0168056098133908, -0.999683064415897)); #107325=DIRECTION('ref_axis',(0.0348941813400556,-0.999238614955485,0.0174524064372811)); #107326=DIRECTION('center_axis',(0.,0.,1.)); #107327=DIRECTION('ref_axis',(1.,0.,0.)); #107328=DIRECTION('center_axis',(0.0139111189613778,0.0201719211727975, -0.999699742105319)); #107329=DIRECTION('ref_axis',(-0.173621730208427,0.984657762021394,0.0174524064372858)); #107330=DIRECTION('center_axis',(0.707845144879342,0.112451968038699,0.697359165534495)); #107331=DIRECTION('ref_axis',(-0.173621730208393,0.9846577620214,0.0174524064372859)); #107332=DIRECTION('center_axis',(-0.727408175108506,-0.116275035321815, -0.676282088293209)); #107333=DIRECTION('ref_axis',(-0.674111743627725,-0.0631250740272662,0.73592702228702)); #107334=DIRECTION('center_axis',(1.,0.,0.)); #107335=DIRECTION('ref_axis',(0.,-1.,0.)); #107336=DIRECTION('center_axis',(0.16940681148842,-0.985545122665826,0.00146403925089654)); #107337=DIRECTION('ref_axis',(0.0759542283854358,0.0145369483952748,0.997005332143077)); #107338=DIRECTION('center_axis',(-0.0348941813401115,0.999238614955483, -0.0174524064372836)); #107339=DIRECTION('ref_axis',(-0.999390827019096,-0.0348994967024988,0.)); #107340=DIRECTION('center_axis',(0.,0.,-1.)); #107341=DIRECTION('ref_axis',(-0.950459430897519,-0.310848629107422,0.)); #107342=DIRECTION('center_axis',(0.173621730208387,-0.984657762021401,-0.0174524064372838)); #107343=DIRECTION('ref_axis',(0.984807753012208,0.173648177666929,0.)); #107344=DIRECTION('',(-0.545973370088826,0.742392239068914,-0.388287062009661)); #107345=DIRECTION('center_axis',(0.024469614103922,-0.000218998745604231, 0.999700550177479)); #107346=DIRECTION('ref_axis',(-0.706683779737066,0.707314250504033,0.0174524064372856)); #107347=DIRECTION('center_axis',(0.948283495304576,0.0386176530251558,-0.315066801500516)); #107348=DIRECTION('ref_axis',(-0.0348941813401258,0.999238614955482,0.0174524064372834)); #107349=DIRECTION('center_axis',(-0.998627019904167,-0.0355448874186718, 0.0384790344956257)); #107350=DIRECTION('ref_axis',(0.0384799231075715,0.000659352018032365,0.999259155961331)); #107351=DIRECTION('center_axis',(0.866354484751928,0.0215389916038793,0.49896490717375)); #107352=DIRECTION('ref_axis',(-0.0348941813400908,0.999238614955483,0.0174524064372813)); #107353=DIRECTION('center_axis',(-0.878064324296976,-0.0223136888458449, -0.478022114223794)); #107354=DIRECTION('ref_axis',(-0.47732630457042,0.112014043273372,0.871557486959236)); #107355=DIRECTION('center_axis',(0.0353419952902681,-0.0162183250324041, 0.999243668632454)); #107356=DIRECTION('ref_axis',(-0.0348941813400905,0.999238614955483,0.0174524064372842)); #107357=DIRECTION('center_axis',(0.0345415577419473,-0.0162467577167345, 0.999271196248773)); #107358=DIRECTION('ref_axis',(-0.0348941813400905,0.999238614955483,0.0174524064372833)); #107359=DIRECTION('center_axis',(0.,0.,1.)); #107360=DIRECTION('ref_axis',(-0.904900368576228,0.425623452068383,0.)); #107361=DIRECTION('center_axis',(0.564507651901814,-0.721015606028801,0.401830320915709)); #107362=DIRECTION('ref_axis',(-0.47732630457013,0.112014043277198,0.871557486958903)); #107363=DIRECTION('center_axis',(1.,0.,0.)); #107364=DIRECTION('ref_axis',(0.,-1.,0.)); #107365=DIRECTION('center_axis',(-0.158772392596991,-0.98729203120952,0.0067655346579189)); #107366=DIRECTION('ref_axis',(0.0384799231075717,0.00065935201804111,0.999259155961331)); #107367=DIRECTION('center_axis',(0.,0.,-1.)); #107368=DIRECTION('ref_axis',(0.980980250630798,-0.194107567787391,0.)); #107369=DIRECTION('center_axis',(-0.0234011306950004,0.999726156045842, -1.10358279139752E-15)); #107370=DIRECTION('ref_axis',(0.315022973102202,0.00737391306700516,0.949055399765435)); #107371=DIRECTION('center_axis',(-0.402364819514116,-0.915479411028655, 3.36504520419136E-12)); #107372=DIRECTION('ref_axis',(0.915339979080155,-0.402303537403153,0.0174524064372798)); #107373=DIRECTION('center_axis',(0.,0.,1.)); #107374=DIRECTION('ref_axis',(0.980966530056671,-0.194176895918578,0.)); #107375=DIRECTION('center_axis',(0.,0.,-1.)); #107376=DIRECTION('ref_axis',(-1.,0.,0.)); #107377=DIRECTION('center_axis',(-0.643297546300647,-0.76561626610435,1.34934914822074E-14)); #107378=DIRECTION('ref_axis',(0.765499659038677,-0.643199568968463,0.0174524064372793)); #107379=DIRECTION('center_axis',(0.,0.,1.)); #107380=DIRECTION('ref_axis',(0.849151067654868,-0.528150039572656,0.)); #107381=DIRECTION('center_axis',(0.,0.,1.)); #107382=DIRECTION('ref_axis',(1.,0.,0.)); #107383=DIRECTION('center_axis',(-0.69381785611872,0.719331963357595,0.0343265061276333)); #107384=DIRECTION('ref_axis',(-0.719230241402866,-0.694552570624516,0.0174524064372852)); #107385=DIRECTION('center_axis',(0.655716741595116,-0.686889491022265,-0.313398120471482)); #107386=DIRECTION('ref_axis',(0.241252778018276,-0.202709016263537,0.949055399765437)); #107387=DIRECTION('center_axis',(0.,0.,1.)); #107388=DIRECTION('ref_axis',(1.,0.,0.)); #107389=DIRECTION('center_axis',(0.,1.,0.)); #107390=DIRECTION('ref_axis',(-0.688839879449481,0.,0.724913526208489)); #107391=DIRECTION('center_axis',(0.707375172208129,0.706829852879548,-0.00345323352754256)); #107392=DIRECTION('ref_axis',(0.0267511345668337,-0.0218891694199306,0.999402441992961)); #107393=DIRECTION('center_axis',(0.,0.,1.)); #107394=DIRECTION('ref_axis',(1.,0.,0.)); #107395=DIRECTION('center_axis',(0.402364819513981,0.915479411028714,0.)); #107396=DIRECTION('ref_axis',(-0.569194849474862,0.250168360007013,-0.783219646703714)); #107397=DIRECTION('center_axis',(0.530435468557186,0.847649187867233,-0.0113608100300783)); #107398=DIRECTION('ref_axis',(-0.847469584421983,0.530556987503869,0.0174524064372809)); #107399=DIRECTION('center_axis',(0.,0.,1.)); #107400=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #107401=DIRECTION('center_axis',(-6.58371389413441E-34,2.26793440817686E-18, -1.)); #107402=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,-2.26793440817686E-18)); #107403=DIRECTION('',(0.0147926366207763,-0.00926090666499793,0.999847695156391)); #107404=DIRECTION('center_axis',(0.,0.,1.)); #107405=DIRECTION('ref_axis',(1.,0.,0.)); #107406=DIRECTION('center_axis',(-0.530637806211875,-0.8475986778061,0.)); #107407=DIRECTION('ref_axis',(0.,0.,-1.)); #107408=DIRECTION('center_axis',(0.0227785703052438,-0.00900352186192802, 0.999699991661964)); #107409=DIRECTION('ref_axis',(-0.404535937976061,0.914355559066262,0.0174524064372639)); #107410=DIRECTION('center_axis',(0.663374750014587,-0.679045191474049,-0.314374886050168)); #107411=DIRECTION('ref_axis',(0.719230241402854,0.694552570624529,0.0174524064372857)); #107412=DIRECTION('center_axis',(-0.69474043004878,0.718732102266837,0.0275662842387233)); #107413=DIRECTION('ref_axis',(0.0208782081293132,-0.0181580790625211,0.999617118996103)); #107414=DIRECTION('center_axis',(-0.000557723688825213,-0.0245424404745599, 0.999698633368997)); #107415=DIRECTION('ref_axis',(0.719230241402855,0.694552570624527,0.0174524064372858)); #107416=DIRECTION('center_axis',(-0.694658369088856,0.719339801661773,-1.09120851465065E-7)); #107417=DIRECTION('ref_axis',(-7.3523759259318E-8,8.06947657207459E-8,0.999999999999994)); #107418=DIRECTION('center_axis',(0.694658370458996,-0.719339800338652,0.)); #107419=DIRECTION('ref_axis',(0.504191930236962,0.486892487378137,0.713250449154192)); #107420=DIRECTION('center_axis',(1.,0.,0.)); #107421=DIRECTION('ref_axis',(0.,-1.,0.)); #107422=DIRECTION('center_axis',(-0.726583240650473,-0.687073163600655, 0.00269485911388659)); #107423=DIRECTION('ref_axis',(0.0208782081352925,-0.0181580790567448,0.999617118996083)); #107424=DIRECTION('center_axis',(0.,0.,-1.)); #107425=DIRECTION('ref_axis',(-1.,0.,0.)); #107426=DIRECTION('center_axis',(0.663234385848695,0.748411751262568,-2.04183691240656E-14)); #107427=DIRECTION('ref_axis',(0.235831476011272,-0.208991299097378,0.949055399765438)); #107428=DIRECTION('center_axis',(-0.89375092862495,-0.448563571394333,1.95054431326968E-14)); #107429=DIRECTION('ref_axis',(0.448495252989744,-0.893614806029541,0.0174524064372824)); #107430=DIRECTION('center_axis',(0.,0.,1.)); #107431=DIRECTION('ref_axis',(0.609487757563349,-0.792795480171526,0.)); #107432=DIRECTION('center_axis',(0.,0.,1.)); #107433=DIRECTION('ref_axis',(1.,0.,0.)); #107434=DIRECTION('center_axis',(-0.39073112848929,0.920504853452434,-7.71124948373544E-13)); #107435=DIRECTION('ref_axis',(-0.920364656104693,-0.39067161824586,0.0174524064372809)); #107436=DIRECTION('center_axis',(0.365856145723424,-0.875949256778066,-0.314423568115296)); #107437=DIRECTION('ref_axis',(0.141346536780541,-0.281629197201266,0.949055399765429)); #107438=DIRECTION('center_axis',(-0.390731128489271,0.920504853452441,0.)); #107439=DIRECTION('ref_axis',(-0.645190379617793,-0.273867067808483,0.713250449154175)); #107440=DIRECTION('center_axis',(-0.390731128489277,0.920504853452439,-1.60939477571985E-14)); #107441=DIRECTION('ref_axis',(-0.920364656104693,-0.39067161824586,0.0174524064372853)); #107442=DIRECTION('',(0.390731128489271,-0.920504853452441,0.)); #107443=DIRECTION('center_axis',(0.,0.,1.)); #107444=DIRECTION('ref_axis',(1.,0.,0.)); #107445=DIRECTION('center_axis',(-0.546578446007395,0.216042136180961,-0.809059823347142)); #107446=DIRECTION('ref_axis',(-0.752415983274225,0.297402060967956,0.587726298752654)); #107447=DIRECTION('center_axis',(0.914494841060008,0.404597560144188,0.)); #107448=DIRECTION('ref_axis',(-0.404597560144188,0.914494841060008,0.)); #107449=DIRECTION('center_axis',(0.,0.,1.)); #107450=DIRECTION('ref_axis',(1.,0.,0.)); #107451=DIRECTION('center_axis',(0.,0.,1.)); #107452=DIRECTION('ref_axis',(-0.915479411028686,-0.402364819514045,0.)); #107453=DIRECTION('center_axis',(0.,0.,-1.)); #107454=DIRECTION('ref_axis',(-1.,0.,0.)); #107455=DIRECTION('center_axis',(0.,0.,-1.)); #107456=DIRECTION('ref_axis',(0.521355510509335,-0.853339575820523,0.)); #107457=DIRECTION('center_axis',(0.,0.,1.)); #107458=DIRECTION('ref_axis',(1.,0.,0.)); #107459=DIRECTION('center_axis',(0.,0.,1.)); #107460=DIRECTION('ref_axis',(0.521355510509335,-0.853339575820523,0.)); #107461=DIRECTION('center_axis',(0.803048876004706,0.595913167120494,1.57536144885119E-14)); #107462=DIRECTION('ref_axis',(-0.595822406658772,0.802926567771236,0.0174524064372774)); #107463=DIRECTION('center_axis',(0.,0.,1.)); #107464=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #107465=DIRECTION('center_axis',(0.390731128489272,-0.920504853452441,0.)); #107466=DIRECTION('ref_axis',(0.645190379617798,0.27386706780846,0.713250449154179)); #107467=DIRECTION('center_axis',(0.390731128489277,-0.920504853452439,6.83147551632388E-15)); #107468=DIRECTION('ref_axis',(0.920364656104693,0.39067161824586,0.0174524064372853)); #107469=DIRECTION('',(-0.390731128489272,0.920504853452441,0.)); #107470=DIRECTION('center_axis',(0.375499687824983,-0.870395702115644,-0.318451418872575)); #107471=DIRECTION('ref_axis',(0.92036465610469,0.390671618245866,0.0174524064372834)); #107472=DIRECTION('center_axis',(0.,0.,-1.)); #107473=DIRECTION('ref_axis',(-1.,0.,0.)); #107474=DIRECTION('center_axis',(0.905238530788467,0.424903756603702,3.10257557518123E-15)); #107475=DIRECTION('ref_axis',(0.135371800940436,-0.288403593258427,0.947891261119002)); #107476=DIRECTION('center_axis',(-0.999914358562742,-0.0130872281274936, -1.44186659543029E-15)); #107477=DIRECTION('ref_axis',(0.0130872281274936,-0.999914358562741,5.24514814783564E-14)); #107478=DIRECTION('center_axis',(0.,0.,1.)); #107479=DIRECTION('ref_axis',(0.224053335212491,-0.9745768840785,0.)); #107480=DIRECTION('center_axis',(0.,0.,1.)); #107481=DIRECTION('ref_axis',(1.,0.,0.)); #107482=DIRECTION('center_axis',(-3.05181338261989E-16,1.,-1.74838271594512E-14)); #107483=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372854)); #107484=DIRECTION('center_axis',(-0.00556018204469081,-0.947892233414374, -0.318542616000339)); #107485=DIRECTION('ref_axis',(0.00416951277413324,-0.318566746942385,0.947891261119002)); #107486=DIRECTION('center_axis',(9.07611467346921E-16,1.,0.)); #107487=DIRECTION('ref_axis',(-0.700909264299852,0.,0.71325044915418)); #107488=DIRECTION('',(-9.07611467346921E-16,-1.,0.)); #107489=DIRECTION('center_axis',(0.,0.,1.)); #107490=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #107491=DIRECTION('center_axis',(0.,0.,1.)); #107492=DIRECTION('ref_axis',(1.,0.,0.)); #107493=DIRECTION('center_axis',(-0.390731127124977,0.920504854031546,-8.49101351366693E-8)); #107494=DIRECTION('ref_axis',(-3.04326118111479E-8,7.93251291424911E-8, 0.999999999999996)); #107495=DIRECTION('center_axis',(0.390731128489272,-0.920504853452441,0.)); #107496=DIRECTION('ref_axis',(0.645190379617795,0.273867067808467,0.713250449154179)); #107497=DIRECTION('center_axis',(0.,0.,1.)); #107498=DIRECTION('ref_axis',(1.,0.,0.)); #107499=DIRECTION('center_axis',(0.,0.,1.)); #107500=DIRECTION('ref_axis',(-0.915479411028686,-0.402364819514045,0.)); #107501=DIRECTION('center_axis',(0.,0.,-1.)); #107502=DIRECTION('ref_axis',(-1.,0.,0.)); #107503=DIRECTION('center_axis',(0.,0.,1.)); #107504=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #107505=DIRECTION('center_axis',(0.,0.,1.)); #107506=DIRECTION('ref_axis',(1.,0.,0.)); #107507=DIRECTION('center_axis',(-6.58371389413441E-34,2.26793440817686E-18, -1.)); #107508=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,-2.26793440817686E-18)); #107509=DIRECTION('center_axis',(0.,0.,1.)); #107510=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #107511=DIRECTION('center_axis',(-7.84027867633538E-16,-1.,0.)); #107512=DIRECTION('ref_axis',(0.700909264299852,0.,0.71325044915418)); #107513=DIRECTION('center_axis',(3.0518133826199E-16,-1.,-1.74838271594513E-14)); #107514=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372854)); #107515=DIRECTION('',(7.84027867633538E-16,1.,0.)); #107516=DIRECTION('center_axis',(0.00556018204469082,-0.947892233414374, -0.31854261600034)); #107517=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372854)); #107518=DIRECTION('center_axis',(0.,0.,1.)); #107519=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #107520=DIRECTION('center_axis',(0.,0.,-1.)); #107521=DIRECTION('ref_axis',(-1.,0.,0.)); #107522=DIRECTION('center_axis',(0.999914358562742,-0.0130872281274983, -1.22385525813846E-14)); #107523=DIRECTION('ref_axis',(-0.00416951277412504,-0.318566746942385,0.947891261119002)); #107524=DIRECTION('center_axis',(-0.905238530788456,0.424903756603724,1.02163381439888E-14)); #107525=DIRECTION('ref_axis',(-0.424903756603724,-0.905238530788456,-8.30481790073918E-14)); #107526=DIRECTION('center_axis',(0.,0.,1.)); #107527=DIRECTION('ref_axis',(-0.224053335212489,-0.974576884078501,0.)); #107528=DIRECTION('center_axis',(0.,0.,1.)); #107529=DIRECTION('ref_axis',(1.,0.,0.)); #107530=DIRECTION('center_axis',(0.390731128489268,0.920504853452443,-1.17058113092162E-13)); #107531=DIRECTION('ref_axis',(-0.920364656104696,0.390671618245852,0.017452406437281)); #107532=DIRECTION('center_axis',(-0.37549968782496,-0.870395702115646,-0.318451418872596)); #107533=DIRECTION('ref_axis',(-0.135371800940451,-0.288403593258421,0.947891261119001)); #107534=DIRECTION('center_axis',(0.390731128489272,0.920504853452441,0.)); #107535=DIRECTION('ref_axis',(-0.645190379617798,0.27386706780846,0.713250449154179)); #107536=DIRECTION('',(-0.390731128489272,-0.920504853452441,0.)); #107537=DIRECTION('center_axis',(0.,0.,1.)); #107538=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #107539=DIRECTION('center_axis',(0.,0.,1.)); #107540=DIRECTION('ref_axis',(-0.934031809653922,-0.357189835458149,0.)); #107541=DIRECTION('center_axis',(-1.18207413655141E-10,1.,6.77209819277452E-9)); #107542=DIRECTION('ref_axis',(-2.66870952283469E-10,-6.77209819280606E-9, 1.)); #107543=DIRECTION('center_axis',(-7.84027867633538E-16,-1.,0.)); #107544=DIRECTION('ref_axis',(0.700909264299851,0.,0.713250449154182)); #107545=DIRECTION('center_axis',(0.,0.,1.)); #107546=DIRECTION('ref_axis',(1.,0.,0.)); #107547=DIRECTION('center_axis',(0.,0.,1.)); #107548=DIRECTION('ref_axis',(-0.915479411028686,-0.402364819514045,0.)); #107549=DIRECTION('center_axis',(0.,0.,-1.)); #107550=DIRECTION('ref_axis',(-1.,0.,0.)); #107551=DIRECTION('center_axis',(0.,0.,1.)); #107552=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #107553=DIRECTION('center_axis',(0.,0.,1.)); #107554=DIRECTION('ref_axis',(1.,0.,0.)); #107555=DIRECTION('center_axis',(-6.58371389413441E-34,2.26793440817686E-18, -1.)); #107556=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,-2.26793440817686E-18)); #107557=DIRECTION('center_axis',(0.,0.,1.)); #107558=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #107559=DIRECTION('center_axis',(0.000557723688822521,-0.0245424404745623, 0.999698633368997)); #107560=DIRECTION('ref_axis',(0.68693684000836,0.726507530139141,0.0174524064372945)); #107561=DIRECTION('center_axis',(-0.390731128489271,-0.920504853452441, 0.)); #107562=DIRECTION('ref_axis',(0.645190379617793,-0.273867067808483,0.713250449154175)); #107563=DIRECTION('center_axis',(0.390731128489277,0.920504853452439,2.29254232735224E-14)); #107564=DIRECTION('ref_axis',(-1.74838271594513E-14,-1.74838271594513E-14, 1.)); #107565=DIRECTION('center_axis',(-0.390731128489277,-0.920504853452439, 6.83147551632388E-15)); #107566=DIRECTION('ref_axis',(0.920364656104693,-0.39067161824586,0.0174524064372853)); #107567=DIRECTION('',(0.390731128489271,0.920504853452441,0.)); #107568=DIRECTION('center_axis',(-0.365856145723423,-0.875949256778077, -0.314423568115267)); #107569=DIRECTION('ref_axis',(0.920364656104699,-0.390671618245845,0.0174524064372788)); #107570=DIRECTION('center_axis',(-0.022778570305258,-0.00900352186195031, 0.999699991661963)); #107571=DIRECTION('ref_axis',(0.920364656104696,-0.390671618245852,0.0174524064372853)); #107572=DIRECTION('center_axis',(0.390731128367624,0.920504853504078,7.57053184547346E-9)); #107573=DIRECTION('ref_axis',(-3.26255208326225E-9,-6.83945463693785E-9, 1.)); #107574=DIRECTION('center_axis',(-0.390731128489271,-0.920504853452441, 0.)); #107575=DIRECTION('ref_axis',(0.645190379617795,-0.273867067808468,0.713250449154179)); #107576=DIRECTION('center_axis',(0.546578446007405,0.216042136180938,-0.809059823347142)); #107577=DIRECTION('ref_axis',(0.752415983274239,0.297402060967923,0.587726298752653)); #107578=DIRECTION('center_axis',(-0.914494841060008,0.404597560144188,0.)); #107579=DIRECTION('ref_axis',(0.404597560144188,0.914494841060008,0.)); #107580=DIRECTION('center_axis',(0.,0.,-1.)); #107581=DIRECTION('ref_axis',(-1.,0.,0.)); #107582=DIRECTION('center_axis',(0.893750928624926,-0.448563571394382,9.46325722762128E-15)); #107583=DIRECTION('ref_axis',(-0.141346536780543,-0.281629197201235,0.949055399765438)); #107584=DIRECTION('center_axis',(-0.663234385848678,0.748411751262583,-1.28700298295648E-14)); #107585=DIRECTION('ref_axis',(-0.748297764527852,-0.663133372039265,0.0174524064372799)); #107586=DIRECTION('center_axis',(0.,0.,1.)); #107587=DIRECTION('ref_axis',(-0.609487757563347,-0.792795480171527,0.)); #107588=DIRECTION('center_axis',(0.,0.,1.)); #107589=DIRECTION('ref_axis',(1.,0.,0.)); #107590=DIRECTION('center_axis',(0.694740430048744,0.718732102266871,0.0275662842387407)); #107591=DIRECTION('ref_axis',(-0.719230241402886,0.694552570624495,0.0174524064372848)); #107592=DIRECTION('center_axis',(-0.663374750014595,-0.679045191474045, -0.314374886050162)); #107593=DIRECTION('ref_axis',(-0.235831476011275,-0.20899129909739,0.949055399765435)); #107594=DIRECTION('center_axis',(0.,0.,1.)); #107595=DIRECTION('ref_axis',(-0.999770893112603,0.0214047023998964,0.)); #107596=DIRECTION('center_axis',(1.,0.,0.)); #107597=DIRECTION('ref_axis',(0.,-1.,0.)); #107598=DIRECTION('center_axis',(0.726583240650474,-0.687073163600655,0.00269485911388595)); #107599=DIRECTION('ref_axis',(-0.0208782081352579,-0.0181580790567309,0.999617118996084)); #107600=DIRECTION('center_axis',(0.,0.,1.)); #107601=DIRECTION('ref_axis',(1.,0.,0.)); #107602=DIRECTION('center_axis',(0.,0.,1.)); #107603=DIRECTION('ref_axis',(-0.915479411028686,-0.402364819514045,0.)); #107604=DIRECTION('center_axis',(0.803048876004209,-0.595913167121165,-7.81274607560129E-13)); #107605=DIRECTION('ref_axis',(0.595822406659453,0.802926567770731,0.0174524064372813)); #107606=DIRECTION('center_axis',(0.0353419952872241,0.0162183250325123, -0.99924366863256)); #107607=DIRECTION('ref_axis',(0.0348941813401255,0.999238614955482,0.0174524064372855)); #107608=DIRECTION('center_axis',(-0.0345415577419556,-0.0162467577167309, 0.999271196248773)); #107609=DIRECTION('ref_axis',(0.79195879520425,-0.610325061101208,0.0174524064369161)); #107610=DIRECTION('center_axis',(-0.86635448475193,0.0215389916038771,0.498964907173747)); #107611=DIRECTION('ref_axis',(0.498833160057843,0.0861301225769474,0.862407722838558)); #107612=DIRECTION('center_axis',(0.878064324296958,-0.0223136888458443, -0.478022114223827)); #107613=DIRECTION('ref_axis',(0.0348941813401255,0.999238614955482,0.0174524064372833)); #107614=DIRECTION('center_axis',(-0.655716741595099,-0.686889491022279, -0.313398120471486)); #107615=DIRECTION('ref_axis',(0.719230241402864,-0.694552570624518,0.0174524064372807)); #107616=DIRECTION('center_axis',(0.693817856118719,0.719331963357597,0.0343265061276101)); #107617=DIRECTION('ref_axis',(-0.026751134566379,-0.0218891694203835,0.999402441992963)); #107618=DIRECTION('center_axis',(-0.0244696141039208,-0.00021899874560301, 0.999700550177479)); #107619=DIRECTION('ref_axis',(0.719230241402852,-0.694552570624531,0.0174524064372857)); #107620=DIRECTION('center_axis',(0.,1.,0.)); #107621=DIRECTION('ref_axis',(0.688839879449475,0.,0.724913526208494)); #107622=DIRECTION('center_axis',(-0.707375172208129,0.706829852879548,-0.00345323352754185)); #107623=DIRECTION('ref_axis',(-0.0267511345668685,-0.0218891694199447,0.999402441992959)); #107624=DIRECTION('center_axis',(0.,0.,-1.)); #107625=DIRECTION('ref_axis',(-1.,0.,0.)); #107626=DIRECTION('center_axis',(0.643297546300621,-0.765616266104372,5.8560862373279E-15)); #107627=DIRECTION('ref_axis',(-0.241252778018308,-0.202709016263538,0.949055399765429)); #107628=DIRECTION('center_axis',(-4.6357807569569E-18,7.45333311414493E-18, -1.)); #107629=DIRECTION('ref_axis',(-0.849151067654867,-0.528150039572657,0.)); #107630=DIRECTION('center_axis',(-0.402364819514093,0.915479411028665,-3.36266269001887E-12)); #107631=DIRECTION('ref_axis',(-0.915339979080165,-0.402303537403129,0.0174524064372785)); #107632=DIRECTION('center_axis',(0.,0.,1.)); #107633=DIRECTION('ref_axis',(-0.849151067654867,-0.528150039572657,0.)); #107634=DIRECTION('center_axis',(0.,0.,-1.)); #107635=DIRECTION('ref_axis',(-1.,0.,0.)); #107636=DIRECTION('center_axis',(0.0234011306950004,0.999726156045842,6.30419930016491E-16)); #107637=DIRECTION('ref_axis',(-0.999573892909994,0.0233975665894496,0.0174524064372854)); #107638=DIRECTION('center_axis',(0.,0.,1.)); #107639=DIRECTION('ref_axis',(-0.980966530056671,-0.194176895918578,0.)); #107640=DIRECTION('center_axis',(0.,0.,-1.)); #107641=DIRECTION('ref_axis',(-0.980966530056671,-0.194176895918578,0.)); #107642=DIRECTION('center_axis',(0.,0.,1.)); #107643=DIRECTION('ref_axis',(1.,0.,0.)); #107644=DIRECTION('center_axis',(-0.000901075538386899,-0.0260521050621233, -0.999660180203606)); #107645=DIRECTION('ref_axis',(0.719230241402873,-0.694552570624509,0.0174524064372875)); #107646=DIRECTION('center_axis',(0.998627019904169,-0.0355448874186708, 0.0384790344955911)); #107647=DIRECTION('ref_axis',(0.0348941813400902,0.999238614955483,0.017452406437281)); #107648=DIRECTION('center_axis',(-0.948283495304587,0.0386176530251556, -0.315066801500483)); #107649=DIRECTION('ref_axis',(-0.315022973102202,0.00737391306701388,0.949055399765435)); #107650=DIRECTION('center_axis',(0.,0.,1.)); #107651=DIRECTION('ref_axis',(1.,0.,0.)); #107652=DIRECTION('center_axis',(-0.017031841906338,0.0180550887127398, -0.999691917609047)); #107653=DIRECTION('ref_axis',(0.0348941813401252,0.999238614955482,0.0174524064372853)); #107654=DIRECTION('center_axis',(1.,0.,0.)); #107655=DIRECTION('ref_axis',(0.,-1.,0.)); #107656=DIRECTION('center_axis',(0.158772392596993,-0.98729203120952,0.00676553465791885)); #107657=DIRECTION('ref_axis',(0.498833160057901,0.0861301225768666,0.862407722838532)); #107658=DIRECTION('center_axis',(0.,0.,1.)); #107659=DIRECTION('ref_axis',(1.,0.,0.)); #107660=DIRECTION('center_axis',(-0.564507651901726,-0.721015606028891, 0.40183032091567)); #107661=DIRECTION('ref_axis',(0.477326304570297,0.112014043277152,0.871557486958817)); #107662=DIRECTION('center_axis',(-0.234061267398514,0.972210739539468,-0.0046476906009343)); #107663=DIRECTION('ref_axis',(0.469067107575437,0.117113351864074,0.875363074047549)); #107664=DIRECTION('center_axis',(0.409575640512861,0.849290019872333,-0.333097968837595)); #107665=DIRECTION('ref_axis',(0.903252487284175,-0.428754425894939,0.0174524064372854)); #107666=DIRECTION('center_axis',(0.,0.,1.)); #107667=DIRECTION('ref_axis',(-0.453990499739547,0.891006524188368,0.)); #107668=DIRECTION('center_axis',(-1.18326671628548E-17,-6.70122176678358E-18, -1.)); #107669=DIRECTION('ref_axis',(-0.963743610778185,0.266830007091081,9.61557032511633E-18)); #107670=DIRECTION('',(-0.0147926366207763,-0.00926090666499793,0.999847695156391)); #107671=DIRECTION('center_axis',(0.,0.,1.)); #107672=DIRECTION('ref_axis',(-0.963743610778185,0.266830007091082,0.)); #107673=DIRECTION('center_axis',(-1.18326671628548E-17,-6.70122176678358E-18, -1.)); #107674=DIRECTION('ref_axis',(-0.963743610778185,0.266830007091081,9.61557032511633E-18)); #107675=DIRECTION('center_axis',(0.891006524188362,0.453990499739559,0.)); #107676=DIRECTION('ref_axis',(0.,0.,-1.)); #107677=DIRECTION('center_axis',(-0.530637806211875,0.8475986778061,0.)); #107678=DIRECTION('ref_axis',(0.,0.,-1.)); #107679=DIRECTION('center_axis',(0.0348941813401115,0.999238614955483,0.0174524064372837)); #107680=DIRECTION('ref_axis',(-0.999390827019096,0.0348994967024988,0.)); #107681=DIRECTION('center_axis',(0.,0.,-1.)); #107682=DIRECTION('ref_axis',(0.915479411028677,0.402364819514066,0.)); #107683=DIRECTION('',(0.0159773187662374,0.0070222343662237,0.999847695156391)); #107684=DIRECTION('center_axis',(0.,0.,-1.)); #107685=DIRECTION('ref_axis',(-0.915479411028676,0.402364819514067,0.)); #107686=DIRECTION('center_axis',(-0.948748144424614,0.0276324128872811, -0.314822820343252)); #107687=DIRECTION('ref_axis',(-0.0348941813401953,-0.99923861495548,0.017452406437281)); #107688=DIRECTION('center_axis',(0.998140036978459,-0.0339717032275366, 0.0506200549235271)); #107689=DIRECTION('ref_axis',(-0.0505194037107631,0.00381519815271185,0.998715792461381)); #107690=DIRECTION('center_axis',(0.,0.,-1.)); #107691=DIRECTION('ref_axis',(-1.,0.,0.)); #107692=DIRECTION('center_axis',(-0.073977170819205,-0.997259935121023, 7.3061912419147E-15)); #107693=DIRECTION('ref_axis',(-0.314245844042012,0.0233108918399564,0.949055399765445)); #107694=DIRECTION('center_axis',(3.44893919244698E-19,2.60916402815495E-18, -1.)); #107695=DIRECTION('ref_axis',(-0.991376331344331,0.131045677724433,0.)); #107696=DIRECTION('center_axis',(0.187682067789937,0.982229831266641,-8.4501320420779E-15)); #107697=DIRECTION('ref_axis',(-0.982080232905802,0.187653482901954,0.0174524064372853)); #107698=DIRECTION('center_axis',(0.,0.,1.)); #107699=DIRECTION('ref_axis',(-0.991376331344331,0.131045677724433,0.)); #107700=DIRECTION('center_axis',(-0.727408175110447,0.116275035322173,0.67628208829106)); #107701=DIRECTION('ref_axis',(0.674111743769717,-0.0631250729132274,0.735927022252513)); #107702=DIRECTION('center_axis',(-0.707845144879332,0.112451968038674,0.697359165534509)); #107703=DIRECTION('ref_axis',(0.687478681568699,-0.117107062597461,0.716700075539523)); #107704=DIRECTION('center_axis',(0.981623815429676,-0.1744573178552,0.0773261225463607)); #107705=DIRECTION('ref_axis',(0.173621730208387,0.984657762021401,0.0174524064372853)); #107706=DIRECTION('center_axis',(-0.933669674070521,0.170216076934904,-0.315099074695464)); #107707=DIRECTION('ref_axis',(-0.309509719080594,0.0591403582226703,0.949055399765444)); #107708=DIRECTION('center_axis',(1.,0.,0.)); #107709=DIRECTION('ref_axis',(0.,-1.,0.)); #107710=DIRECTION('center_axis',(-0.169406811488422,-0.985545122665826, 0.00146403925089652)); #107711=DIRECTION('ref_axis',(0.687478681569286,-0.117107062594062,0.716700075539515)); #107712=DIRECTION('center_axis',(0.,0.,1.)); #107713=DIRECTION('ref_axis',(1.,0.,0.)); #107714=DIRECTION('center_axis',(-0.0139111189613778,0.0201719211727975, -0.999699742105319)); #107715=DIRECTION('ref_axis',(0.173621730208387,0.984657762021401,0.0174524064372831)); #107716=DIRECTION('center_axis',(0.,0.,1.)); #107717=DIRECTION('ref_axis',(-0.744558921692212,-0.667556748245818,0.)); #107718=DIRECTION('center_axis',(-0.0187441243818108,-0.0168056098133908, -0.999683064415897)); #107719=DIRECTION('ref_axis',(-0.034894181340161,-0.999238614955481,0.0174524064372857)); #107720=DIRECTION('center_axis',(0.874782765228274,-0.038983054072544,-0.482944546666335)); #107721=DIRECTION('ref_axis',(0.483961586326924,0.117944108576427,0.867104590122806)); #107722=DIRECTION('center_axis',(-0.843025114119458,0.0388089522787269, 0.536472293960185)); #107723=DIRECTION('ref_axis',(-0.0348941813400905,-0.999238614955483,0.0174524064372811)); #107724=DIRECTION('center_axis',(1.,0.,0.)); #107725=DIRECTION('ref_axis',(0.,-1.,0.)); #107726=DIRECTION('center_axis',(-0.0715349545891652,0.997410428946283, -0.00742876174863199)); #107727=DIRECTION('ref_axis',(0.537805192699778,0.0448420861020101,0.841875740248627)); #107728=DIRECTION('center_axis',(-0.00514622512368597,0.0176424650204288, 0.999831115636526)); #107729=DIRECTION('ref_axis',(-0.0348941813400905,-0.999238614955483,0.0174524064372833)); #107730=DIRECTION('center_axis',(0.,0.,1.)); #107731=DIRECTION('ref_axis',(1.,0.,0.)); #107732=DIRECTION('center_axis',(0.729963921531161,0.492080469994823,-0.47435164626311)); #107733=DIRECTION('ref_axis',(0.483961586326223,0.117944108577528,0.867104590123047)); #107734=DIRECTION('center_axis',(0.173621730208387,0.984657762021401,0.0174524064372838)); #107735=DIRECTION('ref_axis',(-0.984807753012208,0.173648177666929,0.)); #107736=DIRECTION('center_axis',(0.,0.,-1.)); #107737=DIRECTION('ref_axis',(0.99745990774983,-0.0712301371029172,0.)); #107738=DIRECTION('center_axis',(-0.0348941813401115,-0.999238614955483, 0.0174524064372836)); #107739=DIRECTION('ref_axis',(0.999390827019096,-0.0348994967024988,0.)); #107740=DIRECTION('center_axis',(0.,0.,1.)); #107741=DIRECTION('ref_axis',(-0.453990499739547,0.891006524188368,0.)); #107742=DIRECTION('',(-0.00792322672012002,0.0155502079984067,0.999847695156391)); #107743=DIRECTION('center_axis',(0.458405892952059,-0.132816873401046,-0.878762604715743)); #107744=DIRECTION('ref_axis',(0.30896992958996,0.950911665781172,0.0174524064372827)); #107745=DIRECTION('center_axis',(-0.582225713584341,0.174602997097,0.794057310177263)); #107746=DIRECTION('ref_axis',(0.768340837076437,-0.20116991205999,0.607604332244642)); #107747=DIRECTION('center_axis',(0.464885906316231,-0.134991062336749,-0.875019146760648)); #107748=DIRECTION('ref_axis',(0.308969929589938,0.950911665781179,0.0174524064372829)); #107749=DIRECTION('center_axis',(0.0868995105992733,-0.00995236279231367, -0.996167368233098)); #107750=DIRECTION('ref_axis',(0.30896992958997,0.950911665781168,0.0174524064372832)); #107751=DIRECTION('center_axis',(-0.935612329662478,0.159390552846854,-0.314998762293959)); #107752=DIRECTION('ref_axis',(-0.173621730208421,-0.984657762021395,0.017452406437283)); #107753=DIRECTION('center_axis',(0.981996190618758,-0.171758789950575,0.0786282371986155)); #107754=DIRECTION('ref_axis',(-0.0771243063879719,0.0154221374903514,0.996902201341437)); #107755=DIRECTION('center_axis',(-0.711488855781814,0.137668166104265,0.689079882263133)); #107756=DIRECTION('ref_axis',(-0.173621730208359,-0.984657762021406,0.0174524064372838)); #107757=DIRECTION('center_axis',(-0.696744283627821,0.135340480742232,0.704436198320626)); #107758=DIRECTION('ref_axis',(-0.173621730208449,-0.98465776202139,0.0174524064372976)); #107759=DIRECTION('center_axis',(1.,0.,0.)); #107760=DIRECTION('ref_axis',(0.,-1.,0.)); #107761=DIRECTION('center_axis',(0.177527971775421,0.984114626962957,-0.00149004525586734)); #107762=DIRECTION('ref_axis',(0.693472384173446,-0.124023376159292,0.7097282962901)); #107763=DIRECTION('center_axis',(0.,0.,-1.)); #107764=DIRECTION('ref_axis',(-1.,0.,0.)); #107765=DIRECTION('center_axis',(-0.19537953210599,-0.980727708609298,6.56638719159099E-14)); #107766=DIRECTION('ref_axis',(-0.309036386315756,0.0615659005370415,0.949055399765452)); #107767=DIRECTION('center_axis',(-1.29974353049358E-18,5.73969602519931E-18, -1.)); #107768=DIRECTION('ref_axis',(-0.971110471647537,0.238630366585012,2.63186031949831E-18)); #107769=DIRECTION('center_axis',(0.281412838769737,0.959586793456203,-5.71135406796582E-14)); #107770=DIRECTION('ref_axis',(-0.959440643739697,0.281369978231339,0.0174524064372876)); #107771=DIRECTION('center_axis',(0.,0.,1.)); #107772=DIRECTION('ref_axis',(-0.971110471647537,0.238630366585012,0.)); #107773=DIRECTION('center_axis',(0.,0.,1.)); #107774=DIRECTION('ref_axis',(-0.825443171086173,-0.564485226828128,0.)); #107775=DIRECTION('center_axis',(-0.0205988656882001,-0.0140866818912659, -0.999688577570862)); #107776=DIRECTION('ref_axis',(-0.173621730208421,-0.984657762021395,0.017452406437283)); #107777=DIRECTION('center_axis',(0.946345078411507,-0.309209833337784,0.0939163006806518)); #107778=DIRECTION('ref_axis',(0.308969929589939,0.950911665781179,0.0174524064372812)); #107779=DIRECTION('center_axis',(-0.900945324825484,0.298515055783187,-0.314938538679951)); #107780=DIRECTION('ref_axis',(-0.3023746881044,0.0886757924680539,0.949055399765435)); #107781=DIRECTION('center_axis',(0.,0.,1.)); #107782=DIRECTION('ref_axis',(1.,0.,0.)); #107783=DIRECTION('center_axis',(-0.0116898874509456,0.0221458605483906, -0.999686404524917)); #107784=DIRECTION('ref_axis',(0.30896992958997,0.950911665781169,0.0174524064372811)); #107785=DIRECTION('center_axis',(1.,0.,0.)); #107786=DIRECTION('ref_axis',(0.,-1.,0.)); #107787=DIRECTION('center_axis',(-0.255130824257189,-0.966903325448167, 0.00249434382607176)); #107788=DIRECTION('ref_axis',(0.768340837074349,-0.201169912067676,0.607604332244736)); #107789=DIRECTION('',(0.21220947539283,-0.767227558044728,-0.60525119804113)); #107790=DIRECTION('center_axis',(-0.158326107627957,-0.986300947415326, 0.0462956236701843)); #107791=DIRECTION('ref_axis',(0.984234531297386,-0.153903423964248,0.0871557427476584)); #107792=DIRECTION('center_axis',(0.,0.,-1.)); #107793=DIRECTION('ref_axis',(0.99745990774983,-0.0712301371029172,0.)); #107794=DIRECTION('center_axis',(0.,0.,-1.)); #107795=DIRECTION('ref_axis',(-1.,0.,0.)); #107796=DIRECTION('center_axis',(0.929690346363393,0.368342041964687,-6.12328408845992E-15)); #107797=DIRECTION('ref_axis',(-0.368285941687591,0.929548750020585,0.0174524064372775)); #107798=DIRECTION('center_axis',(-0.310848629106372,-0.950459430897863, 3.74021325367007E-13)); #107799=DIRECTION('ref_axis',(-0.299498571607458,0.0979512826927321,0.949055399765441)); #107800=DIRECTION('center_axis',(0.,0.,-1.)); #107801=DIRECTION('ref_axis',(-1.,0.,0.)); #107802=DIRECTION('center_axis',(-0.288930939229128,-0.957349942474628, -5.11906549795505E-14)); #107803=DIRECTION('ref_axis',(-0.301669835638248,0.09104481556943,0.94905539976543)); #107804=DIRECTION('center_axis',(0.273506229102398,-0.958739822904941,0.0775389877312668)); #107805=DIRECTION('ref_axis',(0.96111529112605,0.275595374912636,0.0174524064372877)); #107806=DIRECTION('center_axis',(-0.256468583587841,0.914270214755089,-0.313582269977321)); #107807=DIRECTION('ref_axis',(-0.116067989695496,0.292954040668743,0.949055399765421)); #107808=DIRECTION('center_axis',(1.,0.,0.)); #107809=DIRECTION('ref_axis',(0.,-1.,0.)); #107810=DIRECTION('center_axis',(0.,0.,1.)); #107811=DIRECTION('ref_axis',(1.,0.,0.)); #107812=DIRECTION('center_axis',(0.,0.,1.)); #107813=DIRECTION('ref_axis',(-0.886179173277516,-0.4633427164089,0.)); #107814=DIRECTION('center_axis',(0.,0.,-1.)); #107815=DIRECTION('ref_axis',(-1.,0.,0.)); #107816=DIRECTION('center_axis',(-0.950188294523106,-0.311676442727503, 9.64138912837536E-15)); #107817=DIRECTION('ref_axis',(-0.0982121344331799,0.29941313402417,0.949055399765421)); #107818=DIRECTION('center_axis',(0.,0.,-1.)); #107819=DIRECTION('ref_axis',(-0.218478888020011,0.975841675421551,0.)); #107820=DIRECTION('center_axis',(0.992376983775603,0.123239287860798,-4.31413197141483E-15)); #107821=DIRECTION('ref_axis',(-0.123220517920334,0.992225839954288,0.0174524064372814)); #107822=DIRECTION('center_axis',(0.,0.,1.)); #107823=DIRECTION('ref_axis',(-0.218478888020011,0.975841675421551,0.)); #107824=DIRECTION('center_axis',(-0.117457113616648,0.754812546527929,0.645338551509879)); #107825=DIRECTION('ref_axis',(0.0575373910757594,-0.643572609849329,0.763219329210102)); #107826=DIRECTION('center_axis',(-0.117638039400453,0.756326693091345,0.643530282895473)); #107827=DIRECTION('ref_axis',(0.0735545109529604,-0.639613614456744,0.765169365644006)); #107828=DIRECTION('center_axis',(0.137754552167599,-0.988329746755594,0.0650230346502495)); #107829=DIRECTION('ref_axis',(0.990117246118235,0.139151904222655,0.0174524064372812)); #107830=DIRECTION('center_axis',(-0.126634992170009,0.940573855393048,-0.315094273685129)); #107831=DIRECTION('ref_axis',(-0.038833841277557,0.312707180838042,0.949055399765448)); #107832=DIRECTION('center_axis',(1.,0.,0.)); #107833=DIRECTION('ref_axis',(0.,-1.,0.)); #107834=DIRECTION('center_axis',(-0.99338404650842,-0.114838511691828,-0.000502369348472964)); #107835=DIRECTION('ref_axis',(0.0735545116464757,-0.639613618898491,0.765169361864433)); #107836=DIRECTION('center_axis',(0.,0.,1.)); #107837=DIRECTION('ref_axis',(1.,0.,0.)); #107838=DIRECTION('center_axis',(0.0148901887678116,0.0194330277535094, -0.999700274937839)); #107839=DIRECTION('ref_axis',(0.990117246118235,0.139151904222654,0.0174524064372855)); #107840=DIRECTION('center_axis',(0.,0.,1.)); #107841=DIRECTION('ref_axis',(-0.883603422059625,0.468236043598227,0.)); #107842=DIRECTION('center_axis',(0.266888711568845,-0.910806028414232,0.314964750791918)); #107843=DIRECTION('ref_axis',(-0.0982121344331958,0.299413134024096,0.949055399765443)); #107844=DIRECTION('center_axis',(-0.021405800203656,0.0113432869850727, -0.999706517713081)); #107845=DIRECTION('ref_axis',(-0.311628972895752,0.950043576243473,0.0174524064372808)); #107846=DIRECTION('center_axis',(0.276109642205956,-0.958017373248274,0.0772410385441308)); #107847=DIRECTION('ref_axis',(-0.0242331512748649,0.0734004781746602,0.997008086317769)); #107848=DIRECTION('center_axis',(-0.184838208474721,0.688986941078928,0.700807984907819)); #107849=DIRECTION('ref_axis',(-0.96111529112607,-0.275595374912566,0.0174524064372855)); #107850=DIRECTION('center_axis',(1.,0.,0.)); #107851=DIRECTION('ref_axis',(0.,-1.,0.)); #107852=DIRECTION('center_axis',(0.95305192148653,0.302805671352281,0.000871979201430088)); #107853=DIRECTION('ref_axis',(0.211723723696307,-0.668433396525177,0.713000602548122)); #107854=DIRECTION('center_axis',(0.,0.,-1.)); #107855=DIRECTION('ref_axis',(0.313617724580511,-0.949549326169496,0.)); #107856=DIRECTION('',(0.366347438958978,-0.62455406462347,-0.689725868972956)); #107857=DIRECTION('center_axis',(-0.13753315320964,0.939102848631695,-0.314913434867503)); #107858=DIRECTION('ref_axis',(-0.990117246118235,-0.139151904222653,0.0174524064372809)); #107859=DIRECTION('center_axis',(0.139998032390839,-0.988049332580839,0.0644908312339928)); #107860=DIRECTION('ref_axis',(-0.00684016703608893,0.0641656329415054,0.997915819928783)); #107861=DIRECTION('center_axis',(0.,0.,-1.)); #107862=DIRECTION('ref_axis',(-1.,0.,0.)); #107863=DIRECTION('center_axis',(-0.99414452712972,-0.108058591412374,7.39804050323975E-15)); #107864=DIRECTION('ref_axis',(-0.034050263194672,0.313264150123286,0.949055399765424)); #107865=DIRECTION('center_axis',(0.,0.,-1.)); #107866=DIRECTION('ref_axis',(1.5150340832634E-15,1.,0.)); #107867=DIRECTION('center_axis',(0.994144527129722,-0.108058591412349,-9.29625857066181E-16)); #107868=DIRECTION('ref_axis',(0.108042133565483,0.993993114102993,0.0174524064372796)); #107869=DIRECTION('center_axis',(0.,0.,1.)); #107870=DIRECTION('ref_axis',(1.51625903258481E-15,1.,0.)); #107871=DIRECTION('center_axis',(0.0954748009558767,0.759971619708116,0.642905669302005)); #107872=DIRECTION('ref_axis',(-0.0497705099294532,-0.641403771705648,0.765587420209561)); #107873=DIRECTION('center_axis',(0.0956765137998653,0.761217967185465,0.641399416230739)); #107874=DIRECTION('ref_axis',(-0.0634310249034997,-0.638383418444418,0.767100460262481)); #107875=DIRECTION('center_axis',(-0.139998032390773,-0.988049332580842, 0.0644908312340906)); #107876=DIRECTION('ref_axis',(0.990117246118235,-0.139151904222655,0.0174524064372812)); #107877=DIRECTION('center_axis',(0.137533153209641,0.939102848631675,-0.314913434867564)); #107878=DIRECTION('ref_axis',(0.0340502631946719,0.313264150123285,0.949055399765424)); #107879=DIRECTION('center_axis',(1.,0.,0.)); #107880=DIRECTION('ref_axis',(0.,-1.,0.)); #107881=DIRECTION('center_axis',(-0.995048794306475,0.0993866794921002, 0.000429986936406267)); #107882=DIRECTION('ref_axis',(0.00684016703574807,0.064165632941576,0.99791581992878)); #107883=DIRECTION('center_axis',(0.,0.,1.)); #107884=DIRECTION('ref_axis',(1.,0.,0.)); #107885=DIRECTION('center_axis',(0.0197856394577266,0.0154017534113941, -0.999685607810327)); #107886=DIRECTION('ref_axis',(0.990117246118225,-0.139151904222725,0.0174524064372834)); #107887=DIRECTION('center_axis',(0.,0.,1.)); #107888=DIRECTION('ref_axis',(-0.789102385394933,0.614261691271747,0.)); #107889=DIRECTION('center_axis',(-0.0197856394577266,0.0154017534113942, -0.999685607810327)); #107890=DIRECTION('ref_axis',(-0.990117246118225,-0.139151904222724,0.0174524064372854)); #107891=DIRECTION('center_axis',(0.0954748009554005,-0.759971619705494, -0.642905669305175)); #107892=DIRECTION('ref_axis',(0.0497705165603952,-0.641403771007897,0.765587420363057)); #107893=DIRECTION('center_axis',(-0.09567651379991,0.761217967185427,0.641399416230777)); #107894=DIRECTION('ref_axis',(-0.990117246118215,-0.139151904222792,0.0174524064372853)); #107895=DIRECTION('center_axis',(1.,0.,0.)); #107896=DIRECTION('ref_axis',(0.,-1.,0.)); #107897=DIRECTION('center_axis',(0.995048794306396,0.0993866794928858,0.000429986936409882)); #107898=DIRECTION('ref_axis',(0.0634310257508902,-0.63838342515473,0.767100454608068)); #107899=DIRECTION('center_axis',(0.,0.,-1.)); #107900=DIRECTION('ref_axis',(0.313617724580511,-0.949549326169496,0.)); #107901=DIRECTION('center_axis',(-0.99011724611823,-0.13915190422269,0.0174524064372835)); #107902=DIRECTION('ref_axis',(0.139173100960066,-0.99026806874157,0.)); #107903=DIRECTION('center_axis',(1.,-6.15958614004529E-16,9.83737596167778E-16)); #107904=DIRECTION('ref_axis',(-1.15112494229437E-15,-0.634781791354197, 0.77269145029899)); #107905=DIRECTION('center_axis',(-0.97817311925139,-0.207544767328139,0.0101251335159057)); #107906=DIRECTION('ref_axis',(0.207628805208033,-0.974317276739969,0.0871557427476575)); #107907=DIRECTION('center_axis',(0.126634992170005,0.940573855393027,-0.315094273685193)); #107908=DIRECTION('ref_axis',(-0.990117246118235,0.139151904222654,0.0174524064372811)); #107909=DIRECTION('center_axis',(-0.137754552167596,-0.988329746755587, 0.0650230346503564)); #107910=DIRECTION('ref_axis',(0.00796583209171876,0.0645412802043021,0.99788324400637)); #107911=DIRECTION('center_axis',(0.,0.,-1.)); #107912=DIRECTION('ref_axis',(-1.,0.,0.)); #107913=DIRECTION('center_axis',(-0.9923769837756,0.123239287860823,5.40518986354055E-15)); #107914=DIRECTION('ref_axis',(0.0388338412775724,0.312707180838096,0.949055399765429)); #107915=DIRECTION('center_axis',(0.,0.,-1.)); #107916=DIRECTION('ref_axis',(0.218478888020014,0.97584167542155,0.)); #107917=DIRECTION('center_axis',(0.950188294523091,-0.31167644272755,2.62967218489854E-14)); #107918=DIRECTION('ref_axis',(0.311628972895684,0.950043576243495,0.0174524064372821)); #107919=DIRECTION('center_axis',(0.,0.,1.)); #107920=DIRECTION('ref_axis',(0.218478888020014,0.97584167542155,0.)); #107921=DIRECTION('center_axis',(0.183404904439203,0.684301848594656,0.705757480327048)); #107922=DIRECTION('ref_axis',(-0.214366781353815,-0.672817282532124,0.708070467805355)); #107923=DIRECTION('center_axis',(0.184838208474773,0.68898694107892,0.700807984907812)); #107924=DIRECTION('ref_axis',(-0.211723723814535,-0.668433396894304,0.713000602166961)); #107925=DIRECTION('center_axis',(1.,0.,0.)); #107926=DIRECTION('ref_axis',(0.,-1.,0.)); #107927=DIRECTION('center_axis',(0.276109642205964,0.958017373248268,-0.0772410385441836)); #107928=DIRECTION('ref_axis',(0.0242331512748125,0.0734004781747301,0.997008086317765)); #107929=DIRECTION('center_axis',(-0.953051921486659,0.302805671351874,0.000871979201456425)); #107930=DIRECTION('ref_axis',(0.0242331512748125,0.0734004781747301,0.997008086317765)); #107931=DIRECTION('center_axis',(0.266888711568916,0.91080602841421,-0.314964750791922)); #107932=DIRECTION('ref_axis',(0.0982121344331811,0.299413134024104,0.949055399765442)); #107933=DIRECTION('center_axis',(0.,0.,1.)); #107934=DIRECTION('ref_axis',(1.,0.,0.)); #107935=DIRECTION('center_axis',(0.021405800203656,0.0113432869850726,-0.999706517713081)); #107936=DIRECTION('ref_axis',(0.311628972895641,0.950043576243509,0.0174524064372836)); #107937=DIRECTION('center_axis',(0.,0.,1.)); #107938=DIRECTION('ref_axis',(1.,0.,0.)); #107939=DIRECTION('center_axis',(-0.0148901887678116,0.0194330277535094, -0.999700274937839)); #107940=DIRECTION('ref_axis',(-0.990117246118216,0.139151904222786,0.0174524064372845)); #107941=DIRECTION('center_axis',(0.117638039400459,0.756326693091369,0.643530282895444)); #107942=DIRECTION('ref_axis',(-0.990117246118225,0.139151904222724,0.0174524064372811)); #107943=DIRECTION('center_axis',(-0.11745711361412,-0.754812546506355,-0.645338551535573)); #107944=DIRECTION('ref_axis',(-0.0575374369421129,-0.64357260401006,0.76321933067621)); #107945=DIRECTION('center_axis',(1.,0.,0.)); #107946=DIRECTION('ref_axis',(0.,-1.,0.)); #107947=DIRECTION('center_axis',(0.993384046508327,-0.114838511692637,-0.000502369348476826)); #107948=DIRECTION('ref_axis',(0.00796583209124673,0.0645412802043023,0.997883244006374)); #107949=DIRECTION('center_axis',(0.,0.,-1.)); #107950=DIRECTION('ref_axis',(0.313617724580511,-0.949549326169496,0.)); #107951=DIRECTION('center_axis',(0.99011724611823,-0.13915190422269,-0.0174524064372837)); #107952=DIRECTION('ref_axis',(0.139173100960066,0.99026806874157,0.)); #107953=DIRECTION('center_axis',(0.978173119251392,-0.207544767328129,0.0101251335159192)); #107954=DIRECTION('ref_axis',(-0.153523815611652,-0.689013889906104,0.708300993615354)); #107955=DIRECTION('',(-0.366347438958979,-0.62455406462347,-0.689725868972956)); #107956=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #107957=DIRECTION('ref_axis',(-1.,0.,0.)); #107958=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #107959=DIRECTION('ref_axis',(0.971819999952494,0.235724177148495,6.50089039923756E-33)); #107960=DIRECTION('center_axis',(-4.27626886960872E-32,1.48719347126105E-31, 1.)); #107961=DIRECTION('ref_axis',(1.,0.,4.27626886960872E-32)); #107962=DIRECTION('',(7.53835631801336E-16,1.,-1.48719347126105E-31)); #107963=DIRECTION('center_axis',(0.,0.,1.)); #107964=DIRECTION('ref_axis',(-1.,1.4470566153126E-15,0.)); #107965=DIRECTION('center_axis',(0.,0.,1.)); #107966=DIRECTION('ref_axis',(1.,0.,0.)); #107967=DIRECTION('center_axis',(0.402364819513979,-0.915479411028715,0.)); #107968=DIRECTION('ref_axis',(0.569194849474862,0.250168360007013,-0.783219646703714)); #107969=DIRECTION('center_axis',(0.,0.,1.)); #107970=DIRECTION('ref_axis',(1.,0.,0.)); #107971=DIRECTION('center_axis',(0.,0.,1.)); #107972=DIRECTION('ref_axis',(1.,0.,0.)); #107973=DIRECTION('center_axis',(0.99011724611823,0.13915190422269,0.0174524064372837)); #107974=DIRECTION('ref_axis',(-0.139173100960066,0.99026806874157,0.)); #107975=DIRECTION('center_axis',(0.,0.,1.)); #107976=DIRECTION('ref_axis',(1.,0.,0.)); #107977=DIRECTION('center_axis',(-0.99011724611823,0.13915190422269,-0.0174524064372835)); #107978=DIRECTION('ref_axis',(-0.139173100960066,-0.99026806874157,0.)); #107979=DIRECTION('center_axis',(0.,0.,1.)); #107980=DIRECTION('ref_axis',(1.,0.,0.)); #107981=DIRECTION('center_axis',(0.,0.,1.)); #107982=DIRECTION('ref_axis',(1.,0.,0.)); #107983=DIRECTION('center_axis',(-6.58371389413441E-34,2.26793440817686E-18, -1.)); #107984=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,-2.26793440817686E-18)); #107985=DIRECTION('center_axis',(0.,0.,1.)); #107986=DIRECTION('ref_axis',(1.,0.,0.)); #107987=DIRECTION('center_axis',(-6.58371389413441E-34,2.26793440817686E-18, -1.)); #107988=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,-2.26793440817686E-18)); #107989=DIRECTION('center_axis',(0.,0.,1.)); #107990=DIRECTION('ref_axis',(1.,0.,0.)); #107991=DIRECTION('center_axis',(-6.58371389413441E-34,2.26793440817686E-18, -1.)); #107992=DIRECTION('ref_axis',(2.17740011349534E-16,-1.,-2.26793440817686E-18)); #107993=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #107994=DIRECTION('ref_axis',(-1.,0.,0.)); #107995=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #107996=DIRECTION('ref_axis',(-1.,0.,0.)); #107997=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #107998=DIRECTION('ref_axis',(-1.,0.,0.)); #107999=DIRECTION('center_axis',(4.27626886960872E-32,-1.48719347126105E-31, -1.)); #108000=DIRECTION('ref_axis',(-1.,0.,0.)); #108001=DIRECTION('center_axis',(-7.53835631801336E-16,-1.,1.48719347126105E-31)); #108002=DIRECTION('ref_axis',(0.700909264299849,0.,0.713250449154184)); #108003=DIRECTION('center_axis',(1.,2.93125429835519E-15,4.27626886960867E-32)); #108004=DIRECTION('ref_axis',(0.,0.700909264299873,0.71325044915416)); #108005=DIRECTION('',(1.,2.93125429835519E-15,4.27496637588145E-32)); #108006=DIRECTION('center_axis',(2.93080785412771E-15,-0.999847695156391, -0.0174524064372835)); #108007=DIRECTION('ref_axis',(1.,2.93125429835519E-15,1.56893524633284E-30)); #108008=DIRECTION('',(1.09954386453971E-17,0.0174524064372835,-0.999847695156391)); #108009=DIRECTION('center_axis',(0.,0.,1.)); #108010=DIRECTION('ref_axis',(1.,0.,0.)); #108011=DIRECTION('center_axis',(0.,0.,1.)); #108012=DIRECTION('ref_axis',(1.,0.,0.)); #108013=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #108014=DIRECTION('ref_axis',(1.,0.,-2.74612501249515E-32)); #108015=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #108016=DIRECTION('ref_axis',(2.92870710662121E-12,-1.,-5.55350198088584E-32)); #108017=DIRECTION('',(0.891006524188368,0.453990499739547,7.44218372332333E-34)); #108018=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #108019=DIRECTION('ref_axis',(-0.963743610778184,0.266830007091083,4.12840140812833E-32)); #108020=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #108021=DIRECTION('ref_axis',(-2.26866269370043E-16,-1.,-5.55350198087779E-32)); #108022=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #108023=DIRECTION('ref_axis',(0.963743610778185,0.266830007091082,-1.1647194622524E-32)); #108024=DIRECTION('',(0.891006524188368,-0.453990499739547,-4.96805244197331E-32)); #108025=DIRECTION('center_axis',(-0.941705720634153,0.336437714480571,0.)); #108026=DIRECTION('ref_axis',(0.,0.,1.)); #108027=DIRECTION('center_axis',(-0.994002920703877,0.10935352592469,0.)); #108028=DIRECTION('ref_axis',(0.,0.,1.)); #108029=DIRECTION('center_axis',(0.,0.,-1.)); #108030=DIRECTION('ref_axis',(7.53835631801336E-16,1.,2.096487410377E-31)); #108031=DIRECTION('',(-0.34663912537823,-0.764026709082234,-0.544154853480135)); #108032=DIRECTION('',(-0.604901673289406,0.716822416769262,-0.346784642781007)); #108033=DIRECTION('center_axis',(-0.0698233762886743,0.997559369651012, -1.20468485696601E-5)); #108034=DIRECTION('ref_axis',(-0.529929041046685,-0.0370817311757369,0.847230875658077)); #108035=DIRECTION('center_axis',(-0.307529053299578,0.951538690595597,-4.09424278779751E-5)); #108036=DIRECTION('ref_axis',(-0.651802534558414,-0.210625578990479,0.728553581707038)); #108037=DIRECTION('center_axis',(0.,0.,1.)); #108038=DIRECTION('ref_axis',(1.,0.,0.)); #108039=DIRECTION('center_axis',(0.0712301371027832,-0.99745990774984,0.)); #108040=DIRECTION('ref_axis',(0.623048026063516,0.0444928121654919,0.780917119087527)); #108041=DIRECTION('center_axis',(0.,0.,-1.)); #108042=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,0.)); #108043=DIRECTION('center_axis',(0.310848629107302,-0.950459430897559,0.)); #108044=DIRECTION('ref_axis',(0.593689899386607,0.194166826420884,0.780917119087527)); #108045=DIRECTION('',(-0.433344509781376,-0.686476704746177,-0.583919745926758)); #108046=DIRECTION('center_axis',(-0.310663667622684,0.950519657124139,-0.000683403125807653)); #108047=DIRECTION('ref_axis',(-0.658675307039722,-0.214760067612507,0.72112755685468)); #108048=DIRECTION('center_axis',(-0.945979421652156,0.324225978852961,0.000669662221889967)); #108049=DIRECTION('ref_axis',(-0.192252510587585,-0.562587172246609,0.804073781313839)); #108050=DIRECTION('',(0.433344509781375,-0.686476704746177,-0.583919745926758)); #108051=DIRECTION('center_axis',(-0.310663667622693,-0.950519657124136, 0.000683403125807523)); #108052=DIRECTION('ref_axis',(0.658675307039709,-0.21476006761251,0.721127556854691)); #108053=DIRECTION('center_axis',(-0.945979421652158,-0.324225978852956, -0.000669662221879005)); #108054=DIRECTION('ref_axis',(0.192252510587589,-0.562587172246606,0.804073781313839)); #108055=DIRECTION('center_axis',(0.310848629107438,0.950459430897514,0.)); #108056=DIRECTION('ref_axis',(-0.593689899386579,0.194166826420969,0.780917119087527)); #108057=DIRECTION('center_axis',(0.949549326169495,0.313617724580514,0.)); #108058=DIRECTION('ref_axis',(-0.195896499418427,0.593121416433131,0.780917119087527)); #108059=DIRECTION('center_axis',(0.,0.,-1.)); #108060=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,0.)); #108061=DIRECTION('',(0.604901673289407,0.716822416769261,-0.346784642781007)); #108062=DIRECTION('',(0.346639125378229,-0.764026709082234,-0.544154853480135)); #108063=DIRECTION('center_axis',(0.,0.,1.)); #108064=DIRECTION('ref_axis',(1.,0.,0.)); #108065=DIRECTION('center_axis',(0.,0.,-1.)); #108066=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,0.)); #108067=DIRECTION('center_axis',(0.0712301371029256,0.99745990774983,0.)); #108068=DIRECTION('ref_axis',(-0.623048026063509,0.044492812165581,0.780917119087527)); #108069=DIRECTION('center_axis',(0.307529053299581,0.951538690595596,-4.09424278815159E-5)); #108070=DIRECTION('ref_axis',(-0.0111474660642593,0.00364578900629824,0.999931218746004)); #108071=DIRECTION('center_axis',(-0.0698233762887058,-0.997559369651009, 1.20468485826453E-5)); #108072=DIRECTION('ref_axis',(0.529929041046684,-0.0370817311757427,0.847230875658077)); #108073=DIRECTION('center_axis',(0.,0.,1.)); #108074=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #108075=DIRECTION('center_axis',(0.,0.,1.)); #108076=DIRECTION('ref_axis',(-0.521355510509349,-0.853339575820515,0.)); #108077=DIRECTION('center_axis',(0.,0.,1.)); #108078=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #108079=DIRECTION('center_axis',(0.,0.,1.)); #108080=DIRECTION('ref_axis',(0.847598677806107,-0.530637806211864,0.)); #108081=DIRECTION('center_axis',(5.91633358142739E-18,-3.3506108833918E-18, -1.)); #108082=DIRECTION('ref_axis',(0.963743610778185,0.266830007091081,4.80778516255817E-18)); #108083=DIRECTION('',(0.00792322672012002,0.0155502079984067,0.999847695156391)); #108084=DIRECTION('',(-0.212209475392831,-0.767227558044728,-0.60525119804113)); #108085=DIRECTION('center_axis',(0.,0.,1.)); #108086=DIRECTION('ref_axis',(-0.915479411028676,0.402364819514067,0.)); #108087=DIRECTION('center_axis',(0.71923024140286,-0.694552570624522,0.0174524064372837)); #108088=DIRECTION('ref_axis',(0.694658370458997,0.719339800338652,0.)); #108089=DIRECTION('center_axis',(-0.173621730208386,-0.984657762021401, 0.0174524064372838)); #108090=DIRECTION('ref_axis',(0.984807753012208,-0.173648177666929,0.)); #108091=DIRECTION('center_axis',(0.402364819513979,-0.915479411028715,0.)); #108092=DIRECTION('ref_axis',(0.569194849474862,0.250168360007013,-0.783219646703714)); #108093=DIRECTION('center_axis',(-0.0712301371029256,-0.99745990774983, 0.)); #108094=DIRECTION('ref_axis',(0.620165822638108,-0.0442869896121583,-0.783219646703714)); #108095=DIRECTION('center_axis',(0.,0.,1.)); #108096=DIRECTION('ref_axis',(1.,0.,0.)); #108097=DIRECTION('center_axis',(0.,0.,1.)); #108098=DIRECTION('ref_axis',(1.,0.,0.)); #108099=DIRECTION('center_axis',(0.,0.,1.)); #108100=DIRECTION('ref_axis',(1.,0.,0.)); #108101=DIRECTION('center_axis',(0.,0.,1.)); #108102=DIRECTION('ref_axis',(1.,0.,0.)); #108103=DIRECTION('center_axis',(-0.402364819514109,-0.915479411028658, 0.)); #108104=DIRECTION('ref_axis',(0.571840166719008,-0.251331010507684,0.780917119087527)); #108105=DIRECTION('center_axis',(0.,0.,-1.)); #108106=DIRECTION('ref_axis',(0.915479411028686,-0.402364819514046,0.)); #108107=DIRECTION('center_axis',(-0.402364819513979,0.915479411028715,0.)); #108108=DIRECTION('ref_axis',(-0.571840166719044,-0.251331010507603,0.780917119087527)); #108109=DIRECTION('center_axis',(0.,0.,-1.)); #108110=DIRECTION('ref_axis',(-9.6705412560434E-16,-1.,0.)); #108111=DIRECTION('center_axis',(0.,0.,-1.)); #108112=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,0.)); #108113=DIRECTION('center_axis',(0.,0.,-1.)); #108114=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793284,0.)); #108115=DIRECTION('center_axis',(0.,0.,-1.)); #108116=DIRECTION('ref_axis',(0.708136575003193,0.706075485442419,0.)); #108117=DIRECTION('center_axis',(0.949549326169495,-0.313617724580513,0.)); #108118=DIRECTION('ref_axis',(0.195896499418426,0.593121416433131,0.780917119087527)); #108119=DIRECTION('center_axis',(0.,0.,1.)); #108120=DIRECTION('ref_axis',(1.,0.,0.)); #108121=DIRECTION('center_axis',(0.,0.,-1.)); #108122=DIRECTION('ref_axis',(9.36090122600271E-16,1.,0.)); #108123=DIRECTION('center_axis',(0.,0.,-1.)); #108124=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #108125=DIRECTION('center_axis',(0.,0.,1.)); #108126=DIRECTION('ref_axis',(-0.313617724580511,0.949549326169495,0.)); #108127=DIRECTION('',(0.00547338399531515,0.0165719207725587,0.999847695156391)); #108128=DIRECTION('center_axis',(0.,0.,1.)); #108129=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #108130=DIRECTION('center_axis',(0.00436326774997773,0.999980961713314, 0.00436326774997775)); #108131=DIRECTION('ref_axis',(0.707093319084931,-0.00617059242828368,0.707093319084928)); #108132=DIRECTION('center_axis',(0.,-0.999847695156391,0.0174524064372835)); #108133=DIRECTION('ref_axis',(0.196130496529825,0.0171134423666264,0.98042845655424)); #108134=DIRECTION('',(-0.00436326774997774,-0.999980961713314,-0.00436326774997775)); #108135=DIRECTION('center_axis',(0.,0.999847695156391,0.0174524064372835)); #108136=DIRECTION('ref_axis',(-0.316234990763666,-0.0165567644675673,0.948536401076453)); #108137=DIRECTION('',(0.00436326774997774,0.999980961713314,0.00436326774997775)); #108138=DIRECTION('center_axis',(-0.999990480720734,0.00436330928474657, 4.12350488821175E-16)); #108139=DIRECTION('ref_axis',(4.12354414137999E-16,0.,1.)); #108140=DIRECTION('',(-7.61509717301275E-5,-0.0174524063866805,0.999847692257348)); #108141=DIRECTION('',(-0.00436326774997774,-0.999980961713314,-0.00436326774997775)); #108142=DIRECTION('',(7.6150971730952E-5,0.0174524063866805,0.999847692257348)); #108143=DIRECTION('center_axis',(0.,0.999847695156391,0.0174524064372835)); #108144=DIRECTION('ref_axis',(-1.,0.,0.)); #108145=DIRECTION('',(-1.,0.,0.)); #108146=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108147=DIRECTION('ref_axis',(0.316234990763529,0.0165567644675681,-0.948536401076499)); #108148=DIRECTION('',(-7.61509717305395E-5,-0.0174524063866805,0.999847692257348)); #108149=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108150=DIRECTION('ref_axis',(-0.196130496529814,-0.0171134423666264,0.980428456554242)); #108151=DIRECTION('',(1.,0.,0.)); #108152=DIRECTION('',(-0.0174497491606827,-0.0174497491606827,0.999695459881887)); #108153=DIRECTION('',(-1.,0.,0.)); #108154=DIRECTION('',(-0.0174497491606827,0.0174497491606827,-0.999695459881887)); #108155=DIRECTION('',(1.,0.,0.)); #108156=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108157=DIRECTION('ref_axis',(0.196130496529663,-0.017113442366627,0.980428456554272)); #108158=DIRECTION('',(-7.61509717308475E-5,0.0174524063866805,-0.999847692257348)); #108159=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108160=DIRECTION('ref_axis',(-0.316234990763539,0.0165567644675681,-0.948536401076495)); #108161=DIRECTION('',(-1.,0.,0.)); #108162=DIRECTION('center_axis',(0.,0.999847695156391,0.0174524064372835)); #108163=DIRECTION('ref_axis',(0.316234990763374,-0.016556764467569,0.94853640107655)); #108164=DIRECTION('',(-7.61509717309521E-5,0.0174524063866805,-0.999847692257348)); #108165=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108166=DIRECTION('ref_axis',(-0.316234990763204,0.01655676446757,-0.948536401076607)); #108167=DIRECTION('',(-1.,0.,0.)); #108168=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108169=DIRECTION('ref_axis',(0.316234990763539,0.0165567644675681,-0.948536401076495)); #108170=DIRECTION('',(1.,-1.25966179987123E-17,7.21659761823563E-16)); #108171=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108172=DIRECTION('ref_axis',(0.196130496529663,-0.017113442366627,0.980428456554272)); #108173=DIRECTION('',(-7.61509717299151E-5,0.0174524063866805,-0.999847692257348)); #108174=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108175=DIRECTION('ref_axis',(-0.316234990763211,0.01655676446757,-0.948536401076605)); #108176=DIRECTION('',(-1.,1.43043554227799E-17,-8.19495973323806E-16)); #108177=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108178=DIRECTION('ref_axis',(0.316234990763537,0.0165567644675681,-0.948536401076496)); #108179=DIRECTION('',(-7.61509717311636E-5,-0.0174524063866805,0.999847692257348)); #108180=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108181=DIRECTION('ref_axis',(-0.196130496529663,-0.017113442366627,0.980428456554272)); #108182=DIRECTION('center_axis',(-0.00436326774997773,0.999980961713314, 0.00436326774997775)); #108183=DIRECTION('ref_axis',(-0.707093319084927,-0.00617059242828364,0.707093319084933)); #108184=DIRECTION('center_axis',(0.,-0.999847695156391,0.0174524064372835)); #108185=DIRECTION('ref_axis',(-0.196130496529819,0.0171134423666264,0.980428456554241)); #108186=DIRECTION('',(0.00436326774997774,-0.999980961713314,-0.00436326774997775)); #108187=DIRECTION('',(-0.00436326774997774,0.999980961713314,0.00436326774997775)); #108188=DIRECTION('center_axis',(0.999990480720734,0.00436330928474658, -4.12350488821176E-16)); #108189=DIRECTION('ref_axis',(-4.12354414138001E-16,0.,-1.)); #108190=DIRECTION('',(7.61509717301275E-5,-0.0174524063866805,-0.999847692257348)); #108191=DIRECTION('',(-0.00436326774997774,0.999980961713314,0.00436326774997775)); #108192=DIRECTION('center_axis',(0.00436326774997773,0.999980961713314, 0.00436326774997775)); #108193=DIRECTION('ref_axis',(0.707093319084931,-0.00617059242828368,0.707093319084928)); #108194=DIRECTION('center_axis',(0.,-1.,0.)); #108195=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546)); #108196=DIRECTION('',(-0.00436326774997773,-0.999980961713314,-0.00436326774997775)); #108197=DIRECTION('center_axis',(9.98999754189812E-17,0.999961923064171, 0.00872653549837393)); #108198=DIRECTION('ref_axis',(0.707120243031869,0.00617047494965767,-0.707066395138)); #108199=DIRECTION('',(0.00436326774997773,0.999980961713314,0.00436326774997775)); #108200=DIRECTION('center_axis',(-0.999990480720734,0.00436330928474657, 4.12350488821175E-16)); #108201=DIRECTION('ref_axis',(-4.12354414137999E-16,0.,-1.)); #108202=DIRECTION('',(-3.8076935800696E-5,-0.00872653549204784,0.999961922339272)); #108203=DIRECTION('',(-0.00436326774997773,-0.999980961713314,-0.00436326774997775)); #108204=DIRECTION('',(4.12354414137999E-16,0.,1.)); #108205=DIRECTION('center_axis',(9.98999754189812E-17,0.999961923064171, 0.00872653549837393)); #108206=DIRECTION('ref_axis',(-1.,9.99037795936371E-17,-1.6598948607172E-23)); #108207=DIRECTION('',(-1.,9.98999754189812E-17,4.3589863973248E-19)); #108208=DIRECTION('center_axis',(-9.98999754189812E-17,-0.999961923064171, -0.00872653549837393)); #108209=DIRECTION('ref_axis',(-0.707120243031872,-0.00617047494965764,0.707066395137997)); #108210=DIRECTION('',(-3.80769358011083E-5,-0.00872653549204784,0.999961922339272)); #108211=DIRECTION('center_axis',(-9.98999754189812E-17,-0.999961923064171, -0.00872653549837393)); #108212=DIRECTION('ref_axis',(-0.316234990762916,-0.00827869746121779,0.948644766909892)); #108213=DIRECTION('',(1.,-9.99075837682931E-17,4.35931837629695E-19)); #108214=DIRECTION('',(-1.,9.99037794487803E-17,0.)); #108215=DIRECTION('',(1.,-9.99075837682931E-17,4.35931837629695E-19)); #108216=DIRECTION('center_axis',(-9.98999754189812E-17,-0.999961923064171, -0.00872653549837393)); #108217=DIRECTION('ref_axis',(0.316234990762914,-0.00827869746121786,0.948644766909893)); #108218=DIRECTION('',(-3.80769358011082E-5,0.00872653549204784,-0.999961922339272)); #108219=DIRECTION('center_axis',(-9.98999754189812E-17,-0.999961923064171, -0.00872653549837393)); #108220=DIRECTION('ref_axis',(0.70712024303187,-0.0061704749496578,0.707066395137999)); #108221=DIRECTION('',(-1.,9.98999754189812E-17,4.3589863973248E-19)); #108222=DIRECTION('center_axis',(9.98999754189812E-17,0.999961923064171, 0.00872653549837393)); #108223=DIRECTION('ref_axis',(0.707120243031873,-0.00617047494965778,0.707066395137996)); #108224=DIRECTION('',(-3.80769358015207E-5,0.00872653549204784,-0.999961922339272)); #108225=DIRECTION('center_axis',(-9.98999754189812E-17,-0.999961923064171, -0.00872653549837393)); #108226=DIRECTION('ref_axis',(-0.707120243031871,0.00617047494965779,-0.707066395137998)); #108227=DIRECTION('',(-1.,9.98999754189812E-17,4.3589863973248E-19)); #108228=DIRECTION('center_axis',(-9.98999754189812E-17,-0.999961923064171, -0.00872653549837393)); #108229=DIRECTION('ref_axis',(-0.707120243031872,-0.00617047494965764,0.707066395137997)); #108230=DIRECTION('center_axis',(-0.00436326774997773,0.999980961713314, 0.00436326774997775)); #108231=DIRECTION('ref_axis',(-0.707093319084927,-0.00617059242828364,0.707093319084932)); #108232=DIRECTION('center_axis',(0.,-1.,0.)); #108233=DIRECTION('ref_axis',(-0.707106781186545,0.,0.70710678118655)); #108234=DIRECTION('',(0.00436326774997773,-0.999980961713314,-0.00436326774997775)); #108235=DIRECTION('',(-0.00436326774997773,0.999980961713314,0.00436326774997775)); #108236=DIRECTION('center_axis',(0.999990480720734,0.00436330928474658, -4.12350488821176E-16)); #108237=DIRECTION('ref_axis',(4.12354414138001E-16,0.,1.)); #108238=DIRECTION('',(-4.12354414138001E-16,0.,-1.)); #108239=DIRECTION('',(-0.00436326774997773,0.999980961713314,0.00436326774997775)); #108240=DIRECTION('center_axis',(-0.00436326774997773,0.999980961713314, -0.00436326774997776)); #108241=DIRECTION('ref_axis',(0.70709331908493,0.00617059242828392,0.70709331908493)); #108242=DIRECTION('center_axis',(0.,1.,0.)); #108243=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #108244=DIRECTION('',(0.00436326774997773,-0.999980961713314,0.00436326774997776)); #108245=DIRECTION('center_axis',(0.00436326774997794,-0.999980961713314, 0.00436326774997792)); #108246=DIRECTION('ref_axis',(0.999990480720734,0.00436330928474678,0.)); #108247=DIRECTION('',(-0.00436326774997773,0.999980961713314,-0.00436326774997776)); #108248=DIRECTION('center_axis',(0.0560998866859544,-0.998415657010507, 0.00435643777641931)); #108249=DIRECTION('ref_axis',(0.998425131386149,0.0561004190407869,0.)); #108250=DIRECTION('center_axis',(0.,-0.0043633092847466,-0.999990480720734)); #108251=DIRECTION('ref_axis',(3.51707950897955E-13,-0.999990480720734,0.0043633092847466)); #108252=DIRECTION('center_axis',(-3.80906566829915E-16,0.00506142211902772, 0.99998719092103)); #108253=DIRECTION('ref_axis',(3.51770096539497E-13,-0.99998719092103,0.00506142211902771)); #108254=DIRECTION('center_axis',(-3.80906566829915E-16,0.00506142211902772, 0.99998719092103)); #108255=DIRECTION('ref_axis',(3.51770096539497E-13,-0.99998719092103,0.00506142211902771)); #108256=DIRECTION('center_axis',(0.402192721792728,-0.915546315438109,0.00399484976685826)); #108257=DIRECTION('ref_axis',(0.915553621047109,0.402195931092704,-8.74191357972563E-15)); #108258=DIRECTION('center_axis',(0.00436326774997772,-0.999980961713314, 0.00436326774997776)); #108259=DIRECTION('ref_axis',(0.707093319084928,0.00617059242828393,0.707093319084931)); #108260=DIRECTION('',(-0.00436326774997772,0.999980961713314,-0.00436326774997776)); #108261=DIRECTION('center_axis',(-0.004363267749979,0.999980961713314,-0.00436326774997792)); #108262=DIRECTION('ref_axis',(0.,0.00436330928474676,0.999990480720734)); #108263=DIRECTION('',(0.00436326774997772,-0.999980961713314,0.00436326774997776)); #108264=DIRECTION('center_axis',(-1.23437433104674E-16,-0.00402446314767124, -0.999991901815396)); #108265=DIRECTION('ref_axis',(-1.82493643751116E-12,0.999991901815396,-0.00402446314767124)); #108266=DIRECTION('center_axis',(0.999990480720734,0.00436330928474657, -3.08013740139069E-16)); #108267=DIRECTION('ref_axis',(3.08016672235791E-16,0.,1.)); #108268=DIRECTION('',(3.08016672235791E-16,0.,1.)); #108269=DIRECTION('',(-0.00436326774997772,0.999980961713314,-0.00436326774997775)); #108270=DIRECTION('center_axis',(-0.999990480720734,-0.00436330928474657, 3.08013740139069E-16)); #108271=DIRECTION('ref_axis',(0.00436330928474657,-0.999990480720735,1.08863106239346E-12)); #108272=DIRECTION('center_axis',(0.,0.0043633092847466,0.999990480720734)); #108273=DIRECTION('ref_axis',(-1.,0.,0.)); #108274=DIRECTION('',(-1.,9.99075837682931E-17,-4.35931837629695E-19)); #108275=DIRECTION('center_axis',(0.,0.0043633092847466,0.999990480720734)); #108276=DIRECTION('ref_axis',(1.16601698732107E-11,0.999990480720734,-0.00436330928474706)); #108277=DIRECTION('',(-1.,9.99075837682931E-17,-4.35931837629695E-19)); #108278=DIRECTION('',(-0.00436326774997773,-0.999980961713314,0.00436326774997776)); #108279=DIRECTION('center_axis',(0.,-0.00436330928474659,-0.999990480720734)); #108280=DIRECTION('ref_axis',(1.51379762005425E-63,0.999990480720734,-0.00436330928474659)); #108281=DIRECTION('center_axis',(0.,-0.00436330928474659,-0.999990480720734)); #108282=DIRECTION('ref_axis',(1.51379762005425E-63,0.999990480720734,-0.00436330928474659)); #108283=DIRECTION('center_axis',(0.,0.0043633092847466,0.999990480720734)); #108284=DIRECTION('ref_axis',(-1.,0.,0.)); #108285=DIRECTION('',(-1.,0.,0.)); #108286=DIRECTION('center_axis',(0.,-0.00436330928474659,-0.999990480720734)); #108287=DIRECTION('ref_axis',(1.51379762005425E-63,0.999990480720734,-0.00436330928474659)); #108288=DIRECTION('',(-0.00436326774997773,-0.999980961713314,0.00436326774997776)); #108289=DIRECTION('',(1.,0.,0.)); #108290=DIRECTION('',(-7.61618466463415E-5,-0.999990477820449,0.00436330927209163)); #108291=DIRECTION('center_axis',(0.,-0.0043633092847466,-0.999990480720734)); #108292=DIRECTION('ref_axis',(-0.624705925840374,-0.780852673464579,0.00340713415360871)); #108293=DIRECTION('',(-1.,0.,0.)); #108294=DIRECTION('',(-0.00436326774997772,-0.999980961713314,0.00436326774997776)); #108295=DIRECTION('',(1.,0.,0.)); #108296=DIRECTION('',(-0.00436326774997772,0.999980961713314,-0.00436326774997776)); #108297=DIRECTION('',(-1.,0.,0.)); #108298=DIRECTION('center_axis',(0.,-0.0043633092847466,-0.999990480720734)); #108299=DIRECTION('ref_axis',(0.624705925840292,-0.780852673464645,0.00340713415360899)); #108300=DIRECTION('',(-7.61618466460769E-5,0.999990477820449,-0.00436330927209163)); #108301=DIRECTION('',(1.,0.,0.)); #108302=DIRECTION('center_axis',(-0.999990480720734,-0.00436330928474657, 3.08013740139069E-16)); #108303=DIRECTION('ref_axis',(0.00436330928474657,-0.999990480720735,1.08863106239346E-12)); #108304=DIRECTION('center_axis',(0.00436326774997773,-0.999980961713314, -0.00436326774997775)); #108305=DIRECTION('ref_axis',(0.707093319084928,0.00617059242828367,-0.707093319084931)); #108306=DIRECTION('center_axis',(0.00436326774997794,-0.999980961713314, -0.00436326774997792)); #108307=DIRECTION('ref_axis',(0.,0.00436330928474676,-0.999990480720734)); #108308=DIRECTION('',(-0.00436326774997773,0.999980961713314,0.00436326774997775)); #108309=DIRECTION('center_axis',(0.,1.,0.)); #108310=DIRECTION('ref_axis',(0.707106781186546,0.,-0.707106781186549)); #108311=DIRECTION('',(0.00436326774997773,-0.999980961713314,-0.00436326774997775)); #108312=DIRECTION('center_axis',(0.40219272179273,-0.915546315438108,-0.00399484976685738)); #108313=DIRECTION('ref_axis',(0.,0.0043633092847468,-0.999990480720734)); #108314=DIRECTION('center_axis',(-3.82676427420117E-16,0.00506142211902772, -0.99998719092103)); #108315=DIRECTION('ref_axis',(3.52119768603652E-13,-0.99998719092103,-0.00506142211902771)); #108316=DIRECTION('center_axis',(0.,-0.00436330928474659,0.999990480720734)); #108317=DIRECTION('ref_axis',(3.52122434234132E-13,-0.999990480720734,-0.00436330928474659)); #108318=DIRECTION('center_axis',(0.00436326774997819,-0.999980961713314, -0.00436326774997777)); #108319=DIRECTION('ref_axis',(0.,0.00436330928474661,-0.999990480720734)); #108320=DIRECTION('center_axis',(-1.2158757278101E-16,-0.00402446314767124, 0.999991901815396)); #108321=DIRECTION('ref_axis',(-1.82539645902882E-12,0.999991901815396,0.00402446314767124)); #108322=DIRECTION('center_axis',(0.,0.00436330928474659,-0.999990480720734)); #108323=DIRECTION('ref_axis',(-1.82539386632182E-12,0.999990480720734,0.00436330928474659)); #108324=DIRECTION('center_axis',(0.00436326774997772,-0.999980961713314, -0.00436326774997775)); #108325=DIRECTION('ref_axis',(0.70709331908493,0.00617059242828392,-0.70709331908493)); #108326=DIRECTION('',(-0.00436326774997772,0.999980961713314,0.00436326774997775)); #108327=DIRECTION('',(0.00436326774997772,-0.999980961713314,-0.00436326774997775)); #108328=DIRECTION('center_axis',(0.,0.00436330928474659,-0.999990480720734)); #108329=DIRECTION('ref_axis',(1.,0.,0.)); #108330=DIRECTION('',(-1.,0.,0.)); #108331=DIRECTION('center_axis',(0.00436326774997772,0.999980961713314, -0.00436326774997776)); #108332=DIRECTION('ref_axis',(-0.707093319084928,0.00617059242828393,0.707093319084931)); #108333=DIRECTION('',(0.00436326774997772,0.999980961713314,-0.00436326774997776)); #108334=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108335=DIRECTION('ref_axis',(0.316234990763539,0.0165567644675681,0.948536401076495)); #108336=DIRECTION('center_axis',(-0.708647750208093,-0.705555730205642, 0.00307858717444208)); #108337=DIRECTION('ref_axis',(-0.705562446651611,0.708641004392258,-0.00309204930809937)); #108338=DIRECTION('center_axis',(-0.999990480720734,0.00436330928474656, 0.)); #108339=DIRECTION('ref_axis',(0.,0.,1.)); #108340=DIRECTION('',(-0.00436326774997772,-0.999980961713314,-0.00436326774997775)); #108341=DIRECTION('',(7.61509717305395E-5,0.0174524063866805,0.999847692257348)); #108342=DIRECTION('center_axis',(0.00436326774997773,0.999980961713314, 0.00436326774997775)); #108343=DIRECTION('ref_axis',(-0.707093319084928,0.00617059242828367,-0.707093319084931)); #108344=DIRECTION('',(0.00436326774997774,0.999980961713314,0.00436326774997775)); #108345=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108346=DIRECTION('ref_axis',(-0.196130496529814,-0.0171134423666264,-0.980428456554242)); #108347=DIRECTION('center_axis',(0.,0.00436330928474659,-0.999990480720734)); #108348=DIRECTION('ref_axis',(-1.,0.,0.)); #108349=DIRECTION('',(0.00436326774997774,-0.999980961713314,-0.00436326774997775)); #108350=DIRECTION('',(-1.,0.,0.)); #108351=DIRECTION('center_axis',(0.00436326774997772,0.999980961713314, 0.00436326774997775)); #108352=DIRECTION('ref_axis',(-0.707093319084936,0.00617059242828368,-0.707093319084923)); #108353=DIRECTION('',(0.00436326774997772,0.999980961713314,0.00436326774997775)); #108354=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108355=DIRECTION('ref_axis',(-0.196130496529671,-0.0171134423666269,-0.98042845655427)); #108356=DIRECTION('center_axis',(0.,0.00436330928474659,-0.999990480720734)); #108357=DIRECTION('ref_axis',(-1.,0.,0.)); #108358=DIRECTION('',(-1.,0.,0.)); #108359=DIRECTION('center_axis',(-0.00436326774997772,0.999980961713314, 0.00436326774997775)); #108360=DIRECTION('ref_axis',(0.707093319084928,0.00617059242828366,-0.707093319084931)); #108361=DIRECTION('',(-0.00436326774997772,0.999980961713314,0.00436326774997775)); #108362=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108363=DIRECTION('ref_axis',(0.196130496529814,-0.0171134423666264,-0.980428456554242)); #108364=DIRECTION('',(0.00436326774997772,-0.999980961713314,-0.00436326774997775)); #108365=DIRECTION('center_axis',(0.999990480720734,0.00436330928474656, -3.08013740139069E-16)); #108366=DIRECTION('ref_axis',(-3.08016672235791E-16,0.,-1.)); #108367=DIRECTION('',(0.00436326774997772,-0.999980961713314,0.00436326774997776)); #108368=DIRECTION('',(7.61509717302315E-5,-0.0174524063866805,-0.999847692257348)); #108369=DIRECTION('center_axis',(-0.00436326774997773,0.999980961713314, 0.00436326774997775)); #108370=DIRECTION('ref_axis',(0.707093319084929,0.00617059242828366,-0.70709331908493)); #108371=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108372=DIRECTION('ref_axis',(0.196130496529815,-0.0171134423666264,-0.980428456554241)); #108373=DIRECTION('center_axis',(0.00436326774997773,0.999980961713314, 0.00436326774997775)); #108374=DIRECTION('ref_axis',(-0.707093319084928,0.0061705924282837,-0.707093319084931)); #108375=DIRECTION('',(0.00436326774997773,0.999980961713314,0.00436326774997775)); #108376=DIRECTION('center_axis',(0.,1.,0.)); #108377=DIRECTION('ref_axis',(-0.707106781186546,0.,-0.707106781186549)); #108378=DIRECTION('',(-0.00436326774997773,-0.999980961713314,-0.00436326774997775)); #108379=DIRECTION('center_axis',(0.,0.00436330928474659,-0.999990480720734)); #108380=DIRECTION('ref_axis',(1.,0.,0.)); #108381=DIRECTION('',(-1.,0.,0.)); #108382=DIRECTION('center_axis',(0.00436326774997773,0.999980961713314, 0.00436326774997775)); #108383=DIRECTION('ref_axis',(-0.707093319084928,0.00617059242828367,-0.707093319084931)); #108384=DIRECTION('',(0.00436326774997773,0.999980961713314,0.00436326774997775)); #108385=DIRECTION('center_axis',(0.,1.,0.)); #108386=DIRECTION('ref_axis',(-0.707106781186546,0.,-0.707106781186549)); #108387=DIRECTION('center_axis',(0.,0.00436330928474659,-0.999990480720734)); #108388=DIRECTION('ref_axis',(1.,0.,0.)); #108389=DIRECTION('',(0.00436326774997773,-0.999980961713314,-0.00436326774997775)); #108390=DIRECTION('',(-1.,0.,0.)); #108391=DIRECTION('center_axis',(-0.00436326774997773,0.999980961713314, 0.00436326774997775)); #108392=DIRECTION('ref_axis',(0.707093319084929,0.00617059242828366,-0.70709331908493)); #108393=DIRECTION('center_axis',(0.,1.,0.)); #108394=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #108395=DIRECTION('center_axis',(0.00436326774997775,0.999980961713314, -0.00436326774997759)); #108396=DIRECTION('ref_axis',(-0.70709331908493,0.00617059242828083,0.70709331908493)); #108397=DIRECTION('',(0.00436326774997775,0.999980961713314,-0.00436326774997759)); #108398=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108399=DIRECTION('ref_axis',(0.316234990763537,0.0165567644675681,0.948536401076496)); #108400=DIRECTION('',(-0.00436326774997775,-0.999980961713314,0.00436326774997759)); #108401=DIRECTION('center_axis',(-0.999990480720734,0.00436330928474659, -6.23645271765364E-16)); #108402=DIRECTION('ref_axis',(-6.23651208475382E-16,0.,1.)); #108403=DIRECTION('',(-0.00436326774997775,-0.999980961713314,-0.0043632677499776)); #108404=DIRECTION('',(7.61509717299165E-5,0.0174524063866805,0.999847692257348)); #108405=DIRECTION('center_axis',(-0.00436326774997768,0.999980961713314, 0.00436326774997759)); #108406=DIRECTION('ref_axis',(0.707093319084923,0.00617059242828077,-0.707093319084936)); #108407=DIRECTION('',(-0.00436326774997768,0.999980961713314,0.00436326774997759)); #108408=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108409=DIRECTION('ref_axis',(0.196130496529808,-0.0171134423666265,-0.980428456554243)); #108410=DIRECTION('',(0.00436326774997768,-0.999980961713314,-0.00436326774997759)); #108411=DIRECTION('center_axis',(0.999990480720734,0.00436330928474651, 6.23645271765364E-16)); #108412=DIRECTION('ref_axis',(6.23651208475382E-16,0.,-1.)); #108413=DIRECTION('',(0.00436326774997767,-0.999980961713314,0.0043632677499776)); #108414=DIRECTION('',(7.61509717311623E-5,-0.0174524063866805,-0.999847692257348)); #108415=DIRECTION('center_axis',(-0.00436326774997767,0.999980961713314, -0.0043632677499776)); #108416=DIRECTION('ref_axis',(0.70709331908493,0.00617059242828083,0.70709331908493)); #108417=DIRECTION('',(-0.00436326774997767,0.999980961713314,-0.0043632677499776)); #108418=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108419=DIRECTION('ref_axis',(-0.316234990763537,0.0165567644675681,0.948536401076496)); #108420=DIRECTION('center_axis',(-7.21597929202485E-16,0.00436330928474643, 0.999990480720734)); #108421=DIRECTION('ref_axis',(1.,0.,7.2160479836008E-16)); #108422=DIRECTION('',(1.,1.2594699363193E-17,7.21549843268277E-16)); #108423=DIRECTION('center_axis',(0.00436326774997775,0.999980961713314, 0.0043632677499776)); #108424=DIRECTION('ref_axis',(-0.70709331908493,0.00617059242828083,-0.70709331908493)); #108425=DIRECTION('',(0.00436326774997775,0.999980961713314,0.0043632677499776)); #108426=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108427=DIRECTION('ref_axis',(-0.196130496529663,-0.017113442366627,-0.980428456554272)); #108428=DIRECTION('center_axis',(8.19550586639607E-16,0.00436330928474643, -0.999990480720734)); #108429=DIRECTION('ref_axis',(-1.,0.,-8.19558388244779E-16)); #108430=DIRECTION('',(-1.,-1.43065345017403E-17,-8.19620812673839E-16)); #108431=DIRECTION('center_axis',(-0.00436326774997772,0.999980961713314, -0.00436326774997776)); #108432=DIRECTION('ref_axis',(0.70709331908493,0.00617059242828392,0.70709331908493)); #108433=DIRECTION('center_axis',(0.708647750208092,-0.705555730205644,0.00307858717444208)); #108434=DIRECTION('ref_axis',(0.705562446651613,0.708641004392257,-0.00309204930809937)); #108435=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108436=DIRECTION('ref_axis',(-0.316234990763537,0.0165567644675681,0.948536401076496)); #108437=DIRECTION('center_axis',(0.00436326774997773,0.999980961713314, -0.00436326774997776)); #108438=DIRECTION('ref_axis',(-0.707093319084928,0.00617059242828393,0.707093319084931)); #108439=DIRECTION('',(0.00436326774997773,0.999980961713314,-0.00436326774997776)); #108440=DIRECTION('center_axis',(0.,1.,0.)); #108441=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #108442=DIRECTION('center_axis',(-0.999990480720734,0.00436330928474657, 0.)); #108443=DIRECTION('ref_axis',(0.,0.,-1.)); #108444=DIRECTION('',(0.,0.,1.)); #108445=DIRECTION('',(0.,-5.51253866290037E-32,1.)); #108446=DIRECTION('',(-0.00436326774997782,-0.999980961713314,0.00436326774997775)); #108447=DIRECTION('',(0.,5.51253866290037E-32,-1.)); #108448=DIRECTION('',(0.00436326774997773,0.999980961713314,-0.00436326774997776)); #108449=DIRECTION('center_axis',(-0.00436326774997773,-0.999980961713314, 0.00436326774997776)); #108450=DIRECTION('ref_axis',(-0.70709331908493,0.00617059242828392,0.70709331908493)); #108451=DIRECTION('center_axis',(5.86416553144768E-21,-1.34395921217832E-18, 1.)); #108452=DIRECTION('ref_axis',(-0.978210100233095,-0.207617436170372,0.)); #108453=DIRECTION('',(-3.08016672235791E-16,-2.69630192214213E-33,-1.)); #108454=DIRECTION('',(5.86416553144768E-21,-1.34395921217832E-18,1.)); #108455=DIRECTION('center_axis',(-5.86416553144768E-21,1.34395921217832E-18, -1.)); #108456=DIRECTION('ref_axis',(0.875055924460901,0.484021827055226,0.)); #108457=DIRECTION('',(5.86416553144768E-21,-1.34395921217832E-18,1.)); #108458=DIRECTION('center_axis',(0.,0.,-1.)); #108459=DIRECTION('ref_axis',(-1.,0.,0.)); #108460=DIRECTION('center_axis',(0.,0.,1.)); #108461=DIRECTION('ref_axis',(-1.,0.,0.)); #108462=DIRECTION('center_axis',(0.,-1.,0.)); #108463=DIRECTION('ref_axis',(1.,0.,0.)); #108464=DIRECTION('center_axis',(0.,0.,-1.)); #108465=DIRECTION('ref_axis',(-1.,0.,0.)); #108466=DIRECTION('center_axis',(0.,0.,1.)); #108467=DIRECTION('ref_axis',(-1.,0.,0.)); #108468=DIRECTION('',(-0.0174524064372835,-2.13730336808379E-18,-0.999847695156391)); #108469=DIRECTION('center_axis',(0.,0.,1.)); #108470=DIRECTION('ref_axis',(1.,0.,0.)); #108471=DIRECTION('center_axis',(0.,0.,-1.)); #108472=DIRECTION('ref_axis',(0.,1.,0.)); #108473=DIRECTION('center_axis',(0.,0.,-1.)); #108474=DIRECTION('ref_axis',(-1.,0.,0.)); #108475=DIRECTION('center_axis',(0.,0.,1.)); #108476=DIRECTION('ref_axis',(-1.,0.,0.)); #108477=DIRECTION('center_axis',(0.,-1.,0.)); #108478=DIRECTION('ref_axis',(1.,0.,0.)); #108479=DIRECTION('center_axis',(0.,0.,-1.)); #108480=DIRECTION('ref_axis',(-1.,0.,0.)); #108481=DIRECTION('center_axis',(0.,0.,1.)); #108482=DIRECTION('ref_axis',(-1.,0.,0.)); #108483=DIRECTION('',(-0.0174524064372835,-2.13730336808379E-18,-0.999847695156391)); #108484=DIRECTION('center_axis',(0.,0.,1.)); #108485=DIRECTION('ref_axis',(1.,0.,0.)); #108486=DIRECTION('center_axis',(0.,0.,-1.)); #108487=DIRECTION('ref_axis',(0.,1.,0.)); #108488=DIRECTION('center_axis',(0.989306615135052,0.0308048433110765,-0.14256045341751)); #108489=DIRECTION('ref_axis',(-0.0286054094301822,0.999438414341214,0.0174524064372851)); #108490=DIRECTION('center_axis',(0.988532612638592,-0.0307884803844701, -0.147835527616111)); #108491=DIRECTION('ref_axis',(0.144726923867729,-0.0862381353218197,0.98570639722181)); #108492=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #108493=DIRECTION('ref_axis',(0.144726923867608,-0.0862381353218905,0.985706397221821)); #108494=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #108495=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #108496=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #108497=DIRECTION('center_axis',(-0.988532612638593,-0.0307884803844516, -0.14783552761611)); #108498=DIRECTION('ref_axis',(0.0285182103906108,-0.99944090629995,0.0174524064372858)); #108499=DIRECTION('center_axis',(-0.989306615135047,0.0308048433110775, -0.142560453417542)); #108500=DIRECTION('ref_axis',(-0.144726923867781,-0.0862381353219066,0.985706397221794)); #108501=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #108502=DIRECTION('ref_axis',(-0.733670149143473,-0.059222831419128,0.676920060638253)); #108503=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #108504=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #108505=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #108506=DIRECTION('center_axis',(-1.,0.,0.)); #108507=DIRECTION('ref_axis',(0.,0.,1.)); #108508=DIRECTION('',(0.,1.,0.)); #108509=DIRECTION('',(0.,0.,1.)); #108510=DIRECTION('',(0.,-1.,0.)); #108511=DIRECTION('',(0.,0.,-1.)); #108512=DIRECTION('center_axis',(0.,1.,0.)); #108513=DIRECTION('ref_axis',(0.,0.,1.)); #108514=DIRECTION('',(1.,0.,0.)); #108515=DIRECTION('',(-1.,0.,0.)); #108516=DIRECTION('',(0.,0.,1.)); #108517=DIRECTION('center_axis',(0.,0.,-1.)); #108518=DIRECTION('ref_axis',(0.,1.,0.)); #108519=DIRECTION('',(-1.,0.,0.)); #108520=DIRECTION('',(0.,1.,0.)); #108521=DIRECTION('center_axis',(0.,0.,1.)); #108522=DIRECTION('ref_axis',(-1.,0.,0.)); #108523=DIRECTION('center_axis',(0.,-1.,0.)); #108524=DIRECTION('ref_axis',(0.,0.,-1.)); #108525=DIRECTION('',(-1.,0.,0.)); #108526=DIRECTION('',(0.,0.,-1.)); #108527=DIRECTION('center_axis',(1.,0.,0.)); #108528=DIRECTION('ref_axis',(0.,0.,-1.)); #108529=DIRECTION('',(0.,-1.,0.)); #108530=DIRECTION('center_axis',(0.,0.,-1.)); #108531=DIRECTION('ref_axis',(-0.987662775186398,0.156595793401681,0.)); #108532=DIRECTION('center_axis',(0.,0.,1.)); #108533=DIRECTION('ref_axis',(0.,1.,0.)); #108534=DIRECTION('center_axis',(-1.,0.,0.)); #108535=DIRECTION('ref_axis',(0.,0.,1.)); #108536=DIRECTION('',(0.,1.,0.)); #108537=DIRECTION('',(0.,0.,1.)); #108538=DIRECTION('',(0.,-1.,0.)); #108539=DIRECTION('',(0.,0.,-1.)); #108540=DIRECTION('center_axis',(0.,1.,0.)); #108541=DIRECTION('ref_axis',(0.,0.,1.)); #108542=DIRECTION('',(1.,0.,0.)); #108543=DIRECTION('',(-1.,0.,0.)); #108544=DIRECTION('',(0.,0.,1.)); #108545=DIRECTION('center_axis',(0.,0.,-1.)); #108546=DIRECTION('ref_axis',(0.,1.,0.)); #108547=DIRECTION('',(-1.,0.,0.)); #108548=DIRECTION('',(0.,1.,0.)); #108549=DIRECTION('center_axis',(0.,-1.,0.)); #108550=DIRECTION('ref_axis',(0.,0.,-1.)); #108551=DIRECTION('',(-1.,0.,0.)); #108552=DIRECTION('',(0.,0.,-1.)); #108553=DIRECTION('center_axis',(1.,0.,0.)); #108554=DIRECTION('ref_axis',(0.,0.,-1.)); #108555=DIRECTION('',(0.,-1.,0.)); #108556=DIRECTION('center_axis',(0.,0.,1.)); #108557=DIRECTION('ref_axis',(1.,0.,0.)); #108558=DIRECTION('center_axis',(0.,0.,1.)); #108559=DIRECTION('ref_axis',(0.,1.,0.)); #108560=DIRECTION('center_axis',(-0.499999999999996,-0.866025403784441, 0.)); #108561=DIRECTION('ref_axis',(0.866025403784441,-0.499999999999996,0.)); #108562=DIRECTION('',(0.866025403784441,-0.499999999999996,0.)); #108563=DIRECTION('',(0.,0.,1.)); #108564=DIRECTION('',(0.866025403784441,-0.499999999999996,0.)); #108565=DIRECTION('',(0.,0.,1.)); #108566=DIRECTION('center_axis',(-0.866025403784439,0.499999999999999,0.)); #108567=DIRECTION('ref_axis',(-0.499999999999999,-0.866025403784439,0.)); #108568=DIRECTION('',(-0.499999999999999,-0.866025403784439,0.)); #108569=DIRECTION('',(0.,0.,1.)); #108570=DIRECTION('',(-0.499999999999999,-0.866025403784439,0.)); #108571=DIRECTION('center_axis',(0.499999999999999,0.866025403784439,0.)); #108572=DIRECTION('ref_axis',(-0.866025403784439,0.499999999999999,0.)); #108573=DIRECTION('',(-0.866025403784439,0.499999999999999,0.)); #108574=DIRECTION('',(0.,0.,1.)); #108575=DIRECTION('',(-0.866025403784439,0.499999999999999,0.)); #108576=DIRECTION('center_axis',(0.866025403784439,-0.5,0.)); #108577=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #108578=DIRECTION('',(0.5,0.866025403784438,0.)); #108579=DIRECTION('',(0.5,0.866025403784438,0.)); #108580=DIRECTION('center_axis',(0.,0.,1.)); #108581=DIRECTION('ref_axis',(1.,0.,0.)); #108582=DIRECTION('center_axis',(1.,1.45698559662094E-15,0.)); #108583=DIRECTION('ref_axis',(-1.45698559662094E-15,1.,0.)); #108584=DIRECTION('',(-1.45698559662094E-15,1.,0.)); #108585=DIRECTION('',(0.,0.,1.)); #108586=DIRECTION('',(-1.45698559662094E-15,1.,0.)); #108587=DIRECTION('',(0.,0.,1.)); #108588=DIRECTION('center_axis',(0.,-1.,0.)); #108589=DIRECTION('ref_axis',(1.,0.,0.)); #108590=DIRECTION('',(1.,0.,0.)); #108591=DIRECTION('',(0.,0.,1.)); #108592=DIRECTION('',(1.,0.,0.)); #108593=DIRECTION('center_axis',(-1.,0.,0.)); #108594=DIRECTION('ref_axis',(0.,-1.,0.)); #108595=DIRECTION('',(0.,-1.,0.)); #108596=DIRECTION('',(0.,0.,1.)); #108597=DIRECTION('',(0.,-1.,0.)); #108598=DIRECTION('center_axis',(0.,1.,0.)); #108599=DIRECTION('ref_axis',(-1.,0.,0.)); #108600=DIRECTION('',(-1.,0.,0.)); #108601=DIRECTION('',(-1.,0.,0.)); #108602=DIRECTION('center_axis',(0.,0.,1.)); #108603=DIRECTION('ref_axis',(1.,0.,0.)); #108604=DIRECTION('center_axis',(-0.500000000000001,0.866025403784438,0.)); #108605=DIRECTION('ref_axis',(-0.866025403784438,-0.500000000000001,0.)); #108606=DIRECTION('',(-0.866025403784438,-0.500000000000001,0.)); #108607=DIRECTION('',(0.,0.,1.)); #108608=DIRECTION('',(-0.866025403784438,-0.500000000000001,0.)); #108609=DIRECTION('',(0.,0.,1.)); #108610=DIRECTION('center_axis',(0.866025403784442,0.499999999999994,0.)); #108611=DIRECTION('ref_axis',(-0.499999999999994,0.866025403784442,0.)); #108612=DIRECTION('',(-0.499999999999994,0.866025403784442,0.)); #108613=DIRECTION('',(0.,0.,1.)); #108614=DIRECTION('',(-0.499999999999994,0.866025403784442,0.)); #108615=DIRECTION('center_axis',(0.5,-0.866025403784439,0.)); #108616=DIRECTION('ref_axis',(0.866025403784438,0.5,0.)); #108617=DIRECTION('',(0.866025403784438,0.5,0.)); #108618=DIRECTION('',(0.,0.,1.)); #108619=DIRECTION('',(0.866025403784438,0.5,0.)); #108620=DIRECTION('center_axis',(-0.866025403784432,-0.500000000000011, 0.)); #108621=DIRECTION('ref_axis',(0.500000000000011,-0.866025403784432,0.)); #108622=DIRECTION('',(0.500000000000011,-0.866025403784432,0.)); #108623=DIRECTION('',(0.500000000000011,-0.866025403784432,0.)); #108624=DIRECTION('center_axis',(0.,0.,1.)); #108625=DIRECTION('ref_axis',(1.,0.,0.)); #108626=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #108627=DIRECTION('center_axis',(0.022702805170452,-0.997290027474575,-0.0699798809455487)); #108628=DIRECTION('ref_axis',(0.604010947306378,0.0694610369248133,-0.793943285054657)); #108629=DIRECTION('center_axis',(-0.821383241331576,-0.544987440878575, -0.168280302306169)); #108630=DIRECTION('ref_axis',(0.144726923867693,0.0862381353218894,-0.985706397221809)); #108631=DIRECTION('center_axis',(0.,0.,1.)); #108632=DIRECTION('ref_axis',(-0.999582479062256,0.0288940746824491,0.)); #108633=DIRECTION('',(-0.087119353400204,0.0025182845400612,0.996194698091746)); #108634=DIRECTION('',(0.0164956542606783,0.0855804702277809,-0.996194698091746)); #108635=DIRECTION('center_axis',(0.0227028051709811,0.997290027474592,0.0699798809451479)); #108636=DIRECTION('ref_axis',(-0.604010947306391,0.0694610369248133,-0.793943285054648)); #108637=DIRECTION('center_axis',(-0.821383241331565,0.544987440878615,0.168280302306092)); #108638=DIRECTION('ref_axis',(-0.144726923867602,0.086238135321888,-0.985706397221822)); #108639=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #108640=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #108641=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218905,0.985706397221821)); #108642=DIRECTION('center_axis',(0.0749928927905168,0.463094047110022,-0.883130777157138)); #108643=DIRECTION('ref_axis',(-0.931630033726114,-0.2832678396731,-0.227650634232402)); #108644=DIRECTION('center_axis',(0.448181421761701,0.397007277315489,-0.80094858445858)); #108645=DIRECTION('ref_axis',(-0.785947305122704,-0.251906705900747,-0.564650197106663)); #108646=DIRECTION('center_axis',(0.89100652418837,0.453990499739542,2.63790922691119E-14)); #108647=DIRECTION('ref_axis',(2.96059473786019E-14,0.,-1.)); #108648=DIRECTION('center_axis',(-0.745827677093206,-0.369155460680282, 0.554495556277669)); #108649=DIRECTION('ref_axis',(-0.45392135496393,0.890870819483244,-0.0174524067383954)); #108650=DIRECTION('center_axis',(-0.891006524188368,-0.453990499739547, 0.)); #108651=DIRECTION('ref_axis',(-0.318206147171563,0.624514727355236,-0.713250449154183)); #108652=DIRECTION('',(0.891006524188368,0.453990499739547,0.)); #108653=DIRECTION('center_axis',(0.,0.,1.)); #108654=DIRECTION('ref_axis',(1.,0.,0.)); #108655=DIRECTION('center_axis',(5.91633358142739E-18,-3.3506108833918E-18, -1.)); #108656=DIRECTION('ref_axis',(0.963743610778185,0.266830007091081,4.80778516255817E-18)); #108657=DIRECTION('center_axis',(0.891006524188368,-0.453990499739548,0.)); #108658=DIRECTION('ref_axis',(0.,0.,-1.)); #108659=DIRECTION('center_axis',(-0.891006524188368,0.453990499739546,0.)); #108660=DIRECTION('ref_axis',(0.318206147171568,0.624514727355235,-0.713250449154182)); #108661=DIRECTION('center_axis',(0.891006524188361,-0.45399049973956,-3.3099501691949E-14)); #108662=DIRECTION('ref_axis',(-2.96059473786019E-14,1.4802973689301E-14, -1.)); #108663=DIRECTION('',(0.891006524188368,-0.453990499739546,0.)); #108664=DIRECTION('center_axis',(0.745827677139697,-0.369155460705571,0.554495556198299)); #108665=DIRECTION('ref_axis',(0.53014462166834,-0.175080954398554,-0.829634461388281)); #108666=DIRECTION('center_axis',(-0.448181421695567,0.397007277407625,-0.800948584449917)); #108667=DIRECTION('ref_axis',(0.81643736304892,0.546703744067235,-0.185862982975613)); #108668=DIRECTION('center_axis',(0.0749928926595572,-0.4630940473157,0.883130777060406)); #108669=DIRECTION('ref_axis',(0.931630033737211,-0.283267839673602,-0.227650634186364)); #108670=DIRECTION('center_axis',(-0.745451897577191,-0.368951439242047, 0.555136293066655)); #108671=DIRECTION('ref_axis',(0.453921354787464,-0.890870819579057,0.01745240643729)); #108672=DIRECTION('center_axis',(0.306679425619222,0.137811175874385,-0.941783313563148)); #108673=DIRECTION('ref_axis',(0.907321783118962,0.256650406342993,0.333013139082835)); #108674=DIRECTION('center_axis',(-0.453921354787484,0.890870819579047,-0.0174524064372835)); #108675=DIRECTION('ref_axis',(-0.00792322672012002,0.0155502079984067,0.999847695156391)); #108676=DIRECTION('center_axis',(0.,0.,1.)); #108677=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #108678=DIRECTION('center_axis',(0.,0.,1.)); #108679=DIRECTION('ref_axis',(0.847598677806107,-0.530637806211864,0.)); #108680=DIRECTION('center_axis',(0.453921354787484,0.890870819579047,-0.0174524064372835)); #108681=DIRECTION('ref_axis',(0.00792322672012002,0.0155502079984067,0.999847695156391)); #108682=DIRECTION('center_axis',(-0.306679425619211,0.137811175874364,-0.941783313563155)); #108683=DIRECTION('ref_axis',(-0.453921354787471,-0.890870819579053,0.0174524064372968)); #108684=DIRECTION('center_axis',(-0.745451897610995,0.368951439260381,-0.555136293009077)); #108685=DIRECTION('ref_axis',(-0.453921354787443,-0.890870819579068,0.0174524064372834)); #108686=DIRECTION('center_axis',(-0.0839995117905305,0.0232841777217217, -0.996193720662189)); #108687=DIRECTION('ref_axis',(-0.453921354787437,-0.890870819579071,0.0174524064372832)); #108688=DIRECTION('center_axis',(0.,0.,1.)); #108689=DIRECTION('ref_axis',(1.,0.,0.)); #108690=DIRECTION('center_axis',(0.40236481951398,-0.915479411028715,-6.6079425904125E-14)); #108691=DIRECTION('ref_axis',(-0.564887901044647,-0.248275401512425,0.786931372012336)); #108692=DIRECTION('center_axis',(-6.60931219513331E-18,2.83256236934286E-18, 1.)); #108693=DIRECTION('ref_axis',(-9.96254358128496E-16,-1.,2.83256236934285E-18)); #108694=DIRECTION('center_axis',(-0.402364819514051,-0.915479411028684, 8.31230481810276E-14)); #108695=DIRECTION('ref_axis',(0.913249349195254,-0.401384679036494,0.0697564737441256)); #108696=DIRECTION('center_axis',(0.,0.,-1.)); #108697=DIRECTION('ref_axis',(0.985346261506816,-0.170565954793275,0.)); #108698=DIRECTION('center_axis',(0.0712301371028957,-0.997459907749832, 3.2629193810844E-14)); #108699=DIRECTION('ref_axis',(0.995030145546712,0.0710566240689294,0.0697564737441269)); #108700=DIRECTION('center_axis',(6.70753868163118E-17,-3.30201127570343E-17, 1.)); #108701=DIRECTION('ref_axis',(0.985346261506814,-0.170565954793286,-7.17245886983618E-17)); #108702=DIRECTION('center_axis',(0.,0.,-1.)); #108703=DIRECTION('ref_axis',(-1.,0.,0.)); #108704=DIRECTION('center_axis',(0.31084862910742,-0.95045943089752,-5.67931998130459E-14)); #108705=DIRECTION('ref_axis',(0.948144159493779,0.310091417470108,0.0697564737441228)); #108706=DIRECTION('center_axis',(3.41140773887786E-18,-9.24212716287908E-18, 1.)); #108707=DIRECTION('ref_axis',(0.981301020531779,0.192479367993792,-1.56869910038162E-18)); #108708=DIRECTION('center_axis',(0.,0.,-1.)); #108709=DIRECTION('ref_axis',(-1.,0.,0.)); #108710=DIRECTION('center_axis',(0.949549326169498,-0.313617724580503,1.89688154909025E-15)); #108711=DIRECTION('ref_axis',(0.312853767565796,0.947236271735131,0.0697564737441293)); #108712=DIRECTION('center_axis',(1.11572921679817E-17,-8.39340438285805E-18, 1.)); #108713=DIRECTION('ref_axis',(0.708136575003193,0.706075485442419,-1.9745095880035E-18)); #108714=DIRECTION('center_axis',(0.,0.,1.)); #108715=DIRECTION('ref_axis',(1.,0.,0.)); #108716=DIRECTION('center_axis',(-5.26481143921822E-18,-5.26481143921821E-18, 1.)); #108717=DIRECTION('ref_axis',(8.23237043236226E-16,1.,5.26481143921821E-18)); #108718=DIRECTION('center_axis',(0.949549326169488,0.313617724580534,-3.44836078625656E-14)); #108719=DIRECTION('ref_axis',(-0.312853767565825,0.947236271735122,0.0697564737441291)); #108720=DIRECTION('center_axis',(0.,0.,1.)); #108721=DIRECTION('ref_axis',(1.,0.,0.)); #108722=DIRECTION('center_axis',(-5.52777557024739E-18,-3.91013931016795E-17, 1.)); #108723=DIRECTION('ref_axis',(-0.708136575003192,0.70607548544242,2.36941150560419E-17)); #108724=DIRECTION('center_axis',(0.310848629107403,0.950459430897526,4.93189202763459E-14)); #108725=DIRECTION('ref_axis',(-0.948144159493784,0.310091417470092,0.0697564737441248)); #108726=DIRECTION('center_axis',(0.,0.,1.)); #108727=DIRECTION('ref_axis',(1.,0.,0.)); #108728=DIRECTION('center_axis',(9.10948828989658E-18,-8.27645209333484E-19, 1.)); #108729=DIRECTION('ref_axis',(-0.981301020531778,0.192479367993794,9.0984547822134E-18)); #108730=DIRECTION('center_axis',(0.0712301371029195,0.99745990774983,9.53536874229135E-14)); #108731=DIRECTION('ref_axis',(-0.995030145546711,0.0710566240689443,0.0697564737441345)); #108732=DIRECTION('center_axis',(0.,0.,1.)); #108733=DIRECTION('ref_axis',(-0.985346261506816,-0.170565954793274,0.)); #108734=DIRECTION('center_axis',(-6.27991033912864E-17,4.11574916685455E-18, 1.)); #108735=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793284,-6.11768550662497E-17)); #108736=DIRECTION('center_axis',(0.,0.,-1.)); #108737=DIRECTION('ref_axis',(0.313617724580518,0.949549326169493,0.)); #108738=DIRECTION('',(0.0663006983362591,0.0216837042347294,-0.997564050259824)); #108739=DIRECTION('',(0.0218768665703932,0.0662372126396941,-0.997564050259824)); #108740=DIRECTION('center_axis',(0.,0.,-1.)); #108741=DIRECTION('ref_axis',(0.950459430897519,0.310848629107424,0.)); #108742=DIRECTION('',(0.0695792858657687,0.00496876318860966,-0.997564050259824)); #108743=DIRECTION('center_axis',(0.,0.,-1.)); #108744=DIRECTION('ref_axis',(0.997459907749833,0.0712301371028837,0.)); #108745=DIRECTION('',(0.0638606154987107,-0.0280675509679893,-0.997564050259824)); #108746=DIRECTION('center_axis',(0.,0.,-1.)); #108747=DIRECTION('ref_axis',(0.915479411028686,-0.402364819514045,0.)); #108748=DIRECTION('',(-0.0638606154987099,-0.0280675509679911,-0.997564050259824)); #108749=DIRECTION('center_axis',(0.,0.,-1.)); #108750=DIRECTION('ref_axis',(-0.313617724580513,0.949549326169495,0.)); #108751=DIRECTION('',(-0.0218768665703929,0.0662372126396943,-0.997564050259824)); #108752=DIRECTION('center_axis',(0.,0.,-1.)); #108753=DIRECTION('ref_axis',(-0.950459430897515,0.310848629107436,0.)); #108754=DIRECTION('',(-0.0663006983362588,0.0216837042347302,-0.997564050259824)); #108755=DIRECTION('center_axis',(0.,0.,-1.)); #108756=DIRECTION('ref_axis',(-0.997459907749831,0.0712301371029124,0.)); #108757=DIRECTION('',(-0.0695792858657689,0.00496876318860785,-0.997564050259824)); #108758=DIRECTION('center_axis',(0.,0.,-1.)); #108759=DIRECTION('ref_axis',(-0.915479411028699,-0.402364819514016,0.)); #108760=DIRECTION('center_axis',(-1.,0.,0.)); #108761=DIRECTION('ref_axis',(0.,-0.705562431934719,0.708647764860911)); #108762=DIRECTION('center_axis',(-1.,0.,0.)); #108763=DIRECTION('ref_axis',(0.,-1.,0.)); #108764=DIRECTION('',(-1.,0.,0.)); #108765=DIRECTION('center_axis',(-0.912537776549509,-2.08217193114806E-15, 0.408992428255193)); #108766=DIRECTION('ref_axis',(-1.20376149992822E-10,-1.,-2.68586552823491E-10)); #108767=DIRECTION('center_axis',(-0.0231409310060249,0.,0.999732212801095)); #108768=DIRECTION('ref_axis',(0.,1.,0.)); #108769=DIRECTION('center_axis',(0.,-1.,0.)); #108770=DIRECTION('ref_axis',(1.,0.,0.)); #108771=DIRECTION('',(-3.08016672235791E-16,0.,-1.)); #108772=DIRECTION('',(-1.,0.,0.)); #108773=DIRECTION('',(1.,0.,0.)); #108774=DIRECTION('center_axis',(-1.,0.,0.)); #108775=DIRECTION('ref_axis',(0.,-0.705562431934719,0.708647764860911)); #108776=DIRECTION('center_axis',(1.,0.,0.)); #108777=DIRECTION('ref_axis',(0.,0.00436331039092446,0.999990480715908)); #108778=DIRECTION('center_axis',(0.912537776549507,-2.0821719323371E-15, 0.408992428255197)); #108779=DIRECTION('ref_axis',(-0.403755096979848,-0.159520896799386,0.900852321497321)); #108780=DIRECTION('center_axis',(-0.998414779585835,-0.056283812877903, 0.000245586021104171)); #108781=DIRECTION('ref_axis',(8.74191357972562E-15,-0.00436330928474458, -0.999990480720734)); #108782=DIRECTION('center_axis',(1.,-9.99075837682931E-17,4.35931837629695E-19)); #108783=DIRECTION('ref_axis',(0.,0.705562431934703,0.708647764860927)); #108784=DIRECTION('center_axis',(1.,0.,0.)); #108785=DIRECTION('ref_axis',(0.,0.99996192306417,0.00872653549848501)); #108786=DIRECTION('center_axis',(-0.0155318298916691,0.00872548285004181, -0.99984130151202)); #108787=DIRECTION('ref_axis',(0.,-0.999961923064171,-0.00872653549838015)); #108788=DIRECTION('center_axis',(1.,-9.99075837682931E-17,4.35931837629695E-19)); #108789=DIRECTION('ref_axis',(0.,0.705562431934703,0.708647764860927)); #108790=DIRECTION('center_axis',(-1.,-4.3712896804961E-15,1.90734704149079E-17)); #108791=DIRECTION('ref_axis',(0.,0.0043633092847445,0.999990480720734)); #108792=DIRECTION('center_axis',(-0.933205934835837,0.306428889521235,-0.187691286036285)); #108793=DIRECTION('ref_axis',(0.35934201422485,0.795791326887362,-0.48743151402395)); #108794=DIRECTION('center_axis',(-0.661215708695763,0.750195832149153,0.)); #108795=DIRECTION('ref_axis',(0.,0.,-1.)); #108796=DIRECTION('center_axis',(1.,0.,-2.62257407391771E-13)); #108797=DIRECTION('ref_axis',(0.,1.,0.)); #108798=DIRECTION('center_axis',(-0.0232610490212386,-4.37282431028149E-13, -0.999729425193853)); #108799=DIRECTION('ref_axis',(0.750081573690136,0.661115002340799,-0.0174524064373117)); #108800=DIRECTION('center_axis',(0.,0.,1.)); #108801=DIRECTION('ref_axis',(1.,0.,0.)); #108802=DIRECTION('center_axis',(0.,0.,1.)); #108803=DIRECTION('ref_axis',(0.899221209435434,0.437494247392438,0.)); #108804=DIRECTION('center_axis',(0.,0.,-1.)); #108805=DIRECTION('ref_axis',(0.899221209435434,0.437494247392438,0.)); #108806=DIRECTION('center_axis',(-0.933205934835762,-0.306428889521462, 0.187691286036284)); #108807=DIRECTION('ref_axis',(-0.359342014225039,0.795791326887466,-0.48743151402364)); #108808=DIRECTION('center_axis',(-1.,-2.70999320971495E-13,0.)); #108809=DIRECTION('ref_axis',(0.,0.,-1.)); #108810=DIRECTION('center_axis',(0.661215708695897,0.750195832149035,1.95302714705954E-13)); #108811=DIRECTION('ref_axis',(-0.750081573690141,0.661115002340795,-0.0174524064372942)); #108812=DIRECTION('center_axis',(0.0232610490210644,0.,-0.999729425193857)); #108813=DIRECTION('ref_axis',(0.,1.,0.)); #108814=DIRECTION('center_axis',(-0.932251870349594,0.307480814638668,0.190688224226942)); #108815=DIRECTION('ref_axis',(-0.36180996424875,-0.792265534031674,-0.491333769810122)); #108816=DIRECTION('center_axis',(-1.,7.6289524048559E-17,-8.74191357972569E-15)); #108817=DIRECTION('ref_axis',(0.,-0.999961923064171,-0.00872653549838011)); #108818=DIRECTION('center_axis',(0.0155318298916538,0.00872548285005936, -0.99984130151202)); #108819=DIRECTION('ref_axis',(-0.755660996878192,-0.654730380619843,-0.0174524064372941)); #108820=DIRECTION('center_axis',(0.654830114418005,-0.755776105239708,0.)); #108821=DIRECTION('ref_axis',(0.,0.,-1.)); #108822=DIRECTION('center_axis',(0.,0.,1.)); #108823=DIRECTION('ref_axis',(-0.999991010551839,0.00424014333627099,0.)); #108824=DIRECTION('center_axis',(0.,0.,-1.)); #108825=DIRECTION('ref_axis',(-0.999990619522179,0.00433138172517628,0.)); #108826=DIRECTION('center_axis',(0.,0.,1.)); #108827=DIRECTION('ref_axis',(-0.999990619522179,0.00433138172517635,0.)); #108828=DIRECTION('center_axis',(-0.932251870349572,-0.307480814638738, -0.19068822422694)); #108829=DIRECTION('ref_axis',(0.361809964248814,-0.792265534031701,-0.491333769810029)); #108830=DIRECTION('center_axis',(1.,-4.3711232288415E-15,0.)); #108831=DIRECTION('ref_axis',(0.,0.,-1.)); #108832=DIRECTION('center_axis',(-0.654830114418001,-0.755776105239712, -3.41319588669918E-14)); #108833=DIRECTION('ref_axis',(0.7556609968782,-0.654730380619834,-0.017452406437294)); #108834=DIRECTION('center_axis',(0.,0.,1.)); #108835=DIRECTION('ref_axis',(1.,0.,0.)); #108836=DIRECTION('center_axis',(0.,0.,-1.)); #108837=DIRECTION('ref_axis',(0.901107728898847,-0.433595273173914,0.)); #108838=DIRECTION('center_axis',(0.,0.,1.)); #108839=DIRECTION('ref_axis',(0.901107728898847,-0.433595273173914,0.)); #108840=DIRECTION('center_axis',(-1.,9.99037794487803E-17,0.)); #108841=DIRECTION('ref_axis',(0.,-0.704014724455963,-0.710185375623291)); #108842=DIRECTION('',(1.,-9.99037794487803E-17,0.)); #108843=DIRECTION('center_axis',(0.,-1.,0.)); #108844=DIRECTION('ref_axis',(0.704014724455959,0.,-0.710185375623294)); #108845=DIRECTION('',(0.,-1.,0.)); #108846=DIRECTION('',(0.,1.,0.)); #108847=DIRECTION('center_axis',(1.,0.,0.)); #108848=DIRECTION('ref_axis',(0.,0.707106781186557,-0.707106781186538)); #108849=DIRECTION('',(-1.,0.,0.)); #108850=DIRECTION('center_axis',(-0.0231409309694789,0.,-0.999732212801941)); #108851=DIRECTION('ref_axis',(0.,1.,0.)); #108852=DIRECTION('center_axis',(-0.999961923064171,0.,0.00872653549837393)); #108853=DIRECTION('ref_axis',(0.,-1.,0.)); #108854=DIRECTION('',(0.,1.,0.)); #108855=DIRECTION('center_axis',(0.999961923064171,0.,-0.00872653549837393)); #108856=DIRECTION('ref_axis',(-0.00872653549837393,0.,-0.999961923064171)); #108857=DIRECTION('center_axis',(0.,0.,1.)); #108858=DIRECTION('ref_axis',(-1.,0.,0.)); #108859=DIRECTION('center_axis',(0.,0.,1.)); #108860=DIRECTION('ref_axis',(0.928678479682402,0.370885806380862,0.)); #108861=DIRECTION('center_axis',(0.,0.,-1.)); #108862=DIRECTION('ref_axis',(-1.,0.,0.)); #108863=DIRECTION('center_axis',(0.999172078813157,0.000710027068120909, 0.0406774234896661)); #108864=DIRECTION('ref_axis',(-0.0404611165386461,-0.0870843721071695,0.995378927938072)); #108865=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108866=DIRECTION('ref_axis',(-1.06786971510754E-15,-0.0174524064372835, -0.999847695156391)); #108867=DIRECTION('center_axis',(-0.999371689490011,-0.000618570564647618, -0.0354378839145027)); #108868=DIRECTION('ref_axis',(2.18547839493145E-15,-0.999847695156392,0.0174524064372596)); #108869=DIRECTION('center_axis',(-1.87377834634675E-17,-0.99984382061667, 0.0176729843167563)); #108870=DIRECTION('ref_axis',(-1.06784492816388E-15,-0.0176729843167563, -0.99984382061667)); #108871=DIRECTION('center_axis',(-0.00152246364985817,-0.996187745878816, -0.0872218840963611)); #108872=DIRECTION('ref_axis',(-0.0436347052619471,-0.0870727314932253,0.995245875111779)); #108873=DIRECTION('center_axis',(-0.00152246317383025,-0.99618774826836, -0.0872218568129543)); #108874=DIRECTION('ref_axis',(-0.0436167810472602,-0.0870727997102218,0.995246654835724)); #108875=DIRECTION('center_axis',(-0.00152224100417089,-0.996188862942148, -0.0872091287214597)); #108876=DIRECTION('ref_axis',(-0.0352493620082053,-0.0871015796490423,0.9955756110415)); #108877=DIRECTION('center_axis',(0.00152224167053571,0.996188859599077, 0.0872091668976804)); #108878=DIRECTION('ref_axis',(-0.999847695156391,0.,0.0174524064372942)); #108879=DIRECTION('center_axis',(-0.999176092664546,-0.00070830454404662, 0.0405787401515048)); #108880=DIRECTION('ref_axis',(0.0404862208497604,-0.0870842834787726,0.995377914910861)); #108881=DIRECTION('center_axis',(-8.74058214394504E-17,-0.999847695156391, -0.0174524064372835)); #108882=DIRECTION('ref_axis',(1.53283288733036E-15,0.0174524064372835,-0.999847695156391)); #108883=DIRECTION('center_axis',(0.999374621840396,0.000617125882263979, -0.0353551181161923)); #108884=DIRECTION('ref_axis',(-4.37095678986286E-15,0.999847695156393,0.0174524064371894)); #108885=DIRECTION('center_axis',(6.70639974089101E-17,0.999850261561457, 0.0173047523959509)); #108886=DIRECTION('ref_axis',(1.53281704176235E-15,0.0173047523959509,-0.999850261561458)); #108887=DIRECTION('center_axis',(-0.00152246457503636,0.996187741238594, 0.0872219370775788)); #108888=DIRECTION('ref_axis',(0.0436695118458574,-0.087072598944123,0.995244360068551)); #108889=DIRECTION('center_axis',(-0.00152246471318309,0.996187740544517, 0.0872219450024354)); #108890=DIRECTION('ref_axis',(0.0436747181657692,-0.087072579108495,0.995244133346455)); #108891=DIRECTION('center_axis',(0.999373013891845,-0.000617918489818673, -0.0354005265705173)); #108892=DIRECTION('ref_axis',(0.0352122028833216,-0.087101693819425,0.995576916014976)); #108893=DIRECTION('center_axis',(0.999373013891845,0.000617918489777768, 0.0354005265705127)); #108894=DIRECTION('ref_axis',(-0.0352122028833208,-0.0871016938194054,0.995576916014978)); #108895=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #108896=DIRECTION('ref_axis',(-1.06786971510754E-15,-0.0174524064372835, -0.999847695156391)); #108897=DIRECTION('center_axis',(1.57379350313784E-17,-0.99984382061667, 0.0176729843167563)); #108898=DIRECTION('ref_axis',(-1.06784492816388E-15,-0.0176729843167563, -0.99984382061667)); #108899=DIRECTION('center_axis',(-0.00152246471317456,-0.996187740544516, -0.0872219450024455)); #108900=DIRECTION('ref_axis',(-0.0436747181645279,-0.0870725791085121,0.995244133346508)); #108901=DIRECTION('center_axis',(-0.00152246457485381,-0.996187741238597, -0.0872219370775561)); #108902=DIRECTION('ref_axis',(-0.0436695118471324,-0.0870725989441015,0.995244360068497)); #108903=DIRECTION('center_axis',(-0.999176092664546,0.000708304543956159, -0.0405787401515171)); #108904=DIRECTION('ref_axis',(-0.0404862208497648,-0.0870842834787639,0.995377914910862)); #108905=DIRECTION('center_axis',(-0.999374621840396,0.00061712588225749, -0.0353551181161956)); #108906=DIRECTION('ref_axis',(-0.0352744745916439,-0.0871015024230394,0.995574728344353)); #108907=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #108908=DIRECTION('ref_axis',(1.53283288733036E-15,0.0174524064372835,-0.999847695156391)); #108909=DIRECTION('center_axis',(-1.64086325643786E-17,0.999850261561457, 0.0173047523959509)); #108910=DIRECTION('ref_axis',(1.53281704176235E-15,0.0173047523959509,-0.999850261561458)); #108911=DIRECTION('center_axis',(-0.00152224167053478,0.996188859599078, 0.0872091668976709)); #108912=DIRECTION('ref_axis',(0.0352744745966936,-0.0871015024229988,0.995574728344178)); #108913=DIRECTION('center_axis',(0.00152224100416621,-0.996188862942149, -0.0872091287214414)); #108914=DIRECTION('ref_axis',(0.999847695156391,0.,0.0174524064372854)); #108915=DIRECTION('center_axis',(0.0108395542171078,0.783737474357363,-0.62099764520674)); #108916=DIRECTION('ref_axis',(0.0755795564266876,0.618615472962707,0.782050271569208)); #108917=DIRECTION('center_axis',(0.00955281774937898,0.836894694553372, -0.547280562327465)); #108918=DIRECTION('ref_axis',(0.0737439098601223,0.54522558738708,0.83503945692222)); #108919=DIRECTION('center_axis',(-0.052803463688885,0.994603295043048,0.0893089005193099)); #108920=DIRECTION('ref_axis',(0.0432079904340441,-0.0870743478858108,0.995264350563664)); #108921=DIRECTION('center_axis',(0.00152246317383155,-0.996187748268359, -0.0872218568129638)); #108922=DIRECTION('ref_axis',(0.999847695156394,1.74838271594513E-14,0.0174524064371019)); #108923=DIRECTION('center_axis',(-0.00152246365006421,0.996187745878812, 0.087221884096395)); #108924=DIRECTION('ref_axis',(0.0436347052682583,-0.0870727314932166,0.995245875111504)); #108925=DIRECTION('center_axis',(0.999172078813157,-0.000710027067910193, -0.0406774234896532)); #108926=DIRECTION('ref_axis',(0.0404611165386516,-0.0870843721071715,0.995378927938072)); #108927=DIRECTION('center_axis',(0.999371689490011,-0.000618570564649456, -0.0354378839144997)); #108928=DIRECTION('ref_axis',(0.0352493620035135,-0.0871015796490411,0.995575611041666)); #108929=DIRECTION('center_axis',(3.49623285757802E-16,0.999847695156391, -0.0174524064372835)); #108930=DIRECTION('ref_axis',(3.18519759851041E-15,-0.0174524064372835, -0.999847695156391)); #108931=DIRECTION('center_axis',(-3.54495423115658E-16,-0.99984382061667, 0.0176729843167563)); #108932=DIRECTION('ref_axis',(3.18512366504054E-15,-0.0176729843167563, -0.99984382061667)); #108933=DIRECTION('center_axis',(0.,0.,1.)); #108934=DIRECTION('ref_axis',(1.,0.,0.)); #108935=DIRECTION('center_axis',(0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #108936=DIRECTION('ref_axis',(0.706891438803886,-0.706891438803888,0.0246776719230475)); #108937=DIRECTION('center_axis',(0.,0.,1.)); #108938=DIRECTION('ref_axis',(1.,0.,0.)); #108939=DIRECTION('center_axis',(0.0174497491606827,0.0174497491606827, -0.999695459881888)); #108940=DIRECTION('ref_axis',(0.706891438803887,0.706891438803887,0.0246776719230474)); #108941=DIRECTION('center_axis',(0.,0.,1.)); #108942=DIRECTION('ref_axis',(-0.981548800914367,0.191211797291818,0.)); #108943=DIRECTION('center_axis',(-0.0174497491606827,0.0174497491606827, -0.999695459881888)); #108944=DIRECTION('ref_axis',(-0.706891438803888,0.706891438803886,0.0246776719230474)); #108945=DIRECTION('center_axis',(0.,0.,1.)); #108946=DIRECTION('ref_axis',(1.,0.,0.)); #108947=DIRECTION('center_axis',(-0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #108948=DIRECTION('ref_axis',(-0.706891438803887,-0.706891438803887,0.0246776719230474)); #108949=DIRECTION('center_axis',(-0.0528034636888825,-0.994603295043049, -0.089308900519302)); #108950=DIRECTION('ref_axis',(-0.0432079904341147,-0.0870743478857991,0.995264350563662)); #108951=DIRECTION('center_axis',(-0.00955281779383164,0.836894692887512, -0.547280564874101)); #108952=DIRECTION('ref_axis',(-0.999847695216348,-2.24691656356971E-9,0.0174524030023777)); #108953=DIRECTION('center_axis',(0.0108395542172049,-0.783737474357359, 0.620997645206744)); #108954=DIRECTION('ref_axis',(-0.0755795564266877,0.618615472962706,0.782050271569209)); #108955=DIRECTION('center_axis',(0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #108956=DIRECTION('ref_axis',(-0.706891438803893,0.706891438803881,-0.0246776719230363)); #108957=DIRECTION('',(0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #108958=DIRECTION('center_axis',(0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #108959=DIRECTION('ref_axis',(-0.706891438803893,0.70689143880388,-0.0246776719230475)); #108960=DIRECTION('',(-0.0174497491606827,0.0174497491606827,0.999695459881887)); #108961=DIRECTION('center_axis',(-0.999847695156391,8.81793874610669E-17, -0.0174524064372835)); #108962=DIRECTION('ref_axis',(-8.81928196546718E-17,-1.,0.)); #108963=DIRECTION('',(7.61618466463415E-5,0.999990477820449,-0.00436330927209163)); #108964=DIRECTION('center_axis',(-0.999847695156391,8.81793874610669E-17, -0.0174524064372835)); #108965=DIRECTION('ref_axis',(-8.81928196546718E-17,-1.,0.)); #108966=DIRECTION('',(8.81928196546718E-17,1.,0.)); #108967=DIRECTION('',(0.0174497491606827,0.0174497491606827,-0.999695459881887)); #108968=DIRECTION('',(7.61618466463415E-5,0.999990477820449,-0.00436330927209163)); #108969=DIRECTION('center_axis',(-3.49623285757802E-16,-0.999847695156391, 0.0174524064372835)); #108970=DIRECTION('ref_axis',(1.,-3.49676543189026E-16,0.)); #108971=DIRECTION('',(-0.0174497491606827,0.0174497491606827,0.999695459881887)); #108972=DIRECTION('',(1.,-3.49676543189026E-16,0.)); #108973=DIRECTION('center_axis',(-0.0174497491606827,0.0174497491606827, 0.999695459881888)); #108974=DIRECTION('ref_axis',(0.706891438803885,-0.706891438803888,0.0246776719230487)); #108975=DIRECTION('',(0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #108976=DIRECTION('center_axis',(0.00871889957432942,-0.00871889957432947, 0.999923977900533)); #108977=DIRECTION('ref_axis',(0.707053025444493,-0.707053025444495,-0.0123303860269857)); #108978=DIRECTION('center_axis',(0.999847695156391,0.,0.0174524064372835)); #108979=DIRECTION('ref_axis',(0.,1.,0.)); #108980=DIRECTION('',(-0.0174497491606827,-0.0174497491606827,0.999695459881887)); #108981=DIRECTION('',(0.,1.,0.)); #108982=DIRECTION('center_axis',(-0.0174497491606827,-0.0174497491606827, 0.999695459881888)); #108983=DIRECTION('ref_axis',(0.706891438803888,0.706891438803885,0.0246776719230488)); #108984=DIRECTION('',(0.0174497491606827,0.0174497491606827,-0.999695459881887)); #108985=DIRECTION('center_axis',(0.00871889957432942,0.00871889957432944, 0.999923977900533)); #108986=DIRECTION('ref_axis',(0.707053025444494,0.707053025444494,-0.0123303860269857)); #108987=DIRECTION('center_axis',(8.74058214394504E-17,0.999847695156391, 0.0174524064372835)); #108988=DIRECTION('ref_axis',(-1.,8.74191357972565E-17,0.)); #108989=DIRECTION('',(-0.0174497491606827,-0.0174497491606827,0.999695459881887)); #108990=DIRECTION('',(-1.,8.74191357972565E-17,0.)); #108991=DIRECTION('center_axis',(-0.0174497491606827,-0.0174497491606827, 0.999695459881888)); #108992=DIRECTION('ref_axis',(-0.70689143880389,-0.706891438803884,-0.0246776719230364)); #108993=DIRECTION('',(0.0174497491606827,0.0174497491606827,-0.999695459881887)); #108994=DIRECTION('center_axis',(0.0174497491606827,0.0174497491606827, -0.999695459881888)); #108995=DIRECTION('ref_axis',(-0.706891438803889,-0.706891438803885,-0.0246776719230473)); #108996=DIRECTION('center_axis',(-0.999847695156391,8.81793874610669E-17, -0.0174524064372835)); #108997=DIRECTION('ref_axis',(-8.81928196546718E-17,-1.,0.)); #108998=DIRECTION('',(-0.0174497491606827,0.0174497491606827,0.999695459881887)); #108999=DIRECTION('',(8.81928196546718E-17,1.,0.)); #109000=DIRECTION('center_axis',(-0.0174497491606827,0.0174497491606827, 0.999695459881888)); #109001=DIRECTION('ref_axis',(-0.706891438803888,0.706891438803886,-0.0246776719230446)); #109002=DIRECTION('',(0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #109003=DIRECTION('center_axis',(0.00290767637742346,-0.00290767637741932, -0.999991545382344)); #109004=DIRECTION('ref_axis',(-0.707100802869071,0.707100802869071,-0.0041120753679412)); #109005=DIRECTION('center_axis',(0.,0.999847695156391,-0.0174524064372835)); #109006=DIRECTION('ref_axis',(-1.,0.,0.)); #109007=DIRECTION('',(0.0174497491606827,0.0174497491606827,0.999695459881887)); #109008=DIRECTION('',(1.,0.,0.)); #109009=DIRECTION('',(-1.,0.,0.)); #109010=DIRECTION('center_axis',(0.0174497491606827,0.0174497491606827, 0.999695459881888)); #109011=DIRECTION('ref_axis',(0.706891438803887,0.706891438803886,-0.0246776719230446)); #109012=DIRECTION('',(-0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #109013=DIRECTION('center_axis',(-0.00290767637742139,-0.00290767637742139, -0.999991545382344)); #109014=DIRECTION('ref_axis',(0.707100802869071,0.70710080286907,-0.0041120753679412)); #109015=DIRECTION('center_axis',(0.999847695156391,-1.76358774922134E-16, -0.0174524064372835)); #109016=DIRECTION('ref_axis',(1.76385639309344E-16,1.,0.)); #109017=DIRECTION('',(0.0174497491606827,-0.0174497491606827,0.999695459881887)); #109018=DIRECTION('',(-1.76385639309344E-16,-1.,0.)); #109019=DIRECTION('center_axis',(-0.0174497491606827,0.0174497491606827, -0.999695459881888)); #109020=DIRECTION('ref_axis',(0.70689143880389,-0.706891438803884,-0.0246776719230364)); #109021=DIRECTION('',(-0.0174497491606827,0.0174497491606827,-0.999695459881887)); #109022=DIRECTION('center_axis',(-0.0174497491606827,0.0174497491606827, -0.999695459881888)); #109023=DIRECTION('ref_axis',(0.706891438803889,-0.706891438803885,-0.0246776719230473)); #109024=DIRECTION('center_axis',(0.,0.999847695156391,0.0174524064372835)); #109025=DIRECTION('ref_axis',(-1.,0.,0.)); #109026=DIRECTION('',(0.0174497491606827,-0.0174497491606827,0.999695459881887)); #109027=DIRECTION('',(-1.,0.,0.)); #109028=DIRECTION('center_axis',(0.0174497491606827,-0.0174497491606827, 0.999695459881888)); #109029=DIRECTION('ref_axis',(-0.706891438803888,0.706891438803885,0.0246776719230488)); #109030=DIRECTION('',(-0.0174497491606827,0.0174497491606827,-0.999695459881887)); #109031=DIRECTION('center_axis',(-0.00871889957432942,0.00871889957432944, 0.999923977900533)); #109032=DIRECTION('ref_axis',(-0.707053025444494,0.707053025444494,-0.0123303860269857)); #109033=DIRECTION('center_axis',(-0.999847695156391,9.98923673593719E-17, 0.0174524064372835)); #109034=DIRECTION('ref_axis',(-9.99075837682931E-17,-1.,0.)); #109035=DIRECTION('',(0.0174497491606827,0.0174497491606827,0.999695459881887)); #109036=DIRECTION('',(-9.99075837682931E-17,-1.,0.)); #109037=DIRECTION('center_axis',(0.0174497491606827,0.0174497491606827, 0.999695459881888)); #109038=DIRECTION('ref_axis',(-0.706891438803887,-0.706891438803887,0.0246776719230487)); #109039=DIRECTION('',(-0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #109040=DIRECTION('center_axis',(-0.00871889957432948,-0.00871889957432946, 0.999923977900533)); #109041=DIRECTION('ref_axis',(-0.707053025444495,-0.707053025444493,-0.0123303860269857)); #109042=DIRECTION('center_axis',(0.,-0.999847695156391,0.0174524064372835)); #109043=DIRECTION('ref_axis',(1.,0.,0.)); #109044=DIRECTION('',(0.0174497491606827,0.0174497491606827,0.999695459881887)); #109045=DIRECTION('',(1.,0.,0.)); #109046=DIRECTION('center_axis',(0.0174497491606827,0.0174497491606827, 0.999695459881888)); #109047=DIRECTION('ref_axis',(0.706891438803884,0.70689143880389,-0.0246776719230362)); #109048=DIRECTION('',(-0.0174497491606827,-0.0174497491606827,-0.999695459881887)); #109049=DIRECTION('center_axis',(-0.0174497491606827,-0.0174497491606827, -0.999695459881888)); #109050=DIRECTION('ref_axis',(0.706891438803885,0.706891438803889,-0.0246776719230475)); #109051=DIRECTION('center_axis',(-7.61618466460769E-5,0.999990477820449, -0.00436330927209164)); #109052=DIRECTION('ref_axis',(0.700909321523712,-0.00305875001281384,-0.713243834217674)); #109053=DIRECTION('',(7.61618466460769E-5,-0.999990477820449,0.00436330927209163)); #109054=DIRECTION('center_axis',(-7.61618466461568E-5,0.999990477820449, -0.00436330927208782)); #109055=DIRECTION('ref_axis',(0.999847695156391,0.,-0.0174524064372767)); #109056=DIRECTION('',(7.61618466460769E-5,-0.999990477820449,0.00436330927209163)); #109057=DIRECTION('center_axis',(0.999847695156391,-1.76358774922134E-16, -0.0174524064372835)); #109058=DIRECTION('ref_axis',(1.76385639309344E-16,1.,0.)); #109059=DIRECTION('center_axis',(0.999847695156391,-1.76358774922134E-16, -0.0174524064372835)); #109060=DIRECTION('ref_axis',(1.76385639309344E-16,1.,0.)); #109061=DIRECTION('',(0.0174497491606827,-0.0174497491606827,0.999695459881887)); #109062=DIRECTION('',(-1.76385639309344E-16,-1.,0.)); #109063=DIRECTION('center_axis',(0.0173873601313408,-0.0862568548439408, 0.9961211947851)); #109064=DIRECTION('ref_axis',(0.0601491870482609,-0.994377167780683,-0.0871557427476811)); #109065=DIRECTION('center_axis',(0.974423181209602,0.175291710370146,0.140613940265069)); #109066=DIRECTION('ref_axis',(0.188546191002349,-0.978189250791793,-0.0871557427476608)); #109067=DIRECTION('center_axis',(0.7754095484407,-0.631452643345232,0.00275524940957781)); #109068=DIRECTION('ref_axis',(0.631212280815645,0.775221506311151,0.024549393071543)); #109069=DIRECTION('center_axis',(1.,2.1859777942712E-15,-9.53818800266429E-18)); #109070=DIRECTION('ref_axis',(-2.18547839493142E-15,0.999847695156391,0.0174524064372767)); #109071=DIRECTION('center_axis',(0.00581255004027425,0.011628531301524, 0.999915492190114)); #109072=DIRECTION('ref_axis',(-0.624678883941268,-0.780778274149879,0.0127113560813761)); #109073=DIRECTION('center_axis',(1.,0.,0.)); #109074=DIRECTION('ref_axis',(0.,0.702463666116855,0.711719606155168)); #109075=DIRECTION('center_axis',(-0.0174497491606827,-0.0174497491606827, 0.999695459881888)); #109076=DIRECTION('ref_axis',(-0.706891438803886,-0.706891438803888,-0.0246776719230446)); #109077=DIRECTION('center_axis',(0.00290767637741933,0.00290767637742346, -0.999991545382344)); #109078=DIRECTION('ref_axis',(-0.70710080286907,-0.707100802869071,-0.0041120753679412)); #109079=DIRECTION('center_axis',(-7.61618466463415E-5,-0.999990477820449, 0.00436330927209164)); #109080=DIRECTION('ref_axis',(-0.700909321523712,-0.00305875001281384,-0.713243834217674)); #109081=DIRECTION('center_axis',(7.61618466462694E-5,0.999990477820449, -0.00436330927209429)); #109082=DIRECTION('ref_axis',(-3.96882876519543E-12,-0.00436330928474895, -0.999990480720734)); #109083=DIRECTION('center_axis',(1.,0.,0.)); #109084=DIRECTION('ref_axis',(0.,0.702463666116855,0.711719606155168)); #109085=DIRECTION('center_axis',(1.,0.,0.)); #109086=DIRECTION('ref_axis',(0.,0.999847695156391,0.0174524064372853)); #109087=DIRECTION('center_axis',(0.775409548440698,0.631452643345235,-0.00275524940957337)); #109088=DIRECTION('ref_axis',(-0.631212280815647,0.775221506311149,0.024549393071543)); #109089=DIRECTION('center_axis',(-0.00581255004027486,0.0116285313015269, 0.999915492190114)); #109090=DIRECTION('ref_axis',(0.624678883941186,-0.780778274149945,0.0127113560813791)); #109091=DIRECTION('center_axis',(-0.0174497491606827,0.0174497491606827, -0.999695459881888)); #109092=DIRECTION('ref_axis',(0.706891438803886,-0.706891438803888,-0.0246776719230446)); #109093=DIRECTION('center_axis',(-0.00290767637742345,0.00290767637741934, -0.999991545382344)); #109094=DIRECTION('ref_axis',(0.70710080286907,-0.707100802869071,-0.0041120753679412)); #109095=DIRECTION('center_axis',(-8.74324521832127E-15,-1.,0.)); #109096=DIRECTION('ref_axis',(-0.999847695156392,8.74191357972567E-15,-0.0174524064372593)); #109097=DIRECTION('center_axis',(0.,0.,1.)); #109098=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186548,0.)); #109099=DIRECTION('center_axis',(-1.,4.44791794372604E-15,-4.37095678986284E-15)); #109100=DIRECTION('ref_axis',(4.37095678986284E-15,0.,-1.)); #109101=DIRECTION('center_axis',(-1.,0.,0.)); #109102=DIRECTION('ref_axis',(0.,-0.700909264299871,-0.713250449154162)); #109103=DIRECTION('center_axis',(-1.,0.,0.)); #109104=DIRECTION('ref_axis',(0.,0.,-1.)); #109105=DIRECTION('',(1.,0.,0.)); #109106=DIRECTION('center_axis',(8.59065454919035E-15,-1.,-8.74191357972569E-15)); #109107=DIRECTION('ref_axis',(0.,8.74191357972569E-15,-1.)); #109108=DIRECTION('center_axis',(0.,0.,1.)); #109109=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186548,0.)); #109110=DIRECTION('center_axis',(-1.76385639309344E-16,-1.,0.)); #109111=DIRECTION('ref_axis',(0.700909264299853,0.,-0.71325044915418)); #109112=DIRECTION('center_axis',(0.,-1.,0.)); #109113=DIRECTION('ref_axis',(0.,0.,-1.)); #109114=DIRECTION('',(1.76385639309344E-16,1.,0.)); #109115=DIRECTION('center_axis',(8.81928196546718E-17,1.,0.)); #109116=DIRECTION('ref_axis',(-0.700909264299856,0.,-0.713250449154177)); #109117=DIRECTION('center_axis',(0.,-1.,0.)); #109118=DIRECTION('ref_axis',(-0.999847695156391,0.,-0.0174524064372766)); #109119=DIRECTION('',(-8.81928196546718E-17,-1.,0.)); #109120=DIRECTION('center_axis',(1.,0.,0.)); #109121=DIRECTION('ref_axis',(0.,0.700909264299859,-0.713250449154174)); #109122=DIRECTION('center_axis',(1.,0.,0.)); #109123=DIRECTION('ref_axis',(0.,0.,-1.)); #109124=DIRECTION('center_axis',(-1.,0.,0.)); #109125=DIRECTION('ref_axis',(0.,0.999847695156391,-0.0174524064372767)); #109126=DIRECTION('',(-1.,0.,0.)); #109127=DIRECTION('center_axis',(8.74324521832127E-15,-1.,0.)); #109128=DIRECTION('ref_axis',(0.,0.,-1.)); #109129=DIRECTION('center_axis',(0.,0.,-1.)); #109130=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #109131=DIRECTION('center_axis',(-9.99075837682931E-17,-1.,0.)); #109132=DIRECTION('ref_axis',(0.700909264299856,0.,-0.713250449154177)); #109133=DIRECTION('center_axis',(0.,-1.,0.)); #109134=DIRECTION('ref_axis',(0.,0.,-1.)); #109135=DIRECTION('',(9.99075837682931E-17,1.,0.)); #109136=DIRECTION('center_axis',(-1.,0.,0.)); #109137=DIRECTION('ref_axis',(0.,0.,-1.)); #109138=DIRECTION('center_axis',(0.,0.,-1.)); #109139=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #109140=DIRECTION('center_axis',(-1.,0.,0.)); #109141=DIRECTION('ref_axis',(0.,-0.700909264299853,-0.71325044915418)); #109142=DIRECTION('center_axis',(-1.,0.,0.)); #109143=DIRECTION('ref_axis',(0.,0.,-1.)); #109144=DIRECTION('',(1.,0.,0.)); #109145=DIRECTION('center_axis',(0.396467732860968,-0.821225140003803,-0.410368866053236)); #109146=DIRECTION('ref_axis',(0.570604301969184,0.570604301969184,-0.590611091284714)); #109147=DIRECTION('center_axis',(-1.76385639309344E-16,-1.,0.)); #109148=DIRECTION('ref_axis',(0.700909264299853,0.,-0.71325044915418)); #109149=DIRECTION('center_axis',(0.,-1.,0.)); #109150=DIRECTION('ref_axis',(0.,0.,-1.)); #109151=DIRECTION('center_axis',(0.,1.,0.)); #109152=DIRECTION('ref_axis',(0.999847695156391,0.,-0.0174524064372767)); #109153=DIRECTION('',(1.76385639309344E-16,1.,0.)); #109154=DIRECTION('center_axis',(1.,4.37162260916065E-15,0.)); #109155=DIRECTION('ref_axis',(0.,0.,-1.)); #109156=DIRECTION('center_axis',(0.,0.,1.)); #109157=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #109158=DIRECTION('center_axis',(1.,0.,0.)); #109159=DIRECTION('ref_axis',(0.,0.700909264299853,-0.71325044915418)); #109160=DIRECTION('center_axis',(1.,-4.37162260916065E-15,0.)); #109161=DIRECTION('ref_axis',(0.,0.,-1.)); #109162=DIRECTION('',(-1.,0.,0.)); #109163=DIRECTION('center_axis',(0.,1.,0.)); #109164=DIRECTION('ref_axis',(0.,0.,-1.)); #109165=DIRECTION('center_axis',(0.,0.,1.)); #109166=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186546,0.)); #109167=DIRECTION('center_axis',(8.81928196546718E-17,1.,0.)); #109168=DIRECTION('ref_axis',(-0.700909264299856,0.,-0.713250449154177)); #109169=DIRECTION('center_axis',(0.,1.,0.)); #109170=DIRECTION('ref_axis',(0.,0.,-1.)); #109171=DIRECTION('',(-8.81928196546718E-17,-1.,0.)); #109172=DIRECTION('center_axis',(-0.396467732860968,-0.821225140003803, 0.410368866053236)); #109173=DIRECTION('ref_axis',(0.57060430196918,-0.570604301969192,-0.59061109128471)); #109174=DIRECTION('center_axis',(-1.,8.74191357972565E-17,0.)); #109175=DIRECTION('ref_axis',(0.,-0.700909264299853,-0.71325044915418)); #109176=DIRECTION('center_axis',(-1.,0.,0.)); #109177=DIRECTION('ref_axis',(0.,0.,-1.)); #109178=DIRECTION('center_axis',(1.,0.,0.)); #109179=DIRECTION('ref_axis',(0.,-0.999847695156391,-0.0174524064372768)); #109180=DIRECTION('',(1.,-8.74191357972565E-17,0.)); #109181=DIRECTION('center_axis',(1.74864904366425E-14,1.,0.)); #109182=DIRECTION('ref_axis',(0.,0.,-1.)); #109183=DIRECTION('center_axis',(0.,0.,-1.)); #109184=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #109185=DIRECTION('center_axis',(0.,1.,0.)); #109186=DIRECTION('ref_axis',(-0.700909264299856,0.,-0.713250449154177)); #109187=DIRECTION('center_axis',(0.,1.,0.)); #109188=DIRECTION('ref_axis',(0.,0.,-1.)); #109189=DIRECTION('',(0.,-1.,0.)); #109190=DIRECTION('center_axis',(1.,-4.29532727459519E-15,4.37095678986284E-15)); #109191=DIRECTION('ref_axis',(4.37095678986284E-15,0.,-1.)); #109192=DIRECTION('center_axis',(0.,0.,-1.)); #109193=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #109194=DIRECTION('center_axis',(1.,-3.49676543189026E-16,0.)); #109195=DIRECTION('ref_axis',(0.,0.700909264299859,-0.713250449154174)); #109196=DIRECTION('center_axis',(1.,0.,0.)); #109197=DIRECTION('ref_axis',(0.,0.,-1.)); #109198=DIRECTION('',(-1.,3.49676543189026E-16,0.)); #109199=DIRECTION('center_axis',(0.396467732860968,-0.821225140003803,0.410368866053236)); #109200=DIRECTION('ref_axis',(-0.570604301969188,-0.570604301969182,-0.590611091284712)); #109201=DIRECTION('center_axis',(-0.396467732860968,-0.821225140003803, -0.410368866053236)); #109202=DIRECTION('ref_axis',(-0.570604301969188,0.570604301969182,-0.590611091284712)); #109203=DIRECTION('center_axis',(0.,0.,1.)); #109204=DIRECTION('ref_axis',(1.,0.,0.)); #109205=DIRECTION('center_axis',(-0.0173873601313409,-0.0862568548438874, 0.996121194785104)); #109206=DIRECTION('ref_axis',(-0.999847695156391,0.,-0.0174524064372767)); #109207=DIRECTION('center_axis',(0.,1.,0.)); #109208=DIRECTION('ref_axis',(0.,0.,-1.)); #109209=DIRECTION('center_axis',(-0.998174099863836,0.0604019610593114, -0.000263554946360132)); #109210=DIRECTION('ref_axis',(0.,-0.0043633092846965,-0.999990480720735)); #109211=DIRECTION('center_axis',(0.,0.,1.)); #109212=DIRECTION('ref_axis',(0.189266406786737,0.981925774823147,0.)); #109213=DIRECTION('center_axis',(1.2839610778088E-17,7.11015283895966E-18, 1.)); #109214=DIRECTION('ref_axis',(-0.114268593842611,-0.993449892275012,8.53074484102189E-18)); #109215=DIRECTION('',(0.0164956542606785,0.0855804702277808,-0.996194698091746)); #109216=DIRECTION('center_axis',(-0.998414779585836,0.0562838128778779, -0.000245586021099447)); #109217=DIRECTION('ref_axis',(-1.31128703695882E-14,-0.00436330928474013, -0.999990480720734)); #109218=DIRECTION('center_axis',(0.,1.,0.)); #109219=DIRECTION('ref_axis',(0.,0.,-1.)); #109220=DIRECTION('center_axis',(0.998174099863836,0.0604019610593129,-0.000263554946360139)); #109221=DIRECTION('ref_axis',(-2.62257407391769E-14,-0.0043633092848014, -0.999990480720734)); #109222=DIRECTION('center_axis',(0.,0.,1.)); #109223=DIRECTION('ref_axis',(0.189266406786737,0.981925774823147,0.)); #109224=DIRECTION('',(-0.016495654260679,0.0855804702277801,-0.996194698091746)); #109225=DIRECTION('center_axis',(1.08900183432606E-17,9.83958224771796E-18, 1.)); #109226=DIRECTION('ref_axis',(0.114268593842611,-0.993449892275012,8.53074484102189E-18)); #109227=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #109228=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218905,0.985706397221821)); #109229=DIRECTION('center_axis',(0.,0.,1.)); #109230=DIRECTION('ref_axis',(1.,0.,0.)); #109231=DIRECTION('center_axis',(-0.471282402126021,0.881982367990612,0.)); #109232=DIRECTION('ref_axis',(-0.881848037603856,-0.47121062342653,0.0174524194371231)); #109233=DIRECTION('center_axis',(0.,1.,0.)); #109234=DIRECTION('ref_axis',(-0.704014724455968,0.,0.710185375623286)); #109235=DIRECTION('center_axis',(0.367390085652566,-0.930066946495896,-1.1022183373212E-10)); #109236=DIRECTION('ref_axis',(0.926272414618621,0.36589118989393,0.0902388556808686)); #109237=DIRECTION('center_axis',(-0.471282402424294,-0.881982367831232, 0.)); #109238=DIRECTION('ref_axis',(0.881848037644633,-0.471210623831696,0.0174524064373364)); #109239=DIRECTION('center_axis',(0.,0.,1.)); #109240=DIRECTION('ref_axis',(-0.365771214584251,-0.930704796689886,0.)); #109241=DIRECTION('center_axis',(0.,0.,1.)); #109242=DIRECTION('ref_axis',(-0.370885806380862,-0.928678479682402,0.)); #109243=DIRECTION('center_axis',(0.367390085639052,0.930066946501235,-5.00477138967343E-11)); #109244=DIRECTION('ref_axis',(-0.926272414618625,0.365891189893922,0.0902388556808683)); #109245=DIRECTION('center_axis',(0.,0.,1.)); #109246=DIRECTION('ref_axis',(-1.,0.,0.)); #109247=DIRECTION('center_axis',(0.999990480720734,0.00436330928474656, 0.)); #109248=DIRECTION('ref_axis',(0.,0.,1.)); #109249=DIRECTION('center_axis',(0.,0.,-1.)); #109250=DIRECTION('ref_axis',(-1.,0.,0.)); #109251=DIRECTION('',(-1.,0.,0.)); #109252=DIRECTION('center_axis',(0.,0.,-1.)); #109253=DIRECTION('ref_axis',(0.,-1.,0.)); #109254=DIRECTION('',(1.,0.,0.)); #109255=DIRECTION('center_axis',(0.,0.,1.)); #109256=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109257=DIRECTION('center_axis',(0.,0.,-1.)); #109258=DIRECTION('ref_axis',(-1.,1.83697019872103E-16,0.)); #109259=DIRECTION('center_axis',(0.,0.,-1.)); #109260=DIRECTION('ref_axis',(0.,-1.,0.)); #109261=DIRECTION('center_axis',(0.,0.,1.)); #109262=DIRECTION('ref_axis',(1.,0.,0.)); #109263=DIRECTION('',(1.,0.,0.)); #109264=DIRECTION('center_axis',(0.,0.,-1.)); #109265=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109266=DIRECTION('',(-1.,0.,0.)); #109267=DIRECTION('center_axis',(0.,5.51253866290037E-32,-1.)); #109268=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109269=DIRECTION('',(0.,5.51253866290037E-32,-1.)); #109270=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109271=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109272=DIRECTION('',(0.,5.51253866290037E-32,-1.)); #109273=DIRECTION('center_axis',(2.74612501249515E-32,-5.5535019808778E-32, 1.)); #109274=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109275=DIRECTION('',(0.,-5.51253866290037E-32,1.)); #109276=DIRECTION('center_axis',(2.74612501249515E-32,-5.5535019808778E-32, 1.)); #109277=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109278=DIRECTION('',(0.,5.51253866290037E-32,-1.)); #109279=DIRECTION('center_axis',(2.74612501249515E-32,-5.5535019808778E-32, 1.)); #109280=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109281=DIRECTION('',(0.,-5.51253866290037E-32,1.)); #109282=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109283=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109284=DIRECTION('',(0.,5.51253866290037E-32,-1.)); #109285=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109286=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109287=DIRECTION('',(0.,-5.51253866290037E-32,1.)); #109288=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109289=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109290=DIRECTION('center_axis',(0.,5.51253866290037E-32,-1.)); #109291=DIRECTION('ref_axis',(-1.,1.51381203045372E-63,0.)); #109292=DIRECTION('',(0.,5.51253866290037E-32,-1.)); #109293=DIRECTION('center_axis',(0.,-0.00436330928474659,-0.999990480720734)); #109294=DIRECTION('ref_axis',(1.51379762005425E-63,0.999990480720734,-0.00436330928474659)); #109295=DIRECTION('center_axis',(0.,0.00436330928474659,-0.999990480720734)); #109296=DIRECTION('ref_axis',(-1.,0.,0.)); #109297=DIRECTION('center_axis',(0.999990480720734,0.00436330928474657, -3.08013740139069E-16)); #109298=DIRECTION('ref_axis',(3.08016672235791E-16,0.,1.)); #109299=DIRECTION('center_axis',(0.,0.00436330928474659,0.999990480720734)); #109300=DIRECTION('ref_axis',(1.,0.,0.)); #109301=DIRECTION('center_axis',(-0.0665524194147156,0.997782892209462, 0.000274743824710603)); #109302=DIRECTION('ref_axis',(-0.528351986668747,-0.0354748152906311,0.848283982910961)); #109303=DIRECTION('center_axis',(-0.950111929132827,0.311909156352819,1.74002949672439E-5)); #109304=DIRECTION('ref_axis',(-0.183829706040395,-0.560011944513826,0.807832446228143)); #109305=DIRECTION('center_axis',(-1.,-2.74969469104923E-15,6.60720493415117E-15)); #109306=DIRECTION('ref_axis',(7.04091342598137E-15,-0.543299654835388,0.839538852618357)); #109307=DIRECTION('center_axis',(-0.950111929132836,-0.311909156352793, -1.74002949474257E-5)); #109308=DIRECTION('ref_axis',(0.183829706040395,-0.560011944513826,0.807832446228143)); #109309=DIRECTION('center_axis',(1.,0.,0.)); #109310=DIRECTION('ref_axis',(0.,-1.,0.)); #109311=DIRECTION('',(1.,0.,0.)); #109312=DIRECTION('center_axis',(0.,0.,1.)); #109313=DIRECTION('ref_axis',(1.,0.,0.)); #109314=DIRECTION('center_axis',(0.,0.,-1.)); #109315=DIRECTION('ref_axis',(-0.521355510509349,-0.853339575820515,0.)); #109316=DIRECTION('center_axis',(1.,0.,0.)); #109317=DIRECTION('ref_axis',(0.,-1.,0.)); #109318=DIRECTION('center_axis',(-0.428554953526816,-0.90340583175959,-0.0140909527850658)); #109319=DIRECTION('ref_axis',(-0.0284841286948768,-0.00207901070467684, 0.999592082865297)); #109320=DIRECTION('center_axis',(0.335814896487689,-0.941901307419879,-0.00709100681746495)); #109321=DIRECTION('ref_axis',(0.941823082786037,0.335655618515398,0.0174524064372856)); #109322=DIRECTION('center_axis',(0.530435468557114,-0.847649187867278,0.0113608100300588)); #109323=DIRECTION('ref_axis',(0.847469584422028,0.530556987503796,0.0174524064372833)); #109324=DIRECTION('center_axis',(1.,0.,0.)); #109325=DIRECTION('ref_axis',(0.,-1.,0.)); #109326=DIRECTION('center_axis',(-0.409575640512955,0.849290019872267,-0.333097968837649)); #109327=DIRECTION('ref_axis',(-0.469067107575441,0.117113351864075,0.875363074047547)); #109328=DIRECTION('center_axis',(0.234061267398475,0.972210739539477,-0.00464769060097602)); #109329=DIRECTION('ref_axis',(0.0284841286948418,-0.00207901070468558,0.999592082865298)); #109330=DIRECTION('center_axis',(0.42855495352691,-0.903405831759547,-0.0140909527850058)); #109331=DIRECTION('ref_axis',(-0.903252487284165,-0.42875442589496,0.0174524064372852)); #109332=DIRECTION('center_axis',(0.335814896487705,0.941901307419873,0.00709100681747988)); #109333=DIRECTION('ref_axis',(-0.941823082786031,0.335655618515413,0.0174524064372877)); #109334=DIRECTION('center_axis',(0.0348941813401115,-0.999238614955483, -0.0174524064372837)); #109335=DIRECTION('ref_axis',(0.999390827019096,0.0348994967024988,0.)); #109336=DIRECTION('center_axis',(-0.769458026171302,-0.382031238660606, 0.511846147438865)); #109337=DIRECTION('ref_axis',(0.453921354784427,-0.890870819580605,0.0174524064372831)); #109338=DIRECTION('center_axis',(-0.75225539818692,-0.446107851825366,0.48487070486718)); #109339=DIRECTION('ref_axis',(0.51829967571955,-0.855020970303394,0.0174524064372842)); #109340=DIRECTION('center_axis',(0.0839995117905248,0.0232841777217267, -0.99619372066219)); #109341=DIRECTION('ref_axis',(0.955595300237543,0.281498665485335,0.087155742747655)); #109342=DIRECTION('center_axis',(0.,0.,1.)); #109343=DIRECTION('ref_axis',(0.999582479062256,-0.028894074682449,0.)); #109344=DIRECTION('',(0.0871193534002159,-0.00251828453995511,-0.996194698091746)); #109345=DIRECTION('center_axis',(2.4818923082778E-16,-0.087155742747658, 0.996194698091746)); #109346=DIRECTION('ref_axis',(7.67117816575501E-14,0.996194698091745,0.0871557427476582)); #109347=DIRECTION('',(-0.0871476911581791,-0.0011846601599616,0.996194698091746)); #109348=DIRECTION('center_axis',(-0.0874892507258336,0.996027480614662, 0.0165797728525032)); #109349=DIRECTION('ref_axis',(0.600230121449908,0.0394254663005812,0.798855076913961)); #109350=DIRECTION('',(0.401957937842509,0.848624746468182,-0.343898031234858)); #109351=DIRECTION('',(0.545973370088827,0.742392239068913,-0.388287062009661)); #109352=DIRECTION('center_axis',(-0.752255398185856,0.446107851825057,-0.484870704869115)); #109353=DIRECTION('ref_axis',(-0.518299675719865,-0.855020970303203,0.0174524064372864)); #109354=DIRECTION('center_axis',(-0.769458026171339,0.382031238660518,-0.511846147438873)); #109355=DIRECTION('ref_axis',(-0.453921354784329,-0.890870819580654,0.0174524064372855)); #109356=DIRECTION('',(-0.401957937842508,0.848624746468183,-0.343898031234858)); #109357=DIRECTION('center_axis',(-0.068308470695854,0.997663399985385,-0.00130121512300593)); #109358=DIRECTION('ref_axis',(-0.615605172440831,-0.0411231044938645,0.786981042936158)); #109359=DIRECTION('center_axis',(-0.958850939545891,0.283902362442934,0.00207950265287976)); #109360=DIRECTION('ref_axis',(-0.197477217164466,-0.67218555564819,0.713561018749561)); #109361=DIRECTION('center_axis',(1.,-1.62378020042834E-14,-1.64928818846297E-14)); #109362=DIRECTION('ref_axis',(1.74838271594513E-14,0.0630478020576906,0.998010508289214)); #109363=DIRECTION('center_axis',(0.958850939545881,0.283902362442967,0.00207950265289393)); #109364=DIRECTION('ref_axis',(-0.023831630410679,0.0731858908855509,0.9970335394395)); #109365=DIRECTION('center_axis',(0.0683084706957885,0.997663399985389,-0.00130121512295751)); #109366=DIRECTION('ref_axis',(-0.0605950758694501,0.00545069774858773,0.99814754754717)); #109367=DIRECTION('center_axis',(0.037387139191837,0.999264290666596,0.0085486385837737)); #109368=DIRECTION('ref_axis',(0.60560671885988,-0.0294616137123209,0.795218533102213)); #109369=DIRECTION('center_axis',(-0.0318110554505992,-0.998930906924723, 0.0335425094718532)); #109370=DIRECTION('ref_axis',(0.995778765943864,-0.0287841241211055,0.0871557427476594)); #109371=DIRECTION('center_axis',(0.11906925891123,0.992350203038856,0.0326126679539164)); #109372=DIRECTION('ref_axis',(0.87135988856948,-0.120185310849047,0.475696789613197)); #109373=DIRECTION('center_axis',(0.158326107627957,-0.986300947415326,0.0462956236701917)); #109374=DIRECTION('ref_axis',(-0.87976954920289,-0.119628541662621,0.46010276277699)); #109375=DIRECTION('center_axis',(0.0318110554506225,-0.998930906924722, 0.0335425094718614)); #109376=DIRECTION('ref_axis',(-0.586027863627261,0.00854464914577406,0.81024584665609)); #109377=DIRECTION('center_axis',(0.087489250725835,0.996027480614662,0.0165797728525008)); #109378=DIRECTION('ref_axis',(-0.992499115348227,0.0857285398166216,0.0871557427476582)); #109379=DIRECTION('center_axis',(0.,0.,1.)); #109380=DIRECTION('ref_axis',(0.992534208769772,-0.121966570919097,0.)); #109381=DIRECTION('',(0.0871193534002254,-0.00251828453964382,-0.996194698091746)); #109382=DIRECTION('center_axis',(0.,0.,1.)); #109383=DIRECTION('ref_axis',(-0.20842191351325,-0.978039010452791,0.)); #109384=DIRECTION('center_axis',(0.,0.,1.)); #109385=DIRECTION('ref_axis',(-0.992534208769772,-0.121966570919095,0.)); #109386=DIRECTION('',(-0.0871193534002159,-0.00251828453995498,-0.996194698091746)); #109387=DIRECTION('',(0.0871193534003546,0.00251828453517457,0.996194698091746)); #109388=DIRECTION('center_axis',(0.,0.,1.)); #109389=DIRECTION('ref_axis',(-0.999582479062256,-0.0288940746824475,0.)); #109390=DIRECTION('',(-0.0871476911582399,0.00118466015548915,-0.996194698091746)); #109391=DIRECTION('center_axis',(2.14685682302269E-16,-0.087155742747658, 0.996194698091746)); #109392=DIRECTION('ref_axis',(-1.65492610511522E-13,0.996194698091746,0.087155742747658)); #109393=DIRECTION('center_axis',(-0.900356924967283,0.435020688571435,0.0106961758723614)); #109394=DIRECTION('ref_axis',(-0.434811216071174,-0.900352497574286,0.0174524064372935)); #109395=DIRECTION('center_axis',(-0.900356924967276,-0.435020688571449, -0.0106961758724332)); #109396=DIRECTION('ref_axis',(0.434811216071188,-0.900352497574279,0.0174524064372854)); #109397=DIRECTION('center_axis',(0.,0.,-1.)); #109398=DIRECTION('ref_axis',(-1.,0.,0.)); #109399=DIRECTION('center_axis',(0.,0.,-1.)); #109400=DIRECTION('ref_axis',(-1.,0.,0.)); #109401=DIRECTION('center_axis',(0.974423181208633,-0.175291710375281,-0.140613940265381)); #109402=DIRECTION('ref_axis',(-0.188546191007539,-0.978189250790793,-0.0871557427476608)); #109403=DIRECTION('center_axis',(0.878679904341286,-0.446835633231702,-0.168105748209905)); #109404=DIRECTION('ref_axis',(-0.464759478174935,-0.881137051742046,-0.0871557427476593)); #109405=DIRECTION('center_axis',(0.121478628646617,-0.992578267191462,-0.00559698859491997)); #109406=DIRECTION('ref_axis',(0.554450952514991,0.0725324387215009,-0.829049568233608)); #109407=DIRECTION('center_axis',(0.801572855488223,-0.594276539694045,0.06569894758463)); #109408=DIRECTION('ref_axis',(0.144726923798093,0.0862381353227786,-0.98570639723195)); #109409=DIRECTION('center_axis',(0.974926080257439,-0.198817342954581,0.0999540002918632)); #109410=DIRECTION('ref_axis',(0.119055454203433,0.0865358567955468,-0.989109369237333)); #109411=DIRECTION('center_axis',(0.974926080242095,0.198817343034845,-0.0999540002818793)); #109412=DIRECTION('ref_axis',(-0.119055454202319,0.0865358567955652,-0.989109369237466)); #109413=DIRECTION('center_axis',(0.801572855494163,0.594276539683423,-0.0656989476082449)); #109414=DIRECTION('ref_axis',(-0.144726923824358,0.0862381353224467,-0.985706397228123)); #109415=DIRECTION('center_axis',(0.121478628646629,0.992578267191461,0.00559698859491046)); #109416=DIRECTION('ref_axis',(-0.554450952515001,0.0725324387215009,-0.829049568233601)); #109417=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #109418=DIRECTION('ref_axis',(0.906080813299201,0.0368760015673727,-0.421494626631779)); #109419=DIRECTION('',(-8.64037345073069E-19,-0.996194698091746,-0.087155742747658)); #109420=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #109421=DIRECTION('ref_axis',(-0.906080813299224,0.0368760015673683,-0.421494626631729)); #109422=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #109423=DIRECTION('center_axis',(0.878679904340853,0.446835633235808,0.168105748201255)); #109424=DIRECTION('ref_axis',(0.464759478177686,-0.881137051740596,-0.0871557427476595)); #109425=DIRECTION('center_axis',(-0.996167235918148,-0.00762343170178429, 0.0871362230779236)); #109426=DIRECTION('ref_axis',(0.0871387552236374,0.,0.996196184161571)); #109427=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #109428=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109429=DIRECTION('ref_axis',(-1.,0.,0.)); #109430=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109431=DIRECTION('ref_axis',(-1.,7.13022390916088E-33,0.)); #109432=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,-5.55350198087779E-32)); #109433=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #109434=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109435=DIRECTION('ref_axis',(-1.,7.13022390916088E-33,2.74612501249515E-32)); #109436=DIRECTION('center_axis',(0.,5.52084542771009E-32,-1.)); #109437=DIRECTION('ref_axis',(-1.,-8.96789774756908E-66,0.)); #109438=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109439=DIRECTION('ref_axis',(2.92870710662121E-12,-1.,0.)); #109440=DIRECTION('',(0.,-5.52084542771009E-32,1.)); #109441=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109442=DIRECTION('ref_axis',(2.92870710662121E-12,-1.,0.)); #109443=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109444=DIRECTION('ref_axis',(-1.,0.,2.74612501249515E-32)); #109445=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109446=DIRECTION('ref_axis',(-1.,1.09231328619495E-63,0.)); #109447=DIRECTION('center_axis',(0.331144042972775,-0.943580215351957,-4.97123619467526E-12)); #109448=DIRECTION('ref_axis',(-0.943580215351956,-0.331144042972775,0.)); #109449=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109450=DIRECTION('ref_axis',(7.16031072260254E-12,-1.,0.)); #109451=DIRECTION('center_axis',(0.331144042959376,0.943580215356659,-3.01481585774737E-15)); #109452=DIRECTION('ref_axis',(0.943580215356659,-0.331144042959376,0.)); #109453=DIRECTION('center_axis',(-0.994002920706509,-0.109353525900769, -7.96632560885679E-17)); #109454=DIRECTION('ref_axis',(0.,-7.2849279831047E-16,1.)); #109455=DIRECTION('center_axis',(0.36976757231797,0.929124047731745,0.000668123231217396)); #109456=DIRECTION('ref_axis',(-0.358128479771434,0.14186236305964,0.922832087610599)); #109457=DIRECTION('center_axis',(0.522764622854721,0.85247594937422,-0.00138015581876854)); #109458=DIRECTION('ref_axis',(-0.305902667846934,0.189099655378275,0.933094249333874)); #109459=DIRECTION('center_axis',(0.59244347132712,0.805612024042511,-9.25298306727496E-15)); #109460=DIRECTION('ref_axis',(-0.300412777331902,0.220922209850421,0.927871510722546)); #109461=DIRECTION('center_axis',(0.814497829734011,0.580166601381522,2.5358831455602E-15)); #109462=DIRECTION('ref_axis',(-0.184947132427074,0.259647897032798,0.947827794366386)); #109463=DIRECTION('center_axis',(1.,9.9151564849123E-14,2.88924803735198E-28)); #109464=DIRECTION('ref_axis',(-2.22894419367893E-14,0.22480171614746,0.974404530170687)); #109465=DIRECTION('center_axis',(0.81449782973402,-0.58016660138151,-1.69058876370676E-15)); #109466=DIRECTION('ref_axis',(0.184947132427068,0.259647897032799,0.947827794366387)); #109467=DIRECTION('center_axis',(0.592443471327148,-0.80561202404249,-4.6950604619782E-15)); #109468=DIRECTION('ref_axis',(0.300412777331902,0.220922209850421,0.927871510722546)); #109469=DIRECTION('center_axis',(0.522764622854673,-0.852475949374249,0.00138015581878108)); #109470=DIRECTION('ref_axis',(0.305902667846944,0.189099655378272,0.933094249333871)); #109471=DIRECTION('center_axis',(0.369767572317978,-0.929124047731742,-0.000668123231216137)); #109472=DIRECTION('ref_axis',(0.358128479771424,0.141862363059641,0.922832087610603)); #109473=DIRECTION('center_axis',(-0.066552419414722,-0.997782892209461, -0.000274743824714343)); #109474=DIRECTION('ref_axis',(0.528351986668738,-0.0354748152906371,0.848283982910966)); #109475=DIRECTION('center_axis',(1.18104364649752E-32,3.53354517645739E-32, 1.)); #109476=DIRECTION('ref_axis',(1.,0.,-1.18104364649752E-32)); #109477=DIRECTION('',(-0.434802959358529,0.844150241717778,-0.31361880673978)); #109478=DIRECTION('',(-0.434802959358529,0.844150241717778,-0.31361880673978)); #109479=DIRECTION('center_axis',(-0.94170572063416,-0.336437714480552,0.)); #109480=DIRECTION('ref_axis',(0.,0.,1.)); #109481=DIRECTION('center_axis',(-0.320262404007036,-0.947328872451185, 1.48633557796731E-12)); #109482=DIRECTION('ref_axis',(6.76041316832117E-13,1.34042674889127E-12, 1.)); #109483=DIRECTION('center_axis',(-0.887053075998837,0.19291604724931,-0.419428467172536)); #109484=DIRECTION('ref_axis',(-0.397738203935893,-0.780605177485318,0.48214093169214)); #109485=DIRECTION('center_axis',(0.815855341344225,-0.407664910689557,0.410108988675661)); #109486=DIRECTION('ref_axis',(-0.398916348684836,0.116644290708742,0.909538265383603)); #109487=DIRECTION('center_axis',(-0.815893754268971,0.407686398811012,-0.410011197370746)); #109488=DIRECTION('ref_axis',(-0.453921354787416,-0.890870819579082,0.0174524064372833)); #109489=DIRECTION('center_axis',(0.530841021841128,0.847116048992801,0.0245399484411467)); #109490=DIRECTION('ref_axis',(-0.847469584422038,0.530556987503781,0.017452406437284)); #109491=DIRECTION('center_axis',(0.538674785991152,0.842396877182724,0.0140348227698284)); #109492=DIRECTION('ref_axis',(-0.842483486254176,0.538439401324381,0.0174524064372825)); #109493=DIRECTION('center_axis',(0.81600629132237,0.577970936152531,-0.0091284985227059)); #109494=DIRECTION('ref_axis',(-0.577776967612707,0.816008081581189,0.0174524064372839)); #109495=DIRECTION('center_axis',(1.,1.02004972514924E-14,4.57001042783219E-14)); #109496=DIRECTION('ref_axis',(-1.09965204604453E-14,0.999847695156391,0.0174524064372826)); #109497=DIRECTION('center_axis',(0.81600629132237,-0.577970936152531,0.00912849852268811)); #109498=DIRECTION('ref_axis',(0.577776967612707,0.816008081581189,0.0174524064372824)); #109499=DIRECTION('center_axis',(0.538674785991128,-0.84239687718274,-0.0140348227698063)); #109500=DIRECTION('ref_axis',(0.842483486254192,0.538439401324356,0.0174524064372828)); #109501=DIRECTION('center_axis',(0.530841021841127,-0.847116048992802,-0.0245399484411436)); #109502=DIRECTION('ref_axis',(0.847469584422038,0.53055698750378,0.0174524064372838)); #109503=DIRECTION('center_axis',(-0.815893754268977,-0.407686398810999, 0.410011197370747)); #109504=DIRECTION('ref_axis',(0.453921354787402,-0.890870819579089,0.0174524064372839)); #109505=DIRECTION('center_axis',(-0.815855341344228,-0.407664910689558, 0.410108988675654)); #109506=DIRECTION('ref_axis',(0.453921354787484,-0.890870819579047,0.0174524064372861)); #109507=DIRECTION('center_axis',(0.887053075998835,0.192916047249322,-0.419428467172536)); #109508=DIRECTION('ref_axis',(0.400415877362012,0.130709765082536,0.906963109761626)); #109509=DIRECTION('center_axis',(-0.320262404005706,0.947328872451635,-2.21846141077111E-12)); #109510=DIRECTION('ref_axis',(-1.01406197524817E-12,1.99898423856393E-12, 1.)); #109511=DIRECTION('',(-0.20083775373645,0.934125319465957,-0.295083181843223)); #109512=DIRECTION('',(-0.20083775373645,0.934125319465957,-0.295083181843223)); #109513=DIRECTION('',(0.200837753736452,0.934125319465957,-0.295083181843223)); #109514=DIRECTION('',(0.43480295935853,0.844150241717777,-0.31361880673978)); #109515=DIRECTION('',(0.43480295935853,0.844150241717777,-0.31361880673978)); #109516=DIRECTION('center_axis',(0.896607258706035,0.429646525083182,-0.107234729074102)); #109517=DIRECTION('ref_axis',(0.432138350837174,-0.901807321846373,3.64246399155235E-16)); #109518=DIRECTION('center_axis',(0.982059413521652,-0.187520667995509,-0.0198823385955241)); #109519=DIRECTION('ref_axis',(0.188546190967826,0.978189250798448,0.0871557427476584)); #109520=DIRECTION('center_axis',(0.981198537121144,-0.19209288643084,-0.0187017040399023)); #109521=DIRECTION('ref_axis',(0.192995007092656,0.977321238766154,0.0871557427476586)); #109522=DIRECTION('center_axis',(0.250969377674985,-0.967379730640795,-0.0345083789067756)); #109523=DIRECTION('ref_axis',(0.96502418780243,0.247249253714474,0.0871557427476593)); #109524=DIRECTION('center_axis',(-0.0306457000473705,-0.999328254819802, 0.0200967705717772)); #109525=DIRECTION('ref_axis',(0.995778765947211,-0.0287841240053132,0.0871557427476591)); #109526=DIRECTION('center_axis',(-0.0321808975012827,-0.999403364644754, -0.0125421119735694)); #109527=DIRECTION('ref_axis',(0.995642866054559,-0.0331535787022725,0.0871557427476587)); #109528=DIRECTION('center_axis',(-0.112978321622724,-0.988865542621655, -0.0968547234722832)); #109529=DIRECTION('ref_axis',(0.988757316446359,-0.121502451332875,0.0871557427476603)); #109530=DIRECTION('center_axis',(-0.351988714298919,-0.917438863833426, 0.185498992272807)); #109531=DIRECTION('ref_axis',(0.93585659500681,-0.341432731425041,0.0871557427476575)); #109532=DIRECTION('center_axis',(-0.962748242905605,-0.269419303841472, -0.0230012934363841)); #109533=DIRECTION('ref_axis',(0.266533526539214,-0.959876948226529,0.0871557427476578)); #109534=DIRECTION('center_axis',(-0.978196633290718,-0.206377146242424, 0.0232340295157262)); #109535=DIRECTION('ref_axis',(0.207628805187563,-0.974317276744331,0.087155742747658)); #109536=DIRECTION('center_axis',(-1.,-4.72163088967629E-16,-1.37553662716835E-14)); #109537=DIRECTION('ref_axis',(-7.28492798310472E-16,-0.996194698091746, 0.0871557427476573)); #109538=DIRECTION('center_axis',(-0.978196633290553,0.206377146243278,-0.0232340295150822)); #109539=DIRECTION('ref_axis',(-0.207628805188356,-0.974317276744162,0.0871557427476566)); #109540=DIRECTION('center_axis',(-0.962748242905608,0.269419303841463,0.0230012934363771)); #109541=DIRECTION('ref_axis',(-0.266533526539206,-0.959876948226531,0.0871557427476577)); #109542=DIRECTION('center_axis',(-0.351988714298916,0.917438863833417,-0.185498992272858)); #109543=DIRECTION('ref_axis',(-0.935856595006811,-0.341432731425037,0.087155742747657)); #109544=DIRECTION('center_axis',(-0.112978321624785,0.988865542621611,0.0968547234703265)); #109545=DIRECTION('ref_axis',(-0.98875731644613,-0.121502451334742,0.0871557427476584)); #109546=DIRECTION('center_axis',(-0.0321808975012864,0.999403364644754, 0.0125421119734982)); #109547=DIRECTION('ref_axis',(-0.995642866054558,-0.0331535787022702,0.0871557427476734)); #109548=DIRECTION('center_axis',(-0.0306457000473237,0.999328254819804, -0.0200967705717505)); #109549=DIRECTION('ref_axis',(-0.995778765947211,-0.0287841240052686,0.087155742747672)); #109550=DIRECTION('center_axis',(0.250969377675005,0.967379730640788,0.0345083789068313)); #109551=DIRECTION('ref_axis',(-0.965024187802426,0.24724925371449,0.0871557427476589)); #109552=DIRECTION('center_axis',(0.981198537121147,0.192092886430821,0.0187017040399083)); #109553=DIRECTION('ref_axis',(-0.192995007092638,0.977321238766158,0.0871557427476584)); #109554=DIRECTION('center_axis',(0.982059413521653,0.187520667995505,0.0198823385955133)); #109555=DIRECTION('ref_axis',(-0.188546190967821,0.978189250798449,0.087155742747658)); #109556=DIRECTION('center_axis',(0.,0.,1.)); #109557=DIRECTION('ref_axis',(-0.20842191351325,0.978039010452791,0.)); #109558=DIRECTION('',(0.086505056167789,-0.0106300870788386,-0.996194698091746)); #109559=DIRECTION('',(0.0181651666771359,-0.0852417163921994,-0.996194698091745)); #109560=DIRECTION('center_axis',(0.,0.,1.)); #109561=DIRECTION('ref_axis',(-0.992534208769772,0.121966570919097,0.)); #109562=DIRECTION('center_axis',(0.,0.,1.)); #109563=DIRECTION('ref_axis',(-0.189266406786737,-0.981925774823147,0.)); #109564=DIRECTION('',(-0.0164956542606781,0.0855804702277797,-0.996194698091746)); #109565=DIRECTION('center_axis',(-0.974530887575651,0.175390154636435,0.139742058155809)); #109566=DIRECTION('ref_axis',(-0.126146929888551,0.086459505068726,-0.988236665006396)); #109567=DIRECTION('center_axis',(-0.974530887575659,-0.175390154636418, -0.139742058155776)); #109568=DIRECTION('ref_axis',(0.126146929888518,0.0864595050687272,-0.9882366650064)); #109569=DIRECTION('center_axis',(0.,1.,0.)); #109570=DIRECTION('ref_axis',(1.,0.,-2.74612501249515E-32)); #109571=DIRECTION('',(1.,0.,-2.74612501249515E-32)); #109572=DIRECTION('',(0.,0.,-1.)); #109573=DIRECTION('',(1.,0.,-2.74612501249515E-32)); #109574=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109575=DIRECTION('ref_axis',(1.,0.,-2.74612501249515E-32)); #109576=DIRECTION('',(5.12900298928192E-16,-1.,-5.55350198087779E-32)); #109577=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109578=DIRECTION('ref_axis',(-0.333333334845238,0.942809041047524,6.15126688536385E-32)); #109579=DIRECTION('center_axis',(0.,1.,0.)); #109580=DIRECTION('ref_axis',(1.,0.,-2.74612501249515E-32)); #109581=DIRECTION('',(1.,0.,-2.74612501249515E-32)); #109582=DIRECTION('',(1.,0.,-2.74612501249515E-32)); #109583=DIRECTION('',(0.,0.,-1.)); #109584=DIRECTION('center_axis',(2.74612501249515E-32,-5.5535019808778E-32, 1.)); #109585=DIRECTION('ref_axis',(1.,0.,-2.74612501249515E-32)); #109586=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109587=DIRECTION('ref_axis',(0.333333331821429,-0.942809042116602,-6.15126688299721E-32)); #109588=DIRECTION('',(-7.69350449592804E-16,1.,5.5535019808778E-32)); #109589=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109590=DIRECTION('ref_axis',(0.333333331821429,-0.942809042116602,-6.15126688299721E-32)); #109591=DIRECTION('center_axis',(-1.,-5.12900298928192E-16,0.)); #109592=DIRECTION('ref_axis',(-5.12900298928192E-16,1.,5.55350198087779E-32)); #109593=DIRECTION('',(0.,0.,-1.)); #109594=DIRECTION('',(-5.12900298928192E-16,1.,5.55350198087779E-32)); #109595=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109596=DIRECTION('ref_axis',(-1.,0.,2.74612501249515E-32)); #109597=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109598=DIRECTION('ref_axis',(-1.,0.,2.74612501249515E-32)); #109599=DIRECTION('',(2.56450149864268E-16,-1.,-5.55350198087779E-32)); #109600=DIRECTION('center_axis',(-1.,-5.12900298928192E-16,0.)); #109601=DIRECTION('ref_axis',(-5.12900298928192E-16,1.,5.55350198087779E-32)); #109602=DIRECTION('',(-5.12900298928192E-16,1.,5.55350198087779E-32)); #109603=DIRECTION('',(-5.12900298928192E-16,1.,5.55350198087779E-32)); #109604=DIRECTION('',(0.,0.,-1.)); #109605=DIRECTION('center_axis',(1.,2.56450149864268E-16,0.)); #109606=DIRECTION('ref_axis',(2.56450149864268E-16,-1.,-5.55350198087779E-32)); #109607=DIRECTION('',(0.,0.,-1.)); #109608=DIRECTION('',(2.56450149864268E-16,-1.,-5.55350198087779E-32)); #109609=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109610=DIRECTION('ref_axis',(1.,0.,-2.74612501249515E-32)); #109611=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109612=DIRECTION('ref_axis',(1.,0.,-2.74612501249515E-32)); #109613=DIRECTION('center_axis',(1.,7.69350449592804E-16,0.)); #109614=DIRECTION('ref_axis',(7.69350449592804E-16,-1.,-5.5535019808778E-32)); #109615=DIRECTION('',(7.69350449592804E-16,-1.,-5.5535019808778E-32)); #109616=DIRECTION('',(0.,0.,-1.)); #109617=DIRECTION('center_axis',(2.74612501249515E-32,-5.5535019808778E-32, 1.)); #109618=DIRECTION('ref_axis',(1.,0.,-2.74612501249515E-32)); #109619=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109620=DIRECTION('ref_axis',(1.,0.,-2.74612501249515E-32)); #109621=DIRECTION('center_axis',(0.,3.97765317028473E-32,-1.)); #109622=DIRECTION('ref_axis',(-1.,1.09231328619495E-63,0.)); #109623=DIRECTION('',(0.,3.97765317028473E-32,-1.)); #109624=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109625=DIRECTION('ref_axis',(-1.,0.,2.74612501249515E-32)); #109626=DIRECTION('center_axis',(-2.74612501249515E-32,5.55350198087779E-32, -1.)); #109627=DIRECTION('ref_axis',(-1.,0.,2.74612501249515E-32)); #109628=DIRECTION('center_axis',(-0.891006524188368,-0.453990499739547, -7.44218372332332E-34)); #109629=DIRECTION('ref_axis',(-0.318206147171568,0.624514727355236,-0.713250449154182)); #109630=DIRECTION('',(-0.891006524188368,-0.453990499739547,9.68803173089422E-19)); #109631=DIRECTION('center_axis',(-0.891006524188369,-0.453990499739545, 0.)); #109632=DIRECTION('ref_axis',(-0.453921354787482,0.890870819579048,-0.017452406437284)); #109633=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109634=DIRECTION('ref_axis',(-0.963743610778184,0.266830007091084,0.)); #109635=DIRECTION('center_axis',(0.530637806211868,-0.847598677806104,0.)); #109636=DIRECTION('ref_axis',(-0.847469584422038,-0.53055698750378,-0.0174524064372832)); #109637=DIRECTION('center_axis',(0.,0.,1.)); #109638=DIRECTION('ref_axis',(-0.963743610778184,0.266830007091083,0.)); #109639=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109640=DIRECTION('ref_axis',(1.,0.,0.)); #109641=DIRECTION('center_axis',(0.530637806211853,0.847598677806113,0.)); #109642=DIRECTION('ref_axis',(0.847469584422047,-0.530556987503765,-0.0174524064372838)); #109643=DIRECTION('center_axis',(9.45058798340767E-18,-9.45058798340767E-18, 1.)); #109644=DIRECTION('ref_axis',(-1.51244179580028E-16,-1.,-9.45058798340767E-18)); #109645=DIRECTION('center_axis',(2.74612501249515E-32,-5.55350198087779E-32, 1.)); #109646=DIRECTION('ref_axis',(1.,0.,0.)); #109647=DIRECTION('center_axis',(-0.891006524188369,0.453990499739545,0.)); #109648=DIRECTION('ref_axis',(0.453921354787482,0.890870819579048,-0.0174524064372833)); #109649=DIRECTION('center_axis',(0.,0.,1.)); #109650=DIRECTION('ref_axis',(0.963743610778185,0.266830007091082,0.)); #109651=DIRECTION('center_axis',(-0.891006524188368,0.453990499739547,4.96805244197331E-32)); #109652=DIRECTION('ref_axis',(0.318206147171572,0.624514727355235,-0.713250449154181)); #109653=DIRECTION('',(-0.891006524188368,0.453990499739547,-9.68803173089373E-19)); #109654=DIRECTION('center_axis',(0.453921354787484,0.890870819579047,-0.0174524064372835)); #109655=DIRECTION('ref_axis',(0.00792322672012002,0.0155502079984067,0.999847695156391)); #109656=DIRECTION('',(-0.00792322672012,-0.0155502079984067,-0.999847695156391)); #109657=DIRECTION('center_axis',(0.,0.,1.)); #109658=DIRECTION('ref_axis',(0.847598677806106,-0.530637806211864,0.)); #109659=DIRECTION('',(-0.0147926366207763,0.00926090666499794,-0.999847695156391)); #109660=DIRECTION('center_axis',(0.,0.,1.)); #109661=DIRECTION('ref_axis',(-0.847598677806107,-0.530637806211864,0.)); #109662=DIRECTION('',(0.0147926366207763,0.00926090666499793,-0.999847695156391)); #109663=DIRECTION('center_axis',(0.,0.,1.)); #109664=DIRECTION('ref_axis',(-0.453990499739543,0.89100652418837,0.)); #109665=DIRECTION('',(0.00792322672011996,-0.0155502079984067,-0.999847695156391)); #109666=DIRECTION('center_axis',(-0.453921354787484,0.890870819579047,-0.0174524064372835)); #109667=DIRECTION('ref_axis',(-0.00792322672012002,0.0155502079984067,0.999847695156391)); #109668=DIRECTION('center_axis',(0.,0.,-1.)); #109669=DIRECTION('ref_axis',(-1.,0.,0.)); #109670=DIRECTION('center_axis',(0.975035132702095,-0.198962381917035,0.0985923961495433)); #109671=DIRECTION('ref_axis',(0.117693002198905,0.0865500127374205,-0.989271172393374)); #109672=DIRECTION('center_axis',(0.975035132702093,0.198962381917049,-0.0985923961495295)); #109673=DIRECTION('ref_axis',(-0.117693002198893,0.0865500127374227,-0.989271172393375)); #109674=DIRECTION('center_axis',(-0.675056322713591,-0.736952504041455, 0.0346405535670839)); #109675=DIRECTION('ref_axis',(-0.736626200369838,0.670660657437723,-0.087155742747658)); #109676=DIRECTION('center_axis',(0.675056322713592,-0.736952504041453,0.0346405535670986)); #109677=DIRECTION('ref_axis',(0.144726923867622,0.0862381353218905,-0.985706397221819)); #109678=DIRECTION('center_axis',(0.119010671196055,-0.992335836344481,-0.0332573006716293)); #109679=DIRECTION('ref_axis',(0.409103205391406,0.0795285797609246,-0.909015826231693)); #109680=DIRECTION('center_axis',(0.119010671196248,0.992335836344462,0.0332573006715322)); #109681=DIRECTION('ref_axis',(-0.409103205391454,0.0795285797609218,-0.909015826231672)); #109682=DIRECTION('center_axis',(1.,0.,0.)); #109683=DIRECTION('ref_axis',(0.,-0.38268343236509,-0.923879532511287)); #109684=DIRECTION('',(1.,0.,0.)); #109685=DIRECTION('center_axis',(0.,0.,1.)); #109686=DIRECTION('ref_axis',(1.,0.,0.)); #109687=DIRECTION('',(0.,1.,0.)); #109688=DIRECTION('center_axis',(0.,1.,0.)); #109689=DIRECTION('ref_axis',(0.382683432365089,0.,-0.923879532511287)); #109690=DIRECTION('center_axis',(0.,0.,1.)); #109691=DIRECTION('ref_axis',(0.20842191351325,0.978039010452792,0.)); #109692=DIRECTION('',(-0.0181651666771358,-0.0852417163921983,-0.996194698091746)); #109693=DIRECTION('center_axis',(0.996167235918156,-0.00762343170177604, 0.0871362230778293)); #109694=DIRECTION('ref_axis',(0.0871387552235431,0.,-0.996196184161579)); #109695=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #109696=DIRECTION('center_axis',(2.14685682302269E-16,-0.087155742747658, 0.996194698091746)); #109697=DIRECTION('ref_axis',(1.,0.,-2.15505746731546E-16)); #109698=DIRECTION('center_axis',(2.4818923082778E-16,-0.087155742747658, 0.996194698091746)); #109699=DIRECTION('ref_axis',(1.,0.,-2.49137273369551E-16)); #109700=DIRECTION('center_axis',(0.,0.,1.)); #109701=DIRECTION('ref_axis',(0.992534208769772,0.121966570919097,0.)); #109702=DIRECTION('',(-0.0865050561677914,-0.0106300870788393,-0.996194698091745)); #109703=DIRECTION('center_axis',(0.,0.,1.)); #109704=DIRECTION('ref_axis',(0.999582479062256,0.0288940746824491,0.)); #109705=DIRECTION('',(-0.0871193534002162,-0.00251828453995524,-0.996194698091746)); #109706=DIRECTION('center_axis',(0.,0.,1.)); #109707=DIRECTION('ref_axis',(0.189266406786736,-0.981925774823147,0.)); #109708=DIRECTION('',(0.200837753736452,0.934125319465957,-0.295083181843223)); #109709=DIRECTION('center_axis',(0.,0.,1.)); #109710=DIRECTION('ref_axis',(0.,-1.,0.)); #109711=DIRECTION('center_axis',(0.,0.,1.)); #109712=DIRECTION('ref_axis',(0.,-1.,0.)); #109713=DIRECTION('center_axis',(0.,0.,-1.)); #109714=DIRECTION('ref_axis',(-0.429609589481718,-0.90301472890831,0.)); #109715=DIRECTION('center_axis',(0.,0.,1.)); #109716=DIRECTION('ref_axis',(0.,-1.,0.)); #109717=DIRECTION('center_axis',(0.,0.,1.)); #109718=DIRECTION('ref_axis',(0.,-1.,0.)); #109719=DIRECTION('center_axis',(0.,0.,-1.)); #109720=DIRECTION('ref_axis',(0.334747984337104,-0.942307692307691,0.)); #109721=DIRECTION('center_axis',(0.,0.,1.)); #109722=DIRECTION('ref_axis',(0.,-1.,0.)); #109723=DIRECTION('center_axis',(0.,0.,1.)); #109724=DIRECTION('ref_axis',(0.,-1.,0.)); #109725=DIRECTION('center_axis',(0.,0.,-1.)); #109726=DIRECTION('ref_axis',(0.903014728908308,-0.429609589481724,0.)); #109727=DIRECTION('center_axis',(0.,0.,1.)); #109728=DIRECTION('ref_axis',(0.,-1.,0.)); #109729=DIRECTION('center_axis',(0.,0.,1.)); #109730=DIRECTION('ref_axis',(0.,-1.,0.)); #109731=DIRECTION('center_axis',(0.,0.,-1.)); #109732=DIRECTION('ref_axis',(0.942307692307693,0.334747984337098,0.)); #109733=DIRECTION('center_axis',(0.,0.,1.)); #109734=DIRECTION('ref_axis',(0.,-1.,0.)); #109735=DIRECTION('center_axis',(0.,0.,1.)); #109736=DIRECTION('ref_axis',(0.,-1.,0.)); #109737=DIRECTION('center_axis',(0.,0.,-1.)); #109738=DIRECTION('ref_axis',(0.429609589481727,0.903014728908306,0.)); #109739=DIRECTION('center_axis',(0.,0.,1.)); #109740=DIRECTION('ref_axis',(0.,-1.,0.)); #109741=DIRECTION('center_axis',(0.,0.,1.)); #109742=DIRECTION('ref_axis',(0.,-1.,0.)); #109743=DIRECTION('center_axis',(0.,0.,-1.)); #109744=DIRECTION('ref_axis',(-0.3347479843371,0.942307692307693,0.)); #109745=DIRECTION('center_axis',(0.,0.,1.)); #109746=DIRECTION('ref_axis',(0.,-1.,0.)); #109747=DIRECTION('center_axis',(0.,0.,1.)); #109748=DIRECTION('ref_axis',(0.,-1.,0.)); #109749=DIRECTION('center_axis',(0.,0.,-1.)); #109750=DIRECTION('ref_axis',(-0.90301472890831,0.429609589481719,0.)); #109751=DIRECTION('center_axis',(0.,0.,1.)); #109752=DIRECTION('ref_axis',(0.,-1.,0.)); #109753=DIRECTION('center_axis',(0.,0.,-1.)); #109754=DIRECTION('ref_axis',(-0.942307692307689,-0.33474798433711,0.)); #109755=DIRECTION('center_axis',(0.,0.,1.)); #109756=DIRECTION('ref_axis',(0.,-1.,0.)); #109757=DIRECTION('center_axis',(0.,0.,-1.)); #109758=DIRECTION('ref_axis',(0.,-1.,0.)); #109759=DIRECTION('center_axis',(0.,0.,-1.)); #109760=DIRECTION('ref_axis',(0.,-1.,0.)); #109761=DIRECTION('center_axis',(0.,0.,1.)); #109762=DIRECTION('ref_axis',(-0.942307692307689,-0.33474798433711,0.)); #109763=DIRECTION('center_axis',(0.,0.,-1.)); #109764=DIRECTION('ref_axis',(0.,-1.,0.)); #109765=DIRECTION('center_axis',(0.,0.,-1.)); #109766=DIRECTION('ref_axis',(0.,-1.,0.)); #109767=DIRECTION('center_axis',(0.,0.,1.)); #109768=DIRECTION('ref_axis',(-0.90301472890831,0.429609589481719,0.)); #109769=DIRECTION('center_axis',(0.,0.,-1.)); #109770=DIRECTION('ref_axis',(0.,-1.,0.)); #109771=DIRECTION('center_axis',(0.,0.,-1.)); #109772=DIRECTION('ref_axis',(0.,-1.,0.)); #109773=DIRECTION('center_axis',(0.,0.,1.)); #109774=DIRECTION('ref_axis',(-0.3347479843371,0.942307692307693,0.)); #109775=DIRECTION('center_axis',(0.,0.,-1.)); #109776=DIRECTION('ref_axis',(0.,-1.,0.)); #109777=DIRECTION('center_axis',(0.,0.,-1.)); #109778=DIRECTION('ref_axis',(0.,-1.,0.)); #109779=DIRECTION('center_axis',(0.,0.,1.)); #109780=DIRECTION('ref_axis',(0.429609589481727,0.903014728908306,0.)); #109781=DIRECTION('center_axis',(0.,0.,-1.)); #109782=DIRECTION('ref_axis',(0.,-1.,0.)); #109783=DIRECTION('center_axis',(0.,0.,-1.)); #109784=DIRECTION('ref_axis',(0.,-1.,0.)); #109785=DIRECTION('center_axis',(0.,0.,1.)); #109786=DIRECTION('ref_axis',(0.942307692307693,0.334747984337098,0.)); #109787=DIRECTION('center_axis',(0.,0.,-1.)); #109788=DIRECTION('ref_axis',(0.,-1.,0.)); #109789=DIRECTION('center_axis',(0.,0.,-1.)); #109790=DIRECTION('ref_axis',(0.,-1.,0.)); #109791=DIRECTION('center_axis',(0.,0.,1.)); #109792=DIRECTION('ref_axis',(0.903014728908308,-0.429609589481724,0.)); #109793=DIRECTION('center_axis',(0.,0.,-1.)); #109794=DIRECTION('ref_axis',(0.,-1.,0.)); #109795=DIRECTION('center_axis',(0.,0.,-1.)); #109796=DIRECTION('ref_axis',(0.,-1.,0.)); #109797=DIRECTION('center_axis',(0.,0.,1.)); #109798=DIRECTION('ref_axis',(0.334747984337104,-0.942307692307691,0.)); #109799=DIRECTION('center_axis',(0.,0.,-1.)); #109800=DIRECTION('ref_axis',(0.,-1.,0.)); #109801=DIRECTION('center_axis',(0.,0.,1.)); #109802=DIRECTION('ref_axis',(-0.429609589481718,-0.90301472890831,0.)); #109803=DIRECTION('center_axis',(0.,0.,-1.)); #109804=DIRECTION('ref_axis',(0.,-1.,0.)); #109805=DIRECTION('center_axis',(0.,0.,-1.)); #109806=DIRECTION('ref_axis',(0.942307692307693,0.334747984337098,0.)); #109807=DIRECTION('',(0.,0.,-1.)); #109808=DIRECTION('',(0.,0.,-1.)); #109809=DIRECTION('center_axis',(-1.,0.,0.)); #109810=DIRECTION('ref_axis',(0.,1.,0.)); #109811=DIRECTION('',(0.,0.,-1.)); #109812=DIRECTION('center_axis',(0.,0.,-1.)); #109813=DIRECTION('ref_axis',(0.903014728908308,-0.429609589481724,0.)); #109814=DIRECTION('',(0.,0.,-1.)); #109815=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #109816=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #109817=DIRECTION('',(0.,0.,-1.)); #109818=DIRECTION('center_axis',(0.,0.,-1.)); #109819=DIRECTION('ref_axis',(0.334747984337104,-0.942307692307691,0.)); #109820=DIRECTION('',(0.,0.,-1.)); #109821=DIRECTION('center_axis',(0.,0.,-1.)); #109822=DIRECTION('ref_axis',(-0.942307692307689,-0.33474798433711,0.)); #109823=DIRECTION('',(0.,0.,-1.)); #109824=DIRECTION('',(0.,0.,-1.)); #109825=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #109826=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #109827=DIRECTION('',(0.,0.,-1.)); #109828=DIRECTION('center_axis',(0.,0.,-1.)); #109829=DIRECTION('ref_axis',(-0.429609589481718,-0.90301472890831,0.)); #109830=DIRECTION('',(0.,0.,-1.)); #109831=DIRECTION('center_axis',(-1.00441962642401E-15,1.,0.)); #109832=DIRECTION('ref_axis',(1.,1.00441962642401E-15,0.)); #109833=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #109834=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #109835=DIRECTION('',(0.,0.,-1.)); #109836=DIRECTION('center_axis',(0.,0.,-1.)); #109837=DIRECTION('ref_axis',(0.429609589481727,0.903014728908306,0.)); #109838=DIRECTION('',(0.,0.,-1.)); #109839=DIRECTION('center_axis',(-1.00441962642402E-15,-1.,0.)); #109840=DIRECTION('ref_axis',(-1.,1.00441962642402E-15,0.)); #109841=DIRECTION('',(0.,0.,-1.)); #109842=DIRECTION('center_axis',(0.,0.,-1.)); #109843=DIRECTION('ref_axis',(-0.3347479843371,0.942307692307693,0.)); #109844=DIRECTION('',(0.,0.,-1.)); #109845=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #109846=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #109847=DIRECTION('',(0.,0.,-1.)); #109848=DIRECTION('center_axis',(0.,0.,-1.)); #109849=DIRECTION('ref_axis',(-0.90301472890831,0.429609589481719,0.)); #109850=DIRECTION('',(0.,0.,-1.)); #109851=DIRECTION('center_axis',(1.,0.,0.)); #109852=DIRECTION('ref_axis',(0.,-1.,0.)); #109853=DIRECTION('center_axis',(0.,0.,1.)); #109854=DIRECTION('ref_axis',(1.,0.,0.)); #109855=DIRECTION('center_axis',(0.,0.,1.)); #109856=DIRECTION('ref_axis',(1.,0.,0.)); #109857=DIRECTION('center_axis',(-0.500000000000001,-0.866025403784438, 0.)); #109858=DIRECTION('ref_axis',(0.866025403784438,-0.500000000000001,0.)); #109859=DIRECTION('',(-0.866025403784438,0.500000000000001,0.)); #109860=DIRECTION('',(0.,0.,1.)); #109861=DIRECTION('',(0.866025403784438,-0.500000000000001,0.)); #109862=DIRECTION('',(0.,0.,1.)); #109863=DIRECTION('center_axis',(0.866025403784442,-0.499999999999994,0.)); #109864=DIRECTION('ref_axis',(0.499999999999994,0.866025403784442,0.)); #109865=DIRECTION('',(-0.499999999999994,-0.866025403784442,0.)); #109866=DIRECTION('',(0.499999999999994,0.866025403784442,0.)); #109867=DIRECTION('',(0.,0.,1.)); #109868=DIRECTION('center_axis',(0.5,0.866025403784439,0.)); #109869=DIRECTION('ref_axis',(-0.866025403784438,0.5,0.)); #109870=DIRECTION('',(0.866025403784438,-0.5,0.)); #109871=DIRECTION('',(-0.866025403784438,0.5,0.)); #109872=DIRECTION('',(0.,0.,1.)); #109873=DIRECTION('center_axis',(-0.866025403784432,0.500000000000011,0.)); #109874=DIRECTION('ref_axis',(-0.500000000000011,-0.866025403784432,0.)); #109875=DIRECTION('',(0.500000000000011,0.866025403784432,0.)); #109876=DIRECTION('',(-0.500000000000011,-0.866025403784432,0.)); #109877=DIRECTION('center_axis',(0.,0.,-1.)); #109878=DIRECTION('ref_axis',(-1.,0.,0.)); #109879=DIRECTION('center_axis',(1.,-1.45698559662094E-15,0.)); #109880=DIRECTION('ref_axis',(1.45698559662094E-15,1.,0.)); #109881=DIRECTION('',(-1.45698559662094E-15,-1.,0.)); #109882=DIRECTION('',(0.,0.,1.)); #109883=DIRECTION('',(1.45698559662094E-15,1.,0.)); #109884=DIRECTION('',(0.,0.,1.)); #109885=DIRECTION('center_axis',(0.,1.,0.)); #109886=DIRECTION('ref_axis',(-1.,0.,0.)); #109887=DIRECTION('',(1.,0.,0.)); #109888=DIRECTION('',(-1.,0.,0.)); #109889=DIRECTION('',(0.,0.,1.)); #109890=DIRECTION('center_axis',(-1.,0.,0.)); #109891=DIRECTION('ref_axis',(0.,-1.,0.)); #109892=DIRECTION('',(0.,1.,0.)); #109893=DIRECTION('',(0.,-1.,0.)); #109894=DIRECTION('',(0.,0.,1.)); #109895=DIRECTION('center_axis',(0.,-1.,0.)); #109896=DIRECTION('ref_axis',(1.,0.,0.)); #109897=DIRECTION('',(-1.,0.,0.)); #109898=DIRECTION('',(1.,0.,0.)); #109899=DIRECTION('center_axis',(0.,0.,-1.)); #109900=DIRECTION('ref_axis',(-1.,0.,0.)); #109901=DIRECTION('center_axis',(-0.499999999999996,0.866025403784441,0.)); #109902=DIRECTION('ref_axis',(-0.866025403784441,-0.499999999999996,0.)); #109903=DIRECTION('',(0.866025403784441,0.499999999999996,0.)); #109904=DIRECTION('',(0.,0.,1.)); #109905=DIRECTION('',(-0.866025403784441,-0.499999999999996,0.)); #109906=DIRECTION('',(0.,0.,1.)); #109907=DIRECTION('center_axis',(-0.866025403784439,-0.499999999999999, 0.)); #109908=DIRECTION('ref_axis',(0.499999999999999,-0.866025403784439,0.)); #109909=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #109910=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #109911=DIRECTION('',(0.,0.,1.)); #109912=DIRECTION('center_axis',(0.499999999999999,-0.866025403784439,0.)); #109913=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #109914=DIRECTION('',(-0.866025403784439,-0.499999999999999,0.)); #109915=DIRECTION('',(0.866025403784439,0.499999999999999,0.)); #109916=DIRECTION('',(0.,0.,1.)); #109917=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #109918=DIRECTION('ref_axis',(-0.5,0.866025403784438,0.)); #109919=DIRECTION('',(0.5,-0.866025403784438,0.)); #109920=DIRECTION('',(-0.5,0.866025403784438,0.)); #109921=DIRECTION('center_axis',(0.,0.,-1.)); #109922=DIRECTION('ref_axis',(-1.,0.,0.)); #109923=DIRECTION('center_axis',(0.,0.,1.)); #109924=DIRECTION('ref_axis',(0.,-1.,0.)); #109925=DIRECTION('center_axis',(0.,0.,1.)); #109926=DIRECTION('ref_axis',(0.,-1.,0.)); #109927=DIRECTION('center_axis',(0.,0.,-1.)); #109928=DIRECTION('ref_axis',(0.942307692307689,-0.33474798433711,0.)); #109929=DIRECTION('center_axis',(0.,0.,1.)); #109930=DIRECTION('ref_axis',(0.,-1.,0.)); #109931=DIRECTION('center_axis',(0.,0.,1.)); #109932=DIRECTION('ref_axis',(0.,-1.,0.)); #109933=DIRECTION('center_axis',(0.,0.,-1.)); #109934=DIRECTION('ref_axis',(0.90301472890831,0.429609589481719,0.)); #109935=DIRECTION('center_axis',(0.,0.,1.)); #109936=DIRECTION('ref_axis',(0.,-1.,0.)); #109937=DIRECTION('center_axis',(0.,0.,1.)); #109938=DIRECTION('ref_axis',(0.,-1.,0.)); #109939=DIRECTION('center_axis',(0.,0.,-1.)); #109940=DIRECTION('ref_axis',(0.3347479843371,0.942307692307693,0.)); #109941=DIRECTION('center_axis',(0.,0.,1.)); #109942=DIRECTION('ref_axis',(0.,-1.,0.)); #109943=DIRECTION('center_axis',(0.,0.,1.)); #109944=DIRECTION('ref_axis',(0.,-1.,0.)); #109945=DIRECTION('center_axis',(0.,0.,-1.)); #109946=DIRECTION('ref_axis',(-0.429609589481727,0.903014728908306,0.)); #109947=DIRECTION('center_axis',(0.,0.,1.)); #109948=DIRECTION('ref_axis',(0.,-1.,0.)); #109949=DIRECTION('center_axis',(0.,0.,1.)); #109950=DIRECTION('ref_axis',(0.,-1.,0.)); #109951=DIRECTION('center_axis',(0.,0.,-1.)); #109952=DIRECTION('ref_axis',(-0.942307692307693,0.334747984337098,0.)); #109953=DIRECTION('center_axis',(0.,0.,1.)); #109954=DIRECTION('ref_axis',(0.,-1.,0.)); #109955=DIRECTION('center_axis',(0.,0.,1.)); #109956=DIRECTION('ref_axis',(0.,-1.,0.)); #109957=DIRECTION('center_axis',(0.,0.,-1.)); #109958=DIRECTION('ref_axis',(-0.903014728908308,-0.429609589481724,0.)); #109959=DIRECTION('center_axis',(0.,0.,1.)); #109960=DIRECTION('ref_axis',(0.,-1.,0.)); #109961=DIRECTION('center_axis',(0.,0.,1.)); #109962=DIRECTION('ref_axis',(0.,-1.,0.)); #109963=DIRECTION('center_axis',(0.,0.,-1.)); #109964=DIRECTION('ref_axis',(-0.334747984337104,-0.942307692307691,0.)); #109965=DIRECTION('center_axis',(0.,0.,1.)); #109966=DIRECTION('ref_axis',(0.,-1.,0.)); #109967=DIRECTION('center_axis',(0.,0.,-1.)); #109968=DIRECTION('ref_axis',(0.429609589481718,-0.90301472890831,0.)); #109969=DIRECTION('center_axis',(0.,0.,1.)); #109970=DIRECTION('ref_axis',(0.,-1.,0.)); #109971=DIRECTION('center_axis',(0.,0.,-1.)); #109972=DIRECTION('ref_axis',(0.,-1.,0.)); #109973=DIRECTION('center_axis',(0.,0.,-1.)); #109974=DIRECTION('ref_axis',(0.,-1.,0.)); #109975=DIRECTION('center_axis',(0.,0.,1.)); #109976=DIRECTION('ref_axis',(0.429609589481718,-0.90301472890831,0.)); #109977=DIRECTION('center_axis',(0.,0.,-1.)); #109978=DIRECTION('ref_axis',(0.,-1.,0.)); #109979=DIRECTION('center_axis',(0.,0.,-1.)); #109980=DIRECTION('ref_axis',(0.,-1.,0.)); #109981=DIRECTION('center_axis',(0.,0.,1.)); #109982=DIRECTION('ref_axis',(-0.334747984337104,-0.942307692307691,0.)); #109983=DIRECTION('center_axis',(0.,0.,-1.)); #109984=DIRECTION('ref_axis',(0.,-1.,0.)); #109985=DIRECTION('center_axis',(0.,0.,-1.)); #109986=DIRECTION('ref_axis',(0.,-1.,0.)); #109987=DIRECTION('center_axis',(0.,0.,1.)); #109988=DIRECTION('ref_axis',(-0.903014728908308,-0.429609589481724,0.)); #109989=DIRECTION('center_axis',(0.,0.,-1.)); #109990=DIRECTION('ref_axis',(0.,-1.,0.)); #109991=DIRECTION('center_axis',(0.,0.,-1.)); #109992=DIRECTION('ref_axis',(0.,-1.,0.)); #109993=DIRECTION('center_axis',(0.,0.,1.)); #109994=DIRECTION('ref_axis',(-0.942307692307693,0.334747984337098,0.)); #109995=DIRECTION('center_axis',(0.,0.,-1.)); #109996=DIRECTION('ref_axis',(0.,-1.,0.)); #109997=DIRECTION('center_axis',(0.,0.,-1.)); #109998=DIRECTION('ref_axis',(0.,-1.,0.)); #109999=DIRECTION('center_axis',(0.,0.,1.)); #110000=DIRECTION('ref_axis',(-0.429609589481727,0.903014728908306,0.)); #110001=DIRECTION('center_axis',(0.,0.,-1.)); #110002=DIRECTION('ref_axis',(0.,-1.,0.)); #110003=DIRECTION('center_axis',(0.,0.,-1.)); #110004=DIRECTION('ref_axis',(0.,-1.,0.)); #110005=DIRECTION('center_axis',(0.,0.,1.)); #110006=DIRECTION('ref_axis',(0.3347479843371,0.942307692307693,0.)); #110007=DIRECTION('center_axis',(0.,0.,-1.)); #110008=DIRECTION('ref_axis',(0.,-1.,0.)); #110009=DIRECTION('center_axis',(0.,0.,-1.)); #110010=DIRECTION('ref_axis',(0.,-1.,0.)); #110011=DIRECTION('center_axis',(0.,0.,1.)); #110012=DIRECTION('ref_axis',(0.90301472890831,0.429609589481719,0.)); #110013=DIRECTION('center_axis',(0.,0.,-1.)); #110014=DIRECTION('ref_axis',(0.,-1.,0.)); #110015=DIRECTION('center_axis',(0.,0.,1.)); #110016=DIRECTION('ref_axis',(0.942307692307689,-0.33474798433711,0.)); #110017=DIRECTION('center_axis',(0.,0.,-1.)); #110018=DIRECTION('ref_axis',(0.,-1.,0.)); #110019=DIRECTION('center_axis',(0.,0.,1.)); #110020=DIRECTION('ref_axis',(0.3347479843371,0.942307692307693,0.)); #110021=DIRECTION('',(0.,0.,-1.)); #110022=DIRECTION('',(0.,0.,-1.)); #110023=DIRECTION('center_axis',(-1.00441962642402E-15,1.,0.)); #110024=DIRECTION('ref_axis',(1.,1.00441962642402E-15,0.)); #110025=DIRECTION('',(0.,0.,-1.)); #110026=DIRECTION('center_axis',(0.,0.,1.)); #110027=DIRECTION('ref_axis',(0.90301472890831,0.429609589481719,0.)); #110028=DIRECTION('',(0.,0.,-1.)); #110029=DIRECTION('',(0.,0.,-1.)); #110030=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #110031=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #110032=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #110033=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #110034=DIRECTION('',(0.,0.,-1.)); #110035=DIRECTION('',(0.,0.,-1.)); #110036=DIRECTION('center_axis',(0.,0.,1.)); #110037=DIRECTION('ref_axis',(0.942307692307689,-0.33474798433711,0.)); #110038=DIRECTION('',(0.,0.,-1.)); #110039=DIRECTION('center_axis',(1.,0.,0.)); #110040=DIRECTION('ref_axis',(0.,-1.,0.)); #110041=DIRECTION('center_axis',(0.,0.,1.)); #110042=DIRECTION('ref_axis',(0.429609589481718,-0.90301472890831,0.)); #110043=DIRECTION('',(0.,0.,-1.)); #110044=DIRECTION('center_axis',(-1.00441962642401E-15,-1.,0.)); #110045=DIRECTION('ref_axis',(-1.,1.00441962642401E-15,0.)); #110046=DIRECTION('',(0.,0.,-1.)); #110047=DIRECTION('center_axis',(0.,0.,1.)); #110048=DIRECTION('ref_axis',(-0.334747984337104,-0.942307692307691,0.)); #110049=DIRECTION('',(0.,0.,-1.)); #110050=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #110051=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #110052=DIRECTION('',(0.,0.,-1.)); #110053=DIRECTION('center_axis',(0.,0.,1.)); #110054=DIRECTION('ref_axis',(-0.903014728908308,-0.429609589481724,0.)); #110055=DIRECTION('',(0.,0.,-1.)); #110056=DIRECTION('center_axis',(-1.,0.,0.)); #110057=DIRECTION('ref_axis',(0.,1.,0.)); #110058=DIRECTION('',(0.,0.,-1.)); #110059=DIRECTION('center_axis',(0.,0.,1.)); #110060=DIRECTION('ref_axis',(-0.942307692307693,0.334747984337098,0.)); #110061=DIRECTION('',(0.,0.,-1.)); #110062=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #110063=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #110064=DIRECTION('',(0.,0.,-1.)); #110065=DIRECTION('center_axis',(0.,0.,1.)); #110066=DIRECTION('ref_axis',(-0.429609589481727,0.903014728908306,0.)); #110067=DIRECTION('center_axis',(0.,0.,-1.)); #110068=DIRECTION('ref_axis',(-1.,0.,0.)); #110069=DIRECTION('center_axis',(0.,0.,-1.)); #110070=DIRECTION('ref_axis',(-1.,0.,0.)); #110071=DIRECTION('center_axis',(0.,0.,1.)); #110072=DIRECTION('ref_axis',(7.53835631801336E-16,1.,2.096487410377E-31)); #110073=DIRECTION('center_axis',(0.,0.,-1.)); #110074=DIRECTION('ref_axis',(1.,-7.53835631801336E-16,1.56893524633284E-30)); #110075=DIRECTION('',(0.,0.0174524064372835,0.999847695156391)); #110076=DIRECTION('center_axis',(7.53720818983328E-16,0.999847695156391, -0.0174524064372835)); #110077=DIRECTION('ref_axis',(1.,-7.53835631801336E-16,1.56869628989552E-30)); #110078=DIRECTION('center_axis',(-7.53720818983328E-16,-0.999847695156391, -0.0174524064372835)); #110079=DIRECTION('ref_axis',(-1.,7.53835631801336E-16,-1.56869628989552E-30)); #110080=DIRECTION('axis',(0.,0.,1.)); #110081=DIRECTION('refdir',(1.,0.,0.)); #110082=DIRECTION('center_axis',(1.,0.,0.)); #110083=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110084=DIRECTION('',(-1.,0.,0.)); #110085=DIRECTION('center_axis',(1.,0.,0.)); #110086=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110087=DIRECTION('center_axis',(1.,0.,0.)); #110088=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110089=DIRECTION('',(-1.,0.,0.)); #110090=DIRECTION('center_axis',(1.,0.,0.)); #110091=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110092=DIRECTION('',(-1.,0.,0.)); #110093=DIRECTION('center_axis',(1.,0.,0.)); #110094=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110095=DIRECTION('',(-1.,0.,0.)); #110096=DIRECTION('center_axis',(1.,0.,0.)); #110097=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110098=DIRECTION('',(-1.,0.,0.)); #110099=DIRECTION('center_axis',(1.,0.,0.)); #110100=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110101=DIRECTION('',(-1.,0.,0.)); #110102=DIRECTION('center_axis',(1.,0.,0.)); #110103=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110104=DIRECTION('',(-1.,0.,0.)); #110105=DIRECTION('center_axis',(1.,0.,0.)); #110106=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110107=DIRECTION('',(-1.,0.,0.)); #110108=DIRECTION('center_axis',(1.,0.,0.)); #110109=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110110=DIRECTION('',(-1.,0.,0.)); #110111=DIRECTION('center_axis',(1.,0.,0.)); #110112=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110113=DIRECTION('',(-1.,0.,0.)); #110114=DIRECTION('center_axis',(1.,0.,0.)); #110115=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110116=DIRECTION('',(-1.,0.,0.)); #110117=DIRECTION('center_axis',(1.,0.,0.)); #110118=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110119=DIRECTION('',(-1.,0.,0.)); #110120=DIRECTION('center_axis',(1.,0.,0.)); #110121=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110122=DIRECTION('',(-1.,0.,0.)); #110123=DIRECTION('center_axis',(1.,0.,0.)); #110124=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110125=DIRECTION('',(-1.,0.,0.)); #110126=DIRECTION('center_axis',(1.,0.,0.)); #110127=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110128=DIRECTION('',(-1.,0.,0.)); #110129=DIRECTION('center_axis',(1.,0.,0.)); #110130=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110131=DIRECTION('',(-1.,0.,0.)); #110132=DIRECTION('center_axis',(1.,0.,0.)); #110133=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110134=DIRECTION('',(-1.,0.,0.)); #110135=DIRECTION('center_axis',(1.,0.,0.)); #110136=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110137=DIRECTION('',(-1.,0.,0.)); #110138=DIRECTION('center_axis',(1.,0.,0.)); #110139=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110140=DIRECTION('',(-1.,0.,0.)); #110141=DIRECTION('center_axis',(1.,0.,0.)); #110142=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110143=DIRECTION('',(-1.,0.,0.)); #110144=DIRECTION('center_axis',(-1.,0.,0.)); #110145=DIRECTION('ref_axis',(0.,0.,1.)); #110146=DIRECTION('',(0.707106781186549,8.65956056235491E-17,0.707106781186546)); #110147=DIRECTION('center_axis',(1.,0.,0.)); #110148=DIRECTION('ref_axis',(0.,0.,-1.)); #110149=DIRECTION('center_axis',(1.,0.,0.)); #110150=DIRECTION('ref_axis',(0.,0.,-1.)); #110151=DIRECTION('',(-1.,0.,0.)); #110152=DIRECTION('center_axis',(1.,0.,0.)); #110153=DIRECTION('ref_axis',(0.,0.382683432365089,0.923879532511287)); #110154=DIRECTION('',(-1.,0.,0.)); #110155=DIRECTION('center_axis',(1.,0.,0.)); #110156=DIRECTION('ref_axis',(0.,0.,-1.)); #110157=DIRECTION('center_axis',(1.,0.,0.)); #110158=DIRECTION('ref_axis',(0.,0.382683432365089,0.923879532511287)); #110159=DIRECTION('center_axis',(1.,0.,0.)); #110160=DIRECTION('ref_axis',(0.,0.,-1.)); #110161=DIRECTION('',(-1.,0.,0.)); #110162=DIRECTION('center_axis',(1.,0.,0.)); #110163=DIRECTION('ref_axis',(0.,0.,-1.)); #110164=DIRECTION('',(-1.,0.,0.)); #110165=DIRECTION('center_axis',(1.,0.,0.)); #110166=DIRECTION('ref_axis',(0.,0.,-1.)); #110167=DIRECTION('',(-1.,0.,0.)); #110168=DIRECTION('center_axis',(1.,0.,0.)); #110169=DIRECTION('ref_axis',(0.,0.,-1.)); #110170=DIRECTION('',(-1.,0.,0.)); #110171=DIRECTION('center_axis',(1.,0.,0.)); #110172=DIRECTION('ref_axis',(0.,0.,-1.)); #110173=DIRECTION('',(-1.,0.,0.)); #110174=DIRECTION('center_axis',(1.,0.,0.)); #110175=DIRECTION('ref_axis',(0.,0.,-1.)); #110176=DIRECTION('',(-1.,0.,0.)); #110177=DIRECTION('center_axis',(1.,0.,0.)); #110178=DIRECTION('ref_axis',(0.,0.,-1.)); #110179=DIRECTION('',(-1.,0.,0.)); #110180=DIRECTION('center_axis',(1.,0.,0.)); #110181=DIRECTION('ref_axis',(0.,0.,-1.)); #110182=DIRECTION('',(-1.,0.,0.)); #110183=DIRECTION('center_axis',(1.,0.,0.)); #110184=DIRECTION('ref_axis',(0.,0.,-1.)); #110185=DIRECTION('',(-1.,0.,0.)); #110186=DIRECTION('center_axis',(1.,0.,0.)); #110187=DIRECTION('ref_axis',(0.,0.,-1.)); #110188=DIRECTION('',(-1.,0.,0.)); #110189=DIRECTION('center_axis',(1.,0.,0.)); #110190=DIRECTION('ref_axis',(0.,0.,-1.)); #110191=DIRECTION('',(-1.,0.,0.)); #110192=DIRECTION('center_axis',(1.,0.,0.)); #110193=DIRECTION('ref_axis',(0.,0.,-1.)); #110194=DIRECTION('',(-1.,0.,0.)); #110195=DIRECTION('center_axis',(1.,0.,0.)); #110196=DIRECTION('ref_axis',(0.,0.,-1.)); #110197=DIRECTION('',(-1.,0.,0.)); #110198=DIRECTION('center_axis',(1.,0.,0.)); #110199=DIRECTION('ref_axis',(0.,0.,-1.)); #110200=DIRECTION('',(-1.,0.,0.)); #110201=DIRECTION('center_axis',(1.,0.,0.)); #110202=DIRECTION('ref_axis',(0.,0.,-1.)); #110203=DIRECTION('',(-1.,0.,0.)); #110204=DIRECTION('center_axis',(1.,0.,0.)); #110205=DIRECTION('ref_axis',(0.,0.,-1.)); #110206=DIRECTION('',(-1.,0.,0.)); #110207=DIRECTION('center_axis',(1.,0.,0.)); #110208=DIRECTION('ref_axis',(0.,0.,-1.)); #110209=DIRECTION('',(-1.,0.,0.)); #110210=DIRECTION('center_axis',(1.,0.,0.)); #110211=DIRECTION('ref_axis',(0.,0.,-1.)); #110212=DIRECTION('',(-1.,0.,0.)); #110213=DIRECTION('center_axis',(0.,0.866025403784439,-0.499999999999999)); #110214=DIRECTION('ref_axis',(0.,-0.499999999999999,-0.866025403784439)); #110215=DIRECTION('',(0.,0.499999999999999,0.866025403784439)); #110216=DIRECTION('',(-1.,0.,0.)); #110217=DIRECTION('',(0.,-0.499999999999999,-0.866025403784439)); #110218=DIRECTION('',(-1.,0.,0.)); #110219=DIRECTION('center_axis',(0.,0.5,0.866025403784439)); #110220=DIRECTION('ref_axis',(0.,0.866025403784439,-0.5)); #110221=DIRECTION('',(0.,-0.866025403784439,0.5)); #110222=DIRECTION('',(0.,0.866025403784439,-0.5)); #110223=DIRECTION('',(-1.,0.,0.)); #110224=DIRECTION('center_axis',(0.,-0.866025403784439,0.5)); #110225=DIRECTION('ref_axis',(0.,0.5,0.866025403784439)); #110226=DIRECTION('',(0.,-0.5,-0.866025403784439)); #110227=DIRECTION('',(0.,0.5,0.866025403784439)); #110228=DIRECTION('',(-1.,0.,0.)); #110229=DIRECTION('center_axis',(0.,-0.499999999999999,-0.866025403784439)); #110230=DIRECTION('ref_axis',(0.,-0.866025403784439,0.499999999999999)); #110231=DIRECTION('',(0.,0.866025403784439,-0.499999999999999)); #110232=DIRECTION('',(0.,-0.866025403784439,0.499999999999999)); #110233=DIRECTION('center_axis',(-1.,0.,0.)); #110234=DIRECTION('ref_axis',(0.,0.,1.)); #110235=DIRECTION('center_axis',(0.,-3.31334135231565E-16,1.)); #110236=DIRECTION('ref_axis',(0.,1.,3.31334135231565E-16)); #110237=DIRECTION('',(0.,-1.,-3.31334135231565E-16)); #110238=DIRECTION('',(-1.,0.,0.)); #110239=DIRECTION('',(0.,1.,3.31334135231565E-16)); #110240=DIRECTION('',(-1.,0.,0.)); #110241=DIRECTION('center_axis',(0.,-1.,-3.99630335073172E-16)); #110242=DIRECTION('ref_axis',(0.,-3.99630335073172E-16,1.)); #110243=DIRECTION('',(0.,3.99630335073172E-16,-1.)); #110244=DIRECTION('',(0.,-3.99630335073172E-16,1.)); #110245=DIRECTION('',(-1.,0.,0.)); #110246=DIRECTION('center_axis',(0.,2.17507135495555E-16,-1.)); #110247=DIRECTION('ref_axis',(0.,-1.,-2.17507135495555E-16)); #110248=DIRECTION('',(0.,1.,2.17507135495555E-16)); #110249=DIRECTION('',(0.,-1.,-2.17507135495555E-16)); #110250=DIRECTION('',(-1.,0.,0.)); #110251=DIRECTION('center_axis',(0.,1.,3.99630335073172E-16)); #110252=DIRECTION('ref_axis',(0.,3.99630335073172E-16,-1.)); #110253=DIRECTION('',(0.,-3.99630335073172E-16,1.)); #110254=DIRECTION('',(0.,3.99630335073172E-16,-1.)); #110255=DIRECTION('center_axis',(-1.,0.,0.)); #110256=DIRECTION('ref_axis',(0.,0.,1.)); #110257=DIRECTION('center_axis',(0.,-0.866025403784438,-0.500000000000001)); #110258=DIRECTION('ref_axis',(0.,-0.500000000000001,0.866025403784438)); #110259=DIRECTION('',(0.,0.500000000000001,-0.866025403784438)); #110260=DIRECTION('',(-1.,0.,0.)); #110261=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); #110262=DIRECTION('',(-1.,0.,0.)); #110263=DIRECTION('center_axis',(0.,0.500000000000001,-0.866025403784438)); #110264=DIRECTION('ref_axis',(0.,-0.866025403784438,-0.500000000000001)); #110265=DIRECTION('',(0.,0.866025403784438,0.500000000000001)); #110266=DIRECTION('',(0.,-0.866025403784438,-0.500000000000001)); #110267=DIRECTION('',(-1.,0.,0.)); #110268=DIRECTION('center_axis',(0.,0.866025403784438,0.500000000000001)); #110269=DIRECTION('ref_axis',(0.,0.500000000000001,-0.866025403784438)); #110270=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); #110271=DIRECTION('',(0.,0.500000000000001,-0.866025403784438)); #110272=DIRECTION('',(-1.,0.,0.)); #110273=DIRECTION('center_axis',(0.,-0.5,0.866025403784439)); #110274=DIRECTION('ref_axis',(0.,0.866025403784438,0.5)); #110275=DIRECTION('',(0.,-0.866025403784438,-0.5)); #110276=DIRECTION('',(0.,0.866025403784438,0.5)); #110277=DIRECTION('center_axis',(-1.,0.,0.)); #110278=DIRECTION('ref_axis',(0.,0.,1.)); #110279=DIRECTION('center_axis',(1.,0.,0.)); #110280=DIRECTION('ref_axis',(0.,0.,-1.)); #110281=DIRECTION('center_axis',(-1.,0.,0.)); #110282=DIRECTION('ref_axis',(0.,0.,1.)); #110283=DIRECTION('center_axis',(1.,0.,0.)); #110284=DIRECTION('ref_axis',(0.,1.,0.)); #110285=DIRECTION('center_axis',(1.,0.,0.)); #110286=DIRECTION('ref_axis',(0.,1.,0.)); #110287=DIRECTION('center_axis',(1.,0.,0.)); #110288=DIRECTION('ref_axis',(0.,1.,0.)); #110289=DIRECTION('center_axis',(1.,0.,0.)); #110290=DIRECTION('ref_axis',(0.,1.,0.)); #110291=DIRECTION('center_axis',(1.,0.,0.)); #110292=DIRECTION('ref_axis',(0.,1.,0.)); #110293=DIRECTION('center_axis',(1.,0.,0.)); #110294=DIRECTION('ref_axis',(0.,1.,0.)); #110295=DIRECTION('center_axis',(1.,0.,0.)); #110296=DIRECTION('ref_axis',(0.,1.,0.)); #110297=DIRECTION('center_axis',(1.,0.,0.)); #110298=DIRECTION('ref_axis',(0.,1.,0.)); #110299=DIRECTION('center_axis',(1.,0.,0.)); #110300=DIRECTION('ref_axis',(0.,0.,-1.)); #110301=DIRECTION('center_axis',(-1.,0.,0.)); #110302=DIRECTION('ref_axis',(0.,8.88178419700125E-16,-1.)); #110303=DIRECTION('center_axis',(0.999999999918703,-1.27179685376812E-5, -9.20099668381363E-7)); #110304=DIRECTION('ref_axis',(-1.27216493295871E-5,-0.990170788122939,-0.139863541304222)); #110305=DIRECTION('center_axis',(-1.,0.,0.)); #110306=DIRECTION('ref_axis',(0.,1.,0.)); #110307=DIRECTION('center_axis',(-1.,0.,0.)); #110308=DIRECTION('ref_axis',(0.,0.,-1.)); #110309=DIRECTION('center_axis',(-1.,0.,0.)); #110310=DIRECTION('ref_axis',(0.,1.,0.)); #110311=DIRECTION('center_axis',(-1.,0.,0.)); #110312=DIRECTION('ref_axis',(0.,0.,-1.)); #110313=DIRECTION('center_axis',(-1.,0.,0.)); #110314=DIRECTION('ref_axis',(0.,1.,0.)); #110315=DIRECTION('center_axis',(-1.,0.,0.)); #110316=DIRECTION('ref_axis',(0.,0.,-1.)); #110317=DIRECTION('center_axis',(-1.,0.,0.)); #110318=DIRECTION('ref_axis',(0.,1.,0.)); #110319=DIRECTION('center_axis',(-1.,0.,0.)); #110320=DIRECTION('ref_axis',(0.,0.,-1.)); #110321=DIRECTION('center_axis',(-1.,0.,0.)); #110322=DIRECTION('ref_axis',(0.,1.,0.)); #110323=DIRECTION('center_axis',(-1.,0.,0.)); #110324=DIRECTION('ref_axis',(0.,0.,-1.)); #110325=DIRECTION('center_axis',(-1.,0.,0.)); #110326=DIRECTION('ref_axis',(0.,1.,0.)); #110327=DIRECTION('center_axis',(-1.,0.,0.)); #110328=DIRECTION('ref_axis',(0.,0.,-1.)); #110329=DIRECTION('center_axis',(-1.,0.,0.)); #110330=DIRECTION('ref_axis',(0.,1.,0.)); #110331=DIRECTION('center_axis',(-1.,0.,0.)); #110332=DIRECTION('ref_axis',(0.,0.,-1.)); #110333=DIRECTION('center_axis',(-1.,0.,0.)); #110334=DIRECTION('ref_axis',(0.,1.,0.)); #110335=DIRECTION('center_axis',(-1.,0.,0.)); #110336=DIRECTION('ref_axis',(0.,0.,-1.)); #110337=DIRECTION('center_axis',(1.,0.,0.)); #110338=DIRECTION('ref_axis',(0.,0.,-1.)); #110339=DIRECTION('',(1.,0.,0.)); #110340=DIRECTION('center_axis',(1.,0.,0.)); #110341=DIRECTION('ref_axis',(0.,0.,-1.)); #110342=DIRECTION('',(-1.,0.,0.)); #110343=DIRECTION('center_axis',(0.,8.61422298990498E-16,-1.)); #110344=DIRECTION('ref_axis',(0.,-1.,-8.61422298990498E-16)); #110345=DIRECTION('',(-1.,0.,0.)); #110346=DIRECTION('center_axis',(1.,0.,0.)); #110347=DIRECTION('ref_axis',(0.,0.,-1.)); #110348=DIRECTION('',(1.,0.,0.)); #110349=DIRECTION('center_axis',(1.,0.,0.)); #110350=DIRECTION('ref_axis',(0.,0.,-1.)); #110351=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #110352=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186547)); #110353=DIRECTION('',(-1.,0.,0.)); #110354=DIRECTION('center_axis',(1.,0.,0.)); #110355=DIRECTION('ref_axis',(0.,0.,-1.)); #110356=DIRECTION('',(1.,0.,0.)); #110357=DIRECTION('center_axis',(1.,0.,0.)); #110358=DIRECTION('ref_axis',(0.,0.,-1.)); #110359=DIRECTION('center_axis',(0.,-1.,-4.30711149495249E-16)); #110360=DIRECTION('ref_axis',(0.,-4.30711149495249E-16,1.)); #110361=DIRECTION('',(-1.,0.,0.)); #110362=DIRECTION('center_axis',(1.,0.,0.)); #110363=DIRECTION('ref_axis',(0.,0.,-1.)); #110364=DIRECTION('',(1.,0.,0.)); #110365=DIRECTION('center_axis',(1.,0.,0.)); #110366=DIRECTION('ref_axis',(0.,0.,-1.)); #110367=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547)); #110368=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548)); #110369=DIRECTION('',(-1.,0.,0.)); #110370=DIRECTION('center_axis',(1.,0.,0.)); #110371=DIRECTION('ref_axis',(0.,1.,0.)); #110372=DIRECTION('center_axis',(-1.,0.,0.)); #110373=DIRECTION('ref_axis',(0.,1.,0.)); #110374=DIRECTION('center_axis',(1.,0.,0.)); #110375=DIRECTION('ref_axis',(0.,0.,-1.)); #110376=DIRECTION('center_axis',(1.,0.,0.)); #110377=DIRECTION('ref_axis',(0.,1.,0.)); #110378=DIRECTION('center_axis',(-1.,0.,0.)); #110379=DIRECTION('ref_axis',(0.,1.,0.)); #110380=DIRECTION('center_axis',(1.,0.,0.)); #110381=DIRECTION('ref_axis',(0.,1.,0.)); #110382=DIRECTION('center_axis',(-1.,0.,0.)); #110383=DIRECTION('ref_axis',(0.,1.,0.)); #110384=DIRECTION('center_axis',(1.,0.,0.)); #110385=DIRECTION('ref_axis',(0.,1.,0.)); #110386=DIRECTION('center_axis',(-1.,0.,0.)); #110387=DIRECTION('ref_axis',(0.,1.,0.)); #110388=DIRECTION('center_axis',(1.,0.,0.)); #110389=DIRECTION('ref_axis',(0.,1.,0.)); #110390=DIRECTION('center_axis',(-1.,0.,0.)); #110391=DIRECTION('ref_axis',(0.,1.,0.)); #110392=DIRECTION('center_axis',(1.,0.,0.)); #110393=DIRECTION('ref_axis',(0.,1.,0.)); #110394=DIRECTION('center_axis',(-1.,0.,0.)); #110395=DIRECTION('ref_axis',(0.,1.,0.)); #110396=DIRECTION('center_axis',(1.,0.,0.)); #110397=DIRECTION('ref_axis',(0.,0.,-1.)); #110398=DIRECTION('center_axis',(1.,0.,0.)); #110399=DIRECTION('ref_axis',(0.,1.,0.)); #110400=DIRECTION('center_axis',(-1.,0.,0.)); #110401=DIRECTION('ref_axis',(0.,1.,0.)); #110402=DIRECTION('center_axis',(1.,0.,0.)); #110403=DIRECTION('ref_axis',(0.,0.,-1.)); #110404=DIRECTION('center_axis',(1.,0.,0.)); #110405=DIRECTION('ref_axis',(0.,1.,0.)); #110406=DIRECTION('center_axis',(1.,0.,0.)); #110407=DIRECTION('ref_axis',(0.,0.,-1.)); #110408=DIRECTION('center_axis',(-1.,0.,0.)); #110409=DIRECTION('ref_axis',(0.,1.,0.)); #110410=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547)); #110411=DIRECTION('ref_axis',(0.,-0.707106781186547,-0.707106781186548)); #110412=DIRECTION('',(1.,0.,0.)); #110413=DIRECTION('center_axis',(1.,0.,0.)); #110414=DIRECTION('ref_axis',(0.,0.,-1.)); #110415=DIRECTION('',(1.,0.,0.)); #110416=DIRECTION('',(-1.,0.,0.)); #110417=DIRECTION('center_axis',(1.,0.,0.)); #110418=DIRECTION('ref_axis',(0.,0.,-1.)); #110419=DIRECTION('',(1.,0.,0.)); #110420=DIRECTION('',(-1.,0.,0.)); #110421=DIRECTION('center_axis',(1.,0.,0.)); #110422=DIRECTION('ref_axis',(0.,0.,-1.)); #110423=DIRECTION('',(1.,0.,0.)); #110424=DIRECTION('center_axis',(1.,0.,0.)); #110425=DIRECTION('ref_axis',(0.,0.,-1.)); #110426=DIRECTION('',(-1.,0.,0.)); #110427=DIRECTION('center_axis',(0.,1.,4.30711149495249E-16)); #110428=DIRECTION('ref_axis',(0.,4.30711149495249E-16,-1.)); #110429=DIRECTION('center_axis',(0.,0.707106781186547,0.707106781186548)); #110430=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #110431=DIRECTION('center_axis',(0.,-6.46066724242874E-16,1.)); #110432=DIRECTION('ref_axis',(0.,1.,6.46066724242874E-16)); #110433=DIRECTION('center_axis',(-1.,0.,0.)); #110434=DIRECTION('ref_axis',(0.,0.,1.)); #110435=DIRECTION('center_axis',(-1.,0.,0.)); #110436=DIRECTION('ref_axis',(0.,0.,1.)); #110437=DIRECTION('center_axis',(1.,0.,0.)); #110438=DIRECTION('ref_axis',(0.,0.,-1.)); #110439=DIRECTION('center_axis',(1.,0.,0.)); #110440=DIRECTION('ref_axis',(0.,0.,-1.)); #110441=DIRECTION('center_axis',(1.,-1.14674218008816E-14,-4.74996163537806E-15)); #110442=DIRECTION('ref_axis',(-1.13379133265448E-14,-0.707106781186547, -0.707106781186549)); #110443=DIRECTION('center_axis',(0.999999988150692,0.000116425475884,0.000100716060246375)); #110444=DIRECTION('ref_axis',(0.00015358686267931,-0.710023948733824,-0.704177512162596)); #110445=DIRECTION('center_axis',(1.,0.,0.)); #110446=DIRECTION('ref_axis',(0.,1.,3.85773376339629E-17)); #110447=DIRECTION('',(0.,0.,1.)); #110448=DIRECTION('',(1.,0.,0.)); #110449=DIRECTION('',(1.,-3.88578058618805E-16,7.14448011332993E-16)); #110450=DIRECTION('',(7.14448011332993E-16,-2.25971614752126E-16,-1.)); #110451=DIRECTION('',(1.,1.11022302462516E-16,1.2914533067723E-15)); #110452=DIRECTION('',(1.2914533067723E-15,-1.38826385851318E-16,-1.)); #110453=DIRECTION('axis',(0.,0.,1.)); #110454=DIRECTION('refdir',(1.,0.,0.)); #110455=DIRECTION('axis',(0.,0.,1.)); #110456=DIRECTION('refdir',(1.,0.,0.)); #110457=DIRECTION('center_axis',(-0.707106781186548,7.11848196834484E-17, -0.707106781186548)); #110458=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #110459=DIRECTION('',(-1.00670537431416E-16,-1.,0.)); #110460=DIRECTION('',(1.00670537431416E-16,1.,0.)); #110461=DIRECTION('center_axis',(0.,0.,1.)); #110462=DIRECTION('ref_axis',(1.,0.,0.)); #110463=DIRECTION('center_axis',(0.,0.,1.)); #110464=DIRECTION('ref_axis',(1.,0.,0.)); #110465=DIRECTION('center_axis',(0.,0.,-1.)); #110466=DIRECTION('ref_axis',(1.,0.,0.)); #110467=DIRECTION('center_axis',(0.707106781186548,-7.11848196834484E-17, -0.707106781186548)); #110468=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #110469=DIRECTION('',(1.00670537431416E-16,1.,0.)); #110470=DIRECTION('',(-1.00670537431416E-16,-1.,0.)); #110471=DIRECTION('center_axis',(0.,0.,1.)); #110472=DIRECTION('ref_axis',(1.,0.,0.)); #110473=DIRECTION('center_axis',(0.,0.,-1.)); #110474=DIRECTION('ref_axis',(1.,0.,0.)); #110475=DIRECTION('center_axis',(0.,0.,1.)); #110476=DIRECTION('ref_axis',(1.,0.,0.)); #110477=DIRECTION('center_axis',(0.,0.,1.)); #110478=DIRECTION('ref_axis',(1.,0.,0.)); #110479=DIRECTION('',(0.,0.,-1.)); #110480=DIRECTION('center_axis',(0.,0.,1.)); #110481=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #110482=DIRECTION('',(0.,0.,1.)); #110483=DIRECTION('center_axis',(-1.,1.00670537431416E-16,0.)); #110484=DIRECTION('ref_axis',(1.00670537431416E-16,1.,0.)); #110485=DIRECTION('',(0.,0.,1.)); #110486=DIRECTION('',(-1.00670537431416E-16,-1.,0.)); #110487=DIRECTION('center_axis',(0.,0.,1.)); #110488=DIRECTION('ref_axis',(1.,0.,0.)); #110489=DIRECTION('center_axis',(0.,0.,-1.)); #110490=DIRECTION('ref_axis',(1.,0.,0.)); #110491=DIRECTION('center_axis',(0.,0.,-1.)); #110492=DIRECTION('ref_axis',(-1.,0.,0.)); #110493=DIRECTION('center_axis',(0.,0.,-1.)); #110494=DIRECTION('ref_axis',(1.,1.22464679914735E-16,0.)); #110495=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #110496=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #110497=DIRECTION('center_axis',(0.,0.,1.)); #110498=DIRECTION('ref_axis',(1.,1.22464679914735E-16,0.)); #110499=DIRECTION('center_axis',(0.,0.,-1.)); #110500=DIRECTION('ref_axis',(-1.,0.,0.)); #110501=DIRECTION('center_axis',(0.,0.,1.)); #110502=DIRECTION('ref_axis',(1.,0.,0.)); #110503=DIRECTION('center_axis',(0.,0.,1.)); #110504=DIRECTION('ref_axis',(1.,0.,0.)); #110505=DIRECTION('',(0.,0.,1.)); #110506=DIRECTION('center_axis',(0.,0.,-1.)); #110507=DIRECTION('ref_axis',(-1.,0.,0.)); #110508=DIRECTION('center_axis',(0.605261909038116,0.332356852787199,0.723323540245251)); #110509=DIRECTION('ref_axis',(0.791492097491647,-0.348117727756678,-0.502348790413395)); #110510=DIRECTION('center_axis',(-0.705756976354495,-0.42635826899652,-0.565796533026893)); #110511=DIRECTION('ref_axis',(2.35594570973607E-11,0.798635510033179,-0.601815023170778)); #110512=DIRECTION('center_axis',(1.,0.,0.)); #110513=DIRECTION('ref_axis',(0.,-0.570652978569865,-0.821191316350423)); #110514=DIRECTION('center_axis',(1.,0.,0.)); #110515=DIRECTION('ref_axis',(0.,0.158194494749596,-0.987407971322351)); #110516=DIRECTION('center_axis',(1.,0.,0.)); #110517=DIRECTION('ref_axis',(0.,-0.570652978569865,-0.821191316350423)); #110518=DIRECTION('',(-1.,0.,0.)); #110519=DIRECTION('',(1.,0.,0.)); #110520=DIRECTION('center_axis',(0.705756976354539,-0.426358268996499,-0.565796533026854)); #110521=DIRECTION('ref_axis',(-0.708145887622914,-0.400998563467487,-0.581145036922597)); #110522=DIRECTION('center_axis',(-0.60526190903811,0.332356852787201,0.723323540245256)); #110523=DIRECTION('ref_axis',(-0.270222341220782,0.768924629291407,-0.57942626862633)); #110524=DIRECTION('center_axis',(1.,0.,0.)); #110525=DIRECTION('ref_axis',(0.,0.698917650887702,0.71520215133738)); #110526=DIRECTION('center_axis',(-1.31525373084394E-15,0.601815023152043, 0.798635510047297)); #110527=DIRECTION('ref_axis',(-1.,-2.18547839493141E-15,0.)); #110528=DIRECTION('center_axis',(0.,-0.798635510047296,0.601815023152044)); #110529=DIRECTION('ref_axis',(1.,0.,0.)); #110530=DIRECTION('',(1.,0.,0.)); #110531=DIRECTION('center_axis',(-1.31525373084393E-15,-0.601815023152041, -0.798635510047298)); #110532=DIRECTION('ref_axis',(1.,-2.18547839493141E-15,0.)); #110533=DIRECTION('center_axis',(1.,0.,0.)); #110534=DIRECTION('ref_axis',(0.,0.698917650887702,0.71520215133738)); #110535=DIRECTION('center_axis',(0.,0.601815023152044,0.798635510047296)); #110536=DIRECTION('ref_axis',(0.70710678118655,-0.564720584850818,0.425547483890748)); #110537=DIRECTION('',(0.,0.601815023152044,0.798635510047296)); #110538=DIRECTION('center_axis',(0.41618089627173,-0.84134894519972,0.344855642247271)); #110539=DIRECTION('ref_axis',(0.638039851376136,-1.6730711304558E-10,-0.770003342886197)); #110540=DIRECTION('center_axis',(0.461010422223207,-0.837060757519127,0.294616155061269)); #110541=DIRECTION('ref_axis',(0.570164932084141,0.0250017729871616,-0.821149719338066)); #110542=DIRECTION('center_axis',(1.,0.,0.)); #110543=DIRECTION('ref_axis',(0.,0.,-1.)); #110544=DIRECTION('center_axis',(-0.773720176431441,0.633527496311644,-2.22770929047999E-13)); #110545=DIRECTION('ref_axis',(0.633435878918529,0.773608285114991,-0.0170061312518048)); #110546=DIRECTION('center_axis',(1.,0.,0.)); #110547=DIRECTION('ref_axis',(0.,0.69977171295008,-0.714366537398632)); #110548=DIRECTION('center_axis',(1.,0.,0.)); #110549=DIRECTION('ref_axis',(0.,0.,-1.)); #110550=DIRECTION('',(-1.,0.,0.)); #110551=DIRECTION('',(1.,0.,0.)); #110552=DIRECTION('center_axis',(0.773720176431441,0.633527496311644,-2.22770929047999E-13)); #110553=DIRECTION('ref_axis',(-5.02660030834225E-13,2.6225740739177E-13, -1.)); #110554=DIRECTION('center_axis',(-0.461010422034413,-0.83706075744297,0.294616155573066)); #110555=DIRECTION('ref_axis',(-0.876504244927308,0.481378732613951,-0.00385024822524123)); #110556=DIRECTION('center_axis',(0.416180896978579,0.841348945611221,-0.344855640390281)); #110557=DIRECTION('ref_axis',(-0.638039850640343,1.45438342034537E-9,-0.770003343495889)); #110558=DIRECTION('center_axis',(0.,-1.,1.40803531794616E-15)); #110559=DIRECTION('ref_axis',(-0.707106781186551,0.,0.707106781186544)); #110560=DIRECTION('',(0.,-1.,1.40803531794616E-15)); #110561=DIRECTION('center_axis',(0.,-1.,0.)); #110562=DIRECTION('ref_axis',(0.,0.,1.)); #110563=DIRECTION('center_axis',(1.,0.,0.)); #110564=DIRECTION('ref_axis',(0.,-0.457100601752142,0.889414998680498)); #110565=DIRECTION('center_axis',(-1.,0.,0.)); #110566=DIRECTION('ref_axis',(0.,0.,-1.)); #110567=DIRECTION('center_axis',(-1.,0.,0.)); #110568=DIRECTION('ref_axis',(0.,-0.454472960168946,0.890760533743652)); #110569=DIRECTION('center_axis',(1.,0.,0.)); #110570=DIRECTION('ref_axis',(0.,0.601815023152048,0.798635510047293)); #110571=DIRECTION('center_axis',(1.,0.,0.)); #110572=DIRECTION('ref_axis',(0.,-0.662824311434882,0.748774954289254)); #110573=DIRECTION('center_axis',(-1.,0.,0.)); #110574=DIRECTION('ref_axis',(0.,0.,1.)); #110575=DIRECTION('center_axis',(1.,0.,0.)); #110576=DIRECTION('ref_axis',(0.,0.,1.)); #110577=DIRECTION('center_axis',(0.,0.,1.)); #110578=DIRECTION('ref_axis',(1.,0.,0.)); #110579=DIRECTION('center_axis',(0.,0.,-1.)); #110580=DIRECTION('ref_axis',(-0.608,-0.793937025210438,0.)); #110581=DIRECTION('center_axis',(0.,0.,-1.)); #110582=DIRECTION('ref_axis',(-0.608,-0.793937025210438,0.)); #110583=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #110584=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #110585=DIRECTION('center_axis',(0.,0.,1.)); #110586=DIRECTION('ref_axis',(-0.608,-0.793937025210438,0.)); #110587=DIRECTION('center_axis',(0.,0.,-1.)); #110588=DIRECTION('ref_axis',(-1.,0.,0.)); #110589=DIRECTION('',(1.00670537431416E-16,1.,0.)); #110590=DIRECTION('center_axis',(0.,0.,-1.)); #110591=DIRECTION('ref_axis',(-1.60812264967664E-16,-1.,0.)); #110592=DIRECTION('',(-1.00670537431416E-16,-1.,0.)); #110593=DIRECTION('center_axis',(0.,0.,-1.)); #110594=DIRECTION('ref_axis',(6.12323399573677E-17,1.,0.)); #110595=DIRECTION('center_axis',(0.,0.,-1.)); #110596=DIRECTION('ref_axis',(-1.,0.,0.)); #110597=DIRECTION('',(0.,0.,1.)); #110598=DIRECTION('center_axis',(0.,0.,-1.)); #110599=DIRECTION('ref_axis',(-1.,0.,0.)); #110600=DIRECTION('center_axis',(-1.00670537431416E-16,-1.,0.)); #110601=DIRECTION('ref_axis',(0.707106781186555,0.,0.70710678118654)); #110602=DIRECTION('center_axis',(0.,0.,-1.)); #110603=DIRECTION('ref_axis',(-1.,0.,0.)); #110604=DIRECTION('center_axis',(1.00670537431416E-16,1.,0.)); #110605=DIRECTION('ref_axis',(-0.707106781186555,0.,0.70710678118654)); #110606=DIRECTION('',(1.00670537431416E-16,1.,0.)); #110607=DIRECTION('center_axis',(0.,0.,-1.)); #110608=DIRECTION('ref_axis',(-1.,0.,0.)); #110609=DIRECTION('center_axis',(0.,0.,1.)); #110610=DIRECTION('ref_axis',(-1.60812264967664E-16,-1.,0.)); #110611=DIRECTION('center_axis',(0.,0.,1.)); #110612=DIRECTION('ref_axis',(1.,0.,0.)); #110613=DIRECTION('',(0.,0.,-1.)); #110614=DIRECTION('center_axis',(1.,0.,0.)); #110615=DIRECTION('ref_axis',(0.,-0.075498828258275,0.997145890495281)); #110616=DIRECTION('',(-1.,0.,0.)); #110617=DIRECTION('center_axis',(0.,-0.601815023152044,-0.798635510047296)); #110618=DIRECTION('ref_axis',(-0.70710678118655,-0.564720584850818,0.425547483890748)); #110619=DIRECTION('',(0.,-0.601815023152044,-0.798635510047296)); #110620=DIRECTION('center_axis',(1.,0.,0.)); #110621=DIRECTION('ref_axis',(0.,0.,-1.)); #110622=DIRECTION('center_axis',(1.,0.,0.)); #110623=DIRECTION('ref_axis',(0.,0.914585464603193,0.4043926655326)); #110624=DIRECTION('',(0.,-6.18531621207004E-16,1.)); #110625=DIRECTION('',(0.,1.,3.06161699786838E-16)); #110626=DIRECTION('',(0.,1.,3.06161699786838E-16)); #110627=DIRECTION('',(0.,4.21568945104498E-16,-1.)); #110628=DIRECTION('center_axis',(-1.,0.,0.)); #110629=DIRECTION('ref_axis',(0.,-1.,0.)); #110630=DIRECTION('center_axis',(1.,0.,0.)); #110631=DIRECTION('ref_axis',(0.,0.,-1.)); #110632=DIRECTION('center_axis',(-1.,0.,0.)); #110633=DIRECTION('ref_axis',(0.,0.914585464603193,0.4043926655326)); #110634=DIRECTION('center_axis',(0.,-1.45698559662094E-15,1.)); #110635=DIRECTION('ref_axis',(0.,1.,1.45698559662094E-15)); #110636=DIRECTION('center_axis',(1.,0.,0.)); #110637=DIRECTION('ref_axis',(0.,1.,0.)); #110638=DIRECTION('center_axis',(1.,0.,0.)); #110639=DIRECTION('ref_axis',(0.,0.914585464603193,0.4043926655326)); #110640=DIRECTION('',(1.,0.,0.)); #110641=DIRECTION('center_axis',(-1.,0.,0.)); #110642=DIRECTION('ref_axis',(0.,0.,1.)); #110643=DIRECTION('center_axis',(-1.,0.,0.)); #110644=DIRECTION('ref_axis',(0.,0.914585464603193,0.4043926655326)); #110645=DIRECTION('center_axis',(0.,7.2849279831047E-16,-1.)); #110646=DIRECTION('ref_axis',(0.,1.,7.2849279831047E-16)); #110647=DIRECTION('center_axis',(1.,0.,0.)); #110648=DIRECTION('ref_axis',(0.,0.,-1.)); #110649=DIRECTION('',(0.,1.,-1.40803531794616E-15)); #110650=DIRECTION('',(0.,-4.21568945104498E-16,1.)); #110651=DIRECTION('',(0.,-1.,-3.06161699786838E-16)); #110652=DIRECTION('',(0.,-1.,-3.06161699786838E-16)); #110653=DIRECTION('',(0.,6.18531621207004E-16,-1.)); #110654=DIRECTION('center_axis',(1.,0.,0.)); #110655=DIRECTION('ref_axis',(0.,-1.,0.)); #110656=DIRECTION('center_axis',(0.,-1.40803531794616E-15,-1.)); #110657=DIRECTION('ref_axis',(-1.,0.,0.)); #110658=DIRECTION('center_axis',(0.0438079718600294,-0.999039969971928, -3.35381402213397E-15)); #110659=DIRECTION('ref_axis',(-8.65923780623683E-8,-3.79708513100819E-9, 0.999999999999996)); #110660=DIRECTION('center_axis',(0.,1.40803531794616E-15,1.)); #110661=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,1.0016775976769E-15)); #110662=DIRECTION('center_axis',(-1.,0.,0.)); #110663=DIRECTION('ref_axis',(0.,-1.,0.)); #110664=DIRECTION('center_axis',(0.,-1.40803531794616E-15,-1.)); #110665=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,9.95631321469888E-16)); #110666=DIRECTION('center_axis',(1.,0.,0.)); #110667=DIRECTION('ref_axis',(0.,-0.707106781186544,0.707106781186551)); #110668=DIRECTION('center_axis',(-1.,0.,0.)); #110669=DIRECTION('ref_axis',(0.,-1.,0.)); #110670=DIRECTION('',(-1.,0.,0.)); #110671=DIRECTION('',(1.,0.,0.)); #110672=DIRECTION('center_axis',(0.,-1.40803531794616E-15,-1.)); #110673=DIRECTION('ref_axis',(-1.,0.,0.)); #110674=DIRECTION('center_axis',(0.,-1.40803531794616E-15,-1.)); #110675=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,9.95631321469888E-16)); #110676=DIRECTION('center_axis',(0.,1.40803531794616E-15,1.)); #110677=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,1.0016775976769E-15)); #110678=DIRECTION('center_axis',(-0.0438079718600248,-0.999039969971928, 1.01294612302629E-15)); #110679=DIRECTION('ref_axis',(0.999039969971928,-0.0438079718600248,0.)); #110680=DIRECTION('center_axis',(0.,1.40803531794616E-15,1.)); #110681=DIRECTION('ref_axis',(1.,0.,0.)); #110682=DIRECTION('center_axis',(0.,1.40803531794616E-15,1.)); #110683=DIRECTION('ref_axis',(-1.,-2.34672552991024E-16,0.)); #110684=DIRECTION('center_axis',(0.,1.40803531794616E-15,1.)); #110685=DIRECTION('ref_axis',(1.,0.,0.)); #110686=DIRECTION('center_axis',(0.,1.40803531794616E-15,1.)); #110687=DIRECTION('ref_axis',(1.,-2.34672552991024E-16,0.)); #110688=DIRECTION('center_axis',(0.,-1.,0.)); #110689=DIRECTION('ref_axis',(1.,0.,0.)); #110690=DIRECTION('center_axis',(0.,1.,-1.40803531794616E-15)); #110691=DIRECTION('ref_axis',(0.707106781186551,0.,0.707106781186544)); #110692=DIRECTION('center_axis',(0.,-4.21568945104498E-16,1.)); #110693=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #110694=DIRECTION('',(0.,4.21568945104498E-16,-1.)); #110695=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110696=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-2.27653999472022E-16)); #110697=DIRECTION('center_axis',(0.,-1.,-4.21568945104498E-16)); #110698=DIRECTION('ref_axis',(0.,4.21568945104498E-16,-1.)); #110699=DIRECTION('',(0.,-4.21568945104498E-16,1.)); #110700=DIRECTION('',(-1.,0.,0.)); #110701=DIRECTION('',(-1.,0.,0.)); #110702=DIRECTION('center_axis',(1.72177612154593E-16,0.987508594639774, -0.157565146884005)); #110703=DIRECTION('ref_axis',(1.,0.,1.0927391974657E-15)); #110704=DIRECTION('center_axis',(-9.06911737042545E-16,-0.987508594639772, -0.157565146884017)); #110705=DIRECTION('ref_axis',(0.500000000000168,0.13645541995257,-0.855207529413416)); #110706=DIRECTION('center_axis',(0.,-1.,-3.06161699786838E-16)); #110707=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #110708=DIRECTION('center_axis',(0.,-1.,0.)); #110709=DIRECTION('ref_axis',(0.,0.,-1.)); #110710=DIRECTION('center_axis',(0.,1.,0.)); #110711=DIRECTION('ref_axis',(1.,0.,2.73184799366427E-16)); #110712=DIRECTION('',(0.,1.,3.06161699786838E-16)); #110713=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110714=DIRECTION('ref_axis',(1.,0.,0.)); #110715=DIRECTION('center_axis',(1.,0.,0.)); #110716=DIRECTION('ref_axis',(0.,-1.,-5.46369598732853E-16)); #110717=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110718=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,-2.16489014058873E-16)); #110719=DIRECTION('center_axis',(1.,0.,0.)); #110720=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.707106781186549)); #110721=DIRECTION('center_axis',(1.,0.,0.)); #110722=DIRECTION('ref_axis',(0.,-1.,-4.0977719904964E-16)); #110723=DIRECTION('',(1.,0.,0.)); #110724=DIRECTION('center_axis',(1.,-6.71669411443872E-30,1.63910879619856E-14)); #110725=DIRECTION('ref_axis',(0.,-1.,-4.0977719904964E-16)); #110726=DIRECTION('center_axis',(1.,0.,0.)); #110727=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.707106781186549)); #110728=DIRECTION('center_axis',(1.,0.,0.)); #110729=DIRECTION('ref_axis',(0.,-1.,-2.73184799366427E-16)); #110730=DIRECTION('',(1.,0.,0.)); #110731=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110732=DIRECTION('ref_axis',(1.,0.,0.)); #110733=DIRECTION('center_axis',(0.,-1.,0.)); #110734=DIRECTION('ref_axis',(-1.,0.,0.)); #110735=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110736=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,-2.16489014058873E-16)); #110737=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110738=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-2.27653999472022E-16)); #110739=DIRECTION('center_axis',(0.,1.,3.06161699786838E-16)); #110740=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546)); #110741=DIRECTION('center_axis',(0.,-1.,0.)); #110742=DIRECTION('ref_axis',(-1.,0.,0.)); #110743=DIRECTION('',(0.,-1.,-3.06161699786838E-16)); #110744=DIRECTION('center_axis',(-1.50655410635269E-16,0.987508594639774, -0.157565146884006)); #110745=DIRECTION('ref_axis',(-0.500000000000172,-0.13645541995256,-0.855207529413416)); #110746=DIRECTION('center_axis',(-4.30444030386478E-17,-0.987508594639775, -0.157565146884002)); #110747=DIRECTION('ref_axis',(-1.,0.,2.73184799366427E-16)); #110748=DIRECTION('center_axis',(0.,1.,3.06161699786838E-16)); #110749=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546)); #110750=DIRECTION('center_axis',(0.,1.,0.)); #110751=DIRECTION('ref_axis',(0.,0.,-1.)); #110752=DIRECTION('center_axis',(0.,-1.,0.)); #110753=DIRECTION('ref_axis',(-1.,0.,-1.36592399683213E-16)); #110754=DIRECTION('',(0.,-1.,-3.06161699786838E-16)); #110755=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110756=DIRECTION('ref_axis',(1.,0.,0.)); #110757=DIRECTION('center_axis',(-1.,0.,0.)); #110758=DIRECTION('ref_axis',(0.,1.,5.46369598732853E-16)); #110759=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110760=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,2.16489014058873E-16)); #110761=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110762=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,2.27653999472022E-16)); #110763=DIRECTION('center_axis',(-1.,0.,0.)); #110764=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #110765=DIRECTION('center_axis',(-1.,0.,0.)); #110766=DIRECTION('ref_axis',(0.,1.,6.82961998416063E-16)); #110767=DIRECTION('',(-1.,0.,0.)); #110768=DIRECTION('',(1.,0.,0.)); #110769=DIRECTION('center_axis',(-1.,-2.08963816893649E-30,1.52983487645199E-14)); #110770=DIRECTION('ref_axis',(0.,1.,1.36592399683213E-16)); #110771=DIRECTION('center_axis',(-1.,0.,0.)); #110772=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #110773=DIRECTION('center_axis',(-1.,0.,0.)); #110774=DIRECTION('ref_axis',(0.,1.,0.)); #110775=DIRECTION('',(-1.,0.,0.)); #110776=DIRECTION('',(1.,0.,0.)); #110777=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110778=DIRECTION('ref_axis',(1.,0.,0.)); #110779=DIRECTION('center_axis',(0.,1.,0.)); #110780=DIRECTION('ref_axis',(1.,0.,0.)); #110781=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110782=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,2.16489014058873E-16)); #110783=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110784=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,2.27653999472022E-16)); #110785=DIRECTION('center_axis',(0.,-1.,-3.06161699786838E-16)); #110786=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #110787=DIRECTION('center_axis',(0.,1.,0.)); #110788=DIRECTION('ref_axis',(1.,0.,0.)); #110789=DIRECTION('',(0.,1.,3.06161699786838E-16)); #110790=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110791=DIRECTION('ref_axis',(-1.,0.,0.)); #110792=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110793=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-3.06161699786838E-16)); #110794=DIRECTION('center_axis',(0.263282232530968,-0.964718853362734,3.25916747313877E-15)); #110795=DIRECTION('ref_axis',(-4.37095678986286E-15,2.18547839493143E-15, 1.)); #110796=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110797=DIRECTION('ref_axis',(-1.,0.,0.)); #110798=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110799=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-3.06161699786838E-16)); #110800=DIRECTION('center_axis',(0.,6.18531621207004E-16,-1.)); #110801=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #110802=DIRECTION('',(0.,-6.18531621207004E-16,1.)); #110803=DIRECTION('center_axis',(0.,1.,6.18531621207004E-16)); #110804=DIRECTION('ref_axis',(0.,-6.18531621207004E-16,1.)); #110805=DIRECTION('',(0.,6.18531621207004E-16,-1.)); #110806=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110807=DIRECTION('ref_axis',(-1.,0.,0.)); #110808=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110809=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,3.04718130493294E-16)); #110810=DIRECTION('center_axis',(-0.263282232530968,-0.964718853362734, 0.)); #110811=DIRECTION('ref_axis',(0.964718853362734,-0.263282232530968,-1.36592399683213E-16)); #110812=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110813=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,3.06161699786838E-16)); #110814=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110815=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,3.06161699786838E-16)); #110816=DIRECTION('center_axis',(-0.263282232530968,0.964718853362734,0.)); #110817=DIRECTION('ref_axis',(0.,0.,1.)); #110818=DIRECTION('center_axis',(0.,-6.18531621207004E-16,1.)); #110819=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #110820=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110821=DIRECTION('ref_axis',(-1.,0.,0.)); #110822=DIRECTION('center_axis',(0.,-3.06161699786838E-16,1.)); #110823=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-3.04718130493294E-16)); #110824=DIRECTION('center_axis',(0.263282232530968,0.964718853362734,3.25916747313877E-15)); #110825=DIRECTION('ref_axis',(-0.964718853362734,0.263282232530968,1.36592399683213E-16)); #110826=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110827=DIRECTION('ref_axis',(-1.,0.,0.)); #110828=DIRECTION('center_axis',(0.,3.06161699786838E-16,-1.)); #110829=DIRECTION('ref_axis',(-1.,0.,0.)); #110830=DIRECTION('center_axis',(-1.,0.,0.)); #110831=DIRECTION('ref_axis',(0.,-1.,0.)); #110832=DIRECTION('',(0.707106781186547,-0.707106781186548,8.65956056235494E-17)); #110833=DIRECTION('center_axis',(1.,0.,0.)); #110834=DIRECTION('ref_axis',(0.,-1.,0.)); #110835=DIRECTION('center_axis',(1.,0.,0.)); #110836=DIRECTION('ref_axis',(0.,-1.,0.)); #110837=DIRECTION('',(1.,0.,0.)); #110838=DIRECTION('center_axis',(-1.,0.,0.)); #110839=DIRECTION('ref_axis',(0.,-1.,0.)); #110840=DIRECTION('center_axis',(1.,0.,0.)); #110841=DIRECTION('ref_axis',(0.,-1.,0.)); #110842=DIRECTION('',(-0.707106781186547,-0.707106781186548,-8.65956056235494E-17)); #110843=DIRECTION('center_axis',(0.,0.,1.)); #110844=DIRECTION('ref_axis',(1.,0.,0.)); #110845=DIRECTION('center_axis',(0.,0.,1.)); #110846=DIRECTION('ref_axis',(1.,0.,0.)); #110847=DIRECTION('center_axis',(-1.22464679914735E-16,1.,0.)); #110848=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #110849=DIRECTION('center_axis',(0.,0.,1.)); #110850=DIRECTION('ref_axis',(1.,0.,0.)); #110851=DIRECTION('center_axis',(0.,0.,1.)); #110852=DIRECTION('ref_axis',(1.,0.,0.)); #110853=DIRECTION('center_axis',(0.,0.,1.)); #110854=DIRECTION('ref_axis',(0.,-1.,0.)); #110855=DIRECTION('center_axis',(0.,0.,1.)); #110856=DIRECTION('ref_axis',(1.,0.,0.)); #110857=DIRECTION('',(0.,0.,1.)); #110858=DIRECTION('center_axis',(0.,0.,1.)); #110859=DIRECTION('ref_axis',(1.,0.,0.)); #110860=DIRECTION('center_axis',(0.,0.,1.)); #110861=DIRECTION('ref_axis',(1.,0.,0.)); #110862=DIRECTION('center_axis',(-1.22464679914735E-16,1.,0.)); #110863=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #110864=DIRECTION('center_axis',(0.,0.,1.)); #110865=DIRECTION('ref_axis',(1.,0.,0.)); #110866=DIRECTION('center_axis',(0.,0.,-1.)); #110867=DIRECTION('ref_axis',(-1.,0.,0.)); #110868=DIRECTION('center_axis',(0.,0.,1.)); #110869=DIRECTION('ref_axis',(1.,0.,0.)); #110870=DIRECTION('center_axis',(0.,0.,1.)); #110871=DIRECTION('ref_axis',(-1.,0.,0.)); #110872=DIRECTION('center_axis',(0.,0.,-1.)); #110873=DIRECTION('ref_axis',(-1.,0.,0.)); #110874=DIRECTION('',(0.,0.,1.)); #110875=DIRECTION('center_axis',(0.,0.,-1.)); #110876=DIRECTION('ref_axis',(1.,0.,0.)); #110877=DIRECTION('',(0.707106781186547,-8.65956056235492E-17,0.707106781186548)); #110878=DIRECTION('center_axis',(1.,-1.00670537431416E-16,0.)); #110879=DIRECTION('ref_axis',(-1.00670537431416E-16,-1.,0.)); #110880=DIRECTION('center_axis',(0.,4.21568945104498E-16,-1.)); #110881=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #110882=DIRECTION('center_axis',(0.,1.40803531794616E-15,1.)); #110883=DIRECTION('ref_axis',(1.,0.,0.)); #110884=DIRECTION('axis',(0.,0.,1.)); #110885=DIRECTION('refdir',(1.,0.,0.)); #110886=DIRECTION('center_axis',(0.889560785463589,-0.456816822112981,0.)); #110887=DIRECTION('ref_axis',(-0.428774822632649,-0.834954514686801,-0.344968273730307)); #110888=DIRECTION('center_axis',(-0.891272063461847,0.453468972359148,0.)); #110889=DIRECTION('ref_axis',(0.,0.,-1.)); #110890=DIRECTION('center_axis',(0.549578530233662,0.835442062088214,0.)); #110891=DIRECTION('ref_axis',(0.,0.,-1.)); #110892=DIRECTION('center_axis',(0.845595429369395,0.533824287410743,0.)); #110893=DIRECTION('ref_axis',(0.,0.,-1.)); #110894=DIRECTION('center_axis',(0.888382421793009,-0.459104206743075,0.)); #110895=DIRECTION('ref_axis',(-0.439259879307728,-0.849982966055212,-0.290825920176563)); #110896=DIRECTION('center_axis',(-0.169956060308059,0.765715335528868,-0.620318436369964)); #110897=DIRECTION('ref_axis',(-2.2204460345954E-15,-0.629476283057582,-0.777019696705309)); #110898=DIRECTION('center_axis',(-0.00942206883475084,-0.776985205829953, 0.629448341438961)); #110899=DIRECTION('ref_axis',(-0.999845666940834,-0.00201390396661766,-0.0174524064372856)); #110900=DIRECTION('center_axis',(0.,0.629476283057579,0.777019696705312)); #110901=DIRECTION('ref_axis',(4.02801226270101E-16,-0.777019696705311,0.629476283057579)); #110902=DIRECTION('center_axis',(-0.513688033502174,0.857977041788806,2.98337363385484E-12)); #110903=DIRECTION('ref_axis',(0.,3.47721849017635E-12,-1.)); #110904=DIRECTION('center_axis',(0.,0.,-1.)); #110905=DIRECTION('ref_axis',(-1.,0.,0.)); #110906=DIRECTION('center_axis',(-0.999952290494685,-0.00976814897676568, 0.)); #110907=DIRECTION('ref_axis',(0.,0.,-1.)); #110908=DIRECTION('center_axis',(0.,0.,-1.)); #110909=DIRECTION('ref_axis',(-0.309500607604059,-0.950899244869149,0.)); #110910=DIRECTION('center_axis',(0.,0.,1.)); #110911=DIRECTION('ref_axis',(-0.309500607604059,-0.950899244869149,0.)); #110912=DIRECTION('center_axis',(-0.119563616146878,-0.772524082040423, 0.623627360177121)); #110913=DIRECTION('ref_axis',(-0.99011724733612,0.139151893183889,-0.0174524253582089)); #110914=DIRECTION('center_axis',(-0.119480449076343,-0.771821278395108, 0.624512879374843)); #110915=DIRECTION('ref_axis',(-0.99011724611823,0.13915190422269,-0.0174524064372828)); #110916=DIRECTION('center_axis',(0.852367672343268,-0.522942971215906,2.82029861349366E-12)); #110917=DIRECTION('ref_axis',(-0.522774644384498,-0.852093309062173,-0.0253705309391955)); #110918=DIRECTION('center_axis',(-0.642258080772461,0.595576629890694,-0.48248630613782)); #110919=DIRECTION('ref_axis',(-1.11022301729768E-15,-0.629476283057704, -0.777019696705211)); #110920=DIRECTION('center_axis',(-0.107669903125135,0.772502647127115,-0.625816947791145)); #110921=DIRECTION('ref_axis',(1.11022301729768E-15,-0.629476283057581,-0.77701969670531)); #110922=DIRECTION('center_axis',(0.00623984138330687,0.772037036082455, 0.63554691352923)); #110923=DIRECTION('ref_axis',(0.0305810114325624,0.635114692182452,-0.771812237214305)); #110924=DIRECTION('center_axis',(0.,-0.629476283057579,-0.777019696705312)); #110925=DIRECTION('ref_axis',(0.0312111179025573,0.776641143886291,-0.629169611267317)); #110926=DIRECTION('center_axis',(1.,0.,0.)); #110927=DIRECTION('ref_axis',(0.,-0.999847695156391,-0.0174524064372836)); #110928=DIRECTION('center_axis',(0.,0.,-1.)); #110929=DIRECTION('ref_axis',(0.754709580222772,0.656059028990507,0.)); #110930=DIRECTION('center_axis',(0.0100283431416527,0.00871750569530897, 0.999911714817006)); #110931=DIRECTION('ref_axis',(0.754642950549375,0.656001108699077,-0.0132876849644502)); #110932=DIRECTION('center_axis',(0.107669903125137,0.772502647127116,-0.625816947791143)); #110933=DIRECTION('ref_axis',(0.987514412546732,-0.155906267976365,-0.0225504017295769)); #110934=DIRECTION('center_axis',(0.,-0.629476283057579,-0.777019696705312)); #110935=DIRECTION('ref_axis',(-0.0312111179025573,0.776641143886291,-0.629169611267317)); #110936=DIRECTION('center_axis',(-0.642258080772614,-0.59557662989067,0.482486306137645)); #110937=DIRECTION('ref_axis',(0.,-0.62947628305758,-0.777019696705311)); #110938=DIRECTION('center_axis',(-0.00623984138330652,0.772037036082455, 0.635546913529229)); #110939=DIRECTION('ref_axis',(-0.0305810114325624,0.635114692182452,-0.771812237214305)); #110940=DIRECTION('center_axis',(-0.8523676723437,-0.522942971215201,9.19138946745422E-13)); #110941=DIRECTION('ref_axis',(-5.90638645202367E-13,-7.94919680385141E-13, -1.)); #110942=DIRECTION('center_axis',(-0.119539954052198,0.772324055751463,-0.623879597593005)); #110943=DIRECTION('ref_axis',(0.99011724448965,0.139151916376317,-0.0174524019269804)); #110944=DIRECTION('center_axis',(-0.119480449076344,0.771821278395111,-0.624512879374838)); #110945=DIRECTION('ref_axis',(0.99011724611823,0.13915190422269,-0.0174524064372847)); #110946=DIRECTION('center_axis',(0.,0.,-1.)); #110947=DIRECTION('ref_axis',(-1.,0.,0.)); #110948=DIRECTION('center_axis',(-0.513688033501756,-0.857977041789056, 0.)); #110949=DIRECTION('ref_axis',(0.,0.,-1.)); #110950=DIRECTION('center_axis',(0.,0.,-1.)); #110951=DIRECTION('ref_axis',(0.30950060760406,-0.950899244869149,0.)); #110952=DIRECTION('center_axis',(0.999952290494724,-0.00976814897282047, 0.)); #110953=DIRECTION('ref_axis',(-0.00976666123642639,-0.99979999291828,-0.0174524063928277)); #110954=DIRECTION('center_axis',(0.,0.,1.)); #110955=DIRECTION('ref_axis',(0.30950060760406,-0.950899244869149,0.)); #110956=DIRECTION('center_axis',(-0.169956060308895,-0.765715335531091, 0.62031843636699)); #110957=DIRECTION('ref_axis',(0.,-0.629476283054657,-0.777019696707679)); #110958=DIRECTION('center_axis',(0.0094220699735027,-0.776985205821614, 0.629448341432209)); #110959=DIRECTION('ref_axis',(2.63854492067953E-10,-0.629476283055646,-0.777019696706878)); #110960=DIRECTION('center_axis',(0.,0.629476283057579,0.777019696705312)); #110961=DIRECTION('ref_axis',(3.14762026637917E-16,-0.777019696705312,0.629476283057579)); #110962=DIRECTION('center_axis',(-0.84559542928752,0.533824287540437,0.)); #110963=DIRECTION('ref_axis',(0.501055134413559,0.793688000658935,-0.344968273740982)); #110964=DIRECTION('center_axis',(0.549578530232603,-0.835442062088911,0.)); #110965=DIRECTION('ref_axis',(0.,0.,-1.)); #110966=DIRECTION('center_axis',(-0.891272063462007,-0.453468972358832, 0.)); #110967=DIRECTION('ref_axis',(0.,0.,-1.)); #110968=DIRECTION('center_axis',(-0.889560785463591,-0.456816822112977, 0.)); #110969=DIRECTION('ref_axis',(0.,0.,-1.)); #110970=DIRECTION('center_axis',(-0.964467240406145,0.264202464377901,0.)); #110971=DIRECTION('ref_axis',(0.249275534927362,0.909976702292514,-0.331367030604311)); #110972=DIRECTION('center_axis',(0.735483831650262,-0.677542274239069,0.)); #110973=DIRECTION('ref_axis',(0.,0.,-1.)); #110974=DIRECTION('center_axis',(-0.498570186351241,0.866849334822199,0.)); #110975=DIRECTION('ref_axis',(0.866717309816815,0.498494251896552,-0.0174523835048678)); #110976=DIRECTION('center_axis',(0.735483831650428,0.677542274238888,0.)); #110977=DIRECTION('ref_axis',(0.,0.,-1.)); #110978=DIRECTION('center_axis',(0.498570186351248,0.866849334822195,0.)); #110979=DIRECTION('ref_axis',(0.,0.,-1.)); #110980=DIRECTION('center_axis',(-0.47977057540667,0.877393979335368,0.)); #110981=DIRECTION('ref_axis',(0.,0.,-1.)); #110982=DIRECTION('center_axis',(0.,0.,1.)); #110983=DIRECTION('ref_axis',(1.,0.,0.)); #110984=DIRECTION('center_axis',(0.,0.,-1.)); #110985=DIRECTION('ref_axis',(0.784510468813731,-0.620115573358435,0.)); #110986=DIRECTION('center_axis',(0.,0.,1.)); #110987=DIRECTION('ref_axis',(0.784510468813731,-0.620115573358435,0.)); #110988=DIRECTION('center_axis',(-0.888382421793,-0.459104206743093,0.)); #110989=DIRECTION('ref_axis',(0.,0.,-1.)); #110990=DIRECTION('center_axis',(-0.909344943322089,0.416042995439831,0.)); #110991=DIRECTION('ref_axis',(0.,0.,-1.)); #110992=DIRECTION('center_axis',(0.119563616146872,-0.772524082040421,0.623627360177124)); #110993=DIRECTION('ref_axis',(-0.0967488400924287,-0.63420961150316,-0.767083978856149)); #110994=DIRECTION('center_axis',(-1.,-1.11039213539821E-15,2.46556581389085E-30)); #110995=DIRECTION('ref_axis',(0.,-2.22044603459537E-15,-1.)); #110996=DIRECTION('center_axis',(-0.0100283431416527,0.00871750569530895, 0.999911714817006)); #110997=DIRECTION('ref_axis',(-0.754642950549376,0.656001108699077,-0.0132876849644502)); #110998=DIRECTION('center_axis',(0.,0.,-1.)); #110999=DIRECTION('ref_axis',(-0.754709580222773,0.656059028990506,0.)); #111000=DIRECTION('center_axis',(-1.,0.,0.)); #111001=DIRECTION('ref_axis',(0.,-0.70090926429985,-0.713250449154182)); #111002=DIRECTION('',(1.,0.,0.)); #111003=DIRECTION('',(-1.,0.,0.)); #111004=DIRECTION('center_axis',(0.119539954052197,0.77232405575146,-0.623879597593008)); #111005=DIRECTION('ref_axis',(0.238334122354738,-0.632333841955492,-0.73712329934632)); #111006=DIRECTION('center_axis',(-0.909344943340964,-0.416042995398575, 0.)); #111007=DIRECTION('ref_axis',(0.,0.,-1.)); #111008=DIRECTION('center_axis',(0.964467240406145,0.264202464377901,0.)); #111009=DIRECTION('ref_axis',(0.,0.,-1.)); #111010=DIRECTION('center_axis',(0.,0.,1.)); #111011=DIRECTION('ref_axis',(-0.784510468809599,-0.620115573363662,0.)); #111012=DIRECTION('center_axis',(-0.479770575428241,-0.877393979323573, 0.)); #111013=DIRECTION('ref_axis',(0.,0.,-1.)); #111014=DIRECTION('center_axis',(0.,0.,1.)); #111015=DIRECTION('ref_axis',(0.264202464377904,-0.964467240406144,0.)); #111016=DIRECTION('center_axis',(0.,0.,-1.)); #111017=DIRECTION('ref_axis',(0.264202464377904,-0.964467240406144,0.)); #111018=DIRECTION('center_axis',(0.,-0.999847695156391,-0.0174524064372835)); #111019=DIRECTION('ref_axis',(1.,0.,0.)); #111020=DIRECTION('',(0.0200726520233114,0.0174488901966646,-0.999646249866249)); #111021=DIRECTION('',(0.0200726520233114,-0.0174488901966646,0.999646249866249)); #111022=DIRECTION('center_axis',(0.0200726520233114,0.0174488901966646, -0.999646249866249)); #111023=DIRECTION('ref_axis',(0.754442601607826,0.655826948021253,0.0265965247418563)); #111024=DIRECTION('',(-0.0200726520233114,-0.0174488901966646,0.999646249866249)); #111025=DIRECTION('center_axis',(0.0200726520233114,0.0174488901966646, -0.999646249866249)); #111026=DIRECTION('ref_axis',(0.754442601607826,0.655826948021253,0.0265965247418573)); #111027=DIRECTION('center_axis',(0.99011724611823,-0.139151904222691,0.0174524064372835)); #111028=DIRECTION('ref_axis',(0.139173100960068,0.99026806874157,0.)); #111029=DIRECTION('',(-0.0172825608175417,0.00242890552309219,0.999847695156391)); #111030=DIRECTION('center_axis',(0.,0.,1.)); #111031=DIRECTION('ref_axis',(0.42204919513018,-0.906572929713858,0.)); #111032=DIRECTION('center_axis',(0.905455144946245,0.422340938035452,-0.0421795276136281)); #111033=DIRECTION('ref_axis',(-0.421984914983285,0.906434854270343,0.0174524064372829)); #111034=DIRECTION('center_axis',(0.964176921252419,-0.248044829507169,-0.0940033354672358)); #111035=DIRECTION('ref_axis',(0.25070571790083,0.967906016368003,0.0174524064372826)); #111036=DIRECTION('center_axis',(0.893902340156809,-0.445753122123193,-0.0473577911183302)); #111037=DIRECTION('ref_axis',(0.446926181439508,0.8944005824313,0.0174524064372831)); #111038=DIRECTION('center_axis',(0.894339825113548,-0.44628238439714,-0.0314374075373788)); #111039=DIRECTION('ref_axis',(0.446926181439524,0.894400582431304,0.0174524064366459)); #111040=DIRECTION('center_axis',(0.894833806381371,-0.445900547763141,-0.0210988260705984)); #111041=DIRECTION('ref_axis',(0.446375715178227,0.893298974682285,0.0525895686491652)); #111042=DIRECTION('center_axis',(-0.550582450509185,-0.834775299016956, 0.00302743165939707)); #111043=DIRECTION('ref_axis',(0.833617096491092,-0.549619892878189,0.0547769092805587)); #111044=DIRECTION('center_axis',(0.846215080531383,0.532831967219341,-0.00318311018211278)); #111045=DIRECTION('ref_axis',(0.0193685825681628,-0.0247891507097778,0.999505055523176)); #111046=DIRECTION('center_axis',(-0.964637756831195,-0.263572659195602, 0.00185779983852375)); #111047=DIRECTION('ref_axis',(0.263564247079354,-0.964483955890942,0.0174524064372843)); #111048=DIRECTION('center_axis',(0.,0.,1.)); #111049=DIRECTION('ref_axis',(-0.768522347592968,-0.639822945235785,0.)); #111050=DIRECTION('center_axis',(-0.487149293758008,-0.872975850911426, -0.0244689459631152)); #111051=DIRECTION('ref_axis',(0.0113014141425142,-0.0343176108523994,0.999347076657436)); #111052=DIRECTION('center_axis',(-0.703612643364551,0.703109955278978,0.102789293630921)); #111053=DIRECTION('ref_axis',(-0.705469545014022,-0.708525324513234,0.0174523803856588)); #111054=DIRECTION('',(0.0118468441353194,0.0128155676614649,0.999847695156391)); #111055=DIRECTION('center_axis',(0.113941719119166,-0.771959305870547,0.625376778209771)); #111056=DIRECTION('ref_axis',(-0.652851119521134,-0.532663554427327,-0.53856750136343)); #111057=DIRECTION('center_axis',(-0.113941719119165,0.771959305870549,-0.625376778209769)); #111058=DIRECTION('ref_axis',(0.,-0.629476283057577,-0.777019696705313)); #111059=DIRECTION('',(-0.113941719119166,0.771959305870547,-0.625376778209771)); #111060=DIRECTION('center_axis',(-0.113941719119166,0.771959305870547,-0.625376778209771)); #111061=DIRECTION('ref_axis',(0.,-0.62947628305758,-0.777019696705311)); #111062=DIRECTION('',(0.113941719119166,-0.771959305870547,0.625376778209771)); #111063=DIRECTION('center_axis',(-1.,0.,0.)); #111064=DIRECTION('ref_axis',(0.,-0.333901410070913,-0.942608003547952)); #111065=DIRECTION('',(1.,0.,0.)); #111066=DIRECTION('center_axis',(-1.,0.,0.)); #111067=DIRECTION('ref_axis',(0.,-0.333901410070914,-0.942608003547952)); #111068=DIRECTION('',(-1.,0.,0.)); #111069=DIRECTION('center_axis',(-1.,0.,0.)); #111070=DIRECTION('ref_axis',(0.,-0.333901410070913,-0.942608003547952)); #111071=DIRECTION('',(-1.,0.,0.)); #111072=DIRECTION('center_axis',(-1.,0.,0.)); #111073=DIRECTION('ref_axis',(0.,-0.333901410070914,-0.942608003547952)); #111074=DIRECTION('',(1.,0.,0.)); #111075=DIRECTION('center_axis',(0.187560542696321,0.763229962485545,-0.618304995279656)); #111076=DIRECTION('ref_axis',(2.44773580232319E-13,-0.629476283057616,-0.777019696705281)); #111077=DIRECTION('center_axis',(0.,0.629476283057579,0.777019696705312)); #111078=DIRECTION('ref_axis',(0.,-0.777019696705312,0.629476283057579)); #111079=DIRECTION('',(-1.,0.,0.)); #111080=DIRECTION('center_axis',(0.,0.629476283057579,0.777019696705312)); #111081=DIRECTION('ref_axis',(0.,-0.777019696705312,0.629476283057579)); #111082=DIRECTION('center_axis',(1.,0.,0.)); #111083=DIRECTION('ref_axis',(0.,-0.62947628305758,-0.777019696705311)); #111084=DIRECTION('',(-1.,0.,0.)); #111085=DIRECTION('center_axis',(0.,0.,1.)); #111086=DIRECTION('ref_axis',(1.,0.,0.)); #111087=DIRECTION('',(-1.,0.,0.)); #111088=DIRECTION('center_axis',(0.,0.,-1.)); #111089=DIRECTION('ref_axis',(-0.258771585181758,-0.965938541886863,0.)); #111090=DIRECTION('center_axis',(0.,0.,1.)); #111091=DIRECTION('ref_axis',(0.0138485028627407,0.999904104886294,0.)); #111092=DIRECTION('',(-0.894536824722484,0.446994260830512,0.)); #111093=DIRECTION('center_axis',(0.,0.,1.)); #111094=DIRECTION('ref_axis',(0.965809725857377,0.259251949730949,0.)); #111095=DIRECTION('center_axis',(0.,0.,1.)); #111096=DIRECTION('ref_axis',(-7.70013532174545E-17,-1.,0.)); #111097=DIRECTION('center_axis',(0.,0.,1.)); #111098=DIRECTION('ref_axis',(-0.965809725857376,0.259251949730951,0.)); #111099=DIRECTION('',(-0.894536824722484,-0.446994260830512,0.)); #111100=DIRECTION('center_axis',(0.,0.,1.)); #111101=DIRECTION('ref_axis',(-0.013848502862741,0.999904104886294,0.)); #111102=DIRECTION('center_axis',(0.,0.,-1.)); #111103=DIRECTION('ref_axis',(0.258771585181758,-0.965938541886864,0.)); #111104=DIRECTION('center_axis',(0.,0.,-1.)); #111105=DIRECTION('ref_axis',(1.,0.,0.)); #111106=DIRECTION('center_axis',(0.,0.629476283057579,0.777019696705312)); #111107=DIRECTION('ref_axis',(0.,-0.777019696705312,0.629476283057579)); #111108=DIRECTION('',(-1.,0.,0.)); #111109=DIRECTION('center_axis',(0.156600019094587,-0.761682413979228,-0.628741866153632)); #111110=DIRECTION('ref_axis',(-0.984207834737687,-0.173542395888919,-0.0348994967025014)); #111111=DIRECTION('center_axis',(0.114339611889125,-0.773754312021427,0.623081630110608)); #111112=DIRECTION('ref_axis',(-0.0174524064372854,0.625533678104871,0.780001942988695)); #111113=DIRECTION('center_axis',(-0.984207834737688,-0.173542395888913, -0.034899496702501)); #111114=DIRECTION('ref_axis',(0.177016773332224,-0.964890402631268,-0.194040132109617)); #111115=DIRECTION('center_axis',(0.,0.,1.)); #111116=DIRECTION('ref_axis',(-0.813812551378246,0.581127465552292,0.)); #111117=DIRECTION('',(-0.0343692949288469,-0.00606023400388235,0.999390827019096)); #111118=DIRECTION('center_axis',(0.,0.,1.)); #111119=DIRECTION('ref_axis',(-0.813812551378246,0.581127465552292,0.)); #111120=DIRECTION('center_axis',(0.,0.,-1.)); #111121=DIRECTION('ref_axis',(-0.995831005535677,0.0912173690357363,0.)); #111122=DIRECTION('',(-0.0343692949288469,-0.00606023400388235,0.999390827019096)); #111123=DIRECTION('center_axis',(-0.157674204991509,0.949069791035244,-0.27277348995937)); #111124=DIRECTION('ref_axis',(-0.984207834737688,-0.173542395888913,-0.0348994967025011)); #111125=DIRECTION('center_axis',(-1.,0.,0.)); #111126=DIRECTION('ref_axis',(0.,-1.,0.)); #111127=DIRECTION('center_axis',(-1.,0.,0.)); #111128=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #111129=DIRECTION('',(-0.999847695156391,0.0174524064372835,2.13730336808379E-18)); #111130=DIRECTION('center_axis',(-1.,0.,0.)); #111131=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #111132=DIRECTION('center_axis',(-1.,0.,0.)); #111133=DIRECTION('ref_axis',(0.,0.,1.)); #111134=DIRECTION('center_axis',(0.,-1.,1.22464679914735E-16)); #111135=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,-1.)); #111136=DIRECTION('center_axis',(1.,0.,0.)); #111137=DIRECTION('ref_axis',(0.,-1.,0.)); #111138=DIRECTION('center_axis',(1.,0.,0.)); #111139=DIRECTION('ref_axis',(0.,0.,-1.)); #111140=DIRECTION('center_axis',(-1.,0.,0.)); #111141=DIRECTION('ref_axis',(0.,-1.,0.)); #111142=DIRECTION('center_axis',(-1.,0.,0.)); #111143=DIRECTION('ref_axis',(0.,0.,1.)); #111144=DIRECTION('center_axis',(-1.,0.,0.)); #111145=DIRECTION('ref_axis',(0.,-1.,0.)); #111146=DIRECTION('center_axis',(0.,-1.,1.22464679914735E-16)); #111147=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,-1.)); #111148=DIRECTION('center_axis',(1.,0.,0.)); #111149=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #111150=DIRECTION('center_axis',(1.,0.,0.)); #111151=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #111152=DIRECTION('center_axis',(1.,0.,0.)); #111153=DIRECTION('ref_axis',(0.,-1.,0.)); #111154=DIRECTION('',(0.999847695156391,0.0174524064372835,2.13730336808379E-18)); #111155=DIRECTION('center_axis',(1.,0.,0.)); #111156=DIRECTION('ref_axis',(0.,0.,-1.)); #111157=DIRECTION('center_axis',(1.,0.,0.)); #111158=DIRECTION('ref_axis',(0.,-1.,0.)); #111159=DIRECTION('center_axis',(-0.114339611889118,-0.773754312021399, 0.623081630110644)); #111160=DIRECTION('ref_axis',(0.984207834737688,-0.173542395888914,-0.0348994967025018)); #111161=DIRECTION('center_axis',(-0.156600019094578,-0.7616824139792,-0.628741866153667)); #111162=DIRECTION('ref_axis',(0.0174524064372852,0.634362679332696,-0.772838537198677)); #111163=DIRECTION('center_axis',(0.984207834737688,-0.173542395888912,-0.034899496702501)); #111164=DIRECTION('ref_axis',(-0.177016773332223,-0.964890402631263,-0.194040132109644)); #111165=DIRECTION('center_axis',(0.,0.,1.)); #111166=DIRECTION('ref_axis',(0.984807753012208,-0.17364817766693,0.)); #111167=DIRECTION('',(0.0343692949288469,-0.00606023400388233,0.999390827019096)); #111168=DIRECTION('center_axis',(0.,0.,-1.)); #111169=DIRECTION('ref_axis',(0.995831005535677,0.0912173690357416,0.)); #111170=DIRECTION('center_axis',(0.,0.,1.)); #111171=DIRECTION('ref_axis',(0.984807753012208,-0.17364817766693,0.)); #111172=DIRECTION('',(0.0343692949288469,-0.00606023400388233,0.999390827019096)); #111173=DIRECTION('center_axis',(0.157674204991512,0.949069791035244,-0.272773489959365)); #111174=DIRECTION('ref_axis',(0.560255829862306,0.14149116816868,0.816145608599079)); #111175=DIRECTION('center_axis',(-0.13898666372606,0.990240121266437,0.0103542040027752)); #111176=DIRECTION('ref_axis',(-0.990117246118193,-0.139151904222953,0.017452406437282)); #111177=DIRECTION('center_axis',(0.893902340156815,0.44575312212318,0.0473577911183304)); #111178=DIRECTION('ref_axis',(-0.446926181439496,0.894400582431306,0.0174524064372879)); #111179=DIRECTION('center_axis',(-0.894339825113546,-0.446282384397145, -0.0314374075373888)); #111180=DIRECTION('ref_axis',(-0.0286454540926122,-0.0130031512906697,0.999505055523152)); #111181=DIRECTION('center_axis',(0.905455144950595,-0.422340938026066,0.0421795276142213)); #111182=DIRECTION('ref_axis',(0.42198491497389,0.906434854274716,0.0174524064372831)); #111183=DIRECTION('center_axis',(0.964176921262559,0.24804482949236,0.0940033354023135)); #111184=DIRECTION('ref_axis',(-0.250705717883318,0.967906016372539,0.0174524064372826)); #111185=DIRECTION('center_axis',(-0.139837419029045,0.98903264292821,-0.0475386943629652)); #111186=DIRECTION('ref_axis',(-0.990117246116012,-0.139151904238507,0.0174524064369612)); #111187=DIRECTION('center_axis',(0.980489207033712,0.00343067058432369, -0.196542986111805)); #111188=DIRECTION('ref_axis',(-5.68989303591227E-13,0.999847695156391,0.0174524064372754)); #111189=DIRECTION('center_axis',(-0.980489207033712,0.00343067058432369, -0.196542986111805)); #111190=DIRECTION('ref_axis',(-0.19644228652889,0.0193455351223126,0.980324527049147)); #111191=DIRECTION('center_axis',(0.,0.,1.)); #111192=DIRECTION('ref_axis',(-0.754709580217704,0.656059028996337,0.)); #111193=DIRECTION('center_axis',(-0.0200726520233114,0.0174488901966646, -0.999646249866249)); #111194=DIRECTION('ref_axis',(-0.754442601607827,0.655826948021252,0.0265965247418584)); #111195=DIRECTION('center_axis',(-0.138986663726035,-0.990240121266441, -0.0103542040027765)); #111196=DIRECTION('ref_axis',(0.990117246118196,-0.139151904222928,0.0174524064372837)); #111197=DIRECTION('center_axis',(0.139837419029046,0.98903264292821,-0.0475386943629639)); #111198=DIRECTION('ref_axis',(0.196442286529989,0.0193455351228144,0.980324527048916)); #111199=DIRECTION('center_axis',(0.,0.,1.)); #111200=DIRECTION('ref_axis',(1.,0.,0.)); #111201=DIRECTION('center_axis',(-0.730720990352291,-0.675499196937683, -0.0987302850958746)); #111202=DIRECTION('ref_axis',(0.677439846438394,-0.73537110962433,0.0174523805655799)); #111203=DIRECTION('center_axis',(0.73072099035229,-0.675499196937684,-0.0987302850958753)); #111204=DIRECTION('ref_axis',(0.0455842958840868,-0.0960202192971246,0.994335048891913)); #111205=DIRECTION('center_axis',(0.487149293756958,-0.872975850912011,-0.0244689459631561)); #111206=DIRECTION('ref_axis',(0.873312386327551,0.486847912059615,0.0174524041344177)); #111207=DIRECTION('center_axis',(0.70361264336455,0.703109955278978,0.102789293630924)); #111208=DIRECTION('ref_axis',(-0.0474643635778423,-0.097827258982327,0.994070903703632)); #111209=DIRECTION('center_axis',(-0.846215080449733,0.532831967349011,-0.0031831101825102)); #111210=DIRECTION('ref_axis',(-0.532800522829716,-0.846060882195644,0.0174524064446517)); #111211=DIRECTION('center_axis',(0.964637756831195,-0.263572659195601,0.00185779983852604)); #111212=DIRECTION('ref_axis',(-0.0113014141421268,-0.0343176108517511,0.999347076657462)); #111213=DIRECTION('center_axis',(0.,0.,1.)); #111214=DIRECTION('ref_axis',(1.,0.,0.)); #111215=DIRECTION('center_axis',(-0.550582450509187,0.834775299016955,-0.00302743165939835)); #111216=DIRECTION('ref_axis',(-0.833617096491091,-0.549619892878191,0.0547769092805431)); #111217=DIRECTION('center_axis',(0.894833806381369,0.445900547763146,0.0210988260705768)); #111218=DIRECTION('ref_axis',(-0.446375715178231,0.893298974682283,0.0525895686491543)); #111219=DIRECTION('center_axis',(0.,0.,1.)); #111220=DIRECTION('ref_axis',(-1.,-5.05477501311395E-16,0.)); #111221=DIRECTION('center_axis',(1.,-7.04669226145634E-17,0.)); #111222=DIRECTION('ref_axis',(0.,-1.,0.)); #111223=DIRECTION('center_axis',(0.,0.,1.)); #111224=DIRECTION('ref_axis',(-1.,-5.05477501311395E-16,0.)); #111225=DIRECTION('center_axis',(0.0200726520233114,-0.0174488901966646, 0.999646249866249)); #111226=DIRECTION('ref_axis',(-0.754442601607826,0.655826948021252,0.0265965247418563)); #111227=DIRECTION('',(-0.0200726520233114,0.0174488901966646,-0.999646249866249)); #111228=DIRECTION('center_axis',(-0.990117246118229,-0.139151904222692, 0.0174524064372835)); #111229=DIRECTION('ref_axis',(0.139173100960068,-0.99026806874157,0.)); #111230=DIRECTION('',(0.0172825608175417,0.00242890552309219,0.999847695156391)); #111231=DIRECTION('center_axis',(0.,0.,1.)); #111232=DIRECTION('ref_axis',(0.99026806874157,0.139173100960066,0.)); #111233=DIRECTION('center_axis',(0.,0.,1.)); #111234=DIRECTION('ref_axis',(1.,0.,0.)); #111235=DIRECTION('center_axis',(0.,-1.,0.)); #111236=DIRECTION('ref_axis',(-1.,0.,0.)); #111237=DIRECTION('center_axis',(0.,0.,1.)); #111238=DIRECTION('ref_axis',(1.,0.,0.)); #111239=DIRECTION('center_axis',(0.,0.,-1.)); #111240=DIRECTION('ref_axis',(1.,0.,0.)); #111241=DIRECTION('',(0.0174524064372835,2.13730336808379E-18,0.999847695156391)); #111242=DIRECTION('center_axis',(0.,0.,-1.)); #111243=DIRECTION('ref_axis',(1.,0.,0.)); #111244=DIRECTION('center_axis',(0.,0.,1.)); #111245=DIRECTION('ref_axis',(1.,0.,0.)); #111246=DIRECTION('center_axis',(0.,0.,1.)); #111247=DIRECTION('ref_axis',(1.,0.,0.)); #111248=DIRECTION('center_axis',(0.,-1.,0.)); #111249=DIRECTION('ref_axis',(-1.,0.,0.)); #111250=DIRECTION('center_axis',(0.,0.,1.)); #111251=DIRECTION('ref_axis',(1.,0.,0.)); #111252=DIRECTION('center_axis',(0.173214801983811,-0.931830254155295,0.318887142755218)); #111253=DIRECTION('ref_axis',(-0.444776148140004,-0.362894443937735,-0.818829530857836)); #111254=DIRECTION('center_axis',(0.173648177666931,-0.984807753012208,0.)); #111255=DIRECTION('ref_axis',(-0.684104948922848,-0.120626160131284,-0.71933980033865)); #111256=DIRECTION('center_axis',(0.521519304044384,0.853239483093148,3.24616138902247E-15)); #111257=DIRECTION('ref_axis',(1.45698559662094E-15,2.91397119324188E-15, -1.)); #111258=DIRECTION('center_axis',(0.,0.,-1.)); #111259=DIRECTION('ref_axis',(-1.,0.,0.)); #111260=DIRECTION('center_axis',(0.906572929713858,-0.42204919513018,0.)); #111261=DIRECTION('ref_axis',(0.,0.,-1.)); #111262=DIRECTION('center_axis',(0.,0.,1.)); #111263=DIRECTION('ref_axis',(0.258771585181758,-0.965938541886864,0.)); #111264=DIRECTION('center_axis',(0.,0.,1.)); #111265=DIRECTION('ref_axis',(1.,0.,0.)); #111266=DIRECTION('center_axis',(0.894536824722484,0.446994260830512,0.)); #111267=DIRECTION('ref_axis',(0.,0.,-1.)); #111268=DIRECTION('center_axis',(0.,0.,-1.)); #111269=DIRECTION('ref_axis',(-0.013848502862741,0.999904104886294,0.)); #111270=DIRECTION('center_axis',(-0.894536824722484,-0.446994260830512, 0.)); #111271=DIRECTION('ref_axis',(-0.310508304833048,0.621397492977286,-0.719339800338652)); #111272=DIRECTION('center_axis',(0.894536824722485,0.44699426083051,0.)); #111273=DIRECTION('ref_axis',(0.,0.,-1.)); #111274=DIRECTION('',(0.894536824722484,0.446994260830512,1.93731123934732E-18)); #111275=DIRECTION('center_axis',(0.,0.,1.)); #111276=DIRECTION('ref_axis',(-0.965809725857377,0.25925194973095,0.)); #111277=DIRECTION('center_axis',(-0.550446323062573,0.83487055609052,0.)); #111278=DIRECTION('ref_axis',(0.,0.,-1.)); #111279=DIRECTION('center_axis',(0.,0.,-1.)); #111280=DIRECTION('ref_axis',(-0.965809725857376,0.259251949730952,0.)); #111281=DIRECTION('center_axis',(0.,0.,1.)); #111282=DIRECTION('ref_axis',(1.,0.,0.)); #111283=DIRECTION('center_axis',(-0.550446323062581,-0.834870556090514, 0.)); #111284=DIRECTION('ref_axis',(0.,0.,-1.)); #111285=DIRECTION('center_axis',(-1.92332097299852E-17,1.92332097299852E-17, -1.)); #111286=DIRECTION('ref_axis',(3.83081226105418E-17,-1.,-1.92332097299852E-17)); #111287=DIRECTION('center_axis',(0.,0.,1.)); #111288=DIRECTION('ref_axis',(1.,0.,0.)); #111289=DIRECTION('center_axis',(0.894536824722487,-0.446994260830506,0.)); #111290=DIRECTION('ref_axis',(0.,0.,-1.)); #111291=DIRECTION('center_axis',(0.,0.,-1.)); #111292=DIRECTION('ref_axis',(0.965809725857376,0.259251949730951,0.)); #111293=DIRECTION('center_axis',(-0.894536824722484,0.446994260830512,0.)); #111294=DIRECTION('ref_axis',(0.310508304833048,0.621397492977286,-0.719339800338652)); #111295=DIRECTION('center_axis',(0.894536824722483,-0.446994260830514,0.)); #111296=DIRECTION('ref_axis',(0.,0.,-1.)); #111297=DIRECTION('',(0.894536824722484,-0.446994260830512,0.)); #111298=DIRECTION('center_axis',(0.,0.,1.)); #111299=DIRECTION('ref_axis',(1.,0.,0.)); #111300=DIRECTION('center_axis',(0.906572929713858,0.422049195130181,0.)); #111301=DIRECTION('ref_axis',(0.,0.,-1.)); #111302=DIRECTION('center_axis',(0.,0.,-1.)); #111303=DIRECTION('ref_axis',(0.0138485028627408,0.999904104886294,0.)); #111304=DIRECTION('center_axis',(0.,0.,-1.)); #111305=DIRECTION('ref_axis',(-1.,0.,0.)); #111306=DIRECTION('center_axis',(0.521519304044385,-0.853239483093147,-1.72646916031758E-15)); #111307=DIRECTION('ref_axis',(1.45698559662094E-15,2.91397119324188E-15, -1.)); #111308=DIRECTION('center_axis',(0.,0.,1.)); #111309=DIRECTION('ref_axis',(-0.258771585181758,-0.965938541886863,0.)); #111310=DIRECTION('center_axis',(0.187560542696403,-0.763229962485533,0.618304995279646)); #111311=DIRECTION('ref_axis',(0.,-0.62947628305758,-0.777019696705311)); #111312=DIRECTION('center_axis',(-0.113941719119177,-0.771959305870546, 0.62537677820977)); #111313=DIRECTION('ref_axis',(0.,-0.62947628305758,-0.777019696705311)); #111314=DIRECTION('center_axis',(0.113941719119165,0.771959305870547,-0.625376778209771)); #111315=DIRECTION('ref_axis',(0.652851119521135,-0.532663554427326,-0.538567501363429)); #111316=DIRECTION('',(0.113941719119165,0.771959305870547,-0.625376778209771)); #111317=DIRECTION('center_axis',(-0.113941719119165,-0.771959305870546, 0.625376778209772)); #111318=DIRECTION('ref_axis',(-7.28492798310471E-16,-0.62947628305758,-0.777019696705311)); #111319=DIRECTION('',(-0.113941719119165,-0.771959305870547,0.625376778209771)); #111320=DIRECTION('center_axis',(-0.173214801983812,-0.931830254155295, 0.318887142755219)); #111321=DIRECTION('ref_axis',(0.444776148140003,-0.362894443937735,-0.818829530857836)); #111322=DIRECTION('center_axis',(-0.17364817766693,-0.984807753012208,0.)); #111323=DIRECTION('ref_axis',(0.684104948922847,-0.120626160131284,-0.719339800338652)); #111324=DIRECTION('center_axis',(0.,0.629476283057579,0.777019696705312)); #111325=DIRECTION('ref_axis',(0.,-0.777019696705312,0.629476283057579)); #111326=DIRECTION('center_axis',(1.,0.,0.)); #111327=DIRECTION('ref_axis',(0.,-0.62947628305758,-0.777019696705311)); #111328=DIRECTION('center_axis',(-0.173648177666931,0.984807753012208,0.)); #111329=DIRECTION('ref_axis',(-0.684104948922848,-0.120626160131284,-0.719339800338651)); #111330=DIRECTION('',(-0.173648177666931,0.984807753012208,9.68655619673658E-19)); #111331=DIRECTION('center_axis',(0.173648177666931,-0.984807753012208,0.)); #111332=DIRECTION('ref_axis',(-0.984207834737688,-0.173542395888913,-0.0348994967025014)); #111333=DIRECTION('',(0.173648177666931,-0.984807753012208,0.)); #111334=DIRECTION('center_axis',(-0.597810280471349,0.801515523436799,-0.0139905058021961)); #111335=DIRECTION('ref_axis',(0.801579110949177,0.597464758232701,-0.0225120314469206)); #111336=DIRECTION('center_axis',(-1.,-1.74819277742003E-14,3.05148184368041E-16)); #111337=DIRECTION('ref_axis',(-1.74838271594515E-14,0.999640922315692,-0.0267960152230375)); #111338=DIRECTION('center_axis',(-1.,0.,0.)); #111339=DIRECTION('ref_axis',(0.,0.691289348345662,-0.722578048977293)); #111340=DIRECTION('center_axis',(-1.,0.,0.)); #111341=DIRECTION('ref_axis',(0.,0.999640922315692,-0.0267960152230365)); #111342=DIRECTION('',(-1.,0.,0.)); #111343=DIRECTION('',(1.,0.,0.)); #111344=DIRECTION('center_axis',(-0.597810280470865,-0.801515523438031, 0.0139905057522949)); #111345=DIRECTION('ref_axis',(-0.801579110949177,0.597464758232701,-0.02251203144692)); #111346=DIRECTION('center_axis',(-0.999977212959347,-7.35435640136755E-17, -0.00675081936192411)); #111347=DIRECTION('ref_axis',(-0.00675081936192411,-1.35389966576581E-14, 0.999977212959347)); #111348=DIRECTION('center_axis',(0.999961923064171,0.,0.00872653549837393)); #111349=DIRECTION('ref_axis',(-0.00872653549837393,-1.35387896431011E-14, 0.999961923064171)); #111350=DIRECTION('center_axis',(1.,0.,0.)); #111351=DIRECTION('ref_axis',(0.,0.821793493312061,-0.569785445891662)); #111352=DIRECTION('center_axis',(0.999977212959347,-7.35435640136755E-17, -0.00675081936192411)); #111353=DIRECTION('ref_axis',(0.00675081936192411,-1.35389966576581E-14, 0.999977212959347)); #111354=DIRECTION('',(-1.,0.,0.)); #111355=DIRECTION('center_axis',(-0.999961923064171,0.,0.00872653549837393)); #111356=DIRECTION('ref_axis',(0.00872653549837393,-1.35387896431011E-14, 0.999961923064171)); #111357=DIRECTION('center_axis',(0.605396975288299,0.636487955333005,-0.477888674303742)); #111358=DIRECTION('ref_axis',(-0.79564749413335,0.499774680901489,-0.342301524111617)); #111359=DIRECTION('center_axis',(1.,-2.38399351191007E-14,-3.96387239625638E-15)); #111360=DIRECTION('ref_axis',(1.74838271594513E-14,0.826888690344446,-0.562365622865095)); #111361=DIRECTION('center_axis',(-1.,0.,0.)); #111362=DIRECTION('ref_axis',(0.,0.986457260818173,0.164018512915791)); #111363=DIRECTION('center_axis',(1.,0.,0.)); #111364=DIRECTION('ref_axis',(0.,0.82688869034446,-0.562365622865075)); #111365=DIRECTION('',(1.,0.,0.)); #111366=DIRECTION('center_axis',(0.605396975287419,-0.636487955308246,0.477888674337833)); #111367=DIRECTION('ref_axis',(0.795647494133348,0.499774680901487,-0.342301524111625)); #111368=DIRECTION('center_axis',(0.00523971599661992,0.799673680891047, -0.600411983113627)); #111369=DIRECTION('ref_axis',(0.709560015201187,-0.426050406903336,-0.561253628589778)); #111370=DIRECTION('',(-0.00523971599661992,-0.799673680891047,0.600411983113627)); #111371=DIRECTION('center_axis',(0.0052397159966184,0.799673680891048,-0.600411983113627)); #111372=DIRECTION('ref_axis',(0.999961923064171,0.,0.00872653549837141)); #111373=DIRECTION('',(0.00523971599661992,0.799673680891047,-0.600411983113627)); #111374=DIRECTION('center_axis',(-0.000152304841841693,-0.999847683559776, 0.0174524062347976)); #111375=DIRECTION('ref_axis',(0.704015188259771,0.0122872270634387,0.710078614486206)); #111376=DIRECTION('',(-0.000152304841841693,-0.999847683559776,0.0174524062347976)); #111377=DIRECTION('center_axis',(-0.00015230484184607,-0.999847683559776, 0.0174524062347958)); #111378=DIRECTION('ref_axis',(0.999961923064171,-4.37095678986281E-15,0.0087265354983752)); #111379=DIRECTION('',(0.000152304841841693,0.999847683559776,-0.0174524062347976)); #111380=DIRECTION('center_axis',(-1.,0.,0.)); #111381=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372832)); #111382=DIRECTION('center_axis',(0.,0.0174524064372173,0.999847695156392)); #111383=DIRECTION('ref_axis',(1.,-1.0642437581378E-11,1.85764438976751E-13)); #111384=DIRECTION('center_axis',(0.00145416264291869,-0.0174523879848852, -0.999846638022369)); #111385=DIRECTION('ref_axis',(0.999998942704945,2.53786268281501E-5,0.00145394116709054)); #111386=DIRECTION('center_axis',(-1.,0.,0.)); #111387=DIRECTION('ref_axis',(0.,-0.694658370459023,0.719339800338627)); #111388=DIRECTION('center_axis',(-1.,0.,0.)); #111389=DIRECTION('ref_axis',(0.,-0.999847695156391,0.0174524064372835)); #111390=DIRECTION('',(1.,0.,0.)); #111391=DIRECTION('',(-1.,0.,0.)); #111392=DIRECTION('center_axis',(-0.000152304841837342,0.999847683559776, -0.0174524062348002)); #111393=DIRECTION('ref_axis',(-0.999961923064171,4.37095678986281E-15,0.00872653549837376)); #111394=DIRECTION('center_axis',(0.,0.0174524064372173,0.999847695156392)); #111395=DIRECTION('ref_axis',(-1.,-1.0642437581378E-11,1.85764438976751E-13)); #111396=DIRECTION('center_axis',(-0.00145416264291869,-0.0174523879848852, -0.999846638022369)); #111397=DIRECTION('ref_axis',(-0.999998942704945,2.53786268281501E-5,0.00145394116709054)); #111398=DIRECTION('center_axis',(-0.000152304841841693,0.999847683559776, -0.0174524062347976)); #111399=DIRECTION('ref_axis',(-0.704015188259771,0.0122872270634387,0.710078614486206)); #111400=DIRECTION('',(0.000152304841841693,-0.999847683559776,0.0174524062347976)); #111401=DIRECTION('',(-0.000152304841841693,0.999847683559776,-0.0174524062347976)); #111402=DIRECTION('center_axis',(0.999961923064171,0.,-0.00872653549837393)); #111403=DIRECTION('ref_axis',(-0.00872653549837393,0.,-0.999961923064171)); #111404=DIRECTION('',(0.00872520650594019,0.0174517421055198,0.999809635615156)); #111405=DIRECTION('',(0.,-1.,0.)); #111406=DIRECTION('center_axis',(-0.999961923064171,0.,0.00872653549837393)); #111407=DIRECTION('ref_axis',(-0.00872653549837393,5.92529645400716E-13, -0.999961923064171)); #111408=DIRECTION('',(-0.00872520650594019,-0.0174517421055201,-0.999809635615156)); #111409=DIRECTION('',(1.31685818304309E-18,1.,1.50897001606643E-16)); #111410=DIRECTION('center_axis',(-0.999961923064171,0.,0.00872653549837393)); #111411=DIRECTION('ref_axis',(0.00872653549837393,0.,0.999961923064171)); #111412=DIRECTION('',(0.00523971599661992,-0.799673680891047,0.600411983113627)); #111413=DIRECTION('center_axis',(0.999961923064171,0.,-0.00872653549837393)); #111414=DIRECTION('ref_axis',(-0.00872653549837393,0.,-0.999961923064171)); #111415=DIRECTION('center_axis',(0.00872520650594019,0.0174517421055198, 0.999809635615156)); #111416=DIRECTION('ref_axis',(-0.707026019083291,-0.706945253905093,0.0185098979007749)); #111417=DIRECTION('',(-0.00872520650594019,-0.0174517421055198,-0.999809635615156)); #111418=DIRECTION('center_axis',(-0.00436073532998537,-0.00872213492225266, 0.999952453044534)); #111419=DIRECTION('ref_axis',(-0.447165085334478,-0.894398286451617,-0.00975149476850405)); #111420=DIRECTION('center_axis',(0.,-0.999847695156391,0.0174524064372832)); #111421=DIRECTION('ref_axis',(0.,-0.0174524064372832,-0.999847695156391)); #111422=DIRECTION('',(-0.00872520650594019,0.0174517421055198,0.999809635615156)); #111423=DIRECTION('',(1.,0.,0.)); #111424=DIRECTION('center_axis',(0.00872520650594019,-0.0174517421055198, -0.999809635615156)); #111425=DIRECTION('ref_axis',(0.707026019083291,-0.706945253905093,0.0185098979007749)); #111426=DIRECTION('',(0.00872520650594019,-0.0174517421055198,-0.999809635615156)); #111427=DIRECTION('center_axis',(0.00436073532998406,-0.00872213492225331, 0.999952453044534)); #111428=DIRECTION('ref_axis',(0.447165085334478,-0.894398286451617,-0.00975149476850405)); #111429=DIRECTION('center_axis',(0.999961923064171,0.,0.00872653549837393)); #111430=DIRECTION('ref_axis',(0.00872653549837393,0.,-0.999961923064171)); #111431=DIRECTION('center_axis',(0.999961923064171,0.,0.00872653549837393)); #111432=DIRECTION('ref_axis',(-0.00872653549837393,0.,0.999961923064171)); #111433=DIRECTION('',(1.31685818304309E-18,-1.,-1.50897001606643E-16)); #111434=DIRECTION('',(-0.00872520650594019,0.0174517421055201,0.999809635615156)); #111435=DIRECTION('center_axis',(0.999961923064171,0.,0.00872653549837393)); #111436=DIRECTION('ref_axis',(0.00872653549837393,5.92529645400716E-13, -0.999961923064171)); #111437=DIRECTION('',(0.,1.,0.)); #111438=DIRECTION('center_axis',(0.999961923064171,0.,0.00872653549837393)); #111439=DIRECTION('ref_axis',(0.00872653549837393,0.,-0.999961923064171)); #111440=DIRECTION('center_axis',(0.00523971599661992,-0.799673680891047, 0.600411983113627)); #111441=DIRECTION('ref_axis',(-0.709560015201187,-0.426050406903336,-0.561253628589778)); #111442=DIRECTION('center_axis',(0.00523971599661616,-0.799673680891048, 0.600411983113626)); #111443=DIRECTION('ref_axis',(-0.999961923064171,4.37095678986279E-15,0.00872653549837352)); #111444=DIRECTION('',(-0.00523971599661992,0.799673680891047,-0.600411983113627)); #111445=DIRECTION('center_axis',(1.,4.96914987843104E-14,-4.27752831365231E-14)); #111446=DIRECTION('ref_axis',(6.55643518479423E-14,-0.763171966730006,0.646195441950386)); #111447=DIRECTION('center_axis',(-1.,0.,0.)); #111448=DIRECTION('ref_axis',(0.,-0.99372442496359,-0.111856011151762)); #111449=DIRECTION('center_axis',(1.,0.,0.)); #111450=DIRECTION('ref_axis',(0.,-0.763171966730014,0.646195441950376)); #111451=DIRECTION('',(-1.,0.,0.)); #111452=DIRECTION('',(1.,0.,0.)); #111453=DIRECTION('center_axis',(-0.999974441710318,4.11807833685545E-19, -0.00714954027460449)); #111454=DIRECTION('ref_axis',(-0.00714954027460449,0.,0.999974441710318)); #111455=DIRECTION('center_axis',(0.,-1.,0.)); #111456=DIRECTION('ref_axis',(0.999961923064171,0.,0.00872653549837357)); #111457=DIRECTION('center_axis',(1.,0.,0.)); #111458=DIRECTION('ref_axis',(0.,0.,-1.)); #111459=DIRECTION('center_axis',(0.999974441710318,-4.11807833685545E-19, -0.00714954027460449)); #111460=DIRECTION('ref_axis',(0.00714954027460449,0.,0.999974441710318)); #111461=DIRECTION('',(-1.,0.,0.)); #111462=DIRECTION('center_axis',(0.,1.,0.)); #111463=DIRECTION('ref_axis',(-0.999961923064171,0.,0.00872653549837357)); #111464=DIRECTION('center_axis',(0.,0.,-1.)); #111465=DIRECTION('ref_axis',(-1.,0.,0.)); #111466=DIRECTION('center_axis',(-0.393659664276821,-0.919256258461949, 3.34835782045678E-16)); #111467=DIRECTION('ref_axis',(-0.918696272386766,0.393419857445671,-0.0348994967024985)); #111468=DIRECTION('center_axis',(0.,0.,1.)); #111469=DIRECTION('ref_axis',(-0.995831005535677,0.0912173690357362,0.)); #111470=DIRECTION('center_axis',(0.030256264153716,-0.017444416279491,-0.999389939323049)); #111471=DIRECTION('ref_axis',(-0.846114679556615,0.531857099387343,-0.0348994967025007)); #111472=DIRECTION('center_axis',(0.0302538192707855,-0.0174444175710381, -0.999390013315691)); #111473=DIRECTION('ref_axis',(-0.846958750932049,0.530511921967424,-0.0348994967019994)); #111474=DIRECTION('center_axis',(-0.833494702189702,-0.00964293360017389, -0.552443295961931)); #111475=DIRECTION('ref_axis',(-0.547834808867705,0.144456799985007,0.824020178837252)); #111476=DIRECTION('center_axis',(-0.833494702189699,0.00964293360017458, 0.552443295961936)); #111477=DIRECTION('ref_axis',(0.547834808867708,0.144456799985038,0.824020178837245)); #111478=DIRECTION('center_axis',(0.0302538192713982,0.0174444175710371, 0.999390013315673)); #111479=DIRECTION('ref_axis',(0.846958750932037,0.53051192196741,-0.0348994967025182)); #111480=DIRECTION('center_axis',(0.0302562641537164,0.0174444162794917, 0.999389939323049)); #111481=DIRECTION('ref_axis',(0.846114679556609,0.531857099387353,-0.0348994967025014)); #111482=DIRECTION('center_axis',(-0.393659664277357,0.919256258461719,-1.4063102845915E-13)); #111483=DIRECTION('ref_axis',(0.918696272386539,0.393419857446203,-0.0348994967024999)); #111484=DIRECTION('center_axis',(0.,0.,-1.)); #111485=DIRECTION('ref_axis',(0.99339040000919,0.114784638212535,0.)); #111486=DIRECTION('center_axis',(0.173648177666929,0.984807753012208,0.)); #111487=DIRECTION('ref_axis',(0.984207834737688,-0.173542395888911,-0.0348994967024972)); #111488=DIRECTION('center_axis',(0.,0.,1.)); #111489=DIRECTION('ref_axis',(0.995831005535677,0.0912173690357415,0.)); #111490=DIRECTION('center_axis',(-0.17364817766693,-0.984807753012208,0.)); #111491=DIRECTION('ref_axis',(0.684104948922847,-0.120626160131283,-0.719339800338651)); #111492=DIRECTION('',(0.17364817766693,0.984807753012208,0.)); #111493=DIRECTION('',(-0.17364817766693,-0.984807753012208,9.68655619673658E-19)); #111494=DIRECTION('center_axis',(0.,-1.,0.)); #111495=DIRECTION('ref_axis',(0.108733796079399,0.,-0.994070903703636)); #111496=DIRECTION('center_axis',(0.,-1.,0.)); #111497=DIRECTION('ref_axis',(0.108733796079399,0.,-0.994070903703636)); #111498=DIRECTION('center_axis',(0.,-1.,0.)); #111499=DIRECTION('ref_axis',(0.108733796079399,0.,-0.994070903703636)); #111500=DIRECTION('center_axis',(0.,-1.,0.)); #111501=DIRECTION('ref_axis',(0.108733796079399,0.,-0.994070903703636)); #111502=DIRECTION('center_axis',(0.,-1.,0.)); #111503=DIRECTION('ref_axis',(0.108733796079399,0.,-0.994070903703636)); #111504=DIRECTION('center_axis',(0.,-1.,0.)); #111505=DIRECTION('ref_axis',(0.108733796079399,0.,-0.994070903703636)); #111506=DIRECTION('center_axis',(0.,-1.,0.)); #111507=DIRECTION('ref_axis',(0.108733796079399,0.,-0.994070903703636)); #111508=DIRECTION('center_axis',(-0.984207834737688,-0.173542395888913, -0.034899496702501)); #111509=DIRECTION('ref_axis',(0.173648177666931,-0.984807753012208,-8.67361737988403E-19)); #111510=DIRECTION('',(-0.0343692949288469,-0.00606023400388235,0.999390827019096)); #111511=DIRECTION('center_axis',(1.,5.32395870859877E-17,0.)); #111512=DIRECTION('ref_axis',(0.,0.700909264299851,-0.713250449154181)); #111513=DIRECTION('',(1.,5.32395870859877E-17,0.)); #111514=DIRECTION('center_axis',(0.999978006231259,-2.65066093166485E-16, -0.00663227364907538)); #111515=DIRECTION('ref_axis',(-0.00663227364907538,5.92513870534139E-13, -0.999978006231259)); #111516=DIRECTION('',(-1.,-5.32395870859877E-17,0.)); #111517=DIRECTION('center_axis',(1.,-2.5431778188497E-17,-1.45698559662094E-15)); #111518=DIRECTION('ref_axis',(-1.45698559662094E-15,0.,-1.)); #111519=DIRECTION('center_axis',(-3.81345983469291E-17,-0.999657411927228, 0.0261736275467454)); #111520=DIRECTION('ref_axis',(-1.45648645073334E-15,0.0261736275467454, 0.999657411927228)); #111521=DIRECTION('center_axis',(0.00872520650594052,0.017451742105522, 0.999809635615156)); #111522=DIRECTION('ref_axis',(0.999961923064171,1.45698559662094E-15,-0.0087265354983743)); #111523=DIRECTION('center_axis',(-5.32314784390028E-17,0.999847695156391, -0.0174524064372835)); #111524=DIRECTION('ref_axis',(-1.39606520354678E-15,0.0174524064372835, 0.999847695156391)); #111525=DIRECTION('center_axis',(-5.32314784390028E-17,0.999847695156391, -0.0174524064372835)); #111526=DIRECTION('ref_axis',(-1.,-5.32395870859877E-17,0.)); #111527=DIRECTION('',(0.00872520650594019,0.0174517421055201,0.999809635615156)); #111528=DIRECTION('center_axis',(-5.32314784390028E-17,0.999847695156391, -0.0174524064372835)); #111529=DIRECTION('ref_axis',(-1.,-5.32395870859877E-17,0.)); #111530=DIRECTION('',(1.,5.32395870859877E-17,8.0312471033728E-33)); #111531=DIRECTION('center_axis',(-0.00872520650594054,-0.0174517421055206, -0.999809635615156)); #111532=DIRECTION('ref_axis',(-1.45698559662094E-15,0.999847695156391,-0.017452406437284)); #111533=DIRECTION('center_axis',(0.,1.,0.)); #111534=DIRECTION('ref_axis',(0.999961923064171,0.,-0.00872653549837428)); #111535=DIRECTION('center_axis',(0.,-1.,0.)); #111536=DIRECTION('ref_axis',(0.704014724455968,0.,-0.710185375623286)); #111537=DIRECTION('center_axis',(0.,1.,0.)); #111538=DIRECTION('ref_axis',(0.999961923064171,0.,-0.00872653549837721)); #111539=DIRECTION('',(0.,1.,0.)); #111540=DIRECTION('center_axis',(-1.,-1.45720753638687E-15,-2.12313039180316E-30)); #111541=DIRECTION('ref_axis',(-1.45698559662094E-15,0.999847695156391,-0.0174524064372796)); #111542=DIRECTION('center_axis',(0.,0.,-1.)); #111543=DIRECTION('ref_axis',(-0.447186347683837,-0.894440814389186,0.)); #111544=DIRECTION('center_axis',(1.,0.,0.)); #111545=DIRECTION('ref_axis',(0.,0.700909264299851,-0.713250449154182)); #111546=DIRECTION('center_axis',(-1.,0.,0.)); #111547=DIRECTION('ref_axis',(0.,0.999847695156391,-0.0174524064372825)); #111548=DIRECTION('',(-1.,0.,0.)); #111549=DIRECTION('center_axis',(0.,-1.,0.)); #111550=DIRECTION('ref_axis',(-0.999961923064171,0.,-0.00872653549836558)); #111551=DIRECTION('center_axis',(0.,0.,-1.)); #111552=DIRECTION('ref_axis',(0.447186347683837,-0.894440814389186,0.)); #111553=DIRECTION('center_axis',(0.,1.,0.)); #111554=DIRECTION('ref_axis',(-0.704014724455968,0.,-0.710185375623286)); #111555=DIRECTION('center_axis',(0.,-1.,0.)); #111556=DIRECTION('ref_axis',(-0.999961923064171,0.,-0.0087265354983743)); #111557=DIRECTION('',(0.,-1.,0.)); #111558=DIRECTION('center_axis',(0.00872520650594055,-0.0174517421055206, -0.999809635615156)); #111559=DIRECTION('ref_axis',(-0.999961923064171,0.,-0.0087265354983743)); #111560=DIRECTION('center_axis',(-0.999978006231259,8.46027593175155E-17, -0.00663227364907538)); #111561=DIRECTION('ref_axis',(0.00663227364907538,5.92513870534139E-13, -0.999978006231259)); #111562=DIRECTION('center_axis',(-0.00872520650594019,0.0174517421055201, 0.999809635615156)); #111563=DIRECTION('ref_axis',(-0.707026019083289,0.706945253905095,-0.0185098979007751)); #111564=DIRECTION('center_axis',(0.0087252065059435,-0.0174517421055191, -0.999809635615156)); #111565=DIRECTION('ref_axis',(-0.999961923064171,-1.45698559662094E-15, -0.00872653549837722)); #111566=DIRECTION('',(0.00872520650594019,-0.0174517421055201,-0.999809635615156)); #111567=DIRECTION('center_axis',(-5.32314784390028E-17,0.999847695156391, -0.0174524064372835)); #111568=DIRECTION('ref_axis',(1.39606520354678E-15,0.0174524064372835,0.999847695156391)); #111569=DIRECTION('center_axis',(3.81345983469302E-17,-0.999657411927228, 0.0261736275467461)); #111570=DIRECTION('ref_axis',(1.45648645073334E-15,0.0261736275467461,0.999657411927228)); #111571=DIRECTION('center_axis',(1.,0.,0.)); #111572=DIRECTION('ref_axis',(0.,1.45698559662094E-15,-1.)); #111573=DIRECTION('center_axis',(-1.,-5.32395870859877E-17,-8.03369405805131E-33)); #111574=DIRECTION('ref_axis',(0.,0.700909264299852,-0.713250449154181)); #111575=DIRECTION('',(-1.,-5.32395870859877E-17,-8.03369405805131E-33)); #111576=DIRECTION('center_axis',(-0.00872520650594019,-0.0174517421055201, -0.999809635615156)); #111577=DIRECTION('ref_axis',(0.70702601908329,0.706945253905094,-0.0185098979007751)); #111578=DIRECTION('center_axis',(-5.32314784390028E-17,0.999847695156391, -0.0174524064372835)); #111579=DIRECTION('ref_axis',(-1.,-5.32395870859877E-17,0.)); #111580=DIRECTION('',(1.,5.32395870859877E-17,0.)); #111581=DIRECTION('center_axis',(1.31685818304309E-18,-1.,-1.50897001606643E-16)); #111582=DIRECTION('ref_axis',(0.704014724455968,0.,0.710185375623286)); #111583=DIRECTION('',(-1.31685818304309E-18,1.,1.50897001606643E-16)); #111584=DIRECTION('center_axis',(0.,-0.600420225325881,-0.799684658487093)); #111585=DIRECTION('ref_axis',(0.,0.799684658487093,-0.600420225325881)); #111586=DIRECTION('center_axis',(0.,0.0174524064372173,0.999847695156392)); #111587=DIRECTION('ref_axis',(0.,-0.999847695156392,0.0174524064372173)); #111588=DIRECTION('center_axis',(1.31685818304309E-18,1.,1.50897001606643E-16)); #111589=DIRECTION('ref_axis',(-0.704014724455968,0.,0.710185375623286)); #111590=DIRECTION('',(-1.31685818304309E-18,-1.,-1.50897001606643E-16)); #111591=DIRECTION('center_axis',(-0.986953076570589,0.129467954652337,0.0957166305612038)); #111592=DIRECTION('ref_axis',(0.0880352168959237,-0.0638187982571755,0.994070903696058)); #111593=DIRECTION('center_axis',(-0.906088591869359,-0.419794054905323, 0.0526916990649253)); #111594=DIRECTION('ref_axis',(0.0740096647129441,-0.0346451754335576,0.996655547994519)); #111595=DIRECTION('center_axis',(-0.892839673078458,0.447963170021632,0.0465437050665512)); #111596=DIRECTION('ref_axis',(0.0441293725915202,-0.0158318142152138,0.998900371475221)); #111597=DIRECTION('center_axis',(-0.894033607192203,0.447537338514859,0.0203528829882668)); #111598=DIRECTION('ref_axis',(0.0191412053850312,-0.00723010327185965,0.999790648017417)); #111599=DIRECTION('center_axis',(0.550413897111815,0.834891208590899,-0.00110076510306987)); #111600=DIRECTION('ref_axis',(0.00711983723984148,-0.00337544149623878, 0.999968956674348)); #111601=DIRECTION('center_axis',(0.550413897111832,-0.834891208590888,0.00110076510306681)); #111602=DIRECTION('ref_axis',(-0.00711983723983566,-0.00337544149623879, 0.999968956674348)); #111603=DIRECTION('center_axis',(-0.894033607192202,-0.447537338514862, -0.0203528829882694)); #111604=DIRECTION('ref_axis',(-0.0191412053850312,-0.00723010327186549, 0.999790648017417)); #111605=DIRECTION('center_axis',(-0.892839673078452,-0.447963170021645, -0.0465437050665461)); #111606=DIRECTION('ref_axis',(-0.0441293725915146,-0.0158318142152139,0.998900371475221)); #111607=DIRECTION('center_axis',(-0.906088591870725,0.419794054902348,-0.0526916990651347)); #111608=DIRECTION('ref_axis',(-0.0740096647129705,-0.0346451754336043,0.996655547994516)); #111609=DIRECTION('center_axis',(-0.986953076580378,-0.129467954632553, -0.0957166304870238)); #111610=DIRECTION('ref_axis',(-0.0880352168289598,-0.0638187982079061,0.994070903705151)); #111611=DIRECTION('center_axis',(-0.171996987793923,-0.984146404786275, 0.043276900721583)); #111612=DIRECTION('ref_axis',(0.984207834737685,-0.173542395888931,-0.0348994967025007)); #111613=DIRECTION('center_axis',(0.171996987793916,-0.984146404786276,0.0432769007215883)); #111614=DIRECTION('ref_axis',(-0.0881518116849135,0.0283789903698695,0.995702712159736)); #111615=DIRECTION('center_axis',(0.984207834737688,-0.173542395888912,-0.034899496702501)); #111616=DIRECTION('ref_axis',(0.17364817766693,0.984807753012208,0.)); #111617=DIRECTION('',(0.0343692949288469,-0.00606023400388233,0.999390827019096)); #111618=DIRECTION('center_axis',(0.,0.,-1.)); #111619=DIRECTION('ref_axis',(0.984807753012208,-0.17364817766693,0.)); #111620=DIRECTION('',(-0.0147293044937388,0.0316389389711254,0.999390827019096)); #111621=DIRECTION('center_axis',(0.,0.,1.)); #111622=DIRECTION('ref_axis',(0.446994260830515,0.894536824722483,0.)); #111623=DIRECTION('',(0.0155998747318914,0.031218884964668,0.999390827019096)); #111624=DIRECTION('center_axis',(0.446721964004195,0.893991897058439,-0.034899496702501)); #111625=DIRECTION('ref_axis',(-0.894536824722484,0.446994260830512,-1.73472347597681E-18)); #111626=DIRECTION('',(0.0155998747318913,0.031218884964668,0.999390827019096)); #111627=DIRECTION('center_axis',(0.,0.,1.)); #111628=DIRECTION('ref_axis',(0.834870556090519,-0.550446323062574,0.)); #111629=DIRECTION('',(0.0291365622192962,-0.0192102996366261,0.999390827019096)); #111630=DIRECTION('center_axis',(0.,0.,1.)); #111631=DIRECTION('ref_axis',(-0.834870556090516,-0.550446323062579,0.)); #111632=DIRECTION('',(0.0291365622192961,0.0192102996366263,-0.999390827019096)); #111633=DIRECTION('center_axis',(0.,0.,1.)); #111634=DIRECTION('ref_axis',(-0.353172317221962,0.935558290192583,0.)); #111635=DIRECTION('',(-0.0155998747318913,0.031218884964668,0.999390827019096)); #111636=DIRECTION('center_axis',(-0.446721964004195,0.89399189705844,-0.034899496702501)); #111637=DIRECTION('ref_axis',(-0.894536824722484,-0.446994260830512,0.)); #111638=DIRECTION('',(-0.0155998747318913,0.031218884964668,0.999390827019096)); #111639=DIRECTION('center_axis',(0.,0.,1.)); #111640=DIRECTION('ref_axis',(0.422049195130177,0.906572929713859,0.)); #111641=DIRECTION('',(0.0147293044937388,0.0316389389711255,0.999390827019096)); #111642=DIRECTION('center_axis',(0.,0.,-1.)); #111643=DIRECTION('ref_axis',(0.422049195130179,0.906572929713858,0.)); #111644=DIRECTION('center_axis',(1.,5.32395870859877E-17,0.)); #111645=DIRECTION('ref_axis',(0.,0.700909264299851,-0.713250449154181)); #111646=DIRECTION('',(-1.,-5.32395870859877E-17,0.)); #111647=DIRECTION('',(-0.0118468441353194,0.0128155676614649,0.999847695156391)); #111648=DIRECTION('center_axis',(1.,-6.98023591889032E-17,0.)); #111649=DIRECTION('ref_axis',(0.,-1.,0.)); #111650=DIRECTION('center_axis',(0.,0.,1.)); #111651=DIRECTION('ref_axis',(-1.,-5.05477501311395E-16,0.)); #111652=DIRECTION('center_axis',(0.,0.,1.)); #111653=DIRECTION('ref_axis',(1.,0.,0.)); #111654=DIRECTION('center_axis',(1.,0.,0.)); #111655=DIRECTION('ref_axis',(0.,-1.,0.)); #111656=DIRECTION('',(1.,0.,0.)); #111657=DIRECTION('center_axis',(1.,0.,0.)); #111658=DIRECTION('ref_axis',(0.,-1.,0.)); #111659=DIRECTION('',(1.,0.,0.)); #111660=DIRECTION('center_axis',(0.,-1.50897001606643E-16,1.)); #111661=DIRECTION('ref_axis',(0.,-1.,-1.50897001606643E-16)); #111662=DIRECTION('axis',(0.,0.,1.)); #111663=DIRECTION('refdir',(1.,0.,0.)); #111664=DIRECTION('center_axis',(6.12323397156456E-17,0.,1.)); #111665=DIRECTION('ref_axis',(1.,0.,0.)); #111666=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111667=DIRECTION('ref_axis',(1.,0.,0.)); #111668=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111669=DIRECTION('ref_axis',(0.,0.,-1.)); #111670=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111671=DIRECTION('ref_axis',(1.,0.,0.)); #111672=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111673=DIRECTION('ref_axis',(1.,0.,0.)); #111674=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111675=DIRECTION('ref_axis',(1.,0.,0.)); #111676=DIRECTION('',(6.01540855156092E-19,1.,0.)); #111677=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111678=DIRECTION('ref_axis',(1.,0.,0.)); #111679=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111680=DIRECTION('ref_axis',(0.,0.,-1.)); #111681=DIRECTION('center_axis',(-1.,6.01540855156092E-19,-1.22464680558869E-16)); #111682=DIRECTION('ref_axis',(-1.22464680558869E-16,0.,1.)); #111683=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111684=DIRECTION('ref_axis',(1.,0.,0.)); #111685=DIRECTION('center_axis',(6.01540855156092E-19,1.,0.)); #111686=DIRECTION('ref_axis',(0.,0.,1.)); #111687=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111688=DIRECTION('ref_axis',(1.,0.,0.)); #111689=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111690=DIRECTION('ref_axis',(0.,0.,-1.)); #111691=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111692=DIRECTION('ref_axis',(1.,0.,0.)); #111693=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111694=DIRECTION('ref_axis',(1.,0.,0.)); #111695=DIRECTION('center_axis',(-1.,6.01540855156092E-19,-1.22464680206315E-16)); #111696=DIRECTION('ref_axis',(-1.22464680206315E-16,0.,1.)); #111697=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111698=DIRECTION('ref_axis',(1.,0.,0.)); #111699=DIRECTION('',(6.01540855156092E-19,1.,0.)); #111700=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111701=DIRECTION('ref_axis',(1.,0.,0.)); #111702=DIRECTION('center_axis',(-6.01540855156092E-19,-1.,0.)); #111703=DIRECTION('ref_axis',(1.,0.,0.)); #111704=DIRECTION('',(0.939692620785908,0.34202014332567,1.15079156022785E-16)); #111705=DIRECTION('axis',(0.,0.,1.)); #111706=DIRECTION('refdir',(1.,0.,0.)); #111707=DIRECTION('center_axis',(0.,0.,-1.)); #111708=DIRECTION('ref_axis',(-2.44929359829471E-16,1.,0.)); #111709=DIRECTION('center_axis',(0.,-1.,0.)); #111710=DIRECTION('ref_axis',(1.,0.,0.)); #111711=DIRECTION('axis',(0.,0.,1.)); #111712=DIRECTION('refdir',(1.,0.,0.)); #111713=DIRECTION('center_axis',(0.,0.,-1.)); #111714=DIRECTION('ref_axis',(1.,0.,0.)); #111715=DIRECTION('center_axis',(0.,0.,-1.)); #111716=DIRECTION('ref_axis',(1.,0.,0.)); #111717=DIRECTION('',(0.,0.,1.)); #111718=DIRECTION('center_axis',(0.,0.,1.)); #111719=DIRECTION('ref_axis',(1.,0.,0.)); #111720=DIRECTION('center_axis',(0.,0.,1.)); #111721=DIRECTION('ref_axis',(1.,0.,0.)); #111722=DIRECTION('center_axis',(0.,0.,1.)); #111723=DIRECTION('ref_axis',(1.,0.,0.)); #111724=DIRECTION('center_axis',(0.,0.,-1.)); #111725=DIRECTION('ref_axis',(1.,0.,0.)); #111726=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #111727=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #111728=DIRECTION('center_axis',(0.,0.,1.)); #111729=DIRECTION('ref_axis',(1.,0.,0.)); #111730=DIRECTION('center_axis',(0.,0.,1.)); #111731=DIRECTION('ref_axis',(1.,0.,0.)); #111732=DIRECTION('',(0.,0.,1.)); #111733=DIRECTION('center_axis',(0.,0.,-1.)); #111734=DIRECTION('ref_axis',(1.,0.,0.)); #111735=DIRECTION('center_axis',(0.,0.,1.)); #111736=DIRECTION('ref_axis',(1.,0.,0.)); #111737=DIRECTION('center_axis',(0.,0.,1.)); #111738=DIRECTION('ref_axis',(-1.,0.,0.)); #111739=DIRECTION('center_axis',(0.,0.,1.)); #111740=DIRECTION('ref_axis',(1.,0.,0.)); #111741=DIRECTION('center_axis',(0.,0.,1.)); #111742=DIRECTION('ref_axis',(1.,0.,0.)); #111743=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #111744=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #111745=DIRECTION('center_axis',(0.,0.,1.)); #111746=DIRECTION('ref_axis',(1.,0.,0.)); #111747=DIRECTION('center_axis',(0.,0.,-1.)); #111748=DIRECTION('ref_axis',(-1.,0.,0.)); #111749=DIRECTION('',(0.,0.,1.)); #111750=DIRECTION('center_axis',(0.,0.,-1.)); #111751=DIRECTION('ref_axis',(-1.,0.,0.)); #111752=DIRECTION('center_axis',(0.,0.,1.)); #111753=DIRECTION('ref_axis',(1.,0.,0.)); #111754=DIRECTION('',(0.,0.,1.)); #111755=DIRECTION('',(1.,0.,0.)); #111756=DIRECTION('',(-2.36251246459966E-60,-1.2861370864157E-110,1.)); #111757=DIRECTION('',(1.,4.47170557991026E-46,2.36251246459966E-60)); #111758=DIRECTION('',(1.22464679914735E-16,-1.,7.36675082822726E-35)); #111759=DIRECTION('',(-6.01540855155649E-19,-3.27475098819959E-69,1.)); #111760=DIRECTION('',(-5.53726148912748E-33,1.,-1.76536303758516E-16)); #111761=DIRECTION('',(1.,0.,-3.13661347339747E-17)); #111762=DIRECTION('',(1.21694080060147E-32,-6.40692859149131E-81,1.)); #111763=DIRECTION('',(1.,4.47170557991026E-46,-1.21694080060147E-32)); #111764=DIRECTION('axis',(0.,0.,1.)); #111765=DIRECTION('refdir',(1.,0.,0.)); #111766=DIRECTION('axis',(0.,0.,1.)); #111767=DIRECTION('refdir',(1.,0.,0.)); #111768=DIRECTION('axis',(0.,0.,1.)); #111769=DIRECTION('refdir',(1.,0.,0.)); #111770=DIRECTION('axis',(0.,0.,1.)); #111771=DIRECTION('refdir',(1.,0.,0.)); #111772=DIRECTION('',(0.,0.,-1.)); #111773=DIRECTION('',(0.,0.,-1.)); #111774=DIRECTION('',(0.,0.,-1.)); #111775=DIRECTION('',(0.,0.,-1.)); #111776=DIRECTION('',(0.,0.,-1.)); #111777=DIRECTION('center_axis',(0.,1.,0.)); #111778=DIRECTION('ref_axis',(1.,0.,0.)); #111779=DIRECTION('',(-1.,0.,0.)); #111780=DIRECTION('',(-1.,0.,0.)); #111781=DIRECTION('',(0.,0.,-1.)); #111782=DIRECTION('center_axis',(-1.,0.,0.)); #111783=DIRECTION('ref_axis',(0.,1.,0.)); #111784=DIRECTION('',(0.,-1.,0.)); #111785=DIRECTION('',(0.,-1.,0.)); #111786=DIRECTION('',(0.,0.,-1.)); #111787=DIRECTION('center_axis',(0.,-1.,0.)); #111788=DIRECTION('ref_axis',(-1.,0.,0.)); #111789=DIRECTION('',(1.,0.,0.)); #111790=DIRECTION('',(1.,0.,0.)); #111791=DIRECTION('center_axis',(0.,-1.,0.)); #111792=DIRECTION('ref_axis',(-1.,0.,0.)); #111793=DIRECTION('',(-1.,0.,0.)); #111794=DIRECTION('',(0.,0.,-1.)); #111795=DIRECTION('',(-1.,0.,0.)); #111796=DIRECTION('',(0.,0.,-1.)); #111797=DIRECTION('',(0.,0.,-1.)); #111798=DIRECTION('',(0.,0.,-1.)); #111799=DIRECTION('',(0.,0.,-1.)); #111800=DIRECTION('',(0.,0.,-1.)); #111801=DIRECTION('center_axis',(0.,1.,0.)); #111802=DIRECTION('ref_axis',(1.,0.,0.)); #111803=DIRECTION('',(1.,0.,0.)); #111804=DIRECTION('',(0.,0.,-1.)); #111805=DIRECTION('',(1.,0.,0.)); #111806=DIRECTION('center_axis',(-1.,0.,0.)); #111807=DIRECTION('ref_axis',(0.,1.,0.)); #111808=DIRECTION('',(0.,1.,0.)); #111809=DIRECTION('',(0.,1.,0.)); #111810=DIRECTION('center_axis',(0.,0.,1.)); #111811=DIRECTION('ref_axis',(1.,0.,0.)); #111812=DIRECTION('',(0.,0.,-1.)); #111813=DIRECTION('',(0.,0.,-1.)); #111814=DIRECTION('',(0.,0.,-1.)); #111815=DIRECTION('center_axis',(0.,1.,0.)); #111816=DIRECTION('ref_axis',(1.,0.,0.)); #111817=DIRECTION('',(-1.,0.,0.)); #111818=DIRECTION('',(-1.,0.,2.53532132927246E-17)); #111819=DIRECTION('',(0.,0.,-1.)); #111820=DIRECTION('center_axis',(-1.,0.,0.)); #111821=DIRECTION('ref_axis',(0.,1.,0.)); #111822=DIRECTION('',(0.,-1.,0.)); #111823=DIRECTION('',(0.,-1.,1.08757426006417E-17)); #111824=DIRECTION('',(0.,0.,-1.)); #111825=DIRECTION('center_axis',(0.,-1.,0.)); #111826=DIRECTION('ref_axis',(-1.,0.,0.)); #111827=DIRECTION('',(1.,0.,0.)); #111828=DIRECTION('',(1.,0.,-2.53532132927246E-17)); #111829=DIRECTION('center_axis',(0.,-1.,0.)); #111830=DIRECTION('ref_axis',(-1.,0.,0.)); #111831=DIRECTION('',(-1.,0.,0.)); #111832=DIRECTION('',(0.,0.,-1.)); #111833=DIRECTION('',(-1.,0.,0.)); #111834=DIRECTION('',(0.,0.,-1.)); #111835=DIRECTION('center_axis',(1.,0.,0.)); #111836=DIRECTION('ref_axis',(0.,-1.,0.)); #111837=DIRECTION('',(0.,-1.,0.)); #111838=DIRECTION('',(0.,0.,-1.)); #111839=DIRECTION('',(0.,-1.,0.)); #111840=DIRECTION('center_axis',(0.,-1.,0.)); #111841=DIRECTION('ref_axis',(-1.,0.,0.)); #111842=DIRECTION('',(-1.,0.,0.)); #111843=DIRECTION('',(0.,0.,-1.)); #111844=DIRECTION('',(-1.,0.,0.)); #111845=DIRECTION('',(0.,0.,-1.)); #111846=DIRECTION('',(0.,0.,-1.)); #111847=DIRECTION('center_axis',(-0.843279700123642,-0.537474973705177, 0.)); #111848=DIRECTION('ref_axis',(-0.537474973705177,0.843279700123642,0.)); #111849=DIRECTION('',(-0.537474973705177,0.843279700123642,0.)); #111850=DIRECTION('',(0.,0.,-1.)); #111851=DIRECTION('',(-0.537474973705177,0.843279700123642,0.)); #111852=DIRECTION('center_axis',(0.,-1.,0.)); #111853=DIRECTION('ref_axis',(-1.,0.,0.)); #111854=DIRECTION('',(-1.,0.,0.)); #111855=DIRECTION('',(0.,0.,-1.)); #111856=DIRECTION('',(-1.,0.,0.)); #111857=DIRECTION('center_axis',(0.843856093427851,0.536569560807064,0.)); #111858=DIRECTION('ref_axis',(0.536569560807064,-0.843856093427851,0.)); #111859=DIRECTION('',(0.536569560807064,-0.843856093427851,0.)); #111860=DIRECTION('',(0.,0.,-1.)); #111861=DIRECTION('',(0.536569560807064,-0.843856093427851,0.)); #111862=DIRECTION('',(0.,0.,-1.)); #111863=DIRECTION('',(0.,0.,-1.)); #111864=DIRECTION('',(0.,0.,-1.)); #111865=DIRECTION('',(0.,0.,-1.)); #111866=DIRECTION('center_axis',(0.,1.,0.)); #111867=DIRECTION('ref_axis',(1.,0.,0.)); #111868=DIRECTION('',(1.,0.,0.)); #111869=DIRECTION('',(0.,0.,-1.)); #111870=DIRECTION('',(1.,0.,0.)); #111871=DIRECTION('center_axis',(-1.,0.,0.)); #111872=DIRECTION('ref_axis',(0.,1.,0.)); #111873=DIRECTION('',(0.,1.,0.)); #111874=DIRECTION('',(0.,1.,0.)); #111875=DIRECTION('center_axis',(2.53532132927246E-17,1.08757426006417E-17, 1.)); #111876=DIRECTION('ref_axis',(1.,0.,-2.53532132927246E-17)); #111877=DIRECTION('center_axis',(0.935056260256882,0.354499351416071,0.)); #111878=DIRECTION('ref_axis',(0.354499351416071,-0.935056260256882,0.)); #111879=DIRECTION('',(-0.354499351416071,0.935056260256882,0.)); #111880=DIRECTION('',(0.,0.,-1.)); #111881=DIRECTION('',(-0.354499351416071,0.935056260256882,0.)); #111882=DIRECTION('',(0.,0.,-1.)); #111883=DIRECTION('',(0.,0.,-1.)); #111884=DIRECTION('',(0.,0.,-1.)); #111885=DIRECTION('center_axis',(-0.93582876641995,0.352454989950369,0.)); #111886=DIRECTION('ref_axis',(0.352454989950369,0.93582876641995,0.)); #111887=DIRECTION('',(-0.352454989950369,-0.93582876641995,0.)); #111888=DIRECTION('',(-0.352454989950369,-0.93582876641995,0.)); #111889=DIRECTION('',(0.,0.,-1.)); #111890=DIRECTION('center_axis',(0.,-1.,0.)); #111891=DIRECTION('ref_axis',(-1.,0.,0.)); #111892=DIRECTION('',(1.,0.,0.)); #111893=DIRECTION('',(1.,0.,0.)); #111894=DIRECTION('center_axis',(0.,-1.,0.)); #111895=DIRECTION('ref_axis',(-1.,0.,0.)); #111896=DIRECTION('',(-1.,0.,0.)); #111897=DIRECTION('',(0.,0.,-1.)); #111898=DIRECTION('',(-1.,0.,0.)); #111899=DIRECTION('',(0.,0.,-1.)); #111900=DIRECTION('center_axis',(0.940069662775618,-0.340982446951358,0.)); #111901=DIRECTION('ref_axis',(-0.340982446951358,-0.940069662775618,0.)); #111902=DIRECTION('',(-0.340982446951358,-0.940069662775618,0.)); #111903=DIRECTION('',(0.,0.,-1.)); #111904=DIRECTION('',(-0.340982446951358,-0.940069662775618,0.)); #111905=DIRECTION('center_axis',(0.,-1.,0.)); #111906=DIRECTION('ref_axis',(-1.,0.,0.)); #111907=DIRECTION('',(-1.,0.,0.)); #111908=DIRECTION('',(0.,0.,-1.)); #111909=DIRECTION('',(-1.,0.,0.)); #111910=DIRECTION('center_axis',(-0.933890180405365,-0.357559968316413, 0.)); #111911=DIRECTION('ref_axis',(-0.357559968316413,0.933890180405365,0.)); #111912=DIRECTION('',(-0.357559968316413,0.933890180405365,0.)); #111913=DIRECTION('',(0.,0.,-1.)); #111914=DIRECTION('',(-0.357559968316413,0.933890180405365,0.)); #111915=DIRECTION('center_axis',(0.,-1.,0.)); #111916=DIRECTION('ref_axis',(-1.,0.,0.)); #111917=DIRECTION('',(-1.,0.,0.)); #111918=DIRECTION('',(0.,0.,-1.)); #111919=DIRECTION('',(-1.,0.,0.)); #111920=DIRECTION('center_axis',(0.925726682166435,0.378193217714336,0.)); #111921=DIRECTION('ref_axis',(0.378193217714336,-0.925726682166435,0.)); #111922=DIRECTION('',(0.378193217714336,-0.925726682166435,0.)); #111923=DIRECTION('',(0.,0.,-1.)); #111924=DIRECTION('',(0.378193217714336,-0.925726682166435,0.)); #111925=DIRECTION('center_axis',(0.,1.,0.)); #111926=DIRECTION('ref_axis',(1.,0.,0.)); #111927=DIRECTION('',(1.,0.,0.)); #111928=DIRECTION('',(0.,0.,-1.)); #111929=DIRECTION('',(1.,0.,0.)); #111930=DIRECTION('center_axis',(-0.933492229961595,0.358597624924271,0.)); #111931=DIRECTION('ref_axis',(0.358597624924271,0.933492229961595,0.)); #111932=DIRECTION('',(0.358597624924271,0.933492229961595,0.)); #111933=DIRECTION('',(0.358597624924271,0.933492229961595,0.)); #111934=DIRECTION('center_axis',(0.,0.,-1.)); #111935=DIRECTION('ref_axis',(-1.,0.,0.)); #111936=DIRECTION('center_axis',(0.,-1.,0.)); #111937=DIRECTION('ref_axis',(-1.,0.,0.)); #111938=DIRECTION('',(-1.,0.,0.)); #111939=DIRECTION('',(0.,0.,-1.)); #111940=DIRECTION('',(-1.,0.,0.)); #111941=DIRECTION('',(0.,0.,-1.)); #111942=DIRECTION('center_axis',(1.,0.,0.)); #111943=DIRECTION('ref_axis',(0.,-1.,0.)); #111944=DIRECTION('',(0.,-1.,0.)); #111945=DIRECTION('',(0.,0.,-1.)); #111946=DIRECTION('',(0.,-1.,0.)); #111947=DIRECTION('center_axis',(0.,1.,0.)); #111948=DIRECTION('ref_axis',(1.,0.,0.)); #111949=DIRECTION('',(1.,0.,0.)); #111950=DIRECTION('',(0.,0.,-1.)); #111951=DIRECTION('',(1.,0.,0.)); #111952=DIRECTION('center_axis',(1.,0.,0.)); #111953=DIRECTION('ref_axis',(0.,-1.,0.)); #111954=DIRECTION('',(0.,-1.,0.)); #111955=DIRECTION('',(0.,0.,-1.)); #111956=DIRECTION('',(0.,-1.,0.)); #111957=DIRECTION('center_axis',(0.,-1.,0.)); #111958=DIRECTION('ref_axis',(-1.,0.,0.)); #111959=DIRECTION('',(-1.,0.,0.)); #111960=DIRECTION('',(0.,0.,-1.)); #111961=DIRECTION('',(-1.,0.,0.)); #111962=DIRECTION('center_axis',(1.,0.,0.)); #111963=DIRECTION('ref_axis',(0.,-1.,0.)); #111964=DIRECTION('',(0.,-1.,0.)); #111965=DIRECTION('',(0.,0.,-1.)); #111966=DIRECTION('',(0.,-1.,0.)); #111967=DIRECTION('center_axis',(0.,1.,0.)); #111968=DIRECTION('ref_axis',(1.,0.,0.)); #111969=DIRECTION('',(1.,0.,0.)); #111970=DIRECTION('',(0.,0.,-1.)); #111971=DIRECTION('',(1.,0.,0.)); #111972=DIRECTION('center_axis',(1.,0.,0.)); #111973=DIRECTION('ref_axis',(0.,-1.,0.)); #111974=DIRECTION('',(0.,-1.,0.)); #111975=DIRECTION('',(0.,0.,-1.)); #111976=DIRECTION('',(0.,-1.,0.)); #111977=DIRECTION('center_axis',(0.,-1.,0.)); #111978=DIRECTION('ref_axis',(-1.,0.,0.)); #111979=DIRECTION('',(-1.,0.,0.)); #111980=DIRECTION('',(0.,0.,-1.)); #111981=DIRECTION('',(-1.,0.,0.)); #111982=DIRECTION('center_axis',(1.,0.,0.)); #111983=DIRECTION('ref_axis',(0.,-1.,0.)); #111984=DIRECTION('',(0.,-1.,0.)); #111985=DIRECTION('',(0.,0.,-1.)); #111986=DIRECTION('',(0.,-1.,0.)); #111987=DIRECTION('center_axis',(0.,1.,0.)); #111988=DIRECTION('ref_axis',(1.,0.,0.)); #111989=DIRECTION('',(1.,0.,0.)); #111990=DIRECTION('',(0.,0.,-1.)); #111991=DIRECTION('',(1.,0.,0.)); #111992=DIRECTION('center_axis',(-1.,0.,0.)); #111993=DIRECTION('ref_axis',(0.,1.,0.)); #111994=DIRECTION('',(0.,1.,0.)); #111995=DIRECTION('',(0.,1.,0.)); #111996=DIRECTION('center_axis',(0.,0.,-1.)); #111997=DIRECTION('ref_axis',(-1.,0.,0.)); #111998=DIRECTION('center_axis',(0.,-1.,0.)); #111999=DIRECTION('ref_axis',(-1.,0.,0.)); #112000=DIRECTION('',(-1.,0.,0.)); #112001=DIRECTION('',(0.,0.,-1.)); #112002=DIRECTION('',(-1.,0.,0.)); #112003=DIRECTION('',(0.,0.,-1.)); #112004=DIRECTION('center_axis',(1.,0.,0.)); #112005=DIRECTION('ref_axis',(0.,-1.,0.)); #112006=DIRECTION('',(0.,-1.,0.)); #112007=DIRECTION('',(0.,0.,-1.)); #112008=DIRECTION('',(0.,-1.,0.)); #112009=DIRECTION('center_axis',(0.,1.,0.)); #112010=DIRECTION('ref_axis',(1.,0.,0.)); #112011=DIRECTION('',(1.,0.,0.)); #112012=DIRECTION('',(0.,0.,-1.)); #112013=DIRECTION('',(1.,0.,0.)); #112014=DIRECTION('center_axis',(1.,0.,0.)); #112015=DIRECTION('ref_axis',(0.,-1.,0.)); #112016=DIRECTION('',(0.,-1.,0.)); #112017=DIRECTION('',(0.,0.,-1.)); #112018=DIRECTION('',(0.,-1.,0.)); #112019=DIRECTION('center_axis',(0.,-1.,0.)); #112020=DIRECTION('ref_axis',(-1.,0.,0.)); #112021=DIRECTION('',(-1.,0.,0.)); #112022=DIRECTION('',(0.,0.,-1.)); #112023=DIRECTION('',(-1.,0.,0.)); #112024=DIRECTION('center_axis',(1.,0.,0.)); #112025=DIRECTION('ref_axis',(0.,-1.,0.)); #112026=DIRECTION('',(0.,-1.,0.)); #112027=DIRECTION('',(0.,0.,-1.)); #112028=DIRECTION('',(0.,-1.,0.)); #112029=DIRECTION('center_axis',(0.,1.,0.)); #112030=DIRECTION('ref_axis',(1.,0.,0.)); #112031=DIRECTION('',(1.,0.,0.)); #112032=DIRECTION('',(0.,0.,-1.)); #112033=DIRECTION('',(1.,0.,0.)); #112034=DIRECTION('center_axis',(1.,0.,0.)); #112035=DIRECTION('ref_axis',(0.,-1.,0.)); #112036=DIRECTION('',(0.,-1.,0.)); #112037=DIRECTION('',(0.,0.,-1.)); #112038=DIRECTION('',(0.,-1.,0.)); #112039=DIRECTION('center_axis',(0.,-1.,0.)); #112040=DIRECTION('ref_axis',(-1.,0.,0.)); #112041=DIRECTION('',(-1.,0.,0.)); #112042=DIRECTION('',(0.,0.,-1.)); #112043=DIRECTION('',(-1.,0.,0.)); #112044=DIRECTION('center_axis',(1.,0.,0.)); #112045=DIRECTION('ref_axis',(0.,-1.,0.)); #112046=DIRECTION('',(0.,-1.,0.)); #112047=DIRECTION('',(0.,0.,-1.)); #112048=DIRECTION('',(0.,-1.,0.)); #112049=DIRECTION('center_axis',(0.,1.,0.)); #112050=DIRECTION('ref_axis',(1.,0.,0.)); #112051=DIRECTION('',(1.,0.,0.)); #112052=DIRECTION('',(0.,0.,-1.)); #112053=DIRECTION('',(1.,0.,0.)); #112054=DIRECTION('center_axis',(-1.,0.,0.)); #112055=DIRECTION('ref_axis',(0.,1.,0.)); #112056=DIRECTION('',(0.,1.,0.)); #112057=DIRECTION('',(0.,1.,0.)); #112058=DIRECTION('center_axis',(0.,0.,-1.)); #112059=DIRECTION('ref_axis',(-1.,0.,0.)); #112060=DIRECTION('',(0.,0.,-1.)); #112061=DIRECTION('',(0.,0.,-1.)); #112062=DIRECTION('',(0.,0.,-1.)); #112063=DIRECTION('',(0.,0.,-1.)); #112064=DIRECTION('center_axis',(0.,1.,0.)); #112065=DIRECTION('ref_axis',(1.,0.,0.)); #112066=DIRECTION('',(-1.,0.,0.)); #112067=DIRECTION('',(-1.,0.,-4.22558697828324E-18)); #112068=DIRECTION('',(0.,0.,-1.)); #112069=DIRECTION('center_axis',(-1.,0.,0.)); #112070=DIRECTION('ref_axis',(0.,1.,0.)); #112071=DIRECTION('',(0.,-1.,0.)); #112072=DIRECTION('',(0.,-1.,4.85732943678985E-17)); #112073=DIRECTION('',(0.,0.,-1.)); #112074=DIRECTION('center_axis',(0.,-1.,0.)); #112075=DIRECTION('ref_axis',(-1.,0.,0.)); #112076=DIRECTION('',(1.,0.,0.)); #112077=DIRECTION('',(1.,0.,4.22558697828324E-18)); #112078=DIRECTION('',(0.,0.,-1.)); #112079=DIRECTION('',(0.,0.,-1.)); #112080=DIRECTION('',(0.,0.,-1.)); #112081=DIRECTION('',(0.,0.,-1.)); #112082=DIRECTION('center_axis',(0.,1.,0.)); #112083=DIRECTION('ref_axis',(1.,0.,0.)); #112084=DIRECTION('',(-1.,0.,0.)); #112085=DIRECTION('',(-1.,0.,-4.22558697828324E-18)); #112086=DIRECTION('',(0.,0.,-1.)); #112087=DIRECTION('center_axis',(-1.,0.,0.)); #112088=DIRECTION('ref_axis',(0.,1.,0.)); #112089=DIRECTION('',(0.,-1.,0.)); #112090=DIRECTION('',(0.,-1.,4.85732943678985E-17)); #112091=DIRECTION('',(0.,0.,-1.)); #112092=DIRECTION('center_axis',(0.,-1.,0.)); #112093=DIRECTION('ref_axis',(-1.,0.,0.)); #112094=DIRECTION('',(1.,0.,0.)); #112095=DIRECTION('',(1.,0.,4.22558697828324E-18)); #112096=DIRECTION('center_axis',(0.,-1.,0.)); #112097=DIRECTION('ref_axis',(-1.,0.,0.)); #112098=DIRECTION('',(-1.,0.,0.)); #112099=DIRECTION('',(0.,0.,-1.)); #112100=DIRECTION('',(-1.,0.,0.)); #112101=DIRECTION('',(0.,0.,-1.)); #112102=DIRECTION('',(0.,0.,-1.)); #112103=DIRECTION('',(0.,0.,-1.)); #112104=DIRECTION('',(0.,0.,-1.)); #112105=DIRECTION('',(0.,0.,-1.)); #112106=DIRECTION('center_axis',(0.,1.,0.)); #112107=DIRECTION('ref_axis',(1.,0.,0.)); #112108=DIRECTION('',(1.,0.,0.)); #112109=DIRECTION('',(0.,0.,-1.)); #112110=DIRECTION('',(1.,0.,0.)); #112111=DIRECTION('center_axis',(-1.,0.,0.)); #112112=DIRECTION('ref_axis',(0.,1.,0.)); #112113=DIRECTION('',(0.,1.,0.)); #112114=DIRECTION('',(0.,1.,0.)); #112115=DIRECTION('center_axis',(-4.22558697828324E-18,4.85732943678985E-17, 1.)); #112116=DIRECTION('ref_axis',(1.,0.,4.22558697828324E-18)); #112117=DIRECTION('',(0.,0.,-1.)); #112118=DIRECTION('',(0.,0.,-1.)); #112119=DIRECTION('',(0.,0.,-1.)); #112120=DIRECTION('center_axis',(0.,1.,0.)); #112121=DIRECTION('ref_axis',(1.,0.,0.)); #112122=DIRECTION('',(-1.,0.,0.)); #112123=DIRECTION('',(-1.,0.,2.53532132927246E-17)); #112124=DIRECTION('',(0.,0.,-1.)); #112125=DIRECTION('center_axis',(-1.,0.,0.)); #112126=DIRECTION('ref_axis',(0.,1.,0.)); #112127=DIRECTION('',(0.,-1.,0.)); #112128=DIRECTION('',(0.,-1.,1.08757426006417E-17)); #112129=DIRECTION('',(0.,0.,-1.)); #112130=DIRECTION('center_axis',(0.,-1.,0.)); #112131=DIRECTION('ref_axis',(-1.,0.,0.)); #112132=DIRECTION('',(1.,0.,0.)); #112133=DIRECTION('',(1.,0.,-2.53532132927246E-17)); #112134=DIRECTION('center_axis',(0.,-1.,0.)); #112135=DIRECTION('ref_axis',(-1.,0.,0.)); #112136=DIRECTION('',(-1.,0.,0.)); #112137=DIRECTION('',(0.,0.,-1.)); #112138=DIRECTION('',(-1.,0.,0.)); #112139=DIRECTION('',(0.,0.,-1.)); #112140=DIRECTION('center_axis',(1.,0.,0.)); #112141=DIRECTION('ref_axis',(0.,-1.,0.)); #112142=DIRECTION('',(0.,-1.,0.)); #112143=DIRECTION('',(0.,0.,-1.)); #112144=DIRECTION('',(0.,-1.,0.)); #112145=DIRECTION('center_axis',(0.,-1.,0.)); #112146=DIRECTION('ref_axis',(-1.,0.,0.)); #112147=DIRECTION('',(-1.,0.,0.)); #112148=DIRECTION('',(0.,0.,-1.)); #112149=DIRECTION('',(-1.,0.,0.)); #112150=DIRECTION('',(0.,0.,-1.)); #112151=DIRECTION('',(0.,0.,-1.)); #112152=DIRECTION('center_axis',(-0.843279700123642,-0.537474973705178, 0.)); #112153=DIRECTION('ref_axis',(-0.537474973705178,0.843279700123641,0.)); #112154=DIRECTION('',(-0.537474973705178,0.843279700123641,0.)); #112155=DIRECTION('',(0.,0.,-1.)); #112156=DIRECTION('',(-0.537474973705178,0.843279700123641,0.)); #112157=DIRECTION('center_axis',(0.,-1.,0.)); #112158=DIRECTION('ref_axis',(-1.,0.,0.)); #112159=DIRECTION('',(-1.,0.,0.)); #112160=DIRECTION('',(0.,0.,-1.)); #112161=DIRECTION('',(-1.,0.,0.)); #112162=DIRECTION('center_axis',(0.843856093427851,0.536569560807065,0.)); #112163=DIRECTION('ref_axis',(0.536569560807065,-0.843856093427851,0.)); #112164=DIRECTION('',(0.536569560807065,-0.843856093427851,0.)); #112165=DIRECTION('',(0.,0.,-1.)); #112166=DIRECTION('',(0.536569560807065,-0.843856093427851,0.)); #112167=DIRECTION('',(0.,0.,-1.)); #112168=DIRECTION('',(0.,0.,-1.)); #112169=DIRECTION('',(0.,0.,-1.)); #112170=DIRECTION('',(0.,0.,-1.)); #112171=DIRECTION('center_axis',(0.,1.,0.)); #112172=DIRECTION('ref_axis',(1.,0.,0.)); #112173=DIRECTION('',(1.,0.,0.)); #112174=DIRECTION('',(0.,0.,-1.)); #112175=DIRECTION('',(1.,0.,0.)); #112176=DIRECTION('center_axis',(-1.,0.,0.)); #112177=DIRECTION('ref_axis',(0.,1.,0.)); #112178=DIRECTION('',(0.,1.,0.)); #112179=DIRECTION('',(0.,1.,0.)); #112180=DIRECTION('center_axis',(2.53532132927246E-17,1.08757426006417E-17, 1.)); #112181=DIRECTION('ref_axis',(1.,0.,-2.53532132927246E-17)); #112182=DIRECTION('center_axis',(0.,-1.,0.)); #112183=DIRECTION('ref_axis',(-1.,0.,0.)); #112184=DIRECTION('',(-1.,0.,0.)); #112185=DIRECTION('',(0.,0.,-1.)); #112186=DIRECTION('',(-1.,0.,0.)); #112187=DIRECTION('',(0.,0.,-1.)); #112188=DIRECTION('center_axis',(1.,0.,0.)); #112189=DIRECTION('ref_axis',(0.,-1.,0.)); #112190=DIRECTION('',(0.,-1.,0.)); #112191=DIRECTION('',(0.,0.,-1.)); #112192=DIRECTION('',(0.,-1.,0.)); #112193=DIRECTION('center_axis',(0.,-1.,0.)); #112194=DIRECTION('ref_axis',(-1.,0.,0.)); #112195=DIRECTION('',(-1.,0.,0.)); #112196=DIRECTION('',(0.,0.,-1.)); #112197=DIRECTION('',(-1.,0.,0.)); #112198=DIRECTION('center_axis',(-1.,0.,0.)); #112199=DIRECTION('ref_axis',(0.,1.,0.)); #112200=DIRECTION('',(0.,1.,0.)); #112201=DIRECTION('',(0.,0.,-1.)); #112202=DIRECTION('',(0.,1.,0.)); #112203=DIRECTION('center_axis',(0.,-1.,0.)); #112204=DIRECTION('ref_axis',(-1.,0.,0.)); #112205=DIRECTION('',(-1.,0.,0.)); #112206=DIRECTION('',(0.,0.,-1.)); #112207=DIRECTION('',(-1.,0.,0.)); #112208=DIRECTION('center_axis',(1.,0.,0.)); #112209=DIRECTION('ref_axis',(0.,-1.,0.)); #112210=DIRECTION('',(0.,-1.,0.)); #112211=DIRECTION('',(0.,0.,-1.)); #112212=DIRECTION('',(0.,-1.,0.)); #112213=DIRECTION('center_axis',(0.,1.,0.)); #112214=DIRECTION('ref_axis',(1.,0.,0.)); #112215=DIRECTION('',(1.,0.,0.)); #112216=DIRECTION('',(0.,0.,-1.)); #112217=DIRECTION('',(1.,0.,0.)); #112218=DIRECTION('center_axis',(-1.,0.,0.)); #112219=DIRECTION('ref_axis',(0.,1.,0.)); #112220=DIRECTION('',(0.,1.,0.)); #112221=DIRECTION('',(0.,0.,-1.)); #112222=DIRECTION('',(0.,1.,0.)); #112223=DIRECTION('center_axis',(0.,1.,0.)); #112224=DIRECTION('ref_axis',(1.,0.,0.)); #112225=DIRECTION('',(1.,0.,0.)); #112226=DIRECTION('',(0.,0.,-1.)); #112227=DIRECTION('',(1.,0.,0.)); #112228=DIRECTION('center_axis',(1.,0.,0.)); #112229=DIRECTION('ref_axis',(0.,-1.,0.)); #112230=DIRECTION('',(0.,-1.,0.)); #112231=DIRECTION('',(0.,0.,-1.)); #112232=DIRECTION('',(0.,-1.,0.)); #112233=DIRECTION('center_axis',(0.,1.,0.)); #112234=DIRECTION('ref_axis',(1.,0.,0.)); #112235=DIRECTION('',(1.,0.,0.)); #112236=DIRECTION('',(0.,0.,-1.)); #112237=DIRECTION('',(1.,0.,0.)); #112238=DIRECTION('center_axis',(-1.,0.,0.)); #112239=DIRECTION('ref_axis',(0.,1.,0.)); #112240=DIRECTION('',(0.,1.,0.)); #112241=DIRECTION('',(0.,1.,0.)); #112242=DIRECTION('center_axis',(0.,0.,-1.)); #112243=DIRECTION('ref_axis',(-1.,0.,0.)); #112244=DIRECTION('center_axis',(0.,0.,1.)); #112245=DIRECTION('ref_axis',(1.,0.,0.)); #112246=DIRECTION('',(0.195056722982687,0.980791963068242,0.)); #112247=DIRECTION('center_axis',(0.,0.,1.)); #112248=DIRECTION('ref_axis',(-0.634406524642249,0.772999586992997,0.)); #112249=DIRECTION('',(1.,0.,0.)); #112250=DIRECTION('center_axis',(0.,0.,1.)); #112251=DIRECTION('ref_axis',(0.634406524642251,0.772999586992995,0.)); #112252=DIRECTION('',(0.195056722982682,-0.980791963068243,0.)); #112253=DIRECTION('center_axis',(0.,0.,-1.)); #112254=DIRECTION('ref_axis',(-1.29508744855942E-16,-1.,0.)); #112255=DIRECTION('center_axis',(0.,0.,1.)); #112256=DIRECTION('ref_axis',(-0.611220344406342,0.79146047948321,0.)); #112257=DIRECTION('center_axis',(0.,0.,1.)); #112258=DIRECTION('ref_axis',(0.500942951438001,-0.865480305613354,0.)); #112259=DIRECTION('center_axis',(0.,0.,1.)); #112260=DIRECTION('ref_axis',(-0.685374717785481,0.728190563122369,0.)); #112261=DIRECTION('center_axis',(0.,0.,1.)); #112262=DIRECTION('ref_axis',(0.0506932189542488,-0.998714272228077,0.)); #112263=DIRECTION('',(-1.,9.32470781837402E-17,0.)); #112264=DIRECTION('center_axis',(0.,0.,1.)); #112265=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #112266=DIRECTION('',(0.,1.,0.)); #112267=DIRECTION('',(-1.,1.64553667383071E-16,0.)); #112268=DIRECTION('',(-1.73044533491033E-16,-1.,0.)); #112269=DIRECTION('center_axis',(0.,0.,1.)); #112270=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #112271=DIRECTION('',(-1.,-1.8649415636748E-16,0.)); #112272=DIRECTION('center_axis',(0.,0.,1.)); #112273=DIRECTION('ref_axis',(0.,1.,0.)); #112274=DIRECTION('center_axis',(0.,0.,1.)); #112275=DIRECTION('ref_axis',(0.68537471778548,0.728190563122369,0.)); #112276=DIRECTION('center_axis',(0.,0.,1.)); #112277=DIRECTION('ref_axis',(-0.957578618576143,-0.288172152099756,0.)); #112278=DIRECTION('center_axis',(0.,0.,1.)); #112279=DIRECTION('ref_axis',(0.871773898326579,-0.489908430419889,0.)); #112280=DIRECTION('center_axis',(0.,0.,-1.)); #112281=DIRECTION('ref_axis',(-1.29508744855942E-16,-1.,0.)); #112282=DIRECTION('',(-1.6658064559567E-16,1.,0.)); #112283=DIRECTION('center_axis',(0.,0.,-1.)); #112284=DIRECTION('ref_axis',(-0.789473684210527,-0.613784409983715,0.)); #112285=DIRECTION('',(0.,-1.,0.)); #112286=DIRECTION('center_axis',(0.,0.,-1.)); #112287=DIRECTION('ref_axis',(0.789473684210526,0.613784409983717,0.)); #112288=DIRECTION('center_axis',(0.,0.,-1.)); #112289=DIRECTION('ref_axis',(-1.,0.,0.)); #112290=DIRECTION('center_axis',(0.,0.,-1.)); #112291=DIRECTION('ref_axis',(-1.,0.,0.)); #112292=DIRECTION('center_axis',(0.,0.,1.)); #112293=DIRECTION('ref_axis',(1.,0.,0.)); #112294=DIRECTION('center_axis',(0.,0.,1.)); #112295=DIRECTION('ref_axis',(1.,0.,0.)); #112296=DIRECTION('center_axis',(0.,0.,1.)); #112297=DIRECTION('ref_axis',(1.,0.,0.)); #112298=DIRECTION('center_axis',(0.,0.,1.)); #112299=DIRECTION('ref_axis',(1.,0.,0.)); #112300=DIRECTION('center_axis',(0.,0.,1.)); #112301=DIRECTION('ref_axis',(1.,0.,0.)); #112302=DIRECTION('center_axis',(0.,0.,1.)); #112303=DIRECTION('ref_axis',(-0.634406524642249,0.772999586992997,0.)); #112304=DIRECTION('center_axis',(0.,0.,-1.)); #112305=DIRECTION('ref_axis',(-0.634406524642249,0.772999586992997,0.)); #112306=DIRECTION('',(0.,0.,1.)); #112307=DIRECTION('',(0.,0.,-1.)); #112308=DIRECTION('center_axis',(0.,1.,0.)); #112309=DIRECTION('ref_axis',(-1.,0.,0.)); #112310=DIRECTION('',(-1.,0.,0.)); #112311=DIRECTION('',(0.,0.,-1.)); #112312=DIRECTION('center_axis',(0.,0.,1.)); #112313=DIRECTION('ref_axis',(0.634406524642251,0.772999586992995,0.)); #112314=DIRECTION('center_axis',(0.,0.,-1.)); #112315=DIRECTION('ref_axis',(0.634406524642251,0.772999586992995,0.)); #112316=DIRECTION('',(0.,0.,1.)); #112317=DIRECTION('center_axis',(0.980791963068243,0.195056722982682,0.)); #112318=DIRECTION('ref_axis',(-0.195056722982682,0.980791963068243,0.)); #112319=DIRECTION('',(-0.195056722982682,0.980791963068243,0.)); #112320=DIRECTION('center_axis',(-0.980791963068243,-0.195056722982682, 0.)); #112321=DIRECTION('ref_axis',(0.195056722982682,-0.980791963068243,0.)); #112322=DIRECTION('',(0.,0.,1.)); #112323=DIRECTION('',(-0.195056722982682,0.980791963068243,0.)); #112324=DIRECTION('',(0.,0.,-1.)); #112325=DIRECTION('center_axis',(0.980791963068243,0.195056722982682,0.)); #112326=DIRECTION('ref_axis',(0.195056722982682,-0.980791963068243,0.)); #112327=DIRECTION('center_axis',(-0.195056722982687,-0.980791963068242, 0.)); #112328=DIRECTION('ref_axis',(0.693524648018818,-0.137925931537085,-0.707106781186548)); #112329=DIRECTION('',(0.195056722982687,0.980791963068242,0.)); #112330=DIRECTION('',(-0.195056722982687,-0.980791963068242,0.)); #112331=DIRECTION('center_axis',(0.,0.,1.)); #112332=DIRECTION('ref_axis',(1.,0.,0.)); #112333=DIRECTION('center_axis',(0.,0.,-1.)); #112334=DIRECTION('ref_axis',(0.48990843041989,-0.871773898326579,0.)); #112335=DIRECTION('',(-0.489908430419889,-0.871773898326579,0.)); #112336=DIRECTION('center_axis',(0.,0.,1.)); #112337=DIRECTION('ref_axis',(-0.489908430419889,0.871773898326579,0.)); #112338=DIRECTION('center_axis',(0.,0.,-1.)); #112339=DIRECTION('ref_axis',(0.48990843041989,0.871773898326579,0.)); #112340=DIRECTION('',(0.,0.,1.)); #112341=DIRECTION('center_axis',(0.,0.,1.)); #112342=DIRECTION('ref_axis',(-1.29508744855942E-16,-1.,0.)); #112343=DIRECTION('',(0.,0.,-1.)); #112344=DIRECTION('center_axis',(-0.195056722982682,0.980791963068243,0.)); #112345=DIRECTION('ref_axis',(-0.69352464801882,-0.137925931537077,-0.707106781186548)); #112346=DIRECTION('',(0.195056722982682,-0.980791963068243,0.)); #112347=DIRECTION('center_axis',(0.,0.,-1.)); #112348=DIRECTION('ref_axis',(0.48990843041989,0.871773898326579,0.)); #112349=DIRECTION('center_axis',(0.,0.,-1.)); #112350=DIRECTION('ref_axis',(0.48990843041989,-0.871773898326579,0.)); #112351=DIRECTION('',(0.,0.,-1.)); #112352=DIRECTION('center_axis',(0.,0.,1.)); #112353=DIRECTION('ref_axis',(-1.29508744855942E-16,-1.,0.)); #112354=DIRECTION('center_axis',(0.,0.,1.)); #112355=DIRECTION('ref_axis',(1.,0.,0.)); #112356=DIRECTION('center_axis',(0.,0.,1.)); #112357=DIRECTION('ref_axis',(-0.489908430419889,0.871773898326579,0.)); #112358=DIRECTION('',(-0.489908430419899,0.871773898326574,0.)); #112359=DIRECTION('center_axis',(0.489908430419889,0.871773898326579,0.)); #112360=DIRECTION('ref_axis',(-0.616437235168156,0.346417573310363,-0.707106781186547)); #112361=DIRECTION('',(0.489908430419889,0.871773898326579,0.)); #112362=DIRECTION('center_axis',(-0.871773898326579,0.489908430419889,0.)); #112363=DIRECTION('ref_axis',(0.489908430419889,0.871773898326579,0.)); #112364=DIRECTION('center_axis',(0.871773898326579,-0.489908430419889,0.)); #112365=DIRECTION('ref_axis',(-0.489908430419889,-0.871773898326579,0.)); #112366=DIRECTION('',(0.,0.,-1.)); #112367=DIRECTION('center_axis',(-0.871773898326579,0.489908430419889,0.)); #112368=DIRECTION('ref_axis',(-0.489908430419889,-0.871773898326579,0.)); #112369=DIRECTION('',(0.,0.,-1.)); #112370=DIRECTION('center_axis',(0.489908430419899,-0.871773898326574,0.)); #112371=DIRECTION('ref_axis',(0.616437235168152,0.346417573310369,-0.707106781186547)); #112372=DIRECTION('',(0.489908430419899,-0.871773898326574,0.)); #112373=DIRECTION('center_axis',(-0.980791963068242,0.195056722982687,0.)); #112374=DIRECTION('ref_axis',(-0.195056722982687,-0.980791963068242,0.)); #112375=DIRECTION('center_axis',(-0.980791963068242,0.195056722982687,0.)); #112376=DIRECTION('ref_axis',(-0.195056722982687,-0.980791963068242,0.)); #112377=DIRECTION('',(0.,0.,-1.)); #112378=DIRECTION('center_axis',(0.980791963068242,-0.195056722982687,0.)); #112379=DIRECTION('ref_axis',(-0.195056722982687,-0.980791963068242,0.)); #112380=DIRECTION('',(-0.195056722982687,-0.980791963068242,0.)); #112381=DIRECTION('center_axis',(0.,0.,1.)); #112382=DIRECTION('ref_axis',(1.,0.,0.)); #112383=DIRECTION('center_axis',(0.,0.,-1.)); #112384=DIRECTION('ref_axis',(-1.,0.,0.)); #112385=DIRECTION('center_axis',(-0.871773898326574,-0.489908430419899, 0.)); #112386=DIRECTION('ref_axis',(0.489908430419899,-0.871773898326574,0.)); #112387=DIRECTION('center_axis',(0.,0.,-1.)); #112388=DIRECTION('ref_axis',(-0.489908430419889,0.871773898326579,0.)); #112389=DIRECTION('center_axis',(0.,0.,-1.)); #112390=DIRECTION('ref_axis',(7.10487089774633E-17,-1.,0.)); #112391=DIRECTION('',(0.,0.,-1.)); #112392=DIRECTION('center_axis',(0.,0.,-1.)); #112393=DIRECTION('ref_axis',(-0.489908430419889,0.871773898326579,0.)); #112394=DIRECTION('center_axis',(0.,0.,-1.)); #112395=DIRECTION('ref_axis',(7.10487089774633E-17,-1.,0.)); #112396=DIRECTION('center_axis',(0.,0.,-1.)); #112397=DIRECTION('ref_axis',(-1.,0.,0.)); #112398=DIRECTION('center_axis',(0.,0.,1.)); #112399=DIRECTION('ref_axis',(7.10487089774632E-17,-1.,0.)); #112400=DIRECTION('center_axis',(0.871773898326574,0.489908430419899,0.)); #112401=DIRECTION('ref_axis',(0.489908430419899,-0.871773898326574,0.)); #112402=DIRECTION('center_axis',(0.,0.,-1.)); #112403=DIRECTION('ref_axis',(-1.,0.,0.)); #112404=DIRECTION('center_axis',(0.,0.,1.)); #112405=DIRECTION('ref_axis',(7.10487089774632E-17,-1.,0.)); #112406=DIRECTION('center_axis',(0.,0.,-1.)); #112407=DIRECTION('ref_axis',(-1.,0.,0.)); #112408=DIRECTION('center_axis',(0.,0.,-1.)); #112409=DIRECTION('ref_axis',(0.871773898326579,-0.489908430419889,0.)); #112410=DIRECTION('',(0.,0.,-1.)); #112411=DIRECTION('center_axis',(0.,0.,1.)); #112412=DIRECTION('ref_axis',(0.871773898326579,-0.489908430419889,0.)); #112413=DIRECTION('center_axis',(0.,0.,1.)); #112414=DIRECTION('ref_axis',(1.,0.,0.)); #112415=DIRECTION('center_axis',(0.,0.,1.)); #112416=DIRECTION('ref_axis',(-0.957578618576143,-0.288172152099756,0.)); #112417=DIRECTION('center_axis',(0.,0.,-1.)); #112418=DIRECTION('ref_axis',(0.68537471778548,0.728190563122369,0.)); #112419=DIRECTION('center_axis',(0.,0.,1.)); #112420=DIRECTION('ref_axis',(0.,1.,0.)); #112421=DIRECTION('',(-1.,-1.8649415636748E-16,0.)); #112422=DIRECTION('center_axis',(0.,0.,-1.)); #112423=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #112424=DIRECTION('',(-1.73044533491033E-16,-1.,0.)); #112425=DIRECTION('',(1.,-1.64553667383071E-16,0.)); #112426=DIRECTION('',(0.,1.,0.)); #112427=DIRECTION('center_axis',(0.,0.,-1.)); #112428=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #112429=DIRECTION('',(-1.,9.32470781837402E-17,0.)); #112430=DIRECTION('center_axis',(0.,0.,1.)); #112431=DIRECTION('ref_axis',(0.0506932189542488,-0.998714272228077,0.)); #112432=DIRECTION('center_axis',(0.,0.,-1.)); #112433=DIRECTION('ref_axis',(-0.685374717785481,0.728190563122369,0.)); #112434=DIRECTION('center_axis',(0.,0.,1.)); #112435=DIRECTION('ref_axis',(0.500942951438001,-0.865480305613354,0.)); #112436=DIRECTION('center_axis',(0.,0.,1.)); #112437=DIRECTION('ref_axis',(-0.611220344406342,0.79146047948321,0.)); #112438=DIRECTION('',(1.6658064559567E-16,-1.,0.)); #112439=DIRECTION('center_axis',(0.,0.,1.)); #112440=DIRECTION('ref_axis',(0.789473684210526,0.613784409983717,0.)); #112441=DIRECTION('',(0.,1.,0.)); #112442=DIRECTION('center_axis',(0.,0.,1.)); #112443=DIRECTION('ref_axis',(-0.789473684210527,-0.613784409983715,0.)); #112444=DIRECTION('center_axis',(0.,0.,1.)); #112445=DIRECTION('ref_axis',(-1.,0.,0.)); #112446=DIRECTION('center_axis',(0.,0.,1.)); #112447=DIRECTION('ref_axis',(-1.,0.,0.)); #112448=DIRECTION('center_axis',(0.871773898326574,0.489908430419899,0.)); #112449=DIRECTION('ref_axis',(0.489908430419899,-0.871773898326574,0.)); #112450=DIRECTION('',(0.,0.,-1.)); #112451=DIRECTION('center_axis',(0.,0.,-1.)); #112452=DIRECTION('ref_axis',(-0.611220344406342,0.79146047948321,0.)); #112453=DIRECTION('',(0.,0.,-1.)); #112454=DIRECTION('center_axis',(0.,0.,1.)); #112455=DIRECTION('ref_axis',(-0.957578618576143,-0.288172152099756,0.)); #112456=DIRECTION('',(0.,0.,1.)); #112457=DIRECTION('center_axis',(8.71993792970114E-17,-1.,-2.78073554036247E-16)); #112458=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #112459=DIRECTION('center_axis',(4.35293675684277E-16,1.,6.99902575177485E-16)); #112460=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #112461=DIRECTION('',(-8.71993792970114E-17,1.,2.78073554036247E-16)); #112462=DIRECTION('center_axis',(-4.35293675684278E-16,-1.,-6.99902575177483E-16)); #112463=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #112464=DIRECTION('',(8.71993792970114E-17,-1.,-2.78073554036247E-16)); #112465=DIRECTION('center_axis',(9.2691184678749E-17,-2.78073554036247E-16, 1.)); #112466=DIRECTION('ref_axis',(1.,0.,-9.2691184678749E-17)); #112467=DIRECTION('',(1.,-3.29107334766142E-16,-7.77684684610065E-17)); #112468=DIRECTION('',(8.71993792970114E-17,-1.,-2.78073554036247E-16)); #112469=DIRECTION('',(-1.,4.93661002149213E-16,7.77684684610065E-17)); #112470=DIRECTION('center_axis',(-4.35293675684277E-16,-1.,-6.99902575177485E-16)); #112471=DIRECTION('ref_axis',(1.,-4.35293675684277E-16,4.15892533688206E-16)); #112472=DIRECTION('',(2.51545956492609E-16,1.00887876527712E-16,-1.)); #112473=DIRECTION('',(1.,-4.35293675684277E-16,2.51545956492609E-16)); #112474=DIRECTION('',(2.51545956492609E-16,1.11371501293118E-15,-1.)); #112475=DIRECTION('center_axis',(4.35293675684277E-16,1.,6.99902575177485E-16)); #112476=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #112477=DIRECTION('',(-1.64553667383071E-16,-6.99902575177485E-16,1.)); #112478=DIRECTION('center_axis',(4.35293675684277E-16,1.,6.99902575177485E-16)); #112479=DIRECTION('ref_axis',(-1.,4.8728615271601E-16,-1.64553667383071E-16)); #112480=DIRECTION('',(1.64553667383071E-16,6.99902575177485E-16,-1.)); #112481=DIRECTION('center_axis',(4.35293675684277E-16,1.,6.99902575177485E-16)); #112482=DIRECTION('ref_axis',(0.999999999999976,-4.8728593708536E-16,-2.21256685884963E-7)); #112483=DIRECTION('center_axis',(-8.71993792970114E-17,1.,2.78073554036247E-16)); #112484=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #112485=DIRECTION('center_axis',(-4.35293675684278E-16,-1.,-6.99902575177483E-16)); #112486=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #112487=DIRECTION('',(-8.71993792970114E-17,1.,2.78073554036247E-16)); #112488=DIRECTION('center_axis',(0.,0.,1.)); #112489=DIRECTION('ref_axis',(-0.685374717785481,0.728190563122369,0.)); #112490=DIRECTION('',(0.,0.,1.)); #112491=DIRECTION('',(0.,0.,-1.)); #112492=DIRECTION('center_axis',(0.,0.,1.)); #112493=DIRECTION('ref_axis',(0.0506932189542488,-0.998714272228077,0.)); #112494=DIRECTION('',(0.,0.,1.)); #112495=DIRECTION('center_axis',(0.,0.,1.)); #112496=DIRECTION('ref_axis',(0.68537471778548,0.728190563122369,0.)); #112497=DIRECTION('',(0.,0.,-1.)); #112498=DIRECTION('center_axis',(0.,0.,1.)); #112499=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #112500=DIRECTION('',(0.,0.,1.)); #112501=DIRECTION('',(0.,0.,-1.)); #112502=DIRECTION('center_axis',(-1.8649415636748E-16,1.,0.)); #112503=DIRECTION('ref_axis',(-1.,-1.8649415636748E-16,0.)); #112504=DIRECTION('',(0.,0.,1.)); #112505=DIRECTION('center_axis',(0.,0.,1.)); #112506=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #112507=DIRECTION('',(0.,0.,1.)); #112508=DIRECTION('',(0.,0.,-1.)); #112509=DIRECTION('center_axis',(1.,0.,0.)); #112510=DIRECTION('ref_axis',(0.,1.,0.)); #112511=DIRECTION('',(0.,0.,1.)); #112512=DIRECTION('center_axis',(0.,0.,-1.)); #112513=DIRECTION('ref_axis',(-1.,0.,0.)); #112514=DIRECTION('',(-0.656059028990507,8.03440589904945E-17,0.754709580222772)); #112515=DIRECTION('center_axis',(0.,0.,-1.)); #112516=DIRECTION('ref_axis',(-1.,0.,0.)); #112517=DIRECTION('center_axis',(0.,0.,-1.)); #112518=DIRECTION('ref_axis',(-1.,0.,0.)); #112519=DIRECTION('',(0.,0.,1.)); #112520=DIRECTION('center_axis',(0.,0.,-1.)); #112521=DIRECTION('ref_axis',(-1.,0.,0.)); #112522=DIRECTION('',(-0.656059028990507,8.03440589904945E-17,0.754709580222772)); #112523=DIRECTION('center_axis',(0.,0.,-1.)); #112524=DIRECTION('ref_axis',(-1.,0.,0.)); #112525=DIRECTION('center_axis',(0.,0.,-1.)); #112526=DIRECTION('ref_axis',(-1.,0.,0.)); #112527=DIRECTION('',(0.,0.,1.)); #112528=DIRECTION('center_axis',(-1.,-1.6658064559567E-16,0.)); #112529=DIRECTION('ref_axis',(-1.6658064559567E-16,1.,0.)); #112530=DIRECTION('',(0.,0.,-1.)); #112531=DIRECTION('',(0.,0.,-1.)); #112532=DIRECTION('center_axis',(0.,0.,-1.)); #112533=DIRECTION('ref_axis',(0.789473684210526,0.613784409983717,0.)); #112534=DIRECTION('',(0.,0.,-1.)); #112535=DIRECTION('center_axis',(1.,0.,0.)); #112536=DIRECTION('ref_axis',(0.,-1.,0.)); #112537=DIRECTION('',(0.,0.,-1.)); #112538=DIRECTION('center_axis',(0.,0.,-1.)); #112539=DIRECTION('ref_axis',(-0.789473684210527,-0.613784409983715,0.)); #112540=DIRECTION('center_axis',(-1.,4.8728615271601E-16,-1.64553667383071E-16)); #112541=DIRECTION('ref_axis',(1.64553667383071E-16,6.99902575177483E-16, -1.)); #112542=DIRECTION('',(-4.8728615271601E-16,-1.,-9.7457230543202E-16)); #112543=DIRECTION('',(1.64553667383071E-16,6.99902575177483E-16,-1.)); #112544=DIRECTION('',(-4.8728615271601E-16,-1.,-9.7457230543202E-16)); #112545=DIRECTION('center_axis',(-4.8728615271601E-16,-1.,-9.7457230543202E-16)); #112546=DIRECTION('ref_axis',(-1.,4.8728615271601E-16,-1.64553667383071E-16)); #112547=DIRECTION('center_axis',(-4.35293675684278E-16,-1.,-6.99902575177483E-16)); #112548=DIRECTION('ref_axis',(-1.,4.8728615271601E-16,-1.64553667383071E-16)); #112549=DIRECTION('',(-4.8728615271601E-16,-1.,-9.7457230543202E-16)); #112550=DIRECTION('center_axis',(1.,-4.8728615271601E-16,1.64553667383071E-16)); #112551=DIRECTION('ref_axis',(-1.64553667383071E-16,-6.99902575177483E-16, 1.)); #112552=DIRECTION('',(-1.64553667383071E-16,-6.99902575177483E-16,1.)); #112553=DIRECTION('',(-4.8728615271601E-16,-1.,-9.7457230543202E-16)); #112554=DIRECTION('center_axis',(-4.8728615271601E-16,-1.,-9.7457230543202E-16)); #112555=DIRECTION('ref_axis',(0.999999999999976,-4.8728593708536E-16,-2.21256685884963E-7)); #112556=DIRECTION('center_axis',(-4.35293675684278E-16,-1.,-6.99902575177483E-16)); #112557=DIRECTION('ref_axis',(0.999999999999976,-4.8728593708536E-16,-2.21256685884963E-7)); #112558=DIRECTION('center_axis',(1.,-1.64346577195598E-16,2.51545956492609E-16)); #112559=DIRECTION('ref_axis',(2.51545956492609E-16,-9.82082319615784E-16, -1.)); #112560=DIRECTION('',(-1.,4.35293675684278E-16,-2.51545956492609E-16)); #112561=DIRECTION('center_axis',(1.,-1.64346577195598E-16,2.51545956492609E-16)); #112562=DIRECTION('ref_axis',(3.64854489971855E-16,-5.10796285960597E-15, -1.)); #112563=DIRECTION('',(-1.,1.64346577195598E-16,-3.28900244578669E-16)); #112564=DIRECTION('center_axis',(-1.,1.64346577195598E-16,-2.51545956492609E-16)); #112565=DIRECTION('ref_axis',(0.,1.,0.)); #112566=DIRECTION('center_axis',(-1.,-8.71993792970113E-17,-2.51545956492609E-16)); #112567=DIRECTION('ref_axis',(8.71993792970118E-17,-1.,-1.71512449944288E-15)); #112568=DIRECTION('',(2.51545956492609E-16,1.00887876527712E-16,-1.)); #112569=DIRECTION('',(8.71993792970115E-17,-1.,-7.33042179827099E-16)); #112570=DIRECTION('center_axis',(1.,-1.64346577195598E-16,2.51545956492609E-16)); #112571=DIRECTION('ref_axis',(2.51545956492609E-16,0.,-1.)); #112572=DIRECTION('',(-8.71993792970114E-17,1.,7.33042179827099E-16)); #112573=DIRECTION('center_axis',(-1.,1.64346577195598E-16,-2.51545956492609E-16)); #112574=DIRECTION('ref_axis',(0.,1.,1.45698559662094E-15)); #112575=DIRECTION('',(3.28900244578668E-16,-9.49410759657493E-16,-1.)); #112576=DIRECTION('center_axis',(-1.,1.64346577195598E-16,-2.51545956492609E-16)); #112577=DIRECTION('ref_axis',(-2.51545956492609E-16,0.,1.)); #112578=DIRECTION('',(3.28900244578668E-16,-9.49410759657493E-16,-1.)); #112579=DIRECTION('center_axis',(-1.,1.64346577195598E-16,-2.51545956492609E-16)); #112580=DIRECTION('ref_axis',(0.,1.,7.28492798310468E-16)); #112581=DIRECTION('center_axis',(1.,8.71993792970114E-17,-7.73542880860592E-17)); #112582=DIRECTION('ref_axis',(-8.71993792970113E-17,1.,1.71512449944288E-15)); #112583=DIRECTION('',(7.73542880860594E-17,-7.33042179827099E-16,1.)); #112584=DIRECTION('center_axis',(-4.35293675684278E-16,-1.,-6.99902575177483E-16)); #112585=DIRECTION('ref_axis',(1.,-4.35293675684278E-16,4.15892533688206E-16)); #112586=DIRECTION('center_axis',(1.,-1.64346577195598E-16,2.51545956492609E-16)); #112587=DIRECTION('ref_axis',(2.51545956492609E-16,-9.82082319615784E-16, -1.)); #112588=DIRECTION('',(-1.,1.64346577195598E-16,-3.28900244578669E-16)); #112589=DIRECTION('center_axis',(1.,-1.64346577195598E-16,1.64553667383071E-16)); #112590=DIRECTION('ref_axis',(-1.64346577195598E-16,-1.,-4.94246798071244E-16)); #112591=DIRECTION('',(-1.,1.64346577195598E-16,-2.30449332469139E-16)); #112592=DIRECTION('center_axis',(1.,-1.64346577195598E-16,1.64553667383071E-16)); #112593=DIRECTION('ref_axis',(0.,-1.,-1.82427244985927E-15)); #112594=DIRECTION('',(1.,-1.64760757570544E-16,1.64553667383071E-16)); #112595=DIRECTION('center_axis',(-1.,1.64346577195598E-16,-1.64553667383071E-16)); #112596=DIRECTION('ref_axis',(0.,0.,-1.)); #112597=DIRECTION('center_axis',(-1.,1.64346577195598E-16,-3.28900244578669E-16)); #112598=DIRECTION('ref_axis',(-1.64346577195598E-16,-1.,9.49410759657493E-16)); #112599=DIRECTION('',(-3.28900244578669E-16,4.55163961586249E-16,1.)); #112600=DIRECTION('',(-1.64346577195598E-16,-1.,7.7569647312727E-16)); #112601=DIRECTION('',(-1.64346577195598E-16,-1.,7.7569647312727E-16)); #112602=DIRECTION('center_axis',(-2.51545956492609E-16,9.82082319615784E-16, 1.)); #112603=DIRECTION('ref_axis',(2.07090187473061E-19,-1.,9.82082319615784E-16)); #112604=DIRECTION('',(1.,-1.64346577195598E-16,2.51545956492609E-16)); #112605=DIRECTION('',(-1.64346577195598E-16,-1.,7.7569647312727E-16)); #112606=DIRECTION('center_axis',(1.,-1.64346577195598E-16,1.64553667383071E-16)); #112607=DIRECTION('ref_axis',(1.64553667383071E-16,0.,-1.)); #112608=DIRECTION('',(3.28900244578668E-16,-4.55163961586249E-16,-1.)); #112609=DIRECTION('center_axis',(-1.,1.64346577195598E-16,-1.64553667383071E-16)); #112610=DIRECTION('ref_axis',(0.,-1.45698559662094E-15,-1.)); #112611=DIRECTION('',(1.64553667383071E-16,1.,2.70779094492183E-32)); #112612=DIRECTION('center_axis',(-1.,1.64346577195598E-16,-1.64553667383071E-16)); #112613=DIRECTION('ref_axis',(-1.64553667383071E-16,0.,1.)); #112614=DIRECTION('',(-1.64553667383071E-16,-1.,-2.70779094492183E-32)); #112615=DIRECTION('center_axis',(-1.,1.64346577195598E-16,-1.64553667383071E-16)); #112616=DIRECTION('ref_axis',(0.,0.,-1.)); #112617=DIRECTION('center_axis',(1.,2.07090187473376E-19,3.28900244578668E-16)); #112618=DIRECTION('ref_axis',(-2.07090187473072E-19,1.,-9.49410759657493E-16)); #112619=DIRECTION('',(-2.07090187473234E-19,1.,-4.55163961586249E-16)); #112620=DIRECTION('center_axis',(-2.30449332469138E-16,9.65648262406045E-16, 1.)); #112621=DIRECTION('ref_axis',(2.07090187473066E-19,-1.,9.65648262406045E-16)); #112622=DIRECTION('center_axis',(1.,-1.64346577195598E-16,1.64553667383071E-16)); #112623=DIRECTION('ref_axis',(-1.64346577195598E-16,-1.,-4.94246798071244E-16)); #112624=DIRECTION('',(-1.,1.64346577195598E-16,-1.64553667383071E-16)); #112625=DIRECTION('center_axis',(-1.,1.64553667383071E-16,2.46519032881566E-32)); #112626=DIRECTION('ref_axis',(1.64553667383071E-16,1.,5.0532154980743E-16)); #112627=DIRECTION('',(-8.08280080645936E-32,-4.84010615197476E-16,1.)); #112628=DIRECTION('',(1.64553667383071E-16,1.,5.0532154980743E-16)); #112629=DIRECTION('',(4.93038065763132E-32,5.0532154980743E-16,-1.)); #112630=DIRECTION('center_axis',(1.64760757570544E-16,1.,4.94246798071244E-16)); #112631=DIRECTION('ref_axis',(1.,-1.64760757570544E-16,-1.64553667383071E-16)); #112632=DIRECTION('',(-8.08280080645936E-32,-4.84010615197476E-16,1.)); #112633=DIRECTION('',(-1.,1.64760757570544E-16,1.04647134483911E-34)); #112634=DIRECTION('center_axis',(-1.,1.64553667383071E-16,0.)); #112635=DIRECTION('ref_axis',(0.,0.,1.)); #112636=DIRECTION('',(1.,-1.64346577195598E-16,1.0464713448391E-34)); #112637=DIRECTION('center_axis',(-1.,1.64553667383071E-16,0.)); #112638=DIRECTION('ref_axis',(0.,7.2970897994371E-16,1.)); #112639=DIRECTION('center_axis',(1.,-1.64553667383071E-16,0.)); #112640=DIRECTION('ref_axis',(0.,1.,5.47281734957782E-16)); #112641=DIRECTION('center_axis',(1.,-1.64553667383071E-16,0.)); #112642=DIRECTION('ref_axis',(-1.64553667383071E-16,-1.,-5.0532154980743E-16)); #112643=DIRECTION('',(-8.08280080645936E-32,-4.84010615197476E-16,1.)); #112644=DIRECTION('',(-1.64553667383071E-16,-1.,-5.0532154980743E-16)); #112645=DIRECTION('center_axis',(-1.,1.64553667383071E-16,0.)); #112646=DIRECTION('ref_axis',(0.,0.,1.)); #112647=DIRECTION('center_axis',(1.,-1.64553667383071E-16,0.)); #112648=DIRECTION('ref_axis',(0.,1.,5.46369598732853E-16)); #112649=DIRECTION('',(0.,0.,-1.)); #112650=DIRECTION('center_axis',(1.,-1.64553667383071E-16,0.)); #112651=DIRECTION('ref_axis',(0.,0.,-1.)); #112652=DIRECTION('center_axis',(1.,-1.64553667383071E-16,0.)); #112653=DIRECTION('ref_axis',(0.,1.,5.46369598732853E-16)); #112654=DIRECTION('center_axis',(1.64346577195598E-16,1.,4.94246798071244E-16)); #112655=DIRECTION('ref_axis',(1.,-1.64346577195598E-16,-1.64553667383071E-16)); #112656=DIRECTION('center_axis',(-1.,1.64553667383071E-16,0.)); #112657=DIRECTION('ref_axis',(0.,0.,1.)); #112658=DIRECTION('center_axis',(9.32470781837402E-17,1.,0.)); #112659=DIRECTION('ref_axis',(-1.,9.32470781837402E-17,0.)); #112660=DIRECTION('center_axis',(0.,0.,1.)); #112661=DIRECTION('ref_axis',(0.500942951438001,-0.865480305613354,0.)); #112662=DIRECTION('center_axis',(0.,0.,1.)); #112663=DIRECTION('ref_axis',(0.,1.,0.)); #112664=DIRECTION('center_axis',(-1.,1.73044533491033E-16,0.)); #112665=DIRECTION('ref_axis',(-1.73044533491033E-16,-1.,0.)); #112666=DIRECTION('axis',(0.,0.,1.)); #112667=DIRECTION('refdir',(1.,0.,0.)); #112668=DIRECTION('center_axis',(1.,-3.70412061328485E-51,4.88042201524606E-33)); #112669=DIRECTION('ref_axis',(-6.58887371451908E-82,-1.,8.55284707229503E-50)); #112670=DIRECTION('',(5.27109897161526E-82,1.,-4.27642353614751E-50)); #112671=DIRECTION('',(4.88042201524606E-33,1.92592994438724E-34,-1.)); #112672=DIRECTION('',(-5.27109897161526E-82,-1.,4.27642353614751E-50)); #112673=DIRECTION('',(4.88042201524606E-33,1.92592994438724E-34,-1.)); #112674=DIRECTION('center_axis',(4.88042201524606E-33,1.92592994438724E-34, -1.)); #112675=DIRECTION('ref_axis',(-0.789473684210527,-0.613784409983715,-3.97117532633704E-33)); #112676=DIRECTION('center_axis',(-2.73691106313441E-48,4.27642353614751E-50, 1.)); #112677=DIRECTION('ref_axis',(-0.789473684210527,-0.613784409983715,-3.97117532633704E-33)); #112678=DIRECTION('center_axis',(2.73691106313441E-48,-4.27642353614751E-50, -1.)); #112679=DIRECTION('ref_axis',(-0.789473684210527,-0.613784409983715,-3.97117532633704E-33)); #112680=DIRECTION('',(4.88042201524606E-33,1.92592994438724E-34,-1.)); #112681=DIRECTION('center_axis',(-1.,-1.6658064559567E-16,-4.88042201524606E-33)); #112682=DIRECTION('ref_axis',(-1.6658064559567E-16,1.,-8.55284707229503E-50)); #112683=DIRECTION('',(1.6658064559567E-16,-1.,4.27642353614751E-50)); #112684=DIRECTION('',(-1.6658064559567E-16,1.,-4.27642353614751E-50)); #112685=DIRECTION('',(4.88042201524606E-33,1.92592994438724E-34,-1.)); #112686=DIRECTION('center_axis',(4.88042201524606E-33,1.92592994438724E-34, -1.)); #112687=DIRECTION('ref_axis',(0.789473684210526,0.613784409983717,3.97117532633704E-33)); #112688=DIRECTION('center_axis',(-2.73691106313441E-48,4.27642353614751E-50, 1.)); #112689=DIRECTION('ref_axis',(0.789473684210526,0.613784409983717,3.97117532633704E-33)); #112690=DIRECTION('center_axis',(2.73691106313441E-48,-4.27642353614751E-50, -1.)); #112691=DIRECTION('ref_axis',(0.789473684210526,0.613784409983717,3.97117532633704E-33)); #112692=DIRECTION('center_axis',(3.71996322541517E-15,-6.83346158542582E-31, 1.)); #112693=DIRECTION('ref_axis',(0.,-1.,0.)); #112694=DIRECTION('center_axis',(3.71996322541517E-15,-6.83346158542582E-31, 1.)); #112695=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,4.47774285490401E-50)); #112696=DIRECTION('center_axis',(1.,0.,-3.71996322541517E-15)); #112697=DIRECTION('ref_axis',(0.,1.,0.)); #112698=DIRECTION('center_axis',(-1.85998161270758E-15,3.41673079271291E-31, -1.)); #112699=DIRECTION('ref_axis',(1.,-3.704120613285E-51,-1.85998161270758E-15)); #112700=DIRECTION('center_axis',(1.,3.02575301504338E-45,-3.71996322541517E-15)); #112701=DIRECTION('ref_axis',(0.,1.,8.13382507217041E-31)); #112702=DIRECTION('center_axis',(3.71996322541517E-15,-6.83346158542582E-31, 1.)); #112703=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,4.51105252445381E-50)); #112704=DIRECTION('center_axis',(3.71996322541517E-15,-6.83346158542582E-31, 1.)); #112705=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,4.27642353614751E-50)); #112706=DIRECTION('center_axis',(-4.55564105695055E-31,-3.71996322541517E-15, 1.)); #112707=DIRECTION('ref_axis',(-1.,0.,0.)); #112708=DIRECTION('center_axis',(-4.55564105695055E-31,-3.71996322541517E-15, 1.)); #112709=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-2.86575542713856E-48)); #112710=DIRECTION('center_axis',(0.,-1.,-3.71996322541517E-15)); #112711=DIRECTION('ref_axis',(1.,0.,0.)); #112712=DIRECTION('center_axis',(2.27782052847527E-31,1.85998161270758E-15, -1.)); #112713=DIRECTION('ref_axis',(1.,-3.70412061328486E-51,2.27782052847527E-31)); #112714=DIRECTION('center_axis',(3.02575301504338E-45,-1.,-3.71996322541517E-15)); #112715=DIRECTION('ref_axis',(1.,0.,8.13382507217041E-31)); #112716=DIRECTION('center_axis',(-4.55564105695055E-31,-3.71996322541517E-15, 1.)); #112717=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-2.88707361565044E-48)); #112718=DIRECTION('center_axis',(-4.55564105695055E-31,-3.71996322541517E-15, 1.)); #112719=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-2.73691106313441E-48)); #112720=DIRECTION('center_axis',(-3.71996322541517E-15,2.27782052847527E-31, 1.)); #112721=DIRECTION('ref_axis',(0.,1.,0.)); #112722=DIRECTION('center_axis',(-3.71996322541517E-15,2.27782052847527E-31, 1.)); #112723=DIRECTION('ref_axis',(6.12323399573677E-17,1.,-4.47774285490401E-50)); #112724=DIRECTION('center_axis',(-1.,0.,-3.71996322541517E-15)); #112725=DIRECTION('ref_axis',(0.,-1.,0.)); #112726=DIRECTION('center_axis',(1.85998161270758E-15,-1.13891026423764E-31, -1.)); #112727=DIRECTION('ref_axis',(1.,-3.70412061328473E-51,1.85998161270758E-15)); #112728=DIRECTION('center_axis',(-1.,-3.02575301504338E-45,-3.71996322541517E-15)); #112729=DIRECTION('ref_axis',(0.,-1.,8.13382507217041E-31)); #112730=DIRECTION('center_axis',(-3.71996322541517E-15,2.27782052847527E-31, 1.)); #112731=DIRECTION('ref_axis',(6.12323399573676E-17,1.,-4.51105252445381E-50)); #112732=DIRECTION('center_axis',(-3.71996322541517E-15,2.27782052847527E-31, 1.)); #112733=DIRECTION('ref_axis',(6.12323399573677E-17,1.,-4.27642353614751E-50)); #112734=DIRECTION('center_axis',(2.73691106313441E-48,-4.27642353614751E-50, -1.)); #112735=DIRECTION('ref_axis',(-1.,3.70412061328486E-51,-2.73691106313441E-48)); #112736=DIRECTION('center_axis',(2.73691106313441E-48,-4.27642353614751E-50, -1.)); #112737=DIRECTION('ref_axis',(-1.,3.70412061328486E-51,-3.04385322204547E-48)); #112738=DIRECTION('center_axis',(2.73691106313441E-48,-1.85998161270758E-15, -1.)); #112739=DIRECTION('ref_axis',(1.,-3.70412061328486E-51,2.96179816011159E-48)); #112740=DIRECTION('center_axis',(-3.71996322541517E-15,9.39003367947746E-31, 1.)); #112741=DIRECTION('ref_axis',(0.,-1.,0.)); #112742=DIRECTION('center_axis',(-1.85998161270758E-15,4.69501683973873E-31, 1.)); #112743=DIRECTION('ref_axis',(1.,-3.70412061328472E-51,1.85998161270758E-15)); #112744=DIRECTION('center_axis',(-1.,0.,-3.71996322541517E-15)); #112745=DIRECTION('ref_axis',(0.,1.,0.)); #112746=DIRECTION('center_axis',(-3.71996322541517E-15,9.39003367947746E-31, 1.)); #112747=DIRECTION('ref_axis',(1.,-2.52422755561771E-16,2.14931657035391E-48)); #112748=DIRECTION('center_axis',(-3.71996322541517E-15,9.39003367947746E-31, 1.)); #112749=DIRECTION('ref_axis',(1.,-2.52422755561771E-16,2.88707361564663E-48)); #112750=DIRECTION('center_axis',(-1.,0.,-3.71996322541517E-15)); #112751=DIRECTION('ref_axis',(0.,1.,0.)); #112752=DIRECTION('center_axis',(-3.71996322541517E-15,9.39003367947746E-31, 1.)); #112753=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,2.55657209405164E-31)); #112754=DIRECTION('center_axis',(7.11221315100219E-31,3.71996322541517E-15, 1.)); #112755=DIRECTION('ref_axis',(-1.,0.,0.)); #112756=DIRECTION('center_axis',(3.55610657550109E-31,1.85998161270758E-15, 1.)); #112757=DIRECTION('ref_axis',(1.,-3.70412061328486E-51,-3.55610657550109E-31)); #112758=DIRECTION('center_axis',(0.,1.,-3.71996322541517E-15)); #112759=DIRECTION('ref_axis',(1.,0.,0.)); #112760=DIRECTION('center_axis',(7.11221315100219E-31,3.71996322541517E-15, 1.)); #112761=DIRECTION('ref_axis',(-1.91190415604403E-16,-1.,4.47774285490399E-50)); #112762=DIRECTION('center_axis',(7.11221315100219E-31,3.71996322541517E-15, 1.)); #112763=DIRECTION('ref_axis',(-1.91190415604403E-16,-1.,4.51105252444786E-50)); #112764=DIRECTION('center_axis',(0.,1.,-3.71996322541517E-15)); #112765=DIRECTION('ref_axis',(1.,0.,0.)); #112766=DIRECTION('center_axis',(7.11221315100219E-31,3.71996322541517E-15, 1.)); #112767=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,2.55657209405164E-31)); #112768=DIRECTION('center_axis',(3.71996322541517E-15,-4.83439262252691E-31, 1.)); #112769=DIRECTION('ref_axis',(0.,1.,0.)); #112770=DIRECTION('center_axis',(1.85998161270758E-15,-2.41719631126346E-31, 1.)); #112771=DIRECTION('ref_axis',(1.,-3.70412061328498E-51,-1.85998161270758E-15)); #112772=DIRECTION('center_axis',(1.,0.,-3.71996322541517E-15)); #112773=DIRECTION('ref_axis',(0.,-1.,0.)); #112774=DIRECTION('center_axis',(3.71996322541517E-15,-4.83439262252691E-31, 1.)); #112775=DIRECTION('ref_axis',(-1.,1.29958075647035E-16,-2.14931657035391E-48)); #112776=DIRECTION('center_axis',(3.71996322541517E-15,-4.83439262252691E-31, 1.)); #112777=DIRECTION('ref_axis',(-1.,1.29958075647035E-16,-2.88707361564663E-48)); #112778=DIRECTION('center_axis',(1.,0.,-3.71996322541517E-15)); #112779=DIRECTION('ref_axis',(0.,-1.,0.)); #112780=DIRECTION('center_axis',(3.71996322541517E-15,-4.83439262252691E-31, 1.)); #112781=DIRECTION('ref_axis',(6.12323399573677E-17,1.,2.55657209405164E-31)); #112782=DIRECTION('center_axis',(2.73691106313441E-48,-4.27642353614751E-50, -1.)); #112783=DIRECTION('ref_axis',(-1.,3.70412061328486E-51,-2.73691106313441E-48)); #112784=DIRECTION('center_axis',(2.73691106313441E-48,-4.27642353614751E-50, -1.)); #112785=DIRECTION('ref_axis',(-1.,3.70412061328486E-51,-3.04385322204547E-48)); #112786=DIRECTION('center_axis',(-1.27828604702582E-31,-1.85998161270758E-15, 1.)); #112787=DIRECTION('ref_axis',(1.,-3.70412061328486E-51,1.27828604702582E-31)); #112788=DIRECTION('center_axis',(-2.73691106313441E-48,3.71996322541517E-15, 1.)); #112789=DIRECTION('ref_axis',(1.,0.,0.)); #112790=DIRECTION('center_axis',(-2.73691106313441E-48,3.71996322541517E-15, 1.)); #112791=DIRECTION('ref_axis',(1.,-3.70412061328486E-51,2.86575542713856E-48)); #112792=DIRECTION('center_axis',(0.,1.,-3.71996322541517E-15)); #112793=DIRECTION('ref_axis',(-1.,0.,0.)); #112794=DIRECTION('center_axis',(-3.02575301504338E-45,1.,-3.71996322541517E-15)); #112795=DIRECTION('ref_axis',(-1.,0.,8.13382507217041E-31)); #112796=DIRECTION('center_axis',(-2.73691106313441E-48,3.71996322541517E-15, 1.)); #112797=DIRECTION('ref_axis',(1.,-3.70412061328486E-51,2.88707361565044E-48)); #112798=DIRECTION('center_axis',(-2.73691106313441E-48,3.71996322541517E-15, 1.)); #112799=DIRECTION('ref_axis',(1.,-3.70412061328486E-51,2.73691106313441E-48)); #112800=DIRECTION('center_axis',(-2.55657209405164E-31,-3.71996322541517E-15, 1.)); #112801=DIRECTION('ref_axis',(1.,0.,0.)); #112802=DIRECTION('center_axis',(0.,-1.,-3.71996322541517E-15)); #112803=DIRECTION('ref_axis',(-1.,0.,0.)); #112804=DIRECTION('center_axis',(-2.55657209405164E-31,-3.71996322541517E-15, 1.)); #112805=DIRECTION('ref_axis',(6.87257356896676E-17,1.,-4.47774285490399E-50)); #112806=DIRECTION('center_axis',(-2.55657209405164E-31,-3.71996322541517E-15, 1.)); #112807=DIRECTION('ref_axis',(6.87257356896676E-17,1.,-4.51105252444786E-50)); #112808=DIRECTION('center_axis',(0.,-1.,-3.71996322541517E-15)); #112809=DIRECTION('ref_axis',(-1.,0.,0.)); #112810=DIRECTION('center_axis',(-2.55657209405164E-31,-3.71996322541517E-15, 1.)); #112811=DIRECTION('ref_axis',(1.,-3.70412061328486E-51,2.55657209405164E-31)); #112812=DIRECTION('center_axis',(-2.73691106313441E-48,4.27642353614751E-50, 1.)); #112813=DIRECTION('ref_axis',(-1.,3.70412061328486E-51,-3.04385322204547E-48)); #112814=DIRECTION('',(2.73691106313441E-48,-4.27642353614751E-50,-1.)); #112815=DIRECTION('',(0.,0.,1.)); #112816=DIRECTION('',(1.,0.,0.)); #112817=DIRECTION('',(4.88042201524606E-33,1.92592994438724E-34,1.)); #112818=DIRECTION('',(1.,-5.19312092991789E-67,-4.88042201524606E-33)); #112819=DIRECTION('axis',(0.,0.,1.)); #112820=DIRECTION('refdir',(1.,0.,0.)); #112821=DIRECTION('center_axis',(0.,0.,1.)); #112822=DIRECTION('ref_axis',(1.,0.,0.)); #112823=DIRECTION('center_axis',(0.,0.,-1.)); #112824=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,0.)); #112825=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #112826=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #112827=DIRECTION('center_axis',(0.,0.,1.)); #112828=DIRECTION('ref_axis',(-3.06161699786838E-17,-1.,0.)); #112829=DIRECTION('center_axis',(0.,0.,1.)); #112830=DIRECTION('ref_axis',(-3.06161699786838E-17,-1.,0.)); #112831=DIRECTION('center_axis',(0.,0.,1.)); #112832=DIRECTION('ref_axis',(0.,1.,0.)); #112833=DIRECTION('',(-8.03440589904945E-17,0.656059028990507,-0.754709580222772)); #112834=DIRECTION('center_axis',(0.,0.,-1.)); #112835=DIRECTION('ref_axis',(-1.,0.,0.)); #112836=DIRECTION('center_axis',(0.,0.,-1.)); #112837=DIRECTION('ref_axis',(-1.,0.,0.)); #112838=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #112839=DIRECTION('ref_axis',(1.,0.,0.)); #112840=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #112841=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #112842=DIRECTION('center_axis',(1.,0.,0.)); #112843=DIRECTION('ref_axis',(0.,-1.,0.)); #112844=DIRECTION('',(1.,0.,0.)); #112845=DIRECTION('center_axis',(0.,0.,1.)); #112846=DIRECTION('ref_axis',(1.,0.,0.)); #112847=DIRECTION('center_axis',(0.,0.,-1.)); #112848=DIRECTION('ref_axis',(1.,0.,0.)); #112849=DIRECTION('',(0.707106781186547,8.65956056235493E-17,-0.707106781186548)); #112850=DIRECTION('center_axis',(0.,0.,1.)); #112851=DIRECTION('ref_axis',(1.,0.,0.)); #112852=DIRECTION('center_axis',(0.,0.,-1.)); #112853=DIRECTION('ref_axis',(1.,0.,0.)); #112854=DIRECTION('',(0.,0.,1.)); #112855=DIRECTION('',(0.,0.,1.)); #112856=DIRECTION('center_axis',(0.,0.,1.)); #112857=DIRECTION('ref_axis',(1.,0.,0.)); #112858=DIRECTION('center_axis',(0.,0.,1.)); #112859=DIRECTION('ref_axis',(1.,0.,0.)); #112860=DIRECTION('center_axis',(0.,0.,-1.)); #112861=DIRECTION('ref_axis',(-1.,0.,0.)); #112862=DIRECTION('center_axis',(0.,0.,-1.)); #112863=DIRECTION('ref_axis',(-1.,0.,0.)); #112864=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #112865=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #112866=DIRECTION('center_axis',(0.,0.,1.)); #112867=DIRECTION('ref_axis',(-1.,0.,0.)); #112868=DIRECTION('center_axis',(0.,0.,-1.)); #112869=DIRECTION('ref_axis',(-1.,0.,0.)); #112870=DIRECTION('center_axis',(0.,0.,-1.)); #112871=DIRECTION('ref_axis',(-1.,0.,0.)); #112872=DIRECTION('center_axis',(0.,0.,1.)); #112873=DIRECTION('ref_axis',(-1.,0.,0.)); #112874=DIRECTION('',(0.,0.,-1.)); #112875=DIRECTION('center_axis',(0.,0.,-1.)); #112876=DIRECTION('ref_axis',(-1.,0.,0.)); #112877=DIRECTION('center_axis',(0.,0.,1.)); #112878=DIRECTION('ref_axis',(-1.,0.,0.)); #112879=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #112880=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #112881=DIRECTION('center_axis',(0.,0.,-1.)); #112882=DIRECTION('ref_axis',(-1.,0.,0.)); #112883=DIRECTION('center_axis',(0.,0.,-1.)); #112884=DIRECTION('ref_axis',(-1.,0.,0.)); #112885=DIRECTION('',(0.,0.,1.)); #112886=DIRECTION('center_axis',(0.,0.,-1.)); #112887=DIRECTION('ref_axis',(-1.,0.,0.)); #112888=DIRECTION('center_axis',(0.,0.,1.)); #112889=DIRECTION('ref_axis',(1.,0.,0.)); #112890=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #112891=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #112892=DIRECTION('center_axis',(0.,0.,1.)); #112893=DIRECTION('ref_axis',(-1.,0.,0.)); #112894=DIRECTION('center_axis',(0.,0.,-1.)); #112895=DIRECTION('ref_axis',(-1.,0.,0.)); #112896=DIRECTION('center_axis',(0.,0.,-1.)); #112897=DIRECTION('ref_axis',(1.,0.,0.)); #112898=DIRECTION('center_axis',(0.,0.,1.)); #112899=DIRECTION('ref_axis',(1.,0.,0.)); #112900=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #112901=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #112902=DIRECTION('',(0.,0.,1.)); #112903=DIRECTION('',(1.,0.,0.)); #112904=DIRECTION('',(-2.73334396260383E-32,5.88214447409554E-47,-1.)); #112905=DIRECTION('',(2.15199570729917E-15,1.,0.)); #112906=DIRECTION('',(6.45839571769833E-34,4.93038065763132E-32,-1.)); #112907=DIRECTION('',(1.55756490608486E-15,1.,4.93038065763132E-32)); #112908=DIRECTION('axis',(0.,0.,1.)); #112909=DIRECTION('refdir',(1.,0.,0.)); #112910=DIRECTION('center_axis',(0.,0.,1.)); #112911=DIRECTION('ref_axis',(-1.,0.,0.)); #112912=DIRECTION('center_axis',(0.,0.,1.)); #112913=DIRECTION('ref_axis',(-1.,0.,0.)); #112914=DIRECTION('',(0.,0.,1.)); #112915=DIRECTION('center_axis',(0.,0.,-1.)); #112916=DIRECTION('ref_axis',(-1.,0.,0.)); #112917=DIRECTION('center_axis',(0.,0.,1.)); #112918=DIRECTION('ref_axis',(-1.,0.,0.)); #112919=DIRECTION('center_axis',(0.,0.,-1.)); #112920=DIRECTION('ref_axis',(-1.,0.,0.)); #112921=DIRECTION('',(0.,0.,-1.)); #112922=DIRECTION('center_axis',(0.,0.,-1.)); #112923=DIRECTION('ref_axis',(-1.,0.,0.)); #112924=DIRECTION('center_axis',(0.,0.,-1.)); #112925=DIRECTION('ref_axis',(-1.,0.,0.)); #112926=DIRECTION('center_axis',(0.,0.,-1.)); #112927=DIRECTION('ref_axis',(-1.,0.,0.)); #112928=DIRECTION('axis',(0.,0.,1.)); #112929=DIRECTION('refdir',(1.,0.,0.)); #112930=DIRECTION('center_axis',(0.,0.,1.)); #112931=DIRECTION('ref_axis',(1.,0.,0.)); #112932=DIRECTION('center_axis',(0.,0.,-1.)); #112933=DIRECTION('ref_axis',(-1.,-6.12303176911189E-17,0.)); #112934=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #112935=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #112936=DIRECTION('center_axis',(0.,0.,1.)); #112937=DIRECTION('ref_axis',(-1.,0.,0.)); #112938=DIRECTION('center_axis',(0.,0.,-1.)); #112939=DIRECTION('ref_axis',(-1.,-6.12303176911189E-17,0.)); #112940=DIRECTION('center_axis',(0.,0.,-1.)); #112941=DIRECTION('ref_axis',(-1.,0.,0.)); #112942=DIRECTION('center_axis',(0.,0.,1.)); #112943=DIRECTION('ref_axis',(-1.,0.,0.)); #112944=DIRECTION('center_axis',(0.,0.,-1.)); #112945=DIRECTION('ref_axis',(-1.,0.,0.)); #112946=DIRECTION('center_axis',(0.,0.,1.)); #112947=DIRECTION('ref_axis',(-1.,0.,0.)); #112948=DIRECTION('',(0.00465111248147832,5.69597001291673E-19,-0.999989183517844)); #112949=DIRECTION('center_axis',(0.,0.,1.)); #112950=DIRECTION('ref_axis',(-1.,0.,0.)); #112951=DIRECTION('center_axis',(0.,0.,-1.)); #112952=DIRECTION('ref_axis',(-1.,0.,0.)); #112953=DIRECTION('center_axis',(0.,0.,1.)); #112954=DIRECTION('ref_axis',(-1.,0.,0.)); #112955=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #112956=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #112957=DIRECTION('center_axis',(0.,0.,-1.)); #112958=DIRECTION('ref_axis',(-1.,0.,0.)); #112959=DIRECTION('center_axis',(0.,0.,1.)); #112960=DIRECTION('ref_axis',(1.,0.,0.)); #112961=DIRECTION('center_axis',(0.,0.,-1.)); #112962=DIRECTION('ref_axis',(1.22460635382238E-16,1.,0.)); #112963=DIRECTION('center_axis',(0.,0.,-1.)); #112964=DIRECTION('ref_axis',(-1.,0.,0.)); #112965=DIRECTION('',(0.,0.,-1.)); #112966=DIRECTION('center_axis',(0.,0.,1.)); #112967=DIRECTION('ref_axis',(-1.,0.,0.)); #112968=DIRECTION('center_axis',(0.,0.,1.)); #112969=DIRECTION('ref_axis',(1.,0.,0.)); #112970=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #112971=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #112972=DIRECTION('center_axis',(0.,0.,1.)); #112973=DIRECTION('ref_axis',(0.,1.,0.)); #112974=DIRECTION('center_axis',(0.,0.,1.)); #112975=DIRECTION('ref_axis',(0.,1.,0.)); #112976=DIRECTION('center_axis',(0.,0.,-1.)); #112977=DIRECTION('ref_axis',(0.,-1.,0.)); #112978=DIRECTION('',(-1.73756912571481E-17,0.141883286423855,-0.989883393654811)); #112979=DIRECTION('center_axis',(0.,0.,-1.)); #112980=DIRECTION('ref_axis',(-1.,0.,0.)); #112981=DIRECTION('center_axis',(0.,0.,-1.)); #112982=DIRECTION('ref_axis',(-1.,0.,0.)); #112983=DIRECTION('',(0.,0.,-1.)); #112984=DIRECTION('center_axis',(0.,0.,-1.)); #112985=DIRECTION('ref_axis',(-1.,0.,0.)); #112986=DIRECTION('axis',(0.,0.,1.)); #112987=DIRECTION('refdir',(1.,0.,0.)); #112988=DIRECTION('center_axis',(0.,0.,1.)); #112989=DIRECTION('ref_axis',(1.,0.,0.)); #112990=DIRECTION('center_axis',(0.,0.,-1.)); #112991=DIRECTION('ref_axis',(1.,0.,0.)); #112992=DIRECTION('',(0.707106781186547,8.65956056235493E-17,-0.707106781186548)); #112993=DIRECTION('center_axis',(0.,0.,1.)); #112994=DIRECTION('ref_axis',(1.,0.,0.)); #112995=DIRECTION('center_axis',(0.,0.,-1.)); #112996=DIRECTION('ref_axis',(1.,0.,0.)); #112997=DIRECTION('',(0.,0.,1.)); #112998=DIRECTION('center_axis',(0.,0.,1.)); #112999=DIRECTION('ref_axis',(1.,0.,0.)); #113000=DIRECTION('center_axis',(0.,0.,1.)); #113001=DIRECTION('ref_axis',(1.,0.,0.)); #113002=DIRECTION('center_axis',(0.,0.,1.)); #113003=DIRECTION('ref_axis',(1.,0.,0.)); #113004=DIRECTION('center_axis',(0.,0.,1.)); #113005=DIRECTION('ref_axis',(1.,0.,0.)); #113006=DIRECTION('center_axis',(0.,0.,-1.)); #113007=DIRECTION('ref_axis',(1.,0.,0.)); #113008=DIRECTION('',(0.,0.,1.)); #113009=DIRECTION('center_axis',(0.,0.,1.)); #113010=DIRECTION('ref_axis',(1.,0.,0.)); #113011=DIRECTION('center_axis',(0.,0.,1.)); #113012=DIRECTION('ref_axis',(0.,-1.,0.)); #113013=DIRECTION('center_axis',(0.,0.,-1.)); #113014=DIRECTION('ref_axis',(0.,-1.,0.)); #113015=DIRECTION('',(-8.65956056235493E-17,-0.707106781186547,-0.707106781186548)); #113016=DIRECTION('center_axis',(0.866025403784438,0.5,0.)); #113017=DIRECTION('ref_axis',(0.,0.,-1.)); #113018=DIRECTION('',(0.,0.,-1.)); #113019=DIRECTION('',(-0.5,0.866025403784438,0.)); #113020=DIRECTION('',(0.,0.,-1.)); #113021=DIRECTION('center_axis',(-5.04714615848705E-16,1.,0.)); #113022=DIRECTION('ref_axis',(0.,0.,1.)); #113023=DIRECTION('',(0.,0.,-1.)); #113024=DIRECTION('',(-1.,-5.04714615848705E-16,0.)); #113025=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #113026=DIRECTION('ref_axis',(0.,0.,1.)); #113027=DIRECTION('',(0.,0.,-1.)); #113028=DIRECTION('',(-0.5,-0.866025403784439,0.)); #113029=DIRECTION('center_axis',(-0.866025403784438,-0.5,0.)); #113030=DIRECTION('ref_axis',(0.,0.,1.)); #113031=DIRECTION('',(0.,0.,-1.)); #113032=DIRECTION('',(0.5,-0.866025403784438,0.)); #113033=DIRECTION('center_axis',(8.83250577735233E-16,-1.,0.)); #113034=DIRECTION('ref_axis',(0.,0.,-1.)); #113035=DIRECTION('',(0.,0.,-1.)); #113036=DIRECTION('',(1.,8.83250577735233E-16,0.)); #113037=DIRECTION('center_axis',(0.866025403784439,-0.5,0.)); #113038=DIRECTION('ref_axis',(0.,0.,-1.)); #113039=DIRECTION('',(0.5,0.866025403784439,0.)); #113040=DIRECTION('center_axis',(2.84735793079304E-31,1.24063452698839E-30, 1.)); #113041=DIRECTION('ref_axis',(1.,0.,-2.84735793079304E-31)); #113042=DIRECTION('center_axis',(0.,0.,-1.)); #113043=DIRECTION('ref_axis',(-1.,0.,0.)); #113044=DIRECTION('center_axis',(0.,0.,1.)); #113045=DIRECTION('ref_axis',(-1.,0.,0.)); #113046=DIRECTION('',(-0.707106781186548,8.65956056235493E-17,0.707106781186548)); #113047=DIRECTION('center_axis',(0.,0.,-1.)); #113048=DIRECTION('ref_axis',(-1.,0.,0.)); #113049=DIRECTION('center_axis',(0.,0.,1.)); #113050=DIRECTION('ref_axis',(-1.,0.,0.)); #113051=DIRECTION('',(0.,0.,-1.)); #113052=DIRECTION('center_axis',(0.,0.,-1.)); #113053=DIRECTION('ref_axis',(-1.,0.,0.)); #113054=DIRECTION('center_axis',(0.,0.,-1.)); #113055=DIRECTION('ref_axis',(-1.,0.,0.)); #113056=DIRECTION('center_axis',(0.,0.,1.)); #113057=DIRECTION('ref_axis',(0.,1.,0.)); #113058=DIRECTION('center_axis',(0.,0.,1.)); #113059=DIRECTION('ref_axis',(0.,1.,0.)); #113060=DIRECTION('',(0.,0.,1.)); #113061=DIRECTION('center_axis',(0.,0.,1.)); #113062=DIRECTION('ref_axis',(0.,1.,0.)); #113063=DIRECTION('center_axis',(0.,0.,-1.)); #113064=DIRECTION('ref_axis',(-1.,0.,0.)); #113065=DIRECTION('center_axis',(0.,0.,-1.)); #113066=DIRECTION('ref_axis',(1.,0.,0.)); #113067=DIRECTION('center_axis',(0.,0.,-1.)); #113068=DIRECTION('ref_axis',(1.,0.,0.)); #113069=DIRECTION('center_axis',(0.,0.,1.)); #113070=DIRECTION('ref_axis',(1.,0.,0.)); #113071=DIRECTION('',(0.,0.,1.)); #113072=DIRECTION('center_axis',(0.,0.,1.)); #113073=DIRECTION('ref_axis',(1.,0.,0.)); #113074=DIRECTION('center_axis',(0.,0.,-1.)); #113075=DIRECTION('ref_axis',(-1.,0.,0.)); #113076=DIRECTION('axis',(0.,0.,1.)); #113077=DIRECTION('refdir',(1.,0.,0.)); #113078=DIRECTION('center_axis',(0.,1.,0.)); #113079=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #113080=DIRECTION('center_axis',(0.,-1.,0.)); #113081=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #113082=DIRECTION('',(0.,-1.,0.)); #113083=DIRECTION('center_axis',(-1.45214511291124E-16,1.,0.)); #113084=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #113085=DIRECTION('',(0.,1.,0.)); #113086=DIRECTION('center_axis',(1.,0.,0.)); #113087=DIRECTION('ref_axis',(0.,0.,-1.)); #113088=DIRECTION('',(0.,0.,-1.)); #113089=DIRECTION('',(0.,-1.,0.)); #113090=DIRECTION('',(0.,0.,-1.)); #113091=DIRECTION('center_axis',(0.,1.,0.)); #113092=DIRECTION('ref_axis',(0.,0.,1.)); #113093=DIRECTION('',(-1.,0.,0.)); #113094=DIRECTION('center_axis',(0.,-1.,0.)); #113095=DIRECTION('ref_axis',(-0.707106781186547,-3.47273391728695E-16, -0.707106781186548)); #113096=DIRECTION('',(0.,0.,1.)); #113097=DIRECTION('',(1.,0.,0.)); #113098=DIRECTION('',(0.,0.,1.)); #113099=DIRECTION('',(1.,0.,0.)); #113100=DIRECTION('',(0.,0.,1.)); #113101=DIRECTION('',(1.,0.,0.)); #113102=DIRECTION('center_axis',(1.45214511291124E-16,-1.,0.)); #113103=DIRECTION('ref_axis',(0.,0.,-1.)); #113104=DIRECTION('',(-1.,-1.45214511291124E-16,0.)); #113105=DIRECTION('',(0.,0.,1.)); #113106=DIRECTION('',(-1.,-1.45214511291124E-16,0.)); #113107=DIRECTION('',(0.,0.,1.)); #113108=DIRECTION('',(-1.,-1.45214511291124E-16,0.)); #113109=DIRECTION('',(0.,0.,-1.)); #113110=DIRECTION('center_axis',(-1.45214511291124E-16,1.,0.)); #113111=DIRECTION('ref_axis',(-0.707106781186547,-3.47273391728695E-16, -0.707106781186548)); #113112=DIRECTION('',(1.,1.45214511291124E-16,0.)); #113113=DIRECTION('center_axis',(0.,0.,1.)); #113114=DIRECTION('ref_axis',(1.,0.,0.)); #113115=DIRECTION('',(1.45698559662094E-16,1.,0.)); #113116=DIRECTION('center_axis',(0.,0.,1.)); #113117=DIRECTION('ref_axis',(1.,0.,0.)); #113118=DIRECTION('',(2.91397119324188E-16,-1.,0.)); #113119=DIRECTION('center_axis',(0.,0.,-1.)); #113120=DIRECTION('ref_axis',(-1.22464679914735E-16,-1.,0.)); #113121=DIRECTION('center_axis',(0.,0.,-1.)); #113122=DIRECTION('ref_axis',(-1.,0.,0.)); #113123=DIRECTION('center_axis',(0.,0.,-1.)); #113124=DIRECTION('ref_axis',(0.,1.,-7.4335999827599E-17)); #113125=DIRECTION('center_axis',(0.,0.,1.)); #113126=DIRECTION('ref_axis',(0.,1.,0.)); #113127=DIRECTION('center_axis',(0.,0.,1.)); #113128=DIRECTION('ref_axis',(1.,0.,0.)); #113129=DIRECTION('',(1.45698559662094E-16,-1.,0.)); #113130=DIRECTION('',(1.45698559662094E-16,1.,0.)); #113131=DIRECTION('center_axis',(0.,0.,1.)); #113132=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,0.)); #113133=DIRECTION('center_axis',(0.,0.,1.)); #113134=DIRECTION('ref_axis',(0.,1.,0.)); #113135=DIRECTION('',(0.,0.,1.)); #113136=DIRECTION('center_axis',(0.,0.,-1.)); #113137=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,0.)); #113138=DIRECTION('center_axis',(0.,0.,-1.)); #113139=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,0.)); #113140=DIRECTION('center_axis',(0.,0.,-1.)); #113141=DIRECTION('ref_axis',(-1.,0.,0.)); #113142=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #113143=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #113144=DIRECTION('center_axis',(0.,0.,-1.)); #113145=DIRECTION('ref_axis',(0.,1.,-7.4335999827599E-17)); #113146=DIRECTION('',(0.,0.,1.)); #113147=DIRECTION('center_axis',(0.,0.,1.)); #113148=DIRECTION('ref_axis',(-1.22464679914735E-16,-1.,0.)); #113149=DIRECTION('center_axis',(0.,0.,1.)); #113150=DIRECTION('ref_axis',(-1.22464679914735E-16,-1.,0.)); #113151=DIRECTION('center_axis',(0.,0.,1.)); #113152=DIRECTION('ref_axis',(1.,0.,0.)); #113153=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #113154=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #113155=DIRECTION('center_axis',(1.,1.45698559662094E-16,0.)); #113156=DIRECTION('ref_axis',(0.,0.,-1.)); #113157=DIRECTION('',(1.45698559662094E-16,-1.,0.)); #113158=DIRECTION('center_axis',(-1.,1.45698559662094E-16,0.)); #113159=DIRECTION('ref_axis',(0.,0.,1.)); #113160=DIRECTION('center_axis',(-1.,-2.91397119324188E-16,0.)); #113161=DIRECTION('ref_axis',(0.,0.,1.)); #113162=DIRECTION('',(-2.91397119324188E-16,1.,0.)); #113163=DIRECTION('',(-2.91397119324188E-16,1.,0.)); #113164=DIRECTION('center_axis',(0.,0.,1.)); #113165=DIRECTION('ref_axis',(1.,0.,0.)); #113166=DIRECTION('center_axis',(2.91397119324188E-16,-1.,0.)); #113167=DIRECTION('ref_axis',(-0.707106781186547,-3.47273391728695E-16, -0.707106781186548)); #113168=DIRECTION('',(4.01770322425212E-15,-7.0686243741047E-15,1.)); #113169=DIRECTION('',(1.,1.03527004549933E-14,-4.01770322425205E-15)); #113170=DIRECTION('',(-5.7800933566891E-15,1.,6.99902575177473E-16)); #113171=DIRECTION('',(-1.81952747263464E-29,-6.99902575177473E-16,1.)); #113172=DIRECTION('',(-6.48555130093993E-15,1.,6.99902575177473E-16)); #113173=DIRECTION('',(7.69478939509915E-30,-6.99902575177473E-16,1.)); #113174=DIRECTION('',(-6.31522667503038E-15,1.,6.95760207164222E-15)); #113175=DIRECTION('',(4.35099036498237E-29,-6.95760207164222E-15,1.)); #113176=DIRECTION('',(-7.409079527001E-15,1.,6.99902575177475E-16)); #113177=DIRECTION('',(-1.,-7.409079527001E-15,4.14016790416678E-15)); #113178=DIRECTION('axis',(0.,0.,1.)); #113179=DIRECTION('refdir',(1.,0.,0.)); #113180=DIRECTION('center_axis',(-1.,-8.0424657981383E-17,0.)); #113181=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #113182=DIRECTION('center_axis',(-1.,0.,0.)); #113183=DIRECTION('ref_axis',(0.,0.,1.)); #113184=DIRECTION('',(-1.,-8.0424657981383E-17,0.)); #113185=DIRECTION('center_axis',(1.,0.,0.)); #113186=DIRECTION('ref_axis',(0.,1.,0.)); #113187=DIRECTION('',(1.,8.0424657981383E-17,0.)); #113188=DIRECTION('center_axis',(0.546241380102335,0.837627813926864,0.)); #113189=DIRECTION('ref_axis',(-0.837627813926864,0.546241380102335,0.)); #113190=DIRECTION('center_axis',(0.,0.,-1.)); #113191=DIRECTION('ref_axis',(-0.476318496332898,0.879272818897051,0.)); #113192=DIRECTION('center_axis',(0.,0.,1.)); #113193=DIRECTION('ref_axis',(-0.476318496332898,0.879272818897051,0.)); #113194=DIRECTION('center_axis',(0.,0.,-1.)); #113195=DIRECTION('ref_axis',(-1.,0.,0.)); #113196=DIRECTION('center_axis',(0.998344841222921,0.0575115466978679,0.)); #113197=DIRECTION('ref_axis',(-0.0575115466978679,0.998344841222921,0.)); #113198=DIRECTION('center_axis',(0.,0.,1.)); #113199=DIRECTION('ref_axis',(0.50141599857226,-0.865206331678048,0.)); #113200=DIRECTION('center_axis',(0.,0.,-1.)); #113201=DIRECTION('ref_axis',(0.50141599857226,-0.865206331678047,0.)); #113202=DIRECTION('center_axis',(2.91397119324189E-15,1.,0.)); #113203=DIRECTION('ref_axis',(-1.,2.91397119324189E-15,0.)); #113204=DIRECTION('center_axis',(0.,0.,-1.)); #113205=DIRECTION('ref_axis',(-0.727155948438113,0.686472305815077,0.)); #113206=DIRECTION('center_axis',(0.,0.,1.)); #113207=DIRECTION('ref_axis',(-0.727155948438113,0.686472305815077,0.)); #113208=DIRECTION('center_axis',(-2.78326800019665E-15,-1.,0.)); #113209=DIRECTION('ref_axis',(-0.707106781186548,2.06048879092359E-15,0.707106781186548)); #113210=DIRECTION('center_axis',(2.91397119324187E-15,1.,0.)); #113211=DIRECTION('ref_axis',(-1.,2.91397119324187E-15,0.)); #113212=DIRECTION('',(2.78326800019665E-15,1.,0.)); #113213=DIRECTION('',(-2.78326800019665E-15,-1.,0.)); #113214=DIRECTION('center_axis',(-1.,0.,0.)); #113215=DIRECTION('ref_axis',(0.,-1.,0.)); #113216=DIRECTION('center_axis',(0.,0.,-1.)); #113217=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #113218=DIRECTION('center_axis',(0.,0.,1.)); #113219=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #113220=DIRECTION('center_axis',(1.,-3.0006568351461E-16,0.)); #113221=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548)); #113222=DIRECTION('center_axis',(-1.,0.,0.)); #113223=DIRECTION('ref_axis',(0.,-1.,0.)); #113224=DIRECTION('',(-1.,3.0006568351461E-16,0.)); #113225=DIRECTION('',(1.,-3.0006568351461E-16,0.)); #113226=DIRECTION('center_axis',(1.09273919746571E-15,-1.,0.)); #113227=DIRECTION('ref_axis',(1.,1.09273919746571E-15,0.)); #113228=DIRECTION('center_axis',(0.,0.,-1.)); #113229=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #113230=DIRECTION('center_axis',(0.,0.,1.)); #113231=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #113232=DIRECTION('center_axis',(-1.04372550007374E-15,1.,0.)); #113233=DIRECTION('ref_axis',(0.707106781186548,1.03024439546179E-15,0.707106781186548)); #113234=DIRECTION('center_axis',(1.45698559662094E-15,-1.,0.)); #113235=DIRECTION('ref_axis',(1.,1.45698559662094E-15,0.)); #113236=DIRECTION('',(1.04372550007374E-15,-1.,0.)); #113237=DIRECTION('',(-1.04372550007374E-15,1.,0.)); #113238=DIRECTION('center_axis',(0.99834484122292,-0.0575115466978795,0.)); #113239=DIRECTION('ref_axis',(0.0575115466978795,0.99834484122292,0.)); #113240=DIRECTION('center_axis',(0.,0.,-1.)); #113241=DIRECTION('ref_axis',(0.727155948438118,0.686472305815071,0.)); #113242=DIRECTION('center_axis',(0.,0.,1.)); #113243=DIRECTION('ref_axis',(0.727155948438118,0.686472305815071,0.)); #113244=DIRECTION('center_axis',(0.,0.,1.)); #113245=DIRECTION('ref_axis',(1.,0.,0.)); #113246=DIRECTION('center_axis',(0.546241380102332,-0.837627813926865,0.)); #113247=DIRECTION('ref_axis',(0.837627813926865,0.546241380102332,0.)); #113248=DIRECTION('center_axis',(0.,0.,1.)); #113249=DIRECTION('ref_axis',(-0.501415998572265,-0.865206331678044,0.)); #113250=DIRECTION('center_axis',(0.,0.,-1.)); #113251=DIRECTION('ref_axis',(-0.501415998572265,-0.865206331678044,0.)); #113252=DIRECTION('center_axis',(0.,0.,1.)); #113253=DIRECTION('ref_axis',(0.476318496332898,0.879272818897052,0.)); #113254=DIRECTION('center_axis',(0.,0.,-1.)); #113255=DIRECTION('ref_axis',(0.476318496332898,0.879272818897052,0.)); #113256=DIRECTION('center_axis',(0.,0.,1.)); #113257=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #113258=DIRECTION('',(0.,0.,-1.)); #113259=DIRECTION('center_axis',(4.47779607629262E-31,2.04888599524821E-16, -1.)); #113260=DIRECTION('ref_axis',(-6.55643518479427E-15,-1.,-2.04888599524821E-16)); #113261=DIRECTION('',(0.,0.,1.)); #113262=DIRECTION('center_axis',(-1.,2.78326800019665E-15,0.)); #113263=DIRECTION('ref_axis',(-2.78326800019665E-15,-1.,0.)); #113264=DIRECTION('',(0.,0.,1.)); #113265=DIRECTION('center_axis',(-1.,2.78326800019665E-15,0.)); #113266=DIRECTION('ref_axis',(-1.87054073501386E-30,-6.72066338879941E-16, -1.)); #113267=DIRECTION('',(-3.76001918029878E-31,-1.35093680523511E-16,-1.)); #113268=DIRECTION('',(-2.78326800019665E-15,-1.,1.35093680523511E-16)); #113269=DIRECTION('',(3.76001918029878E-31,1.35093680523511E-16,1.)); #113270=DIRECTION('center_axis',(1.,-2.78326800019665E-15,0.)); #113271=DIRECTION('ref_axis',(-1.45609688660959E-30,-5.23160862161571E-16, -1.)); #113272=DIRECTION('center_axis',(0.,0.,1.)); #113273=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #113274=DIRECTION('',(0.,0.,1.)); #113275=DIRECTION('center_axis',(1.01437781142076E-30,4.64144515806379E-16, -1.)); #113276=DIRECTION('ref_axis',(-1.,2.18547839493146E-15,0.)); #113277=DIRECTION('center_axis',(0.,0.,1.)); #113278=DIRECTION('ref_axis',(-0.0575115466978672,0.998344841222921,0.)); #113279=DIRECTION('',(0.,0.,-1.)); #113280=DIRECTION('center_axis',(1.04791600854325E-16,1.64910511179594E-16, 1.)); #113281=DIRECTION('ref_axis',(0.0575115466978632,-0.998344841222921,1.58610831053505E-16)); #113282=DIRECTION('center_axis',(0.,0.,1.)); #113283=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #113284=DIRECTION('',(0.,0.,-1.)); #113285=DIRECTION('center_axis',(0.,2.54114210823076E-16,-1.)); #113286=DIRECTION('ref_axis',(-1.,0.,0.)); #113287=DIRECTION('center_axis',(-8.0424657981383E-17,1.,0.)); #113288=DIRECTION('ref_axis',(-1.,-8.0424657981383E-17,0.)); #113289=DIRECTION('',(0.,0.,1.)); #113290=DIRECTION('',(-1.,-8.0424657981383E-17,0.)); #113291=DIRECTION('center_axis',(8.0424657981383E-17,-1.,0.)); #113292=DIRECTION('ref_axis',(0.,0.,-1.)); #113293=DIRECTION('',(1.,8.0424657981383E-17,0.)); #113294=DIRECTION('',(0.,0.,1.)); #113295=DIRECTION('',(1.,8.0424657981383E-17,8.00113607832426E-30)); #113296=DIRECTION('center_axis',(0.,0.,1.)); #113297=DIRECTION('ref_axis',(1.,0.,0.)); #113298=DIRECTION('center_axis',(-2.78326800019665E-15,-1.,1.35093680523511E-16)); #113299=DIRECTION('ref_axis',(-0.707106781186548,4.12097758184718E-15,0.707106781186548)); #113300=DIRECTION('center_axis',(0.,-1.,0.)); #113301=DIRECTION('ref_axis',(0.,0.,1.)); #113302=DIRECTION('center_axis',(0.,1.,0.)); #113303=DIRECTION('ref_axis',(-1.,0.,0.)); #113304=DIRECTION('',(2.78326800019665E-15,1.,-1.35093680523511E-16)); #113305=DIRECTION('center_axis',(-0.998344841222921,0.0575115466978734, 0.)); #113306=DIRECTION('ref_axis',(-0.0575115466978734,-0.998344841222921,0.)); #113307=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113308=DIRECTION('ref_axis',(-0.72715594843812,-0.68647230581507,9.27380703700192E-17)); #113309=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113310=DIRECTION('ref_axis',(-0.72715594843812,-0.68647230581507,1.36592399683214E-16)); #113311=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113312=DIRECTION('ref_axis',(-1.,0.,0.)); #113313=DIRECTION('center_axis',(-0.546241380102336,0.837627813926863,0.)); #113314=DIRECTION('ref_axis',(-0.837627813926863,-0.546241380102336,0.)); #113315=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113316=DIRECTION('ref_axis',(0.501415998572264,0.865206331678045,-1.16883907758633E-16)); #113317=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113318=DIRECTION('ref_axis',(0.501415998572264,0.865206331678045,-1.24174908802921E-16)); #113319=DIRECTION('center_axis',(-1.,0.,0.)); #113320=DIRECTION('ref_axis',(0.,-1.,0.)); #113321=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113322=DIRECTION('ref_axis',(-0.476318496332897,-0.879272818897052,1.18784201289086E-16)); #113323=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113324=DIRECTION('ref_axis',(-0.476318496332897,-0.879272818897052,9.10615997888087E-17)); #113325=DIRECTION('center_axis',(1.,7.83889460939485E-17,-1.05898512401906E-32)); #113326=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #113327=DIRECTION('center_axis',(-1.,0.,0.)); #113328=DIRECTION('ref_axis',(0.,-1.,0.)); #113329=DIRECTION('',(-1.,-7.83889460939485E-17,1.05898512401906E-32)); #113330=DIRECTION('',(1.,7.83889460939485E-17,-1.05898512401906E-32)); #113331=DIRECTION('center_axis',(-0.546241380102335,-0.837627813926864, 0.)); #113332=DIRECTION('ref_axis',(0.837627813926864,-0.546241380102334,0.)); #113333=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113334=DIRECTION('ref_axis',(0.476318496332898,-0.879272818897051,1.18784201289086E-16)); #113335=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113336=DIRECTION('ref_axis',(0.476318496332898,-0.879272818897051,9.10615997888088E-17)); #113337=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113338=DIRECTION('ref_axis',(-1.,0.,0.)); #113339=DIRECTION('center_axis',(-0.99834484122292,-0.0575115466978818, 0.)); #113340=DIRECTION('ref_axis',(0.0575115466978818,-0.99834484122292,0.)); #113341=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113342=DIRECTION('ref_axis',(-0.501415998572266,0.865206331678044,-1.16883907758633E-16)); #113343=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113344=DIRECTION('ref_axis',(-0.501415998572266,0.865206331678044,-1.24174908802921E-16)); #113345=DIRECTION('center_axis',(0.,-1.,0.)); #113346=DIRECTION('ref_axis',(1.,0.,0.)); #113347=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113348=DIRECTION('ref_axis',(0.727155948438121,-0.686472305815068,9.2738070370019E-17)); #113349=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113350=DIRECTION('ref_axis',(0.727155948438121,-0.686472305815068,1.36592399683214E-16)); #113351=DIRECTION('center_axis',(-1.04372550007374E-15,1.,-1.35093680523511E-16)); #113352=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #113353=DIRECTION('center_axis',(0.,-1.,0.)); #113354=DIRECTION('ref_axis',(1.,0.,0.)); #113355=DIRECTION('',(1.04372550007374E-15,-1.,1.35093680523511E-16)); #113356=DIRECTION('',(-1.04372550007374E-15,1.,-1.35093680523511E-16)); #113357=DIRECTION('center_axis',(1.,0.,0.)); #113358=DIRECTION('ref_axis',(0.,1.,0.)); #113359=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113360=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,-9.55256575936242E-17)); #113361=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113362=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,-1.36592399683212E-16)); #113363=DIRECTION('center_axis',(-1.,-4.0008757801948E-16,5.40493034463891E-32)); #113364=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #113365=DIRECTION('center_axis',(1.,2.9139711932419E-15,0.)); #113366=DIRECTION('ref_axis',(-2.9139711932419E-15,1.,0.)); #113367=DIRECTION('',(1.,4.0008757801948E-16,-5.40493034463891E-32)); #113368=DIRECTION('',(-1.,-4.0008757801948E-16,5.40493034463891E-32)); #113369=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113370=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,-1.36592399683212E-16)); #113371=DIRECTION('center_axis',(0.,-1.35093680523511E-16,-1.)); #113372=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,-9.55256575936242E-17)); #113373=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113374=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,9.5525657593624E-17)); #113375=DIRECTION('',(0.,-1.35093680523511E-16,-1.)); #113376=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113377=DIRECTION('ref_axis',(7.2849279831047E-16,-1.,1.35093680523511E-16)); #113378=DIRECTION('',(-5.74760398757577E-32,-1.35093680523511E-16,-1.)); #113379=DIRECTION('center_axis',(7.83889460939485E-17,-1.,1.35093680523511E-16)); #113380=DIRECTION('ref_axis',(1.,7.83889460939485E-17,-9.59987719224884E-33)); #113381=DIRECTION('',(0.,1.35093680523511E-16,1.)); #113382=DIRECTION('',(-1.,-7.83889460939485E-17,-7.96723890233727E-30)); #113383=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113384=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,9.55256575936238E-17)); #113385=DIRECTION('',(-3.83173599171716E-32,1.35093680523511E-16,1.)); #113386=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113387=DIRECTION('ref_axis',(-1.,0.,0.)); #113388=DIRECTION('center_axis',(-3.83173599171716E-32,1.35093680523511E-16, 1.)); #113389=DIRECTION('ref_axis',(-0.837627813926864,-0.546241380102335,7.37937584922667E-17)); #113390=DIRECTION('',(3.83173599171716E-32,-1.35093680523511E-16,-1.)); #113391=DIRECTION('center_axis',(-3.86026577073752E-17,2.45127928593038E-16, 1.)); #113392=DIRECTION('ref_axis',(0.837627813926864,0.546241380102334,-1.01564358229092E-16)); #113393=DIRECTION('center_axis',(3.76001918029878E-31,1.35093680523511E-16, 1.)); #113394=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,9.55256575936238E-17)); #113395=DIRECTION('center_axis',(5.90488640151799E-31,1.35093680523511E-16, 1.)); #113396=DIRECTION('ref_axis',(-1.,4.37095678986282E-15,0.)); #113397=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113398=DIRECTION('ref_axis',(1.,0.,0.)); #113399=DIRECTION('center_axis',(1.,7.83889460939485E-17,7.96723890233727E-30)); #113400=DIRECTION('ref_axis',(0.,0.707106781186554,-0.707106781186541)); #113401=DIRECTION('',(1.,7.83889460939485E-17,7.96723890233727E-30)); #113402=DIRECTION('center_axis',(6.66196386927238E-30,1.66512639613821E-14, -1.)); #113403=DIRECTION('ref_axis',(4.12354414138002E-16,1.,1.66512639613821E-14)); #113404=DIRECTION('',(-1.,-8.0424657981383E-17,-8.00113607832426E-30)); #113405=DIRECTION('center_axis',(-1.,-8.0424657981383E-17,-8.00113607832426E-30)); #113406=DIRECTION('ref_axis',(0.,-0.707106781186541,-0.707106781186554)); #113407=DIRECTION('center_axis',(5.66704140870854E-17,-1.11121867847467E-16, 1.)); #113408=DIRECTION('ref_axis',(-0.837627813926865,-0.546241380102333,-1.32306473864537E-17)); #113409=DIRECTION('center_axis',(1.94542461278137E-18,1.97358634142929E-16, 1.)); #113410=DIRECTION('ref_axis',(-0.0575115466978791,0.99834484122292,-1.9692008988893E-16)); #113411=DIRECTION('center_axis',(1.,0.,0.)); #113412=DIRECTION('ref_axis',(0.,0.,-1.)); #113413=DIRECTION('center_axis',(0.,2.54114210823076E-16,-1.)); #113414=DIRECTION('ref_axis',(0.,1.,2.54114210823076E-16)); #113415=DIRECTION('center_axis',(1.,0.,0.)); #113416=DIRECTION('ref_axis',(0.,0.,-1.)); #113417=DIRECTION('center_axis',(1.,0.,0.)); #113418=DIRECTION('ref_axis',(0.,0.,-1.)); #113419=DIRECTION('center_axis',(1.,0.,0.)); #113420=DIRECTION('ref_axis',(0.,0.,-1.)); #113421=DIRECTION('center_axis',(1.,0.,0.)); #113422=DIRECTION('ref_axis',(0.,0.,-1.)); #113423=DIRECTION('center_axis',(-1.41000719261204E-31,1.35093680523511E-16, 1.)); #113424=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-9.55256575936238E-17)); #113425=DIRECTION('',(1.41000719261204E-31,-1.35093680523511E-16,-1.)); #113426=DIRECTION('center_axis',(0.,1.36592399683213E-16,1.)); #113427=DIRECTION('ref_axis',(1.,0.,0.)); #113428=DIRECTION('',(-1.41000719261204E-31,1.35093680523511E-16,1.)); #113429=DIRECTION('center_axis',(1.,1.04372550007374E-15,0.)); #113430=DIRECTION('ref_axis',(0.,0.,-1.)); #113431=DIRECTION('center_axis',(0.,-1.43422019667374E-15,-1.)); #113432=DIRECTION('ref_axis',(1.,0.,0.)); #113433=DIRECTION('center_axis',(1.,1.04372550007374E-15,0.)); #113434=DIRECTION('ref_axis',(0.,-3.95954829245818E-16,-1.)); #113435=DIRECTION('center_axis',(-1.,-1.04372550007374E-15,0.)); #113436=DIRECTION('ref_axis',(4.13268152161205E-31,-3.95954829245818E-16, -1.)); #113437=DIRECTION('center_axis',(0.,0.,1.)); #113438=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #113439=DIRECTION('center_axis',(0.,0.,-1.)); #113440=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #113441=DIRECTION('',(0.,0.,-1.)); #113442=DIRECTION('',(0.,0.,1.)); #113443=DIRECTION('center_axis',(1.,1.04372550007374E-15,0.)); #113444=DIRECTION('ref_axis',(-1.04372550007374E-15,1.,0.)); #113445=DIRECTION('',(-1.41000719261204E-31,1.35093680523511E-16,1.)); #113446=DIRECTION('center_axis',(1.,1.04372550007374E-15,0.)); #113447=DIRECTION('ref_axis',(1.47345847584533E-31,-1.4117298808367E-16, -1.)); #113448=DIRECTION('',(0.,0.,-1.)); #113449=DIRECTION('',(1.04372550007374E-15,-1.,0.)); #113450=DIRECTION('center_axis',(-4.0008757801948E-16,1.,-1.35093680523511E-16)); #113451=DIRECTION('ref_axis',(-1.,-4.0008757801948E-16,4.89965971800174E-32)); #113452=DIRECTION('',(1.,1.04372550007374E-15,0.)); #113453=DIRECTION('',(-3.76001918029878E-31,-1.35093680523511E-16,-1.)); #113454=DIRECTION('center_axis',(0.,0.,1.)); #113455=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #113456=DIRECTION('',(0.,0.,-1.)); #113457=DIRECTION('center_axis',(0.,0.,1.)); #113458=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #113459=DIRECTION('',(0.,0.,1.)); #113460=DIRECTION('center_axis',(1.,1.04372550007374E-15,0.)); #113461=DIRECTION('ref_axis',(-1.04372550007374E-15,1.,0.)); #113462=DIRECTION('',(-1.04372550007374E-15,1.,0.)); #113463=DIRECTION('',(0.,0.,-1.)); #113464=DIRECTION('center_axis',(0.,0.,1.)); #113465=DIRECTION('ref_axis',(1.,-3.0006568351461E-16,0.)); #113466=DIRECTION('',(1.,-3.0006568351461E-16,0.)); #113467=DIRECTION('',(3.25583373546578E-16,1.,0.)); #113468=DIRECTION('center_axis',(0.,0.,1.)); #113469=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #113470=DIRECTION('center_axis',(0.,0.,-1.)); #113471=DIRECTION('ref_axis',(0.0575115466978791,0.99834484122292,0.)); #113472=DIRECTION('center_axis',(0.,0.,1.)); #113473=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #113474=DIRECTION('center_axis',(3.76001918029878E-31,1.35093680523511E-16, 1.)); #113475=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,-9.55256575936238E-17)); #113476=DIRECTION('center_axis',(5.97039476839006E-31,1.36592399683215E-16, 1.)); #113477=DIRECTION('ref_axis',(2.18547839493144E-15,1.,-1.36592399683215E-16)); #113478=DIRECTION('center_axis',(-1.,2.78326800019665E-15,0.)); #113479=DIRECTION('ref_axis',(0.,-2.02965818030193E-16,-1.)); #113480=DIRECTION('center_axis',(-1.,2.78326800019665E-15,0.)); #113481=DIRECTION('ref_axis',(0.,-5.23160862161571E-16,-1.)); #113482=DIRECTION('center_axis',(-1.,-1.04372550007374E-15,0.)); #113483=DIRECTION('ref_axis',(-1.04372550007374E-15,1.,-2.46019132947455E-16)); #113484=DIRECTION('',(1.,1.04372550007374E-15,0.)); #113485=DIRECTION('center_axis',(0.,0.,-1.)); #113486=DIRECTION('ref_axis',(0.0575115466978791,0.99834484122292,0.)); #113487=DIRECTION('',(0.,0.,-1.)); #113488=DIRECTION('',(0.,0.,1.)); #113489=DIRECTION('center_axis',(0.,0.,1.)); #113490=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #113491=DIRECTION('center_axis',(0.,0.,1.)); #113492=DIRECTION('ref_axis',(0.837627813926865,0.546241380102333,0.)); #113493=DIRECTION('center_axis',(0.,0.,1.)); #113494=DIRECTION('ref_axis',(0.837627813926865,0.546241380102333,0.)); #113495=DIRECTION('',(0.,0.,-1.)); #113496=DIRECTION('',(0.,0.,1.)); #113497=DIRECTION('center_axis',(5.74760398757577E-32,1.35093680523511E-16, 1.)); #113498=DIRECTION('ref_axis',(0.0575115466978816,-0.99834484122292,1.34870079032465E-16)); #113499=DIRECTION('',(5.74760398757577E-32,1.35093680523511E-16,1.)); #113500=DIRECTION('center_axis',(0.,0.,1.)); #113501=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #113502=DIRECTION('center_axis',(0.,0.,-1.)); #113503=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #113504=DIRECTION('center_axis',(0.,-6.70960885598308E-16,-1.)); #113505=DIRECTION('ref_axis',(-2.18547839493141E-15,1.,-6.70960885598308E-16)); #113506=DIRECTION('center_axis',(0.,0.,1.)); #113507=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #113508=DIRECTION('center_axis',(0.,0.,-1.)); #113509=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #113510=DIRECTION('center_axis',(1.,0.,0.)); #113511=DIRECTION('ref_axis',(0.,0.,-1.)); #113512=DIRECTION('center_axis',(1.,-2.78326800019665E-15,0.)); #113513=DIRECTION('ref_axis',(0.,0.,-1.)); #113514=DIRECTION('center_axis',(-1.41000719261204E-31,1.35093680523511E-16, 1.)); #113515=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,9.55256575936241E-17)); #113516=DIRECTION('center_axis',(0.,1.35093680523511E-16,1.)); #113517=DIRECTION('ref_axis',(0.,-1.,1.35093680523511E-16)); #113518=DIRECTION('center_axis',(1.,1.04372550007374E-15,0.)); #113519=DIRECTION('ref_axis',(0.,0.,-1.)); #113520=DIRECTION('center_axis',(0.,0.,-1.)); #113521=DIRECTION('ref_axis',(-1.,3.0006568351461E-16,0.)); #113522=DIRECTION('',(3.25583373546578E-16,1.,0.)); #113523=DIRECTION('',(-1.,3.0006568351461E-16,0.)); #113524=DIRECTION('center_axis',(0.,0.,1.)); #113525=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #113526=DIRECTION('center_axis',(3.25583373546578E-16,1.,0.)); #113527=DIRECTION('ref_axis',(0.,0.,-1.)); #113528=DIRECTION('center_axis',(3.0006568351461E-16,1.,0.)); #113529=DIRECTION('ref_axis',(0.,0.,-1.)); #113530=DIRECTION('center_axis',(-3.0006568351461E-16,-1.,0.)); #113531=DIRECTION('ref_axis',(1.,-3.0006568351461E-16,0.)); #113532=DIRECTION('axis',(0.,0.,1.)); #113533=DIRECTION('refdir',(1.,0.,0.)); #113534=DIRECTION('axis',(0.,0.,1.)); #113535=DIRECTION('refdir',(1.,0.,0.)); #113536=DIRECTION('center_axis',(-1.,0.,2.73184799366424E-16)); #113537=DIRECTION('ref_axis',(2.73184799366424E-16,0.,1.)); #113538=DIRECTION('',(-2.73184799366424E-16,0.,-1.)); #113539=DIRECTION('',(0.,-1.,0.)); #113540=DIRECTION('',(2.73184799366424E-16,-1.14061273187152E-28,1.)); #113541=DIRECTION('',(0.,-1.,0.)); #113542=DIRECTION('center_axis',(1.,0.,0.)); #113543=DIRECTION('ref_axis',(0.,0.,-1.)); #113544=DIRECTION('',(0.,0.,1.)); #113545=DIRECTION('',(0.,-1.,0.)); #113546=DIRECTION('',(0.,0.,-1.)); #113547=DIRECTION('',(0.,1.,0.)); #113548=DIRECTION('center_axis',(-1.42129352851919E-31,1.,1.14061273187152E-28)); #113549=DIRECTION('ref_axis',(0.,-1.14061273187152E-28,1.)); #113550=DIRECTION('',(-1.,0.,0.)); #113551=DIRECTION('',(0.,0.,-1.)); #113552=DIRECTION('',(1.,0.,0.)); #113553=DIRECTION('center_axis',(-1.,0.,0.)); #113554=DIRECTION('ref_axis',(0.,0.,1.)); #113555=DIRECTION('',(0.,0.,-1.)); #113556=DIRECTION('',(0.,1.,0.)); #113557=DIRECTION('',(0.,1.,0.)); #113558=DIRECTION('center_axis',(1.,0.,0.)); #113559=DIRECTION('ref_axis',(0.,0.,-1.)); #113560=DIRECTION('',(0.,0.,-1.)); #113561=DIRECTION('',(0.,-1.,0.)); #113562=DIRECTION('',(0.,0.,1.)); #113563=DIRECTION('',(0.,1.,0.)); #113564=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113565=DIRECTION('ref_axis',(-0.804834983546941,0.593498651438228,0.)); #113566=DIRECTION('center_axis',(0.,0.,1.)); #113567=DIRECTION('ref_axis',(-0.804834983546941,0.593498651438228,0.)); #113568=DIRECTION('center_axis',(0.,0.,-1.)); #113569=DIRECTION('ref_axis',(-0.804834983546941,0.593498651438228,0.)); #113570=DIRECTION('',(-6.50561010584234E-16,0.,-1.)); #113571=DIRECTION('center_axis',(0.,0.,1.)); #113572=DIRECTION('ref_axis',(0.699999999999999,-0.714142842854286,0.)); #113573=DIRECTION('',(0.,0.,1.)); #113574=DIRECTION('center_axis',(0.,0.,-1.)); #113575=DIRECTION('ref_axis',(0.699999999999999,-0.714142842854286,0.)); #113576=DIRECTION('center_axis',(0.,0.,1.)); #113577=DIRECTION('ref_axis',(0.699999999999999,-0.714142842854286,0.)); #113578=DIRECTION('center_axis',(0.,0.,1.)); #113579=DIRECTION('ref_axis',(0.836372662614716,-0.548161262066894,0.)); #113580=DIRECTION('center_axis',(0.,0.,-1.)); #113581=DIRECTION('ref_axis',(0.836372662614716,-0.548161262066894,0.)); #113582=DIRECTION('center_axis',(0.,0.,1.)); #113583=DIRECTION('ref_axis',(0.836372662614716,-0.548161262066894,0.)); #113584=DIRECTION('center_axis',(0.,0.,-1.)); #113585=DIRECTION('ref_axis',(-1.,0.,0.)); #113586=DIRECTION('center_axis',(0.,0.,1.)); #113587=DIRECTION('ref_axis',(-0.821266806017793,-0.570544330734547,0.)); #113588=DIRECTION('center_axis',(0.,0.,-1.)); #113589=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113590=DIRECTION('center_axis',(0.,0.,1.)); #113591=DIRECTION('ref_axis',(0.80483498354694,0.593498651438229,0.)); #113592=DIRECTION('center_axis',(0.,0.,1.)); #113593=DIRECTION('ref_axis',(0.821266806017794,-0.570544330734546,0.)); #113594=DIRECTION('center_axis',(0.,0.,-1.)); #113595=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113596=DIRECTION('',(0.,-1.,0.)); #113597=DIRECTION('',(1.,0.,0.)); #113598=DIRECTION('',(1.53906929220522E-16,1.,0.)); #113599=DIRECTION('',(-1.,0.,0.)); #113600=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113601=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113602=DIRECTION('',(6.50561010584234E-16,0.,1.)); #113603=DIRECTION('center_axis',(0.,0.,1.)); #113604=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113605=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113606=DIRECTION('ref_axis',(0.80483498354694,0.593498651438229,0.)); #113607=DIRECTION('center_axis',(0.,0.,-1.)); #113608=DIRECTION('ref_axis',(0.80483498354694,0.593498651438229,0.)); #113609=DIRECTION('center_axis',(-6.51992361256387E-16,0.,-1.)); #113610=DIRECTION('ref_axis',(0.821266806017794,-0.570544330734546,0.)); #113611=DIRECTION('center_axis',(0.,0.,-1.)); #113612=DIRECTION('ref_axis',(0.821266806017794,-0.570544330734546,0.)); #113613=DIRECTION('',(-6.51992361256387E-16,0.,-1.)); #113614=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #113615=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113616=DIRECTION('',(6.51992361256387E-16,0.,1.)); #113617=DIRECTION('center_axis',(0.,0.,1.)); #113618=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113619=DIRECTION('center_axis',(0.,0.,1.)); #113620=DIRECTION('ref_axis',(1.,0.,0.)); #113621=DIRECTION('center_axis',(0.,0.,-1.)); #113622=DIRECTION('ref_axis',(-0.821266806017793,-0.570544330734547,0.)); #113623=DIRECTION('',(0.,1.,0.)); #113624=DIRECTION('',(1.,0.,0.)); #113625=DIRECTION('',(-1.53906929220522E-16,-1.,0.)); #113626=DIRECTION('',(-1.,0.,0.)); #113627=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #113628=DIRECTION('ref_axis',(-0.821266806017793,-0.570544330734547,0.)); #113629=DIRECTION('center_axis',(0.,1.,0.)); #113630=DIRECTION('ref_axis',(0.,0.,1.)); #113631=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113632=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113633=DIRECTION('center_axis',(-1.,0.,6.4731588706742E-16)); #113634=DIRECTION('ref_axis',(6.4731588706742E-16,0.,1.)); #113635=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113636=DIRECTION('center_axis',(0.,-1.,0.)); #113637=DIRECTION('ref_axis',(0.,0.,-1.)); #113638=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113639=DIRECTION('center_axis',(1.,-1.53906929220522E-16,-6.4731588706742E-16)); #113640=DIRECTION('ref_axis',(-6.4731588706742E-16,0.,-1.)); #113641=DIRECTION('center_axis',(1.,-1.53906929220522E-16,-6.4731588706742E-16)); #113642=DIRECTION('ref_axis',(-6.4731588706742E-16,0.,-1.)); #113643=DIRECTION('',(-1.53906929220522E-16,-1.,0.)); #113644=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113645=DIRECTION('',(1.53906929220522E-16,1.,0.)); #113646=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113647=DIRECTION('center_axis',(0.,1.,0.)); #113648=DIRECTION('ref_axis',(0.,0.,1.)); #113649=DIRECTION('',(1.,0.,0.)); #113650=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113651=DIRECTION('',(-1.,0.,0.)); #113652=DIRECTION('center_axis',(0.,-1.,0.)); #113653=DIRECTION('ref_axis',(0.,0.,-1.)); #113654=DIRECTION('',(-1.,0.,0.)); #113655=DIRECTION('',(1.,0.,0.)); #113656=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113657=DIRECTION('center_axis',(-1.,0.,6.4731588706742E-16)); #113658=DIRECTION('ref_axis',(6.4731588706742E-16,0.,1.)); #113659=DIRECTION('',(0.,1.,0.)); #113660=DIRECTION('',(0.,-1.,0.)); #113661=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #113662=DIRECTION('ref_axis',(-0.821266806017791,-0.57054433073455,0.)); #113663=DIRECTION('center_axis',(0.,0.,-1.)); #113664=DIRECTION('ref_axis',(-0.821266806017791,-0.57054433073455,0.)); #113665=DIRECTION('',(-2.73184799366424E-16,0.,-1.)); #113666=DIRECTION('center_axis',(0.,0.,1.)); #113667=DIRECTION('ref_axis',(-0.821266806017791,-0.57054433073455,0.)); #113668=DIRECTION('',(6.51992361256387E-16,0.,1.)); #113669=DIRECTION('center_axis',(-1.,0.,2.73184799366424E-16)); #113670=DIRECTION('ref_axis',(2.73184799366424E-16,0.,1.)); #113671=DIRECTION('',(0.,-1.,0.)); #113672=DIRECTION('',(2.73184799366424E-16,-2.8515318296788E-29,1.)); #113673=DIRECTION('',(0.,-1.,0.)); #113674=DIRECTION('center_axis',(0.,0.,1.)); #113675=DIRECTION('ref_axis',(1.,0.,0.)); #113676=DIRECTION('center_axis',(0.,0.,1.)); #113677=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113678=DIRECTION('center_axis',(0.,0.,-1.)); #113679=DIRECTION('ref_axis',(0.821266806017793,-0.570544330734547,0.)); #113680=DIRECTION('',(0.,1.,0.)); #113681=DIRECTION('center_axis',(0.,0.,-1.)); #113682=DIRECTION('ref_axis',(0.80483498354694,0.593498651438229,0.)); #113683=DIRECTION('center_axis',(0.,0.,1.)); #113684=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113685=DIRECTION('center_axis',(0.,0.,-1.)); #113686=DIRECTION('ref_axis',(-0.804834983546941,0.593498651438228,0.)); #113687=DIRECTION('',(0.,-1.,0.)); #113688=DIRECTION('center_axis',(0.,0.,1.)); #113689=DIRECTION('ref_axis',(0.836372662614716,-0.548161262066894,0.)); #113690=DIRECTION('center_axis',(0.,0.,1.)); #113691=DIRECTION('ref_axis',(0.699999999999999,-0.714142842854286,0.)); #113692=DIRECTION('',(0.,1.,0.)); #113693=DIRECTION('',(-1.,0.,0.)); #113694=DIRECTION('center_axis',(-6.51992361256387E-16,0.,-1.)); #113695=DIRECTION('ref_axis',(0.821266806017793,-0.570544330734547,0.)); #113696=DIRECTION('center_axis',(0.,0.,1.)); #113697=DIRECTION('ref_axis',(0.821266806017793,-0.570544330734547,0.)); #113698=DIRECTION('',(0.,0.,1.)); #113699=DIRECTION('',(-6.51992361256387E-16,0.,-1.)); #113700=DIRECTION('center_axis',(1.,0.,0.)); #113701=DIRECTION('ref_axis',(0.,0.,-1.)); #113702=DIRECTION('',(0.,-1.,0.)); #113703=DIRECTION('',(0.,0.,-1.)); #113704=DIRECTION('center_axis',(0.,0.,-1.)); #113705=DIRECTION('ref_axis',(-1.,0.,0.)); #113706=DIRECTION('',(1.,0.,0.)); #113707=DIRECTION('',(0.,1.,0.)); #113708=DIRECTION('center_axis',(0.,0.,-1.)); #113709=DIRECTION('ref_axis',(0.699999999999999,-0.714142842854286,0.)); #113710=DIRECTION('center_axis',(0.,0.,-1.)); #113711=DIRECTION('ref_axis',(0.836372662614716,-0.548161262066894,0.)); #113712=DIRECTION('',(0.,1.,0.)); #113713=DIRECTION('center_axis',(0.,0.,1.)); #113714=DIRECTION('ref_axis',(-0.804834983546941,0.593498651438228,0.)); #113715=DIRECTION('center_axis',(0.,0.,-1.)); #113716=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113717=DIRECTION('center_axis',(0.,0.,1.)); #113718=DIRECTION('ref_axis',(0.80483498354694,0.593498651438229,0.)); #113719=DIRECTION('center_axis',(0.,0.,-1.)); #113720=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113721=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113722=DIRECTION('ref_axis',(0.80483498354694,0.593498651438229,0.)); #113723=DIRECTION('',(6.50561010584234E-16,0.,1.)); #113724=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113725=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113726=DIRECTION('',(-6.50561010584234E-16,0.,-1.)); #113727=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113728=DIRECTION('ref_axis',(-0.804834983546941,0.593498651438228,0.)); #113729=DIRECTION('',(0.,0.,1.)); #113730=DIRECTION('center_axis',(1.,0.,0.)); #113731=DIRECTION('ref_axis',(0.,0.,-1.)); #113732=DIRECTION('',(0.,0.,-1.)); #113733=DIRECTION('center_axis',(0.,0.,1.)); #113734=DIRECTION('ref_axis',(0.836372662614716,-0.548161262066894,0.)); #113735=DIRECTION('',(0.,0.,1.)); #113736=DIRECTION('center_axis',(0.,0.,1.)); #113737=DIRECTION('ref_axis',(0.699999999999999,-0.714142842854286,0.)); #113738=DIRECTION('',(0.,0.,-1.)); #113739=DIRECTION('center_axis',(-1.42129352851919E-31,1.,2.8515318296788E-29)); #113740=DIRECTION('ref_axis',(0.,-2.8515318296788E-29,1.)); #113741=DIRECTION('',(0.,0.,-1.)); #113742=DIRECTION('center_axis',(-1.,0.,0.)); #113743=DIRECTION('ref_axis',(0.,0.,1.)); #113744=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #113745=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113746=DIRECTION('center_axis',(-6.31686012675491E-32,1.,-1.56834250632334E-28)); #113747=DIRECTION('ref_axis',(0.,1.56834250632334E-28,1.)); #113748=DIRECTION('',(-5.46369598732849E-16,-1.56834250632334E-28,-1.)); #113749=DIRECTION('',(1.,0.,-3.25280505292117E-16)); #113750=DIRECTION('',(5.46369598732853E-16,0.,1.)); #113751=DIRECTION('',(-1.,0.,0.)); #113752=DIRECTION('center_axis',(1.,0.,-5.46369598732853E-16)); #113753=DIRECTION('ref_axis',(-5.46369598732853E-16,0.,-1.)); #113754=DIRECTION('',(6.31360425202407E-16,0.,1.)); #113755=DIRECTION('',(0.,1.,0.)); #113756=DIRECTION('',(0.,1.,0.)); #113757=DIRECTION('center_axis',(-3.25280505292117E-16,0.,-1.)); #113758=DIRECTION('ref_axis',(-1.,0.,3.25280505292117E-16)); #113759=DIRECTION('center_axis',(3.25280505292117E-16,0.,1.)); #113760=DIRECTION('ref_axis',(0.821266806017793,-0.570544330734547,0.)); #113761=DIRECTION('center_axis',(-3.25280505292117E-16,0.,-1.)); #113762=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113763=DIRECTION('center_axis',(3.25280505292117E-16,0.,1.)); #113764=DIRECTION('ref_axis',(-0.821266806017793,-0.570544330734547,0.)); #113765=DIRECTION('',(0.,-1.,0.)); #113766=DIRECTION('center_axis',(3.25280505292117E-16,0.,1.)); #113767=DIRECTION('ref_axis',(-0.804834983546937,0.593498651438233,0.)); #113768=DIRECTION('center_axis',(-3.25280505292117E-16,0.,-1.)); #113769=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113770=DIRECTION('center_axis',(3.25280505292117E-16,0.,1.)); #113771=DIRECTION('ref_axis',(0.804834983546938,0.593498651438232,0.)); #113772=DIRECTION('',(1.77266691169766E-15,-1.,-5.76613988751631E-31)); #113773=DIRECTION('center_axis',(-3.25280505292117E-16,0.,-1.)); #113774=DIRECTION('ref_axis',(-0.836372662614718,-0.548161262066892,0.)); #113775=DIRECTION('center_axis',(-3.25280505292117E-16,0.,-1.)); #113776=DIRECTION('ref_axis',(0.375000000000001,0.927024810886958,-2.36760159450903E-16)); #113777=DIRECTION('',(0.,-1.,0.)); #113778=DIRECTION('',(0.,-1.,0.)); #113779=DIRECTION('',(1.,0.,-3.25280505292117E-16)); #113780=DIRECTION('',(1.53906929220522E-16,1.,-5.00629237048094E-32)); #113781=DIRECTION('',(-1.,0.,3.25280505292117E-16)); #113782=DIRECTION('center_axis',(6.31360425202407E-16,0.,1.)); #113783=DIRECTION('ref_axis',(0.375000000000001,0.927024810886958,-2.36760159450903E-16)); #113784=DIRECTION('',(-6.31360425202407E-16,0.,-1.)); #113785=DIRECTION('center_axis',(0.,0.,1.)); #113786=DIRECTION('ref_axis',(0.375000000000001,0.927024810886958,-2.36760159450903E-16)); #113787=DIRECTION('center_axis',(1.,0.,-5.46369598732849E-16)); #113788=DIRECTION('ref_axis',(-5.46369598732849E-16,0.,-1.)); #113789=DIRECTION('',(5.46369598732849E-16,0.,1.)); #113790=DIRECTION('',(0.,-1.,0.)); #113791=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113792=DIRECTION('ref_axis',(0.804834983546938,0.593498651438232,0.)); #113793=DIRECTION('center_axis',(0.,0.,-1.)); #113794=DIRECTION('ref_axis',(0.804834983546938,0.593498651438232,0.)); #113795=DIRECTION('',(-6.31360425202407E-16,3.40362423915893E-32,-1.)); #113796=DIRECTION('',(6.50561010584234E-16,0.,1.)); #113797=DIRECTION('center_axis',(-1.,-1.77266691169766E-15,6.31360425202407E-16)); #113798=DIRECTION('ref_axis',(6.31360425202407E-16,0.,1.)); #113799=DIRECTION('',(6.31360425202407E-16,0.,1.)); #113800=DIRECTION('',(-1.77266691169766E-15,1.,0.)); #113801=DIRECTION('center_axis',(6.31360425202407E-16,0.,1.)); #113802=DIRECTION('ref_axis',(-0.836372662614718,-0.548161262066892,0.)); #113803=DIRECTION('center_axis',(0.,0.,1.)); #113804=DIRECTION('ref_axis',(-0.836372662614718,-0.548161262066892,0.)); #113805=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113806=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113807=DIRECTION('',(-6.50561010584234E-16,0.,-1.)); #113808=DIRECTION('center_axis',(0.,0.,1.)); #113809=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113810=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113811=DIRECTION('ref_axis',(-0.804834983546937,0.593498651438233,0.)); #113812=DIRECTION('',(0.,0.,1.)); #113813=DIRECTION('center_axis',(0.,0.,-1.)); #113814=DIRECTION('ref_axis',(-0.804834983546937,0.593498651438233,0.)); #113815=DIRECTION('center_axis',(-1.,0.,0.)); #113816=DIRECTION('ref_axis',(0.,0.,1.)); #113817=DIRECTION('',(0.,0.,-1.)); #113818=DIRECTION('',(0.,-1.,0.)); #113819=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #113820=DIRECTION('ref_axis',(-0.821266806017793,-0.570544330734547,0.)); #113821=DIRECTION('center_axis',(0.,0.,-1.)); #113822=DIRECTION('ref_axis',(-0.821266806017793,-0.570544330734547,0.)); #113823=DIRECTION('',(6.51992361256387E-16,0.,1.)); #113824=DIRECTION('center_axis',(0.,0.,1.)); #113825=DIRECTION('ref_axis',(1.,0.,0.)); #113826=DIRECTION('center_axis',(0.,0.,-1.)); #113827=DIRECTION('ref_axis',(0.821266806017793,-0.570544330734547,0.)); #113828=DIRECTION('center_axis',(0.,0.,1.)); #113829=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113830=DIRECTION('',(0.,1.,0.)); #113831=DIRECTION('',(1.,0.,0.)); #113832=DIRECTION('',(-1.53906929220522E-16,-1.,0.)); #113833=DIRECTION('',(-1.,0.,0.)); #113834=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #113835=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113836=DIRECTION('',(-6.51992361256387E-16,0.,-1.)); #113837=DIRECTION('center_axis',(-6.51992361256387E-16,0.,-1.)); #113838=DIRECTION('ref_axis',(0.821266806017793,-0.570544330734547,0.)); #113839=DIRECTION('center_axis',(-1.,0.,6.4731588706742E-16)); #113840=DIRECTION('ref_axis',(6.4731588706742E-16,0.,1.)); #113841=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113842=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113843=DIRECTION('center_axis',(0.,-1.,0.)); #113844=DIRECTION('ref_axis',(0.,0.,-1.)); #113845=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113846=DIRECTION('center_axis',(0.,1.,0.)); #113847=DIRECTION('ref_axis',(0.,0.,1.)); #113848=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113849=DIRECTION('center_axis',(1.,-1.53906929220522E-16,-6.4731588706742E-16)); #113850=DIRECTION('ref_axis',(-6.4731588706742E-16,0.,-1.)); #113851=DIRECTION('center_axis',(1.,-1.53906929220522E-16,-6.4731588706742E-16)); #113852=DIRECTION('ref_axis',(-6.4731588706742E-16,0.,-1.)); #113853=DIRECTION('',(1.53906929220522E-16,1.,-1.00125847409619E-31)); #113854=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113855=DIRECTION('',(-1.53906929220522E-16,-1.,-5.00629237048094E-32)); #113856=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113857=DIRECTION('center_axis',(0.,1.,0.)); #113858=DIRECTION('ref_axis',(0.,0.,1.)); #113859=DIRECTION('',(-1.,0.,6.50561010584234E-16)); #113860=DIRECTION('',(1.,0.,3.25280505292117E-16)); #113861=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113862=DIRECTION('center_axis',(0.,-1.,0.)); #113863=DIRECTION('ref_axis',(0.,0.,-1.)); #113864=DIRECTION('',(1.,0.,-6.50561010584234E-16)); #113865=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113866=DIRECTION('',(-1.,0.,-3.25280505292117E-16)); #113867=DIRECTION('center_axis',(-1.,0.,6.4731588706742E-16)); #113868=DIRECTION('ref_axis',(6.4731588706742E-16,0.,1.)); #113869=DIRECTION('',(0.,-1.,0.)); #113870=DIRECTION('',(0.,1.,0.)); #113871=DIRECTION('center_axis',(-6.51992361256387E-16,0.,-1.)); #113872=DIRECTION('ref_axis',(0.821266806017794,-0.570544330734546,0.)); #113873=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113874=DIRECTION('ref_axis',(0.821266806017794,-0.570544330734546,0.)); #113875=DIRECTION('',(5.46369598732849E-16,0.,1.)); #113876=DIRECTION('center_axis',(3.25280505292117E-16,0.,-1.)); #113877=DIRECTION('ref_axis',(0.821266806017794,-0.570544330734546,0.)); #113878=DIRECTION('',(-6.51992361256387E-16,0.,-1.)); #113879=DIRECTION('center_axis',(1.,0.,-5.46369598732849E-16)); #113880=DIRECTION('ref_axis',(-5.46369598732849E-16,0.,-1.)); #113881=DIRECTION('',(0.,-1.,0.)); #113882=DIRECTION('',(-5.46369598732849E-16,-1.4257659148394E-29,-1.)); #113883=DIRECTION('',(0.,-1.,0.)); #113884=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113885=DIRECTION('ref_axis',(-1.,0.,6.50561010584234E-16)); #113886=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113887=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113888=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113889=DIRECTION('ref_axis',(-0.821266806017794,-0.570544330734546,0.)); #113890=DIRECTION('',(0.,-1.,0.)); #113891=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113892=DIRECTION('ref_axis',(-0.804834983546938,0.593498651438232,0.)); #113893=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113894=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113895=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113896=DIRECTION('ref_axis',(0.804834983546938,0.593498651438232,0.)); #113897=DIRECTION('',(1.77266691169766E-15,-1.,-1.15322797750326E-30)); #113898=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113899=DIRECTION('ref_axis',(-0.836372662614718,-0.548161262066892,0.)); #113900=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113901=DIRECTION('ref_axis',(0.375000000000001,0.927024810886958,-2.36760159450903E-16)); #113902=DIRECTION('',(0.,1.,0.)); #113903=DIRECTION('',(1.,0.,-6.50561010584234E-16)); #113904=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #113905=DIRECTION('ref_axis',(-0.821266806017794,-0.570544330734546,0.)); #113906=DIRECTION('center_axis',(3.25280505292117E-16,0.,-1.)); #113907=DIRECTION('ref_axis',(-0.821266806017794,-0.570544330734546,0.)); #113908=DIRECTION('',(0.,0.,-1.)); #113909=DIRECTION('',(6.51992361256387E-16,0.,1.)); #113910=DIRECTION('center_axis',(-1.,0.,0.)); #113911=DIRECTION('ref_axis',(0.,0.,1.)); #113912=DIRECTION('',(0.,-1.,0.)); #113913=DIRECTION('',(0.,0.,1.)); #113914=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #113915=DIRECTION('ref_axis',(1.,0.,3.25280505292117E-16)); #113916=DIRECTION('',(-1.,0.,-3.25280505292117E-16)); #113917=DIRECTION('',(0.,1.,0.)); #113918=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #113919=DIRECTION('ref_axis',(0.375000000000001,0.927024810886958,-2.36760159450903E-16)); #113920=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #113921=DIRECTION('ref_axis',(-0.836372662614718,-0.548161262066892,0.)); #113922=DIRECTION('',(-1.77266691169766E-15,1.,-5.76613988751631E-31)); #113923=DIRECTION('center_axis',(3.25280505292117E-16,0.,-1.)); #113924=DIRECTION('ref_axis',(0.804834983546938,0.593498651438232,0.)); #113925=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #113926=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113927=DIRECTION('center_axis',(3.25280505292117E-16,0.,-1.)); #113928=DIRECTION('ref_axis',(-0.804834983546938,0.593498651438232,0.)); #113929=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #113930=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113931=DIRECTION('center_axis',(6.31360425202407E-16,0.,1.)); #113932=DIRECTION('ref_axis',(-0.836372662614718,-0.548161262066892,0.)); #113933=DIRECTION('',(6.31360425202407E-16,0.,1.)); #113934=DIRECTION('',(-6.31360425202407E-16,0.,-1.)); #113935=DIRECTION('center_axis',(-1.,-1.77266691169766E-15,6.31360425202407E-16)); #113936=DIRECTION('ref_axis',(6.31360425202407E-16,0.,1.)); #113937=DIRECTION('',(-6.31360425202407E-16,3.40362423915893E-32,-1.)); #113938=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113939=DIRECTION('ref_axis',(0.804834983546938,0.593498651438232,0.)); #113940=DIRECTION('',(6.50561010584234E-16,0.,1.)); #113941=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113942=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #113943=DIRECTION('',(-6.50561010584234E-16,0.,-1.)); #113944=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113945=DIRECTION('ref_axis',(-0.804834983546938,0.593498651438232,0.)); #113946=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #113947=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113948=DIRECTION('center_axis',(-6.31686012675214E-32,1.,-1.4257659148394E-29)); #113949=DIRECTION('ref_axis',(0.,1.4257659148394E-29,1.)); #113950=DIRECTION('',(5.46369598732852E-16,0.,1.)); #113951=DIRECTION('center_axis',(1.,0.,-5.46369598732852E-16)); #113952=DIRECTION('ref_axis',(-5.46369598732852E-16,0.,-1.)); #113953=DIRECTION('',(6.31360425202407E-16,0.,1.)); #113954=DIRECTION('center_axis',(6.31360425202407E-16,0.,1.)); #113955=DIRECTION('ref_axis',(0.375000000000001,0.927024810886958,-2.36760159450903E-16)); #113956=DIRECTION('center_axis',(1.,-1.53906929220522E-16,-6.4731588706742E-16)); #113957=DIRECTION('ref_axis',(-6.4731588706742E-16,0.,-1.)); #113958=DIRECTION('',(-1.53906929220522E-16,-1.,-5.00629237048094E-32)); #113959=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113960=DIRECTION('',(1.53906929220522E-16,1.,-1.00125847409619E-31)); #113961=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113962=DIRECTION('center_axis',(0.,1.,0.)); #113963=DIRECTION('ref_axis',(0.,0.,1.)); #113964=DIRECTION('',(1.,0.,3.25280505292117E-16)); #113965=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113966=DIRECTION('',(-1.,0.,6.50561010584234E-16)); #113967=DIRECTION('center_axis',(0.,-1.,0.)); #113968=DIRECTION('ref_axis',(0.,0.,-1.)); #113969=DIRECTION('',(-1.,0.,-3.25280505292117E-16)); #113970=DIRECTION('',(1.,0.,-6.50561010584234E-16)); #113971=DIRECTION('',(6.4731588706742E-16,0.,1.)); #113972=DIRECTION('center_axis',(-1.,0.,6.4731588706742E-16)); #113973=DIRECTION('ref_axis',(6.4731588706742E-16,0.,1.)); #113974=DIRECTION('',(0.,1.,0.)); #113975=DIRECTION('',(0.,-1.,0.)); #113976=DIRECTION('center_axis',(-6.51992361256387E-16,0.,-1.)); #113977=DIRECTION('ref_axis',(0.821266806017793,-0.570544330734547,0.)); #113978=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #113979=DIRECTION('ref_axis',(0.821266806017793,-0.570544330734547,0.)); #113980=DIRECTION('',(5.46369598732849E-16,0.,1.)); #113981=DIRECTION('center_axis',(3.25280505292117E-16,0.,-1.)); #113982=DIRECTION('ref_axis',(0.821266806017793,-0.570544330734547,0.)); #113983=DIRECTION('',(-6.51992361256387E-16,0.,-1.)); #113984=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #113985=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113986=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #113987=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113988=DIRECTION('',(6.51992361256387E-16,0.,1.)); #113989=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #113990=DIRECTION('ref_axis',(0.375,-0.927024810886958,-2.44497135471145E-16)); #113991=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #113992=DIRECTION('ref_axis',(1.,0.,3.25280505292117E-16)); #113993=DIRECTION('',(0.,-1.,0.)); #113994=DIRECTION('',(-1.,0.,-3.25280505292117E-16)); #113995=DIRECTION('',(0.,1.,0.)); #113996=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #113997=DIRECTION('ref_axis',(0.375000000000001,0.927024810886958,-2.36760159450903E-16)); #113998=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #113999=DIRECTION('ref_axis',(-0.836372662614718,-0.548161262066892,0.)); #114000=DIRECTION('',(-1.77266691169766E-15,1.,-5.76613988751631E-31)); #114001=DIRECTION('center_axis',(3.25280505292117E-16,0.,-1.)); #114002=DIRECTION('ref_axis',(0.804834983546938,0.593498651438232,0.)); #114003=DIRECTION('center_axis',(-3.25280505292117E-16,0.,1.)); #114004=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #114005=DIRECTION('center_axis',(3.25280505292117E-16,0.,-1.)); #114006=DIRECTION('ref_axis',(-0.804834983546938,0.593498651438232,0.)); #114007=DIRECTION('',(0.,-1.,0.)); #114008=DIRECTION('center_axis',(3.25280505292117E-16,0.,-1.)); #114009=DIRECTION('ref_axis',(-0.821266806017794,-0.570544330734546,0.)); #114010=DIRECTION('center_axis',(6.51992361256387E-16,0.,1.)); #114011=DIRECTION('ref_axis',(-0.821266806017794,-0.570544330734546,0.)); #114012=DIRECTION('',(0.,0.,-1.)); #114013=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #114014=DIRECTION('ref_axis',(-0.821266806017794,-0.570544330734546,0.)); #114015=DIRECTION('center_axis',(-1.,0.,0.)); #114016=DIRECTION('ref_axis',(0.,0.,1.)); #114017=DIRECTION('',(0.,0.,1.)); #114018=DIRECTION('',(0.,-1.,0.)); #114019=DIRECTION('center_axis',(6.31360425202407E-16,0.,1.)); #114020=DIRECTION('ref_axis',(-0.836372662614718,-0.548161262066892,0.)); #114021=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #114022=DIRECTION('ref_axis',(-0.836372662614718,-0.548161262066892,0.)); #114023=DIRECTION('',(6.31360425202407E-16,0.,1.)); #114024=DIRECTION('',(-6.31360425202407E-16,0.,-1.)); #114025=DIRECTION('center_axis',(-1.,-1.77266691169766E-15,6.31360425202407E-16)); #114026=DIRECTION('ref_axis',(6.31360425202407E-16,0.,1.)); #114027=DIRECTION('',(1.77266691169766E-15,-1.,-1.15322797750326E-30)); #114028=DIRECTION('',(-6.31360425202407E-16,3.40362423915893E-32,-1.)); #114029=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #114030=DIRECTION('ref_axis',(0.804834983546938,0.593498651438232,0.)); #114031=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #114032=DIRECTION('ref_axis',(0.804834983546938,0.593498651438232,0.)); #114033=DIRECTION('',(6.50561010584234E-16,0.,1.)); #114034=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #114035=DIRECTION('ref_axis',(-0.804834983546938,0.593498651438232,0.)); #114036=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #114037=DIRECTION('ref_axis',(-0.804834983546938,0.593498651438232,0.)); #114038=DIRECTION('',(-6.50561010584234E-16,0.,-1.)); #114039=DIRECTION('center_axis',(1.,0.,-5.46369598732849E-16)); #114040=DIRECTION('ref_axis',(-5.46369598732849E-16,0.,-1.)); #114041=DIRECTION('',(0.,-1.,0.)); #114042=DIRECTION('',(-5.46369598732849E-16,4.2772977445182E-29,-1.)); #114043=DIRECTION('center_axis',(6.31360425202407E-16,0.,1.)); #114044=DIRECTION('ref_axis',(0.375000000000001,0.927024810886958,-2.36760159450903E-16)); #114045=DIRECTION('',(6.31360425202407E-16,0.,1.)); #114046=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #114047=DIRECTION('ref_axis',(0.375000000000001,0.927024810886958,-2.36760159450903E-16)); #114048=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #114049=DIRECTION('ref_axis',(-1.,0.,6.50561010584234E-16)); #114050=DIRECTION('center_axis',(-6.50561010584234E-16,0.,-1.)); #114051=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #114052=DIRECTION('',(0.,1.,0.)); #114053=DIRECTION('',(1.,0.,-6.50561010584234E-16)); #114054=DIRECTION('center_axis',(1.,0.,-5.46369598732852E-16)); #114055=DIRECTION('ref_axis',(-5.46369598732852E-16,0.,-1.)); #114056=DIRECTION('',(5.46369598732852E-16,0.,1.)); #114057=DIRECTION('center_axis',(6.31686012675266E-32,1.,4.2772977445182E-29)); #114058=DIRECTION('ref_axis',(0.,-4.2772977445182E-29,1.)); #114059=DIRECTION('center_axis',(6.50561010584234E-16,0.,1.)); #114060=DIRECTION('ref_axis',(0.319529652351738,-0.947576277282192,-2.07873533545576E-16)); #114061=DIRECTION('center_axis',(0.119047619047597,0.992888545809195,2.42164909543197E-12)); #114062=DIRECTION('ref_axis',(-0.992888545809195,0.119047619047597,0.)); #114063=DIRECTION('center_axis',(0.,0.,1.)); #114064=DIRECTION('ref_axis',(-3.49676543189026E-14,1.,0.)); #114065=DIRECTION('center_axis',(0.,0.,-1.)); #114066=DIRECTION('ref_axis',(1.,1.01064309961486E-15,0.)); #114067=DIRECTION('center_axis',(-0.119047619047616,0.992888545809193,-8.85284702681395E-17)); #114068=DIRECTION('ref_axis',(-0.992888545809193,-0.119047619047616,0.)); #114069=DIRECTION('center_axis',(0.,0.,-1.)); #114070=DIRECTION('ref_axis',(1.,-2.54207057918564E-15,0.)); #114071=DIRECTION('center_axis',(0.,0.,1.)); #114072=DIRECTION('ref_axis',(1.,-2.54207057918564E-15,0.)); #114073=DIRECTION('center_axis',(0.,0.,1.)); #114074=DIRECTION('ref_axis',(1.,-2.54207057918564E-15,0.)); #114075=DIRECTION('center_axis',(0.,0.,1.)); #114076=DIRECTION('ref_axis',(-0.990856408503577,-0.134920634920655,0.)); #114077=DIRECTION('center_axis',(0.,0.,-1.)); #114078=DIRECTION('ref_axis',(-0.657677491282535,-0.753299619978871,0.)); #114079=DIRECTION('center_axis',(0.,0.,1.)); #114080=DIRECTION('ref_axis',(-0.99085640850358,-0.134920634920634,0.)); #114081=DIRECTION('',(0.,0.,-1.)); #114082=DIRECTION('',(0.,0.,1.)); #114083=DIRECTION('center_axis',(0.119047619047592,-0.992888545809196,-2.42381903189741E-12)); #114084=DIRECTION('ref_axis',(-0.992888545809196,-0.119047619047592,0.)); #114085=DIRECTION('center_axis',(0.,0.,1.)); #114086=DIRECTION('ref_axis',(1.,0.,0.)); #114087=DIRECTION('center_axis',(-0.119047619047622,-0.992888545809192, 0.)); #114088=DIRECTION('ref_axis',(-0.992888545809192,0.119047619047622,0.)); #114089=DIRECTION('center_axis',(0.,0.,1.)); #114090=DIRECTION('ref_axis',(1.,-2.78699993901511E-15,0.)); #114091=DIRECTION('center_axis',(0.,0.,-1.)); #114092=DIRECTION('ref_axis',(1.,-2.78699993901511E-15,0.)); #114093=DIRECTION('center_axis',(0.,0.,-1.)); #114094=DIRECTION('ref_axis',(1.,-2.78699993901511E-15,0.)); #114095=DIRECTION('center_axis',(0.,0.,-1.)); #114096=DIRECTION('ref_axis',(-0.990856408503575,0.134920634920666,0.)); #114097=DIRECTION('center_axis',(0.,0.,1.)); #114098=DIRECTION('ref_axis',(-0.657677491282515,0.753299619978888,0.)); #114099=DIRECTION('',(0.,0.,-1.)); #114100=DIRECTION('',(0.,0.,1.)); #114101=DIRECTION('center_axis',(0.,0.,1.)); #114102=DIRECTION('ref_axis',(1.,0.,0.)); #114103=DIRECTION('',(-1.,-1.40923940562265E-14,0.)); #114104=DIRECTION('center_axis',(0.,0.,-1.)); #114105=DIRECTION('ref_axis',(1.,-2.2871285528352E-15,0.)); #114106=DIRECTION('',(1.,-4.33612124806965E-15,0.)); #114107=DIRECTION('center_axis',(1.,1.40923940562265E-14,0.)); #114108=DIRECTION('ref_axis',(0.,-0.70710678118653,0.707106781186565)); #114109=DIRECTION('',(1.,1.40923940562265E-14,0.)); #114110=DIRECTION('center_axis',(1.,1.40923940562265E-14,0.)); #114111=DIRECTION('ref_axis',(1.74838271594512E-14,-0.707106781186528,0.707106781186567)); #114112=DIRECTION('center_axis',(1.40923940562265E-14,-1.,0.)); #114113=DIRECTION('ref_axis',(1.,1.40923940562265E-14,0.)); #114114=DIRECTION('',(-1.,-1.40923940562265E-14,0.)); #114115=DIRECTION('',(0.,0.,-1.)); #114116=DIRECTION('center_axis',(-1.,-1.40923940562265E-14,0.)); #114117=DIRECTION('ref_axis',(0.,-0.707106781186555,-0.70710678118654)); #114118=DIRECTION('',(1.,1.40923940562265E-14,0.)); #114119=DIRECTION('center_axis',(1.,1.40923940562265E-14,0.)); #114120=DIRECTION('ref_axis',(1.74838271594513E-14,-0.707106781186557,-0.707106781186538)); #114121=DIRECTION('center_axis',(0.,0.,1.)); #114122=DIRECTION('ref_axis',(-1.,0.,0.)); #114123=DIRECTION('center_axis',(0.,0.,1.)); #114124=DIRECTION('ref_axis',(-1.,0.,0.)); #114125=DIRECTION('',(0.,0.,-1.)); #114126=DIRECTION('',(0.,0.,-1.)); #114127=DIRECTION('center_axis',(0.,0.,-1.)); #114128=DIRECTION('ref_axis',(1.,-1.13644876536433E-15,0.)); #114129=DIRECTION('',(0.,0.,-1.)); #114130=DIRECTION('center_axis',(0.,0.,-1.)); #114131=DIRECTION('ref_axis',(-1.,0.,0.)); #114132=DIRECTION('',(0.,0.,1.)); #114133=DIRECTION('center_axis',(-5.99752210745268E-31,-3.83284869127754E-29, 1.)); #114134=DIRECTION('ref_axis',(-1.,0.,0.)); #114135=DIRECTION('center_axis',(-5.99752210745268E-31,-3.83284869127754E-29, 1.)); #114136=DIRECTION('ref_axis',(-1.,0.,0.)); #114137=DIRECTION('center_axis',(-5.99752210745268E-31,-3.83284869127754E-29, 1.)); #114138=DIRECTION('ref_axis',(-1.,0.,0.)); #114139=DIRECTION('',(0.,0.,-1.)); #114140=DIRECTION('center_axis',(0.,0.,-1.)); #114141=DIRECTION('ref_axis',(-1.,0.,0.)); #114142=DIRECTION('',(0.,0.,1.)); #114143=DIRECTION('center_axis',(-2.52527246629588E-31,3.77689469578444E-29, 1.)); #114144=DIRECTION('ref_axis',(-1.,0.,0.)); #114145=DIRECTION('center_axis',(-2.52527246629588E-31,3.77689469578444E-29, 1.)); #114146=DIRECTION('ref_axis',(-1.,0.,0.)); #114147=DIRECTION('center_axis',(-2.52527246629588E-31,3.77689469578444E-29, 1.)); #114148=DIRECTION('ref_axis',(-1.,0.,0.)); #114149=DIRECTION('',(0.,0.,-1.)); #114150=DIRECTION('center_axis',(0.,0.,-1.)); #114151=DIRECTION('ref_axis',(-1.,0.,0.)); #114152=DIRECTION('',(0.,0.,1.)); #114153=DIRECTION('center_axis',(0.,0.,-1.)); #114154=DIRECTION('ref_axis',(1.,-1.13644876536433E-15,0.)); #114155=DIRECTION('center_axis',(0.,0.,-1.)); #114156=DIRECTION('ref_axis',(1.,-2.54207057918564E-15,0.)); #114157=DIRECTION('center_axis',(0.117187499999999,-0.993109807545848,1.21534032937758E-16)); #114158=DIRECTION('ref_axis',(-1.74838271594511E-14,-2.18547839493138E-15, -1.)); #114159=DIRECTION('center_axis',(0.,0.,-1.)); #114160=DIRECTION('ref_axis',(1.,-2.2871285528352E-15,0.)); #114161=DIRECTION('center_axis',(0.117187500000003,0.993109807545847,2.17042002818591E-15)); #114162=DIRECTION('ref_axis',(0.993109807545847,-0.117187500000003,0.)); #114163=DIRECTION('center_axis',(0.,0.,1.)); #114164=DIRECTION('ref_axis',(1.,-2.2871285528352E-15,0.)); #114165=DIRECTION('center_axis',(0.,0.,1.)); #114166=DIRECTION('ref_axis',(0.664384113295924,-0.74739129644383,0.)); #114167=DIRECTION('center_axis',(0.,0.,1.)); #114168=DIRECTION('ref_axis',(1.74838271594512E-14,-1.,0.)); #114169=DIRECTION('',(0.,0.,1.)); #114170=DIRECTION('center_axis',(0.,0.,-1.)); #114171=DIRECTION('ref_axis',(0.993109807545847,-0.117187500000001,0.)); #114172=DIRECTION('center_axis',(-0.833706890910516,-0.411452377396014, -0.368293037109703)); #114173=DIRECTION('ref_axis',(0.552207225639358,-0.621199191878384,-0.556038437485508)); #114174=DIRECTION('center_axis',(0.,0.,1.)); #114175=DIRECTION('ref_axis',(1.,0.,0.)); #114176=DIRECTION('center_axis',(0.117187500000003,0.993109807545847,-2.17042002818591E-15)); #114177=DIRECTION('ref_axis',(0.,2.18547839493138E-15,1.)); #114178=DIRECTION('center_axis',(0.,0.,1.)); #114179=DIRECTION('ref_axis',(1.,-2.2871285528352E-15,0.)); #114180=DIRECTION('center_axis',(0.117187499999999,-0.993109807545848,-1.21534032937758E-16)); #114181=DIRECTION('ref_axis',(0.993109807545847,0.117187499999999,0.)); #114182=DIRECTION('center_axis',(-0.833706890910518,-0.41145237739601,0.368293037109704)); #114183=DIRECTION('ref_axis',(0.552207225639353,-0.621199191878354,0.556038437485547)); #114184=DIRECTION('center_axis',(0.,0.,1.)); #114185=DIRECTION('ref_axis',(0.664384113295926,0.747391296443828,0.)); #114186=DIRECTION('center_axis',(0.,0.,1.)); #114187=DIRECTION('ref_axis',(0.993109807545848,0.117187499999997,0.)); #114188=DIRECTION('',(0.,0.,1.)); #114189=DIRECTION('center_axis',(0.,0.,-1.)); #114190=DIRECTION('ref_axis',(0.,1.,0.)); #114191=DIRECTION('',(0.,0.,-1.)); #114192=DIRECTION('center_axis',(-0.833706890910533,0.411452377395981,0.368293037109701)); #114193=DIRECTION('ref_axis',(0.552207225639332,0.621199191878372,0.556038437485548)); #114194=DIRECTION('center_axis',(1.,-4.33612124806965E-15,0.)); #114195=DIRECTION('ref_axis',(0.,0.707106781186528,0.707106781186567)); #114196=DIRECTION('center_axis',(-0.833706890910518,0.41145237739601,-0.368293037109704)); #114197=DIRECTION('ref_axis',(0.552207225639362,0.621199191878378,-0.556038437485512)); #114198=DIRECTION('center_axis',(1.,-4.33612124806965E-15,0.)); #114199=DIRECTION('ref_axis',(0.,0.707106781186557,-0.707106781186538)); #114200=DIRECTION('center_axis',(-1.,4.33612124806965E-15,0.)); #114201=DIRECTION('ref_axis',(0.,0.70710678118653,0.707106781186565)); #114202=DIRECTION('',(-1.,4.33612124806965E-15,0.)); #114203=DIRECTION('center_axis',(4.33612124806965E-15,1.,0.)); #114204=DIRECTION('ref_axis',(-1.,4.33612124806965E-15,0.)); #114205=DIRECTION('',(1.,-4.33612124806965E-15,0.)); #114206=DIRECTION('center_axis',(0.,0.,1.)); #114207=DIRECTION('ref_axis',(0.992278342561219,0.124031007751936,0.)); #114208=DIRECTION('center_axis',(1.,-6.7349691390498E-16,0.)); #114209=DIRECTION('ref_axis',(0.,0.707106781186529,0.707106781186566)); #114210=DIRECTION('',(1.,-6.7349691390498E-16,0.)); #114211=DIRECTION('center_axis',(1.,0.,0.)); #114212=DIRECTION('ref_axis',(0.,1.,0.)); #114213=DIRECTION('',(-1.,6.7349691390498E-16,0.)); #114214=DIRECTION('center_axis',(0.,0.,-1.)); #114215=DIRECTION('ref_axis',(-1.,0.,0.)); #114216=DIRECTION('center_axis',(0.,0.,-1.)); #114217=DIRECTION('ref_axis',(0.635000635000951,-0.772511613859875,0.)); #114218=DIRECTION('center_axis',(0.,0.,1.)); #114219=DIRECTION('ref_axis',(0.635000635000951,-0.772511613859875,0.)); #114220=DIRECTION('center_axis',(0.,0.,-1.)); #114221=DIRECTION('ref_axis',(0.635000635000941,-0.772511613859884,0.)); #114222=DIRECTION('',(0.,0.,-1.)); #114223=DIRECTION('center_axis',(0.,0.,1.)); #114224=DIRECTION('ref_axis',(0.,-1.,0.)); #114225=DIRECTION('center_axis',(-6.7349691390498E-16,-1.,0.)); #114226=DIRECTION('ref_axis',(-1.,6.7349691390498E-16,0.)); #114227=DIRECTION('',(0.,0.,1.)); #114228=DIRECTION('',(-1.,6.7349691390498E-16,0.)); #114229=DIRECTION('center_axis',(-1.,-2.35723919866743E-15,0.)); #114230=DIRECTION('ref_axis',(0.,-0.707106781186532,0.707106781186563)); #114231=DIRECTION('',(1.,2.35723919866743E-15,0.)); #114232=DIRECTION('center_axis',(1.,0.,0.)); #114233=DIRECTION('ref_axis',(0.,0.,1.)); #114234=DIRECTION('',(-1.,-2.35723919866743E-15,0.)); #114235=DIRECTION('center_axis',(0.,0.,-1.)); #114236=DIRECTION('ref_axis',(-1.,0.,0.)); #114237=DIRECTION('center_axis',(0.,0.,1.)); #114238=DIRECTION('ref_axis',(0.635000635000953,0.772511613859874,0.)); #114239=DIRECTION('center_axis',(0.,0.,-1.)); #114240=DIRECTION('ref_axis',(0.635000635000953,0.772511613859874,0.)); #114241=DIRECTION('center_axis',(0.,0.,-1.)); #114242=DIRECTION('ref_axis',(-1.,0.,0.)); #114243=DIRECTION('center_axis',(0.,0.,-1.)); #114244=DIRECTION('ref_axis',(-1.,0.,0.)); #114245=DIRECTION('',(0.,1.,0.)); #114246=DIRECTION('center_axis',(1.,-4.33612124806965E-15,0.)); #114247=DIRECTION('ref_axis',(0.,0.707106781186555,-0.70710678118654)); #114248=DIRECTION('',(-1.,4.33612124806965E-15,0.)); #114249=DIRECTION('center_axis',(-1.,6.7349691390498E-16,0.)); #114250=DIRECTION('ref_axis',(0.,-0.707106781186529,-0.707106781186566)); #114251=DIRECTION('center_axis',(-1.,0.,0.)); #114252=DIRECTION('ref_axis',(0.,0.,-1.)); #114253=DIRECTION('center_axis',(1.,0.,0.)); #114254=DIRECTION('ref_axis',(0.,-1.,0.)); #114255=DIRECTION('',(1.,-6.7349691390498E-16,0.)); #114256=DIRECTION('center_axis',(-0.846062534684054,-0.408619542742119, -0.342356914189294)); #114257=DIRECTION('ref_axis',(0.533083658916682,-0.648524261194547,-0.543358164784584)); #114258=DIRECTION('center_axis',(-0.193548387096773,-0.981090730693261, 0.)); #114259=DIRECTION('ref_axis',(0.981090730693261,-0.193548387096773,0.)); #114260=DIRECTION('center_axis',(0.,0.,-1.)); #114261=DIRECTION('ref_axis',(1.,-1.01064309961486E-15,0.)); #114262=DIRECTION('center_axis',(0.,0.,1.)); #114263=DIRECTION('ref_axis',(1.,-1.13644876536433E-15,0.)); #114264=DIRECTION('center_axis',(-0.193548387096772,0.981090730693262,0.)); #114265=DIRECTION('ref_axis',(0.981090730693262,0.193548387096772,0.)); #114266=DIRECTION('center_axis',(0.,0.,1.)); #114267=DIRECTION('ref_axis',(1.,0.,0.)); #114268=DIRECTION('center_axis',(0.,0.,-1.)); #114269=DIRECTION('ref_axis',(1.,-1.20980294963545E-15,0.)); #114270=DIRECTION('',(-1.,-2.35723919866743E-15,0.)); #114271=DIRECTION('center_axis',(-1.,0.,9.14404160439291E-12)); #114272=DIRECTION('ref_axis',(0.,1.,0.)); #114273=DIRECTION('center_axis',(1.,2.35723919866743E-15,0.)); #114274=DIRECTION('ref_axis',(0.,0.707106781186532,-0.707106781186563)); #114275=DIRECTION('center_axis',(-1.,0.,0.)); #114276=DIRECTION('ref_axis',(0.,1.,0.)); #114277=DIRECTION('',(1.,2.35723919866743E-15,0.)); #114278=DIRECTION('center_axis',(0.,0.,-1.)); #114279=DIRECTION('ref_axis',(0.63500063500096,0.772511613859868,0.)); #114280=DIRECTION('center_axis',(0.,0.,1.)); #114281=DIRECTION('ref_axis',(0.981090730693261,0.193548387096775,0.)); #114282=DIRECTION('',(0.,0.,1.)); #114283=DIRECTION('center_axis',(-0.846062534684043,0.408619542742108,-0.342356914189334)); #114284=DIRECTION('ref_axis',(0.533083658916687,0.648524261194537,-0.543358164784589)); #114285=DIRECTION('center_axis',(0.,0.,-1.)); #114286=DIRECTION('ref_axis',(1.,-1.89882151931499E-15,0.)); #114287=DIRECTION('center_axis',(0.,0.,1.)); #114288=DIRECTION('ref_axis',(1.,-1.20980294963545E-15,0.)); #114289=DIRECTION('center_axis',(0.,0.,1.)); #114290=DIRECTION('ref_axis',(1.,-1.20980294963545E-15,0.)); #114291=DIRECTION('center_axis',(0.,0.,1.)); #114292=DIRECTION('ref_axis',(1.,0.,0.)); #114293=DIRECTION('center_axis',(-2.35723919866743E-15,1.,0.)); #114294=DIRECTION('ref_axis',(1.,2.35723919866743E-15,0.)); #114295=DIRECTION('',(0.,0.,-1.)); #114296=DIRECTION('center_axis',(5.53210723337639E-16,-1.,0.)); #114297=DIRECTION('ref_axis',(1.,5.53210723337639E-16,0.)); #114298=DIRECTION('',(1.,5.53210723337639E-16,0.)); #114299=DIRECTION('',(0.,0.,-1.)); #114300=DIRECTION('',(1.,5.53210723337639E-16,0.)); #114301=DIRECTION('',(0.,0.,1.)); #114302=DIRECTION('center_axis',(2.15427485696084E-15,1.,0.)); #114303=DIRECTION('ref_axis',(-1.,2.15427485696084E-15,0.)); #114304=DIRECTION('',(-1.,2.15427485696084E-15,0.)); #114305=DIRECTION('',(0.,0.,-1.)); #114306=DIRECTION('',(-1.,2.15427485696084E-15,0.)); #114307=DIRECTION('',(0.,0.,1.)); #114308=DIRECTION('center_axis',(0.,0.,1.)); #114309=DIRECTION('ref_axis',(1.,0.,0.)); #114310=DIRECTION('center_axis',(0.,0.,1.)); #114311=DIRECTION('ref_axis',(0.,1.,0.)); #114312=DIRECTION('center_axis',(0.,0.,-1.)); #114313=DIRECTION('ref_axis',(0.,1.,0.)); #114314=DIRECTION('center_axis',(0.,0.,-1.)); #114315=DIRECTION('ref_axis',(0.,1.,0.)); #114316=DIRECTION('center_axis',(0.,0.,-1.)); #114317=DIRECTION('ref_axis',(0.,1.,0.)); #114318=DIRECTION('',(0.,0.,1.)); #114319=DIRECTION('center_axis',(-5.99752210745263E-31,-3.83284869127754E-29, -1.)); #114320=DIRECTION('ref_axis',(0.,1.,0.)); #114321=DIRECTION('center_axis',(-3.51925163931239E-32,1.78407804668978E-27, -1.)); #114322=DIRECTION('ref_axis',(0.,1.,0.)); #114323=DIRECTION('',(0.,0.,1.)); #114324=DIRECTION('center_axis',(0.,0.,-1.)); #114325=DIRECTION('ref_axis',(0.,1.,0.)); #114326=DIRECTION('center_axis',(0.,0.,-1.)); #114327=DIRECTION('ref_axis',(0.,1.,0.)); #114328=DIRECTION('center_axis',(-3.51925163931239E-32,1.78407804668978E-27, -1.)); #114329=DIRECTION('ref_axis',(0.,1.,0.)); #114330=DIRECTION('center_axis',(-5.99752210745263E-31,-3.83284869127754E-29, -1.)); #114331=DIRECTION('ref_axis',(0.,1.,0.)); #114332=DIRECTION('',(0.,0.,-1.)); #114333=DIRECTION('center_axis',(0.,0.,-1.)); #114334=DIRECTION('ref_axis',(0.,1.,0.)); #114335=DIRECTION('',(0.,0.,1.)); #114336=DIRECTION('center_axis',(5.99752210745268E-31,3.83284869127754E-29, -1.)); #114337=DIRECTION('ref_axis',(0.,1.,0.)); #114338=DIRECTION('center_axis',(3.51925163929054E-32,-1.78407804668978E-27, -1.)); #114339=DIRECTION('ref_axis',(0.,1.,0.)); #114340=DIRECTION('center_axis',(5.99752210745268E-31,3.83284869127754E-29, -1.)); #114341=DIRECTION('ref_axis',(0.,1.,0.)); #114342=DIRECTION('',(0.,0.,-1.)); #114343=DIRECTION('center_axis',(0.,0.,-1.)); #114344=DIRECTION('ref_axis',(0.,1.,0.)); #114345=DIRECTION('center_axis',(0.,0.,-1.)); #114346=DIRECTION('ref_axis',(-1.,0.,0.)); #114347=DIRECTION('center_axis',(0.,0.,-1.)); #114348=DIRECTION('ref_axis',(0.,1.,0.)); #114349=DIRECTION('',(-1.22464679914735E-16,1.,0.)); #114350=DIRECTION('center_axis',(-0.92640169563961,-0.254759175965186,-0.27726821054278)); #114351=DIRECTION('ref_axis',(0.265155234452828,-0.96420573615918,0.)); #114352=DIRECTION('center_axis',(0.926401695639607,-0.254759175965184,-0.277268210542791)); #114353=DIRECTION('ref_axis',(-0.0909944686098327,-0.866009939168781,0.491677528409931)); #114354=DIRECTION('center_axis',(0.,0.,1.)); #114355=DIRECTION('ref_axis',(-1.83697019872103E-16,-1.,0.)); #114356=DIRECTION('center_axis',(0.731024318349302,0.682351409452592,9.23260448198274E-16)); #114357=DIRECTION('ref_axis',(1.45698559662094E-15,-2.91397119324188E-15, 1.)); #114358=DIRECTION('center_axis',(0.,0.,1.)); #114359=DIRECTION('ref_axis',(2.83276944882399E-16,-1.,0.)); #114360=DIRECTION('center_axis',(0.,0.,1.)); #114361=DIRECTION('ref_axis',(2.83276944882399E-16,-1.,0.)); #114362=DIRECTION('center_axis',(-0.731024318349299,0.682351409452595,-2.52089830212014E-15)); #114363=DIRECTION('ref_axis',(-0.682351409452595,-0.731024318349299,0.)); #114364=DIRECTION('center_axis',(0.,0.,-1.)); #114365=DIRECTION('ref_axis',(2.83276944882399E-16,-1.,0.)); #114366=DIRECTION('center_axis',(-0.994525099586551,-0.104497972671059, 6.86702798075979E-15)); #114367=DIRECTION('ref_axis',(-0.104497972671059,0.994525099586551,0.)); #114368=DIRECTION('center_axis',(0.,0.,1.)); #114369=DIRECTION('ref_axis',(-1.07187543957223E-15,-1.,0.)); #114370=DIRECTION('center_axis',(0.,0.,1.)); #114371=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #114372=DIRECTION('center_axis',(0.994525099586551,-0.104497972671059,1.99081066909403E-14)); #114373=DIRECTION('ref_axis',(2.18547839493141E-14,1.74838271594513E-14, -1.)); #114374=DIRECTION('center_axis',(0.,0.,-1.)); #114375=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #114376=DIRECTION('center_axis',(0.,0.,-1.)); #114377=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #114378=DIRECTION('center_axis',(0.,0.,1.)); #114379=DIRECTION('ref_axis',(1.,0.,0.)); #114380=DIRECTION('center_axis',(0.,0.,-1.)); #114381=DIRECTION('ref_axis',(-1.,0.,0.)); #114382=DIRECTION('center_axis',(0.,0.,-1.)); #114383=DIRECTION('ref_axis',(1.,0.,0.)); #114384=DIRECTION('center_axis',(-2.1014215335879E-16,-1.,0.)); #114385=DIRECTION('ref_axis',(1.,-2.1014215335879E-16,0.)); #114386=DIRECTION('center_axis',(0.,0.,1.)); #114387=DIRECTION('ref_axis',(1.,0.,0.)); #114388=DIRECTION('center_axis',(0.,0.,1.)); #114389=DIRECTION('ref_axis',(1.,0.,0.)); #114390=DIRECTION('center_axis',(0.,0.,-1.)); #114391=DIRECTION('ref_axis',(1.,0.,0.)); #114392=DIRECTION('center_axis',(0.,0.,1.)); #114393=DIRECTION('ref_axis',(1.,0.,0.)); #114394=DIRECTION('',(-0.707106781186547,8.65956056235493E-17,0.707106781186548)); #114395=DIRECTION('center_axis',(0.,0.,1.)); #114396=DIRECTION('ref_axis',(1.,0.,0.)); #114397=DIRECTION('center_axis',(0.,0.,1.)); #114398=DIRECTION('ref_axis',(1.,0.,0.)); #114399=DIRECTION('center_axis',(0.,0.,-1.)); #114400=DIRECTION('ref_axis',(1.,0.,0.)); #114401=DIRECTION('center_axis',(0.,0.,-1.)); #114402=DIRECTION('ref_axis',(1.,0.,0.)); #114403=DIRECTION('',(0.,0.,1.)); #114404=DIRECTION('center_axis',(0.,0.,-1.)); #114405=DIRECTION('ref_axis',(-1.,0.,0.)); #114406=DIRECTION('center_axis',(0.,-1.,0.)); #114407=DIRECTION('ref_axis',(1.,0.,0.)); #114408=DIRECTION('center_axis',(0.,0.,-1.)); #114409=DIRECTION('ref_axis',(1.,0.,0.)); #114410=DIRECTION('center_axis',(0.,0.,-1.)); #114411=DIRECTION('ref_axis',(1.,0.,0.)); #114412=DIRECTION('center_axis',(0.,0.,-1.)); #114413=DIRECTION('ref_axis',(1.,0.,0.)); #114414=DIRECTION('',(0.,0.,1.)); #114415=DIRECTION('center_axis',(0.,0.,1.)); #114416=DIRECTION('ref_axis',(1.,0.,0.)); #114417=DIRECTION('center_axis',(0.,0.,-1.)); #114418=DIRECTION('ref_axis',(-1.,0.,0.)); #114419=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #114420=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #114421=DIRECTION('center_axis',(0.,0.,1.)); #114422=DIRECTION('ref_axis',(-1.,0.,0.)); #114423=DIRECTION('center_axis',(0.,0.,-1.)); #114424=DIRECTION('ref_axis',(-1.,0.,0.)); #114425=DIRECTION('center_axis',(0.,0.,-1.)); #114426=DIRECTION('ref_axis',(-1.,0.,0.)); #114427=DIRECTION('center_axis',(0.,0.,-1.)); #114428=DIRECTION('ref_axis',(-1.,0.,0.)); #114429=DIRECTION('center_axis',(0.,0.,1.)); #114430=DIRECTION('ref_axis',(-1.,0.,0.)); #114431=DIRECTION('center_axis',(0.,0.,-1.)); #114432=DIRECTION('ref_axis',(-1.,0.,0.)); #114433=DIRECTION('',(0.,0.,1.)); #114434=DIRECTION('center_axis',(0.,0.,1.)); #114435=DIRECTION('ref_axis',(1.,0.,0.)); #114436=DIRECTION('',(0.,0.,1.)); #114437=DIRECTION('center_axis',(0.,0.,-1.)); #114438=DIRECTION('ref_axis',(-1.,0.,0.)); #114439=DIRECTION('',(0.,0.,1.)); #114440=DIRECTION('center_axis',(0.,0.,1.)); #114441=DIRECTION('ref_axis',(1.,0.,0.)); #114442=DIRECTION('',(0.,0.,1.)); #114443=DIRECTION('',(0.,0.,-1.)); #114444=DIRECTION('center_axis',(0.,0.,1.)); #114445=DIRECTION('ref_axis',(-1.,0.,0.)); #114446=DIRECTION('',(0.,0.,-1.)); #114447=DIRECTION('center_axis',(0.,0.,-1.)); #114448=DIRECTION('ref_axis',(-1.,0.,0.)); #114449=DIRECTION('',(0.,0.,-1.)); #114450=DIRECTION('center_axis',(0.,0.,-1.)); #114451=DIRECTION('ref_axis',(-1.,0.,0.)); #114452=DIRECTION('',(0.,0.,1.)); #114453=DIRECTION('center_axis',(0.,0.,1.)); #114454=DIRECTION('ref_axis',(-1.,0.,0.)); #114455=DIRECTION('',(0.,0.,1.)); #114456=DIRECTION('center_axis',(0.,0.,-1.)); #114457=DIRECTION('ref_axis',(-1.,0.,0.)); #114458=DIRECTION('',(0.,0.,1.)); #114459=DIRECTION('center_axis',(0.,0.,1.)); #114460=DIRECTION('ref_axis',(-1.,0.,0.)); #114461=DIRECTION('',(0.,0.,1.)); #114462=DIRECTION('center_axis',(0.,0.,-1.)); #114463=DIRECTION('ref_axis',(-1.,0.,0.)); #114464=DIRECTION('',(0.,0.,1.)); #114465=DIRECTION('center_axis',(0.,0.,1.)); #114466=DIRECTION('ref_axis',(-1.,0.,0.)); #114467=DIRECTION('',(0.,0.,1.)); #114468=DIRECTION('center_axis',(0.,0.,-1.)); #114469=DIRECTION('ref_axis',(-1.,0.,0.)); #114470=DIRECTION('',(0.,0.,1.)); #114471=DIRECTION('center_axis',(0.,0.,1.)); #114472=DIRECTION('ref_axis',(-1.,0.,0.)); #114473=DIRECTION('',(0.,0.,1.)); #114474=DIRECTION('center_axis',(0.,0.,-1.)); #114475=DIRECTION('ref_axis',(-1.,0.,0.)); #114476=DIRECTION('',(0.,0.,1.)); #114477=DIRECTION('center_axis',(0.,0.,1.)); #114478=DIRECTION('ref_axis',(-1.,0.,0.)); #114479=DIRECTION('',(0.,0.,1.)); #114480=DIRECTION('center_axis',(0.,0.,1.)); #114481=DIRECTION('ref_axis',(-1.,0.,0.)); #114482=DIRECTION('',(0.,0.,-1.)); #114483=DIRECTION('center_axis',(0.,0.,1.)); #114484=DIRECTION('ref_axis',(-1.,0.,0.)); #114485=DIRECTION('',(0.,0.,-1.)); #114486=DIRECTION('center_axis',(0.,0.,-1.)); #114487=DIRECTION('ref_axis',(-1.,0.,0.)); #114488=DIRECTION('',(0.,0.,-1.)); #114489=DIRECTION('center_axis',(0.,0.,1.)); #114490=DIRECTION('ref_axis',(-1.,0.,0.)); #114491=DIRECTION('',(0.,0.,-1.)); #114492=DIRECTION('center_axis',(0.,0.,-1.)); #114493=DIRECTION('ref_axis',(-1.,0.,0.)); #114494=DIRECTION('',(0.,0.,-1.)); #114495=DIRECTION('center_axis',(0.,0.,1.)); #114496=DIRECTION('ref_axis',(-1.,0.,0.)); #114497=DIRECTION('',(0.,0.,-1.)); #114498=DIRECTION('center_axis',(0.,0.,-1.)); #114499=DIRECTION('ref_axis',(-1.,0.,0.)); #114500=DIRECTION('',(0.,0.,-1.)); #114501=DIRECTION('center_axis',(0.,0.,1.)); #114502=DIRECTION('ref_axis',(-1.,0.,0.)); #114503=DIRECTION('',(0.,0.,-1.)); #114504=DIRECTION('center_axis',(0.,0.,-1.)); #114505=DIRECTION('ref_axis',(-1.,0.,0.)); #114506=DIRECTION('',(0.,0.,-1.)); #114507=DIRECTION('center_axis',(0.,0.,1.)); #114508=DIRECTION('ref_axis',(-1.,0.,0.)); #114509=DIRECTION('',(0.,0.,-1.)); #114510=DIRECTION('center_axis',(0.,0.,-1.)); #114511=DIRECTION('ref_axis',(-1.,0.,0.)); #114512=DIRECTION('',(0.,0.,-1.)); #114513=DIRECTION('center_axis',(-2.48349817605842E-16,0.,-1.)); #114514=DIRECTION('ref_axis',(-1.,0.,0.)); #114515=DIRECTION('',(0.,0.,1.)); #114516=DIRECTION('center_axis',(0.,0.,-1.)); #114517=DIRECTION('ref_axis',(-1.,0.,0.)); #114518=DIRECTION('',(0.,0.,1.)); #114519=DIRECTION('center_axis',(0.,0.,1.)); #114520=DIRECTION('ref_axis',(-1.,0.,0.)); #114521=DIRECTION('',(0.,0.,1.)); #114522=DIRECTION('center_axis',(0.,0.,-1.)); #114523=DIRECTION('ref_axis',(-1.,0.,0.)); #114524=DIRECTION('',(0.,0.,1.)); #114525=DIRECTION('center_axis',(0.,0.,1.)); #114526=DIRECTION('ref_axis',(-1.,0.,0.)); #114527=DIRECTION('',(0.,0.,1.)); #114528=DIRECTION('center_axis',(0.,0.,-1.)); #114529=DIRECTION('ref_axis',(-1.,0.,0.)); #114530=DIRECTION('center_axis',(0.,0.,1.)); #114531=DIRECTION('ref_axis',(-1.,0.,0.)); #114532=DIRECTION('',(0.,0.,1.)); #114533=DIRECTION('center_axis',(0.,0.,-1.)); #114534=DIRECTION('ref_axis',(-1.,0.,0.)); #114535=DIRECTION('',(0.,0.,1.)); #114536=DIRECTION('center_axis',(0.,0.,1.)); #114537=DIRECTION('ref_axis',(-1.,0.,0.)); #114538=DIRECTION('',(0.,0.,1.)); #114539=DIRECTION('center_axis',(0.,0.,-1.)); #114540=DIRECTION('ref_axis',(-1.,0.,0.)); #114541=DIRECTION('center_axis',(0.,0.,1.)); #114542=DIRECTION('ref_axis',(-1.,0.,0.)); #114543=DIRECTION('',(0.,0.,1.)); #114544=DIRECTION('center_axis',(0.,0.,1.)); #114545=DIRECTION('ref_axis',(-1.,0.,0.)); #114546=DIRECTION('',(0.,0.,-1.)); #114547=DIRECTION('center_axis',(0.,0.,1.)); #114548=DIRECTION('ref_axis',(-1.,0.,0.)); #114549=DIRECTION('',(0.,0.,-1.)); #114550=DIRECTION('center_axis',(0.,0.,-1.)); #114551=DIRECTION('ref_axis',(-1.,0.,0.)); #114552=DIRECTION('',(0.,0.,-1.)); #114553=DIRECTION('center_axis',(0.,0.,1.)); #114554=DIRECTION('ref_axis',(-1.,0.,0.)); #114555=DIRECTION('center_axis',(0.,0.,-1.)); #114556=DIRECTION('ref_axis',(-1.,0.,0.)); #114557=DIRECTION('',(0.,0.,-1.)); #114558=DIRECTION('center_axis',(0.,0.,1.)); #114559=DIRECTION('ref_axis',(-1.,0.,0.)); #114560=DIRECTION('',(0.,0.,-1.)); #114561=DIRECTION('center_axis',(0.,0.,-1.)); #114562=DIRECTION('ref_axis',(-1.,0.,0.)); #114563=DIRECTION('',(0.,0.,-1.)); #114564=DIRECTION('center_axis',(0.,0.,1.)); #114565=DIRECTION('ref_axis',(-1.,0.,0.)); #114566=DIRECTION('center_axis',(0.,0.,-1.)); #114567=DIRECTION('ref_axis',(-1.,0.,0.)); #114568=DIRECTION('',(0.,0.,-1.)); #114569=DIRECTION('center_axis',(0.,0.,1.)); #114570=DIRECTION('ref_axis',(-1.,0.,0.)); #114571=DIRECTION('',(0.,0.,-1.)); #114572=DIRECTION('center_axis',(0.,0.,-1.)); #114573=DIRECTION('ref_axis',(-1.,0.,0.)); #114574=DIRECTION('center_axis',(0.,0.,1.)); #114575=DIRECTION('ref_axis',(-1.,0.,0.)); #114576=DIRECTION('center_axis',(0.,0.,-1.)); #114577=DIRECTION('ref_axis',(-1.,0.,0.)); #114578=DIRECTION('center_axis',(0.,0.,1.)); #114579=DIRECTION('ref_axis',(-1.,0.,0.)); #114580=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #114581=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #114582=DIRECTION('center_axis',(0.,0.,-1.)); #114583=DIRECTION('ref_axis',(-1.,0.,0.)); #114584=DIRECTION('center_axis',(0.,0.,-1.)); #114585=DIRECTION('ref_axis',(-1.,0.,0.)); #114586=DIRECTION('center_axis',(0.,0.,1.)); #114587=DIRECTION('ref_axis',(-1.,0.,0.)); #114588=DIRECTION('center_axis',(0.,0.,1.)); #114589=DIRECTION('ref_axis',(-1.,0.,0.)); #114590=DIRECTION('',(0.,0.,-1.)); #114591=DIRECTION('center_axis',(0.,0.,1.)); #114592=DIRECTION('ref_axis',(1.,0.,0.)); #114593=DIRECTION('center_axis',(0.,-1.,0.)); #114594=DIRECTION('ref_axis',(-1.,0.,0.)); #114595=DIRECTION('center_axis',(0.,0.,1.)); #114596=DIRECTION('ref_axis',(1.,0.,0.)); #114597=DIRECTION('center_axis',(0.,0.,1.)); #114598=DIRECTION('ref_axis',(1.,0.,0.)); #114599=DIRECTION('center_axis',(1.,0.,0.)); #114600=DIRECTION('ref_axis',(0.,-1.,0.)); #114601=DIRECTION('',(0.,1.,0.)); #114602=DIRECTION('',(0.,0.,-1.)); #114603=DIRECTION('',(0.,-1.,0.)); #114604=DIRECTION('center_axis',(1.34028029049631E-15,1.,0.)); #114605=DIRECTION('ref_axis',(1.,-1.34028029049631E-15,0.)); #114606=DIRECTION('',(-1.,1.34028029049631E-15,0.)); #114607=DIRECTION('',(0.,0.,-1.)); #114608=DIRECTION('',(1.,-1.34028029049631E-15,0.)); #114609=DIRECTION('center_axis',(0.,0.,-1.)); #114610=DIRECTION('ref_axis',(0.999700324691461,-0.0244798041615422,0.)); #114611=DIRECTION('center_axis',(0.,0.,-1.)); #114612=DIRECTION('ref_axis',(0.999700324691461,-0.0244798041615422,0.)); #114613=DIRECTION('',(0.,0.,-1.)); #114614=DIRECTION('center_axis',(0.,0.,1.)); #114615=DIRECTION('ref_axis',(0.999700324691461,-0.0244798041615422,0.)); #114616=DIRECTION('center_axis',(0.,0.,-1.)); #114617=DIRECTION('ref_axis',(-0.99970032469146,-0.0244798041615671,0.)); #114618=DIRECTION('center_axis',(0.,0.,-1.)); #114619=DIRECTION('ref_axis',(-0.99970032469146,-0.0244798041615671,0.)); #114620=DIRECTION('',(0.,0.,-1.)); #114621=DIRECTION('center_axis',(0.,0.,1.)); #114622=DIRECTION('ref_axis',(-0.99970032469146,-0.0244798041615671,0.)); #114623=DIRECTION('center_axis',(0.,0.,-1.)); #114624=DIRECTION('ref_axis',(0.,1.,0.)); #114625=DIRECTION('center_axis',(0.,0.,-1.)); #114626=DIRECTION('ref_axis',(0.,1.,0.)); #114627=DIRECTION('',(0.,0.,-1.)); #114628=DIRECTION('center_axis',(0.,0.,1.)); #114629=DIRECTION('ref_axis',(0.,1.,0.)); #114630=DIRECTION('center_axis',(1.48920032277368E-15,1.,0.)); #114631=DIRECTION('ref_axis',(1.,-1.48920032277368E-15,0.)); #114632=DIRECTION('',(-1.,1.48920032277368E-15,0.)); #114633=DIRECTION('',(0.,0.,-1.)); #114634=DIRECTION('',(1.,-1.48920032277368E-15,0.)); #114635=DIRECTION('center_axis',(-1.,0.,0.)); #114636=DIRECTION('ref_axis',(0.,1.,0.)); #114637=DIRECTION('',(0.,-1.,0.)); #114638=DIRECTION('',(0.,1.,0.)); #114639=DIRECTION('center_axis',(0.,0.,1.)); #114640=DIRECTION('ref_axis',(1.,0.,0.)); #114641=DIRECTION('center_axis',(0.,0.,1.)); #114642=DIRECTION('ref_axis',(1.,0.,0.)); #114643=DIRECTION('center_axis',(-1.,0.,0.)); #114644=DIRECTION('ref_axis',(0.,0.,1.)); #114645=DIRECTION('',(0.,-1.,0.)); #114646=DIRECTION('',(0.,0.,-1.)); #114647=DIRECTION('',(0.,1.,0.)); #114648=DIRECTION('',(0.,0.,-1.)); #114649=DIRECTION('center_axis',(-0.589437758676364,-0.807813795776344, 0.)); #114650=DIRECTION('ref_axis',(0.,0.,1.)); #114651=DIRECTION('',(0.807813795776344,-0.589437758676364,0.)); #114652=DIRECTION('',(-0.807813795776344,0.589437758676364,0.)); #114653=DIRECTION('',(0.,0.,-1.)); #114654=DIRECTION('',(0.,0.,-1.)); #114655=DIRECTION('',(0.,0.,-1.)); #114656=DIRECTION('center_axis',(-0.578597444195686,0.815613264709581,0.)); #114657=DIRECTION('ref_axis',(0.,0.,1.)); #114658=DIRECTION('',(-0.815613264709581,-0.578597444195686,0.)); #114659=DIRECTION('',(0.815613264709581,0.578597444195686,0.)); #114660=DIRECTION('',(0.,0.,-1.)); #114661=DIRECTION('center_axis',(-1.,0.,0.)); #114662=DIRECTION('ref_axis',(0.,0.,1.)); #114663=DIRECTION('',(0.,-1.,0.)); #114664=DIRECTION('',(0.,1.,0.)); #114665=DIRECTION('',(0.,0.,-1.)); #114666=DIRECTION('center_axis',(0.580902399477266,-0.813973219634132,0.)); #114667=DIRECTION('ref_axis',(0.,0.,-1.)); #114668=DIRECTION('',(0.813973219634132,0.580902399477266,0.)); #114669=DIRECTION('',(-0.813973219634132,-0.580902399477266,0.)); #114670=DIRECTION('',(0.,0.,-1.)); #114671=DIRECTION('center_axis',(-0.589172157449442,-0.808007530216377, 0.)); #114672=DIRECTION('ref_axis',(0.,0.,1.)); #114673=DIRECTION('',(0.808007530216377,-0.589172157449442,0.)); #114674=DIRECTION('',(-0.808007530216377,0.589172157449442,0.)); #114675=DIRECTION('',(0.,0.,-1.)); #114676=DIRECTION('center_axis',(1.,0.,0.)); #114677=DIRECTION('ref_axis',(0.,0.,-1.)); #114678=DIRECTION('',(0.,1.,0.)); #114679=DIRECTION('',(0.,-1.,0.)); #114680=DIRECTION('',(0.,0.,-1.)); #114681=DIRECTION('center_axis',(0.600366573064833,0.799724938929873,0.)); #114682=DIRECTION('ref_axis',(0.,0.,-1.)); #114683=DIRECTION('',(-0.799724938929873,0.600366573064833,0.)); #114684=DIRECTION('',(0.799724938929873,-0.600366573064833,0.)); #114685=DIRECTION('',(0.,0.,-1.)); #114686=DIRECTION('',(0.,0.,-1.)); #114687=DIRECTION('',(0.,0.,-1.)); #114688=DIRECTION('center_axis',(0.581238193719096,-0.813733471206735,0.)); #114689=DIRECTION('ref_axis',(0.,0.,-1.)); #114690=DIRECTION('',(0.813733471206735,0.581238193719096,0.)); #114691=DIRECTION('',(-0.813733471206735,-0.581238193719096,0.)); #114692=DIRECTION('',(0.,0.,-1.)); #114693=DIRECTION('center_axis',(1.,-3.71177678011731E-15,0.)); #114694=DIRECTION('ref_axis',(0.,0.,-1.)); #114695=DIRECTION('',(3.71177678011731E-15,1.,0.)); #114696=DIRECTION('',(-3.71177678011731E-15,-1.,0.)); #114697=DIRECTION('',(0.,0.,-1.)); #114698=DIRECTION('center_axis',(-0.577443719390371,0.816430493634709,0.)); #114699=DIRECTION('ref_axis',(0.,0.,1.)); #114700=DIRECTION('',(-0.816430493634709,-0.577443719390371,0.)); #114701=DIRECTION('',(0.816430493634709,0.577443719390371,0.)); #114702=DIRECTION('',(0.,0.,-1.)); #114703=DIRECTION('center_axis',(0.597733990147094,0.801694503550344,0.)); #114704=DIRECTION('ref_axis',(0.,0.,-1.)); #114705=DIRECTION('',(-0.801694503550344,0.597733990147094,0.)); #114706=DIRECTION('',(0.801694503550344,-0.597733990147094,0.)); #114707=DIRECTION('center_axis',(-5.07545498749665E-29,-2.71003569592557E-29, 1.)); #114708=DIRECTION('ref_axis',(1.,0.,5.07545498749665E-29)); #114709=DIRECTION('center_axis',(-1.,0.,0.)); #114710=DIRECTION('ref_axis',(0.,0.,1.)); #114711=DIRECTION('',(0.,-1.,0.)); #114712=DIRECTION('',(0.,0.,-1.)); #114713=DIRECTION('',(0.,1.,0.)); #114714=DIRECTION('',(0.,0.,-1.)); #114715=DIRECTION('center_axis',(-0.589437758676365,-0.807813795776343, 0.)); #114716=DIRECTION('ref_axis',(0.,0.,1.)); #114717=DIRECTION('',(0.807813795776343,-0.589437758676365,0.)); #114718=DIRECTION('',(-0.807813795776343,0.589437758676365,0.)); #114719=DIRECTION('',(0.,0.,-1.)); #114720=DIRECTION('',(0.,0.,-1.)); #114721=DIRECTION('',(0.,0.,-1.)); #114722=DIRECTION('center_axis',(-0.578597444195686,0.81561326470958,0.)); #114723=DIRECTION('ref_axis',(0.,0.,1.)); #114724=DIRECTION('',(-0.81561326470958,-0.578597444195686,0.)); #114725=DIRECTION('',(0.81561326470958,0.578597444195686,0.)); #114726=DIRECTION('',(0.,0.,-1.)); #114727=DIRECTION('center_axis',(-1.,0.,0.)); #114728=DIRECTION('ref_axis',(0.,0.,1.)); #114729=DIRECTION('',(0.,-1.,0.)); #114730=DIRECTION('',(0.,1.,0.)); #114731=DIRECTION('',(0.,0.,-1.)); #114732=DIRECTION('center_axis',(0.580902399477266,-0.813973219634132,0.)); #114733=DIRECTION('ref_axis',(0.,0.,-1.)); #114734=DIRECTION('',(0.813973219634132,0.580902399477266,0.)); #114735=DIRECTION('',(-0.813973219634132,-0.580902399477266,0.)); #114736=DIRECTION('',(0.,0.,-1.)); #114737=DIRECTION('center_axis',(-0.589172157449442,-0.808007530216378, 0.)); #114738=DIRECTION('ref_axis',(0.,0.,1.)); #114739=DIRECTION('',(0.808007530216378,-0.589172157449442,0.)); #114740=DIRECTION('',(-0.808007530216378,0.589172157449442,0.)); #114741=DIRECTION('',(0.,0.,-1.)); #114742=DIRECTION('center_axis',(1.,0.,0.)); #114743=DIRECTION('ref_axis',(0.,0.,-1.)); #114744=DIRECTION('',(0.,1.,0.)); #114745=DIRECTION('',(0.,-1.,0.)); #114746=DIRECTION('',(0.,0.,-1.)); #114747=DIRECTION('center_axis',(0.600366573064832,0.799724938929874,0.)); #114748=DIRECTION('ref_axis',(0.,0.,-1.)); #114749=DIRECTION('',(-0.799724938929874,0.600366573064832,0.)); #114750=DIRECTION('',(0.799724938929874,-0.600366573064832,0.)); #114751=DIRECTION('',(0.,0.,-1.)); #114752=DIRECTION('',(0.,0.,-1.)); #114753=DIRECTION('',(0.,0.,-1.)); #114754=DIRECTION('center_axis',(0.581238193719096,-0.813733471206736,0.)); #114755=DIRECTION('ref_axis',(0.,0.,-1.)); #114756=DIRECTION('',(0.813733471206736,0.581238193719096,0.)); #114757=DIRECTION('',(-0.813733471206736,-0.581238193719096,0.)); #114758=DIRECTION('',(0.,0.,-1.)); #114759=DIRECTION('center_axis',(1.,0.,0.)); #114760=DIRECTION('ref_axis',(0.,0.,-1.)); #114761=DIRECTION('',(0.,1.,0.)); #114762=DIRECTION('',(0.,-1.,0.)); #114763=DIRECTION('',(0.,0.,-1.)); #114764=DIRECTION('center_axis',(-0.577443719390371,0.816430493634709,0.)); #114765=DIRECTION('ref_axis',(0.,0.,1.)); #114766=DIRECTION('',(-0.816430493634709,-0.577443719390371,0.)); #114767=DIRECTION('',(0.816430493634709,0.577443719390371,0.)); #114768=DIRECTION('',(0.,0.,-1.)); #114769=DIRECTION('center_axis',(0.597733990147095,0.801694503550344,0.)); #114770=DIRECTION('ref_axis',(0.,0.,-1.)); #114771=DIRECTION('',(-0.801694503550344,0.597733990147095,0.)); #114772=DIRECTION('',(0.801694503550344,-0.597733990147095,0.)); #114773=DIRECTION('center_axis',(-6.87472055180118E-29,-9.00153424374839E-30, 1.)); #114774=DIRECTION('ref_axis',(1.,0.,6.87472055180118E-29)); #114775=DIRECTION('center_axis',(-1.,0.,0.)); #114776=DIRECTION('ref_axis',(0.,0.,1.)); #114777=DIRECTION('',(0.,-1.,0.)); #114778=DIRECTION('',(0.,0.,-1.)); #114779=DIRECTION('',(0.,1.,0.)); #114780=DIRECTION('',(0.,0.,-1.)); #114781=DIRECTION('center_axis',(-0.589437758676365,-0.807813795776343, 0.)); #114782=DIRECTION('ref_axis',(0.,0.,1.)); #114783=DIRECTION('',(0.807813795776343,-0.589437758676365,0.)); #114784=DIRECTION('',(-0.807813795776343,0.589437758676365,0.)); #114785=DIRECTION('',(0.,0.,-1.)); #114786=DIRECTION('',(0.,0.,-1.)); #114787=DIRECTION('',(0.,0.,-1.)); #114788=DIRECTION('center_axis',(-0.578597444195686,0.815613264709581,0.)); #114789=DIRECTION('ref_axis',(0.,0.,1.)); #114790=DIRECTION('',(-0.815613264709581,-0.578597444195686,0.)); #114791=DIRECTION('',(0.815613264709581,0.578597444195686,0.)); #114792=DIRECTION('',(0.,0.,-1.)); #114793=DIRECTION('center_axis',(-1.,0.,0.)); #114794=DIRECTION('ref_axis',(0.,0.,1.)); #114795=DIRECTION('',(0.,-1.,0.)); #114796=DIRECTION('',(0.,1.,0.)); #114797=DIRECTION('',(0.,0.,-1.)); #114798=DIRECTION('center_axis',(0.580902399477266,-0.813973219634132,0.)); #114799=DIRECTION('ref_axis',(0.,0.,-1.)); #114800=DIRECTION('',(0.813973219634132,0.580902399477266,0.)); #114801=DIRECTION('',(-0.813973219634132,-0.580902399477266,0.)); #114802=DIRECTION('',(0.,0.,-1.)); #114803=DIRECTION('center_axis',(-0.589172157449442,-0.808007530216377, 0.)); #114804=DIRECTION('ref_axis',(0.,0.,1.)); #114805=DIRECTION('',(0.808007530216377,-0.589172157449442,0.)); #114806=DIRECTION('',(-0.808007530216377,0.589172157449442,0.)); #114807=DIRECTION('',(0.,0.,-1.)); #114808=DIRECTION('center_axis',(1.,0.,0.)); #114809=DIRECTION('ref_axis',(0.,0.,-1.)); #114810=DIRECTION('',(0.,1.,0.)); #114811=DIRECTION('',(0.,-1.,0.)); #114812=DIRECTION('',(0.,0.,-1.)); #114813=DIRECTION('center_axis',(0.600366573064833,0.799724938929873,0.)); #114814=DIRECTION('ref_axis',(0.,0.,-1.)); #114815=DIRECTION('',(-0.799724938929873,0.600366573064833,0.)); #114816=DIRECTION('',(0.799724938929873,-0.600366573064833,0.)); #114817=DIRECTION('',(0.,0.,-1.)); #114818=DIRECTION('',(0.,0.,-1.)); #114819=DIRECTION('',(0.,0.,-1.)); #114820=DIRECTION('center_axis',(0.581238193719096,-0.813733471206735,0.)); #114821=DIRECTION('ref_axis',(0.,0.,-1.)); #114822=DIRECTION('',(0.813733471206735,0.581238193719096,0.)); #114823=DIRECTION('',(-0.813733471206735,-0.581238193719096,0.)); #114824=DIRECTION('',(0.,0.,-1.)); #114825=DIRECTION('center_axis',(1.,0.,0.)); #114826=DIRECTION('ref_axis',(0.,0.,-1.)); #114827=DIRECTION('',(0.,1.,0.)); #114828=DIRECTION('',(0.,-1.,0.)); #114829=DIRECTION('',(0.,0.,-1.)); #114830=DIRECTION('center_axis',(-0.577443719390371,0.816430493634709,0.)); #114831=DIRECTION('ref_axis',(0.,0.,1.)); #114832=DIRECTION('',(-0.816430493634709,-0.577443719390371,0.)); #114833=DIRECTION('',(0.816430493634709,0.577443719390371,0.)); #114834=DIRECTION('',(0.,0.,-1.)); #114835=DIRECTION('center_axis',(0.597733990147095,0.801694503550344,0.)); #114836=DIRECTION('ref_axis',(0.,0.,-1.)); #114837=DIRECTION('',(-0.801694503550344,0.597733990147095,0.)); #114838=DIRECTION('',(0.801694503550344,-0.597733990147095,0.)); #114839=DIRECTION('center_axis',(-9.27746109025361E-29,-5.41011033650414E-30, 1.)); #114840=DIRECTION('ref_axis',(1.,0.,9.27746109025361E-29)); #114841=DIRECTION('center_axis',(-1.,0.,0.)); #114842=DIRECTION('ref_axis',(0.,0.,1.)); #114843=DIRECTION('',(0.,-1.,0.)); #114844=DIRECTION('',(0.,0.,-1.)); #114845=DIRECTION('',(0.,1.,0.)); #114846=DIRECTION('',(0.,0.,-1.)); #114847=DIRECTION('center_axis',(-0.589437758676365,-0.807813795776343, 0.)); #114848=DIRECTION('ref_axis',(0.,0.,1.)); #114849=DIRECTION('',(0.807813795776343,-0.589437758676365,0.)); #114850=DIRECTION('',(-0.807813795776343,0.589437758676365,0.)); #114851=DIRECTION('',(0.,0.,-1.)); #114852=DIRECTION('',(0.,0.,-1.)); #114853=DIRECTION('',(0.,0.,-1.)); #114854=DIRECTION('center_axis',(-0.578597444195685,0.815613264709581,0.)); #114855=DIRECTION('ref_axis',(0.,0.,1.)); #114856=DIRECTION('',(-0.815613264709581,-0.578597444195685,0.)); #114857=DIRECTION('',(0.815613264709581,0.578597444195685,0.)); #114858=DIRECTION('',(0.,0.,-1.)); #114859=DIRECTION('center_axis',(-1.,0.,0.)); #114860=DIRECTION('ref_axis',(0.,0.,1.)); #114861=DIRECTION('',(0.,-1.,0.)); #114862=DIRECTION('',(0.,1.,0.)); #114863=DIRECTION('',(0.,0.,-1.)); #114864=DIRECTION('center_axis',(0.580902399477266,-0.813973219634132,0.)); #114865=DIRECTION('ref_axis',(0.,0.,-1.)); #114866=DIRECTION('',(0.813973219634132,0.580902399477266,0.)); #114867=DIRECTION('',(-0.813973219634132,-0.580902399477266,0.)); #114868=DIRECTION('',(0.,0.,-1.)); #114869=DIRECTION('center_axis',(-0.589172157449442,-0.808007530216377, 0.)); #114870=DIRECTION('ref_axis',(0.,0.,1.)); #114871=DIRECTION('',(0.808007530216377,-0.589172157449442,0.)); #114872=DIRECTION('',(-0.808007530216377,0.589172157449442,0.)); #114873=DIRECTION('',(0.,0.,-1.)); #114874=DIRECTION('center_axis',(1.,0.,0.)); #114875=DIRECTION('ref_axis',(0.,0.,-1.)); #114876=DIRECTION('',(0.,1.,0.)); #114877=DIRECTION('',(0.,-1.,0.)); #114878=DIRECTION('',(0.,0.,-1.)); #114879=DIRECTION('center_axis',(0.600366573064833,0.799724938929873,0.)); #114880=DIRECTION('ref_axis',(0.,0.,-1.)); #114881=DIRECTION('',(-0.799724938929873,0.600366573064833,0.)); #114882=DIRECTION('',(0.799724938929873,-0.600366573064833,0.)); #114883=DIRECTION('',(0.,0.,-1.)); #114884=DIRECTION('',(0.,0.,-1.)); #114885=DIRECTION('',(0.,0.,-1.)); #114886=DIRECTION('center_axis',(0.581238193719096,-0.813733471206736,0.)); #114887=DIRECTION('ref_axis',(0.,0.,-1.)); #114888=DIRECTION('',(0.813733471206736,0.581238193719096,0.)); #114889=DIRECTION('',(-0.813733471206736,-0.581238193719096,0.)); #114890=DIRECTION('',(0.,0.,-1.)); #114891=DIRECTION('center_axis',(1.,0.,0.)); #114892=DIRECTION('ref_axis',(0.,0.,-1.)); #114893=DIRECTION('',(0.,1.,0.)); #114894=DIRECTION('',(0.,-1.,0.)); #114895=DIRECTION('',(0.,0.,-1.)); #114896=DIRECTION('center_axis',(-0.577443719390371,0.816430493634709,0.)); #114897=DIRECTION('ref_axis',(0.,0.,1.)); #114898=DIRECTION('',(-0.816430493634709,-0.577443719390371,0.)); #114899=DIRECTION('',(0.816430493634709,0.577443719390371,0.)); #114900=DIRECTION('',(0.,0.,-1.)); #114901=DIRECTION('center_axis',(0.597733990147095,0.801694503550344,0.)); #114902=DIRECTION('ref_axis',(0.,0.,-1.)); #114903=DIRECTION('',(-0.801694503550344,0.597733990147095,0.)); #114904=DIRECTION('',(0.801694503550344,-0.597733990147095,0.)); #114905=DIRECTION('center_axis',(-1.10368776116129E-28,2.94245731296869E-29, 1.)); #114906=DIRECTION('ref_axis',(1.,0.,1.10368776116129E-28)); #114907=DIRECTION('center_axis',(0.,0.,1.)); #114908=DIRECTION('ref_axis',(1.,0.,0.)); #114909=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #114910=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #114911=DIRECTION('center_axis',(0.,0.,1.)); #114912=DIRECTION('ref_axis',(1.,0.,0.)); #114913=DIRECTION('',(0.,-1.,0.)); #114914=DIRECTION('',(0.,-1.,0.)); #114915=DIRECTION('center_axis',(0.,0.,-1.)); #114916=DIRECTION('ref_axis',(-1.,0.,0.)); #114917=DIRECTION('',(0.,1.,0.)); #114918=DIRECTION('',(0.,-1.,0.)); #114919=DIRECTION('',(-1.,0.,0.)); #114920=DIRECTION('',(0.,-1.,0.)); #114921=DIRECTION('center_axis',(0.,0.,-1.)); #114922=DIRECTION('ref_axis',(-1.,0.,0.)); #114923=DIRECTION('',(0.,-1.,0.)); #114924=DIRECTION('',(0.,-1.,0.)); #114925=DIRECTION('center_axis',(0.,0.,-1.)); #114926=DIRECTION('ref_axis',(-1.,0.,0.)); #114927=DIRECTION('',(0.,-1.,0.)); #114928=DIRECTION('',(0.,-1.,0.)); #114929=DIRECTION('center_axis',(0.,0.,1.)); #114930=DIRECTION('ref_axis',(1.,0.,0.)); #114931=DIRECTION('',(0.,1.,0.)); #114932=DIRECTION('',(0.,-1.,0.)); #114933=DIRECTION('',(1.,0.,0.)); #114934=DIRECTION('',(0.,-1.,0.)); #114935=DIRECTION('center_axis',(0.,0.,1.)); #114936=DIRECTION('ref_axis',(1.,0.,0.)); #114937=DIRECTION('',(0.,-1.,0.)); #114938=DIRECTION('',(0.,-1.,0.)); #114939=DIRECTION('center_axis',(0.,0.,-1.)); #114940=DIRECTION('ref_axis',(-1.,0.,0.)); #114941=DIRECTION('',(0.,-1.,0.)); #114942=DIRECTION('',(0.,-1.,0.)); #114943=DIRECTION('',(-1.,0.,0.)); #114944=DIRECTION('',(0.,-1.,0.)); #114945=DIRECTION('center_axis',(0.,0.,1.)); #114946=DIRECTION('ref_axis',(1.,0.,0.)); #114947=DIRECTION('',(0.,1.,0.)); #114948=DIRECTION('',(0.,-1.,0.)); #114949=DIRECTION('center_axis',(0.,0.,1.)); #114950=DIRECTION('ref_axis',(1.,0.,0.)); #114951=DIRECTION('',(0.,-1.,0.)); #114952=DIRECTION('',(0.,-1.,0.)); #114953=DIRECTION('',(1.,0.,0.)); #114954=DIRECTION('',(0.,-1.,0.)); #114955=DIRECTION('center_axis',(0.,0.,1.)); #114956=DIRECTION('ref_axis',(1.,0.,0.)); #114957=DIRECTION('',(0.,1.,0.)); #114958=DIRECTION('',(0.,-1.,0.)); #114959=DIRECTION('',(1.,0.,3.91876749055454E-16)); #114960=DIRECTION('',(0.,-1.,0.)); #114961=DIRECTION('center_axis',(0.,0.,1.)); #114962=DIRECTION('ref_axis',(1.,0.,0.)); #114963=DIRECTION('',(0.,-1.,0.)); #114964=DIRECTION('',(0.,-1.,0.)); #114965=DIRECTION('center_axis',(0.,0.,-1.)); #114966=DIRECTION('ref_axis',(-1.,0.,0.)); #114967=DIRECTION('',(0.,-1.,0.)); #114968=DIRECTION('',(0.,-1.,0.)); #114969=DIRECTION('',(-1.,0.,0.)); #114970=DIRECTION('',(0.,-1.,0.)); #114971=DIRECTION('center_axis',(0.,0.,1.)); #114972=DIRECTION('ref_axis',(1.,0.,0.)); #114973=DIRECTION('',(0.,1.,0.)); #114974=DIRECTION('',(0.,-1.,0.)); #114975=DIRECTION('center_axis',(0.,0.,1.)); #114976=DIRECTION('ref_axis',(1.,0.,0.)); #114977=DIRECTION('',(0.,-1.,0.)); #114978=DIRECTION('',(0.,-1.,0.)); #114979=DIRECTION('',(1.,0.,0.)); #114980=DIRECTION('',(0.,-1.,0.)); #114981=DIRECTION('center_axis',(1.,0.,-3.15158132462579E-16)); #114982=DIRECTION('ref_axis',(-3.15158132462579E-16,0.,-1.)); #114983=DIRECTION('',(0.,-1.,0.)); #114984=DIRECTION('center_axis',(1.,0.,-3.15158132462579E-16)); #114985=DIRECTION('ref_axis',(-3.15158132462579E-16,0.,-1.)); #114986=DIRECTION('center_axis',(1.,0.,-3.15158132462579E-16)); #114987=DIRECTION('ref_axis',(-3.15158132462579E-16,0.,-1.)); #114988=DIRECTION('center_axis',(1.,0.,-3.15158132462579E-16)); #114989=DIRECTION('ref_axis',(-3.15158132462579E-16,0.,-1.)); #114990=DIRECTION('center_axis',(1.,0.,-3.15158132462579E-16)); #114991=DIRECTION('ref_axis',(-3.15158132462579E-16,0.,-1.)); #114992=DIRECTION('',(0.,1.,0.)); #114993=DIRECTION('center_axis',(-1.,0.,4.29761089721699E-16)); #114994=DIRECTION('ref_axis',(4.29761089721699E-16,0.,1.)); #114995=DIRECTION('',(0.,1.,0.)); #114996=DIRECTION('center_axis',(-1.,0.,4.29761089721699E-16)); #114997=DIRECTION('ref_axis',(4.29761089721699E-16,0.,1.)); #114998=DIRECTION('',(0.,1.,0.)); #114999=DIRECTION('center_axis',(-1.,0.,4.29761089721699E-16)); #115000=DIRECTION('ref_axis',(4.29761089721699E-16,0.,1.)); #115001=DIRECTION('',(0.,1.,0.)); #115002=DIRECTION('center_axis',(-1.,0.,4.29761089721699E-16)); #115003=DIRECTION('ref_axis',(4.29761089721699E-16,0.,1.)); #115004=DIRECTION('',(0.,1.,0.)); #115005=DIRECTION('center_axis',(-1.,0.,4.29761089721699E-16)); #115006=DIRECTION('ref_axis',(4.29761089721699E-16,0.,1.)); #115007=DIRECTION('center_axis',(0.,0.,1.)); #115008=DIRECTION('ref_axis',(1.,0.,0.)); #115009=DIRECTION('center_axis',(1.,0.,-2.82726829874697E-16)); #115010=DIRECTION('ref_axis',(-2.82726829874697E-16,0.,-1.)); #115011=DIRECTION('',(0.,-1.,0.)); #115012=DIRECTION('',(-2.82726829874697E-16,0.,-1.)); #115013=DIRECTION('',(0.,-1.,0.)); #115014=DIRECTION('center_axis',(-2.48349817605842E-16,0.,-1.)); #115015=DIRECTION('ref_axis',(-1.,0.,2.48349817605842E-16)); #115016=DIRECTION('',(-1.,0.,2.48349817605842E-16)); #115017=DIRECTION('',(0.,-1.,0.)); #115018=DIRECTION('center_axis',(-1.,0.,4.24090244812046E-16)); #115019=DIRECTION('ref_axis',(4.24090244812046E-16,0.,1.)); #115020=DIRECTION('',(4.24090244812046E-16,0.,1.)); #115021=DIRECTION('',(0.,1.,0.)); #115022=DIRECTION('center_axis',(0.,1.,0.)); #115023=DIRECTION('ref_axis',(0.,0.,1.)); #115024=DIRECTION('',(1.,0.,0.)); #115025=DIRECTION('center_axis',(0.,0.,-1.)); #115026=DIRECTION('ref_axis',(-1.,0.,0.)); #115027=DIRECTION('',(0.,-1.,0.)); #115028=DIRECTION('',(-1.,0.,0.)); #115029=DIRECTION('',(0.,-1.,0.)); #115030=DIRECTION('',(0.,-1.,0.)); #115031=DIRECTION('center_axis',(0.,-1.,0.)); #115032=DIRECTION('ref_axis',(0.807064831799784,0.,-0.590462833099583)); #115033=DIRECTION('center_axis',(0.,1.,0.)); #115034=DIRECTION('ref_axis',(0.807064831799784,0.,-0.590462833099583)); #115035=DIRECTION('',(0.,-1.,0.)); #115036=DIRECTION('center_axis',(-1.33060398858225E-32,1.,-2.6793178239751E-30)); #115037=DIRECTION('ref_axis',(0.,2.6793178239751E-30,1.)); #115038=DIRECTION('',(0.,-2.6793178239751E-30,-1.)); #115039=DIRECTION('',(1.,0.,0.)); #115040=DIRECTION('center_axis',(1.33060398858245E-32,1.,-1.47898343883426E-29)); #115041=DIRECTION('ref_axis',(0.,1.47898343883426E-29,1.)); #115042=DIRECTION('',(0.,1.47898343883426E-29,1.)); #115043=DIRECTION('',(-1.,0.,0.)); #115044=DIRECTION('center_axis',(0.,1.,0.)); #115045=DIRECTION('ref_axis',(0.807064831799788,0.,-0.590462833099578)); #115046=DIRECTION('center_axis',(0.,-1.,0.)); #115047=DIRECTION('ref_axis',(-1.,0.,-1.37933419034942E-15)); #115048=DIRECTION('',(0.,-1.,0.)); #115049=DIRECTION('center_axis',(0.,-1.,0.)); #115050=DIRECTION('ref_axis',(1.,0.,-2.9119277351821E-15)); #115051=DIRECTION('center_axis',(0.,1.,0.)); #115052=DIRECTION('ref_axis',(-0.807064831799784,0.,0.590462833099583)); #115053=DIRECTION('center_axis',(-2.88297530859463E-32,1.,3.42952681468814E-30)); #115054=DIRECTION('ref_axis',(0.,-3.42952681468814E-30,1.)); #115055=DIRECTION('',(0.,3.42952681468814E-30,-1.)); #115056=DIRECTION('center_axis',(0.,-1.,0.)); #115057=DIRECTION('ref_axis',(0.807064831799784,0.,-0.590462833099583)); #115058=DIRECTION('center_axis',(0.,1.,0.)); #115059=DIRECTION('ref_axis',(0.807064831799784,0.,-0.590462833099583)); #115060=DIRECTION('center_axis',(-1.33060398858166E-32,1.,1.41467981105886E-29)); #115061=DIRECTION('ref_axis',(0.,-1.41467981105886E-29,1.)); #115062=DIRECTION('',(0.,1.41467981105886E-29,-1.)); #115063=DIRECTION('center_axis',(2.08725822444707E-46,1.,-1.28607255550805E-30)); #115064=DIRECTION('ref_axis',(0.,1.28607255550805E-30,1.)); #115065=DIRECTION('',(0.,1.28607255550805E-30,1.)); #115066=DIRECTION('center_axis',(0.,1.,0.)); #115067=DIRECTION('ref_axis',(-0.807064831799788,0.,0.590462833099578)); #115068=DIRECTION('center_axis',(0.,-1.,0.)); #115069=DIRECTION('ref_axis',(-0.807064831799788,0.,0.590462833099578)); #115070=DIRECTION('center_axis',(-1.,0.,0.)); #115071=DIRECTION('ref_axis',(0.,0.,1.)); #115072=DIRECTION('center_axis',(0.,0.,1.)); #115073=DIRECTION('ref_axis',(1.,0.,0.)); #115074=DIRECTION('',(0.,-1.,0.)); #115075=DIRECTION('center_axis',(0.,0.,-1.)); #115076=DIRECTION('ref_axis',(-1.,0.,0.)); #115077=DIRECTION('',(0.,-1.,0.)); #115078=DIRECTION('center_axis',(-1.,0.,0.)); #115079=DIRECTION('ref_axis',(0.,0.,1.)); #115080=DIRECTION('center_axis',(-1.,0.,0.)); #115081=DIRECTION('ref_axis',(0.,0.,1.)); #115082=DIRECTION('',(0.,-1.,0.)); #115083=DIRECTION('center_axis',(-1.,0.,0.)); #115084=DIRECTION('ref_axis',(0.,0.,1.)); #115085=DIRECTION('',(0.,-1.,0.)); #115086=DIRECTION('center_axis',(-1.,0.,0.)); #115087=DIRECTION('ref_axis',(0.,0.,1.)); #115088=DIRECTION('center_axis',(1.,0.,0.)); #115089=DIRECTION('ref_axis',(0.,0.,-1.)); #115090=DIRECTION('center_axis',(1.,0.,0.)); #115091=DIRECTION('ref_axis',(0.,0.,-1.)); #115092=DIRECTION('center_axis',(1.,0.,0.)); #115093=DIRECTION('ref_axis',(0.,0.,-1.)); #115094=DIRECTION('center_axis',(1.,0.,0.)); #115095=DIRECTION('ref_axis',(0.,0.,-1.)); #115096=DIRECTION('center_axis',(0.,0.,-1.)); #115097=DIRECTION('ref_axis',(-1.,0.,0.)); #115098=DIRECTION('center_axis',(1.,0.,0.)); #115099=DIRECTION('ref_axis',(0.,0.,-1.)); #115100=DIRECTION('center_axis',(0.,0.,-1.)); #115101=DIRECTION('ref_axis',(-1.,0.,0.)); #115102=DIRECTION('center_axis',(1.,1.77200950940385E-16,0.)); #115103=DIRECTION('ref_axis',(0.,0.,-1.)); #115104=DIRECTION('',(-1.77200950940385E-16,1.,0.)); #115105=DIRECTION('',(0.,0.,-1.)); #115106=DIRECTION('',(1.77200950940385E-16,-1.,0.)); #115107=DIRECTION('',(0.,0.,-1.)); #115108=DIRECTION('center_axis',(0.,1.,0.)); #115109=DIRECTION('ref_axis',(0.,0.,1.)); #115110=DIRECTION('',(-1.,0.,0.)); #115111=DIRECTION('',(1.,0.,0.)); #115112=DIRECTION('',(0.,0.,-1.)); #115113=DIRECTION('center_axis',(-1.,-3.10101664145673E-16,0.)); #115114=DIRECTION('ref_axis',(0.,0.,1.)); #115115=DIRECTION('',(3.10101664145673E-16,-1.,0.)); #115116=DIRECTION('',(-3.10101664145673E-16,1.,0.)); #115117=DIRECTION('',(0.,0.,-1.)); #115118=DIRECTION('center_axis',(0.,-1.,0.)); #115119=DIRECTION('ref_axis',(0.,0.,-1.)); #115120=DIRECTION('',(1.,0.,0.)); #115121=DIRECTION('',(-1.,0.,0.)); #115122=DIRECTION('center_axis',(1.61938844648425E-30,9.99806631297169E-32, 1.)); #115123=DIRECTION('ref_axis',(1.,0.,-1.61938844648425E-30)); #115124=DIRECTION('center_axis',(7.7399938320312E-31,4.41326978282686E-28, 1.)); #115125=DIRECTION('ref_axis',(1.,0.,0.)); #115126=DIRECTION('center_axis',(-7.7399938320312E-31,-4.41326978282686E-28, -1.)); #115127=DIRECTION('ref_axis',(-1.22464679914735E-16,-1.,0.)); #115128=DIRECTION('center_axis',(-7.7399938320312E-31,-4.41326978282686E-28, -1.)); #115129=DIRECTION('ref_axis',(-1.22464679914735E-16,-1.,0.)); #115130=DIRECTION('center_axis',(7.7399938320312E-31,4.41326978282686E-28, 1.)); #115131=DIRECTION('ref_axis',(-1.22464679914735E-16,-1.,4.41326978282686E-28)); #115132=DIRECTION('center_axis',(-1.,7.79042051753504E-16,0.)); #115133=DIRECTION('ref_axis',(0.,0.,-1.)); #115134=DIRECTION('',(-7.79042051753504E-16,-1.,4.41326978282686E-28)); #115135=DIRECTION('',(0.,0.,1.)); #115136=DIRECTION('',(1.57463982893297E-14,1.,-3.77689469578444E-29)); #115137=DIRECTION('',(-1.57463982893297E-14,-1.,4.41326978282686E-28)); #115138=DIRECTION('',(7.79042051753504E-16,1.,-3.83284869127754E-29)); #115139=DIRECTION('center_axis',(7.7399938320312E-31,4.41326978282686E-28, 1.)); #115140=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,7.73999383203174E-31)); #115141=DIRECTION('',(6.03069232909504E-15,1.,-4.41326978282686E-28)); #115142=DIRECTION('',(1.,-6.67680143764965E-15,-7.73999383200173E-31)); #115143=DIRECTION('center_axis',(6.67680143764965E-15,1.,0.)); #115144=DIRECTION('ref_axis',(0.,0.,-1.)); #115145=DIRECTION('',(-1.,6.67680143764965E-15,-2.5252724662984E-31)); #115146=DIRECTION('',(0.,0.,1.)); #115147=DIRECTION('center_axis',(3.51925163931239E-32,-1.78407804668978E-27, 1.)); #115148=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,3.51925163929054E-32)); #115149=DIRECTION('',(6.03069232909504E-15,1.,-4.41326978282686E-28)); #115150=DIRECTION('center_axis',(5.99752210745263E-31,3.83284869127754E-29, 1.)); #115151=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,5.99752210745268E-31)); #115152=DIRECTION('',(-7.790420517535E-16,-1.,3.83284869127754E-29)); #115153=DIRECTION('center_axis',(5.99752210745263E-31,3.83284869127754E-29, 1.)); #115154=DIRECTION('ref_axis',(0.,1.,0.)); #115155=DIRECTION('',(3.27765622482277E-16,1.,-3.83284869127754E-29)); #115156=DIRECTION('center_axis',(1.,-7.790420517535E-16,0.)); #115157=DIRECTION('ref_axis',(0.,0.,1.)); #115158=DIRECTION('',(-6.03069232909504E-15,-1.,3.77689469578444E-29)); #115159=DIRECTION('center_axis',(-1.,3.27765622482277E-16,0.)); #115160=DIRECTION('ref_axis',(0.,0.,-1.)); #115161=DIRECTION('',(0.,0.,1.)); #115162=DIRECTION('',(-3.27765622482277E-16,-1.,0.)); #115163=DIRECTION('center_axis',(1.,1.22464679914735E-16,0.)); #115164=DIRECTION('ref_axis',(0.,0.,1.)); #115165=DIRECTION('',(1.22464679914735E-16,-1.,3.83284869127754E-29)); #115166=DIRECTION('',(0.,0.,-1.)); #115167=DIRECTION('center_axis',(5.99752210745263E-31,3.83284869127754E-29, 1.)); #115168=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,5.99752210745268E-31)); #115169=DIRECTION('center_axis',(5.99752210745263E-31,3.83284869127754E-29, 1.)); #115170=DIRECTION('ref_axis',(0.,1.,0.)); #115171=DIRECTION('center_axis',(0.,0.,-1.)); #115172=DIRECTION('ref_axis',(-1.,0.,0.)); #115173=DIRECTION('',(4.50230302397013E-16,1.,0.)); #115174=DIRECTION('center_axis',(0.,0.,-1.)); #115175=DIRECTION('ref_axis',(0.,1.,0.)); #115176=DIRECTION('center_axis',(0.,0.,-1.)); #115177=DIRECTION('ref_axis',(-1.,0.,0.)); #115178=DIRECTION('center_axis',(0.,0.,-1.)); #115179=DIRECTION('ref_axis',(0.,1.,0.)); #115180=DIRECTION('',(0.,-1.,0.)); #115181=DIRECTION('center_axis',(0.,0.,-1.)); #115182=DIRECTION('ref_axis',(0.,1.,0.)); #115183=DIRECTION('',(0.,0.,1.)); #115184=DIRECTION('center_axis',(-5.99752210745268E-31,-3.83284869127754E-29, 1.)); #115185=DIRECTION('ref_axis',(0.,1.,0.)); #115186=DIRECTION('center_axis',(7.73999383203174E-31,4.41326978282686E-28, -1.)); #115187=DIRECTION('ref_axis',(-4.16333634234434E-17,1.,0.)); #115188=DIRECTION('center_axis',(-5.99752210745268E-31,-3.83284869127754E-29, 1.)); #115189=DIRECTION('ref_axis',(0.,1.,0.)); #115190=DIRECTION('',(0.,0.,-1.)); #115191=DIRECTION('',(0.,0.,1.)); #115192=DIRECTION('center_axis',(0.,0.,-1.)); #115193=DIRECTION('ref_axis',(0.,1.,0.)); #115194=DIRECTION('center_axis',(0.,0.,-1.)); #115195=DIRECTION('ref_axis',(0.,1.,0.)); #115196=DIRECTION('center_axis',(-7.73999383203174E-31,-4.41326978282686E-28, 1.)); #115197=DIRECTION('ref_axis',(1.,0.,0.)); #115198=DIRECTION('center_axis',(-7.73999383203174E-31,-4.41326978282686E-28, 1.)); #115199=DIRECTION('ref_axis',(-4.16333634234434E-17,1.,4.41326978282686E-28)); #115200=DIRECTION('center_axis',(1.,-9.01506731668239E-16,0.)); #115201=DIRECTION('ref_axis',(0.,0.,-1.)); #115202=DIRECTION('',(-9.01506731668239E-16,-1.,-4.41326978282686E-28)); #115203=DIRECTION('',(0.,0.,1.)); #115204=DIRECTION('',(-1.58688629692444E-14,-1.,-3.83284869127754E-29)); #115205=DIRECTION('',(1.58688629692444E-14,1.,4.41326978282686E-28)); #115206=DIRECTION('',(-9.01506731668239E-16,-1.,-3.83284869127754E-29)); #115207=DIRECTION('center_axis',(-7.73999383203174E-31,-4.41326978282686E-28, 1.)); #115208=DIRECTION('ref_axis',(1.,0.,7.73999383203174E-31)); #115209=DIRECTION('',(9.01506731668236E-16,1.,4.41326978282686E-28)); #115210=DIRECTION('',(-1.,6.79926611756438E-15,-7.73999383200173E-31)); #115211=DIRECTION('center_axis',(-6.79926611756438E-15,-1.,0.)); #115212=DIRECTION('ref_axis',(0.,0.,-1.)); #115213=DIRECTION('',(1.,-6.79926611756438E-15,5.99752210745007E-31)); #115214=DIRECTION('',(0.,0.,1.)); #115215=DIRECTION('center_axis',(-3.51925163929054E-32,1.78407804668978E-27, 1.)); #115216=DIRECTION('ref_axis',(1.,0.,3.51925163929054E-32)); #115217=DIRECTION('',(-6.15315700900977E-15,-1.,-4.41326978282686E-28)); #115218=DIRECTION('center_axis',(-1.,9.01506731668236E-16,0.)); #115219=DIRECTION('ref_axis',(0.,0.,1.)); #115220=DIRECTION('',(9.01506731668236E-16,1.,3.83284869127754E-29)); #115221=DIRECTION('',(6.15315700900977E-15,1.,3.83284869127754E-29)); #115222=DIRECTION('center_axis',(-1.,0.,0.)); #115223=DIRECTION('ref_axis',(0.,0.,1.)); #115224=DIRECTION('',(0.,1.,3.83284869127754E-29)); #115225=DIRECTION('center_axis',(-5.99752210745268E-31,-3.83284869127754E-29, 1.)); #115226=DIRECTION('ref_axis',(1.,0.,5.99752210745268E-31)); #115227=DIRECTION('center_axis',(-5.99752210745268E-31,-3.83284869127754E-29, 1.)); #115228=DIRECTION('ref_axis',(1.,0.,5.99752210745268E-31)); #115229=DIRECTION('',(-4.50230302397013E-16,-1.,-3.83284869127754E-29)); #115230=DIRECTION('center_axis',(1.,-4.50230302397013E-16,0.)); #115231=DIRECTION('ref_axis',(0.,0.,-1.)); #115232=DIRECTION('center_axis',(0.,0.,-1.)); #115233=DIRECTION('ref_axis',(-1.,0.,0.)); #115234=DIRECTION('center_axis',(0.,0.,-1.)); #115235=DIRECTION('ref_axis',(0.,1.,0.)); #115236=DIRECTION('center_axis',(0.,0.,-1.)); #115237=DIRECTION('ref_axis',(-1.,0.,0.)); #115238=DIRECTION('center_axis',(0.,0.,-1.)); #115239=DIRECTION('ref_axis',(-1.,0.,0.)); #115240=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #115241=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #115242=DIRECTION('center_axis',(0.,0.,1.)); #115243=DIRECTION('ref_axis',(1.,0.,0.)); #115244=DIRECTION('center_axis',(0.,0.,-1.)); #115245=DIRECTION('ref_axis',(0.,1.,0.)); #115246=DIRECTION('center_axis',(0.,0.,-1.)); #115247=DIRECTION('ref_axis',(-1.,0.,0.)); #115248=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #115249=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #115250=DIRECTION('center_axis',(-1.,0.,0.)); #115251=DIRECTION('ref_axis',(0.,0.,1.)); #115252=DIRECTION('',(0.,1.,0.)); #115253=DIRECTION('',(0.,-1.,0.)); #115254=DIRECTION('center_axis',(1.,0.,0.)); #115255=DIRECTION('ref_axis',(0.,0.,-1.)); #115256=DIRECTION('',(0.,-1.,0.)); #115257=DIRECTION('center_axis',(-5.99752210745268E-31,-3.83284869127754E-29, 1.)); #115258=DIRECTION('ref_axis',(1.,0.,5.99752210745268E-31)); #115259=DIRECTION('center_axis',(-1.,1.7024349469911E-16,0.)); #115260=DIRECTION('ref_axis',(0.,0.,1.)); #115261=DIRECTION('',(1.7024349469911E-16,1.,0.)); #115262=DIRECTION('',(-1.7024349469911E-16,-1.,0.)); #115263=DIRECTION('center_axis',(1.,0.,0.)); #115264=DIRECTION('ref_axis',(0.,0.,-1.)); #115265=DIRECTION('',(0.,-1.,0.)); #115266=DIRECTION('',(0.,1.,0.)); #115267=DIRECTION('center_axis',(-2.52527246629588E-31,3.77689469578444E-29, 1.)); #115268=DIRECTION('ref_axis',(1.,0.,2.52527246629588E-31)); #115269=DIRECTION('center_axis',(0.,0.,-1.)); #115270=DIRECTION('ref_axis',(-1.,0.,0.)); #115271=DIRECTION('center_axis',(0.,0.,-1.)); #115272=DIRECTION('ref_axis',(-1.,0.,0.)); #115273=DIRECTION('center_axis',(0.,0.,-1.)); #115274=DIRECTION('ref_axis',(-1.,0.,0.)); #115275=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #115276=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #115277=DIRECTION('center_axis',(0.,0.,1.)); #115278=DIRECTION('ref_axis',(1.,0.,0.)); #115279=DIRECTION('center_axis',(0.,0.,-1.)); #115280=DIRECTION('ref_axis',(-1.,0.,0.)); #115281=DIRECTION('',(-1.82058798491316E-15,1.,0.)); #115282=DIRECTION('',(1.,-9.80401522959887E-15,0.)); #115283=DIRECTION('center_axis',(0.,0.,1.)); #115284=DIRECTION('ref_axis',(0.,1.,0.)); #115285=DIRECTION('',(0.,1.,0.)); #115286=DIRECTION('center_axis',(0.,0.,1.)); #115287=DIRECTION('ref_axis',(-1.,0.,0.)); #115288=DIRECTION('center_axis',(0.,0.,1.)); #115289=DIRECTION('ref_axis',(-0.672016048144434,0.740536583185691,0.)); #115290=DIRECTION('center_axis',(0.,0.,1.)); #115291=DIRECTION('ref_axis',(0.409913453973248,-0.912124421475339,0.)); #115292=DIRECTION('',(0.,-1.,0.)); #115293=DIRECTION('center_axis',(0.,0.,1.)); #115294=DIRECTION('ref_axis',(1.,2.73184799366427E-16,0.)); #115295=DIRECTION('',(1.,4.08500634566621E-16,0.)); #115296=DIRECTION('',(0.,-1.,0.)); #115297=DIRECTION('center_axis',(0.,0.,-1.)); #115298=DIRECTION('ref_axis',(-0.766806722689076,-0.641878064774641,0.)); #115299=DIRECTION('center_axis',(0.,0.,-1.)); #115300=DIRECTION('ref_axis',(0.766806722689076,-0.641878064774641,0.)); #115301=DIRECTION('center_axis',(-1.,-1.82058798491316E-15,0.)); #115302=DIRECTION('ref_axis',(1.82058798491316E-15,-1.,0.)); #115303=DIRECTION('',(0.,0.,-1.)); #115304=DIRECTION('',(1.82058798491316E-15,-1.,0.)); #115305=DIRECTION('',(0.,0.,1.)); #115306=DIRECTION('center_axis',(9.80401522959887E-15,1.,0.)); #115307=DIRECTION('ref_axis',(-1.,9.80401522959887E-15,0.)); #115308=DIRECTION('',(-1.,9.80401522959887E-15,0.)); #115309=DIRECTION('',(0.,0.,1.)); #115310=DIRECTION('center_axis',(0.,0.,1.)); #115311=DIRECTION('ref_axis',(0.,1.,0.)); #115312=DIRECTION('center_axis',(0.,0.,-1.)); #115313=DIRECTION('ref_axis',(0.,1.,0.)); #115314=DIRECTION('',(0.,0.,1.)); #115315=DIRECTION('center_axis',(-1.,0.,0.)); #115316=DIRECTION('ref_axis',(0.,-1.,0.)); #115317=DIRECTION('',(0.,-1.,0.)); #115318=DIRECTION('',(0.,0.,1.)); #115319=DIRECTION('center_axis',(0.,0.,1.)); #115320=DIRECTION('ref_axis',(-1.,0.,0.)); #115321=DIRECTION('center_axis',(0.,0.,-1.)); #115322=DIRECTION('ref_axis',(-1.,0.,0.)); #115323=DIRECTION('',(0.,0.,1.)); #115324=DIRECTION('center_axis',(0.,0.,1.)); #115325=DIRECTION('ref_axis',(-0.672016048144434,0.740536583185691,0.)); #115326=DIRECTION('center_axis',(0.,0.,-1.)); #115327=DIRECTION('ref_axis',(-0.672016048144434,0.740536583185691,0.)); #115328=DIRECTION('',(0.,0.,1.)); #115329=DIRECTION('center_axis',(0.,0.,1.)); #115330=DIRECTION('ref_axis',(0.409913453973248,-0.912124421475339,0.)); #115331=DIRECTION('center_axis',(0.,0.,-1.)); #115332=DIRECTION('ref_axis',(0.409913453973248,-0.912124421475339,0.)); #115333=DIRECTION('',(0.,0.,1.)); #115334=DIRECTION('center_axis',(1.,0.,0.)); #115335=DIRECTION('ref_axis',(0.,1.,0.)); #115336=DIRECTION('',(0.,1.,0.)); #115337=DIRECTION('',(0.,0.,1.)); #115338=DIRECTION('center_axis',(0.,0.,1.)); #115339=DIRECTION('ref_axis',(1.,2.73184799366427E-16,0.)); #115340=DIRECTION('center_axis',(0.,0.,-1.)); #115341=DIRECTION('ref_axis',(1.,2.73184799366427E-16,0.)); #115342=DIRECTION('',(0.,0.,1.)); #115343=DIRECTION('center_axis',(-4.08500634566621E-16,1.,0.)); #115344=DIRECTION('ref_axis',(-1.,-4.08500634566621E-16,0.)); #115345=DIRECTION('',(-1.,-4.08500634566621E-16,0.)); #115346=DIRECTION('',(0.,0.,1.)); #115347=DIRECTION('center_axis',(1.,0.,0.)); #115348=DIRECTION('ref_axis',(0.,1.,0.)); #115349=DIRECTION('',(0.,1.,0.)); #115350=DIRECTION('',(0.,0.,1.)); #115351=DIRECTION('center_axis',(0.,0.,1.)); #115352=DIRECTION('ref_axis',(-0.766806722689076,-0.641878064774641,0.)); #115353=DIRECTION('center_axis',(0.,0.,1.)); #115354=DIRECTION('ref_axis',(-0.766806722689076,-0.641878064774641,0.)); #115355=DIRECTION('',(0.,0.,1.)); #115356=DIRECTION('center_axis',(0.,0.,1.)); #115357=DIRECTION('ref_axis',(0.,1.,0.)); #115358=DIRECTION('center_axis',(0.,0.,1.)); #115359=DIRECTION('ref_axis',(0.766806722689076,-0.641878064774641,0.)); #115360=DIRECTION('',(0.,0.,1.)); #115361=DIRECTION('center_axis',(0.,0.,1.)); #115362=DIRECTION('ref_axis',(-1.,0.,0.)); #115363=DIRECTION('center_axis',(0.,0.,1.)); #115364=DIRECTION('ref_axis',(-1.,0.,0.)); #115365=DIRECTION('center_axis',(0.,0.,1.)); #115366=DIRECTION('ref_axis',(1.,0.,0.)); #115367=DIRECTION('axis',(0.,0.,1.)); #115368=DIRECTION('refdir',(1.,0.,0.)); #115369=DIRECTION('center_axis',(0.,1.,0.)); #115370=DIRECTION('ref_axis',(0.,0.,1.)); #115371=DIRECTION('center_axis',(-1.,0.,1.22464679914735E-16)); #115372=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,-1.)); #115373=DIRECTION('center_axis',(0.,-1.,0.)); #115374=DIRECTION('ref_axis',(0.,0.,1.)); #115375=DIRECTION('',(0.,0.,1.)); #115376=DIRECTION('',(1.,0.,0.)); #115377=DIRECTION('',(2.29584502165847E-49,-1.,-3.74939945665465E-33)); #115378=DIRECTION('',(1.,-8.41971873800979E-65,6.12323399573677E-17)); #115379=DIRECTION('axis',(0.,0.,1.)); #115380=DIRECTION('refdir',(1.,0.,0.)); #115381=DIRECTION('center_axis',(0.,0.,1.)); #115382=DIRECTION('ref_axis',(-1.,0.,0.)); #115383=DIRECTION('center_axis',(0.,0.,1.)); #115384=DIRECTION('ref_axis',(-1.,0.,0.)); #115385=DIRECTION('',(-0.707106781186549,-8.65956056235495E-17,-0.707106781186546)); #115386=DIRECTION('center_axis',(0.,0.,-1.)); #115387=DIRECTION('ref_axis',(-1.,0.,0.)); #115388=DIRECTION('center_axis',(0.,0.,-1.)); #115389=DIRECTION('ref_axis',(-1.,0.,0.)); #115390=DIRECTION('center_axis',(0.,0.,1.)); #115391=DIRECTION('ref_axis',(1.,0.,0.)); #115392=DIRECTION('',(0.,0.,-1.)); #115393=DIRECTION('center_axis',(0.,0.,1.)); #115394=DIRECTION('ref_axis',(1.,0.,0.)); #115395=DIRECTION('center_axis',(0.,0.,-1.)); #115396=DIRECTION('ref_axis',(-1.,0.,0.)); #115397=DIRECTION('center_axis',(0.,0.,-1.)); #115398=DIRECTION('ref_axis',(-1.,0.,0.)); #115399=DIRECTION('center_axis',(0.,0.,-1.)); #115400=DIRECTION('ref_axis',(1.,0.,0.)); #115401=DIRECTION('center_axis',(0.,0.,-1.)); #115402=DIRECTION('ref_axis',(1.,0.,0.)); #115403=DIRECTION('',(0.707106781186548,-8.65956056235494E-17,0.707106781186547)); #115404=DIRECTION('center_axis',(0.,0.,1.)); #115405=DIRECTION('ref_axis',(1.,0.,0.)); #115406=DIRECTION('center_axis',(0.,0.,-1.)); #115407=DIRECTION('ref_axis',(0.,-1.,-1.25642105444248E-16)); #115408=DIRECTION('center_axis',(0.,0.,-1.)); #115409=DIRECTION('ref_axis',(-1.,0.,0.)); #115410=DIRECTION('center_axis',(0.,0.,1.)); #115411=DIRECTION('ref_axis',(-1.,0.,0.)); #115412=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #115413=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #115414=DIRECTION('center_axis',(0.,0.,1.)); #115415=DIRECTION('ref_axis',(-1.,0.,0.)); #115416=DIRECTION('',(0.,0.,-1.)); #115417=DIRECTION('center_axis',(0.,0.,1.)); #115418=DIRECTION('ref_axis',(0.,-1.,1.25642105444248E-16)); #115419=DIRECTION('center_axis',(0.,0.,1.)); #115420=DIRECTION('ref_axis',(0.,-1.,1.25642105444248E-16)); #115421=DIRECTION('center_axis',(0.,0.,1.)); #115422=DIRECTION('ref_axis',(0.,-1.,-1.25642105444248E-16)); #115423=DIRECTION('',(1.03976823047809E-16,0.849035192189308,0.528336296712676)); #115424=DIRECTION('center_axis',(0.5,0.866025403784439,0.)); #115425=DIRECTION('ref_axis',(0.,0.,-1.)); #115426=DIRECTION('',(0.,0.,-1.)); #115427=DIRECTION('',(0.,0.,1.)); #115428=DIRECTION('center_axis',(-0.5,0.866025403784439,0.)); #115429=DIRECTION('ref_axis',(0.,0.,1.)); #115430=DIRECTION('',(0.,0.,1.)); #115431=DIRECTION('center_axis',(-1.,-1.74239798336722E-16,0.)); #115432=DIRECTION('ref_axis',(0.,0.,1.)); #115433=DIRECTION('',(0.,0.,1.)); #115434=DIRECTION('center_axis',(0.,0.,-1.)); #115435=DIRECTION('ref_axis',(0.,-1.,1.25642105444248E-16)); #115436=DIRECTION('',(1.03976823047809E-16,0.849035192189308,-0.528336296712676)); #115437=DIRECTION('center_axis',(-0.5,-0.866025403784439,0.)); #115438=DIRECTION('ref_axis',(0.,0.,-1.)); #115439=DIRECTION('',(0.,0.,1.)); #115440=DIRECTION('center_axis',(1.,0.,0.)); #115441=DIRECTION('ref_axis',(0.,0.,-1.)); #115442=DIRECTION('',(0.,0.,1.)); #115443=DIRECTION('center_axis',(0.5,-0.866025403784439,0.)); #115444=DIRECTION('ref_axis',(0.,0.,-1.)); #115445=DIRECTION('axis',(0.,0.,1.)); #115446=DIRECTION('refdir',(1.,0.,0.)); #115447=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115448=DIRECTION('ref_axis',(0.,1.,0.)); #115449=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115450=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115451=DIRECTION('',(0.,1.,0.)); #115452=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115453=DIRECTION('center_axis',(-1.23725899122579E-15,-1.,-2.70400279425859E-30)); #115454=DIRECTION('ref_axis',(-1.,1.23725899122579E-15,-2.47451798245158E-15)); #115455=DIRECTION('',(-1.,1.23725899122579E-15,-1.8854143209819E-15)); #115456=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115457=DIRECTION('',(-1.,1.23725899122579E-15,-2.47451798245158E-15)); #115458=DIRECTION('center_axis',(-0.556560213550825,0.830807275300512,-1.21635032219373E-15)); #115459=DIRECTION('ref_axis',(0.830807275300512,0.556560213550824,2.05584754268271E-15)); #115460=DIRECTION('',(0.830807275300512,0.556560213550824,1.6420671992668E-15)); #115461=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115462=DIRECTION('',(0.830807275300512,0.556560213550824,2.05584754268271E-15)); #115463=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115464=DIRECTION('ref_axis',(0.,1.,0.)); #115465=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115466=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115467=DIRECTION('',(0.,1.,0.)); #115468=DIRECTION('center_axis',(0.,-1.,0.)); #115469=DIRECTION('ref_axis',(-1.,0.,-1.94264750146732E-15)); #115470=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115471=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115472=DIRECTION('',(-1.,0.,-1.94264750146732E-15)); #115473=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115474=DIRECTION('ref_axis',(0.,-1.,0.)); #115475=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115476=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115477=DIRECTION('',(0.,-1.,0.)); #115478=DIRECTION('center_axis',(0.,1.,0.)); #115479=DIRECTION('ref_axis',(1.,0.,2.47451798245158E-15)); #115480=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115481=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115482=DIRECTION('',(1.,0.,2.47451798245158E-15)); #115483=DIRECTION('center_axis',(0.556560213550825,-0.830807275300512,1.21635032219374E-15)); #115484=DIRECTION('ref_axis',(-0.830807275300511,-0.556560213550825,-2.05584754268271E-15)); #115485=DIRECTION('',(-0.830807275300511,-0.556560213550825,-1.6420671992668E-15)); #115486=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115487=DIRECTION('',(-0.830807275300511,-0.556560213550825,-2.05584754268271E-15)); #115488=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115489=DIRECTION('ref_axis',(0.,-1.,0.)); #115490=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115491=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115492=DIRECTION('',(0.,-1.,0.)); #115493=DIRECTION('center_axis',(0.,1.,0.)); #115494=DIRECTION('ref_axis',(1.,0.,1.94264750146732E-15)); #115495=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115496=DIRECTION('',(1.,0.,1.94264750146732E-15)); #115497=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #115498=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #115499=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115500=DIRECTION('ref_axis',(0.,1.,0.)); #115501=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115502=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115503=DIRECTION('',(0.,1.,0.)); #115504=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115505=DIRECTION('center_axis',(0.,-1.,0.)); #115506=DIRECTION('ref_axis',(-1.,0.,-2.47451798245158E-15)); #115507=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115508=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115509=DIRECTION('',(-1.,0.,-2.47451798245158E-15)); #115510=DIRECTION('center_axis',(-0.556560213550827,0.83080727530051,-1.21635032219374E-15)); #115511=DIRECTION('ref_axis',(0.83080727530051,0.556560213550827,2.05584754268271E-15)); #115512=DIRECTION('',(0.83080727530051,0.556560213550827,1.6420671992668E-15)); #115513=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115514=DIRECTION('',(0.83080727530051,0.556560213550827,2.05584754268271E-15)); #115515=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115516=DIRECTION('ref_axis',(0.,1.,0.)); #115517=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115518=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115519=DIRECTION('',(0.,1.,0.)); #115520=DIRECTION('center_axis',(0.,-1.,0.)); #115521=DIRECTION('ref_axis',(-1.,0.,-1.94264750146732E-15)); #115522=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115523=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115524=DIRECTION('',(-1.,0.,-1.94264750146732E-15)); #115525=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115526=DIRECTION('ref_axis',(0.,-1.,0.)); #115527=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115528=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115529=DIRECTION('',(0.,-1.,0.)); #115530=DIRECTION('center_axis',(0.,1.,0.)); #115531=DIRECTION('ref_axis',(1.,0.,1.23725899122579E-15)); #115532=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115533=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115534=DIRECTION('',(1.,0.,1.23725899122579E-15)); #115535=DIRECTION('center_axis',(0.556560213550827,-0.83080727530051,1.21635032219374E-15)); #115536=DIRECTION('ref_axis',(-0.83080727530051,-0.556560213550827,-1.02792377134136E-15)); #115537=DIRECTION('',(-0.83080727530051,-0.556560213550827,-1.6420671992668E-15)); #115538=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115539=DIRECTION('',(-0.83080727530051,-0.556560213550827,-1.02792377134136E-15)); #115540=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115541=DIRECTION('ref_axis',(0.,-1.,0.)); #115542=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115543=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115544=DIRECTION('',(0.,-1.,0.)); #115545=DIRECTION('center_axis',(0.,1.,0.)); #115546=DIRECTION('ref_axis',(1.,0.,1.94264750146732E-15)); #115547=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115548=DIRECTION('',(1.,0.,1.94264750146732E-15)); #115549=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #115550=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #115551=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115552=DIRECTION('ref_axis',(0.,1.,0.)); #115553=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115554=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115555=DIRECTION('',(0.,1.,0.)); #115556=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115557=DIRECTION('center_axis',(0.,-1.,0.)); #115558=DIRECTION('ref_axis',(-1.,0.,-1.10144923160118E-15)); #115559=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115560=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115561=DIRECTION('',(-1.,0.,-1.10144923160118E-15)); #115562=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115563=DIRECTION('ref_axis',(0.,1.,0.)); #115564=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115565=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115566=DIRECTION('',(0.,1.,0.)); #115567=DIRECTION('center_axis',(0.,-1.,0.)); #115568=DIRECTION('ref_axis',(-1.,0.,-8.22178555459725E-15)); #115569=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115570=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115571=DIRECTION('',(-1.,0.,-8.22178555459725E-15)); #115572=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115573=DIRECTION('ref_axis',(0.,-1.,0.)); #115574=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115575=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115576=DIRECTION('',(0.,-1.,0.)); #115577=DIRECTION('center_axis',(0.,1.,0.)); #115578=DIRECTION('ref_axis',(1.,0.,0.)); #115579=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115580=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115581=DIRECTION('',(1.,0.,0.)); #115582=DIRECTION('center_axis',(-1.,6.44704369927395E-30,-2.1854783949314E-15)); #115583=DIRECTION('ref_axis',(0.,1.,2.94994620593186E-15)); #115584=DIRECTION('',(6.14997933866068E-30,1.,1.3592646868632E-16)); #115585=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115586=DIRECTION('',(0.,1.,2.94994620593186E-15)); #115587=DIRECTION('center_axis',(0.,1.,0.)); #115588=DIRECTION('ref_axis',(1.,0.,1.10144923160118E-15)); #115589=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115590=DIRECTION('',(1.,0.,1.10144923160118E-15)); #115591=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #115592=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #115593=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115594=DIRECTION('ref_axis',(0.,1.,0.)); #115595=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115596=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115597=DIRECTION('',(0.,1.,0.)); #115598=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115599=DIRECTION('center_axis',(0.,-1.,0.)); #115600=DIRECTION('ref_axis',(-1.,0.,0.)); #115601=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115602=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115603=DIRECTION('',(-1.,0.,0.)); #115604=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115605=DIRECTION('ref_axis',(0.,-1.,0.)); #115606=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115607=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115608=DIRECTION('',(0.,-1.,0.)); #115609=DIRECTION('center_axis',(0.,-1.,0.)); #115610=DIRECTION('ref_axis',(-1.,0.,-2.85734699767391E-15)); #115611=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115612=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115613=DIRECTION('',(-1.,0.,-2.85734699767391E-15)); #115614=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115615=DIRECTION('ref_axis',(0.,1.,0.)); #115616=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115617=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115618=DIRECTION('',(0.,1.,0.)); #115619=DIRECTION('center_axis',(0.,-1.,0.)); #115620=DIRECTION('ref_axis',(-1.,0.,0.)); #115621=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115622=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115623=DIRECTION('',(-1.,0.,0.)); #115624=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115625=DIRECTION('ref_axis',(0.,-1.,0.)); #115626=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115627=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115628=DIRECTION('',(0.,-1.,0.)); #115629=DIRECTION('center_axis',(0.,-1.,0.)); #115630=DIRECTION('ref_axis',(-1.,0.,-3.17799691013105E-15)); #115631=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115632=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115633=DIRECTION('',(-1.,0.,-3.17799691013105E-15)); #115634=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115635=DIRECTION('ref_axis',(0.,1.,0.)); #115636=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115637=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115638=DIRECTION('',(0.,1.,0.)); #115639=DIRECTION('center_axis',(0.,-1.,0.)); #115640=DIRECTION('ref_axis',(-1.,0.,0.)); #115641=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115642=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115643=DIRECTION('',(-1.,0.,0.)); #115644=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115645=DIRECTION('ref_axis',(0.,-1.,0.)); #115646=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115647=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115648=DIRECTION('',(0.,-1.,0.)); #115649=DIRECTION('center_axis',(0.,1.,0.)); #115650=DIRECTION('ref_axis',(1.,0.,1.94264750146732E-15)); #115651=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115652=DIRECTION('',(1.,0.,1.94264750146732E-15)); #115653=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #115654=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #115655=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115656=DIRECTION('ref_axis',(0.,1.,0.)); #115657=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115658=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115659=DIRECTION('',(0.,1.,0.)); #115660=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115661=DIRECTION('center_axis',(0.,-1.,0.)); #115662=DIRECTION('ref_axis',(-1.,0.,-1.48471078172854E-15)); #115663=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115664=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115665=DIRECTION('',(-1.,0.,-1.48471078172854E-15)); #115666=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115667=DIRECTION('ref_axis',(0.,1.,0.)); #115668=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115669=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115670=DIRECTION('',(0.,1.,0.)); #115671=DIRECTION('center_axis',(0.,1.,0.)); #115672=DIRECTION('ref_axis',(1.,0.,1.48471078172854E-15)); #115673=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115674=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115675=DIRECTION('',(1.,0.,1.48471078172854E-15)); #115676=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115677=DIRECTION('ref_axis',(0.,1.,0.)); #115678=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115679=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115680=DIRECTION('',(0.,1.,0.)); #115681=DIRECTION('center_axis',(0.,-1.,0.)); #115682=DIRECTION('ref_axis',(-1.,0.,-1.39870619376256E-15)); #115683=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115684=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115685=DIRECTION('',(-1.,0.,-1.39870619376256E-15)); #115686=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115687=DIRECTION('ref_axis',(0.,-1.,0.)); #115688=DIRECTION('',(2.97064360613273E-31,-1.,-1.3592646868632E-16)); #115689=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115690=DIRECTION('',(0.,-1.,0.)); #115691=DIRECTION('center_axis',(3.40464290578198E-15,1.,7.44077351304299E-30)); #115692=DIRECTION('ref_axis',(1.,-3.40464290578198E-15,1.70232145289099E-15)); #115693=DIRECTION('',(1.,-3.40464290578198E-15,1.88541432098189E-15)); #115694=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115695=DIRECTION('',(1.,-3.40464290578198E-15,1.70232145289099E-15)); #115696=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115697=DIRECTION('ref_axis',(0.,-1.,0.)); #115698=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115699=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115700=DIRECTION('',(0.,-1.,0.)); #115701=DIRECTION('center_axis',(0.,-1.,0.)); #115702=DIRECTION('ref_axis',(-1.,0.,-3.40464290578198E-15)); #115703=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115704=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115705=DIRECTION('',(-1.,0.,-3.40464290578198E-15)); #115706=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115707=DIRECTION('ref_axis',(0.,-1.,0.)); #115708=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115709=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115710=DIRECTION('',(0.,-1.,0.)); #115711=DIRECTION('center_axis',(1.39870619376256E-15,1.,3.05684216732482E-30)); #115712=DIRECTION('ref_axis',(1.,-1.39870619376256E-15,2.09805929064384E-15)); #115713=DIRECTION('',(1.,-1.39870619376256E-15,1.8854143209819E-15)); #115714=DIRECTION('',(1.,-1.39870619376256E-15,2.09805929064384E-15)); #115715=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #115716=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #115717=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115718=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115719=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115720=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115721=DIRECTION('ref_axis',(0.,-1.,0.)); #115722=DIRECTION('',(-2.97064360613273E-31,1.,1.3592646868632E-16)); #115723=DIRECTION('',(2.93071730699512E-31,1.,-1.34099578096589E-16)); #115724=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115725=DIRECTION('center_axis',(0.,1.,0.)); #115726=DIRECTION('ref_axis',(1.,0.,0.)); #115727=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115728=DIRECTION('',(-1.,0.,-1.86524668658433E-15)); #115729=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115730=DIRECTION('center_axis',(-1.,5.37610847658198E-30,-2.1854783949314E-15)); #115731=DIRECTION('ref_axis',(0.,1.,2.45992295739475E-15)); #115732=DIRECTION('',(-5.07904411596871E-30,-1.,-1.3592646868632E-16)); #115733=DIRECTION('',(-5.66918020728149E-30,-1.,1.34099578096589E-16)); #115734=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115735=DIRECTION('ref_axis',(0.,1.,0.)); #115736=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115737=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115738=DIRECTION('',(0.,1.,0.)); #115739=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115740=DIRECTION('center_axis',(0.,-1.,0.)); #115741=DIRECTION('ref_axis',(-1.,0.,-1.57384436210676E-15)); #115742=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115743=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115744=DIRECTION('',(-1.,0.,-1.57384436210676E-15)); #115745=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115746=DIRECTION('ref_axis',(0.,1.,0.)); #115747=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115748=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115749=DIRECTION('',(0.,1.,0.)); #115750=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115751=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115752=DIRECTION('center_axis',(-0.537474973705172,0.843279700123645,-1.17463994284898E-15)); #115753=DIRECTION('ref_axis',(0.843279700123645,0.537474973705172,2.83425770696698E-15)); #115754=DIRECTION('',(0.843279700123645,0.537474973705172,1.66298869838945E-15)); #115755=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115756=DIRECTION('',(0.843279700123645,0.537474973705172,2.83425770696698E-15)); #115757=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115758=DIRECTION('ref_axis',(0.,1.,0.)); #115759=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115760=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115761=DIRECTION('',(0.,1.,0.)); #115762=DIRECTION('center_axis',(0.536569560807063,-0.843856093427852,1.17266118252167E-15)); #115763=DIRECTION('ref_axis',(-0.843856093427852,-0.536569560807063,-2.1688549703258E-15)); #115764=DIRECTION('',(-0.843856093427852,-0.536569560807063,-1.66395236900178E-15)); #115765=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115766=DIRECTION('',(-0.843856093427852,-0.536569560807063,-2.1688549703258E-15)); #115767=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115768=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115769=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115770=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115771=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115772=DIRECTION('ref_axis',(0.,-1.,0.)); #115773=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115774=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115775=DIRECTION('',(0.,-1.,0.)); #115776=DIRECTION('center_axis',(1.39870619376256E-15,1.,3.05684216732482E-30)); #115777=DIRECTION('ref_axis',(1.,-1.39870619376256E-15,2.09805929064384E-15)); #115778=DIRECTION('',(1.,-1.39870619376256E-15,1.8854143209819E-15)); #115779=DIRECTION('',(1.,-1.39870619376256E-15,2.09805929064384E-15)); #115780=DIRECTION('center_axis',(-1.86524668658433E-15,1.34099578096589E-16, 1.)); #115781=DIRECTION('ref_axis',(1.,0.,1.86524668658433E-15)); #115782=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115783=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115784=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115785=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115786=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115787=DIRECTION('ref_axis',(0.,-1.,0.)); #115788=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115789=DIRECTION('',(-1.23196426290442E-30,1.,5.63704617607573E-16)); #115790=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115791=DIRECTION('center_axis',(0.,1.,0.)); #115792=DIRECTION('ref_axis',(1.,0.,2.31704864421274E-15)); #115793=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115794=DIRECTION('',(-1.,0.,-2.02908634914311E-15)); #115795=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115796=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115797=DIRECTION('ref_axis',(0.,1.,0.)); #115798=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115799=DIRECTION('',(1.23196426290442E-30,-1.,-5.63704617607573E-16)); #115800=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115801=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115802=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115803=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115804=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115805=DIRECTION('ref_axis',(0.,-1.,0.)); #115806=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115807=DIRECTION('',(-1.23196426290442E-30,1.,5.63704617607573E-16)); #115808=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115809=DIRECTION('center_axis',(0.,1.,0.)); #115810=DIRECTION('ref_axis',(1.,0.,2.03448173638191E-15)); #115811=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115812=DIRECTION('',(-1.,0.,-2.02908634914311E-15)); #115813=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115814=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115815=DIRECTION('ref_axis',(0.,1.,0.)); #115816=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115817=DIRECTION('',(1.23196426290442E-30,-1.,-5.63704617607573E-16)); #115818=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115819=DIRECTION('ref_axis',(0.,1.,0.)); #115820=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115821=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115822=DIRECTION('',(0.,1.,0.)); #115823=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115824=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115825=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115826=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115827=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115828=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115829=DIRECTION('ref_axis',(0.,-1.,0.)); #115830=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115831=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115832=DIRECTION('',(0.,-1.,0.)); #115833=DIRECTION('center_axis',(0.,1.,0.)); #115834=DIRECTION('ref_axis',(1.,0.,2.09805929064384E-15)); #115835=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115836=DIRECTION('',(1.,0.,2.09805929064384E-15)); #115837=DIRECTION('center_axis',(-2.02908634914311E-15,-5.63704617607573E-16, 1.)); #115838=DIRECTION('ref_axis',(1.,0.,2.02908634914311E-15)); #115839=DIRECTION('center_axis',(-1.,2.04621271608682E-30,-2.1854783949314E-15)); #115840=DIRECTION('ref_axis',(0.,1.,9.36276799090044E-16)); #115841=DIRECTION('',(1.74914835547355E-30,1.,1.3592646868632E-16)); #115842=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115843=DIRECTION('',(0.,1.,9.36276799090044E-16)); #115844=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115845=DIRECTION('center_axis',(0.,-1.,0.)); #115846=DIRECTION('ref_axis',(-1.,0.,-5.91968556844024E-15)); #115847=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115848=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115849=DIRECTION('',(-1.,0.,-5.91968556844024E-15)); #115850=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115851=DIRECTION('ref_axis',(0.,-1.,0.)); #115852=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115853=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115854=DIRECTION('',(0.,-1.,0.)); #115855=DIRECTION('center_axis',(0.,-1.,0.)); #115856=DIRECTION('ref_axis',(-1.,0.,-2.05728982759695E-15)); #115857=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115858=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115859=DIRECTION('',(-1.,0.,-2.05728982759695E-15)); #115860=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115861=DIRECTION('ref_axis',(0.,1.,0.)); #115862=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115863=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115864=DIRECTION('',(0.,1.,0.)); #115865=DIRECTION('center_axis',(0.,-1.,0.)); #115866=DIRECTION('ref_axis',(-1.,0.,0.)); #115867=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115868=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115869=DIRECTION('',(-1.,0.,0.)); #115870=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115871=DIRECTION('ref_axis',(0.,-1.,0.)); #115872=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115873=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115874=DIRECTION('',(0.,-1.,0.)); #115875=DIRECTION('center_axis',(0.,-1.,0.)); #115876=DIRECTION('ref_axis',(-1.,0.,-2.28815776336219E-15)); #115877=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115878=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115879=DIRECTION('',(-1.,0.,-2.28815776336219E-15)); #115880=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115881=DIRECTION('ref_axis',(0.,1.,0.)); #115882=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115883=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115884=DIRECTION('',(0.,1.,0.)); #115885=DIRECTION('center_axis',(0.,-1.,0.)); #115886=DIRECTION('ref_axis',(-1.,0.,0.)); #115887=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115888=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115889=DIRECTION('',(-1.,0.,0.)); #115890=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115891=DIRECTION('ref_axis',(0.,-1.,0.)); #115892=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115893=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115894=DIRECTION('',(0.,-1.,0.)); #115895=DIRECTION('center_axis',(0.,1.,0.)); #115896=DIRECTION('ref_axis',(1.,0.,1.39870619376256E-15)); #115897=DIRECTION('',(1.,0.,1.8854143209819E-15)); #115898=DIRECTION('',(1.,0.,1.39870619376256E-15)); #115899=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #115900=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #115901=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115902=DIRECTION('ref_axis',(0.,1.,0.)); #115903=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115904=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115905=DIRECTION('',(0.,1.,0.)); #115906=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115907=DIRECTION('center_axis',(0.,-1.,0.)); #115908=DIRECTION('ref_axis',(-1.,0.,0.)); #115909=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115910=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115911=DIRECTION('',(-1.,0.,0.)); #115912=DIRECTION('center_axis',(1.,-2.48795094511797E-30,2.1854783949314E-15)); #115913=DIRECTION('ref_axis',(0.,-1.,-1.1384010708539E-15)); #115914=DIRECTION('',(-2.19088658450469E-30,-1.,-1.3592646868632E-16)); #115915=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115916=DIRECTION('',(0.,-1.,-1.1384010708539E-15)); #115917=DIRECTION('center_axis',(-4.1145796551939E-15,-1.,-8.99232494065056E-30)); #115918=DIRECTION('ref_axis',(-1.,4.1145796551939E-15,0.)); #115919=DIRECTION('',(-1.,4.1145796551939E-15,-1.88541432098189E-15)); #115920=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115921=DIRECTION('',(-1.,4.1145796551939E-15,0.)); #115922=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115923=DIRECTION('ref_axis',(0.,1.,0.)); #115924=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115925=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115926=DIRECTION('',(0.,1.,0.)); #115927=DIRECTION('center_axis',(0.,-1.,0.)); #115928=DIRECTION('ref_axis',(-1.,0.,-5.91968556844038E-15)); #115929=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115930=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115931=DIRECTION('',(-1.,0.,-5.91968556844038E-15)); #115932=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115933=DIRECTION('ref_axis',(0.,-1.,0.)); #115934=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115935=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115936=DIRECTION('',(0.,-1.,0.)); #115937=DIRECTION('center_axis',(0.,-1.,0.)); #115938=DIRECTION('ref_axis',(-1.,0.,-2.28815776336219E-15)); #115939=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115940=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115941=DIRECTION('',(-1.,0.,-2.28815776336219E-15)); #115942=DIRECTION('center_axis',(-1.,2.58413461567665E-30,-2.1854783949314E-15)); #115943=DIRECTION('ref_axis',(0.,1.,1.18241142153124E-15)); #115944=DIRECTION('',(2.28707025506338E-30,1.,1.3592646868632E-16)); #115945=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115946=DIRECTION('',(0.,1.,1.18241142153124E-15)); #115947=DIRECTION('center_axis',(0.,-1.,0.)); #115948=DIRECTION('ref_axis',(-1.,0.,-5.91968556844024E-15)); #115949=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #115950=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115951=DIRECTION('',(-1.,0.,-5.91968556844024E-15)); #115952=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #115953=DIRECTION('ref_axis',(0.,-1.,0.)); #115954=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115955=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115956=DIRECTION('',(0.,-1.,0.)); #115957=DIRECTION('center_axis',(1.39870619376256E-15,1.,3.05684216732482E-30)); #115958=DIRECTION('ref_axis',(1.,-1.39870619376256E-15,2.09805929064384E-15)); #115959=DIRECTION('',(1.,-1.39870619376256E-15,1.8854143209819E-15)); #115960=DIRECTION('',(1.,-1.39870619376256E-15,2.09805929064384E-15)); #115961=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #115962=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #115963=DIRECTION('center_axis',(0.354499351416073,-0.935056260256881,7.74750673537022E-16)); #115964=DIRECTION('ref_axis',(-0.935056260256881,-0.354499351416073,0.)); #115965=DIRECTION('',(0.935056260256881,0.354499351416073,1.81115430900167E-15)); #115966=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115967=DIRECTION('',(0.935056260256881,0.354499351416073,2.04354525483677E-15)); #115968=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115969=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115970=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115971=DIRECTION('center_axis',(0.352454989950364,0.935828766419952,7.70282765722284E-16)); #115972=DIRECTION('ref_axis',(0.935828766419952,-0.352454989950364,2.23031393943287E-15)); #115973=DIRECTION('',(-0.935828766419952,0.352454989950363,-1.71651699604017E-15)); #115974=DIRECTION('',(-0.935828766419952,0.352454989950364,-2.04523355036611E-15)); #115975=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115976=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115977=DIRECTION('ref_axis',(0.,1.,0.)); #115978=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #115979=DIRECTION('',(0.,-1.,0.)); #115980=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115981=DIRECTION('ref_axis',(0.,1.,0.)); #115982=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115983=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115984=DIRECTION('',(0.,1.,0.)); #115985=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115986=DIRECTION('center_axis',(-0.340982446951356,-0.940069662775619, -7.45209770863033E-16)); #115987=DIRECTION('ref_axis',(-0.940069662775619,0.340982446951356,0.)); #115988=DIRECTION('',(-0.940069662775619,0.340982446951356,-1.72607226501965E-15)); #115989=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115990=DIRECTION('',(-0.940069662775619,0.340982446951356,0.)); #115991=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #115992=DIRECTION('ref_axis',(0.,1.,0.)); #115993=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #115994=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #115995=DIRECTION('',(0.,1.,0.)); #115996=DIRECTION('center_axis',(-0.357559968316411,0.933890180405366,-7.81439585647873E-16)); #115997=DIRECTION('ref_axis',(0.933890180405366,0.357559968316411,0.)); #115998=DIRECTION('',(0.933890180405366,0.357559968316411,1.80937178419749E-15)); #115999=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116000=DIRECTION('',(0.933890180405366,0.357559968316411,0.)); #116001=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116002=DIRECTION('ref_axis',(0.,1.,0.)); #116003=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116004=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116005=DIRECTION('',(0.,1.,0.)); #116006=DIRECTION('center_axis',(0.378193217714335,-0.925726682166436,8.26533106424267E-16)); #116007=DIRECTION('ref_axis',(-0.925726682166436,-0.378193217714335,-1.29481964407746E-15)); #116008=DIRECTION('',(-0.925726682166436,-0.378193217714335,-1.79678481243668E-15)); #116009=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116010=DIRECTION('',(-0.925726682166436,-0.378193217714335,-1.29481964407746E-15)); #116011=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116012=DIRECTION('ref_axis',(0.,-1.,0.)); #116013=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116014=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116015=DIRECTION('',(0.,-1.,0.)); #116016=DIRECTION('center_axis',(0.358597624924271,0.933492229961595,7.8370736174571E-16)); #116017=DIRECTION('ref_axis',(0.933492229961595,-0.358597624924271,1.30568136387651E-15)); #116018=DIRECTION('',(0.933492229961595,-0.358597624924271,1.71127671005966E-15)); #116019=DIRECTION('',(0.933492229961595,-0.358597624924271,1.30568136387651E-15)); #116020=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #116021=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #116022=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116023=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116024=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116025=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116026=DIRECTION('ref_axis',(0.,-1.,0.)); #116027=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116028=DIRECTION('',(-3.42817207471584E-31,1.,1.56861403099043E-16)); #116029=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116030=DIRECTION('center_axis',(0.,1.,0.)); #116031=DIRECTION('ref_axis',(1.,0.,2.11417341730009E-15)); #116032=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116033=DIRECTION('',(-1.,0.,-2.26054522243691E-15)); #116034=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116035=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116036=DIRECTION('ref_axis',(0.,1.,0.)); #116037=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116038=DIRECTION('',(3.42817207471584E-31,-1.,-1.56861403099043E-16)); #116039=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116040=DIRECTION('ref_axis',(0.,1.,0.)); #116041=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116042=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116043=DIRECTION('',(0.,1.,0.)); #116044=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116045=DIRECTION('center_axis',(0.,-1.,0.)); #116046=DIRECTION('ref_axis',(-1.,0.,-3.14768872421352E-15)); #116047=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116048=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116049=DIRECTION('',(-1.,0.,-3.14768872421352E-15)); #116050=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116051=DIRECTION('ref_axis',(0.,1.,0.)); #116052=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116053=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116054=DIRECTION('',(0.,1.,0.)); #116055=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116056=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116057=DIRECTION('center_axis',(-0.537474973705176,0.843279700123642,-1.17463994284899E-15)); #116058=DIRECTION('ref_axis',(0.843279700123642,0.537474973705176,2.83425770696697E-15)); #116059=DIRECTION('',(0.843279700123642,0.537474973705176,1.66298869838945E-15)); #116060=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116061=DIRECTION('',(0.843279700123642,0.537474973705176,2.83425770696697E-15)); #116062=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116063=DIRECTION('ref_axis',(0.,1.,0.)); #116064=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116065=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116066=DIRECTION('',(0.,1.,0.)); #116067=DIRECTION('center_axis',(0.536569560807066,-0.84385609342785,1.17266118252167E-15)); #116068=DIRECTION('ref_axis',(-0.84385609342785,-0.536569560807066,-2.1688549703258E-15)); #116069=DIRECTION('',(-0.84385609342785,-0.536569560807066,-1.66395236900178E-15)); #116070=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116071=DIRECTION('',(-0.84385609342785,-0.536569560807066,-2.1688549703258E-15)); #116072=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116073=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116074=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116075=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116076=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116077=DIRECTION('ref_axis',(0.,-1.,0.)); #116078=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116079=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116080=DIRECTION('',(0.,-1.,0.)); #116081=DIRECTION('center_axis',(0.,1.,0.)); #116082=DIRECTION('ref_axis',(1.,0.,2.09805929064384E-15)); #116083=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116084=DIRECTION('',(1.,0.,2.09805929064384E-15)); #116085=DIRECTION('center_axis',(-2.26054522243691E-15,-1.56861403099043E-16, 1.)); #116086=DIRECTION('ref_axis',(1.,0.,2.26054522243691E-15)); #116087=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116088=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116089=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116090=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116091=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116092=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116093=DIRECTION('ref_axis',(0.,-1.,0.)); #116094=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116095=DIRECTION('',(5.93738935898655E-31,1.,-2.71674584967604E-16)); #116096=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116097=DIRECTION('center_axis',(0.,1.,0.)); #116098=DIRECTION('ref_axis',(1.,0.,1.83143964692264E-15)); #116099=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116100=DIRECTION('',(-1.,0.,-2.01858017670415E-15)); #116101=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116102=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116103=DIRECTION('ref_axis',(0.,1.,0.)); #116104=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116105=DIRECTION('',(-5.93738935898655E-31,-1.,2.71674584967604E-16)); #116106=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116107=DIRECTION('ref_axis',(0.,1.,0.)); #116108=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116109=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116110=DIRECTION('',(0.,1.,0.)); #116111=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116112=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116113=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116114=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116115=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116116=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116117=DIRECTION('ref_axis',(0.,-1.,0.)); #116118=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116119=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116120=DIRECTION('',(0.,-1.,0.)); #116121=DIRECTION('center_axis',(0.,1.,0.)); #116122=DIRECTION('ref_axis',(1.,0.,2.09805929064384E-15)); #116123=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116124=DIRECTION('',(1.,0.,2.09805929064384E-15)); #116125=DIRECTION('center_axis',(-2.01858017670415E-15,2.71674584967604E-16, 1.)); #116126=DIRECTION('ref_axis',(1.,0.,2.01858017670415E-15)); #116127=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116128=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116129=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116130=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116131=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116132=DIRECTION('ref_axis',(0.,-1.,0.)); #116133=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116134=DIRECTION('',(3.518334963031E-31,1.,-1.60986947809266E-16)); #116135=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116136=DIRECTION('center_axis',(0.,1.,0.)); #116137=DIRECTION('ref_axis',(1.,0.,2.71722123872613E-15)); #116138=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116139=DIRECTION('',(-1.,0.,-2.29252056324225E-15)); #116140=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116141=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116142=DIRECTION('ref_axis',(0.,1.,0.)); #116143=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116144=DIRECTION('',(-3.518334963031E-31,-1.,1.60986947809266E-16)); #116145=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116146=DIRECTION('ref_axis',(0.,1.,0.)); #116147=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116148=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116149=DIRECTION('',(0.,1.,0.)); #116150=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116151=DIRECTION('center_axis',(0.,-1.,0.)); #116152=DIRECTION('ref_axis',(-1.,0.,-2.3909507710367E-15)); #116153=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116154=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116155=DIRECTION('',(-1.,0.,-2.3909507710367E-15)); #116156=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116157=DIRECTION('ref_axis',(0.,1.,0.)); #116158=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116159=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116160=DIRECTION('',(0.,1.,0.)); #116161=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116162=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116163=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116164=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116165=DIRECTION('ref_axis',(0.,-1.,0.)); #116166=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116167=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116168=DIRECTION('',(0.,-1.,0.)); #116169=DIRECTION('center_axis',(0.,1.,0.)); #116170=DIRECTION('ref_axis',(1.,0.,1.94264750146732E-15)); #116171=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116172=DIRECTION('',(1.,0.,1.94264750146732E-15)); #116173=DIRECTION('center_axis',(-2.29252056324225E-15,1.60986947809266E-16, 1.)); #116174=DIRECTION('ref_axis',(1.,0.,2.29252056324225E-15)); #116175=DIRECTION('center_axis',(0.354499351416068,-0.935056260256883,7.74750673537011E-16)); #116176=DIRECTION('ref_axis',(-0.935056260256883,-0.354499351416068,-3.27366474797784E-15)); #116177=DIRECTION('',(0.935056260256883,0.354499351416068,1.81115430900168E-15)); #116178=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116179=DIRECTION('',(0.935056260256883,0.354499351416068,2.04354525483677E-15)); #116180=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116181=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116182=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116183=DIRECTION('center_axis',(0.352454989950368,0.93582876641995,7.70282765722293E-16)); #116184=DIRECTION('ref_axis',(0.93582876641995,-0.352454989950368,3.09765826536582E-15)); #116185=DIRECTION('',(-0.93582876641995,0.352454989950368,-1.71651699604017E-15)); #116186=DIRECTION('',(-0.93582876641995,0.352454989950368,-2.04523355036611E-15)); #116187=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116188=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116189=DIRECTION('ref_axis',(0.,1.,0.)); #116190=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116191=DIRECTION('',(0.,-1.,0.)); #116192=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116193=DIRECTION('ref_axis',(0.,1.,0.)); #116194=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116195=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116196=DIRECTION('',(0.,1.,0.)); #116197=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116198=DIRECTION('center_axis',(-0.340982446951359,-0.940069662775618, -7.45209770863039E-16)); #116199=DIRECTION('ref_axis',(-0.940069662775618,0.340982446951359,-3.01763962702054E-15)); #116200=DIRECTION('',(-0.940069662775618,0.340982446951359,-1.72607226501965E-15)); #116201=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116202=DIRECTION('',(-0.940069662775618,0.340982446951359,-3.01763962702054E-15)); #116203=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116204=DIRECTION('ref_axis',(0.,1.,0.)); #116205=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116206=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116207=DIRECTION('',(0.,1.,0.)); #116208=DIRECTION('center_axis',(-0.35755996831641,0.933890180405366,-7.81439585647871E-16)); #116209=DIRECTION('ref_axis',(0.933890180405366,0.35755996831641,2.99780338337463E-15)); #116210=DIRECTION('',(0.933890180405366,0.35755996831641,1.80937178419749E-15)); #116211=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116212=DIRECTION('',(0.933890180405366,0.35755996831641,2.99780338337463E-15)); #116213=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116214=DIRECTION('ref_axis',(0.,1.,0.)); #116215=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116216=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116217=DIRECTION('',(0.,1.,0.)); #116218=DIRECTION('center_axis',(0.378193217714337,-0.925726682166435,8.26533106424272E-16)); #116219=DIRECTION('ref_axis',(-0.925726682166435,-0.378193217714337,-1.79836062615226E-15)); #116220=DIRECTION('',(-0.925726682166435,-0.378193217714337,-1.79678481243668E-15)); #116221=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116222=DIRECTION('',(-0.925726682166435,-0.378193217714337,-1.79836062615226E-15)); #116223=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116224=DIRECTION('ref_axis',(0.,-1.,0.)); #116225=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116226=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116227=DIRECTION('',(0.,-1.,0.)); #116228=DIRECTION('center_axis',(0.358597624924271,0.933492229961595,7.8370736174571E-16)); #116229=DIRECTION('ref_axis',(0.933492229961595,-0.358597624924271,1.81344634817405E-15)); #116230=DIRECTION('',(0.933492229961595,-0.358597624924271,1.71127671005966E-15)); #116231=DIRECTION('',(0.933492229961595,-0.358597624924271,1.81344634817405E-15)); #116232=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #116233=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #116234=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116235=DIRECTION('ref_axis',(0.,1.,0.)); #116236=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116237=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116238=DIRECTION('',(0.,1.,0.)); #116239=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116240=DIRECTION('center_axis',(0.,-1.,0.)); #116241=DIRECTION('ref_axis',(-1.,0.,-2.20289846320235E-15)); #116242=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116243=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116244=DIRECTION('',(-1.,0.,-2.20289846320235E-15)); #116245=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116246=DIRECTION('ref_axis',(0.,1.,0.)); #116247=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116248=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116249=DIRECTION('',(0.,1.,0.)); #116250=DIRECTION('center_axis',(0.,-1.,0.)); #116251=DIRECTION('ref_axis',(-1.,0.,0.)); #116252=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116253=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116254=DIRECTION('',(-1.,0.,0.)); #116255=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116256=DIRECTION('ref_axis',(0.,-1.,0.)); #116257=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116258=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116259=DIRECTION('',(0.,-1.,0.)); #116260=DIRECTION('center_axis',(0.,1.,0.)); #116261=DIRECTION('ref_axis',(1.,0.,0.)); #116262=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116263=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116264=DIRECTION('',(1.,0.,0.)); #116265=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116266=DIRECTION('ref_axis',(0.,1.,0.)); #116267=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116268=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116269=DIRECTION('',(0.,1.,0.)); #116270=DIRECTION('center_axis',(0.,1.,0.)); #116271=DIRECTION('ref_axis',(1.,0.,2.20289846320235E-15)); #116272=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116273=DIRECTION('',(1.,0.,2.20289846320235E-15)); #116274=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #116275=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #116276=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116277=DIRECTION('ref_axis',(0.,1.,0.)); #116278=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116279=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116280=DIRECTION('',(0.,1.,0.)); #116281=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116282=DIRECTION('center_axis',(0.,-1.,0.)); #116283=DIRECTION('ref_axis',(-1.,0.,-1.23725899122579E-15)); #116284=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116285=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116286=DIRECTION('',(-1.,0.,-1.23725899122579E-15)); #116287=DIRECTION('center_axis',(-0.556560213550827,0.83080727530051,-1.21635032219374E-15)); #116288=DIRECTION('ref_axis',(0.83080727530051,0.556560213550827,1.02792377134136E-15)); #116289=DIRECTION('',(0.83080727530051,0.556560213550827,1.6420671992668E-15)); #116290=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116291=DIRECTION('',(0.83080727530051,0.556560213550827,1.02792377134136E-15)); #116292=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116293=DIRECTION('ref_axis',(0.,1.,0.)); #116294=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116295=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116296=DIRECTION('',(0.,1.,0.)); #116297=DIRECTION('center_axis',(0.,-1.,0.)); #116298=DIRECTION('ref_axis',(-1.,0.,-1.94264750146732E-15)); #116299=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116300=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116301=DIRECTION('',(-1.,0.,-1.94264750146732E-15)); #116302=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116303=DIRECTION('ref_axis',(0.,-1.,0.)); #116304=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116305=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116306=DIRECTION('',(0.,-1.,0.)); #116307=DIRECTION('center_axis',(0.,1.,0.)); #116308=DIRECTION('ref_axis',(1.,0.,2.47451798245158E-15)); #116309=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116310=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116311=DIRECTION('',(1.,0.,2.47451798245158E-15)); #116312=DIRECTION('center_axis',(0.556560213550827,-0.83080727530051,1.21635032219374E-15)); #116313=DIRECTION('ref_axis',(-0.83080727530051,-0.556560213550827,-2.05584754268271E-15)); #116314=DIRECTION('',(-0.83080727530051,-0.556560213550827,-1.6420671992668E-15)); #116315=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116316=DIRECTION('',(-0.83080727530051,-0.556560213550827,-2.05584754268271E-15)); #116317=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116318=DIRECTION('ref_axis',(0.,-1.,0.)); #116319=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116320=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116321=DIRECTION('',(0.,-1.,0.)); #116322=DIRECTION('center_axis',(0.,1.,0.)); #116323=DIRECTION('ref_axis',(1.,0.,1.94264750146732E-15)); #116324=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116325=DIRECTION('',(1.,0.,1.94264750146732E-15)); #116326=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #116327=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #116328=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116329=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116330=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116331=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116332=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116333=DIRECTION('ref_axis',(0.,-1.,0.)); #116334=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116335=DIRECTION('',(-3.68442871036925E-30,1.,1.68586828353657E-15)); #116336=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116337=DIRECTION('center_axis',(0.,1.,0.)); #116338=DIRECTION('ref_axis',(1.,0.,0.)); #116339=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116340=DIRECTION('',(-1.,0.,-1.05540003626641E-15)); #116341=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116342=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116343=DIRECTION('ref_axis',(0.,1.,0.)); #116344=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116345=DIRECTION('',(3.68442871036925E-30,-1.,-1.68586828353657E-15)); #116346=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116347=DIRECTION('ref_axis',(0.,1.,0.)); #116348=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116349=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116350=DIRECTION('',(0.,1.,0.)); #116351=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116352=DIRECTION('center_axis',(0.,-1.,0.)); #116353=DIRECTION('ref_axis',(-1.,0.,0.)); #116354=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116355=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116356=DIRECTION('',(-1.,0.,0.)); #116357=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116358=DIRECTION('ref_axis',(0.,1.,0.)); #116359=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116360=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116361=DIRECTION('',(0.,1.,0.)); #116362=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116363=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116364=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116365=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116366=DIRECTION('ref_axis',(0.,-1.,0.)); #116367=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116368=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116369=DIRECTION('',(0.,-1.,0.)); #116370=DIRECTION('center_axis',(0.,1.,0.)); #116371=DIRECTION('ref_axis',(1.,0.,1.94264750146732E-15)); #116372=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116373=DIRECTION('',(1.,0.,1.94264750146732E-15)); #116374=DIRECTION('center_axis',(-1.05540003626641E-15,-1.68586828353657E-15, 1.)); #116375=DIRECTION('ref_axis',(1.,0.,1.05540003626641E-15)); #116376=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116377=DIRECTION('ref_axis',(0.,1.,0.)); #116378=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116379=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116380=DIRECTION('',(0.,1.,0.)); #116381=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116382=DIRECTION('center_axis',(0.,-1.,0.)); #116383=DIRECTION('ref_axis',(-1.,0.,-8.22178555459725E-15)); #116384=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116385=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116386=DIRECTION('',(-1.,0.,-8.22178555459725E-15)); #116387=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116388=DIRECTION('ref_axis',(0.,-1.,0.)); #116389=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116390=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116391=DIRECTION('',(0.,-1.,0.)); #116392=DIRECTION('center_axis',(0.,-1.,0.)); #116393=DIRECTION('ref_axis',(-1.,0.,0.)); #116394=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116395=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116396=DIRECTION('',(-1.,0.,0.)); #116397=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116398=DIRECTION('ref_axis',(0.,1.,0.)); #116399=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116400=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116401=DIRECTION('',(0.,1.,0.)); #116402=DIRECTION('center_axis',(0.,-1.,0.)); #116403=DIRECTION('ref_axis',(-1.,0.,-8.22178555459725E-15)); #116404=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116405=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116406=DIRECTION('',(-1.,0.,-8.22178555459725E-15)); #116407=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116408=DIRECTION('ref_axis',(0.,-1.,0.)); #116409=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116410=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116411=DIRECTION('',(0.,-1.,0.)); #116412=DIRECTION('center_axis',(0.,-1.,0.)); #116413=DIRECTION('ref_axis',(-1.,0.,0.)); #116414=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116415=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116416=DIRECTION('',(-1.,0.,0.)); #116417=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116418=DIRECTION('ref_axis',(0.,1.,0.)); #116419=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116420=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116421=DIRECTION('',(0.,1.,0.)); #116422=DIRECTION('center_axis',(0.,-1.,0.)); #116423=DIRECTION('ref_axis',(-1.,0.,0.)); #116424=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116425=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116426=DIRECTION('',(-1.,0.,0.)); #116427=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116428=DIRECTION('ref_axis',(0.,-1.,0.)); #116429=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116430=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116431=DIRECTION('',(0.,-1.,0.)); #116432=DIRECTION('center_axis',(0.,1.,0.)); #116433=DIRECTION('ref_axis',(1.,0.,1.94264750146732E-15)); #116434=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116435=DIRECTION('',(1.,0.,1.94264750146732E-15)); #116436=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #116437=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #116438=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116439=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116440=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116441=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116442=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116443=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116444=DIRECTION('ref_axis',(0.,-1.,0.)); #116445=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116446=DIRECTION('',(9.37688632663776E-31,1.,-4.29054176348062E-16)); #116447=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116448=DIRECTION('center_axis',(2.54366618954603E-15,1.,5.55912750117033E-30)); #116449=DIRECTION('ref_axis',(1.,-2.54366618954603E-15,2.54366618954603E-15)); #116450=DIRECTION('',(-1.,2.54366618954603E-15,-1.88541432098189E-15)); #116451=DIRECTION('',(-1.,2.54366618954603E-15,-2.34142869293285E-15)); #116452=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116453=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116454=DIRECTION('ref_axis',(0.,1.,0.)); #116455=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116456=DIRECTION('',(-9.37688632663776E-31,-1.,4.29054176348062E-16)); #116457=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116458=DIRECTION('ref_axis',(0.,1.,0.)); #116459=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116460=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116461=DIRECTION('',(0.,1.,0.)); #116462=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116463=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116464=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116465=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116466=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116467=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116468=DIRECTION('ref_axis',(0.,-1.,0.)); #116469=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116470=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116471=DIRECTION('',(0.,-1.,0.)); #116472=DIRECTION('center_axis',(1.94264750146732E-15,1.,4.2456141434243E-30)); #116473=DIRECTION('ref_axis',(1.,-1.94264750146732E-15,1.94264750146732E-15)); #116474=DIRECTION('',(1.,-1.94264750146732E-15,1.88541432098189E-15)); #116475=DIRECTION('',(1.,-1.94264750146732E-15,1.94264750146732E-15)); #116476=DIRECTION('center_axis',(-2.34142869293285E-15,4.29054176348062E-16, 1.)); #116477=DIRECTION('ref_axis',(1.,0.,2.34142869293285E-15)); #116478=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116479=DIRECTION('ref_axis',(0.,1.,0.)); #116480=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116481=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116482=DIRECTION('',(0.,1.,0.)); #116483=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116484=DIRECTION('center_axis',(0.,-1.,0.)); #116485=DIRECTION('ref_axis',(-1.,0.,-1.94264750146732E-15)); #116486=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116487=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116488=DIRECTION('',(-1.,0.,-1.94264750146732E-15)); #116489=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116490=DIRECTION('ref_axis',(0.,-1.,0.)); #116491=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116492=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116493=DIRECTION('',(0.,-1.,0.)); #116494=DIRECTION('center_axis',(0.,1.,0.)); #116495=DIRECTION('ref_axis',(1.,0.,1.94264750146732E-15)); #116496=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116497=DIRECTION('',(1.,0.,1.94264750146732E-15)); #116498=DIRECTION('center_axis',(2.1854783949314E-15,0.,-1.)); #116499=DIRECTION('ref_axis',(-1.,0.,-2.1854783949314E-15)); #116500=DIRECTION('center_axis',(-1.,0.,-2.1854783949314E-15)); #116501=DIRECTION('ref_axis',(0.,1.,0.)); #116502=DIRECTION('',(-2.97064360613272E-31,1.,1.3592646868632E-16)); #116503=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116504=DIRECTION('',(0.,1.,0.)); #116505=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116506=DIRECTION('center_axis',(0.,1.,0.)); #116507=DIRECTION('ref_axis',(1.,0.,5.2443488105455E-15)); #116508=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116509=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116510=DIRECTION('',(1.,0.,5.2443488105455E-15)); #116511=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116512=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116513=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116514=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116515=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116516=DIRECTION('center_axis',(-0.964047225843564,-0.265730966097908, -2.10690438377466E-15)); #116517=DIRECTION('ref_axis',(-0.265730966097908,0.964047225843564,0.)); #116518=DIRECTION('',(-0.265730966097908,0.964047225843564,-3.69973433953592E-16)); #116519=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116520=DIRECTION('',(-0.265730966097908,0.964047225843564,0.)); #116521=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116522=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116523=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116524=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116525=DIRECTION('center_axis',(0.,-1.,0.)); #116526=DIRECTION('ref_axis',(-1.,0.,-2.62217440527278E-15)); #116527=DIRECTION('',(-1.,0.,-1.8854143209819E-15)); #116528=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116529=DIRECTION('',(-1.,0.,-2.62217440527278E-15)); #116530=DIRECTION('center_axis',(1.,0.,2.1854783949314E-15)); #116531=DIRECTION('ref_axis',(0.,-1.,0.)); #116532=DIRECTION('',(2.97064360613272E-31,-1.,-1.3592646868632E-16)); #116533=DIRECTION('',(2.1854783949314E-15,0.,-1.)); #116534=DIRECTION('',(0.,-1.,0.)); #116535=DIRECTION('center_axis',(0.,1.,0.)); #116536=DIRECTION('ref_axis',(1.,0.,0.)); #116537=DIRECTION('',(1.,0.,1.8854143209819E-15)); #116538=DIRECTION('',(1.,0.,0.)); #116539=DIRECTION('center_axis',(-2.0990805680152E-15,-6.00311764295425E-16, 1.)); #116540=DIRECTION('ref_axis',(1.,0.,2.0990805680152E-15)); #116541=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116542=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116543=DIRECTION('',(1.,9.21170942128247E-16,1.8854143209819E-15)); #116544=DIRECTION('',(-1.01894833634147E-16,-1.,6.48964224790344E-17)); #116545=DIRECTION('',(-1.,-9.89280575312074E-17,-1.31884472358145E-15)); #116546=DIRECTION('',(-4.60409261628846E-16,1.,-6.48964224790352E-17)); #116547=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116548=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116549=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116550=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116551=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116552=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116553=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116554=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116555=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116556=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116557=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116558=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116559=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116560=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116561=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116562=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116563=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116564=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116565=DIRECTION('center_axis',(-1.,-5.99842513626775E-16,3.03774882813322E-15)); #116566=DIRECTION('ref_axis',(0.,1.,0.)); #116567=DIRECTION('center_axis',(-1.,-4.3918420069449E-16,1.65001986112763E-15)); #116568=DIRECTION('ref_axis',(0.,1.,0.)); #116569=DIRECTION('',(1.,5.99842513626775E-16,-3.03774882813322E-15)); #116570=DIRECTION('center_axis',(1.,4.3918420069449E-16,-1.65001986112763E-15)); #116571=DIRECTION('ref_axis',(0.,1.,0.)); #116572=DIRECTION('',(-1.,-5.99842513626775E-16,3.03774882813322E-15)); #116573=DIRECTION('center_axis',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116574=DIRECTION('ref_axis',(0.,4.17524706999709E-17,1.)); #116575=DIRECTION('center_axis',(5.99842513626775E-16,-1.,4.17524706999709E-17)); #116576=DIRECTION('ref_axis',(2.79741234551221E-15,0.,1.)); #116577=DIRECTION('',(-1.9085807902863E-15,-4.17524706999722E-17,-1.)); #116578=DIRECTION('center_axis',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116579=DIRECTION('ref_axis',(-1.,-5.71118047455944E-16,2.66521755479441E-15)); #116580=DIRECTION('center_axis',(-1.,0.,1.33437913447225E-15)); #116581=DIRECTION('ref_axis',(0.,1.,0.)); #116582=DIRECTION('center_axis',(-1.,0.,1.47309634864365E-15)); #116583=DIRECTION('ref_axis',(0.,1.,0.)); #116584=DIRECTION('',(1.,0.,-1.33437913447225E-15)); #116585=DIRECTION('center_axis',(1.,0.,-1.47309634864365E-15)); #116586=DIRECTION('ref_axis',(0.,1.,0.)); #116587=DIRECTION('',(-1.,0.,1.33437913447225E-15)); #116588=DIRECTION('center_axis',(0.,1.,0.)); #116589=DIRECTION('ref_axis',(0.,0.,1.)); #116590=DIRECTION('center_axis',(0.,1.,0.)); #116591=DIRECTION('ref_axis',(1.52298145988252E-15,0.,1.)); #116592=DIRECTION('',(0.,0.,1.)); #116593=DIRECTION('center_axis',(0.,-1.,0.)); #116594=DIRECTION('ref_axis',(1.,0.,0.)); #116595=DIRECTION('center_axis',(-1.,-5.99842513626775E-16,3.03774882813322E-15)); #116596=DIRECTION('ref_axis',(1.97806923928665E-15,-0.707106781186547,0.707106781186549)); #116597=DIRECTION('center_axis',(1.,4.3918420069449E-16,-1.65001986112763E-15)); #116598=DIRECTION('ref_axis',(1.97806923928665E-15,-0.707106781186547,0.707106781186549)); #116599=DIRECTION('',(1.,5.99842513626775E-16,-3.03774882813322E-15)); #116600=DIRECTION('center_axis',(-1.,-4.3918420069449E-16,1.65001986112763E-15)); #116601=DIRECTION('ref_axis',(1.97806923928665E-15,-0.707106781186547,0.707106781186549)); #116602=DIRECTION('',(-1.,-5.99842513626775E-16,3.03774882813322E-15)); #116603=DIRECTION('center_axis',(-3.03774882813322E-15,-4.17524706999727E-17, -1.)); #116604=DIRECTION('ref_axis',(-1.,-4.17440484998092E-16,3.03774882813322E-15)); #116605=DIRECTION('',(-6.00573290985063E-16,1.,-4.17524706999708E-17)); #116606=DIRECTION('',(5.99111736268487E-16,-1.,4.17524706999709E-17)); #116607=DIRECTION('center_axis',(1.,4.3918420069449E-16,-1.65001986112763E-15)); #116608=DIRECTION('ref_axis',(-4.39184200694491E-16,1.,-1.88105994810234E-16)); #116609=DIRECTION('',(3.03774882813322E-15,4.17524706999726E-17,1.)); #116610=DIRECTION('center_axis',(-1.,-4.3918420069449E-16,1.65001986112763E-15)); #116611=DIRECTION('ref_axis',(-3.95613847857329E-15,-0.707106781186549, -0.707106781186546)); #116612=DIRECTION('',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116613=DIRECTION('center_axis',(-1.,-4.3918420069449E-16,1.65001986112763E-15)); #116614=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #116615=DIRECTION('',(3.03774882813322E-15,4.17524706999726E-17,1.)); #116616=DIRECTION('',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116617=DIRECTION('center_axis',(-1.,0.,1.33437913447225E-15)); #116618=DIRECTION('ref_axis',(0.,-0.707106781186549,0.707106781186546)); #116619=DIRECTION('center_axis',(1.,0.,-1.47309634864365E-15)); #116620=DIRECTION('ref_axis',(0.,-0.707106781186549,0.707106781186546)); #116621=DIRECTION('',(1.,0.,-1.33437913447225E-15)); #116622=DIRECTION('center_axis',(-1.,0.,1.47309634864365E-15)); #116623=DIRECTION('ref_axis',(0.,-0.707106781186549,0.707106781186546)); #116624=DIRECTION('',(-1.,0.,1.33437913447225E-15)); #116625=DIRECTION('center_axis',(0.,-1.,0.)); #116626=DIRECTION('ref_axis',(-1.,0.,3.04950363391514E-15)); #116627=DIRECTION('',(3.1107359738725E-15,0.,1.)); #116628=DIRECTION('',(-1.,0.,3.04950363391514E-15)); #116629=DIRECTION('',(3.1107359738725E-15,0.,1.)); #116630=DIRECTION('center_axis',(-1.,0.,1.47309634864365E-15)); #116631=DIRECTION('ref_axis',(2.95831467699745E-31,1.,2.00822891165355E-16)); #116632=DIRECTION('',(7.30777358287994E-19,1.,-1.0765054581655E-33)); #116633=DIRECTION('',(0.,-1.,0.)); #116634=DIRECTION('',(3.1107359738725E-15,0.,1.)); #116635=DIRECTION('center_axis',(1.,0.,-1.47309634864365E-15)); #116636=DIRECTION('ref_axis',(-3.95613847857329E-15,0.707106781186549,-0.707106781186546)); #116637=DIRECTION('',(0.,-1.,0.)); #116638=DIRECTION('center_axis',(1.,0.,-1.47309634864365E-15)); #116639=DIRECTION('ref_axis',(-3.9561384785733E-15,-0.707106781186547,-0.707106781186549)); #116640=DIRECTION('center_axis',(1.,0.,-3.04950363391514E-15)); #116641=DIRECTION('ref_axis',(-3.95613847857329E-15,0.707106781186549,-0.707106781186546)); #116642=DIRECTION('center_axis',(-1.,0.,1.47309634864365E-15)); #116643=DIRECTION('ref_axis',(-3.95613847857329E-15,0.707106781186549,-0.707106781186546)); #116644=DIRECTION('',(-1.,0.,3.04950363391514E-15)); #116645=DIRECTION('',(1.,0.,-3.04950363391514E-15)); #116646=DIRECTION('center_axis',(0.,1.,0.)); #116647=DIRECTION('ref_axis',(1.,0.,-3.04950363391514E-15)); #116648=DIRECTION('',(1.,0.,-3.04950363391514E-15)); #116649=DIRECTION('',(-3.04950363391514E-15,0.,-1.)); #116650=DIRECTION('center_axis',(-1.,-5.99842513626775E-16,3.09898116809059E-15)); #116651=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #116652=DIRECTION('center_axis',(1.,4.3918420069449E-16,-1.65001986112763E-15)); #116653=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #116654=DIRECTION('',(1.,5.99842513626775E-16,-3.09898116809059E-15)); #116655=DIRECTION('',(-1.,-5.99842513626775E-16,3.09898116809059E-15)); #116656=DIRECTION('center_axis',(-3.09898116809059E-15,-4.17524706999728E-17, -1.)); #116657=DIRECTION('ref_axis',(-1.,-5.99842513626775E-16,3.09898116809059E-15)); #116658=DIRECTION('',(1.,5.99842513626775E-16,-3.09898116809059E-15)); #116659=DIRECTION('',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116660=DIRECTION('center_axis',(-1.,-5.99842513626775E-16,3.09898116809059E-15)); #116661=DIRECTION('ref_axis',(-3.95613847857329E-15,-0.707106781186549, -0.707106781186546)); #116662=DIRECTION('center_axis',(1.,4.3918420069449E-16,-1.65001986112763E-15)); #116663=DIRECTION('ref_axis',(-3.95613847857329E-15,-0.707106781186549, -0.707106781186546)); #116664=DIRECTION('',(-1.,-5.99842513626775E-16,3.09898116809059E-15)); #116665=DIRECTION('center_axis',(5.99842513626775E-16,-1.,4.17524706999709E-17)); #116666=DIRECTION('ref_axis',(1.,5.99842513626775E-16,-3.09898116809059E-15)); #116667=DIRECTION('',(-3.09898116809059E-15,-4.17524706999728E-17,-1.)); #116668=DIRECTION('center_axis',(1.,0.,-3.04950363391514E-15)); #116669=DIRECTION('ref_axis',(-3.9561384785733E-15,-0.707106781186547,-0.707106781186549)); #116670=DIRECTION('center_axis',(-1.,0.,1.47309634864365E-15)); #116671=DIRECTION('ref_axis',(-3.9561384785733E-15,-0.707106781186547,-0.707106781186549)); #116672=DIRECTION('',(1.,0.,-3.04950363391514E-15)); #116673=DIRECTION('center_axis',(1.,0.,-1.47309634864365E-15)); #116674=DIRECTION('ref_axis',(-1.47309634864365E-15,0.,-1.)); #116675=DIRECTION('',(0.,-1.,0.)); #116676=DIRECTION('',(7.30777358287992E-19,-1.,-1.07650545816549E-33)); #116677=DIRECTION('',(7.30777358287992E-19,-1.,-1.07650545816549E-33)); #116678=DIRECTION('',(-4.98963324487955E-16,2.02410783632255E-16,1.)); #116679=DIRECTION('',(-1.,-4.60666725893693E-16,-4.32790632992322E-15)); #116680=DIRECTION('',(-1.,-4.60666725893693E-16,-4.32790632992322E-15)); #116681=DIRECTION('',(-4.98963324487955E-16,2.02410783632255E-16,1.)); #116682=DIRECTION('center_axis',(1.,4.60666725893693E-16,4.32790632992322E-15)); #116683=DIRECTION('ref_axis',(-4.60666725893692E-16,1.,-2.04107216848754E-16)); #116684=DIRECTION('center_axis',(-1.,-4.60666725893693E-16,-4.32790632992322E-15)); #116685=DIRECTION('ref_axis',(-4.60666725893692E-16,1.,-2.04107216848754E-16)); #116686=DIRECTION('',(-1.,-4.60666725893693E-16,-4.32790632992322E-15)); #116687=DIRECTION('',(-1.,-4.60666725893693E-16,-4.32790632992322E-15)); #116688=DIRECTION('center_axis',(1.,4.60666725893693E-16,4.32790632992322E-15)); #116689=DIRECTION('ref_axis',(-4.60666725893692E-16,1.,-2.04107216848754E-16)); #116690=DIRECTION('',(0.158339867568496,1.77888796858075E-16,-0.987384669892333)); #116691=DIRECTION('center_axis',(-9.21170942128248E-16,1.,1.35926468686318E-16)); #116692=DIRECTION('ref_axis',(1.,9.21170942128247E-16,1.8854143209819E-15)); #116693=DIRECTION('',(-1.27125155296012E-15,-1.3592646868632E-16,1.)); #116694=DIRECTION('',(-1.,-9.21170942128247E-16,-1.8854143209819E-15)); #116695=DIRECTION('',(-1.36643789420278E-15,-1.3592646868632E-16,1.)); #116696=DIRECTION('',(1.,-1.91292487632553E-16,6.5711550888483E-16)); #116697=DIRECTION('',(0.,0.,1.)); #116698=DIRECTION('',(0.,0.,1.)); #116699=DIRECTION('',(1.,-1.91292487632553E-16,6.5711550888483E-16)); #116700=DIRECTION('center_axis',(0.,0.,-1.)); #116701=DIRECTION('ref_axis',(0.77583005137446,0.630941939788681,0.)); #116702=DIRECTION('center_axis',(0.,0.,1.)); #116703=DIRECTION('ref_axis',(0.77583005137446,0.630941939788681,0.)); #116704=DIRECTION('',(0.,0.,-1.)); #116705=DIRECTION('center_axis',(0.,0.,-1.)); #116706=DIRECTION('ref_axis',(0.77583005137446,0.630941939788681,0.)); #116707=DIRECTION('center_axis',(-9.53040353280118E-18,1.,-6.48964224790345E-17)); #116708=DIRECTION('ref_axis',(1.,9.53040353280123E-18,6.5711550888483E-16)); #116709=DIRECTION('center_axis',(9.53040353280118E-18,-1.,6.48964224790345E-17)); #116710=DIRECTION('ref_axis',(0.158339867568485,-3.65592071570183E-16,0.987384669892335)); #116711=DIRECTION('',(-0.158339867568486,1.35236115645442E-16,-0.987384669892335)); #116712=DIRECTION('center_axis',(-9.53040353280118E-18,1.,-6.48964224790345E-17)); #116713=DIRECTION('ref_axis',(-2.18009696876735E-15,4.21903885385368E-16, 1.)); #116714=DIRECTION('center_axis',(-1.,1.91292487632553E-16,-6.5711550888483E-16)); #116715=DIRECTION('ref_axis',(6.30504412230957E-16,0.630941939788681,-0.775830051374459)); #116716=DIRECTION('center_axis',(-1.,1.91292487632553E-16,-6.5711550888483E-16)); #116717=DIRECTION('ref_axis',(6.30504412230957E-16,0.630941939788681,-0.775830051374459)); #116718=DIRECTION('',(1.,-1.91292487632553E-16,6.5711550888483E-16)); #116719=DIRECTION('',(1.,-1.91292487632553E-16,6.5711550888483E-16)); #116720=DIRECTION('center_axis',(1.,-1.91292487632553E-16,6.5711550888483E-16)); #116721=DIRECTION('ref_axis',(6.30504412230957E-16,0.630941939788681,-0.775830051374459)); #116722=DIRECTION('center_axis',(-2.59843834728338E-16,1.,-6.48964224790346E-17)); #116723=DIRECTION('ref_axis',(0.,6.48964224790346E-17,1.)); #116724=DIRECTION('center_axis',(-2.59843834728338E-16,1.,-6.48964224790346E-17)); #116725=DIRECTION('ref_axis',(-0.158339867568493,0.,0.987384669892333)); #116726=DIRECTION('center_axis',(2.59843834728338E-16,-1.,6.48964224790346E-17)); #116727=DIRECTION('ref_axis',(-3.49676543189027E-16,0.,1.)); #116728=DIRECTION('center_axis',(4.98963324487955E-16,-2.02410783632255E-16, -1.)); #116729=DIRECTION('ref_axis',(-4.23556791868693E-16,1.,-2.02410783632255E-16)); #116730=DIRECTION('center_axis',(4.98963324487955E-16,-2.02410783632255E-16, -1.)); #116731=DIRECTION('ref_axis',(-4.23556791868693E-16,1.,-2.02410783632255E-16)); #116732=DIRECTION('',(4.98963324487955E-16,-2.02410783632255E-16,-1.)); #116733=DIRECTION('center_axis',(-4.98963324487955E-16,2.02410783632255E-16, 1.)); #116734=DIRECTION('ref_axis',(-4.23556791868693E-16,1.,-2.02410783632255E-16)); #116735=DIRECTION('center_axis',(4.98963324487955E-16,-2.02410783632255E-16, -1.)); #116736=DIRECTION('ref_axis',(-5.45373146571683E-16,1.,-2.02410783632256E-16)); #116737=DIRECTION('center_axis',(4.98963324487955E-16,-2.02410783632255E-16, -1.)); #116738=DIRECTION('ref_axis',(-5.45373146571683E-16,1.,-2.02410783632256E-16)); #116739=DIRECTION('center_axis',(-4.98963324487955E-16,2.02410783632255E-16, 1.)); #116740=DIRECTION('ref_axis',(-5.45373146571683E-16,1.,-2.02410783632256E-16)); #116741=DIRECTION('',(-4.98963324487955E-16,2.02410783632255E-16,1.)); #116742=DIRECTION('center_axis',(0.,0.,-1.)); #116743=DIRECTION('ref_axis',(0.773632210017616,0.633634913513499,0.)); #116744=DIRECTION('center_axis',(0.,0.,-1.)); #116745=DIRECTION('ref_axis',(0.773632210017616,0.633634913513499,0.)); #116746=DIRECTION('',(0.,0.,1.)); #116747=DIRECTION('center_axis',(0.,0.,1.)); #116748=DIRECTION('ref_axis',(0.773632210017616,0.633634913513499,0.)); #116749=DIRECTION('center_axis',(-1.,1.91292487632553E-16,-6.5711550888483E-16)); #116750=DIRECTION('ref_axis',(1.91292487632553E-16,1.,5.40409735721246E-16)); #116751=DIRECTION('',(9.53040353280118E-18,-1.,6.48964224790345E-17)); #116752=DIRECTION('',(-8.40972086369606E-16,6.48964224790346E-17,1.)); #116753=DIRECTION('',(9.53040353280118E-18,-1.,6.48964224790345E-17)); #116754=DIRECTION('center_axis',(9.53040353280118E-18,-1.,6.48964224790345E-17)); #116755=DIRECTION('ref_axis',(8.70532503887258E-16,-6.48964224790346E-17, -1.)); #116756=DIRECTION('',(9.89280575312074E-17,-1.,6.48964224790347E-17)); #116757=DIRECTION('center_axis',(9.53040353280118E-18,-1.,6.48964224790345E-17)); #116758=DIRECTION('ref_axis',(1.,-1.91292487632553E-16,6.5711550888483E-16)); #116759=DIRECTION('center_axis',(-2.65719313644389E-16,-1.,9.25011153755404E-32)); #116760=DIRECTION('ref_axis',(-0.707106781186547,2.93147355320503E-16,-0.707106781186548)); #116761=DIRECTION('',(2.00822891165355E-16,1.,0.)); #116762=DIRECTION('center_axis',(2.65719313644389E-16,1.,-9.25011153755404E-32)); #116763=DIRECTION('ref_axis',(-1.3987061727561E-15,0.,-1.)); #116764=DIRECTION('',(-1.91292487632553E-16,-1.,6.48964224790344E-17)); #116765=DIRECTION('center_axis',(0.,0.,1.)); #116766=DIRECTION('ref_axis',(1.,0.,0.)); #116767=DIRECTION('',(1.,0.,0.)); #116768=DIRECTION('',(0.,1.,0.)); #116769=DIRECTION('',(1.,0.,0.)); #116770=DIRECTION('center_axis',(0.,0.,-1.)); #116771=DIRECTION('ref_axis',(-1.,0.,0.)); #116772=DIRECTION('center_axis',(1.8854143209819E-15,1.3592646868632E-16, -1.)); #116773=DIRECTION('ref_axis',(-6.52999887887839E-16,1.,1.35926468686319E-16)); #116774=DIRECTION('',(9.89280575312074E-17,-1.,6.48964224790347E-17)); #116775=DIRECTION('',(-1.,-9.89280575312074E-17,-1.0658957877049E-15)); #116776=DIRECTION('center_axis',(2.59843834728338E-16,-1.,6.48964224790346E-17)); #116777=DIRECTION('ref_axis',(1.,2.59843834728338E-16,1.66512436549627E-15)); #116778=DIRECTION('',(2.59843834728338E-16,-1.,6.48964224790346E-17)); #116779=DIRECTION('center_axis',(2.59843834728338E-16,-1.,6.48964224790346E-17)); #116780=DIRECTION('ref_axis',(-1.04902962956708E-15,0.,1.)); #116781=DIRECTION('center_axis',(1.,4.60666725893693E-16,4.32790632992322E-15)); #116782=DIRECTION('ref_axis',(-4.60666725893691E-16,1.,-5.26746462717098E-16)); #116783=DIRECTION('',(2.59843834728338E-16,-1.,6.48964224790346E-17)); #116784=DIRECTION('',(1.73303236806685E-15,-6.48964224790341E-17,-1.)); #116785=DIRECTION('center_axis',(-6.21325038825976E-16,1.,-2.2249307274879E-16)); #116786=DIRECTION('ref_axis',(0.707106781186548,2.64109770782228E-17,-0.707106781186547)); #116787=DIRECTION('',(-4.17440484998092E-16,1.,-4.17524706999714E-17)); #116788=DIRECTION('center_axis',(-6.21325038825976E-16,1.,-2.2249307274879E-16)); #116789=DIRECTION('ref_axis',(1.,1.52298145988252E-15,1.9989131660958E-15)); #116790=DIRECTION('center_axis',(4.98963324487955E-16,-2.02410783632255E-16, -1.)); #116791=DIRECTION('ref_axis',(-7.46196037737038E-16,1.,-2.02410783632256E-16)); #116792=DIRECTION('',(-1.,-4.17440484998092E-16,2.34239574368749E-15)); #116793=DIRECTION('',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116794=DIRECTION('',(1.,4.17440484998092E-16,-2.34239574368749E-15)); #116795=DIRECTION('center_axis',(2.34239574368749E-15,4.17524706999724E-17, 1.)); #116796=DIRECTION('ref_axis',(-1.,-4.17440484998092E-16,2.34239574368749E-15)); #116797=DIRECTION('center_axis',(-4.17440484998092E-16,1.,-4.17524706999714E-17)); #116798=DIRECTION('ref_axis',(1.,4.17440484998092E-16,-2.34239574368749E-15)); #116799=DIRECTION('',(1.,4.17440484998092E-16,-2.34239574368749E-15)); #116800=DIRECTION('center_axis',(-4.17440484998092E-16,1.,-4.17524706999714E-17)); #116801=DIRECTION('ref_axis',(1.,4.17440484998092E-16,-2.34239574368749E-15)); #116802=DIRECTION('',(2.17971513616204E-15,4.17524706999723E-17,1.)); #116803=DIRECTION('',(2.34239574368749E-15,4.17524706999724E-17,1.)); #116804=DIRECTION('',(1.,4.17440484998092E-16,-2.34239574368749E-15)); #116805=DIRECTION('center_axis',(-2.59843834728338E-16,1.,-6.48964224790346E-17)); #116806=DIRECTION('ref_axis',(1.,2.59843834728338E-16,1.73303236806685E-15)); #116807=DIRECTION('',(-1.,-2.59843834728338E-16,-1.73303236806685E-15)); #116808=DIRECTION('',(-1.73303236806685E-15,6.48964224790341E-17,1.)); #116809=DIRECTION('',(-1.,-2.59843834728338E-16,-1.73303236806685E-15)); #116810=DIRECTION('center_axis',(-2.59843834728338E-16,1.,-6.48964224790346E-17)); #116811=DIRECTION('ref_axis',(0.,6.48964224790346E-17,1.)); #116812=DIRECTION('center_axis',(2.59843834728338E-16,-1.,6.48964224790346E-17)); #116813=DIRECTION('ref_axis',(-2.28447218982377E-15,0.,1.)); #116814=DIRECTION('',(-1.2646178544063E-15,6.48964224790345E-17,1.)); #116815=DIRECTION('center_axis',(-9.89280575312074E-17,1.,-6.48964224790347E-17)); #116816=DIRECTION('ref_axis',(1.,9.89280575312074E-17,1.31884472358145E-15)); #116817=DIRECTION('',(-1.3730715927566E-15,6.48964224790345E-17,1.)); #116818=DIRECTION('center_axis',(-9.53040353280118E-18,1.,-6.48964224790345E-17)); #116819=DIRECTION('ref_axis',(1.,9.53040353280123E-18,6.5711550888483E-16)); #116820=DIRECTION('center_axis',(9.53040353280118E-18,-1.,6.48964224790345E-17)); #116821=DIRECTION('ref_axis',(1.,-1.91292487632553E-16,6.5711550888483E-16)); #116822=DIRECTION('',(1.,9.53040353280123E-18,8.40972086369606E-16)); #116823=DIRECTION('center_axis',(-9.53040353280118E-18,1.,-6.48964224790345E-17)); #116824=DIRECTION('ref_axis',(1.,9.53040353280123E-18,8.40972086369606E-16)); #116825=DIRECTION('',(-8.40972086369606E-16,6.48964224790346E-17,1.)); #116826=DIRECTION('',(1.,9.53040353280123E-18,8.40972086369606E-16)); #116827=DIRECTION('center_axis',(2.65719313644389E-16,1.,-9.25011153755404E-32)); #116828=DIRECTION('ref_axis',(0.,9.25011153755404E-32,1.)); #116829=DIRECTION('',(8.13403037627223E-17,0.,1.)); #116830=DIRECTION('center_axis',(2.65719313644389E-16,1.,-9.25011153755404E-32)); #116831=DIRECTION('ref_axis',(-1.14223609491189E-15,0.,-1.)); #116832=DIRECTION('center_axis',(-6.21325038825976E-16,1.,-2.2249307274879E-16)); #116833=DIRECTION('ref_axis',(0.,2.2249307274879E-16,1.)); #116834=DIRECTION('center_axis',(-6.21325038825976E-16,1.,-2.2249307274879E-16)); #116835=DIRECTION('ref_axis',(1.,0.,2.44773580232318E-15)); #116836=DIRECTION('center_axis',(-2.65719313644389E-16,-1.,9.25011153755404E-32)); #116837=DIRECTION('ref_axis',(-0.707106781186547,2.93147355320503E-16,-0.707106781186548)); #116838=DIRECTION('',(0.,1.,0.)); #116839=DIRECTION('center_axis',(0.,0.,-1.)); #116840=DIRECTION('ref_axis',(-2.00822891165355E-16,1.,0.)); #116841=DIRECTION('',(1.,0.,0.)); #116842=DIRECTION('',(0.,-1.,0.)); #116843=DIRECTION('',(-1.,0.,0.)); #116844=DIRECTION('center_axis',(0.,0.,1.)); #116845=DIRECTION('ref_axis',(-1.,0.,0.)); #116846=DIRECTION('center_axis',(0.,1.,0.)); #116847=DIRECTION('ref_axis',(1.,0.,0.)); #116848=DIRECTION('center_axis',(9.53040353280118E-18,-1.,6.48964224790345E-17)); #116849=DIRECTION('ref_axis',(8.70532503887258E-16,-6.48964224790346E-17, -1.)); #116850=DIRECTION('',(1.91292487632553E-16,1.,-6.48964224790344E-17)); #116851=DIRECTION('center_axis',(-1.8854143209819E-15,-1.3592646868632E-16, 1.)); #116852=DIRECTION('ref_axis',(1.,4.52176996722484E-16,1.8854143209819E-15)); #116853=DIRECTION('center_axis',(0.,1.,0.)); #116854=DIRECTION('ref_axis',(1.,0.,0.)); #116855=DIRECTION('',(0.,0.,-1.)); #116856=DIRECTION('center_axis',(2.59843834728338E-16,-1.,6.48964224790346E-17)); #116857=DIRECTION('ref_axis',(1.,2.59843834728338E-16,1.66512436549627E-15)); #116858=DIRECTION('',(6.21325038825977E-16,-1.,6.48964224790352E-17)); #116859=DIRECTION('center_axis',(1.,-1.91292487632553E-16,6.5711550888483E-16)); #116860=DIRECTION('ref_axis',(6.5711550888483E-16,3.39586844555891E-16, -1.)); #116861=DIRECTION('center_axis',(-6.21325038825976E-16,1.,-2.2249307274879E-16)); #116862=DIRECTION('ref_axis',(0.707106781186548,2.64109770782228E-17,-0.707106781186547)); #116863=DIRECTION('',(-6.21325038825977E-16,1.,-6.48964224790352E-17)); #116864=DIRECTION('',(7.7892168909573E-16,-1.,4.17524706999705E-17)); #116865=DIRECTION('center_axis',(-1.,-4.60666725893693E-16,-4.32790632992322E-15)); #116866=DIRECTION('ref_axis',(-4.32790632992322E-15,3.25923571551742E-16, 1.)); #116867=DIRECTION('center_axis',(-4.98963324487955E-16,2.02410783632255E-16, 1.)); #116868=DIRECTION('ref_axis',(1.,5.45373146571684E-16,4.98963324487955E-16)); #116869=DIRECTION('',(5.99842513626775E-16,-1.,4.17524706999709E-17)); #116870=DIRECTION('center_axis',(-2.34239574368749E-15,-4.17524706999724E-17, -1.)); #116871=DIRECTION('ref_axis',(-1.,-4.17440484998092E-16,2.34239574368749E-15)); #116872=DIRECTION('center_axis',(-1.33437913447225E-15,0.,-1.)); #116873=DIRECTION('ref_axis',(-1.,0.,1.33437913447225E-15)); #116874=DIRECTION('center_axis',(5.99842513626775E-16,-1.,4.17524706999709E-17)); #116875=DIRECTION('ref_axis',(8.1941428380497E-16,4.17524706999714E-17, 1.)); #116876=DIRECTION('center_axis',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116877=DIRECTION('ref_axis',(-1.,0.,4.37857169716223E-15)); #116878=DIRECTION('',(-6.00573290985063E-16,1.,-4.17524706999708E-17)); #116879=DIRECTION('center_axis',(0.,1.,0.)); #116880=DIRECTION('ref_axis',(0.,0.,1.)); #116881=DIRECTION('center_axis',(0.,1.,0.)); #116882=DIRECTION('ref_axis',(0.,0.,1.)); #116883=DIRECTION('center_axis',(0.,0.,-1.)); #116884=DIRECTION('ref_axis',(-1.,0.,0.)); #116885=DIRECTION('',(0.,0.,-1.)); #116886=DIRECTION('center_axis',(-2.34239574368749E-15,-4.17524706999724E-17, -1.)); #116887=DIRECTION('ref_axis',(-1.,-4.17440484998092E-16,2.34239574368749E-15)); #116888=DIRECTION('',(-2.34239574368749E-15,-4.17524706999724E-17,-1.)); #116889=DIRECTION('center_axis',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116890=DIRECTION('ref_axis',(-1.,-5.99842513626775E-16,3.09898116809059E-15)); #116891=DIRECTION('',(3.03774882813322E-15,4.17524706999726E-17,1.)); #116892=DIRECTION('',(1.,5.99842513626775E-16,-3.09898116809059E-15)); #116893=DIRECTION('center_axis',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116894=DIRECTION('ref_axis',(0.,4.17524706999709E-17,1.)); #116895=DIRECTION('center_axis',(-5.99842513626775E-16,1.,-4.17524706999709E-17)); #116896=DIRECTION('ref_axis',(-1.,0.,4.54579506145734E-15)); #116897=DIRECTION('center_axis',(-1.,-4.3918420069449E-16,1.65001986112763E-15)); #116898=DIRECTION('ref_axis',(1.65001986112763E-15,-1.27168963551194E-17, 1.)); #116899=DIRECTION('center_axis',(5.99842513626775E-16,-1.,4.17524706999709E-17)); #116900=DIRECTION('ref_axis',(8.1941428380497E-16,4.17524706999714E-17, 1.)); #116901=DIRECTION('center_axis',(0.,1.,0.)); #116902=DIRECTION('ref_axis',(0.,0.,1.)); #116903=DIRECTION('center_axis',(0.,-1.,0.)); #116904=DIRECTION('ref_axis',(1.,0.,0.)); #116905=DIRECTION('center_axis',(-3.04950363391514E-15,0.,-1.)); #116906=DIRECTION('ref_axis',(-1.,0.,3.04950363391514E-15)); #116907=DIRECTION('center_axis',(0.,1.,0.)); #116908=DIRECTION('ref_axis',(0.,0.,1.)); #116909=DIRECTION('axis',(0.,0.,1.)); #116910=DIRECTION('refdir',(1.,0.,0.)); #116911=DIRECTION('center_axis',(0.,1.,0.)); #116912=DIRECTION('ref_axis',(0.,0.,1.)); #116913=DIRECTION('',(-1.,0.,0.)); #116914=DIRECTION('center_axis',(0.,0.,-1.)); #116915=DIRECTION('ref_axis',(-1.,0.,0.)); #116916=DIRECTION('center_axis',(0.,0.,-1.)); #116917=DIRECTION('ref_axis',(-1.,0.,0.)); #116918=DIRECTION('center_axis',(0.,-1.,0.)); #116919=DIRECTION('ref_axis',(0.,0.,-1.)); #116920=DIRECTION('',(1.,0.,-2.69546091939735E-15)); #116921=DIRECTION('center_axis',(2.69546091939735E-15,0.,1.)); #116922=DIRECTION('ref_axis',(1.,0.,-2.69546091939735E-15)); #116923=DIRECTION('center_axis',(2.69546091939735E-15,0.,1.)); #116924=DIRECTION('ref_axis',(1.,0.,-2.69546091939735E-15)); #116925=DIRECTION('axis',(0.,0.,1.)); #116926=DIRECTION('refdir',(1.,0.,0.)); #116927=DIRECTION('center_axis',(0.623319506822983,0.781967257891245,0.)); #116928=DIRECTION('ref_axis',(0.,0.,-1.)); #116929=DIRECTION('center_axis',(0.,-1.,0.)); #116930=DIRECTION('ref_axis',(-0.999961923064171,0.,-0.00872653549837398)); #116931=DIRECTION('center_axis',(0.,0.,1.)); #116932=DIRECTION('ref_axis',(1.,0.,0.)); #116933=DIRECTION('center_axis',(0.623319506822984,-0.781967257891244,0.)); #116934=DIRECTION('ref_axis',(0.,0.,-1.)); #116935=DIRECTION('center_axis',(0.,0.,1.)); #116936=DIRECTION('ref_axis',(4.60100714722402E-16,-1.,0.)); #116937=DIRECTION('center_axis',(0.,0.,-1.)); #116938=DIRECTION('ref_axis',(4.03826165200391E-16,-1.,0.)); #116939=DIRECTION('center_axis',(0.,-1.,0.)); #116940=DIRECTION('ref_axis',(0.,0.,-1.)); #116941=DIRECTION('center_axis',(1.6658064559567E-16,-1.,0.)); #116942=DIRECTION('ref_axis',(0.704014724455969,0.,-0.710185375623285)); #116943=DIRECTION('center_axis',(0.,-1.,0.)); #116944=DIRECTION('ref_axis',(0.,0.,-1.)); #116945=DIRECTION('',(1.6658064559567E-16,-1.,0.)); #116946=DIRECTION('',(-1.6658064559567E-16,1.,0.)); #116947=DIRECTION('center_axis',(-0.623319506822982,-0.781967257891246, 0.)); #116948=DIRECTION('ref_axis',(0.,0.,-1.)); #116949=DIRECTION('center_axis',(0.,0.,1.)); #116950=DIRECTION('ref_axis',(1.,0.,0.)); #116951=DIRECTION('center_axis',(-0.623319506822984,0.781967257891244,0.)); #116952=DIRECTION('ref_axis',(0.,0.,-1.)); #116953=DIRECTION('center_axis',(0.,0.,1.)); #116954=DIRECTION('ref_axis',(-4.60100714722402E-16,1.,0.)); #116955=DIRECTION('center_axis',(0.,0.,-1.)); #116956=DIRECTION('ref_axis',(-5.04782706500489E-16,1.,0.)); #116957=DIRECTION('center_axis',(0.,1.,0.)); #116958=DIRECTION('ref_axis',(0.,0.,-1.)); #116959=DIRECTION('center_axis',(-3.33161291191341E-16,1.,0.)); #116960=DIRECTION('ref_axis',(-0.704014724455969,0.,-0.710185375623285)); #116961=DIRECTION('',(3.33161291191341E-16,-1.,0.)); #116962=DIRECTION('',(-3.33161291191341E-16,1.,0.)); #116963=DIRECTION('center_axis',(0.,0.,-1.)); #116964=DIRECTION('ref_axis',(-0.789473684210527,-0.613784409983715,0.)); #116965=DIRECTION('center_axis',(0.,0.,-1.)); #116966=DIRECTION('ref_axis',(-5.11223016358225E-16,1.,0.)); #116967=DIRECTION('center_axis',(0.999961923064171,3.33148605430235E-16, 0.00872653549837393)); #116968=DIRECTION('ref_axis',(-3.33161291191341E-16,1.,0.)); #116969=DIRECTION('',(3.33161291191341E-16,-1.,0.)); #116970=DIRECTION('center_axis',(0.,0.,1.)); #116971=DIRECTION('ref_axis',(1.,0.,0.)); #116972=DIRECTION('center_axis',(0.,0.,1.)); #116973=DIRECTION('ref_axis',(3.56544359701518E-16,-1.,0.)); #116974=DIRECTION('',(1.6658064559567E-16,-1.,0.)); #116975=DIRECTION('center_axis',(0.,0.,1.)); #116976=DIRECTION('ref_axis',(-8.55706463283643E-16,1.,0.)); #116977=DIRECTION('',(-3.33161291191341E-16,1.,0.)); #116978=DIRECTION('center_axis',(-0.626729493013512,0.779236897603692,0.)); #116979=DIRECTION('ref_axis',(0.,0.,1.)); #116980=DIRECTION('center_axis',(0.,-1.,0.)); #116981=DIRECTION('ref_axis',(0.999961923064171,0.,-0.00872653549837139)); #116982=DIRECTION('center_axis',(0.,0.,-1.)); #116983=DIRECTION('ref_axis',(-1.,0.,0.)); #116984=DIRECTION('center_axis',(-0.626729493013511,-0.779236897603693, 0.)); #116985=DIRECTION('ref_axis',(0.,0.,1.)); #116986=DIRECTION('center_axis',(0.,0.,-1.)); #116987=DIRECTION('ref_axis',(4.60100714722402E-16,-1.,0.)); #116988=DIRECTION('center_axis',(0.,0.,1.)); #116989=DIRECTION('ref_axis',(4.0897841308658E-16,-1.,0.)); #116990=DIRECTION('center_axis',(0.,-1.,0.)); #116991=DIRECTION('ref_axis',(0.,0.,1.)); #116992=DIRECTION('center_axis',(3.33161291191341E-16,-1.,0.)); #116993=DIRECTION('ref_axis',(-0.710185375623287,0.,0.704014724455967)); #116994=DIRECTION('center_axis',(-2.18556161442075E-15,-1.,0.)); #116995=DIRECTION('ref_axis',(0.,0.,1.)); #116996=DIRECTION('',(-3.33161291191341E-16,1.,0.)); #116997=DIRECTION('center_axis',(0.62672949301351,-0.779236897603693,0.)); #116998=DIRECTION('ref_axis',(0.,0.,1.)); #116999=DIRECTION('center_axis',(0.,0.,-1.)); #117000=DIRECTION('ref_axis',(-1.,0.,0.)); #117001=DIRECTION('center_axis',(0.62672949301351,0.779236897603693,0.)); #117002=DIRECTION('ref_axis',(0.,0.,1.)); #117003=DIRECTION('center_axis',(0.,0.,1.)); #117004=DIRECTION('ref_axis',(-5.11223016358225E-16,1.,0.)); #117005=DIRECTION('center_axis',(0.,1.,0.)); #117006=DIRECTION('ref_axis',(0.,0.,1.)); #117007=DIRECTION('center_axis',(-1.6658064559567E-16,1.,0.)); #117008=DIRECTION('ref_axis',(0.710185375623287,0.,0.704014724455967)); #117009=DIRECTION('',(1.6658064559567E-16,-1.,0.)); #117010=DIRECTION('',(-1.6658064559567E-16,1.,0.)); #117011=DIRECTION('center_axis',(-0.999961923064171,-1.66574302715118E-16, 0.00872653549837393)); #117012=DIRECTION('ref_axis',(1.6658064559567E-16,-1.,0.)); #117013=DIRECTION('center_axis',(0.,0.,1.)); #117014=DIRECTION('ref_axis',(1.,0.,0.)); #117015=DIRECTION('center_axis',(0.,0.,-1.)); #117016=DIRECTION('ref_axis',(4.01243371167168E-17,1.,0.)); #117017=DIRECTION('center_axis',(0.,0.,-1.)); #117018=DIRECTION('ref_axis',(-1.,-1.32237105086919E-14,0.)); #117019=DIRECTION('center_axis',(0.,0.,-1.)); #117020=DIRECTION('ref_axis',(-4.01243371167158E-17,-1.,0.)); #117021=DIRECTION('center_axis',(0.,0.,-1.)); #117022=DIRECTION('ref_axis',(1.,-1.31080512331057E-14,0.)); #117023=DIRECTION('center_axis',(0.,0.,-1.)); #117024=DIRECTION('ref_axis',(0.789473684210526,0.613784409983716,0.)); #117025=DIRECTION('center_axis',(0.0946252212661811,-0.700896544972165, 0.706958344420949)); #117026=DIRECTION('ref_axis',(0.991160898270604,4.45837592566008E-13,-0.132665269529777)); #117027=DIRECTION('center_axis',(0.0437722306295567,-0.265079058078615, 0.963232622367965)); #117028=DIRECTION('ref_axis',(0.920886797173709,-0.363133047728185,-0.141781156853754)); #117029=DIRECTION('center_axis',(0.919879607267617,-0.392200851775178,-2.06867391334226E-11)); #117030=DIRECTION('ref_axis',(-1.45607498062305E-11,1.85940501840765E-11, -1.)); #117031=DIRECTION('center_axis',(0.994022436994046,0.109175980657001,0.)); #117032=DIRECTION('ref_axis',(0.,0.,-1.)); #117033=DIRECTION('center_axis',(0.,0.,1.)); #117034=DIRECTION('ref_axis',(1.,0.,0.)); #117035=DIRECTION('center_axis',(0.994022436994045,-0.109175980657007,0.)); #117036=DIRECTION('ref_axis',(0.,0.,-1.)); #117037=DIRECTION('center_axis',(0.,0.,1.)); #117038=DIRECTION('ref_axis',(3.48746552382672E-17,1.,0.)); #117039=DIRECTION('center_axis',(0.,0.,-1.)); #117040=DIRECTION('ref_axis',(4.64995403176896E-17,1.,0.)); #117041=DIRECTION('center_axis',(0.91987960726285,0.392200851786359,-1.23183610202128E-15)); #117042=DIRECTION('ref_axis',(-3.96336506920812E-12,9.29265413524837E-12, -1.)); #117043=DIRECTION('center_axis',(0.0437722306295346,0.26507905807844,-0.963232622368014)); #117044=DIRECTION('ref_axis',(-0.920886797173586,-0.363133047728499,-0.141781156853741)); #117045=DIRECTION('center_axis',(0.0946252212579267,0.700896544900229,-0.706958344493372)); #117046=DIRECTION('ref_axis',(-0.991160898270599,-2.49756470972762E-11, -0.132665269529817)); #117047=DIRECTION('center_axis',(0.,1.,0.)); #117048=DIRECTION('ref_axis',(0.704014724455968,0.,0.710185375623286)); #117049=DIRECTION('center_axis',(8.74224645768306E-15,-1.,0.)); #117050=DIRECTION('ref_axis',(0.999961923064171,8.74191357972569E-15,0.00872653549837581)); #117051=DIRECTION('',(0.,1.,0.)); #117052=DIRECTION('center_axis',(0.366838843879802,-0.930284506278015,4.00859183860465E-16)); #117053=DIRECTION('ref_axis',(0.930229577646946,0.366817183887207,0.0108667601355669)); #117054=DIRECTION('center_axis',(0.988504114348823,-0.151193967854041,0.)); #117055=DIRECTION('ref_axis',(0.15118821085103,0.988466475141095,0.00872653549837131)); #117056=DIRECTION('center_axis',(0.,0.,1.)); #117057=DIRECTION('ref_axis',(1.,0.,0.)); #117058=DIRECTION('center_axis',(0.,0.,-1.)); #117059=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,0.)); #117060=DIRECTION('center_axis',(0.,0.,1.)); #117061=DIRECTION('ref_axis',(-1.,-1.73050821307055E-15,0.)); #117062=DIRECTION('center_axis',(0.,0.,1.)); #117063=DIRECTION('ref_axis',(1.58236625073427E-13,1.,0.)); #117064=DIRECTION('center_axis',(0.,0.,1.)); #117065=DIRECTION('ref_axis',(1.,-8.9509045503649E-16,0.)); #117066=DIRECTION('center_axis',(0.,0.,1.)); #117067=DIRECTION('ref_axis',(-1.59217311411833E-13,-1.,0.)); #117068=DIRECTION('center_axis',(-0.366838843879802,-0.930284506278015, 0.)); #117069=DIRECTION('ref_axis',(0.,0.,1.)); #117070=DIRECTION('center_axis',(0.988504114348825,0.151193967854029,0.)); #117071=DIRECTION('ref_axis',(-0.151188210851017,0.988466475141097,0.00872653549833644)); #117072=DIRECTION('center_axis',(0.,-1.,0.)); #117073=DIRECTION('ref_axis',(0.,0.,1.)); #117074=DIRECTION('center_axis',(-2.41022013763061E-16,-1.,0.)); #117075=DIRECTION('ref_axis',(-0.704014724455967,0.,0.710185375623287)); #117076=DIRECTION('',(-2.41022013763061E-16,-1.,0.)); #117077=DIRECTION('center_axis',(-0.368747482508346,-0.851824167717945, 0.372049568519286)); #117078=DIRECTION('ref_axis',(-1.31128703695884E-14,-0.400255747517546, -0.916403479139604)); #117079=DIRECTION('center_axis',(-0.12559349523364,-0.984316344488166,0.123885462942393)); #117080=DIRECTION('ref_axis',(-0.0123315403317934,-0.123315693542749,-0.992290871085246)); #117081=DIRECTION('center_axis',(-0.00394555127476471,-0.999992216282276, 0.)); #117082=DIRECTION('ref_axis',(0.,0.,-1.)); #117083=DIRECTION('center_axis',(0.,0.,1.)); #117084=DIRECTION('ref_axis',(1.,0.,0.)); #117085=DIRECTION('center_axis',(-0.204411426245358,-0.978885064152242, 0.)); #117086=DIRECTION('ref_axis',(0.,0.,-1.)); #117087=DIRECTION('center_axis',(0.,0.,1.)); #117088=DIRECTION('ref_axis',(1.,-1.31851574168299E-14,0.)); #117089=DIRECTION('center_axis',(-0.204411426274696,0.978885064146115,0.)); #117090=DIRECTION('ref_axis',(0.978847790698155,0.20440364280865,-0.00872659451876364)); #117091=DIRECTION('center_axis',(0.00394555124254479,-0.999992216282403, -8.4669759166101E-16)); #117092=DIRECTION('ref_axis',(3.54838643157851E-10,1.40089165115102E-12, -1.)); #117093=DIRECTION('center_axis',(0.125593495232501,-0.984316344488475,-0.123885462941092)); #117094=DIRECTION('ref_axis',(-0.0123315403317104,0.123315693541444,-0.992290871085409)); #117095=DIRECTION('center_axis',(-0.368747482508803,0.851824167717584,0.37204956851966)); #117096=DIRECTION('ref_axis',(0.916367063631697,0.400255747518053,-0.00816953302127686)); #117097=DIRECTION('center_axis',(0.00891476000920654,-1.04548100122998E-13, 0.999960262737464)); #117098=DIRECTION('ref_axis',(-3.93386111087653E-14,1.,1.04902962956708E-13)); #117099=DIRECTION('center_axis',(0.104261931196056,-0.99454987290898,0.)); #117100=DIRECTION('ref_axis',(0.,0.,1.)); #117101=DIRECTION('center_axis',(0.,0.,1.)); #117102=DIRECTION('ref_axis',(0.969023629178371,-0.246968026460875,0.)); #117103=DIRECTION('center_axis',(0.,0.,-1.)); #117104=DIRECTION('ref_axis',(1.,-1.41875378052438E-14,0.)); #117105=DIRECTION('center_axis',(-0.00891476000916932,7.38746863613778E-14, -0.999960262737465)); #117106=DIRECTION('ref_axis',(4.8080524688491E-14,-1.,-7.43062654276679E-14)); #117107=DIRECTION('center_axis',(-0.104261931196083,-0.994549872908978, -4.55724396085728E-16)); #117108=DIRECTION('ref_axis',(-4.37095678986283E-15,0.,1.)); #117109=DIRECTION('center_axis',(1.,5.00459728188469E-14,0.)); #117110=DIRECTION('ref_axis',(3.39980650502393E-14,-0.70710678118655,0.707106781186545)); #117111=DIRECTION('center_axis',(-1.,-4.8080524688491E-14,0.)); #117112=DIRECTION('ref_axis',(4.8080524688491E-14,-1.,-9.6161049376982E-14)); #117113=DIRECTION('',(1.,5.00459728188469E-14,0.)); #117114=DIRECTION('center_axis',(0.368747482508801,0.851824167717591,0.372049568519645)); #117115=DIRECTION('ref_axis',(5.24514814783538E-14,0.400255747517995,-0.916403479139408)); #117116=DIRECTION('center_axis',(0.125593495233577,0.984316344488197,0.123885462942211)); #117117=DIRECTION('ref_axis',(0.0123315403318109,0.123315693542563,-0.992290871085269)); #117118=DIRECTION('center_axis',(0.00394555127487836,0.999992216282276, -1.72458341346816E-17)); #117119=DIRECTION('ref_axis',(-4.37095678986284E-15,0.,-1.)); #117120=DIRECTION('center_axis',(0.,0.,1.)); #117121=DIRECTION('ref_axis',(-1.,6.40713108983238E-14,0.)); #117122=DIRECTION('center_axis',(0.204411426245925,0.978885064152123,0.)); #117123=DIRECTION('ref_axis',(0.,0.,-1.)); #117124=DIRECTION('center_axis',(0.,0.,1.)); #117125=DIRECTION('ref_axis',(-1.,-1.3262263600554E-14,0.)); #117126=DIRECTION('center_axis',(0.204411426245798,-0.97888506415215,0.)); #117127=DIRECTION('ref_axis',(-0.97884779121408,-0.204403642886229,-0.00872653483094539)); #117128=DIRECTION('center_axis',(-0.00394555124243662,0.999992216282404, 2.46033061846471E-16)); #117129=DIRECTION('ref_axis',(-3.54838643157846E-10,-1.39979891195354E-12, -1.)); #117130=DIRECTION('center_axis',(-0.125593495234339,0.984316344487988,-0.123885462943099)); #117131=DIRECTION('ref_axis',(0.012331540331859,-0.123315693543454,-0.992290871085157)); #117132=DIRECTION('center_axis',(0.368747482508343,-0.851824167717955,0.372049568519268)); #117133=DIRECTION('ref_axis',(-0.916367063631944,-0.400255747517488,-0.00816953302127685)); #117134=DIRECTION('center_axis',(0.0089147600092186,-1.62147601038912E-13, -0.999960262737464)); #117135=DIRECTION('ref_axis',(0.978847296029637,0.204406014181734,0.00872653549837576)); #117136=DIRECTION('center_axis',(0.104261931196081,-0.994549872908978,5.43391814981088E-16)); #117137=DIRECTION('ref_axis',(0.994512003497289,0.104257961221217,0.00872653549837144)); #117138=DIRECTION('center_axis',(0.,0.,1.)); #117139=DIRECTION('ref_axis',(-0.969023629178378,0.246968026460844,0.)); #117140=DIRECTION('center_axis',(0.,0.,-1.)); #117141=DIRECTION('ref_axis',(-1.,-1.4283920534899E-14,0.)); #117142=DIRECTION('center_axis',(-0.00891476000920562,-1.00177317022992E-13, 0.999960262737464)); #117143=DIRECTION('ref_axis',(0.978847296029643,-0.204406014181707,0.00872653549837577)); #117144=DIRECTION('center_axis',(-0.104261931196056,-0.99454987290898,1.08678362996218E-15)); #117145=DIRECTION('ref_axis',(0.994512003497291,-0.104257961221193,0.00872653549837143)); #117146=DIRECTION('center_axis',(-1.,3.76763332785886E-14,0.)); #117147=DIRECTION('ref_axis',(2.78165986774685E-14,0.70710678118655,0.707106781186545)); #117148=DIRECTION('center_axis',(1.,-3.93386111087654E-14,0.)); #117149=DIRECTION('ref_axis',(3.93386111087654E-14,1.,4.37095678986282E-15)); #117150=DIRECTION('',(-1.,3.76763332785886E-14,0.)); #117151=DIRECTION('center_axis',(0.,0.,1.)); #117152=DIRECTION('ref_axis',(1.,0.,0.)); #117153=DIRECTION('center_axis',(-0.994105142884135,0.108420315860609,0.)); #117154=DIRECTION('ref_axis',(-0.1084161875472,-0.994067290406402,0.00872653549837568)); #117155=DIRECTION('center_axis',(0.,0.,1.)); #117156=DIRECTION('ref_axis',(6.96751416381966E-17,1.,0.)); #117157=DIRECTION('center_axis',(0.994105142884135,0.108420315860607,0.)); #117158=DIRECTION('ref_axis',(0.,0.,1.)); #117159=DIRECTION('center_axis',(0.,0.,-1.)); #117160=DIRECTION('ref_axis',(4.64995403176896E-17,1.,0.)); #117161=DIRECTION('center_axis',(2.41022013763061E-16,1.,0.)); #117162=DIRECTION('ref_axis',(-0.704014724455968,0.,0.710185375623286)); #117163=DIRECTION('center_axis',(0.,1.,0.)); #117164=DIRECTION('ref_axis',(-0.999961923064171,0.,0.00872653549837575)); #117165=DIRECTION('',(2.41022013763061E-16,1.,0.)); #117166=DIRECTION('center_axis',(0.,-1.,0.)); #117167=DIRECTION('ref_axis',(0.,0.,1.)); #117168=DIRECTION('',(-2.41022013763061E-16,-1.,0.)); #117169=DIRECTION('center_axis',(0.390245277422868,-0.805832268087181,-0.445357136642738)); #117170=DIRECTION('ref_axis',(-0.531074044870216,-0.592143864030921,0.606074255480067)); #117171=DIRECTION('center_axis',(0.00872612244225425,0.00972956579383588, 0.999914591520989)); #117172=DIRECTION('ref_axis',(0.1084161875472,-0.994067290406402,0.00872653549837138)); #117173=DIRECTION('center_axis',(0.,0.,-1.)); #117174=DIRECTION('ref_axis',(-1.,0.,0.)); #117175=DIRECTION('center_axis',(0.995498804221297,0.0947741040261937,0.)); #117176=DIRECTION('ref_axis',(-0.0947704953187164,0.995460898677211,0.00872653549837135)); #117177=DIRECTION('center_axis',(0.,0.,-1.)); #117178=DIRECTION('ref_axis',(9.76532446890873E-17,1.,0.)); #117179=DIRECTION('center_axis',(-0.995498804221297,0.0947741040261915, 0.)); #117180=DIRECTION('ref_axis',(0.,0.,1.)); #117181=DIRECTION('center_axis',(0.,0.,1.)); #117182=DIRECTION('ref_axis',(1.17079199728468E-16,1.,0.)); #117183=DIRECTION('center_axis',(-0.419202868917958,0.381189099376045,-0.823992612350277)); #117184=DIRECTION('ref_axis',(-0.609635962443205,0.554353514017703,0.566600542527247)); #117185=DIRECTION('center_axis',(0.00872626076746211,-0.00793495400319034, 0.999930442019836)); #117186=DIRECTION('ref_axis',(-0.999961923064171,0.,0.00872653549836265)); #117187=DIRECTION('center_axis',(0.,-1.,0.)); #117188=DIRECTION('ref_axis',(0.704014724455968,0.,0.710185375623286)); #117189=DIRECTION('center_axis',(0.,-1.,0.)); #117190=DIRECTION('ref_axis',(0.999961923064171,0.,0.00872653549837139)); #117191=DIRECTION('',(0.,-1.,0.)); #117192=DIRECTION('center_axis',(0.,1.,0.)); #117193=DIRECTION('ref_axis',(0.,0.,1.)); #117194=DIRECTION('',(0.,1.,0.)); #117195=DIRECTION('center_axis',(0.419202868917948,0.381189099376044,-0.823992612350282)); #117196=DIRECTION('ref_axis',(0.609635962443207,0.554353514017714,0.566600542527235)); #117197=DIRECTION('center_axis',(-0.00872626076746211,-0.00793495400319034, 0.999930442019836)); #117198=DIRECTION('ref_axis',(0.0947704953187132,0.995460898677211,0.00872653549837135)); #117199=DIRECTION('center_axis',(-0.390245277422884,-0.80583226808717,-0.445357136642744)); #117200=DIRECTION('ref_axis',(0.531074044870218,-0.592143864030937,0.60607425548005)); #117201=DIRECTION('center_axis',(-0.00872612244225425,0.00972956579383588, 0.999914591520989)); #117202=DIRECTION('ref_axis',(0.999961923064171,-8.74191357972564E-15,0.00872653549837576)); #117203=DIRECTION('center_axis',(-0.00872626375392583,0.00789170835169658, -0.999930784234683)); #117204=DIRECTION('ref_axis',(-0.999961923064171,-8.74191357972564E-15, 0.00872653549837275)); #117205=DIRECTION('center_axis',(0.,0.,-1.)); #117206=DIRECTION('ref_axis',(-0.111607142857143,0.993752406620112,0.)); #117207=DIRECTION('center_axis',(0.,0.,-1.)); #117208=DIRECTION('ref_axis',(1.17079199728468E-16,1.,0.)); #117209=DIRECTION('center_axis',(0.00872626375392583,0.00789170835169657, -0.999930784234683)); #117210=DIRECTION('ref_axis',(0.100183608595079,0.994930697157879,0.00872653549837325)); #117211=DIRECTION('center_axis',(0.999961923064171,0.,0.00872653549837393)); #117212=DIRECTION('ref_axis',(0.,1.,0.)); #117213=DIRECTION('',(0.,-1.,0.)); #117214=DIRECTION('center_axis',(0.,0.,1.)); #117215=DIRECTION('ref_axis',(1.,0.,0.)); #117216=DIRECTION('center_axis',(-0.00657356599989797,-0.00872634695611062, 0.999940317768439)); #117217=DIRECTION('ref_axis',(-0.961136065230694,-0.275937151704841,-0.00872653550264618)); #117218=DIRECTION('center_axis',(-0.00907902195384119,-4.11642994260752E-14, 0.999958784830836)); #117219=DIRECTION('ref_axis',(-0.96113610883256,-0.275936999832211,-0.00872653549836845)); #117220=DIRECTION('center_axis',(0.00657356599990883,-0.00872634695607272, 0.999940317768439)); #117221=DIRECTION('ref_axis',(-4.07955967053865E-14,-0.999961923064134, -0.00872653550263165)); #117222=DIRECTION('center_axis',(0.0090790219538607,5.45731806874337E-15, 0.999958784830836)); #117223=DIRECTION('ref_axis',(-4.07955967053863E-14,-1.,5.82794238648376E-15)); #117224=DIRECTION('center_axis',(-0.0107926745736018,-0.00872602724637198, 0.999903682673508)); #117225=DIRECTION('ref_axis',(-0.977790033581184,0.209405104540052,-0.00872653550257619)); #117226=DIRECTION('center_axis',(-0.00892439785878227,1.49853627599024E-14, 0.999960176768484)); #117227=DIRECTION('ref_axis',(-0.977790111736747,0.209404739602605,-0.00872653549836852)); #117228=DIRECTION('center_axis',(0.0107926745735844,-0.00872602724641556, 0.999903682673508)); #117229=DIRECTION('ref_axis',(4.66235390918701E-14,-0.999961923064134,-0.00872653550260539)); #117230=DIRECTION('center_axis',(0.00892439785880493,6.29580818798141E-15, 0.999960176768484)); #117231=DIRECTION('ref_axis',(5.24514814783538E-14,-1.,5.82794238648376E-15)); #117232=DIRECTION('center_axis',(-1.,-4.55066555563675E-14,0.)); #117233=DIRECTION('ref_axis',(3.39980650502392E-14,-0.707106781186548,-0.707106781186547)); #117234=DIRECTION('',(1.,4.55066555563675E-14,0.)); #117235=DIRECTION('',(-1.,-4.55066555563675E-14,0.)); #117236=DIRECTION('center_axis',(0.,0.,-1.)); #117237=DIRECTION('ref_axis',(-1.,0.,0.)); #117238=DIRECTION('center_axis',(0.,0.,-1.)); #117239=DIRECTION('ref_axis',(-9.55253321127847E-16,1.,0.)); #117240=DIRECTION('center_axis',(0.,0.,1.)); #117241=DIRECTION('ref_axis',(-9.11832715622036E-16,1.,0.)); #117242=DIRECTION('center_axis',(-1.,3.76763332785886E-14,0.)); #117243=DIRECTION('ref_axis',(-2.47258654910831E-14,-0.707106781186548, -0.707106781186548)); #117244=DIRECTION('',(-1.,3.76763332785886E-14,0.)); #117245=DIRECTION('',(1.,-3.76763332785886E-14,0.)); #117246=DIRECTION('center_axis',(0.,0.,1.)); #117247=DIRECTION('ref_axis',(1.,0.,0.)); #117248=DIRECTION('center_axis',(0.,0.,1.)); #117249=DIRECTION('ref_axis',(3.99630335073173E-17,1.,0.)); #117250=DIRECTION('center_axis',(0.,0.,-1.)); #117251=DIRECTION('ref_axis',(-1.99815167536586E-17,1.,0.)); #117252=DIRECTION('center_axis',(-3.76763332785886E-14,-1.,0.)); #117253=DIRECTION('ref_axis',(1.,-3.76763332785886E-14,0.)); #117254=DIRECTION('',(-1.,3.76763332785886E-14,0.)); #117255=DIRECTION('center_axis',(-0.00892319097836902,3.12023057553158E-16, 0.999960187538866)); #117256=DIRECTION('ref_axis',(-0.977922370447101,0.208786218312699,-0.00872653549837426)); #117257=DIRECTION('center_axis',(0.,0.,1.)); #117258=DIRECTION('ref_axis',(0.982656981576338,0.185432619997886,0.)); #117259=DIRECTION('center_axis',(0.,0.,-1.)); #117260=DIRECTION('ref_axis',(1.58166792322502E-13,1.,0.)); #117261=DIRECTION('center_axis',(0.,0.,1.)); #117262=DIRECTION('ref_axis',(1.,0.,0.)); #117263=DIRECTION('center_axis',(0.00657356599990042,0.00872634695610128, 0.999940317768439)); #117264=DIRECTION('ref_axis',(0.961136065230684,0.275937151704876,-0.00872653550264619)); #117265=DIRECTION('center_axis',(0.00907902195384958,2.28875126124284E-14, 0.999958784830836)); #117266=DIRECTION('ref_axis',(0.961136108832551,0.275936999832241,-0.00872653549837139)); #117267=DIRECTION('center_axis',(-0.00657356599991545,0.00872634695608085, 0.999940317768439)); #117268=DIRECTION('ref_axis',(-4.66235390918701E-14,0.999961923064134,-0.00872653550264036)); #117269=DIRECTION('center_axis',(-0.00907902195386349,-1.49925516021129E-14, 0.999958784830836)); #117270=DIRECTION('ref_axis',(-4.66235390918701E-14,1.,1.45698559662094E-14)); #117271=DIRECTION('center_axis',(0.0107926745735918,0.00872602724636703, 0.999903682673508)); #117272=DIRECTION('ref_axis',(0.977790033581185,-0.209405104540045,-0.00872653550256751)); #117273=DIRECTION('center_axis',(0.00892439785878303,-1.11873227089743E-14, 0.999960176768484)); #117274=DIRECTION('ref_axis',(0.977790111736748,-0.2094047396026,-0.00872653549836848)); #117275=DIRECTION('center_axis',(-0.0107926745735708,0.00872602724639333, 0.999903682673508)); #117276=DIRECTION('ref_axis',(5.24514814783539E-14,0.999961923064135,-0.00872653550258208)); #117277=DIRECTION('center_axis',(-0.00892439785880206,-1.99808990340057E-14, 0.999960176768484)); #117278=DIRECTION('ref_axis',(4.66235390918701E-14,1.,2.03977983526931E-14)); #117279=DIRECTION('center_axis',(1.,-4.97055240241609E-14,0.)); #117280=DIRECTION('ref_axis',(3.39980650502393E-14,0.707106781186548,-0.707106781186548)); #117281=DIRECTION('',(-1.,4.97055240241609E-14,0.)); #117282=DIRECTION('',(1.,-4.97055240241609E-14,0.)); #117283=DIRECTION('center_axis',(0.,0.,-1.)); #117284=DIRECTION('ref_axis',(-1.,0.,0.)); #117285=DIRECTION('center_axis',(0.,0.,-1.)); #117286=DIRECTION('ref_axis',(0.,-1.,0.)); #117287=DIRECTION('center_axis',(0.,0.,1.)); #117288=DIRECTION('ref_axis',(5.7894140674415E-17,-1.,0.)); #117289=DIRECTION('center_axis',(1.,5.00459728188469E-14,0.)); #117290=DIRECTION('ref_axis',(-3.70887982366246E-14,0.707106781186548,-0.707106781186547)); #117291=DIRECTION('',(1.,5.00459728188469E-14,0.)); #117292=DIRECTION('',(-1.,-5.00459728188469E-14,0.)); #117293=DIRECTION('center_axis',(0.,0.,1.)); #117294=DIRECTION('ref_axis',(1.,0.,0.)); #117295=DIRECTION('center_axis',(0.,0.,1.)); #117296=DIRECTION('ref_axis',(-7.99260670146326E-17,-1.,0.)); #117297=DIRECTION('center_axis',(0.,0.,-1.)); #117298=DIRECTION('ref_axis',(-3.99630335073163E-17,-1.,0.)); #117299=DIRECTION('center_axis',(-5.00459728188469E-14,1.,0.)); #117300=DIRECTION('ref_axis',(-1.,-5.00459728188469E-14,0.)); #117301=DIRECTION('',(1.,5.00459728188469E-14,0.)); #117302=DIRECTION('center_axis',(0.00892319097837043,6.29574494808381E-15, 0.999960187538866)); #117303=DIRECTION('ref_axis',(0.977922370447102,-0.208786218312697,-0.00872653549837426)); #117304=DIRECTION('center_axis',(0.,0.,1.)); #117305=DIRECTION('ref_axis',(-0.982656981576338,-0.185432619997884,0.)); #117306=DIRECTION('center_axis',(0.,0.,-1.)); #117307=DIRECTION('ref_axis',(-1.59281254530484E-13,-1.,0.)); #117308=DIRECTION('center_axis',(0.,0.,1.)); #117309=DIRECTION('ref_axis',(1.,0.,0.)); #117310=DIRECTION('center_axis',(0.,0.,1.)); #117311=DIRECTION('ref_axis',(6.12323399573676E-17,-1.,0.)); #117312=DIRECTION('center_axis',(0.,0.,1.)); #117313=DIRECTION('ref_axis',(-1.11022302462516E-16,-1.,0.)); #117314=DIRECTION('center_axis',(0.,0.,-1.)); #117315=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,0.)); #117316=DIRECTION('center_axis',(0.,0.,-1.)); #117317=DIRECTION('ref_axis',(2.22044604925031E-16,-1.,0.)); #117318=DIRECTION('center_axis',(-1.,-4.55066555563675E-14,0.)); #117319=DIRECTION('ref_axis',(-3.39980650502393E-14,0.70710678118655,0.707106781186545)); #117320=DIRECTION('',(-1.,-4.55066555563675E-14,0.)); #117321=DIRECTION('center_axis',(1.,4.37095678986282E-14,0.)); #117322=DIRECTION('ref_axis',(-4.37095678986282E-14,1.,0.)); #117323=DIRECTION('center_axis',(0.276860390377867,-0.960910154093407,0.)); #117324=DIRECTION('ref_axis',(0.960873565579132,0.276849848382549,0.00872653549837138)); #117325=DIRECTION('center_axis',(0.,0.,1.)); #117326=DIRECTION('ref_axis',(1.,0.,0.)); #117327=DIRECTION('center_axis',(0.276860390377867,0.960910154093407,0.)); #117328=DIRECTION('ref_axis',(-0.960873565579132,0.27684984838255,0.00872653549837142)); #117329=DIRECTION('center_axis',(0.,0.,1.)); #117330=DIRECTION('ref_axis',(9.99075837682932E-17,1.,0.)); #117331=DIRECTION('center_axis',(0.,0.,-1.)); #117332=DIRECTION('ref_axis',(-0.966676781556281,-0.255999999999982,0.)); #117333=DIRECTION('center_axis',(4.55066555563675E-14,-1.,0.)); #117334=DIRECTION('ref_axis',(1.,4.55066555563675E-14,0.)); #117335=DIRECTION('',(-1.,-4.55066555563675E-14,0.)); #117336=DIRECTION('center_axis',(1.,-4.97055240241609E-14,0.)); #117337=DIRECTION('ref_axis',(-3.70887982366247E-14,-0.70710678118655,0.707106781186545)); #117338=DIRECTION('',(1.,-4.97055240241609E-14,0.)); #117339=DIRECTION('center_axis',(-1.,5.24514814783538E-14,0.)); #117340=DIRECTION('ref_axis',(-5.24514814783538E-14,-1.,0.)); #117341=DIRECTION('center_axis',(-0.276860390377898,0.960910154093398,0.)); #117342=DIRECTION('ref_axis',(-0.960873565579123,-0.27684984838258,0.00872653549837575)); #117343=DIRECTION('center_axis',(0.,0.,1.)); #117344=DIRECTION('ref_axis',(1.,0.,0.)); #117345=DIRECTION('center_axis',(-0.276860390377896,-0.960910154093399, 0.)); #117346=DIRECTION('ref_axis',(0.960873565579124,-0.276849848382578,0.00872653549837139)); #117347=DIRECTION('center_axis',(0.,0.,1.)); #117348=DIRECTION('ref_axis',(-3.99630335073163E-17,-1.,0.)); #117349=DIRECTION('center_axis',(0.,0.,-1.)); #117350=DIRECTION('ref_axis',(0.966676781556271,0.256000000000018,0.)); #117351=DIRECTION('center_axis',(4.97055240241609E-14,1.,0.)); #117352=DIRECTION('ref_axis',(-1.,4.97055240241609E-14,0.)); #117353=DIRECTION('',(1.,-4.97055240241609E-14,0.)); #117354=DIRECTION('center_axis',(0.0934765169917927,-0.989705037378295, 0.108379332712062)); #117355=DIRECTION('ref_axis',(0.995441509764433,0.0949739344022955,0.00872653551272015)); #117356=DIRECTION('center_axis',(-0.0860012656614279,0.996295027742602, -5.44345314527262E-16)); #117357=DIRECTION('ref_axis',(0.,5.46369598732853E-16,1.)); #117358=DIRECTION('center_axis',(-0.909314263567874,-0.154747782584349, 0.38626505647967)); #117359=DIRECTION('ref_axis',(0.381485393085447,0.0606987061836299,0.922379836038319)); #117360=DIRECTION('center_axis',(-0.887613966513735,-0.460588152745763, 7.15956685922222E-11)); #117361=DIRECTION('ref_axis',(7.43849426498856E-11,1.20944374375504E-11, 1.)); #117362=DIRECTION('center_axis',(0.206114282874538,-0.97852792622138,0.)); #117363=DIRECTION('ref_axis',(0.,0.,1.)); #117364=DIRECTION('center_axis',(0.,0.,-1.)); #117365=DIRECTION('ref_axis',(-1.,0.,0.)); #117366=DIRECTION('center_axis',(0.206114281739307,0.978527926460502,0.)); #117367=DIRECTION('ref_axis',(0.,0.,1.)); #117368=DIRECTION('center_axis',(-0.887613966517433,0.460588152738637,-4.77851462753827E-11)); #117369=DIRECTION('ref_axis',(-4.96496981760516E-11,8.06660075569181E-12, 1.)); #117370=DIRECTION('center_axis',(-0.909314263567871,0.154747782584343,-0.386265056479678)); #117371=DIRECTION('ref_axis',(-0.381485393085456,0.0606987061836223,0.922379836038315)); #117372=DIRECTION('center_axis',(0.0934765169909271,0.98970503737864,-0.108379332709655)); #117373=DIRECTION('ref_axis',(-0.995441509764395,0.0949739344020878,0.00872653551930336)); #117374=DIRECTION('center_axis',(0.0860012656614301,0.996295027742602,0.)); #117375=DIRECTION('ref_axis',(-0.996257091880764,0.0859979909967563,0.00872653549837575)); #117376=DIRECTION('center_axis',(0.,0.,1.)); #117377=DIRECTION('ref_axis',(-1.,-2.78699993901511E-15,0.)); #117378=DIRECTION('center_axis',(-0.0860012656614348,0.996295027742602, 0.)); #117379=DIRECTION('ref_axis',(-0.996257091880764,-0.085997990996761,0.00872653549837578)); #117380=DIRECTION('center_axis',(0.,0.,-1.)); #117381=DIRECTION('ref_axis',(-1.,-1.19402296357264E-15,0.)); #117382=DIRECTION('center_axis',(0.,0.,1.)); #117383=DIRECTION('ref_axis',(-1.,-1.19345394004865E-15,0.)); #117384=DIRECTION('center_axis',(-0.0934765169917973,0.989705037378296, 0.108379332712045)); #117385=DIRECTION('ref_axis',(-0.995441509764433,-0.0949739344022993,0.00872653551271578)); #117386=DIRECTION('center_axis',(0.90931426356785,0.154747782584302,0.386265056479745)); #117387=DIRECTION('ref_axis',(-0.381485393085523,-0.0606987061836334,0.922379836038287)); #117388=DIRECTION('center_axis',(0.887613966513737,0.460588152745759,7.15960251954172E-11)); #117389=DIRECTION('ref_axis',(-7.43893136066754E-11,-1.20867882631682E-11, 1.)); #117390=DIRECTION('center_axis',(-0.206114282874539,0.97852792622138,0.)); #117391=DIRECTION('ref_axis',(0.,0.,1.)); #117392=DIRECTION('center_axis',(0.,0.,1.)); #117393=DIRECTION('ref_axis',(1.,0.,0.)); #117394=DIRECTION('center_axis',(-0.20611428173933,-0.978527926460497,0.)); #117395=DIRECTION('ref_axis',(0.,0.,1.)); #117396=DIRECTION('center_axis',(0.88761396651743,-0.460588152738643,-4.77817698558173E-11)); #117397=DIRECTION('ref_axis',(4.96453272192617E-11,-8.06769349488927E-12, 1.)); #117398=DIRECTION('center_axis',(0.909314263567843,-0.154747782584302,-0.386265056479762)); #117399=DIRECTION('ref_axis',(0.381485393085539,-0.0606987061836387,0.92237983603828)); #117400=DIRECTION('center_axis',(-0.0934765169909319,-0.989705037378639, -0.108379332709656)); #117401=DIRECTION('ref_axis',(0.995441509764394,-0.0949739344020927,0.00872653551930335)); #117402=DIRECTION('center_axis',(-0.086001265661436,-0.996295027742601, -3.7590781607965E-16)); #117403=DIRECTION('ref_axis',(0.996257091880764,-0.0859979909967622,0.00872653549837574)); #117404=DIRECTION('center_axis',(0.,0.,1.)); #117405=DIRECTION('ref_axis',(1.,0.,0.)); #117406=DIRECTION('center_axis',(0.,0.,-1.)); #117407=DIRECTION('ref_axis',(1.,-3.83281371306816E-14,0.)); #117408=DIRECTION('center_axis',(0.,0.,1.)); #117409=DIRECTION('ref_axis',(1.,-3.82203624300581E-14,0.)); #117410=DIRECTION('center_axis',(1.,-4.97055240241609E-14,0.)); #117411=DIRECTION('ref_axis',(-3.45420338630656E-14,-0.707106781186547, 0.707106781186548)); #117412=DIRECTION('',(-1.,4.97055240241609E-14,0.)); #117413=DIRECTION('center_axis',(-0.00892319097836793,-5.41167961834984E-15, 0.999960187538866)); #117414=DIRECTION('ref_axis',(4.66235390918701E-14,1.,5.82794238648376E-15)); #117415=DIRECTION('center_axis',(1.,5.00459728188469E-14,0.)); #117416=DIRECTION('ref_axis',(3.45420338630656E-14,-0.707106781186547,0.707106781186548)); #117417=DIRECTION('',(-1.,-5.00459728188469E-14,0.)); #117418=DIRECTION('center_axis',(0.,0.,1.)); #117419=DIRECTION('ref_axis',(1.,0.,0.)); #117420=DIRECTION('',(1.,4.55066555563675E-14,0.)); #117421=DIRECTION('center_axis',(0.,0.,1.)); #117422=DIRECTION('ref_axis',(1.,-1.42431385197483E-14,0.)); #117423=DIRECTION('center_axis',(0.,0.,-1.)); #117424=DIRECTION('ref_axis',(-0.981564875140438,0.191129264872066,0.)); #117425=DIRECTION('center_axis',(0.,0.,-1.)); #117426=DIRECTION('ref_axis',(-1.,-1.70067186456933E-15,0.)); #117427=DIRECTION('center_axis',(0.,0.,-1.)); #117428=DIRECTION('ref_axis',(1.,-1.2312033195887E-14,0.)); #117429=DIRECTION('center_axis',(-1.,-4.55066555563675E-14,0.)); #117430=DIRECTION('ref_axis',(-3.14018489664233E-14,0.707106781186547,0.707106781186548)); #117431=DIRECTION('center_axis',(0.,0.,-1.)); #117432=DIRECTION('ref_axis',(-1.,0.,0.)); #117433=DIRECTION('center_axis',(0.,0.,1.)); #117434=DIRECTION('ref_axis',(-1.,-1.43201284576929E-14,0.)); #117435=DIRECTION('center_axis',(0.,0.,-1.)); #117436=DIRECTION('ref_axis',(-1.,0.,0.)); #117437=DIRECTION('center_axis',(0.,0.,-1.)); #117438=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #117439=DIRECTION('center_axis',(0.,0.,-1.)); #117440=DIRECTION('ref_axis',(1.,-8.20499583783449E-16,0.)); #117441=DIRECTION('center_axis',(0.,0.,-1.)); #117442=DIRECTION('ref_axis',(0.981564875140438,-0.191129264872067,0.)); #117443=DIRECTION('center_axis',(0.00892319097837149,-1.12393899801037E-14, 0.999960187538866)); #117444=DIRECTION('ref_axis',(4.66235390918701E-14,-1.,-1.16558847729675E-14)); #117445=DIRECTION('center_axis',(0.,0.,1.)); #117446=DIRECTION('ref_axis',(1.,0.,0.)); #117447=DIRECTION('center_axis',(0.,0.,1.)); #117448=DIRECTION('ref_axis',(-1.,0.,0.)); #117449=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #117450=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #117451=DIRECTION('center_axis',(0.,0.,-1.)); #117452=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,0.)); #117453=DIRECTION('center_axis',(0.,0.,-1.)); #117454=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,0.)); #117455=DIRECTION('center_axis',(0.,0.,1.)); #117456=DIRECTION('ref_axis',(1.,0.,0.)); #117457=DIRECTION('',(-1.6658064559567E-16,1.,0.)); #117458=DIRECTION('center_axis',(0.,0.,-1.)); #117459=DIRECTION('ref_axis',(3.55566942700898E-16,-1.,0.)); #117460=DIRECTION('',(3.33161291191341E-16,-1.,0.)); #117461=DIRECTION('center_axis',(0.,0.,-1.)); #117462=DIRECTION('ref_axis',(-4.26680331241077E-16,1.,0.)); #117463=DIRECTION('center_axis',(0.,0.,-1.)); #117464=DIRECTION('ref_axis',(-1.,0.,0.)); #117465=DIRECTION('center_axis',(0.,0.,1.)); #117466=DIRECTION('ref_axis',(2.22044604925031E-16,1.,0.)); #117467=DIRECTION('center_axis',(0.,0.,1.)); #117468=DIRECTION('ref_axis',(2.22044604925031E-16,1.,0.)); #117469=DIRECTION('',(-0.00872653549837403,-1.06869237657295E-18,0.999961923064171)); #117470=DIRECTION('center_axis',(0.529025989062633,0.848605622710752,0.)); #117471=DIRECTION('ref_axis',(0.,0.,1.)); #117472=DIRECTION('center_axis',(0.,-1.,0.)); #117473=DIRECTION('ref_axis',(0.999961923064171,0.,0.00872653549837355)); #117474=DIRECTION('center_axis',(0.,0.,-1.)); #117475=DIRECTION('ref_axis',(-1.,0.,0.)); #117476=DIRECTION('center_axis',(0.529025989062632,-0.848605622710752,0.)); #117477=DIRECTION('ref_axis',(0.,0.,1.)); #117478=DIRECTION('center_axis',(0.,0.,1.)); #117479=DIRECTION('ref_axis',(-4.99162103582125E-16,1.,0.)); #117480=DIRECTION('center_axis',(0.,-1.,0.)); #117481=DIRECTION('ref_axis',(0.,0.,1.)); #117482=DIRECTION('center_axis',(3.33161291191341E-16,-1.,0.)); #117483=DIRECTION('ref_axis',(-0.704014724455969,0.,0.710185375623285)); #117484=DIRECTION('center_axis',(0.,-1.,0.)); #117485=DIRECTION('ref_axis',(0.,0.,1.)); #117486=DIRECTION('',(-3.33161291191341E-16,1.,0.)); #117487=DIRECTION('center_axis',(-0.529025989062634,-0.848605622710751, -1.85460925425166E-15)); #117488=DIRECTION('ref_axis',(0.,-2.18547839493141E-15,1.)); #117489=DIRECTION('center_axis',(0.,0.,-1.)); #117490=DIRECTION('ref_axis',(-1.,0.,0.)); #117491=DIRECTION('center_axis',(-0.529025989062632,0.848605622710753,0.)); #117492=DIRECTION('ref_axis',(0.,0.,1.)); #117493=DIRECTION('center_axis',(0.,0.,1.)); #117494=DIRECTION('ref_axis',(3.20889923731366E-16,-1.,0.)); #117495=DIRECTION('center_axis',(-1.90723810121253E-17,1.,2.18547839493141E-15)); #117496=DIRECTION('ref_axis',(0.,-2.18547839493141E-15,1.)); #117497=DIRECTION('center_axis',(-1.6658064559567E-16,1.,0.)); #117498=DIRECTION('ref_axis',(0.704014724455969,0.,0.710185375623285)); #117499=DIRECTION('',(1.6658064559567E-16,-1.,0.)); #117500=DIRECTION('center_axis',(0.,0.,1.)); #117501=DIRECTION('ref_axis',(7.13088719403036E-17,-1.,0.)); #117502=DIRECTION('center_axis',(0.,0.,-1.)); #117503=DIRECTION('ref_axis',(3.56544359701518E-16,-1.,0.)); #117504=DIRECTION('center_axis',(0.00872650821999321,0.00250036276499493, -0.999958797271333)); #117505=DIRECTION('ref_axis',(-0.848231566787325,-0.529553639099682,-0.00872653549837408)); #117506=DIRECTION('center_axis',(-0.999961923064171,-1.66574302715118E-16, -0.00872653549837406)); #117507=DIRECTION('ref_axis',(1.6658064559567E-16,-1.,0.)); #117508=DIRECTION('',(-1.6658064559567E-16,1.,0.)); #117509=DIRECTION('center_axis',(0.00872650821999321,-0.00250036276499492, -0.999958797271333)); #117510=DIRECTION('ref_axis',(-0.999961923064171,4.37095678986283E-15,-0.00872653549837387)); #117511=DIRECTION('center_axis',(0.,0.,-1.)); #117512=DIRECTION('ref_axis',(-1.,0.,0.)); #117513=DIRECTION('center_axis',(0.994968582512747,0.10018742342517,0.)); #117514=DIRECTION('ref_axis',(0.,0.,-1.)); #117515=DIRECTION('center_axis',(-0.994968582512747,0.100187423425171,0.)); #117516=DIRECTION('ref_axis',(0.100183608595078,0.994930697157879,0.00872653549836703)); #117517=DIRECTION('center_axis',(0.,0.,1.)); #117518=DIRECTION('ref_axis',(9.7049459877342E-17,1.,0.)); #117519=DIRECTION('center_axis',(0.,0.,-1.)); #117520=DIRECTION('ref_axis',(1.83697019872103E-16,-1.,0.)); #117521=DIRECTION('center_axis',(0.98773710322669,-0.156126278727658,0.)); #117522=DIRECTION('ref_axis',(0.,0.,-1.)); #117523=DIRECTION('center_axis',(-0.987737103226689,-0.156126278727669, 0.)); #117524=DIRECTION('ref_axis',(-0.156120333917372,0.987699493224393,0.00872653549837848)); #117525=DIRECTION('center_axis',(0.,0.,1.)); #117526=DIRECTION('ref_axis',(1.83697019872103E-16,-1.,0.)); #117527=DIRECTION('center_axis',(0.,-1.,0.)); #117528=DIRECTION('ref_axis',(-0.999961923064171,0.,0.00872653549843941)); #117529=DIRECTION('center_axis',(2.41022013763061E-16,1.,0.)); #117530=DIRECTION('ref_axis',(-0.710185375623285,0.,-0.704014724455968)); #117531=DIRECTION('center_axis',(0.,-1.,0.)); #117532=DIRECTION('ref_axis',(-0.999961923064171,0.,0.00872653549836947)); #117533=DIRECTION('',(-2.41022013763061E-16,-1.,0.)); #117534=DIRECTION('',(2.41022013763061E-16,1.,0.)); #117535=DIRECTION('center_axis',(0.,-1.,0.)); #117536=DIRECTION('ref_axis',(0.710185375623285,0.,-0.704014724455969)); #117537=DIRECTION('center_axis',(0.,-1.,0.)); #117538=DIRECTION('ref_axis',(0.,0.,-1.)); #117539=DIRECTION('center_axis',(0.,1.,0.)); #117540=DIRECTION('ref_axis',(0.999961923064171,0.,0.00872653549837003)); #117541=DIRECTION('',(0.,1.,0.)); #117542=DIRECTION('center_axis',(0.409584232647941,-0.370412744865378,-0.833687684212436)); #117543=DIRECTION('ref_axis',(-0.618332004734347,0.559196465232658,-0.552236222274946)); #117544=DIRECTION('center_axis',(-0.409584232647938,-0.370412744865368, -0.833687684212442)); #117545=DIRECTION('ref_axis',(0.61833200473435,0.559196465232659,-0.552236222274942)); #117546=DIRECTION('center_axis',(0.,0.,1.)); #117547=DIRECTION('ref_axis',(1.,0.,0.)); #117548=DIRECTION('center_axis',(0.,0.,-1.)); #117549=DIRECTION('ref_axis',(1.83697019872103E-16,1.,0.)); #117550=DIRECTION('center_axis',(0.,0.,1.)); #117551=DIRECTION('ref_axis',(1.,0.,0.)); #117552=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #117553=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #117554=DIRECTION('center_axis',(0.,0.,-1.)); #117555=DIRECTION('ref_axis',(-1.,0.,0.)); #117556=DIRECTION('center_axis',(-0.529573803647423,-0.848263866076117, 0.)); #117557=DIRECTION('ref_axis',(0.,0.,-1.)); #117558=DIRECTION('center_axis',(0.529573803647422,-0.848263866076118,0.)); #117559=DIRECTION('ref_axis',(-0.848231566787323,-0.529553639099684,-0.00872653549837439)); #117560=DIRECTION('center_axis',(3.33161291191341E-16,-1.,0.)); #117561=DIRECTION('ref_axis',(0.704014724455969,0.,-0.710185375623285)); #117562=DIRECTION('center_axis',(0.,-1.,0.)); #117563=DIRECTION('ref_axis',(0.,0.,-1.)); #117564=DIRECTION('',(3.33161291191341E-16,-1.,0.)); #117565=DIRECTION('center_axis',(0.,1.,0.)); #117566=DIRECTION('ref_axis',(0.999961923064171,0.,-0.00872653549837428)); #117567=DIRECTION('center_axis',(0.,0.,-1.)); #117568=DIRECTION('ref_axis',(-1.,0.,0.)); #117569=DIRECTION('center_axis',(0.529573803647419,0.84826386607612,0.)); #117570=DIRECTION('ref_axis',(0.,0.,-1.)); #117571=DIRECTION('center_axis',(0.,0.,-1.)); #117572=DIRECTION('ref_axis',(-8.55706463283643E-16,1.,0.)); #117573=DIRECTION('center_axis',(-0.529573803647415,0.848263866076122,0.)); #117574=DIRECTION('ref_axis',(0.848231566787328,0.529553639099677,-0.00872653549837439)); #117575=DIRECTION('center_axis',(-1.6658064559567E-16,1.,0.)); #117576=DIRECTION('ref_axis',(-0.704014724455966,0.,-0.710185375623288)); #117577=DIRECTION('center_axis',(2.18556161442076E-15,1.,0.)); #117578=DIRECTION('ref_axis',(0.,0.,-1.)); #117579=DIRECTION('center_axis',(0.,-1.,0.)); #117580=DIRECTION('ref_axis',(-0.999961923064171,0.,-0.008726535498374)); #117581=DIRECTION('center_axis',(0.449659659846097,-0.128838733896289,-0.883859022104257)); #117582=DIRECTION('ref_axis',(-0.849669420036492,0.243451530309311,-0.467753384856706)); #117583=DIRECTION('center_axis',(-0.449659659846091,-0.128838733896283, -0.883859022104261)); #117584=DIRECTION('ref_axis',(0.849669420036498,0.243451530309302,-0.467753384856699)); #117585=DIRECTION('center_axis',(-0.00872650821999321,-0.00250036276499493, -0.999958797271333)); #117586=DIRECTION('ref_axis',(0.848231566787325,0.529553639099681,-0.00872653549837414)); #117587=DIRECTION('center_axis',(-0.449659659846094,0.128838733896283,-0.883859022104259)); #117588=DIRECTION('ref_axis',(0.849669420036496,-0.243451530309302,-0.467753384856703)); #117589=DIRECTION('center_axis',(-0.00872650821999321,0.00250036276499492, -0.999958797271333)); #117590=DIRECTION('ref_axis',(0.999961923064171,2.18547839493141E-15,-0.00872653549837383)); #117591=DIRECTION('center_axis',(0.449659659846094,0.128838733896286,-0.883859022104259)); #117592=DIRECTION('ref_axis',(-0.849669420036496,-0.243451530309305,-0.467753384856702)); #117593=DIRECTION('center_axis',(0.,0.,1.)); #117594=DIRECTION('ref_axis',(2.13926615820911E-16,1.,0.)); #117595=DIRECTION('center_axis',(-0.999961923064171,2.4101283638331E-16, 0.00872653549837393)); #117596=DIRECTION('ref_axis',(-2.41022013763061E-16,-1.,0.)); #117597=DIRECTION('center_axis',(0.999961923064171,3.33148605430235E-16, -0.00872653549837406)); #117598=DIRECTION('ref_axis',(-3.33161291191341E-16,1.,0.)); #117599=DIRECTION('center_axis',(0.,0.,1.)); #117600=DIRECTION('ref_axis',(-0.132978723404255,-0.991118892525904,0.)); #117601=DIRECTION('center_axis',(0.,0.,1.)); #117602=DIRECTION('ref_axis',(1.,0.,0.)); #117603=DIRECTION('',(1.,-3.76763332785886E-14,0.)); #117604=DIRECTION('center_axis',(-1.,3.76763332785886E-14,0.)); #117605=DIRECTION('ref_axis',(2.66915716214598E-14,0.707106781186547,0.707106781186548)); #117606=DIRECTION('axis',(0.,0.,1.)); #117607=DIRECTION('refdir',(1.,0.,0.)); #117608=DIRECTION('center_axis',(0.998101788625247,0.0106939477352705,-0.06065030111152)); #117609=DIRECTION('ref_axis',(0.060653769408931,1.66533453693773E-16,0.998158865239641)); #117610=DIRECTION('center_axis',(0.998101788625247,0.0106939477352705,-0.06065030111152)); #117611=DIRECTION('ref_axis',(0.0615858712943378,-0.173313263865758,0.982939312991965)); #117612=DIRECTION('center_axis',(0.998101788625247,0.0106939477352705,-0.06065030111152)); #117613=DIRECTION('ref_axis',(0.0615858712943378,-0.173313263865758,0.982939312991965)); #117614=DIRECTION('',(-1.15723108951677E-16,0.984806817950294,0.173653480583077)); #117615=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117616=DIRECTION('ref_axis',(0.,1.,0.)); #117617=DIRECTION('',(1.15723328703648E-16,-0.984808688045568,-0.173642874745747)); #117618=DIRECTION('center_axis',(0.998101788625247,0.0106939477352705,-0.06065030111152)); #117619=DIRECTION('ref_axis',(-0.0615858712943378,0.173313263865755,-0.982939312991965)); #117620=DIRECTION('center_axis',(0.998101788625247,0.0106939477352705,-0.06065030111152)); #117621=DIRECTION('ref_axis',(-0.0615858712943378,0.173313263865755,-0.982939312991965)); #117622=DIRECTION('',(-0.0210983458859986,0.984589474679934,-0.173604222731958)); #117623=DIRECTION('center_axis',(0.,-0.173653480583077,0.984806817950294)); #117624=DIRECTION('ref_axis',(-1.,0.,0.)); #117625=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117626=DIRECTION('',(-0.0210983458859986,0.984587605000933,0.173614826208481)); #117627=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117628=DIRECTION('',(-1.15723108951677E-16,0.984806817950294,0.173653480583077)); #117629=DIRECTION('center_axis',(0.998101788625247,0.0307926484528109,-0.0533350948658043)); #117630=DIRECTION('ref_axis',(0.060653769408931,-0.341390438151049,0.937962520037727)); #117631=DIRECTION('center_axis',(0.998101788625247,0.0307926484528109,-0.0533350948658043)); #117632=DIRECTION('ref_axis',(0.0615858712943378,-0.499046239848919,0.864384191751314)); #117633=DIRECTION('',(-1.01764974368942E-16,0.866022711409517,0.500004663301162)); #117634=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117635=DIRECTION('ref_axis',(0.,1.,0.)); #117636=DIRECTION('',(1.01765607119523E-16,-0.86602809613425,-0.499995336684339)); #117637=DIRECTION('center_axis',(0.998101788625247,0.0307926484528109,-0.0533350948658043)); #117638=DIRECTION('ref_axis',(-0.0615858712943378,0.499046239848935,-0.864384191751305)); #117639=DIRECTION('center_axis',(0.,-0.500004663301162,0.866022711409517)); #117640=DIRECTION('ref_axis',(-1.,0.,0.)); #117641=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117642=DIRECTION('',(-0.0210983458859986,0.865829939193362,0.499893364826234)); #117643=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117644=DIRECTION('',(-1.01764974368942E-16,0.866022711409517,0.500004663301162)); #117645=DIRECTION('center_axis',(0.998101788625247,0.0471773013158515,-0.0395868890371054)); #117646=DIRECTION('ref_axis',(0.060653769408931,-0.641604151074817,0.764634052066772)); #117647=DIRECTION('center_axis',(0.998101788625247,0.0471773013158515,-0.0395868890371054)); #117648=DIRECTION('ref_axis',(0.0615858712943378,-0.764586874168217,0.64157158003343)); #117649=DIRECTION('',(-7.55324819862458E-17,0.642783484738762,0.766047904342211)); #117650=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117651=DIRECTION('ref_axis',(0.,1.,0.)); #117652=DIRECTION('',(7.55334514163798E-17,-0.64279173461568,-0.766040981873532)); #117653=DIRECTION('center_axis',(0.998101788625247,0.0471773013158515,-0.0395868890371054)); #117654=DIRECTION('ref_axis',(-0.0615858712943378,0.764586874168214,-0.641571580033434)); #117655=DIRECTION('center_axis',(0.,-0.766047904342211,0.642783484738762)); #117656=DIRECTION('ref_axis',(-1.,0.,0.)); #117657=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117658=DIRECTION('',(-0.0210983458859986,0.642640404430095,0.765877386005618)); #117659=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117660=DIRECTION('',(-7.55324819862458E-17,0.642783484738762,0.766047904342211)); #117661=DIRECTION('center_axis',(0.998101788625247,0.0578716753773871,-0.0210639201502727)); #117662=DIRECTION('ref_axis',(0.060653769408931,-0.864430934310177,0.499079432619821)); #117663=DIRECTION('center_axis',(0.998101788625247,0.0578716753773871,-0.0210639201502727)); #117664=DIRECTION('ref_axis',(0.0615858712943378,-0.937907047362343,0.341375967175444)); #117665=DIRECTION('',(-4.01896575352978E-17,0.342015083334615,0.93969446245661)); #117666=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117667=DIRECTION('ref_axis',(0.,1.,0.)); #117668=DIRECTION('',(4.01908467174026E-17,-0.342025203306807,-0.93969077908796)); #117669=DIRECTION('center_axis',(0.998101788625247,0.0578716753773871,-0.0210639201502727)); #117670=DIRECTION('ref_axis',(-0.0615858712943378,0.937907047362345,-0.341375967175437)); #117671=DIRECTION('center_axis',(0.,-0.93969446245661,0.342015083334615)); #117672=DIRECTION('ref_axis',(-1.,0.,0.)); #117673=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117674=DIRECTION('',(-0.0210983458859986,0.341938952530301,0.939485291286327)); #117675=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117676=DIRECTION('',(-4.01896575352978E-17,0.342015083334615,0.93969446245661)); #117677=DIRECTION('center_axis',(0.998101788625247,0.0615858712934449,-3.3162296430439E-7)); #117678=DIRECTION('ref_axis',(0.060653769408931,-0.982994589225867,0.173328467970955)); #117679=DIRECTION('center_axis',(0.998101788625247,0.0615858712934449,-3.3162296430439E-7)); #117680=DIRECTION('ref_axis',(-0.0615858712934483,0.998101788625302,0.)); #117681=DIRECTION('',(6.32750581587736E-22,-5.38472473177176E-6,0.999999999985502)); #117682=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117683=DIRECTION('ref_axis',(0.,1.,0.)); #117684=DIRECTION('',(6.3275058162219E-22,-5.38472473206497E-6,-0.999999999985502)); #117685=DIRECTION('center_axis',(0.998101788625247,0.0615858712934449,-3.3162296430439E-7)); #117686=DIRECTION('ref_axis',(-0.0615858712934483,0.998101788625302,0.)); #117687=DIRECTION('center_axis',(0.,-0.999999999985502,-5.38472473177176E-6)); #117688=DIRECTION('ref_axis',(-1.,0.,0.)); #117689=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117690=DIRECTION('',(-0.0210983458859986,-5.38352611972881E-6,0.999777405111704)); #117691=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117692=DIRECTION('',(6.32750581587736E-22,-5.38472473177176E-6,0.999999999985502)); #117693=DIRECTION('center_axis',(0.998101788625247,0.0578719022208547,0.0210632969029678)); #117694=DIRECTION('ref_axis',(0.060653769408931,-0.982994589225867,-0.173328467970954)); #117695=DIRECTION('center_axis',(0.998101788625247,0.0578719022208547,0.0210632969029678)); #117696=DIRECTION('ref_axis',(-0.0615858712943378,0.937910723739185,0.341365866413084)); #117697=DIRECTION('',(4.01908467174025E-17,-0.342025203306806,0.93969077908796)); #117698=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117699=DIRECTION('ref_axis',(0.,1.,0.)); #117700=DIRECTION('',(-4.01896575352978E-17,0.342015083334615,-0.93969446245661)); #117701=DIRECTION('center_axis',(0.998101788625247,0.0578719022208547,0.0210632969029678)); #117702=DIRECTION('ref_axis',(-0.0615858712943378,0.93791072373918,0.341365866413098)); #117703=DIRECTION('center_axis',(0.,-0.93969077908796,-0.342025203306806)); #117704=DIRECTION('ref_axis',(-1.,0.,0.)); #117705=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117706=DIRECTION('',(-0.0210983458859986,-0.341949070249839,0.939481608737577)); #117707=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117708=DIRECTION('',(4.01908467174025E-17,-0.342025203306806,0.93969077908796)); #117709=DIRECTION('center_axis',(0.998101788625247,0.0471777276421166,0.0395863809612473)); #117710=DIRECTION('ref_axis',(0.060653769408931,-0.864430934310177,-0.499079432619821)); #117711=DIRECTION('center_axis',(0.998101788625247,0.0471777276421166,0.0395863809612473)); #117712=DIRECTION('ref_axis',(-0.0615858712943378,0.764593783496595,0.641563345816514)); #117713=DIRECTION('',(7.55334514163796E-17,-0.642791734615679,0.766040981873533)); #117714=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117715=DIRECTION('ref_axis',(0.,1.,0.)); #117716=DIRECTION('',(-7.55324819862459E-17,0.642783484738763,-0.766047904342211)); #117717=DIRECTION('center_axis',(0.998101788625247,0.0471777276421166,0.0395863809612473)); #117718=DIRECTION('ref_axis',(-0.0615858712943378,0.764593783496594,0.641563345816515)); #117719=DIRECTION('center_axis',(0.,-0.766040981873533,-0.642791734615679)); #117720=DIRECTION('ref_axis',(-1.,0.,0.)); #117721=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117722=DIRECTION('',(-0.0210983458859986,-0.642648652470632,0.765870465077846)); #117723=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117724=DIRECTION('',(7.55334514163796E-17,-0.642791734615679,0.766040981873533)); #117725=DIRECTION('center_axis',(0.998101788625247,0.0307932228406341,0.0533347632428399)); #117726=DIRECTION('ref_axis',(0.060653769408931,-0.641604151074818,-0.764634052066772)); #117727=DIRECTION('center_axis',(0.998101788625247,0.0307932228406341,0.0533347632428399)); #117728=DIRECTION('ref_axis',(-0.0615858712943378,0.499055548761855,0.864378817247925)); #117729=DIRECTION('',(1.01765607119523E-16,-0.866028096134249,0.499995336684341)); #117730=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117731=DIRECTION('ref_axis',(0.,1.,0.)); #117732=DIRECTION('',(-1.01764974368942E-16,0.866022711409518,-0.50000466330116)); #117733=DIRECTION('center_axis',(0.998101788625247,0.0307932228406341,0.0533347632428399)); #117734=DIRECTION('ref_axis',(-0.0615858712943378,0.499055548761844,0.864378817247931)); #117735=DIRECTION('center_axis',(0.,-0.499995336684341,-0.866028096134249)); #117736=DIRECTION('ref_axis',(-1.,0.,0.)); #117737=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117738=DIRECTION('',(-0.0210983458859986,-0.865835322719481,0.499884040285471)); #117739=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117740=DIRECTION('',(1.01765607119523E-16,-0.866028096134249,0.499995336684341)); #117741=DIRECTION('center_axis',(0.998101788625247,0.0106946009050032,0.0606501859400731)); #117742=DIRECTION('ref_axis',(0.060653769408931,-0.34139043815105,-0.937962520037727)); #117743=DIRECTION('center_axis',(0.998101788625247,0.0106946009050032,0.0606501859400731)); #117744=DIRECTION('ref_axis',(-0.0615858712943378,0.173323849570962,0.982937446446527)); #117745=DIRECTION('',(-1.15723108951677E-16,0.984806817950294,-0.173653480583076)); #117746=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117747=DIRECTION('ref_axis',(0.,1.,0.)); #117748=DIRECTION('',(-1.15723108951677E-16,0.984806817950294,-0.173653480583076)); #117749=DIRECTION('center_axis',(0.998101788625247,0.0106946009050032,0.0606501859400731)); #117750=DIRECTION('ref_axis',(-0.0615858712943378,0.173323849570965,0.982937446446527)); #117751=DIRECTION('center_axis',(0.,-0.173642874745749,-0.984808688045568)); #117752=DIRECTION('ref_axis',(-1.,0.,0.)); #117753=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117754=DIRECTION('',(-0.0210983458859986,-0.984589474679934,0.173604222731958)); #117755=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117756=DIRECTION('',(1.15723328703648E-16,-0.984808688045568,0.173642874745749)); #117757=DIRECTION('center_axis',(0.998101788625247,-0.0106946009050032, -0.0606501859400731)); #117758=DIRECTION('ref_axis',(0.060653769408931,0.341390438151049,0.937962520037727)); #117759=DIRECTION('center_axis',(0.998101788625247,-0.0106946009050032, -0.0606501859400731)); #117760=DIRECTION('ref_axis',(0.0615858712943378,0.173323849570965,0.982937446446527)); #117761=DIRECTION('',(-1.15723328703648E-16,0.984808688045568,-0.173642874745749)); #117762=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117763=DIRECTION('ref_axis',(0.,1.,0.)); #117764=DIRECTION('',(1.15723108951677E-16,-0.984806817950293,0.173653480583079)); #117765=DIRECTION('center_axis',(0.998101788625247,-0.0106946009050032, -0.0606501859400731)); #117766=DIRECTION('ref_axis',(0.0615858712943378,0.173323849570968,0.982937446446526)); #117767=DIRECTION('',(-0.0210983458859986,0.865835322719482,-0.49988404028547)); #117768=DIRECTION('center_axis',(0.998101788625247,-0.030793222840634,-0.05333476324284)); #117769=DIRECTION('ref_axis',(0.060653769408931,0.641604151074818,0.764634052066772)); #117770=DIRECTION('center_axis',(0.998101788625247,-0.030793222840634,-0.05333476324284)); #117771=DIRECTION('ref_axis',(0.0615858712943378,0.499055548761856,0.864378817247924)); #117772=DIRECTION('',(-1.01765607119523E-16,0.866028096134249,-0.499995336684341)); #117773=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117774=DIRECTION('ref_axis',(0.,1.,0.)); #117775=DIRECTION('',(1.01764974368942E-16,-0.866022711409516,0.500004663301163)); #117776=DIRECTION('center_axis',(0.998101788625247,-0.030793222840634,-0.05333476324284)); #117777=DIRECTION('ref_axis',(0.0615858712943378,0.499055548761845,0.864378817247931)); #117778=DIRECTION('',(-0.0210983458859986,0.642648652470632,-0.765870465077846)); #117779=DIRECTION('center_axis',(0.998101788625247,-0.0471777276421166, -0.0395863809612473)); #117780=DIRECTION('ref_axis',(0.060653769408931,0.864430934310177,0.49907943261982)); #117781=DIRECTION('center_axis',(0.998101788625247,-0.0471777276421166, -0.0395863809612473)); #117782=DIRECTION('ref_axis',(0.0615858712943378,0.764593783496594,0.641563345816514)); #117783=DIRECTION('',(-7.55334514163796E-17,0.642791734615679,-0.766040981873533)); #117784=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117785=DIRECTION('ref_axis',(0.,1.,0.)); #117786=DIRECTION('',(7.55324819862456E-17,-0.642783484738761,0.766047904342213)); #117787=DIRECTION('center_axis',(0.998101788625247,-0.0471777276421166, -0.0395863809612473)); #117788=DIRECTION('ref_axis',(0.0615858712943378,0.764593783496593,0.641563345816515)); #117789=DIRECTION('',(-0.0210983458859986,0.341949070249839,-0.939481608737577)); #117790=DIRECTION('center_axis',(0.998101788625247,-0.0578719022208547, -0.0210632969029678)); #117791=DIRECTION('ref_axis',(0.060653769408931,0.982994589225867,0.173328467970955)); #117792=DIRECTION('center_axis',(0.998101788625247,-0.0578719022208547, -0.0210632969029678)); #117793=DIRECTION('ref_axis',(0.0615858712943378,0.937910723739184,0.341365866413085)); #117794=DIRECTION('',(-4.01908467174024E-17,0.342025203306806,-0.939690779087961)); #117795=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117796=DIRECTION('ref_axis',(0.,1.,0.)); #117797=DIRECTION('',(4.01896575352977E-17,-0.342015083334614,0.93969446245661)); #117798=DIRECTION('center_axis',(0.998101788625247,-0.0578719022208547, -0.0210632969029678)); #117799=DIRECTION('ref_axis',(0.0615858712943378,0.93791072373918,0.341365866413099)); #117800=DIRECTION('',(-0.0210983458859986,5.38352611995085E-6,-0.999777405111704)); #117801=DIRECTION('center_axis',(0.998101788625247,-0.0615858712934449, 3.31622964314798E-7)); #117802=DIRECTION('ref_axis',(0.060653769408931,0.982994589225866,-0.173328467970955)); #117803=DIRECTION('center_axis',(0.998101788625247,-0.0615858712934449, 3.31622964314798E-7)); #117804=DIRECTION('ref_axis',(0.0615858712934483,0.998101788625302,0.)); #117805=DIRECTION('',(-6.32750581626874E-22,5.38472473210483E-6,-0.999999999985502)); #117806=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117807=DIRECTION('ref_axis',(0.,1.,0.)); #117808=DIRECTION('',(-6.32750581642047E-22,5.38472473223395E-6,0.999999999985502)); #117809=DIRECTION('center_axis',(0.998101788625247,-0.0615858712934449, 3.31622964314798E-7)); #117810=DIRECTION('ref_axis',(0.0615858712934483,0.998101788625302,0.)); #117811=DIRECTION('',(-0.0210983458859986,-0.341938952530302,-0.939485291286327)); #117812=DIRECTION('center_axis',(0.998101788625247,-0.0578716753773871, 0.0210639201502727)); #117813=DIRECTION('ref_axis',(0.060653769408931,0.864430934310177,-0.499079432619821)); #117814=DIRECTION('center_axis',(0.998101788625247,-0.0578716753773871, 0.0210639201502727)); #117815=DIRECTION('ref_axis',(-0.0615858712943378,-0.937907047362342,0.341375967175444)); #117816=DIRECTION('',(4.01896575352978E-17,-0.342015083334615,-0.93969446245661)); #117817=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117818=DIRECTION('ref_axis',(0.,1.,0.)); #117819=DIRECTION('',(-4.01908467174024E-17,0.342025203306805,0.939690779087961)); #117820=DIRECTION('center_axis',(0.998101788625247,-0.0578716753773871, 0.0210639201502727)); #117821=DIRECTION('ref_axis',(0.0615858712943378,0.937907047362345,-0.341375967175438)); #117822=DIRECTION('',(-0.0210983458859986,-0.642640404430095,-0.765877386005618)); #117823=DIRECTION('center_axis',(0.998101788625247,-0.0471773013158515, 0.0395868890371054)); #117824=DIRECTION('ref_axis',(0.060653769408931,0.641604151074817,-0.764634052066772)); #117825=DIRECTION('center_axis',(0.998101788625247,-0.0471773013158515, 0.0395868890371054)); #117826=DIRECTION('ref_axis',(-0.0615858712943378,-0.764586874168217,0.64157158003343)); #117827=DIRECTION('',(7.55324819862458E-17,-0.642783484738762,-0.766047904342211)); #117828=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117829=DIRECTION('ref_axis',(0.,1.,0.)); #117830=DIRECTION('',(-7.55334514163796E-17,0.642791734615678,0.766040981873534)); #117831=DIRECTION('center_axis',(0.998101788625247,-0.0471773013158515, 0.0395868890371054)); #117832=DIRECTION('ref_axis',(0.0615858712943378,0.764586874168214,-0.641571580033435)); #117833=DIRECTION('',(-0.0210983458859986,-0.865829939193362,-0.499893364826234)); #117834=DIRECTION('center_axis',(0.998101788625247,-0.0307926484528109, 0.0533350948658043)); #117835=DIRECTION('ref_axis',(0.060653769408931,0.341390438151049,-0.937962520037727)); #117836=DIRECTION('center_axis',(0.998101788625247,-0.0307926484528109, 0.0533350948658043)); #117837=DIRECTION('ref_axis',(-0.0615858712943378,-0.49904623984892,0.864384191751314)); #117838=DIRECTION('',(1.01764974368942E-16,-0.866022711409517,-0.500004663301162)); #117839=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117840=DIRECTION('ref_axis',(0.,1.,0.)); #117841=DIRECTION('',(-1.01765607119523E-16,0.866028096134249,0.499995336684342)); #117842=DIRECTION('center_axis',(0.998101788625247,-0.0307926484528109, 0.0533350948658043)); #117843=DIRECTION('ref_axis',(0.0615858712943378,0.499046239848936,-0.864384191751304)); #117844=DIRECTION('',(-0.0210983458859986,-0.984587605000933,-0.173614826208481)); #117845=DIRECTION('center_axis',(0.,0.173642874745749,0.984808688045568)); #117846=DIRECTION('ref_axis',(-1.,0.,0.)); #117847=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117848=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117849=DIRECTION('',(-1.15723328703648E-16,0.984808688045568,-0.173642874745749)); #117850=DIRECTION('center_axis',(0.,0.499995336684341,0.866028096134249)); #117851=DIRECTION('ref_axis',(-1.,0.,0.)); #117852=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117853=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117854=DIRECTION('',(-1.01765607119523E-16,0.866028096134249,-0.499995336684341)); #117855=DIRECTION('center_axis',(0.,0.766040981873533,0.642791734615679)); #117856=DIRECTION('ref_axis',(-1.,0.,0.)); #117857=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117858=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117859=DIRECTION('',(-7.55334514163796E-17,0.642791734615679,-0.766040981873533)); #117860=DIRECTION('center_axis',(0.,0.939690779087961,0.342025203306806)); #117861=DIRECTION('ref_axis',(-1.,0.,0.)); #117862=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117863=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117864=DIRECTION('',(-4.01908467174024E-17,0.342025203306806,-0.939690779087961)); #117865=DIRECTION('center_axis',(0.,0.999999999985502,5.38472473210483E-6)); #117866=DIRECTION('ref_axis',(-1.,0.,0.)); #117867=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117868=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117869=DIRECTION('',(-6.32750581626874E-22,5.38472473210483E-6,-0.999999999985502)); #117870=DIRECTION('center_axis',(0.,0.93969446245661,-0.342015083334615)); #117871=DIRECTION('ref_axis',(-1.,0.,0.)); #117872=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117873=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117874=DIRECTION('',(4.01896575352978E-17,-0.342015083334615,-0.93969446245661)); #117875=DIRECTION('center_axis',(0.,0.766047904342211,-0.642783484738762)); #117876=DIRECTION('ref_axis',(-1.,0.,0.)); #117877=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117878=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117879=DIRECTION('',(7.55324819862458E-17,-0.642783484738762,-0.766047904342211)); #117880=DIRECTION('center_axis',(0.,0.500004663301162,-0.866022711409517)); #117881=DIRECTION('ref_axis',(-1.,0.,0.)); #117882=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117883=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117884=DIRECTION('',(1.01764974368942E-16,-0.866022711409517,-0.500004663301162)); #117885=DIRECTION('center_axis',(0.,0.173653480583077,-0.984806817950294)); #117886=DIRECTION('ref_axis',(-1.,0.,0.)); #117887=DIRECTION('',(1.15723108951677E-16,-0.984806817950294,-0.173653480583077)); #117888=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117889=DIRECTION('',(1.,1.1750843601239E-16,0.)); #117890=DIRECTION('',(1.15723108951677E-16,-0.984806817950294,-0.173653480583077)); #117891=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117892=DIRECTION('ref_axis',(0.,0.,-1.)); #117893=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117894=DIRECTION('ref_axis',(0.,1.,0.)); #117895=DIRECTION('',(-1.15723328703648E-16,0.984808688045567,0.173642874745751)); #117896=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117897=DIRECTION('ref_axis',(0.,1.,0.)); #117898=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117899=DIRECTION('ref_axis',(0.,0.,-1.)); #117900=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117901=DIRECTION('ref_axis',(0.,1.,0.)); #117902=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117903=DIRECTION('ref_axis',(0.,0.,-1.)); #117904=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117905=DIRECTION('ref_axis',(0.,1.,0.)); #117906=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117907=DIRECTION('ref_axis',(0.,0.,-1.)); #117908=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117909=DIRECTION('ref_axis',(0.,1.,0.)); #117910=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117911=DIRECTION('ref_axis',(0.,0.,-1.)); #117912=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117913=DIRECTION('ref_axis',(0.,1.,0.)); #117914=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117915=DIRECTION('ref_axis',(0.,0.,-1.)); #117916=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117917=DIRECTION('ref_axis',(0.,1.,0.)); #117918=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117919=DIRECTION('ref_axis',(0.,0.,-1.)); #117920=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117921=DIRECTION('ref_axis',(0.,1.,0.)); #117922=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117923=DIRECTION('ref_axis',(0.,0.,-1.)); #117924=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117925=DIRECTION('ref_axis',(0.,1.,0.)); #117926=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117927=DIRECTION('ref_axis',(0.,0.,-1.)); #117928=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117929=DIRECTION('ref_axis',(0.,1.,0.)); #117930=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117931=DIRECTION('ref_axis',(0.,0.,-1.)); #117932=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117933=DIRECTION('ref_axis',(0.,1.,0.)); #117934=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117935=DIRECTION('ref_axis',(0.,0.,-1.)); #117936=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117937=DIRECTION('ref_axis',(0.,1.,0.)); #117938=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117939=DIRECTION('ref_axis',(0.,0.,-1.)); #117940=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117941=DIRECTION('ref_axis',(0.,1.,0.)); #117942=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117943=DIRECTION('ref_axis',(0.,0.,-1.)); #117944=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117945=DIRECTION('ref_axis',(0.,1.,0.)); #117946=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117947=DIRECTION('ref_axis',(0.,0.,-1.)); #117948=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117949=DIRECTION('ref_axis',(0.,1.,0.)); #117950=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117951=DIRECTION('ref_axis',(0.,0.,-1.)); #117952=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117953=DIRECTION('ref_axis',(0.,1.,0.)); #117954=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117955=DIRECTION('ref_axis',(0.,0.,-1.)); #117956=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117957=DIRECTION('ref_axis',(0.,1.,0.)); #117958=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117959=DIRECTION('ref_axis',(0.,0.,-1.)); #117960=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #117961=DIRECTION('ref_axis',(0.,1.,0.)); #117962=DIRECTION('center_axis',(0.998101788625247,-0.0106939477352705, 0.06065030111152)); #117963=DIRECTION('ref_axis',(0.060653769408931,0.,-0.998158865239641)); #117964=DIRECTION('center_axis',(0.998101788625247,-0.0106939477352705, 0.06065030111152)); #117965=DIRECTION('ref_axis',(-0.0615858712943378,-0.173313263865761,0.982939312991964)); #117966=DIRECTION('center_axis',(0.998101788625247,-0.0106939477352705, 0.06065030111152)); #117967=DIRECTION('ref_axis',(0.0615858712943378,0.173313263865758,-0.982939312991965)); #117968=DIRECTION('center_axis',(8.95831528863201E-30,-0.866025403784439, 0.5)); #117969=DIRECTION('ref_axis',(-0.707106781186545,0.353553390593274,0.612372435695797)); #117970=DIRECTION('center_axis',(0.,-0.866025403784439,0.5)); #117971=DIRECTION('ref_axis',(1.74838271594513E-14,0.5,0.866025403784439)); #117972=DIRECTION('',(8.95831528863201E-30,-0.866025403784439,0.5)); #117973=DIRECTION('center_axis',(0.,0.866025403784439,-0.5)); #117974=DIRECTION('ref_axis',(-1.,0.,0.)); #117975=DIRECTION('',(1.01765290745708E-16,-0.866025403784439,0.5)); #117976=DIRECTION('center_axis',(-5.4873393119867E-31,-0.5,-0.866025403784439)); #117977=DIRECTION('ref_axis',(-0.707106781186546,-0.612372435695797,0.353553390593273)); #117978=DIRECTION('center_axis',(0.,-0.499999999999999,-0.866025403784439)); #117979=DIRECTION('ref_axis',(1.74838271594513E-14,-0.866025403784439,0.499999999999999)); #117980=DIRECTION('',(-5.4873393119867E-31,-0.5,-0.866025403784439)); #117981=DIRECTION('center_axis',(0.,0.500000000000001,0.866025403784438)); #117982=DIRECTION('ref_axis',(-1.,0.,0.)); #117983=DIRECTION('',(5.87542180061949E-17,-0.5,-0.866025403784439)); #117984=DIRECTION('center_axis',(-8.95831528863202E-30,0.866025403784439, -0.5)); #117985=DIRECTION('ref_axis',(-0.707106781186547,-0.353553390593274,-0.612372435695795)); #117986=DIRECTION('center_axis',(0.,0.866025403784439,-0.499999999999999)); #117987=DIRECTION('ref_axis',(8.74191357972563E-15,-0.499999999999999,-0.866025403784439)); #117988=DIRECTION('',(-8.95831528863202E-30,0.866025403784439,-0.499999999999999)); #117989=DIRECTION('center_axis',(0.,-0.866025403784439,0.499999999999999)); #117990=DIRECTION('ref_axis',(-1.,0.,0.)); #117991=DIRECTION('',(-1.01765290745708E-16,0.866025403784439,-0.499999999999999)); #117992=DIRECTION('center_axis',(0.,0.,1.)); #117993=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #117994=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #117995=DIRECTION('ref_axis',(1.36592399683213E-16,-0.965925826289068,-0.258819045102521)); #117996=DIRECTION('center_axis',(5.4873393119867E-31,0.5,0.866025403784439)); #117997=DIRECTION('ref_axis',(-0.707106781186545,0.612372435695794,-0.353553390593279)); #117998=DIRECTION('center_axis',(0.,0.500000000000003,0.866025403784437)); #117999=DIRECTION('ref_axis',(8.74191357972566E-15,0.866025403784437,-0.500000000000003)); #118000=DIRECTION('',(5.4873393119867E-31,0.5,0.866025403784439)); #118001=DIRECTION('center_axis',(0.,-0.500000000000006,-0.866025403784435)); #118002=DIRECTION('ref_axis',(-1.,0.,0.)); #118003=DIRECTION('',(-5.87542180061949E-17,0.5,0.866025403784439)); #118004=DIRECTION('center_axis',(1.,0.,0.)); #118005=DIRECTION('ref_axis',(0.,-1.,0.)); #118006=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118007=DIRECTION('ref_axis',(0.,0.258819045102524,-0.965925826289067)); #118008=DIRECTION('center_axis',(1.,0.,0.)); #118009=DIRECTION('ref_axis',(0.,-1.,0.)); #118010=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118011=DIRECTION('ref_axis',(0.,-0.258819045102522,0.965925826289068)); #118012=DIRECTION('center_axis',(0.,0.,1.)); #118013=DIRECTION('ref_axis',(-0.707106781186537,0.707106781186558,0.)); #118014=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118015=DIRECTION('ref_axis',(-1.36592399683213E-16,0.965925826289068,0.25881904510252)); #118016=DIRECTION('center_axis',(1.,7.48376164946652E-30,-4.95437516865256E-30)); #118017=DIRECTION('ref_axis',(-4.95437516865256E-30,0.,-1.)); #118018=DIRECTION('center_axis',(5.87542180061949E-17,-0.5,-0.866025403784439)); #118019=DIRECTION('ref_axis',(0.707106781186546,0.612372435695796,-0.353553390593275)); #118020=DIRECTION('center_axis',(0.,0.5,0.866025403784439)); #118021=DIRECTION('ref_axis',(1.,0.,0.)); #118022=DIRECTION('center_axis',(0.,-0.499999999999994,-0.866025403784442)); #118023=DIRECTION('ref_axis',(0.,0.866025403784442,-0.499999999999994)); #118024=DIRECTION('',(-5.87542180061949E-17,0.5,0.866025403784439)); #118025=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118026=DIRECTION('ref_axis',(0.,0.,1.)); #118027=DIRECTION('center_axis',(0.,0.866025403784439,-0.5)); #118028=DIRECTION('ref_axis',(1.,0.,0.)); #118029=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118030=DIRECTION('ref_axis',(3.04134212002175E-17,-0.258819045102522,0.965925826289068)); #118031=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118032=DIRECTION('ref_axis',(0.,0.,1.)); #118033=DIRECTION('center_axis',(0.,0.866025403784439,-0.499999999999999)); #118034=DIRECTION('ref_axis',(0.,0.499999999999999,0.866025403784439)); #118035=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118036=DIRECTION('ref_axis',(1.13504433151204E-16,-0.965925826289068,-0.258819045102521)); #118037=DIRECTION('center_axis',(1.01765290745708E-16,-0.866025403784439, 0.5)); #118038=DIRECTION('ref_axis',(0.707106781186547,-0.353553390593275,-0.612372435695795)); #118039=DIRECTION('center_axis',(0.,0.866025403784439,-0.5)); #118040=DIRECTION('ref_axis',(1.,0.,0.)); #118041=DIRECTION('',(-1.01765290745708E-16,0.866025403784439,-0.5)); #118042=DIRECTION('center_axis',(-1.01765290745708E-16,0.866025403784439, -0.5)); #118043=DIRECTION('ref_axis',(0.707106781186544,0.353553390593272,0.612372435695799)); #118044=DIRECTION('center_axis',(0.,0.866025403784439,-0.499999999999999)); #118045=DIRECTION('ref_axis',(0.,0.499999999999999,0.866025403784439)); #118046=DIRECTION('',(1.01765290745708E-16,-0.866025403784439,0.499999999999999)); #118047=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118048=DIRECTION('ref_axis',(0.,0.,1.)); #118049=DIRECTION('center_axis',(0.,-0.500000000000001,-0.866025403784438)); #118050=DIRECTION('ref_axis',(1.,0.,0.)); #118051=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118052=DIRECTION('ref_axis',(-1.13504433151204E-16,0.965925826289068,0.25881904510252)); #118053=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118054=DIRECTION('ref_axis',(0.,0.258819045102523,-0.965925826289068)); #118055=DIRECTION('center_axis',(0.,0.499999999999996,0.866025403784441)); #118056=DIRECTION('ref_axis',(0.,-0.866025403784441,0.499999999999996)); #118057=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118058=DIRECTION('ref_axis',(-3.04134212002178E-17,0.258819045102524,-0.965925826289067)); #118059=DIRECTION('center_axis',(-5.87542180061949E-17,0.5,0.866025403784439)); #118060=DIRECTION('ref_axis',(0.707106781186546,-0.612372435695796,0.353553390593276)); #118061=DIRECTION('',(5.87542180061949E-17,-0.5,-0.866025403784439)); #118062=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118063=DIRECTION('ref_axis',(-2.0977121845818E-32,0.,-1.)); #118064=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118065=DIRECTION('ref_axis',(0.,1.,0.)); #118066=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118067=DIRECTION('ref_axis',(0.,1.,0.)); #118068=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118069=DIRECTION('ref_axis',(0.,1.,0.)); #118070=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118071=DIRECTION('ref_axis',(0.,1.,0.)); #118072=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118073=DIRECTION('ref_axis',(0.,1.,0.)); #118074=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118075=DIRECTION('ref_axis',(0.,1.,0.)); #118076=DIRECTION('',(-5.8754218006195E-17,0.5,-0.866025403784438)); #118077=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118078=DIRECTION('ref_axis',(-3.04134212002171E-17,0.258819045102518,0.965925826289069)); #118079=DIRECTION('',(-1.01765290745708E-16,0.866025403784438,0.500000000000001)); #118080=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118081=DIRECTION('ref_axis',(1.13504433151204E-16,-0.965925826289068,0.258819045102521)); #118082=DIRECTION('',(5.8754218006195E-17,-0.5,0.866025403784438)); #118083=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118084=DIRECTION('ref_axis',(3.04134212002174E-17,-0.25881904510252,-0.965925826289068)); #118085=DIRECTION('',(1.01765290745708E-16,-0.866025403784438,-0.5)); #118086=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118087=DIRECTION('ref_axis',(-1.13504433151204E-16,0.965925826289069,-0.25881904510252)); #118088=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118089=DIRECTION('ref_axis',(8.30910119509864E-17,-0.707106781186547,-0.707106781186548)); #118090=DIRECTION('',(1.1750843601239E-16,-1.,1.73844872324089E-16)); #118091=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118092=DIRECTION('ref_axis',(-8.30910119509863E-17,0.707106781186546,-0.707106781186549)); #118093=DIRECTION('',(-2.0977121845818E-32,-2.46498878014341E-48,-1.)); #118094=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118095=DIRECTION('ref_axis',(-8.30910119509862E-17,0.707106781186546,0.707106781186549)); #118096=DIRECTION('',(-1.1750843601239E-16,1.,0.)); #118097=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118098=DIRECTION('ref_axis',(8.30910119509864E-17,-0.707106781186547,0.707106781186548)); #118099=DIRECTION('',(2.0977121845818E-32,2.46498878014341E-48,1.)); #118100=DIRECTION('center_axis',(3.06581650542621E-29,0.866025403784439, 0.5)); #118101=DIRECTION('ref_axis',(-0.707106781186553,0.353553390593272,-0.61237243569579)); #118102=DIRECTION('center_axis',(0.,0.866025403784438,0.500000000000001)); #118103=DIRECTION('ref_axis',(2.62257407391769E-14,0.500000000000001,-0.866025403784438)); #118104=DIRECTION('',(3.06581650542621E-29,0.866025403784438,0.5)); #118105=DIRECTION('center_axis',(0.,-0.866025403784438,-0.500000000000001)); #118106=DIRECTION('ref_axis',(-1.,0.,0.)); #118107=DIRECTION('',(-1.01765290745708E-16,0.866025403784438,0.5)); #118108=DIRECTION('center_axis',(-2.48292971890042E-31,-0.5,0.866025403784439)); #118109=DIRECTION('ref_axis',(-0.707106781186552,0.61237243569579,0.353553390593273)); #118110=DIRECTION('center_axis',(0.,-0.5,0.866025403784439)); #118111=DIRECTION('ref_axis',(2.62257407391769E-14,0.866025403784439,0.5)); #118112=DIRECTION('',(-2.48292971890042E-31,-0.5,0.866025403784438)); #118113=DIRECTION('center_axis',(0.,0.499999999999997,-0.86602540378444)); #118114=DIRECTION('ref_axis',(-1.,0.,0.)); #118115=DIRECTION('',(5.8754218006195E-17,-0.5,0.866025403784438)); #118116=DIRECTION('center_axis',(-3.06581650542621E-29,-0.866025403784438, -0.500000000000001)); #118117=DIRECTION('ref_axis',(-0.707106781186552,-0.353553390593273,0.612372435695789)); #118118=DIRECTION('center_axis',(0.,-0.866025403784438,-0.500000000000001)); #118119=DIRECTION('ref_axis',(1.74838271594512E-14,-0.500000000000001,0.866025403784438)); #118120=DIRECTION('',(-3.06581650542621E-29,-0.866025403784438,-0.500000000000001)); #118121=DIRECTION('center_axis',(0.,0.866025403784438,0.500000000000001)); #118122=DIRECTION('ref_axis',(-1.,0.,0.)); #118123=DIRECTION('',(1.01765290745708E-16,-0.866025403784438,-0.500000000000001)); #118124=DIRECTION('center_axis',(1.,0.,0.)); #118125=DIRECTION('ref_axis',(0.,-1.,0.)); #118126=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118127=DIRECTION('ref_axis',(0.,0.258819045102518,0.965925826289069)); #118128=DIRECTION('center_axis',(2.48292971890047E-31,0.5,-0.866025403784438)); #118129=DIRECTION('ref_axis',(-0.70710678118655,-0.61237243569579,-0.353553390593275)); #118130=DIRECTION('center_axis',(0.,0.500000000000003,-0.866025403784437)); #118131=DIRECTION('ref_axis',(1.74838271594513E-14,-0.866025403784437,-0.500000000000003)); #118132=DIRECTION('',(2.48292971890047E-31,0.5,-0.866025403784438)); #118133=DIRECTION('center_axis',(0.,-0.5,0.866025403784439)); #118134=DIRECTION('ref_axis',(-1.,0.,0.)); #118135=DIRECTION('',(-5.8754218006195E-17,0.5,-0.866025403784438)); #118136=DIRECTION('center_axis',(0.,0.,1.)); #118137=DIRECTION('ref_axis',(1.,0.,0.)); #118138=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118139=DIRECTION('ref_axis',(1.36592399683213E-16,-0.965925826289068,0.258819045102521)); #118140=DIRECTION('center_axis',(0.,0.,1.)); #118141=DIRECTION('ref_axis',(1.,0.,0.)); #118142=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118143=DIRECTION('ref_axis',(-1.36592399683213E-16,0.965925826289069,-0.25881904510252)); #118144=DIRECTION('center_axis',(1.,0.,0.)); #118145=DIRECTION('ref_axis',(0.,-1.,0.)); #118146=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118147=DIRECTION('ref_axis',(0.,-0.25881904510252,-0.965925826289068)); #118148=DIRECTION('center_axis',(1.,-2.66748962563523E-29,-1.51140545058931E-29)); #118149=DIRECTION('ref_axis',(-1.51140545058931E-29,0.,-1.)); #118150=DIRECTION('center_axis',(5.8754218006195E-17,-0.5,0.866025403784439)); #118151=DIRECTION('ref_axis',(0.707106781186546,-0.612372435695796,-0.353553390593275)); #118152=DIRECTION('center_axis',(0.,0.500000000000002,-0.866025403784437)); #118153=DIRECTION('ref_axis',(1.,0.,0.)); #118154=DIRECTION('center_axis',(0.,-0.50000000000001,0.866025403784433)); #118155=DIRECTION('ref_axis',(0.,-0.866025403784433,-0.50000000000001)); #118156=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118157=DIRECTION('ref_axis',(0.,0.,1.)); #118158=DIRECTION('center_axis',(0.,-0.866025403784438,-0.5)); #118159=DIRECTION('ref_axis',(1.,0.,0.)); #118160=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118161=DIRECTION('ref_axis',(0.,0.,1.)); #118162=DIRECTION('center_axis',(0.,-0.866025403784438,-0.500000000000001)); #118163=DIRECTION('ref_axis',(0.,0.500000000000001,-0.866025403784438)); #118164=DIRECTION('center_axis',(-1.01765290745708E-16,0.866025403784439, 0.5)); #118165=DIRECTION('ref_axis',(0.707106781186546,-0.353553390593276,0.612372435695796)); #118166=DIRECTION('center_axis',(0.,-0.866025403784438,-0.5)); #118167=DIRECTION('ref_axis',(1.,0.,0.)); #118168=DIRECTION('center_axis',(1.01765290745708E-16,-0.866025403784438, -0.500000000000001)); #118169=DIRECTION('ref_axis',(0.707106781186544,0.353553390593275,-0.612372435695798)); #118170=DIRECTION('center_axis',(0.,-0.866025403784438,-0.500000000000001)); #118171=DIRECTION('ref_axis',(0.,0.500000000000001,-0.866025403784438)); #118172=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118173=DIRECTION('ref_axis',(0.,-0.258819045102521,-0.965925826289068)); #118174=DIRECTION('center_axis',(0.,-0.5,0.866025403784438)); #118175=DIRECTION('ref_axis',(1.,0.,0.)); #118176=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118177=DIRECTION('ref_axis',(0.,0.,1.)); #118178=DIRECTION('center_axis',(0.,0.500000000000002,-0.866025403784438)); #118179=DIRECTION('ref_axis',(0.,0.866025403784438,0.500000000000002)); #118180=DIRECTION('center_axis',(-5.8754218006195E-17,0.5,-0.866025403784438)); #118181=DIRECTION('ref_axis',(0.707106781186546,0.612372435695795,0.353553390593276)); #118182=DIRECTION('center_axis',(-2.91996859359109E-30,-2.46498878014341E-48, -1.)); #118183=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #118184=DIRECTION('center_axis',(0.,0.,-1.)); #118185=DIRECTION('ref_axis',(0.,-1.,0.)); #118186=DIRECTION('',(-2.91996859359109E-30,-2.46498878014341E-48,-1.)); #118187=DIRECTION('center_axis',(0.,-1.36592399683213E-16,1.)); #118188=DIRECTION('ref_axis',(-1.,0.,0.)); #118189=DIRECTION('',(-2.0977121845818E-32,-2.46498878014341E-48,-1.)); #118190=DIRECTION('center_axis',(3.0400628597402E-30,1.,-1.73844872324089E-16)); #118191=DIRECTION('ref_axis',(-0.707106781186552,0.,-0.707106781186543)); #118192=DIRECTION('center_axis',(0.,1.,0.)); #118193=DIRECTION('ref_axis',(0.,0.,-1.)); #118194=DIRECTION('',(3.0400628597402E-30,1.,-1.73844872324089E-16)); #118195=DIRECTION('center_axis',(0.,-1.,0.)); #118196=DIRECTION('ref_axis',(-1.,0.,0.)); #118197=DIRECTION('',(-1.1750843601239E-16,1.,-1.73844872324089E-16)); #118198=DIRECTION('center_axis',(2.91996859359109E-30,2.46498878014341E-48, 1.)); #118199=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #118200=DIRECTION('center_axis',(0.,0.,1.)); #118201=DIRECTION('ref_axis',(0.,1.,0.)); #118202=DIRECTION('',(2.91996859359109E-30,2.46498878014341E-48,1.)); #118203=DIRECTION('center_axis',(0.,-6.82961998416066E-17,-1.)); #118204=DIRECTION('ref_axis',(-1.,0.,0.)); #118205=DIRECTION('',(2.0977121845818E-32,2.46498878014341E-48,1.)); #118206=DIRECTION('center_axis',(0.,0.,1.)); #118207=DIRECTION('ref_axis',(1.,0.,0.)); #118208=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118209=DIRECTION('ref_axis',(-1.36592399683213E-16,0.707106781186546,-0.707106781186549)); #118210=DIRECTION('center_axis',(-3.0400628597402E-30,-1.,0.)); #118211=DIRECTION('ref_axis',(-0.707106781186552,0.,0.707106781186543)); #118212=DIRECTION('center_axis',(0.,-1.,0.)); #118213=DIRECTION('ref_axis',(0.,0.,1.)); #118214=DIRECTION('',(-3.0400628597402E-30,-1.,0.)); #118215=DIRECTION('center_axis',(0.,1.,0.)); #118216=DIRECTION('ref_axis',(-1.,0.,0.)); #118217=DIRECTION('',(1.1750843601239E-16,-1.,0.)); #118218=DIRECTION('center_axis',(0.,0.,1.)); #118219=DIRECTION('ref_axis',(1.,0.,0.)); #118220=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118221=DIRECTION('ref_axis',(-1.36592399683213E-16,0.707106781186546,0.707106781186549)); #118222=DIRECTION('center_axis',(0.,0.,1.)); #118223=DIRECTION('ref_axis',(1.,0.,0.)); #118224=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118225=DIRECTION('ref_axis',(1.36592399683213E-16,-0.707106781186547,-0.707106781186548)); #118226=DIRECTION('center_axis',(0.,0.,1.)); #118227=DIRECTION('ref_axis',(1.,0.,0.)); #118228=DIRECTION('center_axis',(1.,1.1750843601239E-16,-2.0977121845818E-32)); #118229=DIRECTION('ref_axis',(1.36592399683213E-16,-0.707106781186547,0.707106781186548)); #118230=DIRECTION('center_axis',(1.,-3.0400628597402E-30,-2.91996859359109E-30)); #118231=DIRECTION('ref_axis',(-2.91996859359109E-30,0.,-1.)); #118232=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118233=DIRECTION('ref_axis',(0.,0.,1.)); #118234=DIRECTION('center_axis',(0.,1.,0.)); #118235=DIRECTION('ref_axis',(0.,0.,1.)); #118236=DIRECTION('center_axis',(0.,1.36592399683213E-16,1.)); #118237=DIRECTION('ref_axis',(1.,0.,0.)); #118238=DIRECTION('center_axis',(-1.1750843601239E-16,1.,-1.73844872324089E-16)); #118239=DIRECTION('ref_axis',(0.707106781186552,0.,0.707106781186543)); #118240=DIRECTION('center_axis',(0.,1.,0.)); #118241=DIRECTION('ref_axis',(0.,0.,1.)); #118242=DIRECTION('center_axis',(-2.0977121845818E-32,-2.46498878014341E-48, -1.)); #118243=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #118244=DIRECTION('center_axis',(0.,0.,1.)); #118245=DIRECTION('ref_axis',(1.,0.,0.)); #118246=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118247=DIRECTION('ref_axis',(0.,0.,1.)); #118248=DIRECTION('center_axis',(0.,-6.82961998416066E-17,1.)); #118249=DIRECTION('ref_axis',(0.,-1.,-6.82961998416066E-17)); #118250=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118251=DIRECTION('ref_axis',(0.,0.,1.)); #118252=DIRECTION('center_axis',(0.,1.,0.)); #118253=DIRECTION('ref_axis',(1.,0.,0.)); #118254=DIRECTION('center_axis',(2.0977121845818E-32,2.46498878014341E-48, 1.)); #118255=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #118256=DIRECTION('center_axis',(0.,6.82961998416066E-17,1.)); #118257=DIRECTION('ref_axis',(0.,-1.,6.82961998416066E-17)); #118258=DIRECTION('center_axis',(1.1750843601239E-16,-1.,0.)); #118259=DIRECTION('ref_axis',(0.707106781186552,0.,-0.707106781186543)); #118260=DIRECTION('center_axis',(0.,1.,0.)); #118261=DIRECTION('ref_axis',(1.,0.,0.)); #118262=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,2.0977121845818E-32)); #118263=DIRECTION('ref_axis',(0.,0.,1.)); #118264=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,0.)); #118265=DIRECTION('ref_axis',(5.0537561400001E-17,-1.,0.)); #118266=DIRECTION('center_axis',(-1.,-1.1750843601239E-16,0.)); #118267=DIRECTION('ref_axis',(1.1750843601239E-16,-1.,0.)); #118268=DIRECTION('',(-0.707106781186547,0.707106781186548,8.65956056235494E-17)); #118269=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118270=DIRECTION('ref_axis',(0.,-1.,0.)); #118271=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118272=DIRECTION('ref_axis',(0.,-1.,0.)); #118273=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118274=DIRECTION('ref_axis',(0.,1.,0.)); #118275=DIRECTION('',(-1.,-1.1750843601239E-16,0.)); #118276=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118277=DIRECTION('ref_axis',(0.,1.,0.)); #118278=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118279=DIRECTION('ref_axis',(0.,0.,-1.)); #118280=DIRECTION('center_axis',(1.,0.,0.)); #118281=DIRECTION('ref_axis',(0.,1.,0.)); #118282=DIRECTION('center_axis',(1.,0.,0.)); #118283=DIRECTION('ref_axis',(0.,1.,0.)); #118284=DIRECTION('center_axis',(1.,0.,0.)); #118285=DIRECTION('ref_axis',(0.,1.,0.)); #118286=DIRECTION('center_axis',(1.,0.,0.)); #118287=DIRECTION('ref_axis',(0.,1.,0.)); #118288=DIRECTION('center_axis',(1.,0.,0.)); #118289=DIRECTION('ref_axis',(0.,-1.,1.22464679914735E-16)); #118290=DIRECTION('center_axis',(1.,0.,0.)); #118291=DIRECTION('ref_axis',(0.,1.,0.)); #118292=DIRECTION('center_axis',(6.87394459358015E-17,-0.866025403784438, 0.5)); #118293=DIRECTION('ref_axis',(1.,0.,-1.37478891871603E-16)); #118294=DIRECTION('',(-1.,-7.93734752299615E-17,0.)); #118295=DIRECTION('',(-1.,-7.93734752299615E-17,0.)); #118296=DIRECTION('center_axis',(6.87394459358015E-17,-0.866025403784439, -0.5)); #118297=DIRECTION('ref_axis',(0.,0.5,-0.866025403784439)); #118298=DIRECTION('',(-1.,-7.93734752299615E-17,0.)); #118299=DIRECTION('center_axis',(5.60853342829733E-32,-7.06600462188186E-16, -1.)); #118300=DIRECTION('ref_axis',(-1.,0.,-5.60853342829733E-32)); #118301=DIRECTION('',(-1.,-7.93734752299615E-17,0.)); #118302=DIRECTION('center_axis',(-1.60243812237067E-32,2.01885846339482E-16, 1.)); #118303=DIRECTION('ref_axis',(1.,0.,1.60243812237067E-32)); #118304=DIRECTION('',(-1.,-7.93734752299615E-17,0.)); #118305=DIRECTION('center_axis',(-6.87394459358015E-17,0.866025403784439, 0.5)); #118306=DIRECTION('ref_axis',(0.,-0.5,0.866025403784439)); #118307=DIRECTION('',(-1.,-7.93734752299615E-17,0.)); #118308=DIRECTION('center_axis',(-6.87394459358015E-17,0.866025403784438, -0.500000000000001)); #118309=DIRECTION('ref_axis',(-1.,0.,1.37478891871603E-16)); #118310=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118311=DIRECTION('ref_axis',(0.,0.,-1.)); #118312=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118313=DIRECTION('ref_axis',(0.,1.,0.)); #118314=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118315=DIRECTION('ref_axis',(0.,1.,0.)); #118316=DIRECTION('center_axis',(1.1750843601239E-16,-1.,-1.22464679914735E-16)); #118317=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #118318=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118319=DIRECTION('ref_axis',(0.,1.,0.)); #118320=DIRECTION('',(-1.,-1.1750843601239E-16,0.)); #118321=DIRECTION('center_axis',(1.,1.1750843601239E-16,0.)); #118322=DIRECTION('ref_axis',(0.,0.,-1.)); #118323=DIRECTION('axis',(0.,0.,1.)); #118324=DIRECTION('refdir',(1.,0.,0.)); #118325=DIRECTION('',(0.156709781721684,0.975132856910013,0.156709781721684)); #118326=DIRECTION('center_axis',(-0.707106781186548,-9.23351050816201E-17, 0.707106781186547)); #118327=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #118328=DIRECTION('',(0.,1.,0.)); #118329=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #118330=DIRECTION('',(0.,-1.,0.)); #118331=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #118332=DIRECTION('center_axis',(-0.707106781186548,-7.98757180501539E-16, 0.707106781186547)); #118333=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #118334=DIRECTION('',(0.706731438048052,-0.0325783509260568,0.706731438048054)); #118335=DIRECTION('',(-0.156709781721684,-0.975132856910013,-0.156709781721684)); #118336=DIRECTION('',(-0.706731438048052,0.0325783509260568,-0.706731438048054)); #118337=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #118338=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #118339=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #118340=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #118341=DIRECTION('',(-0.0573597611316507,0.975132856910013,0.214069542853334)); #118342=DIRECTION('center_axis',(-0.965925826289068,-9.23351050816201E-17, -0.258819045102521)); #118343=DIRECTION('ref_axis',(-0.258819045102521,0.,0.965925826289068)); #118344=DIRECTION('',(0.,1.,0.)); #118345=DIRECTION('',(-0.258819045102521,0.,0.965925826289068)); #118346=DIRECTION('',(0.,-1.,0.)); #118347=DIRECTION('',(0.258819045102521,0.,-0.965925826289068)); #118348=DIRECTION('center_axis',(-0.965925826289068,-7.98757180501539E-16, -0.258819045102521)); #118349=DIRECTION('ref_axis',(-0.258819045102521,0.,0.965925826289068)); #118350=DIRECTION('',(-0.258681659978696,-0.0325783509260568,0.965413098026749)); #118351=DIRECTION('',(0.0573597611316507,-0.975132856910013,-0.214069542853334)); #118352=DIRECTION('',(0.258681659978696,0.0325783509260568,-0.965413098026749)); #118353=DIRECTION('',(-0.258819045102521,0.,0.965925826289068)); #118354=DIRECTION('',(-0.258819045102521,0.,0.965925826289068)); #118355=DIRECTION('',(0.258819045102521,0.,-0.965925826289068)); #118356=DIRECTION('',(0.258819045102521,0.,-0.965925826289068)); #118357=DIRECTION('',(-0.214069542853334,0.975132856910013,0.0573597611316505)); #118358=DIRECTION('center_axis',(-0.258819045102521,-9.23351050816201E-17, -0.965925826289068)); #118359=DIRECTION('ref_axis',(-0.965925826289068,0.,0.258819045102521)); #118360=DIRECTION('',(0.,1.,0.)); #118361=DIRECTION('',(-0.965925826289068,0.,0.258819045102521)); #118362=DIRECTION('',(0.,-1.,0.)); #118363=DIRECTION('',(0.965925826289068,0.,-0.258819045102521)); #118364=DIRECTION('center_axis',(-0.258819045102521,-7.98757180501539E-16, -0.965925826289068)); #118365=DIRECTION('ref_axis',(-0.965925826289068,0.,0.258819045102521)); #118366=DIRECTION('',(-0.965413098026749,-0.0325783509260568,0.258681659978695)); #118367=DIRECTION('',(0.214069542853334,-0.975132856910013,-0.0573597611316505)); #118368=DIRECTION('',(0.965413098026749,0.0325783509260568,-0.258681659978695)); #118369=DIRECTION('',(-0.965925826289068,0.,0.258819045102521)); #118370=DIRECTION('',(-0.965925826289068,0.,0.258819045102521)); #118371=DIRECTION('',(0.965925826289068,0.,-0.258819045102521)); #118372=DIRECTION('',(0.965925826289068,0.,-0.258819045102521)); #118373=DIRECTION('',(-0.156709781721684,0.975132856910013,-0.156709781721684)); #118374=DIRECTION('center_axis',(0.707106781186548,-9.23351050816201E-17, -0.707106781186547)); #118375=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #118376=DIRECTION('',(0.,1.,0.)); #118377=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #118378=DIRECTION('',(0.,-1.,0.)); #118379=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #118380=DIRECTION('center_axis',(0.707106781186548,-7.98757180501539E-16, -0.707106781186547)); #118381=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #118382=DIRECTION('',(-0.706731438048053,-0.0325783509260568,-0.706731438048054)); #118383=DIRECTION('',(0.156709781721684,-0.975132856910013,0.156709781721684)); #118384=DIRECTION('',(0.706731438048053,0.0325783509260568,0.706731438048054)); #118385=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #118386=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #118387=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #118388=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #118389=DIRECTION('',(0.0573597611316506,0.975132856910013,-0.214069542853334)); #118390=DIRECTION('center_axis',(0.965925826289068,-9.23351050816201E-17, 0.258819045102521)); #118391=DIRECTION('ref_axis',(0.258819045102521,0.,-0.965925826289068)); #118392=DIRECTION('',(0.,1.,0.)); #118393=DIRECTION('',(0.258819045102521,0.,-0.965925826289068)); #118394=DIRECTION('',(0.,-1.,0.)); #118395=DIRECTION('',(-0.258819045102521,0.,0.965925826289068)); #118396=DIRECTION('center_axis',(0.965925826289068,-7.98757180501539E-16, 0.258819045102521)); #118397=DIRECTION('ref_axis',(0.258819045102521,0.,-0.965925826289068)); #118398=DIRECTION('',(0.258681659978696,-0.0325783509260568,-0.965413098026749)); #118399=DIRECTION('',(-0.0573597611316506,-0.975132856910013,0.214069542853334)); #118400=DIRECTION('',(-0.258681659978696,0.0325783509260568,0.965413098026749)); #118401=DIRECTION('',(0.258819045102521,0.,-0.965925826289068)); #118402=DIRECTION('',(0.258819045102521,0.,-0.965925826289068)); #118403=DIRECTION('',(-0.258819045102521,0.,0.965925826289068)); #118404=DIRECTION('',(-0.258819045102521,0.,0.965925826289068)); #118405=DIRECTION('center_axis',(0.,-1.,0.)); #118406=DIRECTION('ref_axis',(0.,0.,-1.)); #118407=DIRECTION('center_axis',(0.,-1.,0.)); #118408=DIRECTION('ref_axis',(1.,0.,0.)); #118409=DIRECTION('center_axis',(0.,-1.,0.)); #118410=DIRECTION('ref_axis',(1.,0.,0.)); #118411=DIRECTION('center_axis',(0.,-1.,0.)); #118412=DIRECTION('ref_axis',(1.,0.,0.)); #118413=DIRECTION('center_axis',(0.,-1.,0.)); #118414=DIRECTION('ref_axis',(1.,0.,0.)); #118415=DIRECTION('center_axis',(0.,-1.,0.)); #118416=DIRECTION('ref_axis',(1.,0.,0.)); #118417=DIRECTION('center_axis',(0.,-1.,0.)); #118418=DIRECTION('ref_axis',(1.,0.,0.)); #118419=DIRECTION('center_axis',(0.,-1.,0.)); #118420=DIRECTION('ref_axis',(1.,0.,0.)); #118421=DIRECTION('center_axis',(0.,-1.,0.)); #118422=DIRECTION('ref_axis',(1.,0.,0.)); #118423=DIRECTION('center_axis',(0.,-1.,0.)); #118424=DIRECTION('ref_axis',(1.,0.,0.)); #118425=DIRECTION('center_axis',(0.,-1.,0.)); #118426=DIRECTION('ref_axis',(1.,0.,0.)); #118427=DIRECTION('center_axis',(0.,-1.,0.)); #118428=DIRECTION('ref_axis',(1.,0.,0.)); #118429=DIRECTION('center_axis',(0.,-1.,0.)); #118430=DIRECTION('ref_axis',(1.,0.,0.)); #118431=DIRECTION('center_axis',(0.,-1.,0.)); #118432=DIRECTION('ref_axis',(1.,0.,0.)); #118433=DIRECTION('center_axis',(0.,-1.,0.)); #118434=DIRECTION('ref_axis',(1.,0.,0.)); #118435=DIRECTION('',(0.965925826289068,0.,-0.258819045102521)); #118436=DIRECTION('center_axis',(0.,-1.,0.)); #118437=DIRECTION('ref_axis',(1.,0.,0.)); #118438=DIRECTION('',(0.965925826289068,0.,-0.258819045102521)); #118439=DIRECTION('center_axis',(0.,-1.,0.)); #118440=DIRECTION('ref_axis',(1.,0.,0.)); #118441=DIRECTION('center_axis',(0.,-1.,0.)); #118442=DIRECTION('ref_axis',(1.,0.,0.)); #118443=DIRECTION('center_axis',(0.,-1.,0.)); #118444=DIRECTION('ref_axis',(1.,0.,0.)); #118445=DIRECTION('center_axis',(0.,-1.,0.)); #118446=DIRECTION('ref_axis',(1.,0.,0.)); #118447=DIRECTION('center_axis',(0.,-1.,0.)); #118448=DIRECTION('ref_axis',(1.,0.,0.)); #118449=DIRECTION('center_axis',(0.,-1.,0.)); #118450=DIRECTION('ref_axis',(1.,0.,0.)); #118451=DIRECTION('center_axis',(0.,-1.,0.)); #118452=DIRECTION('ref_axis',(1.,0.,0.)); #118453=DIRECTION('center_axis',(0.,-1.,0.)); #118454=DIRECTION('ref_axis',(1.,0.,0.)); #118455=DIRECTION('center_axis',(0.,-1.,0.)); #118456=DIRECTION('ref_axis',(1.,0.,0.)); #118457=DIRECTION('center_axis',(0.,-1.,0.)); #118458=DIRECTION('ref_axis',(1.,0.,0.)); #118459=DIRECTION('center_axis',(0.,-1.,0.)); #118460=DIRECTION('ref_axis',(1.,0.,0.)); #118461=DIRECTION('center_axis',(0.,-1.,0.)); #118462=DIRECTION('ref_axis',(1.,0.,0.)); #118463=DIRECTION('center_axis',(0.,-1.,0.)); #118464=DIRECTION('ref_axis',(1.,0.,0.)); #118465=DIRECTION('center_axis',(0.,-1.,0.)); #118466=DIRECTION('ref_axis',(1.,0.,0.)); #118467=DIRECTION('center_axis',(0.,-1.,0.)); #118468=DIRECTION('ref_axis',(1.,0.,0.)); #118469=DIRECTION('center_axis',(0.,-1.,0.)); #118470=DIRECTION('ref_axis',(1.,0.,0.)); #118471=DIRECTION('center_axis',(0.,-1.,0.)); #118472=DIRECTION('ref_axis',(1.,0.,0.)); #118473=DIRECTION('center_axis',(0.,-1.,0.)); #118474=DIRECTION('ref_axis',(1.,0.,0.)); #118475=DIRECTION('center_axis',(0.,-1.,0.)); #118476=DIRECTION('ref_axis',(1.,0.,0.)); #118477=DIRECTION('center_axis',(0.,-1.,0.)); #118478=DIRECTION('ref_axis',(1.,0.,0.)); #118479=DIRECTION('center_axis',(0.,-1.,0.)); #118480=DIRECTION('ref_axis',(1.,0.,0.)); #118481=DIRECTION('center_axis',(0.,-1.,0.)); #118482=DIRECTION('ref_axis',(1.,0.,0.)); #118483=DIRECTION('center_axis',(0.,-1.,0.)); #118484=DIRECTION('ref_axis',(1.,0.,0.)); #118485=DIRECTION('center_axis',(0.,-1.,0.)); #118486=DIRECTION('ref_axis',(1.,0.,0.)); #118487=DIRECTION('center_axis',(0.,-1.,0.)); #118488=DIRECTION('ref_axis',(1.,0.,0.)); #118489=DIRECTION('center_axis',(0.,-1.,0.)); #118490=DIRECTION('ref_axis',(1.,0.,0.)); #118491=DIRECTION('center_axis',(0.,-1.,0.)); #118492=DIRECTION('ref_axis',(1.,0.,0.)); #118493=DIRECTION('center_axis',(0.,-1.,0.)); #118494=DIRECTION('ref_axis',(1.,0.,0.)); #118495=DIRECTION('center_axis',(0.,-1.,0.)); #118496=DIRECTION('ref_axis',(1.,0.,0.)); #118497=DIRECTION('center_axis',(0.,-1.,0.)); #118498=DIRECTION('ref_axis',(-0.965926147876999,0.,0.25881784491704)); #118499=DIRECTION('center_axis',(0.,-1.,0.)); #118500=DIRECTION('ref_axis',(1.,0.,0.)); #118501=DIRECTION('',(0.214069542853334,0.975132856910013,-0.0573597611316505)); #118502=DIRECTION('center_axis',(0.258819045102521,-9.23351050816201E-17, 0.965925826289068)); #118503=DIRECTION('ref_axis',(0.965925826289068,0.,-0.258819045102521)); #118504=DIRECTION('',(0.,1.,0.)); #118505=DIRECTION('',(0.965925826289068,0.,-0.258819045102521)); #118506=DIRECTION('',(0.,-1.,0.)); #118507=DIRECTION('',(-0.965925826289068,0.,0.258819045102521)); #118508=DIRECTION('center_axis',(0.258819045102521,-7.98757180501539E-16, 0.965925826289068)); #118509=DIRECTION('ref_axis',(0.965925826289068,0.,-0.258819045102521)); #118510=DIRECTION('',(0.965413098026749,-0.0325783509260568,-0.258681659978695)); #118511=DIRECTION('',(-0.214069542853334,-0.975132856910013,0.0573597611316505)); #118512=DIRECTION('',(-0.965413098026749,0.0325783509260568,0.258681659978695)); #118513=DIRECTION('',(-0.965925826289068,0.,0.258819045102521)); #118514=DIRECTION('',(-0.965925826289068,0.,0.258819045102521)); #118515=DIRECTION('center_axis',(0.,-1.,0.)); #118516=DIRECTION('ref_axis',(1.,0.,0.)); #118517=DIRECTION('center_axis',(0.,-1.,0.)); #118518=DIRECTION('ref_axis',(1.,0.,0.)); #118519=DIRECTION('center_axis',(-0.8030053208183,0.,-0.59597185733682)); #118520=DIRECTION('ref_axis',(-0.59597185733682,0.,0.8030053208183)); #118521=DIRECTION('',(0.,-1.,0.)); #118522=DIRECTION('',(-0.59597185733682,1.30473183093639E-16,0.8030053208183)); #118523=DIRECTION('',(-5.11535083630444E-16,-1.,-2.95334918233975E-16)); #118524=DIRECTION('center_axis',(-0.5,1.31065830440407E-30,0.866025403784438)); #118525=DIRECTION('ref_axis',(0.866025403784438,0.,0.5)); #118526=DIRECTION('center_axis',(0.5,0.,-0.866025403784438)); #118527=DIRECTION('ref_axis',(0.630994382856232,0.684929279856816,0.364304776799188)); #118528=DIRECTION('',(-5.11535083630444E-16,1.,-2.95334918233975E-16)); #118529=DIRECTION('center_axis',(-0.5,0.,0.866025403784438)); #118530=DIRECTION('ref_axis',(-0.513402650542121,-0.805330340846806,-0.296413158493161)); #118531=DIRECTION('',(0.866025403784438,0.,0.5)); #118532=DIRECTION('',(-0.386567768032428,0.232269395478527,0.89253363446005)); #118533=DIRECTION('',(-0.386567768032428,0.232269395478527,0.89253363446005)); #118534=DIRECTION('center_axis',(0.917629428803431,5.57766497024527E-16, 0.397437078534312)); #118535=DIRECTION('ref_axis',(0.397437078534312,0.,-0.917629428803431)); #118536=DIRECTION('',(0.,1.,0.)); #118537=DIRECTION('',(0.397437078534312,0.,-0.917629428803431)); #118538=DIRECTION('center_axis',(-0.397437078534311,0.,-0.917629428803432)); #118539=DIRECTION('ref_axis',(-0.917629428803432,0.,0.397437078534311)); #118540=DIRECTION('',(0.,-1.,0.)); #118541=DIRECTION('',(-0.917629428803432,1.30473183093639E-16,0.397437078534311)); #118542=DIRECTION('',(-2.95334918233974E-16,-1.,-5.11535083630444E-16)); #118543=DIRECTION('center_axis',(-0.866025403784439,1.31065830440407E-30, 0.499999999999999)); #118544=DIRECTION('ref_axis',(0.499999999999999,0.,0.866025403784439)); #118545=DIRECTION('center_axis',(0.866025403784439,0.,-0.499999999999999)); #118546=DIRECTION('ref_axis',(0.364304776799187,0.684929279856816,0.630994382856233)); #118547=DIRECTION('',(-2.95334918233974E-16,1.,-5.11535083630444E-16)); #118548=DIRECTION('center_axis',(-0.866025403784439,0.,0.499999999999999)); #118549=DIRECTION('ref_axis',(-0.296413158493161,-0.805330340846806,-0.513402650542121)); #118550=DIRECTION('',(0.499999999999999,0.,0.866025403784439)); #118551=DIRECTION('',(-0.781044324630358,0.232269395478527,0.579672917158243)); #118552=DIRECTION('',(-0.781044324630358,0.232269395478527,0.579672917158243)); #118553=DIRECTION('center_axis',(0.595971857336819,5.57766497024527E-16, 0.803005320818301)); #118554=DIRECTION('ref_axis',(0.803005320818301,0.,-0.595971857336819)); #118555=DIRECTION('',(0.,1.,0.)); #118556=DIRECTION('',(0.803005320818301,0.,-0.595971857336819)); #118557=DIRECTION('center_axis',(0.114624107985131,0.,-0.993408935871131)); #118558=DIRECTION('ref_axis',(-0.993408935871131,0.,-0.114624107985131)); #118559=DIRECTION('',(0.,-1.,0.)); #118560=DIRECTION('',(-0.993408935871131,1.30473183093639E-16,-0.114624107985131)); #118561=DIRECTION('',(1.4791141972894E-31,-1.,-5.90669836467949E-16)); #118562=DIRECTION('center_axis',(-1.,1.31065830440407E-30,-2.22044604925031E-16)); #118563=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.)); #118564=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16)); #118565=DIRECTION('ref_axis',(-1.37668807224762E-16,0.684929279856816,0.728609553598375)); #118566=DIRECTION('',(1.4791141972894E-31,1.,-5.90669836467949E-16)); #118567=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16)); #118568=DIRECTION('ref_axis',(1.58013326049272E-16,-0.805330340846806,-0.592826316986322)); #118569=DIRECTION('',(-2.22044604925031E-16,0.,1.)); #118570=DIRECTION('',(-0.966240685190672,0.232269395478527,0.111489309829693)); #118571=DIRECTION('',(-0.966240685190672,0.232269395478527,0.111489309829693)); #118572=DIRECTION('center_axis',(0.114624107985131,5.57766497024527E-16, 0.993408935871131)); #118573=DIRECTION('ref_axis',(0.993408935871131,0.,-0.11462410798513)); #118574=DIRECTION('',(0.,1.,0.)); #118575=DIRECTION('',(0.993408935871131,0.,-0.11462410798513)); #118576=DIRECTION('center_axis',(0.59597185733682,0.,-0.8030053208183)); #118577=DIRECTION('ref_axis',(-0.8030053208183,0.,-0.59597185733682)); #118578=DIRECTION('',(0.,-1.,0.)); #118579=DIRECTION('',(-0.8030053208183,1.30473183093639E-16,-0.59597185733682)); #118580=DIRECTION('',(2.95334918233975E-16,-1.,-5.11535083630444E-16)); #118581=DIRECTION('center_axis',(-0.866025403784438,1.31065830440407E-30, -0.5)); #118582=DIRECTION('ref_axis',(-0.5,0.,0.866025403784438)); #118583=DIRECTION('center_axis',(0.866025403784438,0.,0.5)); #118584=DIRECTION('ref_axis',(-0.364304776799188,0.684929279856816,0.630994382856232)); #118585=DIRECTION('',(2.95334918233975E-16,1.,-5.11535083630444E-16)); #118586=DIRECTION('center_axis',(-0.866025403784438,0.,-0.5)); #118587=DIRECTION('ref_axis',(0.296413158493161,-0.805330340846806,-0.513402650542121)); #118588=DIRECTION('',(-0.5,0.,0.866025403784438)); #118589=DIRECTION('',(-0.89253363446005,0.232269395478527,-0.386567768032428)); #118590=DIRECTION('',(-0.89253363446005,0.232269395478527,-0.386567768032428)); #118591=DIRECTION('center_axis',(-0.397437078534312,5.57766497024527E-16, 0.917629428803431)); #118592=DIRECTION('ref_axis',(0.917629428803431,0.,0.397437078534312)); #118593=DIRECTION('',(0.,1.,0.)); #118594=DIRECTION('',(0.917629428803431,0.,0.397437078534312)); #118595=DIRECTION('center_axis',(0.917629428803431,0.,-0.397437078534311)); #118596=DIRECTION('ref_axis',(-0.397437078534311,0.,-0.917629428803431)); #118597=DIRECTION('',(0.,-1.,0.)); #118598=DIRECTION('',(-0.397437078534311,1.30473183093639E-16,-0.917629428803431)); #118599=DIRECTION('',(5.11535083630444E-16,-1.,-2.95334918233974E-16)); #118600=DIRECTION('center_axis',(-0.5,1.31065830440407E-30,-0.866025403784439)); #118601=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #118602=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #118603=DIRECTION('ref_axis',(-0.630994382856232,0.684929279856816,0.364304776799187)); #118604=DIRECTION('',(5.11535083630444E-16,1.,-2.95334918233974E-16)); #118605=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #118606=DIRECTION('ref_axis',(0.513402650542121,-0.805330340846806,-0.296413158493161)); #118607=DIRECTION('',(-0.866025403784439,0.,0.5)); #118608=DIRECTION('',(-0.579672917158244,0.232269395478527,-0.781044324630358)); #118609=DIRECTION('',(-0.579672917158244,0.232269395478527,-0.781044324630358)); #118610=DIRECTION('center_axis',(-0.803005320818301,5.57766497024527E-16, 0.595971857336819)); #118611=DIRECTION('ref_axis',(0.595971857336819,0.,0.803005320818301)); #118612=DIRECTION('',(0.,1.,0.)); #118613=DIRECTION('',(0.595971857336819,0.,0.803005320818301)); #118614=DIRECTION('center_axis',(0.993408935871131,0.,0.114624107985131)); #118615=DIRECTION('ref_axis',(0.114624107985131,0.,-0.993408935871131)); #118616=DIRECTION('',(0.,-1.,0.)); #118617=DIRECTION('',(0.114624107985131,1.30473183093639E-16,-0.993408935871131)); #118618=DIRECTION('',(5.90669836467949E-16,-1.,9.86076131526265E-32)); #118619=DIRECTION('center_axis',(1.11022302462516E-16,1.31065830440407E-30, -1.)); #118620=DIRECTION('ref_axis',(-1.,0.,-1.11022302462516E-16)); #118621=DIRECTION('center_axis',(-1.11022302462516E-16,0.,1.)); #118622=DIRECTION('ref_axis',(-0.728609553598375,0.684929279856816,-6.88344036123809E-17)); #118623=DIRECTION('',(5.90669836467949E-16,1.,9.86076131526265E-32)); #118624=DIRECTION('center_axis',(1.11022302462516E-16,0.,-1.)); #118625=DIRECTION('ref_axis',(0.592826316986322,-0.805330340846806,7.9006663024636E-17)); #118626=DIRECTION('',(-1.,0.,-1.11022302462516E-16)); #118627=DIRECTION('',(-0.111489309829693,0.232269395478527,-0.966240685190672)); #118628=DIRECTION('',(-0.111489309829693,0.232269395478527,-0.966240685190672)); #118629=DIRECTION('center_axis',(-0.993408935871131,5.57766497024527E-16, 0.114624107985131)); #118630=DIRECTION('ref_axis',(0.114624107985131,0.,0.993408935871131)); #118631=DIRECTION('',(0.,1.,0.)); #118632=DIRECTION('',(0.114624107985131,0.,0.993408935871131)); #118633=DIRECTION('center_axis',(0.8030053208183,0.,0.59597185733682)); #118634=DIRECTION('ref_axis',(0.59597185733682,0.,-0.8030053208183)); #118635=DIRECTION('',(0.,-1.,0.)); #118636=DIRECTION('',(0.59597185733682,1.30473183093639E-16,-0.8030053208183)); #118637=DIRECTION('',(5.11535083630444E-16,-1.,2.95334918233975E-16)); #118638=DIRECTION('center_axis',(0.5,1.31065830440407E-30,-0.866025403784439)); #118639=DIRECTION('ref_axis',(-0.866025403784438,0.,-0.5)); #118640=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #118641=DIRECTION('ref_axis',(-0.630994382856232,0.684929279856816,-0.364304776799188)); #118642=DIRECTION('',(5.11535083630444E-16,1.,2.95334918233975E-16)); #118643=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #118644=DIRECTION('ref_axis',(0.513402650542121,-0.805330340846806,0.296413158493161)); #118645=DIRECTION('',(-0.866025403784438,0.,-0.5)); #118646=DIRECTION('',(0.386567768032428,0.232269395478527,-0.89253363446005)); #118647=DIRECTION('',(0.386567768032428,0.232269395478527,-0.89253363446005)); #118648=DIRECTION('center_axis',(-0.917629428803431,5.57766497024527E-16, -0.397437078534312)); #118649=DIRECTION('ref_axis',(-0.397437078534312,0.,0.917629428803431)); #118650=DIRECTION('',(0.,1.,0.)); #118651=DIRECTION('',(-0.397437078534312,0.,0.917629428803431)); #118652=DIRECTION('center_axis',(0.397437078534311,0.,0.917629428803431)); #118653=DIRECTION('ref_axis',(0.917629428803431,0.,-0.397437078534311)); #118654=DIRECTION('',(0.,-1.,0.)); #118655=DIRECTION('',(0.917629428803431,1.30473183093639E-16,-0.397437078534312)); #118656=DIRECTION('',(2.95334918233974E-16,-1.,5.11535083630444E-16)); #118657=DIRECTION('center_axis',(0.866025403784439,1.31065830440407E-30, -0.5)); #118658=DIRECTION('ref_axis',(-0.5,0.,-0.866025403784439)); #118659=DIRECTION('center_axis',(-0.866025403784439,0.,0.5)); #118660=DIRECTION('ref_axis',(-0.364304776799187,0.684929279856816,-0.630994382856232)); #118661=DIRECTION('',(2.95334918233974E-16,1.,5.11535083630444E-16)); #118662=DIRECTION('center_axis',(0.866025403784439,0.,-0.5)); #118663=DIRECTION('ref_axis',(0.296413158493161,-0.805330340846806,0.513402650542121)); #118664=DIRECTION('',(-0.5,0.,-0.866025403784439)); #118665=DIRECTION('',(0.781044324630358,0.232269395478527,-0.579672917158244)); #118666=DIRECTION('',(0.781044324630358,0.232269395478527,-0.579672917158244)); #118667=DIRECTION('center_axis',(-0.595971857336819,5.57766497024527E-16, -0.803005320818301)); #118668=DIRECTION('ref_axis',(-0.803005320818301,0.,0.595971857336819)); #118669=DIRECTION('',(0.,1.,0.)); #118670=DIRECTION('',(-0.803005320818301,0.,0.595971857336819)); #118671=DIRECTION('center_axis',(-0.114624107985131,0.,0.993408935871131)); #118672=DIRECTION('ref_axis',(0.993408935871131,0.,0.114624107985131)); #118673=DIRECTION('',(0.,-1.,0.)); #118674=DIRECTION('',(0.993408935871131,1.30473183093639E-16,0.114624107985131)); #118675=DIRECTION('',(-4.93038065763132E-32,-1.,5.90669836467949E-16)); #118676=DIRECTION('center_axis',(1.,1.31065830440407E-30,5.55111512312578E-17)); #118677=DIRECTION('ref_axis',(5.55111512312578E-17,0.,-1.)); #118678=DIRECTION('center_axis',(-1.,0.,-5.55111512312578E-17)); #118679=DIRECTION('ref_axis',(6.88344036123809E-17,0.684929279856816,-0.728609553598375)); #118680=DIRECTION('',(-4.93038065763132E-32,1.,5.90669836467949E-16)); #118681=DIRECTION('center_axis',(1.,0.,5.55111512312578E-17)); #118682=DIRECTION('ref_axis',(-3.9503331512318E-17,-0.805330340846806,0.592826316986322)); #118683=DIRECTION('',(5.55111512312578E-17,0.,-1.)); #118684=DIRECTION('',(0.966240685190672,0.232269395478527,-0.111489309829693)); #118685=DIRECTION('',(0.966240685190672,0.232269395478527,-0.111489309829693)); #118686=DIRECTION('center_axis',(-0.114624107985131,5.57766497024527E-16, -0.993408935871131)); #118687=DIRECTION('ref_axis',(-0.993408935871131,0.,0.114624107985131)); #118688=DIRECTION('',(0.,1.,0.)); #118689=DIRECTION('',(-0.993408935871131,0.,0.114624107985131)); #118690=DIRECTION('center_axis',(-0.59597185733682,0.,0.8030053208183)); #118691=DIRECTION('ref_axis',(0.8030053208183,0.,0.59597185733682)); #118692=DIRECTION('',(0.,-1.,0.)); #118693=DIRECTION('',(0.803005320818301,1.30473183093639E-16,0.595971857336819)); #118694=DIRECTION('',(-2.95334918233975E-16,-1.,5.11535083630444E-16)); #118695=DIRECTION('center_axis',(0.866025403784439,1.31065830440407E-30, 0.5)); #118696=DIRECTION('ref_axis',(0.5,0.,-0.866025403784439)); #118697=DIRECTION('center_axis',(-0.866025403784439,0.,-0.5)); #118698=DIRECTION('ref_axis',(0.364304776799187,0.684929279856816,-0.630994382856232)); #118699=DIRECTION('',(-2.95334918233975E-16,1.,5.11535083630444E-16)); #118700=DIRECTION('center_axis',(0.866025403784439,0.,0.5)); #118701=DIRECTION('ref_axis',(-0.296413158493161,-0.805330340846806,0.513402650542121)); #118702=DIRECTION('',(0.5,0.,-0.866025403784439)); #118703=DIRECTION('',(0.89253363446005,0.232269395478527,0.386567768032428)); #118704=DIRECTION('',(0.89253363446005,0.232269395478527,0.386567768032428)); #118705=DIRECTION('center_axis',(0.397437078534312,5.57766497024527E-16, -0.917629428803431)); #118706=DIRECTION('ref_axis',(-0.917629428803431,0.,-0.397437078534312)); #118707=DIRECTION('',(0.,1.,0.)); #118708=DIRECTION('',(-0.917629428803431,0.,-0.397437078534312)); #118709=DIRECTION('center_axis',(-0.917629428803431,0.,0.397437078534312)); #118710=DIRECTION('ref_axis',(0.397437078534311,0.,0.917629428803431)); #118711=DIRECTION('',(0.,-1.,0.)); #118712=DIRECTION('',(0.397437078534312,1.30473183093639E-16,0.917629428803431)); #118713=DIRECTION('',(-5.11535083630444E-16,-1.,2.95334918233974E-16)); #118714=DIRECTION('center_axis',(0.5,1.31065830440407E-30,0.866025403784439)); #118715=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #118716=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #118717=DIRECTION('ref_axis',(0.630994382856232,0.684929279856816,-0.364304776799187)); #118718=DIRECTION('',(-5.11535083630444E-16,1.,2.95334918233974E-16)); #118719=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #118720=DIRECTION('ref_axis',(-0.513402650542121,-0.805330340846806,0.296413158493161)); #118721=DIRECTION('',(0.866025403784439,0.,-0.5)); #118722=DIRECTION('',(0.579672917158244,0.232269395478527,0.781044324630358)); #118723=DIRECTION('',(0.579672917158244,0.232269395478527,0.781044324630358)); #118724=DIRECTION('center_axis',(0.803005320818301,5.57766497024527E-16, -0.595971857336819)); #118725=DIRECTION('ref_axis',(-0.595971857336819,0.,-0.803005320818301)); #118726=DIRECTION('',(0.,1.,0.)); #118727=DIRECTION('',(-0.595971857336819,0.,-0.803005320818301)); #118728=DIRECTION('center_axis',(0.,-1.,0.)); #118729=DIRECTION('ref_axis',(1.,0.,0.)); #118730=DIRECTION('center_axis',(0.,-1.,0.)); #118731=DIRECTION('ref_axis',(1.,0.,0.)); #118732=DIRECTION('',(0.,-1.,0.)); #118733=DIRECTION('center_axis',(0.,-1.,0.)); #118734=DIRECTION('ref_axis',(1.,0.,0.)); #118735=DIRECTION('center_axis',(0.,-1.,0.)); #118736=DIRECTION('ref_axis',(1.,0.,0.)); #118737=DIRECTION('center_axis',(0.,-1.,0.)); #118738=DIRECTION('ref_axis',(1.,0.,0.)); #118739=DIRECTION('center_axis',(0.,-1.,0.)); #118740=DIRECTION('ref_axis',(1.,0.,0.)); #118741=DIRECTION('center_axis',(0.,-1.,0.)); #118742=DIRECTION('ref_axis',(1.,0.,0.)); #118743=DIRECTION('center_axis',(0.,-1.,0.)); #118744=DIRECTION('ref_axis',(1.,0.,0.)); #118745=DIRECTION('center_axis',(0.,-1.,0.)); #118746=DIRECTION('ref_axis',(1.,0.,0.)); #118747=DIRECTION('center_axis',(0.,-1.,0.)); #118748=DIRECTION('ref_axis',(1.,0.,0.)); #118749=DIRECTION('center_axis',(0.,-1.,0.)); #118750=DIRECTION('ref_axis',(1.,0.,0.)); #118751=DIRECTION('center_axis',(0.,-1.,0.)); #118752=DIRECTION('ref_axis',(1.,0.,0.)); #118753=DIRECTION('center_axis',(0.,-1.,0.)); #118754=DIRECTION('ref_axis',(1.,0.,0.)); #118755=DIRECTION('center_axis',(0.,-1.,0.)); #118756=DIRECTION('ref_axis',(1.,0.,0.)); #118757=DIRECTION('center_axis',(0.,-1.,0.)); #118758=DIRECTION('ref_axis',(1.,0.,0.)); #118759=DIRECTION('center_axis',(0.,-1.,0.)); #118760=DIRECTION('ref_axis',(1.,0.,0.)); #118761=DIRECTION('center_axis',(0.,-1.,0.)); #118762=DIRECTION('ref_axis',(-0.993826591033896,0.,-0.110944612099665)); #118763=DIRECTION('center_axis',(0.,-1.,0.)); #118764=DIRECTION('ref_axis',(1.,0.,0.)); #118765=DIRECTION('center_axis',(0.,-1.,0.)); #118766=DIRECTION('ref_axis',(1.,0.,0.)); #118767=DIRECTION('center_axis',(0.,-1.,0.)); #118768=DIRECTION('ref_axis',(1.,0.,0.)); #118769=DIRECTION('center_axis',(0.,-1.,0.)); #118770=DIRECTION('ref_axis',(1.,0.,0.)); #118771=DIRECTION('center_axis',(0.,-1.,0.)); #118772=DIRECTION('ref_axis',(1.,0.,0.)); #118773=DIRECTION('center_axis',(-0.993408935871131,0.,-0.114624107985131)); #118774=DIRECTION('ref_axis',(-0.114624107985131,0.,0.993408935871131)); #118775=DIRECTION('',(-0.114624107985131,1.30473183093639E-16,0.993408935871131)); #118776=DIRECTION('',(-5.90669836467949E-16,-1.,0.)); #118777=DIRECTION('center_axis',(7.87292497540044E-31,1.31065830440407E-30, 1.)); #118778=DIRECTION('ref_axis',(1.,0.,-7.87292497540044E-31)); #118779=DIRECTION('center_axis',(0.,0.,-1.)); #118780=DIRECTION('ref_axis',(0.728609553598375,0.684929279856816,0.)); #118781=DIRECTION('',(-5.90669836467949E-16,1.,0.)); #118782=DIRECTION('center_axis',(0.,0.,1.)); #118783=DIRECTION('ref_axis',(-0.592826316986322,-0.805330340846806,0.)); #118784=DIRECTION('',(1.,0.,0.)); #118785=DIRECTION('',(0.111489309829693,0.232269395478527,0.966240685190672)); #118786=DIRECTION('',(0.111489309829693,0.232269395478527,0.966240685190672)); #118787=DIRECTION('center_axis',(0.993408935871131,5.57766497024527E-16, -0.114624107985131)); #118788=DIRECTION('ref_axis',(-0.114624107985131,0.,-0.993408935871131)); #118789=DIRECTION('',(0.,1.,0.)); #118790=DIRECTION('',(-0.114624107985131,0.,-0.993408935871131)); #118791=DIRECTION('center_axis',(0.,-1.,0.)); #118792=DIRECTION('ref_axis',(1.,0.,0.)); #118793=DIRECTION('center_axis',(0.,-1.,0.)); #118794=DIRECTION('ref_axis',(1.,0.,0.)); #118795=DIRECTION('center_axis',(0.,1.,0.)); #118796=DIRECTION('ref_axis',(0.,0.,1.)); #118797=DIRECTION('axis',(0.,0.,1.)); #118798=DIRECTION('refdir',(1.,0.,0.)); #118799=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #118800=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #118801=DIRECTION('center_axis',(-0.577443719390371,0.816430493634709,0.)); #118802=DIRECTION('ref_axis',(-0.807218068801739,-0.570927969548065,-0.149800677527555)); #118803=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118804=DIRECTION('center_axis',(0.581238193719097,-0.813733471206734,0.)); #118805=DIRECTION('ref_axis',(-0.804668983379866,-0.574763559557049,-0.148844475180384)); #118806=DIRECTION('center_axis',(0.600366573064828,0.799724938929876,0.)); #118807=DIRECTION('ref_axis',(-0.791366394510592,0.594091677254363,0.144202318484845)); #118808=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118809=DIRECTION('center_axis',(-0.589172157449439,-0.80800753021638,0.)); #118810=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675765,0.14688362501368)); #118811=DIRECTION('center_axis',(0.580902399477271,-0.813973219634129,0.)); #118812=DIRECTION('ref_axis',(-0.804895750227234,-0.574424147327874,-0.148928607838734)); #118813=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118814=DIRECTION('center_axis',(-0.578597444195687,0.81561326470958,0.)); #118815=DIRECTION('ref_axis',(-0.806446111298852,-0.572094249895769,-0.149508657967212)); #118816=DIRECTION('center_axis',(-0.589437758676364,-0.807813795776343, 0.)); #118817=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #118818=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118819=DIRECTION('center_axis',(0.597733990147092,0.801694503550346,0.)); #118820=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098054,0.144824132539762)); #118821=DIRECTION('center_axis',(0.589172157449439,0.80800753021638,0.)); #118822=DIRECTION('ref_axis',(0.,0.,-1.)); #118823=DIRECTION('',(0.,0.,1.)); #118824=DIRECTION('center_axis',(0.589172157449439,0.80800753021638,0.)); #118825=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675765,0.146883625013679)); #118826=DIRECTION('',(0.,0.,1.)); #118827=DIRECTION('center_axis',(-1.,0.,0.)); #118828=DIRECTION('ref_axis',(0.,0.,1.)); #118829=DIRECTION('',(0.,0.,1.)); #118830=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118831=DIRECTION('center_axis',(-0.600366573064828,-0.799724938929876, 0.)); #118832=DIRECTION('ref_axis',(0.,0.,1.)); #118833=DIRECTION('',(0.,0.,1.)); #118834=DIRECTION('center_axis',(-0.600366573064828,-0.799724938929876, 0.)); #118835=DIRECTION('ref_axis',(-0.791366394510592,0.594091677254363,0.144202318484845)); #118836=DIRECTION('',(0.,0.,1.)); #118837=DIRECTION('',(0.,0.,1.)); #118838=DIRECTION('center_axis',(-0.581238193719097,0.813733471206734,0.)); #118839=DIRECTION('ref_axis',(0.,0.,1.)); #118840=DIRECTION('',(0.,0.,1.)); #118841=DIRECTION('center_axis',(-0.581238193719097,0.813733471206734,0.)); #118842=DIRECTION('ref_axis',(-0.804668983379866,-0.574763559557049,-0.148844475180384)); #118843=DIRECTION('center_axis',(-1.,0.,0.)); #118844=DIRECTION('ref_axis',(0.,0.,1.)); #118845=DIRECTION('',(0.,0.,1.)); #118846=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118847=DIRECTION('center_axis',(0.577443719390371,-0.816430493634709,0.)); #118848=DIRECTION('ref_axis',(0.,0.,-1.)); #118849=DIRECTION('',(0.,0.,1.)); #118850=DIRECTION('center_axis',(0.577443719390371,-0.816430493634709,0.)); #118851=DIRECTION('ref_axis',(-0.807218068801739,-0.570927969548065,-0.149800677527555)); #118852=DIRECTION('center_axis',(-0.580902399477271,0.813973219634129,0.)); #118853=DIRECTION('ref_axis',(0.,0.,1.)); #118854=DIRECTION('center_axis',(-0.580902399477271,0.813973219634129,0.)); #118855=DIRECTION('ref_axis',(-0.804895750227234,-0.574424147327874,-0.148928607838734)); #118856=DIRECTION('',(0.,0.,1.)); #118857=DIRECTION('center_axis',(1.,0.,0.)); #118858=DIRECTION('ref_axis',(0.,0.,-1.)); #118859=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118860=DIRECTION('',(0.,0.,1.)); #118861=DIRECTION('center_axis',(0.578597444195687,-0.81561326470958,0.)); #118862=DIRECTION('ref_axis',(0.,0.,-1.)); #118863=DIRECTION('center_axis',(0.578597444195687,-0.81561326470958,0.)); #118864=DIRECTION('ref_axis',(-0.806446111298852,-0.572094249895769,-0.149508657967212)); #118865=DIRECTION('',(0.,0.,1.)); #118866=DIRECTION('',(0.,0.,1.)); #118867=DIRECTION('',(0.,0.,1.)); #118868=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #118869=DIRECTION('ref_axis',(0.,0.,-1.)); #118870=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #118871=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #118872=DIRECTION('',(0.,0.,1.)); #118873=DIRECTION('center_axis',(1.,0.,0.)); #118874=DIRECTION('ref_axis',(0.,0.,-1.)); #118875=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118876=DIRECTION('',(0.,0.,1.)); #118877=DIRECTION('center_axis',(-0.597733990147092,-0.801694503550346, 0.)); #118878=DIRECTION('ref_axis',(0.,0.,1.)); #118879=DIRECTION('center_axis',(-0.597733990147092,-0.801694503550346, 0.)); #118880=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098054,0.144824132539762)); #118881=DIRECTION('center_axis',(-1.39870621615308E-14,1.,0.)); #118882=DIRECTION('ref_axis',(0.,0.,1.)); #118883=DIRECTION('center_axis',(1.39870621615308E-14,-1.,0.)); #118884=DIRECTION('ref_axis',(1.56820520110268E-13,2.43846575023734E-18, 1.)); #118885=DIRECTION('',(0.,0.,1.)); #118886=DIRECTION('center_axis',(-1.39870621615308E-14,1.,0.)); #118887=DIRECTION('ref_axis',(1.55506620783865E-13,2.4387244706307E-18, 1.)); #118888=DIRECTION('',(0.,0.,1.)); #118889=DIRECTION('center_axis',(1.,-6.99353108076541E-15,0.)); #118890=DIRECTION('ref_axis',(0.,0.,-1.)); #118891=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118892=DIRECTION('',(0.,0.,1.)); #118893=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118894=DIRECTION('center_axis',(0.,1.,0.)); #118895=DIRECTION('ref_axis',(0.,0.,1.)); #118896=DIRECTION('center_axis',(0.,-1.,0.)); #118897=DIRECTION('ref_axis',(4.12053446265934E-15,0.,1.)); #118898=DIRECTION('',(0.,0.,1.)); #118899=DIRECTION('center_axis',(0.,1.,0.)); #118900=DIRECTION('ref_axis',(-4.61992337444638E-15,0.,1.)); #118901=DIRECTION('center_axis',(1.,-6.99353110249584E-14,0.)); #118902=DIRECTION('ref_axis',(0.,0.,-1.)); #118903=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118904=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118905=DIRECTION('',(0.,0.,1.)); #118906=DIRECTION('center_axis',(0.,-1.,0.)); #118907=DIRECTION('ref_axis',(0.,0.,-1.)); #118908=DIRECTION('center_axis',(0.,1.,0.)); #118909=DIRECTION('ref_axis',(4.12053446265934E-15,0.,1.)); #118910=DIRECTION('center_axis',(0.,-1.,0.)); #118911=DIRECTION('ref_axis',(-4.61992337444638E-15,0.,1.)); #118912=DIRECTION('',(0.,0.,1.)); #118913=DIRECTION('center_axis',(-1.,9.99075869567739E-15,0.)); #118914=DIRECTION('ref_axis',(0.,0.,1.)); #118915=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118916=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118917=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #118918=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #118919=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #118920=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #118921=DIRECTION('center_axis',(9.99075869567719E-15,-1.,0.)); #118922=DIRECTION('ref_axis',(1.12954381929312E-13,-7.26861344727922E-19, 1.)); #118923=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118924=DIRECTION('center_axis',(-1.39870621615306E-14,1.,0.)); #118925=DIRECTION('ref_axis',(1.56820520110268E-13,2.43846575023734E-18, 1.)); #118926=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118927=DIRECTION('center_axis',(3.49676555124835E-14,1.,0.)); #118928=DIRECTION('ref_axis',(-3.9699409385756E-13,2.87829290682026E-18, 1.)); #118929=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118930=DIRECTION('center_axis',(1.39870621615306E-14,-1.,0.)); #118931=DIRECTION('ref_axis',(0.,0.,-1.)); #118932=DIRECTION('',(0.,0.,1.)); #118933=DIRECTION('center_axis',(1.39870621615306E-14,-1.,0.)); #118934=DIRECTION('ref_axis',(1.55506620783865E-13,2.4387244706307E-18, 1.)); #118935=DIRECTION('',(0.,0.,1.)); #118936=DIRECTION('center_axis',(1.,-6.99353110249609E-14,0.)); #118937=DIRECTION('ref_axis',(0.,0.,-1.)); #118938=DIRECTION('',(0.,0.,1.)); #118939=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118940=DIRECTION('center_axis',(-9.99075869567719E-15,1.,0.)); #118941=DIRECTION('ref_axis',(0.,0.,1.)); #118942=DIRECTION('',(0.,0.,1.)); #118943=DIRECTION('center_axis',(-9.99075869567719E-15,1.,0.)); #118944=DIRECTION('ref_axis',(1.11580389339629E-13,-1.06125211373465E-18, 1.)); #118945=DIRECTION('center_axis',(1.,0.,0.)); #118946=DIRECTION('ref_axis',(0.,0.,-1.)); #118947=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118948=DIRECTION('',(0.,0.,1.)); #118949=DIRECTION('center_axis',(-3.49676555124835E-14,-1.,0.)); #118950=DIRECTION('ref_axis',(0.,0.,-1.)); #118951=DIRECTION('center_axis',(-3.49676555124835E-14,-1.,0.)); #118952=DIRECTION('ref_axis',(-4.02764919784236E-13,3.54722559143441E-18, 1.)); #118953=DIRECTION('',(0.,0.,1.)); #118954=DIRECTION('center_axis',(-1.,-1.49861380435161E-14,0.)); #118955=DIRECTION('ref_axis',(0.,0.,1.)); #118956=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118957=DIRECTION('center_axis',(1.,-4.99537934783874E-15,0.)); #118958=DIRECTION('ref_axis',(0.,0.,-1.)); #118959=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118960=DIRECTION('',(0.,0.,1.)); #118961=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118962=DIRECTION('',(0.,0.,1.)); #118963=DIRECTION('center_axis',(9.99075869567734E-15,1.,0.)); #118964=DIRECTION('ref_axis',(0.,0.,1.)); #118965=DIRECTION('center_axis',(-9.99075869567734E-15,-1.,0.)); #118966=DIRECTION('ref_axis',(-1.1574451961479E-13,7.26861347012931E-19, 1.)); #118967=DIRECTION('',(0.,0.,1.)); #118968=DIRECTION('center_axis',(9.99075869567734E-15,1.,0.)); #118969=DIRECTION('ref_axis',(-1.20210406203095E-13,1.06125211605036E-18, 1.)); #118970=DIRECTION('center_axis',(-1.,6.9935311024956E-14,0.)); #118971=DIRECTION('ref_axis',(0.,0.,1.)); #118972=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118973=DIRECTION('',(0.,0.,1.)); #118974=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118975=DIRECTION('center_axis',(-3.4967655512481E-14,-1.,0.)); #118976=DIRECTION('ref_axis',(0.,0.,-1.)); #118977=DIRECTION('center_axis',(3.4967655512481E-14,1.,0.)); #118978=DIRECTION('ref_axis',(-3.9699409385756E-13,2.87829290682026E-18, 1.)); #118979=DIRECTION('center_axis',(-3.4967655512481E-14,-1.,0.)); #118980=DIRECTION('ref_axis',(-4.02764919784236E-13,3.54722559143441E-18, 1.)); #118981=DIRECTION('',(0.,0.,1.)); #118982=DIRECTION('center_axis',(-1.,-2.09805932422968E-14,0.)); #118983=DIRECTION('ref_axis',(0.,0.,1.)); #118984=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #118985=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #118986=DIRECTION('',(0.,0.,1.)); #118987=DIRECTION('center_axis',(1.39870621615306E-14,-1.,0.)); #118988=DIRECTION('ref_axis',(0.,0.,-1.)); #118989=DIRECTION('center_axis',(-1.39870621615306E-14,1.,0.)); #118990=DIRECTION('ref_axis',(1.56820520110268E-13,2.43846575023734E-18, 1.)); #118991=DIRECTION('center_axis',(1.39870621615306E-14,-1.,0.)); #118992=DIRECTION('ref_axis',(1.55506620783865E-13,2.4387244706307E-18, 1.)); #118993=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #118994=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #118995=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #118996=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #118997=DIRECTION('center_axis',(0.,-1.,0.)); #118998=DIRECTION('ref_axis',(4.12053446265934E-15,0.,1.)); #118999=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119000=DIRECTION('center_axis',(0.,1.,0.)); #119001=DIRECTION('ref_axis',(4.12053446265934E-15,0.,1.)); #119002=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119003=DIRECTION('center_axis',(-1.39870621615308E-14,-1.,0.)); #119004=DIRECTION('ref_axis',(-1.59610657795746E-13,-2.43846574581175E-18, 1.)); #119005=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119006=DIRECTION('center_axis',(0.,-1.,0.)); #119007=DIRECTION('ref_axis',(0.,0.,-1.)); #119008=DIRECTION('',(0.,0.,1.)); #119009=DIRECTION('center_axis',(0.,-1.,0.)); #119010=DIRECTION('ref_axis',(-4.61992337444638E-15,0.,1.)); #119011=DIRECTION('',(0.,0.,1.)); #119012=DIRECTION('center_axis',(1.,9.99075869567739E-15,0.)); #119013=DIRECTION('ref_axis',(0.,0.,-1.)); #119014=DIRECTION('',(0.,0.,1.)); #119015=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119016=DIRECTION('center_axis',(0.,1.,0.)); #119017=DIRECTION('ref_axis',(0.,0.,1.)); #119018=DIRECTION('',(0.,0.,1.)); #119019=DIRECTION('center_axis',(0.,1.,0.)); #119020=DIRECTION('ref_axis',(-4.61992337444638E-15,0.,1.)); #119021=DIRECTION('center_axis',(-1.,-6.99353110249584E-14,0.)); #119022=DIRECTION('ref_axis',(0.,0.,1.)); #119023=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119024=DIRECTION('',(0.,0.,1.)); #119025=DIRECTION('center_axis',(1.39870621615308E-14,1.,0.)); #119026=DIRECTION('ref_axis',(0.,0.,1.)); #119027=DIRECTION('center_axis',(1.39870621615308E-14,1.,0.)); #119028=DIRECTION('ref_axis',(-1.61050528833201E-13,-2.4387244662028E-18, 1.)); #119029=DIRECTION('',(0.,0.,1.)); #119030=DIRECTION('center_axis',(-1.,-6.99353108076541E-15,0.)); #119031=DIRECTION('ref_axis',(0.,0.,1.)); #119032=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119033=DIRECTION('center_axis',(-0.597733990147099,-0.801694503550341, 0.)); #119034=DIRECTION('ref_axis',(0.,0.,1.)); #119035=DIRECTION('center_axis',(0.597733990147099,0.801694503550341,0.)); #119036=DIRECTION('ref_axis',(-0.79324256888891,0.591432327098061,0.14482413253976)); #119037=DIRECTION('',(0.,0.,1.)); #119038=DIRECTION('center_axis',(-0.597733990147099,-0.801694503550341, 0.)); #119039=DIRECTION('ref_axis',(-0.79324256888891,0.591432327098061,0.14482413253976)); #119040=DIRECTION('',(0.,0.,1.)); #119041=DIRECTION('center_axis',(1.,0.,0.)); #119042=DIRECTION('ref_axis',(0.,0.,-1.)); #119043=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119044=DIRECTION('',(0.,0.,1.)); #119045=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119046=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119047=DIRECTION('ref_axis',(0.,0.,-1.)); #119048=DIRECTION('center_axis',(-0.589437758676364,-0.807813795776343, 0.)); #119049=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119050=DIRECTION('',(0.,0.,1.)); #119051=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119052=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119053=DIRECTION('',(0.,0.,1.)); #119054=DIRECTION('',(0.,0.,1.)); #119055=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119056=DIRECTION('ref_axis',(0.,0.,-1.)); #119057=DIRECTION('center_axis',(-0.578597444195683,0.815613264709583,0.)); #119058=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119059=DIRECTION('',(0.,0.,1.)); #119060=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119061=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119062=DIRECTION('center_axis',(1.,0.,0.)); #119063=DIRECTION('ref_axis',(0.,0.,-1.)); #119064=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119065=DIRECTION('',(0.,0.,1.)); #119066=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119067=DIRECTION('center_axis',(-0.580902399477265,0.813973219634133,0.)); #119068=DIRECTION('ref_axis',(0.,0.,1.)); #119069=DIRECTION('center_axis',(0.580902399477265,-0.813973219634133,0.)); #119070=DIRECTION('ref_axis',(-0.804895750227238,-0.574424147327867,-0.148928607838736)); #119071=DIRECTION('',(0.,0.,1.)); #119072=DIRECTION('center_axis',(-0.580902399477265,0.813973219634133,0.)); #119073=DIRECTION('ref_axis',(-0.804895750227238,-0.574424147327867,-0.148928607838736)); #119074=DIRECTION('center_axis',(0.577443719390373,-0.816430493634708,0.)); #119075=DIRECTION('ref_axis',(0.,0.,-1.)); #119076=DIRECTION('center_axis',(-0.577443719390373,0.816430493634708,0.)); #119077=DIRECTION('ref_axis',(-0.807218068801738,-0.570927969548066,-0.149800677527555)); #119078=DIRECTION('center_axis',(0.577443719390373,-0.816430493634708,0.)); #119079=DIRECTION('ref_axis',(-0.807218068801738,-0.570927969548066,-0.149800677527555)); #119080=DIRECTION('',(0.,0.,1.)); #119081=DIRECTION('center_axis',(-1.,0.,0.)); #119082=DIRECTION('ref_axis',(0.,0.,1.)); #119083=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119084=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119085=DIRECTION('',(0.,0.,1.)); #119086=DIRECTION('center_axis',(-0.5812381937191,0.813733471206733,0.)); #119087=DIRECTION('ref_axis',(0.,0.,1.)); #119088=DIRECTION('center_axis',(0.5812381937191,-0.813733471206733,0.)); #119089=DIRECTION('ref_axis',(-0.804668983379864,-0.574763559557051,-0.148844475180384)); #119090=DIRECTION('center_axis',(-0.5812381937191,0.813733471206733,0.)); #119091=DIRECTION('ref_axis',(-0.804668983379865,-0.574763559557051,-0.148844475180384)); #119092=DIRECTION('',(0.,0.,1.)); #119093=DIRECTION('',(0.,0.,1.)); #119094=DIRECTION('',(0.,0.,1.)); #119095=DIRECTION('center_axis',(-0.600366573064835,-0.799724938929871, 0.)); #119096=DIRECTION('ref_axis',(0.,0.,1.)); #119097=DIRECTION('center_axis',(0.600366573064835,0.799724938929871,0.)); #119098=DIRECTION('ref_axis',(-0.791366394510587,0.594091677254369,0.144202318484843)); #119099=DIRECTION('center_axis',(-0.600366573064835,-0.799724938929871, 0.)); #119100=DIRECTION('ref_axis',(-0.791366394510587,0.594091677254369,0.144202318484844)); #119101=DIRECTION('',(0.,0.,1.)); #119102=DIRECTION('center_axis',(-1.,0.,0.)); #119103=DIRECTION('ref_axis',(0.,0.,1.)); #119104=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119105=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119106=DIRECTION('',(0.,0.,1.)); #119107=DIRECTION('center_axis',(0.589172157449435,0.808007530216382,0.)); #119108=DIRECTION('ref_axis',(0.,0.,-1.)); #119109=DIRECTION('center_axis',(-0.589172157449435,-0.808007530216382, 0.)); #119110=DIRECTION('ref_axis',(-0.799243702869629,0.582781866675761,0.146883625013681)); #119111=DIRECTION('center_axis',(0.589172157449435,0.808007530216382,0.)); #119112=DIRECTION('ref_axis',(-0.799243702869629,0.582781866675761,0.146883625013681)); #119113=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119114=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #119115=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119116=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #119117=DIRECTION('center_axis',(-0.577443719390371,0.816430493634709,0.)); #119118=DIRECTION('ref_axis',(-0.807218068801739,-0.570927969548065,-0.149800677527555)); #119119=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119120=DIRECTION('center_axis',(0.581238193719092,-0.813733471206738,0.)); #119121=DIRECTION('ref_axis',(-0.804668983379869,-0.574763559557043,-0.148844475180386)); #119122=DIRECTION('center_axis',(0.600366573064828,0.799724938929876,0.)); #119123=DIRECTION('ref_axis',(-0.791366394510592,0.594091677254363,0.144202318484845)); #119124=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119125=DIRECTION('center_axis',(-0.589172157449439,-0.80800753021638,0.)); #119126=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675765,0.14688362501368)); #119127=DIRECTION('center_axis',(0.580902399477265,-0.813973219634133,0.)); #119128=DIRECTION('ref_axis',(-0.804895750227238,-0.574424147327867,-0.148928607838736)); #119129=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119130=DIRECTION('center_axis',(-0.578597444195683,0.815613264709583,0.)); #119131=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119132=DIRECTION('center_axis',(-0.589437758676361,-0.807813795776346, 0.)); #119133=DIRECTION('ref_axis',(-0.799059836164007,0.583050254080105,0.146818866112892)); #119134=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119135=DIRECTION('center_axis',(0.597733990147093,0.801694503550346,0.)); #119136=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098055,0.144824132539761)); #119137=DIRECTION('center_axis',(0.589172157449439,0.80800753021638,0.)); #119138=DIRECTION('ref_axis',(0.,0.,-1.)); #119139=DIRECTION('',(0.,0.,1.)); #119140=DIRECTION('center_axis',(0.589172157449439,0.80800753021638,0.)); #119141=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675765,0.146883625013679)); #119142=DIRECTION('',(0.,0.,1.)); #119143=DIRECTION('center_axis',(-1.,0.,0.)); #119144=DIRECTION('ref_axis',(0.,0.,1.)); #119145=DIRECTION('',(0.,0.,1.)); #119146=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119147=DIRECTION('center_axis',(-0.600366573064828,-0.799724938929876, 0.)); #119148=DIRECTION('ref_axis',(0.,0.,1.)); #119149=DIRECTION('',(0.,0.,1.)); #119150=DIRECTION('center_axis',(-0.600366573064828,-0.799724938929876, 0.)); #119151=DIRECTION('ref_axis',(-0.791366394510592,0.594091677254363,0.144202318484845)); #119152=DIRECTION('',(0.,0.,1.)); #119153=DIRECTION('',(0.,0.,1.)); #119154=DIRECTION('center_axis',(-0.581238193719092,0.813733471206738,0.)); #119155=DIRECTION('ref_axis',(0.,0.,1.)); #119156=DIRECTION('',(0.,0.,1.)); #119157=DIRECTION('center_axis',(-0.581238193719092,0.813733471206738,0.)); #119158=DIRECTION('ref_axis',(-0.80466898337987,-0.574763559557043,-0.148844475180386)); #119159=DIRECTION('center_axis',(-1.,-2.22706606807038E-14,0.)); #119160=DIRECTION('ref_axis',(0.,0.,1.)); #119161=DIRECTION('',(0.,0.,1.)); #119162=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119163=DIRECTION('center_axis',(0.577443719390371,-0.816430493634709,0.)); #119164=DIRECTION('ref_axis',(0.,0.,-1.)); #119165=DIRECTION('',(0.,0.,1.)); #119166=DIRECTION('center_axis',(0.577443719390371,-0.816430493634709,0.)); #119167=DIRECTION('ref_axis',(-0.807218068801739,-0.570927969548065,-0.149800677527555)); #119168=DIRECTION('center_axis',(-0.580902399477265,0.813973219634133,0.)); #119169=DIRECTION('ref_axis',(0.,0.,1.)); #119170=DIRECTION('center_axis',(-0.580902399477265,0.813973219634133,0.)); #119171=DIRECTION('ref_axis',(-0.804895750227238,-0.574424147327867,-0.148928607838736)); #119172=DIRECTION('',(0.,0.,1.)); #119173=DIRECTION('center_axis',(1.,0.,0.)); #119174=DIRECTION('ref_axis',(0.,0.,-1.)); #119175=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119176=DIRECTION('',(0.,0.,1.)); #119177=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119178=DIRECTION('ref_axis',(0.,0.,-1.)); #119179=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119180=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119181=DIRECTION('',(0.,0.,1.)); #119182=DIRECTION('',(0.,0.,1.)); #119183=DIRECTION('',(0.,0.,1.)); #119184=DIRECTION('center_axis',(0.589437758676361,0.807813795776346,0.)); #119185=DIRECTION('ref_axis',(0.,0.,-1.)); #119186=DIRECTION('center_axis',(0.589437758676361,0.807813795776346,0.)); #119187=DIRECTION('ref_axis',(-0.799059836164007,0.583050254080105,0.146818866112892)); #119188=DIRECTION('',(0.,0.,1.)); #119189=DIRECTION('center_axis',(1.,0.,0.)); #119190=DIRECTION('ref_axis',(0.,0.,-1.)); #119191=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119192=DIRECTION('',(0.,0.,1.)); #119193=DIRECTION('center_axis',(-0.597733990147093,-0.801694503550346, 0.)); #119194=DIRECTION('ref_axis',(0.,0.,1.)); #119195=DIRECTION('center_axis',(-0.597733990147093,-0.801694503550346, 0.)); #119196=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098055,0.144824132539761)); #119197=DIRECTION('center_axis',(-0.597733990147095,-0.801694503550344, 0.)); #119198=DIRECTION('ref_axis',(0.,0.,1.)); #119199=DIRECTION('center_axis',(0.597733990147095,0.801694503550344,0.)); #119200=DIRECTION('ref_axis',(-0.793242568888913,0.591432327098058,0.144824132539761)); #119201=DIRECTION('',(0.,0.,1.)); #119202=DIRECTION('center_axis',(-0.597733990147095,-0.801694503550344, 0.)); #119203=DIRECTION('ref_axis',(-0.793242568888913,0.591432327098058,0.144824132539761)); #119204=DIRECTION('',(0.,0.,1.)); #119205=DIRECTION('center_axis',(1.,0.,0.)); #119206=DIRECTION('ref_axis',(0.,0.,-1.)); #119207=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119208=DIRECTION('',(0.,0.,1.)); #119209=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119210=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119211=DIRECTION('ref_axis',(0.,0.,-1.)); #119212=DIRECTION('center_axis',(-0.589437758676364,-0.807813795776343, 0.)); #119213=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119214=DIRECTION('',(0.,0.,1.)); #119215=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119216=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119217=DIRECTION('',(0.,0.,1.)); #119218=DIRECTION('',(0.,0.,1.)); #119219=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119220=DIRECTION('ref_axis',(0.,0.,-1.)); #119221=DIRECTION('center_axis',(-0.578597444195683,0.815613264709583,0.)); #119222=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119223=DIRECTION('',(0.,0.,1.)); #119224=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119225=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119226=DIRECTION('center_axis',(1.,0.,0.)); #119227=DIRECTION('ref_axis',(0.,0.,-1.)); #119228=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119229=DIRECTION('',(0.,0.,1.)); #119230=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119231=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119232=DIRECTION('ref_axis',(0.,0.,1.)); #119233=DIRECTION('center_axis',(0.580902399477268,-0.81397321963413,0.)); #119234=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119235=DIRECTION('',(0.,0.,1.)); #119236=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119237=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119238=DIRECTION('center_axis',(0.577443719390369,-0.816430493634711,0.)); #119239=DIRECTION('ref_axis',(0.,0.,-1.)); #119240=DIRECTION('center_axis',(-0.577443719390369,0.816430493634711,0.)); #119241=DIRECTION('ref_axis',(-0.807218068801741,-0.570927969548062,-0.149800677527556)); #119242=DIRECTION('center_axis',(0.577443719390369,-0.816430493634711,0.)); #119243=DIRECTION('ref_axis',(-0.807218068801741,-0.570927969548062,-0.149800677527556)); #119244=DIRECTION('',(0.,0.,1.)); #119245=DIRECTION('center_axis',(-1.,0.,0.)); #119246=DIRECTION('ref_axis',(0.,0.,1.)); #119247=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119248=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119249=DIRECTION('',(0.,0.,1.)); #119250=DIRECTION('center_axis',(-0.581238193719092,0.813733471206738,0.)); #119251=DIRECTION('ref_axis',(0.,0.,1.)); #119252=DIRECTION('center_axis',(0.581238193719092,-0.813733471206738,0.)); #119253=DIRECTION('ref_axis',(-0.804668983379869,-0.574763559557043,-0.148844475180386)); #119254=DIRECTION('center_axis',(-0.581238193719092,0.813733471206738,0.)); #119255=DIRECTION('ref_axis',(-0.80466898337987,-0.574763559557043,-0.148844475180386)); #119256=DIRECTION('',(0.,0.,1.)); #119257=DIRECTION('',(0.,0.,1.)); #119258=DIRECTION('',(0.,0.,1.)); #119259=DIRECTION('center_axis',(-0.600366573064828,-0.799724938929876, 0.)); #119260=DIRECTION('ref_axis',(0.,0.,1.)); #119261=DIRECTION('center_axis',(0.600366573064828,0.799724938929876,0.)); #119262=DIRECTION('ref_axis',(-0.791366394510592,0.594091677254363,0.144202318484845)); #119263=DIRECTION('center_axis',(-0.600366573064828,-0.799724938929876, 0.)); #119264=DIRECTION('ref_axis',(-0.791366394510592,0.594091677254363,0.144202318484845)); #119265=DIRECTION('',(0.,0.,1.)); #119266=DIRECTION('center_axis',(-1.,0.,0.)); #119267=DIRECTION('ref_axis',(0.,0.,1.)); #119268=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119269=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119270=DIRECTION('',(0.,0.,1.)); #119271=DIRECTION('center_axis',(0.589172157449439,0.80800753021638,0.)); #119272=DIRECTION('ref_axis',(0.,0.,-1.)); #119273=DIRECTION('center_axis',(-0.589172157449439,-0.80800753021638,0.)); #119274=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675765,0.14688362501368)); #119275=DIRECTION('center_axis',(0.589172157449439,0.80800753021638,0.)); #119276=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675765,0.146883625013679)); #119277=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119278=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #119279=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119280=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #119281=DIRECTION('center_axis',(-0.577443719390365,0.816430493634713,0.)); #119282=DIRECTION('ref_axis',(-0.807218068801743,-0.570927969548058,-0.149800677527557)); #119283=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119284=DIRECTION('center_axis',(0.581238193719092,-0.813733471206738,0.)); #119285=DIRECTION('ref_axis',(-0.804668983379869,-0.574763559557043,-0.148844475180386)); #119286=DIRECTION('center_axis',(0.600366573064821,0.799724938929881,0.)); #119287=DIRECTION('ref_axis',(-0.791366394510597,0.594091677254356,0.144202318484847)); #119288=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119289=DIRECTION('center_axis',(-0.589172157449443,-0.808007530216377, 0.)); #119290=DIRECTION('ref_axis',(-0.799243702869624,0.582781866675769,0.146883625013679)); #119291=DIRECTION('center_axis',(0.580902399477268,-0.81397321963413,0.)); #119292=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119293=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119294=DIRECTION('center_axis',(-0.578597444195683,0.815613264709583,0.)); #119295=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119296=DIRECTION('center_axis',(-0.589437758676364,-0.807813795776343, 0.)); #119297=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119298=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119299=DIRECTION('center_axis',(0.597733990147092,0.801694503550346,0.)); #119300=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098054,0.144824132539762)); #119301=DIRECTION('center_axis',(0.589172157449443,0.808007530216377,0.)); #119302=DIRECTION('ref_axis',(0.,0.,-1.)); #119303=DIRECTION('',(0.,0.,1.)); #119304=DIRECTION('center_axis',(0.589172157449443,0.808007530216377,0.)); #119305=DIRECTION('ref_axis',(-0.799243702869624,0.582781866675769,0.146883625013679)); #119306=DIRECTION('',(0.,0.,1.)); #119307=DIRECTION('center_axis',(-1.,0.,0.)); #119308=DIRECTION('ref_axis',(0.,0.,1.)); #119309=DIRECTION('',(0.,0.,1.)); #119310=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119311=DIRECTION('center_axis',(-0.600366573064821,-0.799724938929881, 0.)); #119312=DIRECTION('ref_axis',(0.,0.,1.)); #119313=DIRECTION('',(0.,0.,1.)); #119314=DIRECTION('center_axis',(-0.600366573064821,-0.799724938929881, 0.)); #119315=DIRECTION('ref_axis',(-0.791366394510597,0.594091677254356,0.144202318484847)); #119316=DIRECTION('',(0.,0.,1.)); #119317=DIRECTION('',(0.,0.,1.)); #119318=DIRECTION('center_axis',(-0.581238193719092,0.813733471206738,0.)); #119319=DIRECTION('ref_axis',(0.,0.,1.)); #119320=DIRECTION('',(0.,0.,1.)); #119321=DIRECTION('center_axis',(-0.581238193719092,0.813733471206738,0.)); #119322=DIRECTION('ref_axis',(-0.80466898337987,-0.574763559557043,-0.148844475180386)); #119323=DIRECTION('center_axis',(-1.,0.,0.)); #119324=DIRECTION('ref_axis',(0.,0.,1.)); #119325=DIRECTION('',(0.,0.,1.)); #119326=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119327=DIRECTION('center_axis',(0.577443719390365,-0.816430493634713,0.)); #119328=DIRECTION('ref_axis',(0.,0.,-1.)); #119329=DIRECTION('',(0.,0.,1.)); #119330=DIRECTION('center_axis',(0.577443719390365,-0.816430493634713,0.)); #119331=DIRECTION('ref_axis',(-0.807218068801743,-0.570927969548058,-0.149800677527557)); #119332=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119333=DIRECTION('ref_axis',(0.,0.,1.)); #119334=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119335=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119336=DIRECTION('',(0.,0.,1.)); #119337=DIRECTION('center_axis',(1.,0.,0.)); #119338=DIRECTION('ref_axis',(0.,0.,-1.)); #119339=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119340=DIRECTION('',(0.,0.,1.)); #119341=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119342=DIRECTION('ref_axis',(0.,0.,-1.)); #119343=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119344=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119345=DIRECTION('',(0.,0.,1.)); #119346=DIRECTION('',(0.,0.,1.)); #119347=DIRECTION('',(0.,0.,1.)); #119348=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119349=DIRECTION('ref_axis',(0.,0.,-1.)); #119350=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119351=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119352=DIRECTION('',(0.,0.,1.)); #119353=DIRECTION('center_axis',(1.,0.,0.)); #119354=DIRECTION('ref_axis',(0.,0.,-1.)); #119355=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119356=DIRECTION('',(0.,0.,1.)); #119357=DIRECTION('center_axis',(-0.597733990147092,-0.801694503550346, 0.)); #119358=DIRECTION('ref_axis',(0.,0.,1.)); #119359=DIRECTION('center_axis',(-0.597733990147092,-0.801694503550346, 0.)); #119360=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098054,0.144824132539762)); #119361=DIRECTION('center_axis',(-0.597733990147093,-0.801694503550346, 0.)); #119362=DIRECTION('ref_axis',(0.,0.,1.)); #119363=DIRECTION('center_axis',(0.597733990147093,0.801694503550346,0.)); #119364=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098055,0.144824132539761)); #119365=DIRECTION('',(0.,0.,1.)); #119366=DIRECTION('center_axis',(-0.597733990147093,-0.801694503550346, 0.)); #119367=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098055,0.144824132539761)); #119368=DIRECTION('',(0.,0.,1.)); #119369=DIRECTION('center_axis',(1.,2.19509861254781E-14,0.)); #119370=DIRECTION('ref_axis',(0.,0.,-1.)); #119371=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119372=DIRECTION('',(0.,0.,1.)); #119373=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119374=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119375=DIRECTION('ref_axis',(0.,0.,-1.)); #119376=DIRECTION('center_axis',(-0.589437758676364,-0.807813795776343, 0.)); #119377=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119378=DIRECTION('',(0.,0.,1.)); #119379=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119380=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119381=DIRECTION('',(0.,0.,1.)); #119382=DIRECTION('',(0.,0.,1.)); #119383=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119384=DIRECTION('ref_axis',(0.,0.,-1.)); #119385=DIRECTION('center_axis',(-0.578597444195683,0.815613264709583,0.)); #119386=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119387=DIRECTION('',(0.,0.,1.)); #119388=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119389=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119390=DIRECTION('center_axis',(1.,0.,0.)); #119391=DIRECTION('ref_axis',(0.,0.,-1.)); #119392=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119393=DIRECTION('',(0.,0.,1.)); #119394=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119395=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119396=DIRECTION('ref_axis',(0.,0.,1.)); #119397=DIRECTION('center_axis',(0.580902399477268,-0.81397321963413,0.)); #119398=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119399=DIRECTION('',(0.,0.,1.)); #119400=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119401=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119402=DIRECTION('center_axis',(0.577443719390374,-0.816430493634707,0.)); #119403=DIRECTION('ref_axis',(0.,0.,-1.)); #119404=DIRECTION('center_axis',(-0.577443719390374,0.816430493634707,0.)); #119405=DIRECTION('ref_axis',(-0.807218068801737,-0.570927969548068,-0.149800677527555)); #119406=DIRECTION('center_axis',(0.577443719390374,-0.816430493634707,0.)); #119407=DIRECTION('ref_axis',(-0.807218068801737,-0.570927969548068,-0.149800677527555)); #119408=DIRECTION('',(0.,0.,1.)); #119409=DIRECTION('center_axis',(-1.,-2.22706606807038E-14,0.)); #119410=DIRECTION('ref_axis',(0.,0.,1.)); #119411=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119412=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119413=DIRECTION('',(0.,0.,1.)); #119414=DIRECTION('center_axis',(-0.581238193719097,0.813733471206734,0.)); #119415=DIRECTION('ref_axis',(0.,0.,1.)); #119416=DIRECTION('center_axis',(0.581238193719097,-0.813733471206734,0.)); #119417=DIRECTION('ref_axis',(-0.804668983379866,-0.574763559557049,-0.148844475180384)); #119418=DIRECTION('center_axis',(-0.581238193719097,0.813733471206734,0.)); #119419=DIRECTION('ref_axis',(-0.804668983379866,-0.574763559557049,-0.148844475180384)); #119420=DIRECTION('',(0.,0.,1.)); #119421=DIRECTION('',(0.,0.,1.)); #119422=DIRECTION('',(0.,0.,1.)); #119423=DIRECTION('center_axis',(-0.600366573064833,-0.799724938929873, 0.)); #119424=DIRECTION('ref_axis',(0.,0.,1.)); #119425=DIRECTION('center_axis',(0.600366573064833,0.799724938929873,0.)); #119426=DIRECTION('ref_axis',(-0.791366394510588,0.594091677254368,0.144202318484844)); #119427=DIRECTION('center_axis',(-0.600366573064833,-0.799724938929873, 0.)); #119428=DIRECTION('ref_axis',(-0.791366394510588,0.594091677254368,0.144202318484844)); #119429=DIRECTION('',(0.,0.,1.)); #119430=DIRECTION('center_axis',(-1.,0.,0.)); #119431=DIRECTION('ref_axis',(0.,0.,1.)); #119432=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119433=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119434=DIRECTION('',(0.,0.,1.)); #119435=DIRECTION('center_axis',(0.589172157449442,0.808007530216378,0.)); #119436=DIRECTION('ref_axis',(0.,0.,-1.)); #119437=DIRECTION('center_axis',(-0.589172157449442,-0.808007530216378, 0.)); #119438=DIRECTION('ref_axis',(-0.799243702869625,0.582781866675768,0.146883625013679)); #119439=DIRECTION('center_axis',(0.589172157449442,0.808007530216378,0.)); #119440=DIRECTION('ref_axis',(-0.799243702869625,0.582781866675768,0.146883625013679)); #119441=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119442=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #119443=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119444=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #119445=DIRECTION('center_axis',(-0.577443719390367,0.816430493634711,0.)); #119446=DIRECTION('ref_axis',(-0.807218068801742,-0.570927969548061,-0.149800677527556)); #119447=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119448=DIRECTION('center_axis',(0.58123819371909,-0.813733471206739,0.)); #119449=DIRECTION('ref_axis',(-0.804668983379871,-0.574763559557041,-0.148844475180386)); #119450=DIRECTION('center_axis',(0.600366573064826,0.799724938929878,0.)); #119451=DIRECTION('ref_axis',(-0.791366394510593,0.594091677254361,0.144202318484845)); #119452=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119453=DIRECTION('center_axis',(-0.589172157449446,-0.808007530216375, 0.)); #119454=DIRECTION('ref_axis',(-0.799243702869622,0.582781866675772,0.146883625013678)); #119455=DIRECTION('center_axis',(0.580902399477268,-0.81397321963413,0.)); #119456=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119457=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119458=DIRECTION('center_axis',(-0.578597444195683,0.815613264709583,0.)); #119459=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119460=DIRECTION('center_axis',(-0.589437758676364,-0.807813795776343, 0.)); #119461=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119462=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119463=DIRECTION('center_axis',(0.597733990147092,0.801694503550346,0.)); #119464=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098054,0.144824132539762)); #119465=DIRECTION('center_axis',(0.589172157449446,0.808007530216375,0.)); #119466=DIRECTION('ref_axis',(0.,0.,-1.)); #119467=DIRECTION('',(0.,0.,1.)); #119468=DIRECTION('center_axis',(0.589172157449446,0.808007530216375,0.)); #119469=DIRECTION('ref_axis',(-0.799243702869622,0.582781866675772,0.146883625013678)); #119470=DIRECTION('',(0.,0.,1.)); #119471=DIRECTION('center_axis',(-1.,0.,0.)); #119472=DIRECTION('ref_axis',(0.,0.,1.)); #119473=DIRECTION('',(0.,0.,1.)); #119474=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119475=DIRECTION('center_axis',(-0.600366573064826,-0.799724938929878, 0.)); #119476=DIRECTION('ref_axis',(0.,0.,1.)); #119477=DIRECTION('',(0.,0.,1.)); #119478=DIRECTION('center_axis',(-0.600366573064826,-0.799724938929878, 0.)); #119479=DIRECTION('ref_axis',(-0.791366394510593,0.594091677254361,0.144202318484846)); #119480=DIRECTION('',(0.,0.,1.)); #119481=DIRECTION('',(0.,0.,1.)); #119482=DIRECTION('center_axis',(-0.58123819371909,0.813733471206739,0.)); #119483=DIRECTION('ref_axis',(0.,0.,1.)); #119484=DIRECTION('',(0.,0.,1.)); #119485=DIRECTION('center_axis',(-0.58123819371909,0.813733471206739,0.)); #119486=DIRECTION('ref_axis',(-0.804668983379871,-0.574763559557041,-0.148844475180386)); #119487=DIRECTION('center_axis',(-1.,0.,0.)); #119488=DIRECTION('ref_axis',(0.,0.,1.)); #119489=DIRECTION('',(0.,0.,1.)); #119490=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119491=DIRECTION('center_axis',(0.577443719390367,-0.816430493634711,0.)); #119492=DIRECTION('ref_axis',(0.,0.,-1.)); #119493=DIRECTION('',(0.,0.,1.)); #119494=DIRECTION('center_axis',(0.577443719390367,-0.816430493634711,0.)); #119495=DIRECTION('ref_axis',(-0.807218068801742,-0.570927969548061,-0.149800677527556)); #119496=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119497=DIRECTION('ref_axis',(0.,0.,1.)); #119498=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119499=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119500=DIRECTION('',(0.,0.,1.)); #119501=DIRECTION('center_axis',(1.,0.,0.)); #119502=DIRECTION('ref_axis',(0.,0.,-1.)); #119503=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119504=DIRECTION('',(0.,0.,1.)); #119505=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119506=DIRECTION('ref_axis',(0.,0.,-1.)); #119507=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119508=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119509=DIRECTION('',(0.,0.,1.)); #119510=DIRECTION('',(0.,0.,1.)); #119511=DIRECTION('',(0.,0.,1.)); #119512=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119513=DIRECTION('ref_axis',(0.,0.,-1.)); #119514=DIRECTION('center_axis',(0.589437758676364,0.807813795776343,0.)); #119515=DIRECTION('ref_axis',(-0.799059836164005,0.583050254080108,0.146818866112891)); #119516=DIRECTION('',(0.,0.,1.)); #119517=DIRECTION('center_axis',(1.,0.,0.)); #119518=DIRECTION('ref_axis',(0.,0.,-1.)); #119519=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119520=DIRECTION('',(0.,0.,1.)); #119521=DIRECTION('center_axis',(-0.597733990147092,-0.801694503550346, 0.)); #119522=DIRECTION('ref_axis',(0.,0.,1.)); #119523=DIRECTION('center_axis',(-0.597733990147092,-0.801694503550346, 0.)); #119524=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098054,0.144824132539762)); #119525=DIRECTION('center_axis',(-0.597733990147092,-0.801694503550346, 0.)); #119526=DIRECTION('ref_axis',(0.,0.,1.)); #119527=DIRECTION('center_axis',(0.597733990147092,0.801694503550346,0.)); #119528=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098054,0.144824132539762)); #119529=DIRECTION('',(0.,0.,1.)); #119530=DIRECTION('center_axis',(-0.597733990147092,-0.801694503550346, 0.)); #119531=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098054,0.144824132539762)); #119532=DIRECTION('',(0.,0.,1.)); #119533=DIRECTION('center_axis',(1.,0.,0.)); #119534=DIRECTION('ref_axis',(0.,0.,-1.)); #119535=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119536=DIRECTION('',(0.,0.,1.)); #119537=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119538=DIRECTION('center_axis',(0.58943775867637,0.80781379577634,0.)); #119539=DIRECTION('ref_axis',(0.,0.,-1.)); #119540=DIRECTION('center_axis',(-0.58943775867637,-0.80781379577634,0.)); #119541=DIRECTION('ref_axis',(-0.799059836164001,0.583050254080114,0.14681886611289)); #119542=DIRECTION('',(0.,0.,1.)); #119543=DIRECTION('center_axis',(0.58943775867637,0.80781379577634,0.)); #119544=DIRECTION('ref_axis',(-0.799059836164001,0.583050254080114,0.14681886611289)); #119545=DIRECTION('',(0.,0.,1.)); #119546=DIRECTION('',(0.,0.,1.)); #119547=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119548=DIRECTION('ref_axis',(0.,0.,-1.)); #119549=DIRECTION('center_axis',(-0.578597444195683,0.815613264709583,0.)); #119550=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119551=DIRECTION('',(0.,0.,1.)); #119552=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119553=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119554=DIRECTION('center_axis',(1.,2.15387610339204E-14,0.)); #119555=DIRECTION('ref_axis',(0.,0.,-1.)); #119556=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119557=DIRECTION('',(0.,0.,1.)); #119558=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119559=DIRECTION('center_axis',(-0.580902399477267,0.813973219634131,0.)); #119560=DIRECTION('ref_axis',(0.,0.,1.)); #119561=DIRECTION('center_axis',(0.580902399477267,-0.813973219634131,0.)); #119562=DIRECTION('ref_axis',(-0.804895750227237,-0.57442414732787,-0.148928607838735)); #119563=DIRECTION('',(0.,0.,1.)); #119564=DIRECTION('center_axis',(-0.580902399477267,0.813973219634131,0.)); #119565=DIRECTION('ref_axis',(-0.804895750227237,-0.57442414732787,-0.148928607838735)); #119566=DIRECTION('center_axis',(0.577443719390371,-0.816430493634709,0.)); #119567=DIRECTION('ref_axis',(0.,0.,-1.)); #119568=DIRECTION('center_axis',(-0.577443719390371,0.816430493634709,0.)); #119569=DIRECTION('ref_axis',(-0.807218068801739,-0.570927969548065,-0.149800677527555)); #119570=DIRECTION('center_axis',(0.577443719390371,-0.816430493634709,0.)); #119571=DIRECTION('ref_axis',(-0.807218068801739,-0.570927969548065,-0.149800677527555)); #119572=DIRECTION('',(0.,0.,1.)); #119573=DIRECTION('center_axis',(-1.,0.,0.)); #119574=DIRECTION('ref_axis',(0.,0.,1.)); #119575=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119576=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119577=DIRECTION('',(0.,0.,1.)); #119578=DIRECTION('center_axis',(-0.581238193719097,0.813733471206734,0.)); #119579=DIRECTION('ref_axis',(0.,0.,1.)); #119580=DIRECTION('center_axis',(0.581238193719097,-0.813733471206734,0.)); #119581=DIRECTION('ref_axis',(-0.804668983379866,-0.574763559557049,-0.148844475180384)); #119582=DIRECTION('center_axis',(-0.581238193719097,0.813733471206734,0.)); #119583=DIRECTION('ref_axis',(-0.804668983379866,-0.574763559557049,-0.148844475180384)); #119584=DIRECTION('',(0.,0.,1.)); #119585=DIRECTION('',(0.,0.,1.)); #119586=DIRECTION('',(0.,0.,1.)); #119587=DIRECTION('center_axis',(-0.600366573064833,-0.799724938929873, 0.)); #119588=DIRECTION('ref_axis',(0.,0.,1.)); #119589=DIRECTION('center_axis',(0.600366573064833,0.799724938929873,0.)); #119590=DIRECTION('ref_axis',(-0.791366394510588,0.594091677254368,0.144202318484844)); #119591=DIRECTION('center_axis',(-0.600366573064833,-0.799724938929873, 0.)); #119592=DIRECTION('ref_axis',(-0.791366394510588,0.594091677254368,0.144202318484844)); #119593=DIRECTION('',(0.,0.,1.)); #119594=DIRECTION('center_axis',(-1.,-2.42738418001324E-14,0.)); #119595=DIRECTION('ref_axis',(0.,0.,1.)); #119596=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119597=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119598=DIRECTION('',(0.,0.,1.)); #119599=DIRECTION('center_axis',(0.589172157449439,0.80800753021638,0.)); #119600=DIRECTION('ref_axis',(0.,0.,-1.)); #119601=DIRECTION('center_axis',(-0.589172157449439,-0.80800753021638,0.)); #119602=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675765,0.14688362501368)); #119603=DIRECTION('center_axis',(0.589172157449439,0.80800753021638,0.)); #119604=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675765,0.146883625013679)); #119605=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119606=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #119607=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119608=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #119609=DIRECTION('center_axis',(-0.577443719390375,0.816430493634706,0.)); #119610=DIRECTION('ref_axis',(-0.807218068801736,-0.570927969548069,-0.149800677527554)); #119611=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119612=DIRECTION('center_axis',(0.581238193719105,-0.813733471206729,0.)); #119613=DIRECTION('ref_axis',(-0.804668983379861,-0.574763559557056,-0.148844475180382)); #119614=DIRECTION('center_axis',(0.600366573064833,0.799724938929873,0.)); #119615=DIRECTION('ref_axis',(-0.791366394510588,0.594091677254368,0.144202318484844)); #119616=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119617=DIRECTION('center_axis',(-0.589172157449438,-0.80800753021638,0.)); #119618=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675764,0.14688362501368)); #119619=DIRECTION('center_axis',(0.580902399477265,-0.813973219634133,0.)); #119620=DIRECTION('ref_axis',(-0.804895750227238,-0.574424147327867,-0.148928607838736)); #119621=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119622=DIRECTION('center_axis',(-0.578597444195688,0.815613264709579,0.)); #119623=DIRECTION('ref_axis',(-0.806446111298851,-0.572094249895771,-0.149508657967212)); #119624=DIRECTION('center_axis',(-0.58943775867637,-0.80781379577634,0.)); #119625=DIRECTION('ref_axis',(-0.799059836164001,0.583050254080114,0.14681886611289)); #119626=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119627=DIRECTION('center_axis',(0.597733990147095,0.801694503550344,0.)); #119628=DIRECTION('ref_axis',(-0.793242568888913,0.591432327098058,0.144824132539761)); #119629=DIRECTION('center_axis',(0.589172157449438,0.80800753021638,0.)); #119630=DIRECTION('ref_axis',(0.,0.,-1.)); #119631=DIRECTION('',(0.,0.,1.)); #119632=DIRECTION('center_axis',(0.589172157449438,0.80800753021638,0.)); #119633=DIRECTION('ref_axis',(-0.799243702869627,0.582781866675764,0.14688362501368)); #119634=DIRECTION('',(0.,0.,1.)); #119635=DIRECTION('center_axis',(-1.,0.,0.)); #119636=DIRECTION('ref_axis',(0.,0.,1.)); #119637=DIRECTION('',(0.,0.,1.)); #119638=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119639=DIRECTION('center_axis',(-0.600366573064833,-0.799724938929873, 0.)); #119640=DIRECTION('ref_axis',(0.,0.,1.)); #119641=DIRECTION('',(0.,0.,1.)); #119642=DIRECTION('center_axis',(-0.600366573064833,-0.799724938929873, 0.)); #119643=DIRECTION('ref_axis',(-0.791366394510588,0.594091677254368,0.144202318484844)); #119644=DIRECTION('',(0.,0.,1.)); #119645=DIRECTION('',(0.,0.,1.)); #119646=DIRECTION('center_axis',(-0.581238193719105,0.813733471206729,0.)); #119647=DIRECTION('ref_axis',(0.,0.,1.)); #119648=DIRECTION('',(0.,0.,1.)); #119649=DIRECTION('center_axis',(-0.581238193719105,0.813733471206729,0.)); #119650=DIRECTION('ref_axis',(-0.804668983379861,-0.574763559557056,-0.148844475180382)); #119651=DIRECTION('center_axis',(-1.,0.,0.)); #119652=DIRECTION('ref_axis',(0.,0.,1.)); #119653=DIRECTION('',(0.,0.,1.)); #119654=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119655=DIRECTION('center_axis',(0.577443719390375,-0.816430493634706,0.)); #119656=DIRECTION('ref_axis',(0.,0.,-1.)); #119657=DIRECTION('',(0.,0.,1.)); #119658=DIRECTION('center_axis',(0.577443719390375,-0.816430493634706,0.)); #119659=DIRECTION('ref_axis',(-0.807218068801736,-0.570927969548069,-0.149800677527554)); #119660=DIRECTION('center_axis',(-0.580902399477265,0.813973219634133,0.)); #119661=DIRECTION('ref_axis',(0.,0.,1.)); #119662=DIRECTION('center_axis',(-0.580902399477265,0.813973219634133,0.)); #119663=DIRECTION('ref_axis',(-0.804895750227238,-0.574424147327867,-0.148928607838736)); #119664=DIRECTION('',(0.,0.,1.)); #119665=DIRECTION('center_axis',(1.,0.,0.)); #119666=DIRECTION('ref_axis',(0.,0.,-1.)); #119667=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119668=DIRECTION('',(0.,0.,1.)); #119669=DIRECTION('center_axis',(0.578597444195688,-0.815613264709579,0.)); #119670=DIRECTION('ref_axis',(0.,0.,-1.)); #119671=DIRECTION('center_axis',(0.578597444195688,-0.815613264709579,0.)); #119672=DIRECTION('ref_axis',(-0.806446111298851,-0.572094249895771,-0.149508657967212)); #119673=DIRECTION('',(0.,0.,1.)); #119674=DIRECTION('',(0.,0.,1.)); #119675=DIRECTION('',(0.,0.,1.)); #119676=DIRECTION('center_axis',(0.58943775867637,0.80781379577634,0.)); #119677=DIRECTION('ref_axis',(0.,0.,-1.)); #119678=DIRECTION('center_axis',(0.58943775867637,0.80781379577634,0.)); #119679=DIRECTION('ref_axis',(-0.799059836164001,0.583050254080114,0.14681886611289)); #119680=DIRECTION('',(0.,0.,1.)); #119681=DIRECTION('center_axis',(1.,0.,0.)); #119682=DIRECTION('ref_axis',(0.,0.,-1.)); #119683=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119684=DIRECTION('',(0.,0.,1.)); #119685=DIRECTION('center_axis',(-0.597733990147095,-0.801694503550344, 0.)); #119686=DIRECTION('ref_axis',(0.,0.,1.)); #119687=DIRECTION('center_axis',(-0.597733990147095,-0.801694503550344, 0.)); #119688=DIRECTION('ref_axis',(-0.793242568888913,0.591432327098058,0.144824132539761)); #119689=DIRECTION('center_axis',(-0.597733990147093,-0.801694503550346, 0.)); #119690=DIRECTION('ref_axis',(0.,0.,1.)); #119691=DIRECTION('center_axis',(0.597733990147093,0.801694503550346,0.)); #119692=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098055,0.144824132539761)); #119693=DIRECTION('',(0.,0.,1.)); #119694=DIRECTION('center_axis',(-0.597733990147093,-0.801694503550346, 0.)); #119695=DIRECTION('ref_axis',(-0.793242568888915,0.591432327098055,0.144824132539761)); #119696=DIRECTION('',(0.,0.,1.)); #119697=DIRECTION('center_axis',(1.,0.,0.)); #119698=DIRECTION('ref_axis',(0.,0.,-1.)); #119699=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119700=DIRECTION('',(0.,0.,1.)); #119701=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119702=DIRECTION('center_axis',(0.589437758676355,0.80781379577635,0.)); #119703=DIRECTION('ref_axis',(0.,0.,-1.)); #119704=DIRECTION('center_axis',(-0.589437758676355,-0.80781379577635,0.)); #119705=DIRECTION('ref_axis',(-0.799059836164011,0.583050254080099,0.146818866112893)); #119706=DIRECTION('',(0.,0.,1.)); #119707=DIRECTION('center_axis',(0.589437758676355,0.80781379577635,0.)); #119708=DIRECTION('ref_axis',(-0.799059836164011,0.583050254080099,0.146818866112893)); #119709=DIRECTION('',(0.,0.,1.)); #119710=DIRECTION('',(0.,0.,1.)); #119711=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119712=DIRECTION('ref_axis',(0.,0.,-1.)); #119713=DIRECTION('center_axis',(-0.578597444195683,0.815613264709583,0.)); #119714=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119715=DIRECTION('',(0.,0.,1.)); #119716=DIRECTION('center_axis',(0.578597444195683,-0.815613264709583,0.)); #119717=DIRECTION('ref_axis',(-0.806446111298855,-0.572094249895765,-0.149508657967213)); #119718=DIRECTION('center_axis',(1.,0.,0.)); #119719=DIRECTION('ref_axis',(0.,0.,-1.)); #119720=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119721=DIRECTION('',(0.,0.,1.)); #119722=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119723=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119724=DIRECTION('ref_axis',(0.,0.,1.)); #119725=DIRECTION('center_axis',(0.580902399477268,-0.81397321963413,0.)); #119726=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119727=DIRECTION('',(0.,0.,1.)); #119728=DIRECTION('center_axis',(-0.580902399477268,0.81397321963413,0.)); #119729=DIRECTION('ref_axis',(-0.804895750227236,-0.574424147327871,-0.148928607838735)); #119730=DIRECTION('center_axis',(0.577443719390374,-0.816430493634707,0.)); #119731=DIRECTION('ref_axis',(0.,0.,-1.)); #119732=DIRECTION('center_axis',(-0.577443719390374,0.816430493634707,0.)); #119733=DIRECTION('ref_axis',(-0.807218068801737,-0.570927969548068,-0.149800677527555)); #119734=DIRECTION('center_axis',(0.577443719390374,-0.816430493634707,0.)); #119735=DIRECTION('ref_axis',(-0.807218068801737,-0.570927969548068,-0.149800677527555)); #119736=DIRECTION('',(0.,0.,1.)); #119737=DIRECTION('center_axis',(-1.,0.,0.)); #119738=DIRECTION('ref_axis',(0.,0.,1.)); #119739=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119740=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119741=DIRECTION('',(0.,0.,1.)); #119742=DIRECTION('center_axis',(-0.581238193719103,0.81373347120673,0.)); #119743=DIRECTION('ref_axis',(0.,0.,1.)); #119744=DIRECTION('center_axis',(0.581238193719103,-0.81373347120673,0.)); #119745=DIRECTION('ref_axis',(-0.804668983379862,-0.574763559557054,-0.148844475180383)); #119746=DIRECTION('center_axis',(-0.581238193719103,0.81373347120673,0.)); #119747=DIRECTION('ref_axis',(-0.804668983379862,-0.574763559557054,-0.148844475180383)); #119748=DIRECTION('',(0.,0.,1.)); #119749=DIRECTION('',(0.,0.,1.)); #119750=DIRECTION('',(0.,0.,1.)); #119751=DIRECTION('center_axis',(-0.600366573064826,-0.799724938929878, 0.)); #119752=DIRECTION('ref_axis',(0.,0.,1.)); #119753=DIRECTION('center_axis',(0.600366573064826,0.799724938929878,0.)); #119754=DIRECTION('ref_axis',(-0.791366394510593,0.594091677254361,0.144202318484845)); #119755=DIRECTION('center_axis',(-0.600366573064826,-0.799724938929878, 0.)); #119756=DIRECTION('ref_axis',(-0.791366394510593,0.594091677254361,0.144202318484846)); #119757=DIRECTION('',(0.,0.,1.)); #119758=DIRECTION('center_axis',(-1.,0.,0.)); #119759=DIRECTION('ref_axis',(0.,0.,1.)); #119760=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119761=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119762=DIRECTION('',(0.,0.,1.)); #119763=DIRECTION('center_axis',(0.589172157449442,0.808007530216378,0.)); #119764=DIRECTION('ref_axis',(0.,0.,-1.)); #119765=DIRECTION('center_axis',(-0.589172157449442,-0.808007530216378, 0.)); #119766=DIRECTION('ref_axis',(-0.799243702869625,0.582781866675768,0.146883625013679)); #119767=DIRECTION('center_axis',(0.589172157449442,0.808007530216378,0.)); #119768=DIRECTION('ref_axis',(-0.799243702869625,0.582781866675768,0.146883625013679)); #119769=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119770=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218906,0.985706397221821)); #119771=DIRECTION('center_axis',(1.94289029309402E-15,-1.,-2.44994950634716E-16)); #119772=DIRECTION('ref_axis',(1.,1.94289029309402E-15,-1.83766046185126E-15)); #119773=DIRECTION('center_axis',(1.94289029309402E-15,-1.,-2.44994950634716E-16)); #119774=DIRECTION('ref_axis',(2.13810053769378E-14,-2.39852400894416E-16, 1.)); #119775=DIRECTION('',(1.83766046185126E-15,-2.44994950634712E-16,1.)); #119776=DIRECTION('center_axis',(-1.94289029309402E-15,1.,2.44994950634716E-16)); #119777=DIRECTION('ref_axis',(1.58942227798879E-14,-2.39877851855356E-16, 1.)); #119778=DIRECTION('',(1.83766046185126E-15,-2.44994950634712E-16,1.)); #119779=DIRECTION('center_axis',(1.83766046185126E-15,-2.44994950634712E-16, 1.)); #119780=DIRECTION('ref_axis',(-1.94289029309402E-15,1.,2.44994950634716E-16)); #119781=DIRECTION('',(1.83766046185126E-15,-2.44994950634712E-16,1.)); #119782=DIRECTION('center_axis',(1.,2.06958469280019E-15,-1.83766046185126E-15)); #119783=DIRECTION('ref_axis',(-2.06958469280019E-15,1.,2.44994950634716E-16)); #119784=DIRECTION('',(1.73472347597681E-17,0.996194698091746,0.087155742747658)); #119785=DIRECTION('',(1.83766046185126E-15,-2.44994950634712E-16,1.)); #119786=DIRECTION('',(0.,-0.996194698091746,-0.087155742747658)); #119787=DIRECTION('center_axis',(1.83766046185126E-15,-2.44994950634712E-16, 1.)); #119788=DIRECTION('ref_axis',(-1.,-1.94289029309402E-15,1.83766046185126E-15)); #119789=DIRECTION('',(1.83766046185126E-15,-2.44994950634712E-16,1.)); #119790=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119791=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218905,0.985706397221821)); #119792=DIRECTION('',(-1.73472347597681E-17,-0.996194698091746,-0.087155742747658)); #119793=DIRECTION('center_axis',(-1.94289029309402E-15,1.,2.44994950634716E-16)); #119794=DIRECTION('ref_axis',(2.13810053769378E-14,-2.39852400894416E-16, 1.)); #119795=DIRECTION('center_axis',(-1.94289029309402E-15,1.,2.44994950634716E-16)); #119796=DIRECTION('ref_axis',(-1.,-1.94289029309402E-15,1.83766046185126E-15)); #119797=DIRECTION('',(1.83766046185126E-15,-2.44994950634712E-16,1.)); #119798=DIRECTION('center_axis',(1.94289029309402E-15,-1.,-2.44994950634716E-16)); #119799=DIRECTION('ref_axis',(1.58942227798879E-14,-2.39877851855356E-16, 1.)); #119800=DIRECTION('center_axis',(1.83766046185126E-15,-2.44994950634712E-16, 1.)); #119801=DIRECTION('ref_axis',(1.94289029309402E-15,-1.,-2.44994950634716E-16)); #119802=DIRECTION('',(1.83766046185126E-15,-2.44994950634712E-16,1.)); #119803=DIRECTION('center_axis',(-1.,-1.94289029309402E-15,1.83766046185126E-15)); #119804=DIRECTION('ref_axis',(1.94289029309402E-15,-1.,-2.44994950634716E-16)); #119805=DIRECTION('',(1.83766046185126E-15,-2.44994950634712E-16,1.)); #119806=DIRECTION('',(0.,0.996194698091746,0.087155742747658)); #119807=DIRECTION('center_axis',(1.83766046185126E-15,-2.44994950634712E-16, 1.)); #119808=DIRECTION('ref_axis',(1.,1.94289029309402E-15,-1.83766046185126E-15)); #119809=DIRECTION('center_axis',(0.,0.996194698091746,0.087155742747658)); #119810=DIRECTION('ref_axis',(-0.144726923867608,-0.0862381353218905,0.985706397221821)); #119811=DIRECTION('axis',(0.,0.,1.)); #119812=DIRECTION('refdir',(1.,0.,0.)); #119813=DIRECTION('center_axis',(0.,0.,1.)); #119814=DIRECTION('ref_axis',(0.803287845657883,-0.595590998100473,0.)); #119815=DIRECTION('center_axis',(0.949549326169495,-0.313617724580512,0.)); #119816=DIRECTION('ref_axis',(-0.313605782978537,-0.949513170240731,-0.00872653549897473)); #119817=DIRECTION('center_axis',(0.,0.,1.)); #119818=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #119819=DIRECTION('center_axis',(-0.949549326169495,-0.313617724580514, 0.)); #119820=DIRECTION('ref_axis',(0.313605782978539,-0.949513170240731,-0.00872653549897474)); #119821=DIRECTION('center_axis',(0.,0.,1.)); #119822=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #119823=DIRECTION('center_axis',(0.,0.,-1.)); #119824=DIRECTION('ref_axis',(0.313617724580512,0.949549326169495,0.)); #119825=DIRECTION('',(-0.0027367962064868,-0.00828627590232267,0.999961923064171)); #119826=DIRECTION('',(-0.00273679620648681,0.00828627590232266,-0.999961923064171)); #119827=DIRECTION('center_axis',(0.,0.,1.)); #119828=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #119829=DIRECTION('center_axis',(0.,0.,1.)); #119830=DIRECTION('ref_axis',(0.803287845657883,-0.595590998100473,0.)); #119831=DIRECTION('center_axis',(-0.949549326169495,0.313617724580512,0.)); #119832=DIRECTION('ref_axis',(-0.313605782978539,-0.949513170240737,-0.00872653549827119)); #119833=DIRECTION('center_axis',(0.949549326169495,0.313617724580514,0.)); #119834=DIRECTION('ref_axis',(0.31360578297854,-0.949513170240737,-0.00872653549827119)); #119835=DIRECTION('center_axis',(0.,0.,1.)); #119836=DIRECTION('ref_axis',(0.313617724580512,0.949549326169495,0.)); #119837=DIRECTION('center_axis',(0.,0.,1.)); #119838=DIRECTION('ref_axis',(0.803287845657883,-0.595590998100473,0.)); #119839=DIRECTION('center_axis',(-0.949549326169495,0.313617724580512,0.)); #119840=DIRECTION('ref_axis',(-0.273132822745609,-0.826972034631557,-0.491442483996204)); #119841=DIRECTION('center_axis',(0.949549326169495,0.313617724580514,0.)); #119842=DIRECTION('ref_axis',(0.273132822745611,-0.826972034631556,-0.491442483996204)); #119843=DIRECTION('center_axis',(0.,0.,1.)); #119844=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #119845=DIRECTION('center_axis',(0.,0.,1.)); #119846=DIRECTION('ref_axis',(0.803287845657883,-0.595590998100473,0.)); #119847=DIRECTION('center_axis',(-0.949549326169495,0.313617724580512,0.)); #119848=DIRECTION('ref_axis',(0.273132822745676,0.826972034631757,-0.49144248399583)); #119849=DIRECTION('center_axis',(0.949549326169495,0.313617724580514,0.)); #119850=DIRECTION('ref_axis',(-0.273132822745677,0.826972034631757,-0.49144248399583)); #119851=DIRECTION('center_axis',(0.,0.,1.)); #119852=DIRECTION('ref_axis',(0.313617724580514,0.949549326169494,0.)); #119853=DIRECTION('center_axis',(0.,0.,1.)); #119854=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #119855=DIRECTION('',(-0.0027367962064585,-0.00828627590223699,-0.999961923064172)); #119856=DIRECTION('',(-0.00273679620645851,0.00828627590223698,0.999961923064172)); #119857=DIRECTION('center_axis',(0.,0.,1.)); #119858=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #119859=DIRECTION('center_axis',(0.,0.,1.)); #119860=DIRECTION('ref_axis',(0.803287845657883,-0.595590998100473,0.)); #119861=DIRECTION('center_axis',(0.949549326169495,-0.313617724580512,0.)); #119862=DIRECTION('ref_axis',(0.303263117435584,0.918198386899823,-0.25483956501653)); #119863=DIRECTION('center_axis',(-0.949549326169495,-0.313617724580514, 0.)); #119864=DIRECTION('ref_axis',(-0.303263117435586,0.918198386899822,-0.25483956501653)); #119865=DIRECTION('center_axis',(0.,0.,1.)); #119866=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #119867=DIRECTION('center_axis',(0.,0.,1.)); #119868=DIRECTION('ref_axis',(0.803287845657883,-0.595590998100473,0.)); #119869=DIRECTION('center_axis',(-0.949549326169495,0.313617724580512,0.)); #119870=DIRECTION('ref_axis',(0.303263117435634,0.918198386899974,-0.254839565015927)); #119871=DIRECTION('center_axis',(0.949549326169495,0.313617724580514,0.)); #119872=DIRECTION('ref_axis',(-0.303263117435635,0.918198386899973,-0.254839565015927)); #119873=DIRECTION('center_axis',(0.,0.,1.)); #119874=DIRECTION('ref_axis',(0.313617724580512,0.949549326169495,0.)); #119875=DIRECTION('center_axis',(0.,0.,1.)); #119876=DIRECTION('ref_axis',(0.803287845657883,-0.595590998100473,0.)); #119877=DIRECTION('center_axis',(-0.949549326169495,0.313617724580512,0.)); #119878=DIRECTION('ref_axis',(0.277839522308679,0.841222643089906,0.463842284183015)); #119879=DIRECTION('center_axis',(0.949549326169495,0.313617724580514,0.)); #119880=DIRECTION('ref_axis',(-0.277839522308681,0.841222643089906,0.463842284183015)); #119881=DIRECTION('center_axis',(0.,0.,1.)); #119882=DIRECTION('ref_axis',(0.313617724580513,0.949549326169495,0.)); #119883=DIRECTION('center_axis',(0.,0.,1.)); #119884=DIRECTION('ref_axis',(0.803287845657883,-0.595590998100473,0.)); #119885=DIRECTION('center_axis',(-0.949549326169495,0.313617724580512,0.)); #119886=DIRECTION('ref_axis',(-0.277839522308689,-0.841222643089936,0.463842284182955)); #119887=DIRECTION('center_axis',(0.949549326169495,0.313617724580514,0.)); #119888=DIRECTION('ref_axis',(0.27783952230869,-0.841222643089936,0.463842284182956)); #119889=DIRECTION('center_axis',(0.,0.,1.)); #119890=DIRECTION('ref_axis',(1.,0.,0.)); #119891=DIRECTION('center_axis',(0.310848629107424,-0.950459430897519,0.)); #119892=DIRECTION('ref_axis',(-0.950423240314756,-0.310836792944119,-0.00872653549897473)); #119893=DIRECTION('center_axis',(0.,0.,1.)); #119894=DIRECTION('ref_axis',(0.950459430897519,0.310848629107423,0.)); #119895=DIRECTION('center_axis',(0.,0.,1.)); #119896=DIRECTION('ref_axis',(0.950459430897519,0.310848629107423,0.)); #119897=DIRECTION('center_axis',(0.,0.,-1.)); #119898=DIRECTION('ref_axis',(0.950459430897519,0.310848629107424,0.)); #119899=DIRECTION('',(-0.00829421796353905,-0.00271263159654237,0.999961923064171)); #119900=DIRECTION('center_axis',(0.,0.,1.)); #119901=DIRECTION('ref_axis',(0.950459430897519,0.310848629107424,0.)); #119902=DIRECTION('center_axis',(0.,0.,1.)); #119903=DIRECTION('ref_axis',(1.,0.,0.)); #119904=DIRECTION('center_axis',(-0.310848629107424,0.950459430897519,0.)); #119905=DIRECTION('ref_axis',(-0.950423240314762,-0.310836792944121,-0.00872653549827119)); #119906=DIRECTION('center_axis',(0.,0.,1.)); #119907=DIRECTION('ref_axis',(0.950459430897519,0.310848629107423,0.)); #119908=DIRECTION('center_axis',(0.,0.,1.)); #119909=DIRECTION('ref_axis',(1.,0.,0.)); #119910=DIRECTION('center_axis',(-0.310848629107424,0.950459430897519,0.)); #119911=DIRECTION('ref_axis',(-0.82776465396993,-0.270721189716805,-0.491442483996204)); #119912=DIRECTION('center_axis',(0.,0.,1.)); #119913=DIRECTION('ref_axis',(0.950459430897519,0.310848629107422,0.)); #119914=DIRECTION('center_axis',(0.,0.,1.)); #119915=DIRECTION('ref_axis',(1.,0.,0.)); #119916=DIRECTION('center_axis',(-0.310848629107424,0.950459430897519,0.)); #119917=DIRECTION('ref_axis',(0.827764653970131,0.270721189716871,-0.49144248399583)); #119918=DIRECTION('center_axis',(0.,0.,1.)); #119919=DIRECTION('ref_axis',(0.950459430897519,0.310848629107423,0.)); #119920=DIRECTION('center_axis',(0.,0.,1.)); #119921=DIRECTION('ref_axis',(0.950459430897519,0.310848629107424,0.)); #119922=DIRECTION('',(-0.00829421796345329,-0.00271263159651431,-0.999961923064172)); #119923=DIRECTION('center_axis',(0.,0.,1.)); #119924=DIRECTION('ref_axis',(0.950459430897519,0.310848629107423,0.)); #119925=DIRECTION('center_axis',(0.,0.,1.)); #119926=DIRECTION('ref_axis',(1.,0.,0.)); #119927=DIRECTION('center_axis',(0.310848629107424,-0.950459430897519,0.)); #119928=DIRECTION('ref_axis',(0.919078443017131,0.30058544822295,-0.25483956501653)); #119929=DIRECTION('center_axis',(0.,0.,1.)); #119930=DIRECTION('ref_axis',(0.950459430897519,0.310848629107424,0.)); #119931=DIRECTION('center_axis',(0.,0.,1.)); #119932=DIRECTION('ref_axis',(1.,0.,0.)); #119933=DIRECTION('center_axis',(-0.310848629107424,0.950459430897519,0.)); #119934=DIRECTION('ref_axis',(0.919078443017282,0.300585448223,-0.254839565015927)); #119935=DIRECTION('center_axis',(0.,0.,1.)); #119936=DIRECTION('ref_axis',(0.950459430897519,0.310848629107423,0.)); #119937=DIRECTION('center_axis',(0.,0.,1.)); #119938=DIRECTION('ref_axis',(1.,0.,0.)); #119939=DIRECTION('center_axis',(-0.310848629107424,0.950459430897519,0.)); #119940=DIRECTION('ref_axis',(0.842028921061673,0.275386331359414,0.463842284183015)); #119941=DIRECTION('center_axis',(0.,0.,1.)); #119942=DIRECTION('ref_axis',(0.950459430897519,0.310848629107423,0.)); #119943=DIRECTION('center_axis',(0.,0.,1.)); #119944=DIRECTION('ref_axis',(1.,0.,0.)); #119945=DIRECTION('center_axis',(-0.310848629107424,0.950459430897519,0.)); #119946=DIRECTION('ref_axis',(-0.842028921061703,-0.275386331359424,0.463842284182955)); #119947=DIRECTION('center_axis',(0.,0.,1.)); #119948=DIRECTION('ref_axis',(1.,0.,0.)); #119949=DIRECTION('center_axis',(0.0712301371029183,-0.99745990774983,0.)); #119950=DIRECTION('ref_axis',(-0.997421927532926,-0.0712274248775584,-0.00872653549897473)); #119951=DIRECTION('center_axis',(-1.08610298007082E-17,-1.44744964015063E-18, 1.)); #119952=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029146,1.09637379409107E-17)); #119953=DIRECTION('center_axis',(-2.17212014319994E-17,-2.89478491204737E-18, 1.)); #119954=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029148,2.19266095970615E-17)); #119955=DIRECTION('center_axis',(0.,0.,-1.)); #119956=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029168,0.)); #119957=DIRECTION('',(-0.0087043692932336,-0.000621592319986224,0.999961923064171)); #119958=DIRECTION('center_axis',(-1.7375717787929E-16,-2.3156622273384E-17, 1.)); #119959=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029153,1.75400325620739E-16)); #119960=DIRECTION('center_axis',(0.,0.,1.)); #119961=DIRECTION('ref_axis',(1.,0.,0.)); #119962=DIRECTION('center_axis',(-0.0712301371029183,0.99745990774983,0.)); #119963=DIRECTION('ref_axis',(-0.997421927532932,-0.0712274248775589,-0.00872653549827119)); #119964=DIRECTION('center_axis',(-1.73730321400617E-16,-2.31530431099802E-17, 1.)); #119965=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029149,1.75373215171766E-16)); #119966=DIRECTION('center_axis',(0.,0.,1.)); #119967=DIRECTION('ref_axis',(1.,0.,0.)); #119968=DIRECTION('center_axis',(-0.0712301371029183,0.99745990774983,0.)); #119969=DIRECTION('ref_axis',(-0.86869784079868,-0.0620350410280535,-0.491442483996204)); #119970=DIRECTION('center_axis',(-1.70397743208545E-16,-2.27089103533816E-17, 1.)); #119971=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029137,1.72009122204902E-16)); #119972=DIRECTION('center_axis',(0.,0.,1.)); #119973=DIRECTION('ref_axis',(1.,0.,0.)); #119974=DIRECTION('center_axis',(-0.0712301371029183,0.99745990774983,0.)); #119975=DIRECTION('ref_axis',(0.86869784079889,0.0620350410280685,-0.49144248399583)); #119976=DIRECTION('center_axis',(-1.70371915104018E-16,-2.2705468241419E-17, 1.)); #119977=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029151,1.71983049854974E-16)); #119978=DIRECTION('center_axis',(0.,0.,1.)); #119979=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029168,0.)); #119980=DIRECTION('',(-0.00870436929314359,-0.000621592319979796,-0.999961923064172)); #119981=DIRECTION('center_axis',(-2.12949953726891E-17,-2.83798442272927E-18, 1.)); #119982=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029165,2.1496373088291E-17)); #119983=DIRECTION('center_axis',(0.,0.,1.)); #119984=DIRECTION('ref_axis',(1.,0.,0.)); #119985=DIRECTION('center_axis',(0.0712301371029183,-0.99745990774983,0.)); #119986=DIRECTION('ref_axis',(0.964527121500645,0.0688783564834823,-0.25483956501653)); #119987=DIRECTION('center_axis',(-1.06470853074093E-17,-1.4189372536164E-18, 1.)); #119988=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029143,1.07477702655181E-17)); #119989=DIRECTION('center_axis',(0.,0.,1.)); #119990=DIRECTION('ref_axis',(1.,0.,0.)); #119991=DIRECTION('center_axis',(-0.0712301371029183,0.99745990774983,0.)); #119992=DIRECTION('ref_axis',(0.964527121500803,0.0688783564834936,-0.254839565015927)); #119993=DIRECTION('center_axis',(-3.32753095151333E-19,-4.43460110757085E-20, 1.)); #119994=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029165,3.3589979966985E-19)); #119995=DIRECTION('center_axis',(0.,0.,1.)); #119996=DIRECTION('ref_axis',(1.,0.,0.)); #119997=DIRECTION('center_axis',(-0.0712301371029183,0.99745990774983,0.)); #119998=DIRECTION('ref_axis',(0.883667479770028,0.0631040458351897,0.463842284183015)); #119999=DIRECTION('center_axis',(-3.39374222386086E-19,-4.52284088233613E-20, 1.)); #120000=DIRECTION('ref_axis',(0.99745990774983,0.0712301371029159,3.42583540089247E-19)); #120001=DIRECTION('center_axis',(0.,0.,1.)); #120002=DIRECTION('ref_axis',(1.,0.,0.)); #120003=DIRECTION('center_axis',(-0.0712301371029183,0.99745990774983,0.)); #120004=DIRECTION('ref_axis',(-0.88366747977006,-0.063104045835192,0.463842284182955)); #120005=DIRECTION('center_axis',(0.,0.,1.)); #120006=DIRECTION('ref_axis',(1.,0.,0.)); #120007=DIRECTION('center_axis',(-0.402364819514068,-0.915479411028676, 0.)); #120008=DIRECTION('ref_axis',(-0.915444552377885,0.402349498694654,-0.00872653549897473)); #120009=DIRECTION('center_axis',(0.,0.,1.)); #120010=DIRECTION('ref_axis',(0.915479411028674,-0.402364819514073,0.)); #120011=DIRECTION('center_axis',(0.,0.,1.)); #120012=DIRECTION('ref_axis',(0.915479411028674,-0.402364819514073,0.)); #120013=DIRECTION('center_axis',(0.,0.,-1.)); #120014=DIRECTION('ref_axis',(0.915479411028674,-0.402364819514072,0.)); #120015=DIRECTION('',(-0.00798896357841802,0.00351125088080647,0.999961923064171)); #120016=DIRECTION('center_axis',(0.,0.,1.)); #120017=DIRECTION('ref_axis',(0.915479411028673,-0.402364819514074,0.)); #120018=DIRECTION('center_axis',(0.,0.,1.)); #120019=DIRECTION('ref_axis',(1.,0.,0.)); #120020=DIRECTION('center_axis',(0.402364819514068,0.915479411028676,0.)); #120021=DIRECTION('ref_axis',(-0.91544455237789,0.402349498694656,-0.00872653549827119)); #120022=DIRECTION('center_axis',(0.,0.,1.)); #120023=DIRECTION('ref_axis',(0.915479411028673,-0.402364819514074,0.)); #120024=DIRECTION('center_axis',(0.,0.,1.)); #120025=DIRECTION('ref_axis',(1.,0.,0.)); #120026=DIRECTION('center_axis',(0.402364819514068,0.915479411028676,0.)); #120027=DIRECTION('ref_axis',(-0.797300203724798,0.350423558089402,-0.491442483996204)); #120028=DIRECTION('center_axis',(0.,0.,1.)); #120029=DIRECTION('ref_axis',(0.915479411028674,-0.402364819514073,0.)); #120030=DIRECTION('center_axis',(0.,0.,1.)); #120031=DIRECTION('ref_axis',(1.,0.,0.)); #120032=DIRECTION('center_axis',(0.402364819514068,0.915479411028676,0.)); #120033=DIRECTION('ref_axis',(0.797300203724992,-0.350423558089486,-0.49144248399583)); #120034=DIRECTION('center_axis',(0.,0.,1.)); #120035=DIRECTION('ref_axis',(0.915479411028673,-0.402364819514073,0.)); #120036=DIRECTION('center_axis',(0.,0.,1.)); #120037=DIRECTION('ref_axis',(0.915479411028674,-0.402364819514072,0.)); #120038=DIRECTION('',(-0.00798896357833541,0.00351125088077017,-0.999961923064172)); #120039=DIRECTION('center_axis',(0.,0.,1.)); #120040=DIRECTION('ref_axis',(0.915479411028674,-0.402364819514073,0.)); #120041=DIRECTION('center_axis',(0.,0.,1.)); #120042=DIRECTION('ref_axis',(1.,0.,0.)); #120043=DIRECTION('center_axis',(-0.402364819514068,-0.915479411028676, 0.)); #120044=DIRECTION('ref_axis',(0.88525334627691,-0.389080080456093,-0.25483956501653)); #120045=DIRECTION('center_axis',(0.,0.,1.)); #120046=DIRECTION('ref_axis',(0.915479411028674,-0.402364819514074,0.)); #120047=DIRECTION('center_axis',(0.,0.,1.)); #120048=DIRECTION('ref_axis',(1.,0.,0.)); #120049=DIRECTION('center_axis',(0.402364819514068,0.915479411028676,0.)); #120050=DIRECTION('ref_axis',(0.885253346277055,-0.389080080456157,-0.254839565015927)); #120051=DIRECTION('center_axis',(0.,0.,1.)); #120052=DIRECTION('ref_axis',(0.915479411028674,-0.402364819514073,0.)); #120053=DIRECTION('center_axis',(0.,0.,1.)); #120054=DIRECTION('ref_axis',(1.,0.,0.)); #120055=DIRECTION('center_axis',(0.402364819514068,0.915479411028676,0.)); #120056=DIRECTION('ref_axis',(0.811039499071232,-0.356462152759823,0.463842284183015)); #120057=DIRECTION('center_axis',(0.,0.,1.)); #120058=DIRECTION('ref_axis',(0.915479411028673,-0.402364819514075,0.)); #120059=DIRECTION('center_axis',(0.,0.,1.)); #120060=DIRECTION('ref_axis',(1.,0.,0.)); #120061=DIRECTION('center_axis',(0.402364819514068,0.915479411028676,0.)); #120062=DIRECTION('ref_axis',(-0.811039499071261,0.356462152759836,0.463842284182955)); #120063=DIRECTION('center_axis',(0.,0.,1.)); #120064=DIRECTION('ref_axis',(1.,0.,0.)); #120065=DIRECTION('center_axis',(-0.402364819514072,0.915479411028674,0.)); #120066=DIRECTION('ref_axis',(0.915444552377883,0.402349498694657,-0.00872653549897473)); #120067=DIRECTION('center_axis',(2.9449532313341E-19,4.51118698791562E-19, 1.)); #120068=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,5.39208809945746E-19)); #120069=DIRECTION('center_axis',(5.8891397825722E-19,9.0211995472406E-19, 1.)); #120070=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,1.07827724392294E-18)); #120071=DIRECTION('center_axis',(0.,0.,-1.)); #120072=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,0.)); #120073=DIRECTION('',(0.007988963578418,0.00351125088080651,0.999961923064171)); #120074=DIRECTION('center_axis',(4.71020130563247E-18,7.21525850201924E-18, 1.)); #120075=DIRECTION('ref_axis',(-0.915479411028675,-0.402364819514069,8.62418463421382E-18)); #120076=DIRECTION('center_axis',(0.,0.,1.)); #120077=DIRECTION('ref_axis',(1.,0.,0.)); #120078=DIRECTION('center_axis',(0.402364819514072,-0.915479411028674,0.)); #120079=DIRECTION('ref_axis',(0.915444552377889,0.40234949869466,-0.00872653549827119)); #120080=DIRECTION('center_axis',(4.70780382969619E-18,7.21158596076033E-18, 1.)); #120081=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,8.6197949544976E-18)); #120082=DIRECTION('center_axis',(0.,0.,1.)); #120083=DIRECTION('ref_axis',(1.,0.,0.)); #120084=DIRECTION('center_axis',(0.402364819514072,-0.915479411028674,0.)); #120085=DIRECTION('ref_axis',(0.797300203724797,0.350423558089405,-0.491442483996204)); #120086=DIRECTION('center_axis',(4.42294078728531E-18,6.77522234160708E-18, 1.)); #120087=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,8.09822245391731E-18)); #120088=DIRECTION('center_axis',(0.,0.,1.)); #120089=DIRECTION('ref_axis',(1.,0.,0.)); #120090=DIRECTION('center_axis',(0.402364819514072,-0.915479411028674,0.)); #120091=DIRECTION('ref_axis',(-0.79730020372499,-0.35042355808949,-0.49144248399583)); #120092=DIRECTION('center_axis',(4.4208267577436E-18,6.77198399389441E-18, 1.)); #120093=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,8.09435175287782E-18)); #120094=DIRECTION('center_axis',(0.,0.,1.)); #120095=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,0.)); #120096=DIRECTION('',(0.00798896357833539,0.0035112508807702,-0.999961923064172)); #120097=DIRECTION('center_axis',(5.52481117994818E-19,8.46310767875332E-19, 1.)); #120098=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,1.01157017701272E-18)); #120099=DIRECTION('center_axis',(0.,0.,1.)); #120100=DIRECTION('ref_axis',(1.,0.,0.)); #120101=DIRECTION('center_axis',(-0.402364819514072,0.915479411028674,0.)); #120102=DIRECTION('ref_axis',(-0.885253346276908,-0.389080080456096,-0.25483956501653)); #120103=DIRECTION('center_axis',(2.7620682981756E-19,4.23103716347284E-19, 1.)); #120104=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,5.05723331766954E-19)); #120105=DIRECTION('center_axis',(0.,0.,1.)); #120106=DIRECTION('ref_axis',(1.,0.,0.)); #120107=DIRECTION('center_axis',(0.402364819514072,-0.915479411028674,0.)); #120108=DIRECTION('ref_axis',(-0.885253346277054,-0.38908008045616,-0.254839565015927)); #120109=DIRECTION('center_axis',(8.63405485070626E-21,1.32259607660428E-20, 1.)); #120110=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,1.58085988990277E-20)); #120111=DIRECTION('center_axis',(0.,0.,1.)); #120112=DIRECTION('ref_axis',(1.,0.,0.)); #120113=DIRECTION('center_axis',(0.402364819514072,-0.915479411028674,0.)); #120114=DIRECTION('ref_axis',(-0.811039499071231,-0.356462152759826,0.463842284183015)); #120115=DIRECTION('center_axis',(9.20003472271501E-21,1.40929494186509E-20, 1.)); #120116=DIRECTION('ref_axis',(-0.915479411028675,-0.40236481951407,1.6844884738789E-20)); #120117=DIRECTION('center_axis',(0.,0.,1.)); #120118=DIRECTION('ref_axis',(1.,0.,0.)); #120119=DIRECTION('center_axis',(0.402364819514072,-0.915479411028674,0.)); #120120=DIRECTION('ref_axis',(0.81103949907126,0.356462152759839,0.463842284182955)); #120121=DIRECTION('center_axis',(0.,0.,1.)); #120122=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #120123=DIRECTION('center_axis',(0.0712301371029142,0.99745990774983,0.)); #120124=DIRECTION('ref_axis',(0.997421927532926,-0.0712274248775543,-0.00872653549897473)); #120125=DIRECTION('center_axis',(0.,0.,1.)); #120126=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029178,0.)); #120127=DIRECTION('center_axis',(0.,0.,1.)); #120128=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029157,0.)); #120129=DIRECTION('center_axis',(0.,0.,-1.)); #120130=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029164,0.)); #120131=DIRECTION('',(0.0087043692932336,-0.000621592319986188,0.999961923064171)); #120132=DIRECTION('center_axis',(0.,0.,1.)); #120133=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029199,0.)); #120134=DIRECTION('center_axis',(0.,0.,1.)); #120135=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #120136=DIRECTION('center_axis',(-0.0712301371029142,-0.99745990774983, 0.)); #120137=DIRECTION('ref_axis',(0.997421927532932,-0.0712274248775547,-0.00872653549827119)); #120138=DIRECTION('center_axis',(0.,0.,1.)); #120139=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029147,0.)); #120140=DIRECTION('center_axis',(0.,0.,1.)); #120141=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793286,0.)); #120142=DIRECTION('center_axis',(-0.0712301371029142,-0.99745990774983, 0.)); #120143=DIRECTION('ref_axis',(0.86869784079868,-0.0620350410280499,-0.491442483996204)); #120144=DIRECTION('center_axis',(0.,0.,1.)); #120145=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029158,0.)); #120146=DIRECTION('center_axis',(0.,0.,1.)); #120147=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793286,0.)); #120148=DIRECTION('center_axis',(-0.0712301371029142,-0.99745990774983, 0.)); #120149=DIRECTION('ref_axis',(-0.868697840798891,0.0620350410280649,-0.49144248399583)); #120150=DIRECTION('center_axis',(0.,0.,1.)); #120151=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029172,0.)); #120152=DIRECTION('center_axis',(0.,0.,1.)); #120153=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029163,0.)); #120154=DIRECTION('',(0.00870436929314359,-0.000621592319979761,-0.999961923064172)); #120155=DIRECTION('center_axis',(0.,0.,1.)); #120156=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029165,0.)); #120157=DIRECTION('center_axis',(0.,0.,1.)); #120158=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793286,0.)); #120159=DIRECTION('center_axis',(0.0712301371029142,0.99745990774983,0.)); #120160=DIRECTION('ref_axis',(-0.964527121500645,0.0688783564834783,-0.25483956501653)); #120161=DIRECTION('center_axis',(0.,0.,1.)); #120162=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029153,0.)); #120163=DIRECTION('center_axis',(0.,0.,1.)); #120164=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793286,0.)); #120165=DIRECTION('center_axis',(-0.0712301371029142,-0.99745990774983, 0.)); #120166=DIRECTION('ref_axis',(-0.964527121500804,0.0688783564834896,-0.254839565015927)); #120167=DIRECTION('center_axis',(0.,0.,1.)); #120168=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029183,0.)); #120169=DIRECTION('center_axis',(0.,0.,1.)); #120170=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793285,0.)); #120171=DIRECTION('center_axis',(-0.0712301371029142,-0.99745990774983, 0.)); #120172=DIRECTION('ref_axis',(-0.883667479770028,0.0631040458351861,0.463842284183015)); #120173=DIRECTION('center_axis',(0.,0.,1.)); #120174=DIRECTION('ref_axis',(-0.99745990774983,0.0712301371029179,0.)); #120175=DIRECTION('center_axis',(0.,0.,1.)); #120176=DIRECTION('ref_axis',(-0.985346261506814,-0.170565954793286,0.)); #120177=DIRECTION('center_axis',(-0.0712301371029142,-0.99745990774983, 0.)); #120178=DIRECTION('ref_axis',(0.88366747977006,-0.0631040458351884,0.463842284182955)); #120179=DIRECTION('center_axis',(0.,0.,1.)); #120180=DIRECTION('ref_axis',(1.,0.,0.)); #120181=DIRECTION('center_axis',(0.310848629107418,0.950459430897521,0.)); #120182=DIRECTION('ref_axis',(0.950423240314758,-0.310836792944114,-0.00872653549897474)); #120183=DIRECTION('center_axis',(-1.08610298007085E-17,1.4474496401507E-18, 1.)); #120184=DIRECTION('ref_axis',(-0.950459430897519,0.310848629107422,-1.08001000611952E-17)); #120185=DIRECTION('center_axis',(-2.17212014320003E-17,2.89478491204753E-18, 1.)); #120186=DIRECTION('ref_axis',(-0.95045943089752,0.310848629107419,-2.15993467672538E-17)); #120187=DIRECTION('center_axis',(0.,0.,-1.)); #120188=DIRECTION('ref_axis',(-0.95045943089752,0.310848629107421,0.)); #120189=DIRECTION('',(0.00829421796353906,-0.00271263159654231,0.999961923064171)); #120190=DIRECTION('center_axis',(-1.73757177879296E-16,2.31566222733853E-17, 1.)); #120191=DIRECTION('ref_axis',(-0.95045943089752,0.31084862910742,-1.72782410312961E-16)); #120192=DIRECTION('center_axis',(0.,0.,1.)); #120193=DIRECTION('ref_axis',(1.,0.,0.)); #120194=DIRECTION('center_axis',(-0.310848629107418,-0.950459430897521, 0.)); #120195=DIRECTION('ref_axis',(0.950423240314763,-0.310836792944116,-0.00872653549827119)); #120196=DIRECTION('center_axis',(-1.73730321400623E-16,2.31530431099814E-17, 1.)); #120197=DIRECTION('ref_axis',(-0.95045943089752,0.310848629107421,-1.72755704497555E-16)); #120198=DIRECTION('center_axis',(0.,0.,1.)); #120199=DIRECTION('ref_axis',(1.,0.,0.)); #120200=DIRECTION('center_axis',(-0.310848629107418,-0.950459430897521, 0.)); #120201=DIRECTION('ref_axis',(0.827764653969932,-0.270721189716801,-0.491442483996204)); #120202=DIRECTION('center_axis',(-1.70397743208551E-16,2.27089103533828E-17, 1.)); #120203=DIRECTION('ref_axis',(-0.950459430897521,0.310848629107417,-1.6944182187348E-16)); #120204=DIRECTION('center_axis',(0.,0.,1.)); #120205=DIRECTION('ref_axis',(1.,0.,0.)); #120206=DIRECTION('center_axis',(-0.310848629107418,-0.950459430897521, 0.)); #120207=DIRECTION('ref_axis',(-0.827764653970132,0.270721189716866,-0.49144248399583)); #120208=DIRECTION('center_axis',(-1.70371915104024E-16,2.270546824142E-17, 1.)); #120209=DIRECTION('ref_axis',(-0.95045943089752,0.310848629107419,-1.69416138663104E-16)); #120210=DIRECTION('center_axis',(0.,0.,1.)); #120211=DIRECTION('ref_axis',(-0.95045943089752,0.310848629107421,0.)); #120212=DIRECTION('',(0.0082942179634533,-0.00271263159651427,-0.999961923064172)); #120213=DIRECTION('center_axis',(-2.12949953726897E-17,2.83798442272939E-18, 1.)); #120214=DIRECTION('ref_axis',(-0.95045943089752,0.31084862910742,-2.1175531698913E-17)); #120215=DIRECTION('center_axis',(0.,0.,1.)); #120216=DIRECTION('ref_axis',(1.,0.,0.)); #120217=DIRECTION('center_axis',(0.310848629107418,0.950459430897521,0.)); #120218=DIRECTION('ref_axis',(-0.919078443017133,0.300585448222945,-0.25483956501653)); #120219=DIRECTION('center_axis',(-1.06470853074097E-17,1.41893725361647E-18, 1.)); #120220=DIRECTION('ref_axis',(-0.95045943089752,0.310848629107421,-1.05873557839428E-17)); #120221=DIRECTION('center_axis',(0.,0.,1.)); #120222=DIRECTION('ref_axis',(1.,0.,0.)); #120223=DIRECTION('center_axis',(-0.310848629107418,-0.950459430897521, 0.)); #120224=DIRECTION('ref_axis',(-0.919078443017284,0.300585448222994,-0.254839565015927)); #120225=DIRECTION('center_axis',(-3.32753095151344E-19,4.43460110757106E-20, 1.)); #120226=DIRECTION('ref_axis',(-0.95045943089752,0.310848629107419,-3.30886369824021E-19)); #120227=DIRECTION('center_axis',(0.,0.,1.)); #120228=DIRECTION('ref_axis',(1.,0.,0.)); #120229=DIRECTION('center_axis',(-0.310848629107418,-0.950459430897521, 0.)); #120230=DIRECTION('ref_axis',(-0.842028921061675,0.275386331359409,0.463842284183015)); #120231=DIRECTION('center_axis',(-3.39374222386097E-19,4.52284088233634E-20, 1.)); #120232=DIRECTION('ref_axis',(-0.95045943089752,0.310848629107419,-3.37470352923726E-19)); #120233=DIRECTION('center_axis',(0.,0.,1.)); #120234=DIRECTION('ref_axis',(1.,0.,0.)); #120235=DIRECTION('center_axis',(-0.310848629107418,-0.950459430897521, 0.)); #120236=DIRECTION('ref_axis',(0.842028921061705,-0.275386331359419,0.463842284182955)); #120237=DIRECTION('center_axis',(0.,0.,1.)); #120238=DIRECTION('ref_axis',(1.,0.,0.)); #120239=DIRECTION('center_axis',(-1.42371695263282E-17,1.2106085066229E-17, 1.)); #120240=DIRECTION('ref_axis',(-0.313617724580507,0.949549326169497,-1.60159003653385E-17)); #120241=DIRECTION('center_axis',(-2.84651665216687E-17,2.42043705877377E-17, 1.)); #120242=DIRECTION('ref_axis',(-0.313617724580509,0.949549326169496,-3.20214822230459E-17)); #120243=DIRECTION('center_axis',(0.,0.,-1.)); #120244=DIRECTION('ref_axis',(-0.313617724580511,0.949549326169496,0.)); #120245=DIRECTION('center_axis',(-2.27588541607972E-16,1.93522050833912E-16, 1.)); #120246=DIRECTION('ref_axis',(-0.313617724580509,0.949549326169496,-2.56022476935835E-16)); #120247=DIRECTION('center_axis',(0.,0.,1.)); #120248=DIRECTION('ref_axis',(1.,0.,0.)); #120249=DIRECTION('center_axis',(-2.27302177688055E-16,1.93278551171425E-16, 1.)); #120250=DIRECTION('ref_axis',(-0.313617724580508,0.949549326169496,-2.55700335937155E-16)); #120251=DIRECTION('center_axis',(0.,0.,1.)); #120252=DIRECTION('ref_axis',(1.,0.,0.)); #120253=DIRECTION('center_axis',(-1.96083211838621E-16,1.66732582497382E-16, 1.)); #120254=DIRECTION('ref_axis',(-0.313617724580509,0.949549326169496,-2.2058100652067E-16)); #120255=DIRECTION('center_axis',(0.,0.,1.)); #120256=DIRECTION('ref_axis',(1.,0.,0.)); #120257=DIRECTION('center_axis',(-1.95870606586204E-16,1.66551800968686E-16, 1.)); #120258=DIRECTION('ref_axis',(-0.31361772458051,0.949549326169496,-2.20341839280751E-16)); #120259=DIRECTION('center_axis',(0.,0.,1.)); #120260=DIRECTION('ref_axis',(-0.313617724580511,0.949549326169495,0.)); #120261=DIRECTION('center_axis',(-2.44715445396635E-17,2.08085321560108E-17, 1.)); #120262=DIRECTION('ref_axis',(-0.31361772458051,0.949549326169496,-2.75289142556322E-17)); #120263=DIRECTION('center_axis',(0.,0.,1.)); #120264=DIRECTION('ref_axis',(1.,0.,0.)); #120265=DIRECTION('center_axis',(-1.22323846527268E-17,1.04013855348771E-17, 1.)); #120266=DIRECTION('ref_axis',(-0.313617724580509,0.949549326169496,-1.37606462763735E-17)); #120267=DIRECTION('center_axis',(0.,0.,1.)); #120268=DIRECTION('ref_axis',(1.,0.,0.)); #120269=DIRECTION('center_axis',(-3.825223504048E-19,3.25264660589362E-19, 1.)); #120270=DIRECTION('ref_axis',(-0.31361772458051,0.949549326169496,-4.3031305065722E-19)); #120271=DIRECTION('center_axis',(0.,0.,1.)); #120272=DIRECTION('ref_axis',(1.,0.,0.)); #120273=DIRECTION('center_axis',(-4.44559412245357E-19,3.78015732107605E-19, 1.)); #120274=DIRECTION('ref_axis',(-0.313617724580509,0.949549326169496,-5.00100756672755E-19)); #120275=DIRECTION('center_axis',(0.,0.,1.)); #120276=DIRECTION('ref_axis',(1.,0.,0.)); #120277=DIRECTION('',(0.,0.,1.)); #120278=DIRECTION('',(1.,0.,0.)); #120279=DIRECTION('',(0.,-8.03426163772477E-16,1.)); #120280=DIRECTION('',(1.,0.,0.)); #120281=DIRECTION('',(3.46259171193969E-15,7.87205053787747E-15,-1.)); #120282=DIRECTION('',(-1.,1.03570434792855E-16,-3.46259171193969E-15)); #120283=DIRECTION('',(-2.97496255690198E-65,1.,3.74939945665464E-33)); #120284=DIRECTION('',(1.,2.97496255690198E-65,1.11543229944162E-97)); #120285=DIRECTION('',(-1.22464679914735E-16,-5.5535019808775E-32,1.)); #120286=DIRECTION('',(-1.,-1.24900090270341E-16,-1.22464679914735E-16)); #120287=DIRECTION('',(1.22464679914735E-16,7.23242079126767E-31,-1.)); #120288=DIRECTION('',(-1.,-1.22464679914735E-16,-1.22464679914735E-16)); #120289=DIRECTION('',(1.22464679914735E-16,1.22554170770046E-30,-1.)); #120290=DIRECTION('',(-1.,-1.22464679914735E-16,-1.22464679914735E-16)); #120291=DIRECTION('',(2.67193215532732E-15,2.38011547436204E-30,-1.)); #120292=DIRECTION('',(1.,2.64936424978816E-16,2.67193215532732E-15)); #120293=DIRECTION('',(-1.,5.43669134860357E-16,-4.67499231429194E-16)); #120294=DIRECTION('',(5.43669134860357E-16,1.,-1.23445388533621E-32)); #120295=DIRECTION('',(2.09459837729485E-32,-8.03426163772478E-16,1.)); #120296=DIRECTION('',(1.,1.68285513891406E-47,-2.09459837729485E-32)); #120297=DIRECTION('',(1.6667982413777E-32,1.,-2.44929359829471E-16)); #120298=DIRECTION('',(-4.08630879926735E-16,2.44929359829471E-16,1.)); #120299=DIRECTION('',(1.6667982413777E-32,1.,-9.87155022209208E-16)); #120300=DIRECTION('',(1.,-1.66679824137769E-32,4.93038065763131E-32)); #120301=DIRECTION('',(0.,0.,1.)); #120302=DIRECTION('',(1.,0.,0.)); #120303=DIRECTION('',(3.74939947039481E-33,2.29584503007189E-49,-1.)); #120304=DIRECTION('',(-1.,-6.12323399573677E-17,-3.74939947039481E-33)); #120305=DIRECTION('center_axis',(0.,0.,1.)); #120306=DIRECTION('ref_axis',(1.,0.,0.)); #120307=CARTESIAN_POINT('',(0.,0.,0.)); #120308=CARTESIAN_POINT('',(0.,0.,0.)); #120309=CARTESIAN_POINT('',(0.,0.,0.)); #120310=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120311=CARTESIAN_POINT('Ctrl Pts',(-0.0173887945205942,0.393884997551624, 0.0213225788665563)); #120312=CARTESIAN_POINT('Ctrl Pts',(-0.0485975244496828,0.392827754337969, 0.023662417915389)); #120313=CARTESIAN_POINT('Ctrl Pts',(-0.107830453811182,0.38671466844377, 0.0292948937159568)); #120314=CARTESIAN_POINT('Ctrl Pts',(-0.154934211947616,0.371967492845709, 0.0341164999636431)); #120315=CARTESIAN_POINT('Ctrl Pts',(-0.175599732849276,0.361742271892246, 0.0369614427223968)); #120316=CARTESIAN_POINT('Ctrl Pts',(-0.0173887945205942,0.394465799029172, 0.0193324033215137)); #120317=CARTESIAN_POINT('Ctrl Pts',(-0.0334895301878109,0.393946983799102, 0.0204623908912085)); #120318=CARTESIAN_POINT('Ctrl Pts',(-0.0625556820344475,0.392511033859769, 0.0230095982704607)); #120319=CARTESIAN_POINT('Ctrl Pts',(-0.118939693833396,0.385191665718963, 0.0286497932334539)); #120320=CARTESIAN_POINT('Ctrl Pts',(-0.159354980875764,0.371463004206487, 0.0328324480785043)); #120321=CARTESIAN_POINT('Ctrl Pts',(-0.176394341918934,0.362961813659865, 0.0352037996652876)); #120322=CARTESIAN_POINT('Ctrl Pts',(-0.0529815283828221,0.394659797009871, 0.0176805839047493)); #120323=CARTESIAN_POINT('Ctrl Pts',(-0.06674724738851,0.393830998271256, 0.019038329842261)); #120324=CARTESIAN_POINT('Ctrl Pts',(-0.0924713554615396,0.391680753718006, 0.021982492169081)); #120325=CARTESIAN_POINT('Ctrl Pts',(-0.142969862598662,0.381956455202964, 0.0275547719154545)); #120326=CARTESIAN_POINT('Ctrl Pts',(-0.167876708276683,0.3711746249422, 0.0304063328834673)); #120327=CARTESIAN_POINT('Ctrl Pts',(-0.178126627513504,0.365781563040663, 0.0320174782363265)); #120328=CARTESIAN_POINT('Ctrl Pts',(-0.138745391899193,0.392090440959297, 0.0172734632933235)); #120329=CARTESIAN_POINT('Ctrl Pts',(-0.145799378962122,0.390707093939191, 0.0188940269203822)); #120330=CARTESIAN_POINT('Ctrl Pts',(-0.159321858853687,0.387064143959248, 0.0221267719914875)); #120331=CARTESIAN_POINT('Ctrl Pts',(-0.17397804879436,0.378758462692531, 0.0262016709547968)); #120332=CARTESIAN_POINT('Ctrl Pts',(-0.17827148591267,0.373447831119784, 0.0274610304489559)); #120333=CARTESIAN_POINT('Ctrl Pts',(-0.181019694434917,0.370934138354687, 0.0284723699860111)); #120334=CARTESIAN_POINT('Ctrl Pts',(-0.177513256171371,0.392218518270911, 0.017347012192933)); #120335=CARTESIAN_POINT('Ctrl Pts',(-0.177431704200268,0.390796851034278, 0.0189367791076885)); #120336=CARTESIAN_POINT('Ctrl Pts',(-0.177789909990662,0.387513630823673, 0.0219224933307591)); #120337=CARTESIAN_POINT('Ctrl Pts',(-0.180088010527224,0.381445804058918, 0.0254608575343495)); #120338=CARTESIAN_POINT('Ctrl Pts',(-0.182163887651462,0.377009306649142, 0.0265361153276387)); #120339=CARTESIAN_POINT('Ctrl Pts',(-0.183044754287846,0.37480625125186, 0.0270545129749128)); #120340=CARTESIAN_POINT('Ctrl Pts',(-0.183613067274148,0.392665906943567, 0.0170458111138868)); #120341=CARTESIAN_POINT('Ctrl Pts',(-0.183649959178424,0.391294504290281, 0.0186258155675323)); #120342=CARTESIAN_POINT('Ctrl Pts',(-0.183733840873185,0.388220082958849, 0.0214976485799049)); #120343=CARTESIAN_POINT('Ctrl Pts',(-0.183884473897626,0.382814570050755, 0.024753169640807)); #120344=CARTESIAN_POINT('Ctrl Pts',(-0.18399705283411,0.378838940557924, 0.0261272961384861)); #120345=CARTESIAN_POINT('Ctrl Pts',(-0.184055155136423,0.376801446577749, 0.0266003317891485)); #120346=CARTESIAN_POINT('',(-1.99424903537491E-16,0.394175398290398,0.020327491094035)); #120347=CARTESIAN_POINT('',(-0.175599732849276,0.361742271892246,0.0369614427223967)); #120348=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120349=CARTESIAN_POINT('Ctrl Pts',(-0.0173887945205942,0.393884997551624, 0.0213225788665563)); #120350=CARTESIAN_POINT('Ctrl Pts',(-0.0485975244496828,0.392827754337969, 0.023662417915389)); #120351=CARTESIAN_POINT('Ctrl Pts',(-0.107830453811182,0.38671466844377, 0.0292948937159568)); #120352=CARTESIAN_POINT('Ctrl Pts',(-0.154934211947616,0.371967492845709, 0.0341164999636431)); #120353=CARTESIAN_POINT('Ctrl Pts',(-0.175599732849276,0.361742271892246, 0.0369614427223968)); #120354=CARTESIAN_POINT('',(-0.183613067274148,0.392665906943567,0.0170458111138868)); #120355=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120356=CARTESIAN_POINT('Ctrl Pts',(-0.0173887945205942,0.394465799029172, 0.0193324033215137)); #120357=CARTESIAN_POINT('Ctrl Pts',(-0.0529815283828221,0.394659797009871, 0.0176805839047493)); #120358=CARTESIAN_POINT('Ctrl Pts',(-0.138745391899193,0.392090440959297, 0.0172734632933235)); #120359=CARTESIAN_POINT('Ctrl Pts',(-0.177513256171371,0.392218518270911, 0.017347012192933)); #120360=CARTESIAN_POINT('Ctrl Pts',(-0.183613067274148,0.392665906943567, 0.0170458111138868)); #120361=CARTESIAN_POINT('',(-0.184055155136423,0.376801446577749,0.0266003317891485)); #120362=CARTESIAN_POINT('Origin',(-0.184302517250011,0.370023853620379, -0.00262299871387538)); #120363=CARTESIAN_POINT('Origin',(-0.183807793022834,0.383579039535119, 0.0558236622921724)); #120364=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120365=CARTESIAN_POINT('Ctrl Pts',(-0.0173887945205942,0.394465799029172, 0.0193324033215137)); #120366=CARTESIAN_POINT('Ctrl Pts',(-0.0529815283828221,0.394659797009871, 0.0176805839047493)); #120367=CARTESIAN_POINT('Ctrl Pts',(-0.138745391899193,0.392090440959297, 0.0172734632933235)); #120368=CARTESIAN_POINT('Ctrl Pts',(-0.177513256171371,0.392218518270911, 0.017347012192933)); #120369=CARTESIAN_POINT('Ctrl Pts',(-0.183613067274148,0.392665906943567, 0.0170458111138868)); #120370=CARTESIAN_POINT('Ctrl Pts',(-2.01224980457638E-16,0.394756199767946, 0.0183373155489925)); #120371=CARTESIAN_POINT('Ctrl Pts',(-0.0176182669148348,0.395038487000582, 0.0174630059051586)); #120372=CARTESIAN_POINT('Ctrl Pts',(-0.0547848219708083,0.395212767598769, 0.0160168594761034)); #120373=CARTESIAN_POINT('Ctrl Pts',(-0.141806448545828,0.393192496125189, 0.0157663968151759)); #120374=CARTESIAN_POINT('Ctrl Pts',(-0.178272564931671,0.393689895360062, 0.0157237784916169)); #120375=CARTESIAN_POINT('Ctrl Pts',(-0.183576175369872,0.394037309596853, 0.0154658066602412)); #120376=CARTESIAN_POINT('Ctrl Pts',(-3.72246345662656E-16,0.396126903345379, 0.0144198524298876)); #120377=CARTESIAN_POINT('Ctrl Pts',(-0.0189972495933015,0.396272988063011, 0.0137323142430912)); #120378=CARTESIAN_POINT('Ctrl Pts',(-0.0612557746055113,0.396142007808804, 0.012668788142877)); #120379=CARTESIAN_POINT('Ctrl Pts',(-0.150135356614077,0.395174978250333, 0.0124909711673018)); #120380=CARTESIAN_POINT('Ctrl Pts',(-0.180036474353874,0.396211286716032, 0.0122574086879452)); #120381=CARTESIAN_POINT('Ctrl Pts',(-0.183512492271318,0.396448393908389, 0.0120177427950465)); #120382=CARTESIAN_POINT('Ctrl Pts',(-6.08097194362299E-16,0.396569639296095, 0.00787341150720853)); #120383=CARTESIAN_POINT('Ctrl Pts',(-0.0252548924269144,0.396546348125792, 0.00745659457555364)); #120384=CARTESIAN_POINT('Ctrl Pts',(-0.0802596366224597,0.396497905701561, 0.00687050631053926)); #120385=CARTESIAN_POINT('Ctrl Pts',(-0.157356395349764,0.397816264865798, 0.00672463585938632)); #120386=CARTESIAN_POINT('Ctrl Pts',(-0.181997011071723,0.398798767881909, 0.0064600571263153)); #120387=CARTESIAN_POINT('Ctrl Pts',(-0.183451431884198,0.398912274353584, 0.00620687003215608)); #120388=CARTESIAN_POINT('Ctrl Pts',(-8.9520575972319E-17,0.396988403738335, 0.00276095092030781)); #120389=CARTESIAN_POINT('Ctrl Pts',(-0.0282532053730297,0.397001912819016, 0.00260654142156504)); #120390=CARTESIAN_POINT('Ctrl Pts',(-0.0860467523625149,0.397420391619804, 0.00243472611551195)); #120391=CARTESIAN_POINT('Ctrl Pts',(-0.158691101300309,0.39893757512896, 0.00236544250668024)); #120392=CARTESIAN_POINT('Ctrl Pts',(-0.182093837575406,0.39966572500273, 0.00218963858819596)); #120393=CARTESIAN_POINT('Ctrl Pts',(-0.183435477982553,0.399714665369714, 0.00207619918553875)); #120394=CARTESIAN_POINT('Ctrl Pts',(-1.20201401012924E-16,0.397231567190588, -1.84200570617961E-5)); #120395=CARTESIAN_POINT('Ctrl Pts',(-0.0299407905019522,0.397231567190588, -1.84200570618038E-5)); #120396=CARTESIAN_POINT('Ctrl Pts',(-0.0898220950045269,0.397687979653431, -1.76723810902502E-5)); #120397=CARTESIAN_POINT('Ctrl Pts',(-0.160516627501475,0.399201513841716, -1.43078392182049E-5)); #120398=CARTESIAN_POINT('Ctrl Pts',(-0.18216275044735,0.399860302733551, -1.05694593603692E-5)); #120399=CARTESIAN_POINT('Ctrl Pts',(-0.183433863171253,0.399897067859007, -8.32643144566353E-6)); #120400=CARTESIAN_POINT('',(-1.20201401012924E-16,0.397231567190588,-1.84200570617961E-5)); #120401=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120402=CARTESIAN_POINT('Ctrl Pts',(-1.9230817965116E-16,0.394756199767946, 0.0183373155489925)); #120403=CARTESIAN_POINT('Ctrl Pts',(-4.43464143999987E-16,0.396126903345379, 0.0144198524298875)); #120404=CARTESIAN_POINT('Ctrl Pts',(-2.08299221771505E-16,0.396569639296095, 0.00787341150720852)); #120405=CARTESIAN_POINT('Ctrl Pts',(2.13449897451336E-16,0.396988403738335, 0.00276095092030781)); #120406=CARTESIAN_POINT('Ctrl Pts',(2.29475097530254E-16,0.397231567190588, -1.84200570617961E-5)); #120407=CARTESIAN_POINT('',(-0.183433863171253,0.399897067859007,-8.32643144561266E-6)); #120408=CARTESIAN_POINT('Ctrl Pts',(-2.08057713298152E-16,0.397231567190588, -1.84200570618194E-5)); #120409=CARTESIAN_POINT('Ctrl Pts',(-0.0230332136028824,0.397231567190588, -1.84200570618219E-5)); #120410=CARTESIAN_POINT('Ctrl Pts',(-0.0460663716637867,0.397362771441693, -1.81988158781378E-5)); #120411=CARTESIAN_POINT('Ctrl Pts',(-0.0676480852440046,0.397594082091945, -1.77563335107671E-5)); #120412=CARTESIAN_POINT('Ctrl Pts',(-0.0910978753142444,0.397845414599405, -1.72755506208423E-5)); #120413=CARTESIAN_POINT('Ctrl Pts',(-0.112833970990689,0.398214008442588, -1.65335684105702E-5)); #120414=CARTESIAN_POINT('Ctrl Pts',(-0.130996419859721,0.398590997030341, -1.55303868799506E-5)); #120415=CARTESIAN_POINT('Ctrl Pts',(-0.150574233963688,0.398997363624601, -1.44490293129931E-5)); #120416=CARTESIAN_POINT('Ctrl Pts',(-0.16599983010442,0.399408296421434, -1.30641766806766E-5)); #120417=CARTESIAN_POINT('Ctrl Pts',(-0.174946143912417,0.399656243258309, -1.13758289830006E-5)); #120418=CARTESIAN_POINT('Ctrl Pts',(-0.179849213140424,0.399792131684777, -1.04505221113312E-5)); #120419=CARTESIAN_POINT('Ctrl Pts',(-0.182806177714178,0.399878912950994, -9.43405626555061E-6)); #120420=CARTESIAN_POINT('Ctrl Pts',(-0.183433863171253,0.399897067859007, -8.32643144565894E-6)); #120421=CARTESIAN_POINT('Origin',(-0.184302517250011,0.370023853620379, -0.00262299871387538)); #120422=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120423=CARTESIAN_POINT('Ctrl Pts',(-1.9230817965116E-16,0.394756199767946, 0.0183373155489925)); #120424=CARTESIAN_POINT('Ctrl Pts',(-4.43464143999987E-16,0.396126903345379, 0.0144198524298875)); #120425=CARTESIAN_POINT('Ctrl Pts',(-2.08299221771505E-16,0.396569639296095, 0.00787341150720852)); #120426=CARTESIAN_POINT('Ctrl Pts',(2.13449897451336E-16,0.396988403738335, 0.00276095092030781)); #120427=CARTESIAN_POINT('Ctrl Pts',(2.29475097530254E-16,0.397231567190588, -1.84200570617961E-5)); #120428=CARTESIAN_POINT('Ctrl Pts',(0.0173887945205938,0.394465799029172, 0.0193324033215138)); #120429=CARTESIAN_POINT('Ctrl Pts',(0.0176182669148344,0.395038487000582, 0.0174630059051586)); #120430=CARTESIAN_POINT('Ctrl Pts',(0.0189972495933008,0.396272988063011, 0.0137323142430913)); #120431=CARTESIAN_POINT('Ctrl Pts',(0.0252548924269134,0.396546348125792, 0.00745659457555366)); #120432=CARTESIAN_POINT('Ctrl Pts',(0.0282532053730299,0.397001912819016, 0.00260654142156506)); #120433=CARTESIAN_POINT('Ctrl Pts',(0.0299407905019524,0.397231567190588, -1.84200570617879E-5)); #120434=CARTESIAN_POINT('Ctrl Pts',(0.0529815283828217,0.394659797009872, 0.0176805839047494)); #120435=CARTESIAN_POINT('Ctrl Pts',(0.054784821970808,0.395212767598769, 0.0160168594761034)); #120436=CARTESIAN_POINT('Ctrl Pts',(0.0612557746055107,0.3961420078088, 0.012668788142877)); #120437=CARTESIAN_POINT('Ctrl Pts',(0.0802596366224595,0.396497905701562, 0.00687050631053932)); #120438=CARTESIAN_POINT('Ctrl Pts',(0.0860467523625136,0.397420391619802, 0.00243472611551201)); #120439=CARTESIAN_POINT('Ctrl Pts',(0.0898220950045256,0.397687979653429, -1.76723810902043E-5)); #120440=CARTESIAN_POINT('Ctrl Pts',(0.138745391899192,0.392090440959297, 0.0172734632933237)); #120441=CARTESIAN_POINT('Ctrl Pts',(0.141806448545828,0.39319249612519, 0.015766396815176)); #120442=CARTESIAN_POINT('Ctrl Pts',(0.150135356614077,0.395174978250334, 0.012490971167302)); #120443=CARTESIAN_POINT('Ctrl Pts',(0.157356395349764,0.397816264865798, 0.00672463585938647)); #120444=CARTESIAN_POINT('Ctrl Pts',(0.158691101300309,0.398937575128958, 0.00236544250668034)); #120445=CARTESIAN_POINT('Ctrl Pts',(0.160516627501474,0.399201513841714, -1.43078392181273E-5)); #120446=CARTESIAN_POINT('Ctrl Pts',(0.17751325617137,0.392218518270911, 0.0173470121929332)); #120447=CARTESIAN_POINT('Ctrl Pts',(0.17827256493167,0.393689895360062, 0.015723778491617)); #120448=CARTESIAN_POINT('Ctrl Pts',(0.180036474353874,0.396211286716032, 0.0122574086879453)); #120449=CARTESIAN_POINT('Ctrl Pts',(0.181997011071722,0.398798767881909, 0.00646005712631543)); #120450=CARTESIAN_POINT('Ctrl Pts',(0.182093837575405,0.39966572500273, 0.00218963858819607)); #120451=CARTESIAN_POINT('Ctrl Pts',(0.18216275044735,0.399860302733551, -1.05694593602804E-5)); #120452=CARTESIAN_POINT('Ctrl Pts',(0.183613067274148,0.392665906943567, 0.0170458111138869)); #120453=CARTESIAN_POINT('Ctrl Pts',(0.183576175369872,0.394037309596853, 0.0154658066602413)); #120454=CARTESIAN_POINT('Ctrl Pts',(0.183512492271317,0.396448393908389, 0.0120177427950467)); #120455=CARTESIAN_POINT('Ctrl Pts',(0.183451431884197,0.398912274353584, 0.00620687003215622)); #120456=CARTESIAN_POINT('Ctrl Pts',(0.183435477982552,0.399714665369713, 0.00207619918553886)); #120457=CARTESIAN_POINT('Ctrl Pts',(0.183433863171253,0.399897067859007, -8.32643144557337E-6)); #120458=CARTESIAN_POINT('',(0.183613067274148,0.392665906943567,0.0170458111138869)); #120459=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120460=CARTESIAN_POINT('Ctrl Pts',(0.0173887945205938,0.394465799029172, 0.0193324033215138)); #120461=CARTESIAN_POINT('Ctrl Pts',(0.0529815283828217,0.394659797009872, 0.0176805839047494)); #120462=CARTESIAN_POINT('Ctrl Pts',(0.138745391899192,0.392090440959297, 0.0172734632933237)); #120463=CARTESIAN_POINT('Ctrl Pts',(0.17751325617137,0.392218518270911, 0.0173470121929332)); #120464=CARTESIAN_POINT('Ctrl Pts',(0.183613067274148,0.392665906943567, 0.0170458111138869)); #120465=CARTESIAN_POINT('',(0.183433863171253,0.399897067859007,-8.32643144557339E-6)); #120466=CARTESIAN_POINT('Origin',(0.18430251725001,0.370023853620379,-0.00262299871387534)); #120467=CARTESIAN_POINT('Ctrl Pts',(0.183433863171253,0.399897067859006, -8.32643144561997E-6)); #120468=CARTESIAN_POINT('Ctrl Pts',(0.18242265817871,0.399867820194567, -1.01108215969511E-5)); #120469=CARTESIAN_POINT('Ctrl Pts',(0.175366250473288,0.399657443752155, -1.16586232071646E-5)); #120470=CARTESIAN_POINT('Ctrl Pts',(0.163866473813407,0.399358863570519, -1.29698362762605E-5)); #120471=CARTESIAN_POINT('Ctrl Pts',(0.149005848925539,0.398973022331208, -1.46642557544997E-5)); #120472=CARTESIAN_POINT('Ctrl Pts',(0.126724703311472,0.398449202570077, -1.59635916001856E-5)); #120473=CARTESIAN_POINT('Ctrl Pts',(0.100480541550996,0.398031382388814, -1.68678438133179E-5)); #120474=CARTESIAN_POINT('Ctrl Pts',(0.0812343492943283,0.397724973382092, -1.75309784221234E-5)); #120475=CARTESIAN_POINT('Ctrl Pts',(0.0598569243574176,0.397476543208568, -1.79816353753201E-5)); #120476=CARTESIAN_POINT('Ctrl Pts',(0.0377136804357572,0.397344236626934, -1.82198146729077E-5)); #120477=CARTESIAN_POINT('Ctrl Pts',(0.0253028171183855,0.397270081326724, -1.83533095988475E-5)); #120478=CARTESIAN_POINT('Ctrl Pts',(0.0126514099894908,0.397231567190588, -1.8420057061818E-5)); #120479=CARTESIAN_POINT('Ctrl Pts',(-2.08057713298152E-16,0.397231567190588, -1.84200570618194E-5)); #120480=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120481=CARTESIAN_POINT('Ctrl Pts',(0.0173887945205938,0.394465799029172, 0.0193324033215138)); #120482=CARTESIAN_POINT('Ctrl Pts',(0.0529815283828217,0.394659797009872, 0.0176805839047494)); #120483=CARTESIAN_POINT('Ctrl Pts',(0.138745391899192,0.392090440959297, 0.0172734632933237)); #120484=CARTESIAN_POINT('Ctrl Pts',(0.17751325617137,0.392218518270911, 0.0173470121929332)); #120485=CARTESIAN_POINT('Ctrl Pts',(0.183613067274148,0.392665906943567, 0.0170458111138869)); #120486=CARTESIAN_POINT('Ctrl Pts',(0.0173887945205938,0.393884997551625, 0.0213225788665563)); #120487=CARTESIAN_POINT('Ctrl Pts',(0.0334895301878105,0.393946983799102, 0.0204623908912086)); #120488=CARTESIAN_POINT('Ctrl Pts',(0.0667472473885096,0.393830998271257, 0.0190383298422611)); #120489=CARTESIAN_POINT('Ctrl Pts',(0.145799378962121,0.390707093939191, 0.0188940269203824)); #120490=CARTESIAN_POINT('Ctrl Pts',(0.177431704200268,0.390796851034278, 0.0189367791076887)); #120491=CARTESIAN_POINT('Ctrl Pts',(0.183649959178424,0.391294504290282, 0.0186258155675324)); #120492=CARTESIAN_POINT('Ctrl Pts',(0.0485975244496825,0.392827754337969, 0.0236624179153891)); #120493=CARTESIAN_POINT('Ctrl Pts',(0.0625556820344472,0.392511033859769, 0.0230095982704609)); #120494=CARTESIAN_POINT('Ctrl Pts',(0.0924713554615392,0.391680753718007, 0.0219824921690812)); #120495=CARTESIAN_POINT('Ctrl Pts',(0.159321858853687,0.387064143959248, 0.0221267719914876)); #120496=CARTESIAN_POINT('Ctrl Pts',(0.177789909990662,0.387513630823673, 0.0219224933307592)); #120497=CARTESIAN_POINT('Ctrl Pts',(0.183733840873185,0.388220082958849, 0.021497648579905)); #120498=CARTESIAN_POINT('Ctrl Pts',(0.107830453811182,0.386714668443771, 0.0292948937159571)); #120499=CARTESIAN_POINT('Ctrl Pts',(0.118939693833396,0.385191665718963, 0.0286497932334541)); #120500=CARTESIAN_POINT('Ctrl Pts',(0.142969862598662,0.381956455202964, 0.0275547719154547)); #120501=CARTESIAN_POINT('Ctrl Pts',(0.173978048794359,0.378758462692532, 0.0262016709547969)); #120502=CARTESIAN_POINT('Ctrl Pts',(0.180088010527224,0.381445804058918, 0.0254608575343496)); #120503=CARTESIAN_POINT('Ctrl Pts',(0.183884473897626,0.382814570050756, 0.0247531696408071)); #120504=CARTESIAN_POINT('Ctrl Pts',(0.154934211947616,0.371967492845709, 0.0341164999636432)); #120505=CARTESIAN_POINT('Ctrl Pts',(0.159354980875764,0.371463004206487, 0.0328324480785044)); #120506=CARTESIAN_POINT('Ctrl Pts',(0.167876708276683,0.3711746249422,0.0304063328834674)); #120507=CARTESIAN_POINT('Ctrl Pts',(0.178271485912669,0.373447831119784, 0.0274610304489559)); #120508=CARTESIAN_POINT('Ctrl Pts',(0.182163887651461,0.377009306649143, 0.0265361153276388)); #120509=CARTESIAN_POINT('Ctrl Pts',(0.18399705283411,0.378838940557924, 0.0261272961384861)); #120510=CARTESIAN_POINT('Ctrl Pts',(0.175599732849276,0.361742271892246, 0.0369614427223968)); #120511=CARTESIAN_POINT('Ctrl Pts',(0.176394341918934,0.362961813659865, 0.0352037996652877)); #120512=CARTESIAN_POINT('Ctrl Pts',(0.178126627513504,0.365781563040664, 0.0320174782363266)); #120513=CARTESIAN_POINT('Ctrl Pts',(0.181019694434916,0.370934138354687, 0.0284723699860111)); #120514=CARTESIAN_POINT('Ctrl Pts',(0.183044754287846,0.37480625125186, 0.0270545129749129)); #120515=CARTESIAN_POINT('Ctrl Pts',(0.184055155136422,0.376801446577749, 0.0266003317891485)); #120516=CARTESIAN_POINT('',(0.175599732849276,0.361742271892246,0.0369614427223968)); #120517=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120518=CARTESIAN_POINT('Ctrl Pts',(0.0173887945205938,0.393884997551625, 0.0213225788665563)); #120519=CARTESIAN_POINT('Ctrl Pts',(0.0485975244496825,0.392827754337969, 0.0236624179153891)); #120520=CARTESIAN_POINT('Ctrl Pts',(0.107830453811182,0.386714668443771, 0.0292948937159571)); #120521=CARTESIAN_POINT('Ctrl Pts',(0.154934211947616,0.371967492845709, 0.0341164999636432)); #120522=CARTESIAN_POINT('Ctrl Pts',(0.175599732849276,0.361742271892246, 0.0369614427223968)); #120523=CARTESIAN_POINT('',(0.184055155136422,0.376801446577749,0.0266003317891485)); #120524=CARTESIAN_POINT('Origin',(0.183807793022834,0.383579039535119,0.0558236622921724)); #120525=CARTESIAN_POINT('Origin',(0.18430251725001,0.370023853620379,-0.00262299871387534)); #120526=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120527=CARTESIAN_POINT('Ctrl Pts',(0.0173887945205938,0.393884997551625, 0.0213225788665563)); #120528=CARTESIAN_POINT('Ctrl Pts',(0.0485975244496825,0.392827754337969, 0.0236624179153891)); #120529=CARTESIAN_POINT('Ctrl Pts',(0.107830453811182,0.386714668443771, 0.0292948937159571)); #120530=CARTESIAN_POINT('Ctrl Pts',(0.154934211947616,0.371967492845709, 0.0341164999636432)); #120531=CARTESIAN_POINT('Ctrl Pts',(0.175599732849276,0.361742271892246, 0.0369614427223968)); #120532=CARTESIAN_POINT('Ctrl Pts',(-1.95165876630702E-16,0.393594596812851, 0.0223176666390776)); #120533=CARTESIAN_POINT('Ctrl Pts',(0.0158712632729759,0.393360616065445, 0.0232124019241704)); #120534=CARTESIAN_POINT('Ctrl Pts',(0.0456420052316018,0.392449964633187, 0.0253421163494893)); #120535=CARTESIAN_POINT('Ctrl Pts',(0.10547668802087,0.386224050468883, 0.0307786824176407)); #120536=CARTESIAN_POINT('Ctrl Pts',(0.152809612008541,0.371335845823436, 0.0357166565997545)); #120537=CARTESIAN_POINT('Ctrl Pts',(0.174805123779617,0.360522730124628, 0.0387190857795059)); #120538=CARTESIAN_POINT('Ctrl Pts',(-2.8610675911112E-16,0.392321947666474, 0.0263483474647332)); #120539=CARTESIAN_POINT('Ctrl Pts',(0.0142676820998782,0.392313125304982, 0.0270665974635013)); #120540=CARTESIAN_POINT('Ctrl Pts',(0.0427577540986561,0.391895432155351, 0.0288537286779055)); #120541=CARTESIAN_POINT('Ctrl Pts',(0.104141063087459,0.385326711929044, 0.0342768629884124)); #120542=CARTESIAN_POINT('Ctrl Pts',(0.14972999403307,0.370022596169605, 0.0393052015460389)); #120543=CARTESIAN_POINT('Ctrl Pts',(0.173359059117073,0.358464444458155, 0.0425631463028662)); #120544=CARTESIAN_POINT('Ctrl Pts',(-4.99467410478455E-17,0.394478727772715, 0.0336610128046343)); #120545=CARTESIAN_POINT('Ctrl Pts',(0.0146760110530245,0.394718377960921, 0.0342691770722488)); #120546=CARTESIAN_POINT('Ctrl Pts',(0.0459226147461686,0.394047957614066, 0.0361568836322401)); #120547=CARTESIAN_POINT('Ctrl Pts',(0.105447393687245,0.383976617828775, 0.0410520630442269)); #120548=CARTESIAN_POINT('Ctrl Pts',(0.149162406076557,0.367605181182981, 0.0458413853639437)); #120549=CARTESIAN_POINT('Ctrl Pts',(0.171731737949181,0.356679265987008, 0.0490169532977799)); #120550=CARTESIAN_POINT('Ctrl Pts',(3.40954358192931E-17,0.395344782907477, 0.0415892084891438)); #120551=CARTESIAN_POINT('Ctrl Pts',(0.0175157247551333,0.395344782907477, 0.0418167041830531)); #120552=CARTESIAN_POINT('Ctrl Pts',(0.0537089243715106,0.393099083221581, 0.042895819837242)); #120553=CARTESIAN_POINT('Ctrl Pts',(0.112279874235377,0.380782771127653, 0.0467087139599614)); #120554=CARTESIAN_POINT('Ctrl Pts',(0.151852817238938,0.36563838910244, 0.0509611186766066)); #120555=CARTESIAN_POINT('Ctrl Pts',(0.171064333429059,0.356419066674133, 0.0535547183560901)); #120556=CARTESIAN_POINT('Ctrl Pts',(9.75350170054966E-17,0.395344782907477, 0.045684130979512)); #120557=CARTESIAN_POINT('Ctrl Pts',(0.0197353843047526,0.395344782907477, 0.045684130979512)); #120558=CARTESIAN_POINT('Ctrl Pts',(0.0592056388892131,0.392380190454833, 0.0464352073730424)); #120559=CARTESIAN_POINT('Ctrl Pts',(0.116878192136673,0.379202308727689, 0.0498150511439293)); #120560=CARTESIAN_POINT('Ctrl Pts',(0.153332799251247,0.364915866103222, 0.0535704331115813)); #120561=CARTESIAN_POINT('Ctrl Pts',(0.170843642497719,0.356524819879728, 0.0558236622921725)); #120562=CARTESIAN_POINT('',(9.75350170054966E-17,0.395344782907477,0.045684130979512)); #120563=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #120564=CARTESIAN_POINT('Ctrl Pts',(-1.95165876630702E-16,0.393594596812851, 0.0223176666390776)); #120565=CARTESIAN_POINT('Ctrl Pts',(-2.8610675911112E-16,0.392321947666474, 0.0263483474647332)); #120566=CARTESIAN_POINT('Ctrl Pts',(-4.99467410478455E-17,0.394478727772715, 0.0336610128046343)); #120567=CARTESIAN_POINT('Ctrl Pts',(3.40954358192931E-17,0.395344782907477, 0.0415892084891438)); #120568=CARTESIAN_POINT('Ctrl Pts',(9.75350170054966E-17,0.395344782907477, 0.045684130979512)); #120569=CARTESIAN_POINT('',(0.170843642497719,0.356524819879728,0.0558236622921724)); #120570=CARTESIAN_POINT('Ctrl Pts',(-1.99776238407089E-16,0.395344782907478, 0.045684130979512)); #120571=CARTESIAN_POINT('Ctrl Pts',(0.0195579454581006,0.395344782907478, 0.045684130979512)); #120572=CARTESIAN_POINT('Ctrl Pts',(0.0391154817678885,0.393889129334321, 0.0460529466814003)); #120573=CARTESIAN_POINT('Ctrl Pts',(0.058424682450571,0.391003905162993, 0.046790578085177)); #120574=CARTESIAN_POINT('Ctrl Pts',(0.0779966563094728,0.388079416832984, 0.0475382476956115)); #120575=CARTESIAN_POINT('Ctrl Pts',(0.0973126334571993,0.383686281084296, 0.0486648395180783)); #120576=CARTESIAN_POINT('Ctrl Pts',(0.116127177822595,0.377904718074702, 0.0501703535525776)); #120577=CARTESIAN_POINT('Ctrl Pts',(0.134941722187991,0.372123155065109, 0.0516758675870768)); #120578=CARTESIAN_POINT('Ctrl Pts',(0.153254080139889,0.364953587627696, 0.0535603038336084)); #120579=CARTESIAN_POINT('Ctrl Pts',(0.170843642497719,0.356524819879728, 0.0558236622921724)); #120580=CARTESIAN_POINT('Origin',(0.183807793022834,0.383579039535119,0.0558236622921724)); #120581=CARTESIAN_POINT('Origin',(1.375,1.27306234,-1.79907851)); #120582=CARTESIAN_POINT('',(1.37506867492312,1.18055771822001,-0.967828509999999)); #120583=CARTESIAN_POINT('',(1.375,1.18053829,-0.97)); #120584=CARTESIAN_POINT('',(1.375,1.18053829,-1.79907851)); #120585=CARTESIAN_POINT('',(1.35006849171787,1.1840686594148,-0.97)); #120586=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.97)); #120587=CARTESIAN_POINT('Ctrl Pts',(1.35006849171787,1.1840686594148,-0.97)); #120588=CARTESIAN_POINT('Ctrl Pts',(1.35820579576475,1.18181448555564,-0.96927617)); #120589=CARTESIAN_POINT('Ctrl Pts',(1.36664541425391,1.18062071139408,-0.96855234)); #120590=CARTESIAN_POINT('Ctrl Pts',(1.37506867492312,1.18055771822001,-0.967828509999999)); #120591=CARTESIAN_POINT('Origin',(1.375,1.27306234,-1.79907851)); #120592=CARTESIAN_POINT('',(1.37492902824636,1.18054480883776,-0.91782851)); #120593=CARTESIAN_POINT('',(1.37500555040433,1.18040207824734,-0.92407851)); #120594=CARTESIAN_POINT('',(1.375,1.18053829,-1.79907851)); #120595=CARTESIAN_POINT('',(1.33299496030258,1.35550187219434,-0.88907851)); #120596=CARTESIAN_POINT('Ctrl Pts',(1.37492902824636,1.18054480883776,-0.91782851)); #120597=CARTESIAN_POINT('Ctrl Pts',(1.39721045883407,1.1807238460117,-0.915912214241642)); #120598=CARTESIAN_POINT('Ctrl Pts',(1.44948115928601,1.19973620773439,-0.911440239291626)); #120599=CARTESIAN_POINT('Ctrl Pts',(1.48246768208656,1.28548234819658,-0.904412585149952)); #120600=CARTESIAN_POINT('Ctrl Pts',(1.42601902168711,1.36833001190225,-0.896745547574976)); #120601=CARTESIAN_POINT('Ctrl Pts',(1.35947092050917,1.36899204771939,-0.891634189191659)); #120602=CARTESIAN_POINT('Ctrl Pts',(1.33299496030258,1.35550187219433,-0.88907851)); #120603=CARTESIAN_POINT('',(1.28709558470117,1.30159805915533,-0.88907851)); #120604=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #120605=CARTESIAN_POINT('Ctrl Pts',(1.37500555040433,1.18040207824734,-0.92407851)); #120606=CARTESIAN_POINT('Ctrl Pts',(1.37531724589661,1.18040182095434,-0.924051598183381)); #120607=CARTESIAN_POINT('Ctrl Pts',(1.40522882882053,1.18054105062668,-0.921469007175104)); #120608=CARTESIAN_POINT('Ctrl Pts',(1.46441765926432,1.21225472461154,-0.916330736975168)); #120609=CARTESIAN_POINT('Ctrl Pts',(1.47596772374912,1.31179227384005,-0.908663699400192)); #120610=CARTESIAN_POINT('Ctrl Pts',(1.40080382128366,1.37807123596989,-0.900996661825216)); #120611=CARTESIAN_POINT('Ctrl Pts',(1.31796766203736,1.35773652340137,-0.894468265358485)); #120612=CARTESIAN_POINT('Ctrl Pts',(1.29224516652022,1.31730578400003,-0.890495548083414)); #120613=CARTESIAN_POINT('Ctrl Pts',(1.28709558470117,1.30159805915533,-0.88907851)); #120614=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.97)); #120615=CARTESIAN_POINT('',(1.25012422309989,1.27299946065898,-0.911578511525309)); #120616=CARTESIAN_POINT('',(1.24985262332585,1.27299909428931,-0.905328508474688)); #120617=CARTESIAN_POINT('',(1.25,1.27306234,-0.97)); #120618=CARTESIAN_POINT('',(1.43174879111933,1.16168651359905,-0.88907851)); #120619=CARTESIAN_POINT('Ctrl Pts',(1.24985262332585,1.27299909428931,-0.905328508474688)); #120620=CARTESIAN_POINT('Ctrl Pts',(1.24982087979642,1.26821633800066,-0.905023200699776)); #120621=CARTESIAN_POINT('Ctrl Pts',(1.25219486892046,1.22343105311716,-0.902162213733206)); #120622=CARTESIAN_POINT('Ctrl Pts',(1.30607291212463,1.14435622161608,-0.896745547574976)); #120623=CARTESIAN_POINT('Ctrl Pts',(1.39597976296891,1.14346129208875,-0.891634189191659)); #120624=CARTESIAN_POINT('Ctrl Pts',(1.43174879111934,1.16168651359905,-0.88907851)); #120625=CARTESIAN_POINT('',(1.49388207194079,1.23443523848998,-0.88907851)); #120626=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #120627=CARTESIAN_POINT('Ctrl Pts',(1.49375876932499,1.23451069215338,-0.88907851)); #120628=CARTESIAN_POINT('Ctrl Pts',(1.48680169294642,1.21328955731791,-0.890495548083413)); #120629=CARTESIAN_POINT('Ctrl Pts',(1.47440624643106,1.19380634373621,-0.891912586166826)); #120630=CARTESIAN_POINT('Ctrl Pts',(1.45776765963684,1.17914566383782,-0.893329624250239)); #120631=CARTESIAN_POINT('Ctrl Pts',(1.42775936942477,1.15270459990986,-0.895885303441898)); #120632=CARTESIAN_POINT('Ctrl Pts',(1.38394923823305,1.14194999427497,-0.898440982633557)); #120633=CARTESIAN_POINT('Ctrl Pts',(1.34511976814449,1.15149649560397,-0.900996661825216)); #120634=CARTESIAN_POINT('Ctrl Pts',(1.30629029805592,1.16104299693298,-0.903552341016874)); #120635=CARTESIAN_POINT('Ctrl Pts',(1.27244148907053,1.19089060522586,-0.906108020208533)); #120636=CARTESIAN_POINT('Ctrl Pts',(1.25811777233344,1.22822693333997,-0.908663699400191)); #120637=CARTESIAN_POINT('Ctrl Pts',(1.25267226058086,1.24242125296214,-0.909635303441897)); #120638=CARTESIAN_POINT('Ctrl Pts',(1.25004876206163,1.25769793707036,-0.910606907483603)); #120639=CARTESIAN_POINT('Ctrl Pts',(1.25012422309989,1.27299946065898,-0.911578511525309)); #120640=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.97)); #120641=CARTESIAN_POINT('',(1.24989971005585,1.2731091325927,-0.96157851152531)); #120642=CARTESIAN_POINT('',(1.25011321939357,1.2731367881713,-0.955328508474688)); #120643=CARTESIAN_POINT('',(1.25,1.27306234,-0.97)); #120644=CARTESIAN_POINT('',(1.31370302847516,1.38200122783113,-0.97)); #120645=CARTESIAN_POINT('Ctrl Pts',(1.24989971005585,1.2731091325927,-0.96157851152531)); #120646=CARTESIAN_POINT('Ctrl Pts',(1.24987585263993,1.27704388788763,-0.961829995158548)); #120647=CARTESIAN_POINT('Ctrl Pts',(1.25004608305077,1.2809757457621,-0.962081478791786)); #120648=CARTESIAN_POINT('Ctrl Pts',(1.25041728099604,1.28488748669147,-0.962332962425024)); #120649=CARTESIAN_POINT('Ctrl Pts',(1.25418954582493,1.32464019253417,-0.964888641616683)); #120650=CARTESIAN_POINT('Ctrl Pts',(1.27871663805791,1.36231533035357,-0.967444320808341)); #120651=CARTESIAN_POINT('Ctrl Pts',(1.31370302847517,1.38200122783113,-0.97)); #120652=CARTESIAN_POINT('',(1.40868796823492,1.39343725763737,-0.97)); #120653=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.97)); #120654=CARTESIAN_POINT('Ctrl Pts',(1.40868248083689,1.39329294575475,-0.97)); #120655=CARTESIAN_POINT('Ctrl Pts',(1.38716056299527,1.39925488977105,-0.968582961916587)); #120656=CARTESIAN_POINT('Ctrl Pts',(1.32243462289603,1.40057652573096,-0.964610244641515)); #120657=CARTESIAN_POINT('Ctrl Pts',(1.25886469721481,1.34217633059085,-0.959719747466411)); #120658=CARTESIAN_POINT('Ctrl Pts',(1.25003233670353,1.28758669261349,-0.95624628837472)); #120659=CARTESIAN_POINT('Ctrl Pts',(1.25011321939357,1.2731367881713,-0.955328508474689)); #120660=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-1.79907851)); #120661=CARTESIAN_POINT('',(-1.37493130932426,1.18055763467723,-0.967828509999999)); #120662=CARTESIAN_POINT('',(-1.375,1.18053829,-0.97)); #120663=CARTESIAN_POINT('',(-1.375,1.18053829,-1.79907851)); #120664=CARTESIAN_POINT('',(-1.39993150123839,1.18406857706549,-0.97)); #120665=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.97)); #120666=CARTESIAN_POINT('Ctrl Pts',(-1.39993150123839,1.18406857706549, -0.97)); #120667=CARTESIAN_POINT('Ctrl Pts',(-1.39179419531673,1.18181440376204, -0.96927617)); #120668=CARTESIAN_POINT('Ctrl Pts',(-1.38335457362768,1.18062062853386, -0.96855234)); #120669=CARTESIAN_POINT('Ctrl Pts',(-1.37493130932426,1.18055763467723, -0.967828509999999)); #120670=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-1.79907851)); #120671=CARTESIAN_POINT('',(-1.37507096692177,1.18054474954912,-0.917828509999999)); #120672=CARTESIAN_POINT('',(-1.37499444922479,1.18040209390406,-0.92407851)); #120673=CARTESIAN_POINT('',(-1.375,1.18053829,-1.79907851)); #120674=CARTESIAN_POINT('',(-1.41700503969742,1.35550187219434,-0.88907851)); #120675=CARTESIAN_POINT('Ctrl Pts',(-1.37507096692177,1.18054474954912, -0.91782851)); #120676=CARTESIAN_POINT('Ctrl Pts',(-1.35278951793826,1.1807237789211,-0.915912214241642)); #120677=CARTESIAN_POINT('Ctrl Pts',(-1.30051876765618,1.19973612634435, -0.911440239291627)); #120678=CARTESIAN_POINT('Ctrl Pts',(-1.26753226777951,1.28548234185252, -0.904412585149952)); #120679=CARTESIAN_POINT('Ctrl Pts',(-1.32398076855484,1.36832971966684, -0.896745547574976)); #120680=CARTESIAN_POINT('Ctrl Pts',(-1.39052907949083,1.36899204771939, -0.891634189191659)); #120681=CARTESIAN_POINT('Ctrl Pts',(-1.41700503969742,1.35550187219433, -0.88907851)); #120682=CARTESIAN_POINT('',(-1.46290439446965,1.30159804250691,-0.88907851)); #120683=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #120684=CARTESIAN_POINT('Ctrl Pts',(-1.37499444922479,1.18040209390406, -0.92407851)); #120685=CARTESIAN_POINT('Ctrl Pts',(-1.37468275378675,1.18040183661711, -0.924051598183381)); #120686=CARTESIAN_POINT('Ctrl Pts',(-1.34477117607472,1.1805410668321,-0.921469007175104)); #120687=CARTESIAN_POINT('Ctrl Pts',(-1.28558235722021,1.21225473460737, -0.916330736975168)); #120688=CARTESIAN_POINT('Ctrl Pts',(-1.27403229011028,1.31179226564603, -0.908663699400192)); #120689=CARTESIAN_POINT('Ctrl Pts',(-1.34919618705528,1.37807122034494, -0.900996661825216)); #120690=CARTESIAN_POINT('Ctrl Pts',(-1.43203231865392,1.35773649693408, -0.894468265358485)); #120691=CARTESIAN_POINT('Ctrl Pts',(-1.45775481360535,1.31730576657785, -0.890495548083413)); #120692=CARTESIAN_POINT('Ctrl Pts',(-1.46290439446965,1.30159804250691, -0.88907851)); #120693=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.97)); #120694=CARTESIAN_POINT('',(-1.25011323748455,1.27298789137438,-0.93657851152531)); #120695=CARTESIAN_POINT('',(-1.25012416478287,1.27312522314661,-0.930328508474688)); #120696=CARTESIAN_POINT('',(-1.25,1.27306234,-0.97)); #120697=CARTESIAN_POINT('',(-1.43629697152484,1.38200122783113,-0.97)); #120698=CARTESIAN_POINT('Ctrl Pts',(-1.25011323748455,1.27298789137438, -0.93657851152531)); #120699=CARTESIAN_POINT('Ctrl Pts',(-1.25003235497086,1.25853799691742, -0.937496290916905)); #120700=CARTESIAN_POINT('Ctrl Pts',(-1.25236609589153,1.24411397890051, -0.938414070308501)); #120701=CARTESIAN_POINT('Ctrl Pts',(-1.25723539575286,1.23060531958243, -0.939331849700096)); #120702=CARTESIAN_POINT('Ctrl Pts',(-1.27079461101472,1.1929886550086,-0.941887528891754)); #120703=CARTESIAN_POINT('Ctrl Pts',(-1.30401501542364,1.16246984969833, -0.944443208083413)); #120704=CARTESIAN_POINT('Ctrl Pts',(-1.34265096249976,1.15213096830813, -0.946998887275072)); #120705=CARTESIAN_POINT('Ctrl Pts',(-1.38128690957587,1.14179208691792, -0.949554566466731)); #120706=CARTESIAN_POINT('Ctrl Pts',(-1.42533839931916,1.15163312944778, -0.952110245658389)); #120707=CARTESIAN_POINT('Ctrl Pts',(-1.45585539179034,1.1774687220648,-0.954665924850048)); #120708=CARTESIAN_POINT('Ctrl Pts',(-1.48637238426151,1.20330431468183, -0.957221604041707)); #120709=CARTESIAN_POINT('Ctrl Pts',(-1.50335487946057,1.24513445738603, -0.959777283233365)); #120710=CARTESIAN_POINT('Ctrl Pts',(-1.49958262388071,1.28488721130396, -0.962332962425024)); #120711=CARTESIAN_POINT('Ctrl Pts',(-1.49581036830086,1.3246399652219,-0.964888641616683)); #120712=CARTESIAN_POINT('Ctrl Pts',(-1.47128336194209,1.36231533035357, -0.967444320808341)); #120713=CARTESIAN_POINT('Ctrl Pts',(-1.43629697152483,1.38200122783113, -0.97)); #120714=CARTESIAN_POINT('',(-1.34131751602336,1.39329290986735,-0.97)); #120715=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.97)); #120716=CARTESIAN_POINT('Ctrl Pts',(-1.34131751602336,1.39329290986735, -0.97)); #120717=CARTESIAN_POINT('Ctrl Pts',(-1.36283943228018,1.39925485437856, -0.968582961916587)); #120718=CARTESIAN_POINT('Ctrl Pts',(-1.42756536178194,1.40057648420621, -0.964610244641515)); #120719=CARTESIAN_POINT('Ctrl Pts',(-1.51242578022432,1.3226172456685,-0.958081848174784)); #120720=CARTESIAN_POINT('Ctrl Pts',(-1.49411988493223,1.18847367245918, -0.950414810599808)); #120721=CARTESIAN_POINT('Ctrl Pts',(-1.3735146850454,1.1269801114032,-0.942747773024832)); #120722=CARTESIAN_POINT('Ctrl Pts',(-1.26931729287531,1.18280959984853, -0.936052338983125)); #120723=CARTESIAN_POINT('Ctrl Pts',(-1.25024719305119,1.24817804058951, -0.931912584133078)); #120724=CARTESIAN_POINT('Ctrl Pts',(-1.25012416478287,1.27312522314661, -0.930328508474688)); #120725=CARTESIAN_POINT('Ctrl Pts',(-0.0347230674201973,2.00787402,-0.57218504)); #120726=CARTESIAN_POINT('Ctrl Pts',(-0.0347230674201973,1.9731509525798, -0.57218504)); #120727=CARTESIAN_POINT('Ctrl Pts',(1.77387205118591E-16,1.9731509525798, -0.57218504)); #120728=CARTESIAN_POINT('Ctrl Pts',(0.0347230674201977,1.9731509525798, -0.57218504)); #120729=CARTESIAN_POINT('Ctrl Pts',(0.0347230674201977,2.00787402,-0.57218504)); #120730=CARTESIAN_POINT('Ctrl Pts',(0.0347230674201977,2.0425970874202, -0.57218504)); #120731=CARTESIAN_POINT('Ctrl Pts',(1.77387205118591E-16,2.0425970874202, -0.57218504)); #120732=CARTESIAN_POINT('Ctrl Pts',(-0.0347230674201973,2.0425970874202, -0.57218504)); #120733=CARTESIAN_POINT('Ctrl Pts',(-0.0347230674201973,2.00787402,-0.57218504)); #120734=CARTESIAN_POINT('Ctrl Pts',(-0.0611333199680788,2.00787402,-0.57218504)); #120735=CARTESIAN_POINT('Ctrl Pts',(-0.0611333199680788,1.94674070003192, -0.57218504)); #120736=CARTESIAN_POINT('Ctrl Pts',(1.77387205118591E-16,1.94674070003192, -0.57218504)); #120737=CARTESIAN_POINT('Ctrl Pts',(0.0611333199680791,1.94674070003192, -0.57218504)); #120738=CARTESIAN_POINT('Ctrl Pts',(0.0611333199680792,2.00787402,-0.57218504)); #120739=CARTESIAN_POINT('Ctrl Pts',(0.0611333199680791,2.06900733996808, -0.57218504)); #120740=CARTESIAN_POINT('Ctrl Pts',(1.77387205118591E-16,2.06900733996808, -0.57218504)); #120741=CARTESIAN_POINT('Ctrl Pts',(-0.0611333199680788,2.06900733996808, -0.57218504)); #120742=CARTESIAN_POINT('Ctrl Pts',(-0.0611333199680788,2.00787402,-0.57218504)); #120743=CARTESIAN_POINT('Ctrl Pts',(-0.0787401549999998,2.00787402,-0.5525)); #120744=CARTESIAN_POINT('Ctrl Pts',(-0.0787401549999998,1.929133865,-0.5525)); #120745=CARTESIAN_POINT('Ctrl Pts',(1.77387205118591E-16,1.929133865,-0.5525)); #120746=CARTESIAN_POINT('Ctrl Pts',(0.0787401550000001,1.929133865,-0.5525)); #120747=CARTESIAN_POINT('Ctrl Pts',(0.0787401550000002,2.00787402,-0.5525)); #120748=CARTESIAN_POINT('Ctrl Pts',(0.0787401550000001,2.086614175,-0.5525)); #120749=CARTESIAN_POINT('Ctrl Pts',(1.77387205118591E-16,2.086614175,-0.5525)); #120750=CARTESIAN_POINT('Ctrl Pts',(-0.0787401549999998,2.086614175,-0.5525)); #120751=CARTESIAN_POINT('Ctrl Pts',(-0.0787401549999998,2.00787402,-0.5525)); #120752=CARTESIAN_POINT('',(-0.0787401549999998,2.00787402,-0.5525)); #120753=CARTESIAN_POINT('Origin',(1.77387205118591E-16,2.00787402,-0.5525)); #120754=CARTESIAN_POINT('',(-0.0347230674201973,2.00787402,-0.57218504)); #120755=CARTESIAN_POINT('Origin',(-0.0347230674201973,2.00787402,-0.51312992)); #120756=CARTESIAN_POINT('Origin',(1.77387205118591E-16,2.00787402,-0.57218504)); #120757=CARTESIAN_POINT('Origin',(1.72401021543214E-16,2.00787402,-0.57218504)); #120758=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,-0.03)); #120759=CARTESIAN_POINT('',(-1.44464039577604,3.75114293430207,-0.04)); #120760=CARTESIAN_POINT('',(-1.44254620052564,3.74480227963549,-0.0374438192248564)); #120761=CARTESIAN_POINT('Origin',(-1.44464039577604,3.75114293430207,-0.03)); #120762=CARTESIAN_POINT('',(-2.27888549175315,2.90602164861091,-0.0374438192249156)); #120763=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,-0.0374438192249156)); #120764=CARTESIAN_POINT('',(-2.28523222368141,2.90809735311241,-0.04)); #120765=CARTESIAN_POINT('Origin',(-2.28523222368141,2.90809735311241,-0.03)); #120766=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,-0.04)); #120767=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.03)); #120768=CARTESIAN_POINT('',(-2.68483194263653,0.836419744338403,-0.0374438192249166)); #120769=CARTESIAN_POINT('',(-2.69149252218142,0.836895386507557,-0.04)); #120770=CARTESIAN_POINT('Origin',(-2.69149252218142,0.836895386507557,-0.03)); #120771=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #120772=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.0374438192249164)); #120773=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.03)); #120774=CARTESIAN_POINT('',(-2.58443075433065,0.256409884410924,-0.0374438192249161)); #120775=CARTESIAN_POINT('',(-2.59054390573802,0.253723076785261,-0.04)); #120776=CARTESIAN_POINT('Origin',(-2.59054390573802,0.253723076785261,-0.03)); #120777=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #120778=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.0374438192249156)); #120779=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.03)); #120780=CARTESIAN_POINT('',(2.58443075433064,0.25640988441092,-0.037443819224916)); #120781=CARTESIAN_POINT('',(2.59054390573801,0.253723076785257,-0.04)); #120782=CARTESIAN_POINT('Origin',(2.59054390573801,0.253723076785257,-0.03)); #120783=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.04)); #120784=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.0374438192249156)); #120785=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.03)); #120786=CARTESIAN_POINT('',(2.68483194263652,0.836419744338399,-0.0374438192249158)); #120787=CARTESIAN_POINT('',(2.69149252218141,0.836895386507553,-0.04)); #120788=CARTESIAN_POINT('Origin',(2.69149252218141,0.836895386507553,-0.03)); #120789=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #120790=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0374438192249155)); #120791=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.03)); #120792=CARTESIAN_POINT('',(2.27888549175321,2.90602164861093,-0.0374438192249768)); #120793=CARTESIAN_POINT('',(2.2852322236814,2.90809735311241,-0.04)); #120794=CARTESIAN_POINT('Origin',(2.2852322236814,2.90809735311241,-0.03)); #120795=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #120796=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.0374438192249155)); #120797=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.03)); #120798=CARTESIAN_POINT('',(1.44254620052566,3.74480227963555,-0.0374438192249157)); #120799=CARTESIAN_POINT('',(1.44464039577604,3.75114293430207,-0.04)); #120800=CARTESIAN_POINT('Origin',(1.44464039577604,3.75114293430207,-0.03)); #120801=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #120802=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0374438192249156)); #120803=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.03)); #120804=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #120805=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.0374438192249156)); #120806=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.755109509104582)); #120807=CARTESIAN_POINT('',(-2.5201945358396,0.28464251766083,0.0197877162012687)); #120808=CARTESIAN_POINT('Origin',(-1.99505601408628,0.515447562514519,-0.755109509104582)); #120809=CARTESIAN_POINT('',(2.52019453583959,0.284642517660826,0.0197877162012684)); #120810=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.0197877162012688)); #120811=CARTESIAN_POINT('Origin',(1.99505601408627,0.515447562514516,-0.755109509104582)); #120812=CARTESIAN_POINT('Ctrl Pts',(-2.68483194263653,0.836419744338403, -0.0374438192249162)); #120813=CARTESIAN_POINT('Ctrl Pts',(-2.70642916814081,0.53398642839471, -0.0374438192249162)); #120814=CARTESIAN_POINT('Ctrl Pts',(-2.58443075433065,0.256409884410921, -0.0374438192249162)); #120815=CARTESIAN_POINT('Ctrl Pts',(-2.65117951718522,0.834016573183234, -0.00717874973159169)); #120816=CARTESIAN_POINT('Ctrl Pts',(-2.67218177884103,0.539914738962572, -0.00717874973159169)); #120817=CARTESIAN_POINT('Ctrl Pts',(-2.55354419699386,0.269984918342335, -0.00717874973159169)); #120818=CARTESIAN_POINT('Ctrl Pts',(-2.61484341881131,0.831421756841396, 0.0197877162012689)); #120819=CARTESIAN_POINT('Ctrl Pts',(-2.63520327016128,0.546315813271362, 0.0197877162012689)); #120820=CARTESIAN_POINT('Ctrl Pts',(-2.5201945358396,0.28464251766083,0.0197877162012689)); #120821=CARTESIAN_POINT('',(-2.61484341881131,0.831421756841393,0.0197877162012658)); #120822=CARTESIAN_POINT('Origin',(-2.04267913509973,0.790562630517095,-0.755109509104582)); #120823=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.0197877162012686)); #120824=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.755109509104582)); #120825=CARTESIAN_POINT('',(-2.21219483881814,2.8842104093668,0.0197877162013059)); #120826=CARTESIAN_POINT('Origin',(-1.66699103137575,2.70590100381165,-0.755109509104582)); #120827=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.0197877162012663)); #120828=CARTESIAN_POINT('Ctrl Pts',(-1.44254620052566,3.74480227963555, -0.0374438192249155)); #120829=CARTESIAN_POINT('Ctrl Pts',(-2.07262133442228,3.53670068410859, -0.0374438192249155)); #120830=CARTESIAN_POINT('Ctrl Pts',(-2.27888549175315,2.90602164861091, -0.0374438192249155)); #120831=CARTESIAN_POINT('Ctrl Pts',(-1.43196532703424,3.71276626729759, -0.00717874973156814)); #120832=CARTESIAN_POINT('Ctrl Pts',(-2.04585361401042,3.51001087334164, -0.00717874973156812)); #120833=CARTESIAN_POINT('Ctrl Pts',(-2.24681877418957,2.89553419920425, -0.00717874973156812)); #120834=CARTESIAN_POINT('Ctrl Pts',(-1.4205406628411,3.67817548579498,0.0197877162013061)); #120835=CARTESIAN_POINT('Ctrl Pts',(-2.01695125380648,3.48119263582674, 0.0197877162013061)); #120836=CARTESIAN_POINT('Ctrl Pts',(-2.21219483881814,2.8842104093668,0.0197877162013061)); #120837=CARTESIAN_POINT('',(-1.42054066284111,3.67817548579503,0.019787716201268)); #120838=CARTESIAN_POINT('Origin',(-1.24064284504444,3.1334937338415,-0.755109509104582)); #120839=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.0197877162012681)); #120840=CARTESIAN_POINT('Origin',(-4.09978477290345E-15,1.31915409248875, -0.04)); #120841=CARTESIAN_POINT('',(2.65093327208869,0.22718118387152,-0.04)); #120842=CARTESIAN_POINT('',(-2.6509332720887,0.227181183871524,-0.04)); #120843=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #120844=CARTESIAN_POINT('',(-2.75728971113352,0.841594064372834,-0.04)); #120845=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #120846=CARTESIAN_POINT('',(-2.34792903814,2.92860240381769,-0.04)); #120847=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #120848=CARTESIAN_POINT('',(-1.46532810915943,3.81377971393391,-0.04)); #120849=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,-0.04)); #120850=CARTESIAN_POINT('',(1.46532810915943,3.81377971393391,-0.04)); #120851=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #120852=CARTESIAN_POINT('',(2.34792903814,2.92860240381768,-0.04)); #120853=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #120854=CARTESIAN_POINT('',(2.75728971113351,0.84159406437283,-0.04)); #120855=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #120856=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #120857=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.03)); #120858=CARTESIAN_POINT('',(2.19434895345167,2.87837389618059,-0.04)); #120859=CARTESIAN_POINT('',(2.2038521001649,2.88148190903414,-0.0301745240643729)); #120860=CARTESIAN_POINT('Origin',(2.19434895345167,2.87837389618059,-0.03)); #120861=CARTESIAN_POINT('',(2.60608812946704,0.830796528242746,-0.0301745240643729)); #120862=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.0301745240643729)); #120863=CARTESIAN_POINT('',(2.59611504956929,0.830084335358666,-0.04)); #120864=CARTESIAN_POINT('Origin',(2.59611504956929,0.830084335358666,-0.03)); #120865=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #120866=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.03)); #120867=CARTESIAN_POINT('',(2.51215883729169,0.288174309153772,-0.0301745240643729)); #120868=CARTESIAN_POINT('',(2.50300543750088,0.292197344527804,-0.04)); #120869=CARTESIAN_POINT('Origin',(2.50300543750088,0.292197344527804,-0.03)); #120870=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #120871=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0301745240643729)); #120872=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #120873=CARTESIAN_POINT('',(-2.5121588372917,0.288174309153775,-0.0301745240643728)); #120874=CARTESIAN_POINT('',(-2.50300543750089,0.292197344527806,-0.04)); #120875=CARTESIAN_POINT('Origin',(-2.50300543750089,0.292197344527806,-0.03)); #120876=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #120877=CARTESIAN_POINT('Origin',(-3.84643104709153E-15,1.39230000000001, -0.0301745240643728)); #120878=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.03)); #120879=CARTESIAN_POINT('',(-2.60608812946705,0.83079652824275,-0.0301745240643729)); #120880=CARTESIAN_POINT('',(-2.5961150495693,0.830084335358669,-0.04)); #120881=CARTESIAN_POINT('Origin',(-2.5961150495693,0.830084335358669,-0.03)); #120882=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #120883=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.0301745240643729)); #120884=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.03)); #120885=CARTESIAN_POINT('',(-2.20385210016491,2.88148190903415,-0.0301745240643729)); #120886=CARTESIAN_POINT('',(-2.19434895345168,2.87837389618059,-0.04)); #120887=CARTESIAN_POINT('Origin',(-2.19434895345168,2.87837389618059,-0.03)); #120888=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #120889=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999964,-0.0301745240643729)); #120890=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.03)); #120891=CARTESIAN_POINT('',(-1.41778785653696,3.66984073566355,-0.0301745240643729)); #120892=CARTESIAN_POINT('',(-1.41465215694613,3.66034668861147,-0.04)); #120893=CARTESIAN_POINT('Origin',(-1.41465215694613,3.66034668861147,-0.03)); #120894=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.04)); #120895=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0301745240643729)); #120896=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.03)); #120897=CARTESIAN_POINT('',(1.41778785653695,3.66984073566355,-0.0301745240643729)); #120898=CARTESIAN_POINT('',(1.41465215694613,3.66034668861147,-0.04)); #120899=CARTESIAN_POINT('Origin',(1.41465215694613,3.66034668861147,-0.03)); #120900=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #120901=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.0301745240643729)); #120902=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.03)); #120903=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #120904=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0301745240643728)); #120905=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #120906=CARTESIAN_POINT('',(-2.51289439780362,0.287851020973773,0.01585625827484)); #120907=CARTESIAN_POINT('',(-2.51200182861746,0.288243316458702,-0.04)); #120908=CARTESIAN_POINT('',(-2.6068895588728,0.83085375954198,0.015856258274838)); #120909=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.01585625827484)); #120910=CARTESIAN_POINT('',(-2.60591706078343,0.830784311966493,-0.04)); #120911=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #120912=CARTESIAN_POINT('',(2.51289439780361,0.287851020973769,0.01585625827484)); #120913=CARTESIAN_POINT('',(2.51200182861745,0.288243316458698,-0.04)); #120914=CARTESIAN_POINT('Origin',(-3.58402925803367E-15,1.39230000000001, 0.01585625827484)); #120915=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #120916=CARTESIAN_POINT('',(2.6068895588728,0.830853759541976,0.0158562582748401)); #120917=CARTESIAN_POINT('',(2.60591706078342,0.83078431196649,-0.04)); #120918=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.0158562582748401)); #120919=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #120920=CARTESIAN_POINT('',(2.20461576608369,2.88173166667369,0.015856258274838)); #120921=CARTESIAN_POINT('',(2.20368909226613,2.88142859715126,-0.04)); #120922=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.015856258274838)); #120923=CARTESIAN_POINT('Origin',(-4.82227801925997E-15,1.3187477928331, -0.04)); #120924=CARTESIAN_POINT('',(-1.40728484528892,3.6380404690598,-0.04)); #120925=CARTESIAN_POINT('',(1.40728484528891,3.63804046905979,-0.04)); #120926=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #120927=CARTESIAN_POINT('',(-2.17202135428831,2.87107163438427,-0.04)); #120928=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.04)); #120929=CARTESIAN_POINT('',(-2.57268334456666,0.828411041477957,-0.04)); #120930=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #120931=CARTESIAN_POINT('',(-2.48149956711097,0.301649447495598,-0.04)); #120932=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #120933=CARTESIAN_POINT('',(2.48149956711096,0.301649447495595,-0.04)); #120934=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #120935=CARTESIAN_POINT('',(2.57268334456665,0.828411041477954,-0.04)); #120936=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #120937=CARTESIAN_POINT('',(2.17202135428831,2.87107163438427,-0.04)); #120938=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #120939=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #120940=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.03)); #120941=CARTESIAN_POINT('',(1.35175225843457,3.46990287256864,-0.0300872653549838)); #120942=CARTESIAN_POINT('',(1.34861620060479,3.46040774086624,-0.04)); #120943=CARTESIAN_POINT('Origin',(1.34861620060479,3.46040774086624,-0.03)); #120944=CARTESIAN_POINT('',(-1.34861620060479,3.46040774086624,-0.04)); #120945=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #120946=CARTESIAN_POINT('',(-1.35175225843458,3.46990287256865,-0.0300872653549838)); #120947=CARTESIAN_POINT('Origin',(-1.34861620060479,3.46040774086624,-0.03)); #120948=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.0300872653549838)); #120949=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.03)); #120950=CARTESIAN_POINT('',(-1.99421837228979,2.81292100595265,-0.04)); #120951=CARTESIAN_POINT('',(-2.00372260469294,2.81602937388209,-0.0300872653549838)); #120952=CARTESIAN_POINT('Origin',(-1.99421837228979,2.81292100595265,-0.03)); #120953=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0300872653549838)); #120954=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.04)); #120955=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.03)); #120956=CARTESIAN_POINT('',(-2.38608795684515,0.815085979541224,-0.04)); #120957=CARTESIAN_POINT('',(-2.39606217612048,0.815798253789999,-0.0300872653549838)); #120958=CARTESIAN_POINT('Origin',(-2.38608795684515,0.815085979541224,-0.03)); #120959=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.0300872653549838)); #120960=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #120961=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.03)); #120962=CARTESIAN_POINT('',(-2.31024031716546,0.376920061301113,-0.04)); #120963=CARTESIAN_POINT('',(-2.31939476268923,0.372896566314167,-0.0300872653549838)); #120964=CARTESIAN_POINT('Origin',(-2.31024031716546,0.376920061301113,-0.03)); #120965=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.0300872653549838)); #120966=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #120967=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #120968=CARTESIAN_POINT('',(2.31024031716545,0.37692006130111,-0.04)); #120969=CARTESIAN_POINT('',(2.31939476268923,0.372896566314163,-0.0300872653549838)); #120970=CARTESIAN_POINT('Origin',(2.31024031716545,0.37692006130111,-0.03)); #120971=CARTESIAN_POINT('Origin',(-3.93385812585737E-15,1.3923,-0.0300872653549838)); #120972=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #120973=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.03)); #120974=CARTESIAN_POINT('',(2.38608795684514,0.81508597954122,-0.04)); #120975=CARTESIAN_POINT('',(2.39606217612047,0.815798253789996,-0.0300872653549838)); #120976=CARTESIAN_POINT('Origin',(2.38608795684514,0.81508597954122,-0.03)); #120977=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0300872653549838)); #120978=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #120979=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.03)); #120980=CARTESIAN_POINT('',(1.99421837228979,2.81292100595265,-0.04)); #120981=CARTESIAN_POINT('',(2.00372260469293,2.81602937388209,-0.0300872653549838)); #120982=CARTESIAN_POINT('Origin',(1.99421837228979,2.81292100595265,-0.03)); #120983=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.0300872653549838)); #120984=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #120985=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.03)); #120986=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0300872653549838)); #120987=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #120988=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #120989=CARTESIAN_POINT('',(2.39742297893402,0.815895430799544,0.126242383872553)); #120990=CARTESIAN_POINT('',(2.39597588873185,0.815792091875644,-0.04)); #120991=CARTESIAN_POINT('',(2.32064372211967,0.372347632793914,0.126242383872554)); #120992=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.126242383872553)); #120993=CARTESIAN_POINT('',(2.31931556719766,0.372931373737777,-0.04)); #120994=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #120995=CARTESIAN_POINT('',(2.00501928625144,2.81645345477576,0.126242383872553)); #120996=CARTESIAN_POINT('',(2.00364038318043,2.81600248326097,-0.04)); #120997=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.126242383872553)); #120998=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #120999=CARTESIAN_POINT('',(1.35218011711709,3.47119831250023,0.126242383872553)); #121000=CARTESIAN_POINT('',(1.35172512826697,3.46982072978668,-0.04)); #121001=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.126242383872553)); #121002=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #121003=CARTESIAN_POINT('',(-1.35218011711709,3.47119831250024,0.126242383872553)); #121004=CARTESIAN_POINT('',(-1.35172512826697,3.46982072978669,-0.04)); #121005=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.126242383872553)); #121006=CARTESIAN_POINT('Origin',(-7.07548630359044E-16,-0.9,-0.04)); #121007=CARTESIAN_POINT('',(-1.77867406210158,1.7241752878593,-0.04)); #121008=CARTESIAN_POINT('',(-1.76878322978883,1.71956959577633,-0.0399999999999998)); #121009=CARTESIAN_POINT('Ctrl Pts',(-1.77867406210151,1.72417528785929, -0.04)); #121010=CARTESIAN_POINT('Ctrl Pts',(-1.77505389071359,1.72353695396877, -0.04)); #121011=CARTESIAN_POINT('Ctrl Pts',(-1.77160078845642,1.72192164560279, -0.04)); #121012=CARTESIAN_POINT('Ctrl Pts',(-1.76878950673793,1.71956211708841, -0.04)); #121013=CARTESIAN_POINT('',(-2.21528906703611,1.80116229341142,-0.04)); #121014=CARTESIAN_POINT('',(-0.929291523758535,1.57440622940678,-0.04)); #121015=CARTESIAN_POINT('',(-2.2384016980729,1.78522957025943,-0.04)); #121016=CARTESIAN_POINT('Ctrl Pts',(-2.2384016980729,1.78522957025943,-0.04)); #121017=CARTESIAN_POINT('Ctrl Pts',(-2.23742643064627,1.79032325188505, -0.04)); #121018=CARTESIAN_POINT('Ctrl Pts',(-2.23130456090494,1.79966460114748, -0.04)); #121019=CARTESIAN_POINT('Ctrl Pts',(-2.22039648351959,1.80206286873917, -0.04)); #121020=CARTESIAN_POINT('Ctrl Pts',(-2.21528906703611,1.80116229341142, -0.04)); #121021=CARTESIAN_POINT('',(-2.36423667152847,0.833194553418952,-0.04)); #121022=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #121023=CARTESIAN_POINT('',(-2.34499181081624,0.811738348609621,-0.04)); #121024=CARTESIAN_POINT('Ctrl Pts',(-2.34499181081624,0.811738348609621, -0.04)); #121025=CARTESIAN_POINT('Ctrl Pts',(-2.35035274718299,0.811925556632744, -0.04)); #121026=CARTESIAN_POINT('Ctrl Pts',(-2.36085963836607,0.816865116746989, -0.04)); #121027=CARTESIAN_POINT('Ctrl Pts',(-2.36463138486006,0.827844891097075, -0.04)); #121028=CARTESIAN_POINT('Ctrl Pts',(-2.36423667152847,0.833194553418952, -0.04)); #121029=CARTESIAN_POINT('',(-2.0217637749121,0.800450976874543,-0.04)); #121030=CARTESIAN_POINT('',(-0.928112273159169,0.762259824877527,-0.04)); #121031=CARTESIAN_POINT('',(-2.03871780259443,0.782299520883299,-0.04)); #121032=CARTESIAN_POINT('Ctrl Pts',(-2.03871780259436,0.782299520883239, -0.04)); #121033=CARTESIAN_POINT('Ctrl Pts',(-2.03330315914681,0.788577362046875, -0.04)); #121034=CARTESIAN_POINT('Ctrl Pts',(-2.02765392301316,0.794626892015822, -0.04)); #121035=CARTESIAN_POINT('Ctrl Pts',(-2.02176868405169,0.800447790945649, -0.04)); #121036=CARTESIAN_POINT('',(-2.34693771794704,0.793062797500241,-0.04)); #121037=CARTESIAN_POINT('',(-1.15305354483222,0.751371443491055,-0.04)); #121038=CARTESIAN_POINT('',(-2.36762526139056,0.773618086138207,-0.04)); #121039=CARTESIAN_POINT('Ctrl Pts',(-2.36762526139056,0.773618086138207, -0.04)); #121040=CARTESIAN_POINT('Ctrl Pts',(-2.3674831661888,0.778877556967871, -0.04)); #121041=CARTESIAN_POINT('Ctrl Pts',(-2.36281487732928,0.789227912582941, -0.04)); #121042=CARTESIAN_POINT('Ctrl Pts',(-2.35219590282404,0.793246417362275, -0.04)); #121043=CARTESIAN_POINT('Ctrl Pts',(-2.34693771794704,0.793062797500241, -0.04)); #121044=CARTESIAN_POINT('',(-2.29148876728634,0.385161605449267,-0.04)); #121045=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #121046=CARTESIAN_POINT('',(-1.9141017659477,-0.220605126153924,-0.04)); #121047=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #121048=CARTESIAN_POINT('',(-1.88442532207236,-0.221610611069133,-0.04)); #121049=CARTESIAN_POINT('Ctrl Pts',(-1.88442532207236,-0.221610611069133, -0.04)); #121050=CARTESIAN_POINT('Ctrl Pts',(-1.88829956534744,-0.225622507423478, -0.04)); #121051=CARTESIAN_POINT('Ctrl Pts',(-1.8995702060004,-0.230150173498461, -0.04)); #121052=CARTESIAN_POINT('Ctrl Pts',(-1.91050795451721,-0.224870052028381, -0.04)); #121053=CARTESIAN_POINT('Ctrl Pts',(-1.9141017659477,-0.220605126153924, -0.04)); #121054=CARTESIAN_POINT('',(-1.70642185596355,-0.0372826259536654,-0.04)); #121055=CARTESIAN_POINT('',(-1.49212239370229,0.184630963446886,-0.04)); #121056=CARTESIAN_POINT('',(-1.69298895326561,-0.05033834440278,-0.04)); #121057=CARTESIAN_POINT('Origin',(1.28288066088262E-33,1.705,-0.04)); #121058=CARTESIAN_POINT('',(-1.87466367919789,-0.238468030355251,-0.04)); #121059=CARTESIAN_POINT('',(-1.60021287378285,0.0457340982962679,-0.04)); #121060=CARTESIAN_POINT('',(-1.87526060979646,-0.265604147326829,-0.04)); #121061=CARTESIAN_POINT('Ctrl Pts',(-1.87526060979646,-0.265604147326829, -0.04)); #121062=CARTESIAN_POINT('Ctrl Pts',(-1.87855389836716,-0.261879098238024, -0.04)); #121063=CARTESIAN_POINT('Ctrl Pts',(-1.88219749731047,-0.251876708964617, -0.04)); #121064=CARTESIAN_POINT('Ctrl Pts',(-1.87811758742112,-0.242044657021457, -0.04)); #121065=CARTESIAN_POINT('Ctrl Pts',(-1.87466367919789,-0.238468030355251, -0.04)); #121066=CARTESIAN_POINT('',(-1.11974562992307,-0.846319717738116,-0.04)); #121067=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #121068=CARTESIAN_POINT('',(-1.0923926421408,-0.836248723569016,-0.04)); #121069=CARTESIAN_POINT('Ctrl Pts',(-1.09239264214079,-0.836248723569016, -0.04)); #121070=CARTESIAN_POINT('Ctrl Pts',(-1.09451938418172,-0.841259013837624, -0.04)); #121071=CARTESIAN_POINT('Ctrl Pts',(-1.10308760569365,-0.849382968000753, -0.04)); #121072=CARTESIAN_POINT('Ctrl Pts',(-1.11487765897109,-0.848754650816247, -0.04)); #121073=CARTESIAN_POINT('Ctrl Pts',(-1.11974562992307,-0.846319717738116, -0.04)); #121074=CARTESIAN_POINT('',(-0.964482108910171,-0.534910391243871,-0.04)); #121075=CARTESIAN_POINT('',(-0.948847545892312,-0.498077668969627,-0.04)); #121076=CARTESIAN_POINT('',(-0.947248862346716,-0.542252520744595,-0.04)); #121077=CARTESIAN_POINT('Origin',(1.28288066088262E-33,1.705,-0.04)); #121078=CARTESIAN_POINT('',(-1.07637674323923,-0.846458744439041,-0.04)); #121079=CARTESIAN_POINT('',(-1.00682031237247,-0.682594062223319,-0.04)); #121080=CARTESIAN_POINT('',(-1.06648483473534,-0.872368813571038,-0.04)); #121081=CARTESIAN_POINT('Ctrl Pts',(-1.06648483473534,-0.872368813571038, -0.04)); #121082=CARTESIAN_POINT('Ctrl Pts',(-1.07110533494005,-0.870192913338877, -0.04)); #121083=CARTESIAN_POINT('Ctrl Pts',(-1.07855246044774,-0.862132615594263, -0.04)); #121084=CARTESIAN_POINT('Ctrl Pts',(-1.07837228801753,-0.851159953326108, -0.04)); #121085=CARTESIAN_POINT('Ctrl Pts',(-1.07637674323923,-0.846458744439041, -0.04)); #121086=CARTESIAN_POINT('',(-0.0296056296756793,-1.11074579354298,-0.04)); #121087=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #121088=CARTESIAN_POINT('',(-0.00936604282386872,-1.09074726443015,-0.04)); #121089=CARTESIAN_POINT('Ctrl Pts',(-0.00936604282386883,-1.09074726443015, -0.04)); #121090=CARTESIAN_POINT('Ctrl Pts',(-0.00936604282386883,-1.09602287831351, -0.04)); #121091=CARTESIAN_POINT('Ctrl Pts',(-0.0137790402976224,-1.10652254319304, -0.04)); #121092=CARTESIAN_POINT('Ctrl Pts',(-0.0243303847771042,-1.11080818830512, -0.04)); #121093=CARTESIAN_POINT('Ctrl Pts',(-0.0296056296756793,-1.11074579354298, -0.04)); #121094=CARTESIAN_POINT('',(-0.00936604282386818,-0.733716173820132,-0.04)); #121095=CARTESIAN_POINT('',(-0.00936604282386866,-1.005,-0.04)); #121096=CARTESIAN_POINT('',(0.00936604282386731,-0.733716173820132,-0.04)); #121097=CARTESIAN_POINT('Origin',(1.28288066088262E-33,1.705,-0.04)); #121098=CARTESIAN_POINT('',(0.00936604282386706,-1.09074726443015,-0.04)); #121099=CARTESIAN_POINT('',(0.00936604282386726,-0.795,-0.04)); #121100=CARTESIAN_POINT('',(0.0296056296756774,-1.11074579354298,-0.04)); #121101=CARTESIAN_POINT('Ctrl Pts',(0.0296056296756774,-1.11074579354298, -0.04)); #121102=CARTESIAN_POINT('Ctrl Pts',(0.024330384802906,-1.11080818830482, -0.04)); #121103=CARTESIAN_POINT('Ctrl Pts',(0.013778639547283,-1.10652214816264, -0.04)); #121104=CARTESIAN_POINT('Ctrl Pts',(0.00936604282386706,-1.0960228782877, -0.04)); #121105=CARTESIAN_POINT('Ctrl Pts',(0.00936604282386706,-1.09074726443015, -0.04)); #121106=CARTESIAN_POINT('',(1.06648483473534,-0.872368813571035,-0.04)); #121107=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #121108=CARTESIAN_POINT('',(1.07637674323923,-0.846458744439038,-0.04)); #121109=CARTESIAN_POINT('Ctrl Pts',(1.07637674323923,-0.846458744439038, -0.04)); #121110=CARTESIAN_POINT('Ctrl Pts',(1.07837228802079,-0.851159953333768, -0.04)); #121111=CARTESIAN_POINT('Ctrl Pts',(1.07855240240412,-0.862132767141215, -0.04)); #121112=CARTESIAN_POINT('Ctrl Pts',(1.07110533494758,-0.870192913335329, -0.04)); #121113=CARTESIAN_POINT('Ctrl Pts',(1.06648483473534,-0.872368813571035, -0.04)); #121114=CARTESIAN_POINT('',(0.947248862346716,-0.542252520744596,-0.04)); #121115=CARTESIAN_POINT('',(1.00682031237247,-0.682594062223319,-0.04)); #121116=CARTESIAN_POINT('',(0.964482108910171,-0.534910391243872,-0.04)); #121117=CARTESIAN_POINT('Origin',(1.28288066088262E-33,1.705,-0.04)); #121118=CARTESIAN_POINT('',(1.09239264214079,-0.836248723569013,-0.04)); #121119=CARTESIAN_POINT('',(0.948847545892312,-0.498077668969627,-0.04)); #121120=CARTESIAN_POINT('',(1.11974562992307,-0.846319717738112,-0.04)); #121121=CARTESIAN_POINT('Ctrl Pts',(1.11974562992307,-0.846319717738112, -0.04)); #121122=CARTESIAN_POINT('Ctrl Pts',(1.1148776589706,-0.848754650816486, -0.04)); #121123=CARTESIAN_POINT('Ctrl Pts',(1.10308704715473,-0.849382762353885, -0.04)); #121124=CARTESIAN_POINT('Ctrl Pts',(1.09451938418193,-0.841259013838119, -0.04)); #121125=CARTESIAN_POINT('Ctrl Pts',(1.09239264214079,-0.836248723569013, -0.04)); #121126=CARTESIAN_POINT('',(1.87526060979646,-0.265604147326825,-0.04)); #121127=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #121128=CARTESIAN_POINT('',(1.87466367919789,-0.238468030355247,-0.04)); #121129=CARTESIAN_POINT('Ctrl Pts',(1.87466367919789,-0.238468030355247, -0.04)); #121130=CARTESIAN_POINT('Ctrl Pts',(1.87811758742105,-0.242044657021381, -0.04)); #121131=CARTESIAN_POINT('Ctrl Pts',(1.88219750694836,-0.2518771470998,-0.04)); #121132=CARTESIAN_POINT('Ctrl Pts',(1.87855389836709,-0.261879098238093, -0.04)); #121133=CARTESIAN_POINT('Ctrl Pts',(1.87526060979646,-0.265604147326825, -0.04)); #121134=CARTESIAN_POINT('',(1.69298895326561,-0.05033834440278,-0.04)); #121135=CARTESIAN_POINT('',(1.60021287378285,0.0457340982962682,-0.04)); #121136=CARTESIAN_POINT('',(1.70642185596355,-0.0372826259536658,-0.04)); #121137=CARTESIAN_POINT('Origin',(1.28288066088262E-33,1.705,-0.04)); #121138=CARTESIAN_POINT('',(1.88442532207236,-0.221610611069128,-0.04)); #121139=CARTESIAN_POINT('',(1.49212239370229,0.184630963446887,-0.04)); #121140=CARTESIAN_POINT('',(1.9141017659477,-0.220605126153919,-0.04)); #121141=CARTESIAN_POINT('Ctrl Pts',(1.9141017659477,-0.220605126153919, -0.04)); #121142=CARTESIAN_POINT('Ctrl Pts',(1.91050795451721,-0.224870052028376, -0.04)); #121143=CARTESIAN_POINT('Ctrl Pts',(1.89957020600039,-0.230150173498453, -0.04)); #121144=CARTESIAN_POINT('Ctrl Pts',(1.88829956534744,-0.225622507423473, -0.04)); #121145=CARTESIAN_POINT('Ctrl Pts',(1.88442532207236,-0.221610611069128, -0.04)); #121146=CARTESIAN_POINT('',(2.29148876728633,0.385161605449263,-0.04)); #121147=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #121148=CARTESIAN_POINT('',(2.36762526139055,0.773618086138207,-0.04)); #121149=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #121150=CARTESIAN_POINT('',(2.34693771794703,0.79306279750024,-0.04)); #121151=CARTESIAN_POINT('Ctrl Pts',(2.34693771794703,0.79306279750024,-0.04)); #121152=CARTESIAN_POINT('Ctrl Pts',(2.35219590282403,0.793246417362274, -0.04)); #121153=CARTESIAN_POINT('Ctrl Pts',(2.36281487732927,0.789227912582941, -0.04)); #121154=CARTESIAN_POINT('Ctrl Pts',(2.36748316618879,0.778877556967871, -0.04)); #121155=CARTESIAN_POINT('Ctrl Pts',(2.36762526139055,0.773618086138207, -0.04)); #121156=CARTESIAN_POINT('',(2.03871780259428,0.782299520883385,-0.04)); #121157=CARTESIAN_POINT('',(1.15305354483222,0.751371443491055,-0.04)); #121158=CARTESIAN_POINT('',(2.02176377272737,0.800450977937153,-0.04)); #121159=CARTESIAN_POINT('Ctrl Pts',(2.02176831291772,0.800448178218297, -0.04)); #121160=CARTESIAN_POINT('Ctrl Pts',(2.02764397113946,0.794636777277983, -0.04)); #121161=CARTESIAN_POINT('Ctrl Pts',(2.03329403919181,0.788587935897114, -0.04)); #121162=CARTESIAN_POINT('Ctrl Pts',(2.03871780259425,0.782299520883363, -0.04)); #121163=CARTESIAN_POINT('',(2.34499181081623,0.811738348609621,-0.04)); #121164=CARTESIAN_POINT('',(0.928112273159169,0.762259824877527,-0.04)); #121165=CARTESIAN_POINT('',(2.36423667152846,0.833194553418952,-0.04)); #121166=CARTESIAN_POINT('Ctrl Pts',(2.36423667152846,0.833194553418952, -0.04)); #121167=CARTESIAN_POINT('Ctrl Pts',(2.36463138486006,0.827844891096914, -0.04)); #121168=CARTESIAN_POINT('Ctrl Pts',(2.36085924807218,0.816864681603468, -0.04)); #121169=CARTESIAN_POINT('Ctrl Pts',(2.35035274718315,0.81192555663275,-0.04)); #121170=CARTESIAN_POINT('Ctrl Pts',(2.34499181081623,0.811738348609621, -0.04)); #121171=CARTESIAN_POINT('',(2.23840169807289,1.78522957025943,-0.04)); #121172=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #121173=CARTESIAN_POINT('',(2.2152890670361,1.80116229341142,-0.04)); #121174=CARTESIAN_POINT('Ctrl Pts',(2.2152890670361,1.80116229341142,-0.04)); #121175=CARTESIAN_POINT('Ctrl Pts',(2.22039648351958,1.80206286873917,-0.04)); #121176=CARTESIAN_POINT('Ctrl Pts',(2.23130457107535,1.79966459413665,-0.04)); #121177=CARTESIAN_POINT('Ctrl Pts',(2.23742643064626,1.79032325188505,-0.04)); #121178=CARTESIAN_POINT('Ctrl Pts',(2.23840169807289,1.78522957025943,-0.04)); #121179=CARTESIAN_POINT('',(1.77867406210173,1.72417528785933,-0.04)); #121180=CARTESIAN_POINT('',(0.929291523758534,1.57440622940678,-0.04)); #121181=CARTESIAN_POINT('',(1.76878322978882,1.71956959577633,-0.04)); #121182=CARTESIAN_POINT('Ctrl Pts',(1.76878950673792,1.7195621170884,-0.04)); #121183=CARTESIAN_POINT('Ctrl Pts',(1.77160078845647,1.72192164560284,-0.04)); #121184=CARTESIAN_POINT('Ctrl Pts',(1.77505389071372,1.72353695396883,-0.04)); #121185=CARTESIAN_POINT('Ctrl Pts',(1.77867406210173,1.72417528785933,-0.04)); #121186=CARTESIAN_POINT('',(1.76680190999531,1.74622581072915,-0.04)); #121187=CARTESIAN_POINT('Ctrl Pts',(1.76680190999531,1.74622581072915,-0.04)); #121188=CARTESIAN_POINT('Ctrl Pts',(1.76747108536094,1.73733865734053,-0.04)); #121189=CARTESIAN_POINT('Ctrl Pts',(1.76813152816434,1.72845343272022,-0.04)); #121190=CARTESIAN_POINT('Ctrl Pts',(1.76878322978882,1.71956959577633,-0.04)); #121191=CARTESIAN_POINT('',(1.78110051126779,1.74362419457746,-0.04)); #121192=CARTESIAN_POINT('Ctrl Pts',(1.78110051126774,1.74362419457745,-0.04)); #121193=CARTESIAN_POINT('Ctrl Pts',(1.77794707244307,1.74306815823067,-0.04)); #121194=CARTESIAN_POINT('Ctrl Pts',(1.77288367960021,1.74333150143436,-0.04)); #121195=CARTESIAN_POINT('Ctrl Pts',(1.76830532253057,1.74533329907961,-0.04)); #121196=CARTESIAN_POINT('Ctrl Pts',(1.76680609360064,1.7462327838112,-0.04)); #121197=CARTESIAN_POINT('',(2.2109845538255,1.81942434985641,-0.04)); #121198=CARTESIAN_POINT('',(0.679836792968852,1.54944168816603,-0.04)); #121199=CARTESIAN_POINT('',(2.22712520331956,1.84301687652819,-0.04)); #121200=CARTESIAN_POINT('Ctrl Pts',(2.22712520331956,1.84301687652819,-0.04)); #121201=CARTESIAN_POINT('Ctrl Pts',(2.22816015176904,1.83781128600306,-0.04)); #121202=CARTESIAN_POINT('Ctrl Pts',(2.22583743549528,1.82658072477181,-0.04)); #121203=CARTESIAN_POINT('Ctrl Pts',(2.21621139636674,1.82034598322034,-0.04)); #121204=CARTESIAN_POINT('Ctrl Pts',(2.2109845538255,1.81942434985641,-0.04)); #121205=CARTESIAN_POINT('',(2.04974671133386,2.56485748642469,-0.04)); #121206=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #121207=CARTESIAN_POINT('',(2.02438070381141,2.57824081041133,-0.04)); #121208=CARTESIAN_POINT('Ctrl Pts',(2.02438070381141,2.57824081041133,-0.04)); #121209=CARTESIAN_POINT('Ctrl Pts',(2.02944990148233,2.57988789257871,-0.04)); #121210=CARTESIAN_POINT('Ctrl Pts',(2.04092998418999,2.5788776927453,-0.04)); #121211=CARTESIAN_POINT('Ctrl Pts',(2.04824475956093,2.56997156362051,-0.04)); #121212=CARTESIAN_POINT('Ctrl Pts',(2.04974671133386,2.56485748642469,-0.04)); #121213=CARTESIAN_POINT('',(1.81499847530935,2.51020840032987,-0.04)); #121214=CARTESIAN_POINT('',(0.611036221202475,2.11901735044958,-0.04)); #121215=CARTESIAN_POINT('',(1.81499847530935,2.52990448076966,-0.04)); #121216=CARTESIAN_POINT('',(1.81499847530935,0.952025134999997,-0.04)); #121217=CARTESIAN_POINT('',(2.01998661417751,2.59650916458205,-0.04)); #121218=CARTESIAN_POINT('',(0.419791667719682,2.07657430866555,-0.04)); #121219=CARTESIAN_POINT('',(2.03295344808924,2.62129800846544,-0.04)); #121220=CARTESIAN_POINT('Ctrl Pts',(2.03295344808924,2.62129800846544,-0.04)); #121221=CARTESIAN_POINT('Ctrl Pts',(2.03444353709146,2.61635406186698,-0.04)); #121222=CARTESIAN_POINT('Ctrl Pts',(2.03337292414381,2.60529277571609,-0.04)); #121223=CARTESIAN_POINT('Ctrl Pts',(2.02489750878261,2.59810481096537,-0.04)); #121224=CARTESIAN_POINT('Ctrl Pts',(2.01998661417751,2.59650916458205,-0.04)); #121225=CARTESIAN_POINT('',(1.97475033487481,2.80655396645035,-0.04)); #121226=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #121227=CARTESIAN_POINT('',(1.39324494900195,3.4225350430039,-0.04)); #121228=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #121229=CARTESIAN_POINT('',(1.366723435665,3.40942976126572,-0.04)); #121230=CARTESIAN_POINT('Ctrl Pts',(1.366723435665,3.40942976126572,-0.04)); #121231=CARTESIAN_POINT('Ctrl Pts',(1.36825314182971,3.41476448063937,-0.04)); #121232=CARTESIAN_POINT('Ctrl Pts',(1.37601406380067,3.42401619145533,-0.04)); #121233=CARTESIAN_POINT('Ctrl Pts',(1.38807816614981,3.42456077415703,-0.04)); #121234=CARTESIAN_POINT('Ctrl Pts',(1.39324494900195,3.4225350430039,-0.04)); #121235=CARTESIAN_POINT('',(1.2915668217388,3.14732750030935,-0.04)); #121236=CARTESIAN_POINT('',(0.747464966604975,1.24981883179501,-0.04)); #121237=CARTESIAN_POINT('',(1.27207984360695,3.14732750030935,-0.04)); #121238=CARTESIAN_POINT('',(0.649485988382632,3.14732750030935,-0.04)); #121239=CARTESIAN_POINT('',(1.34976052090378,3.41823221632163,-0.04)); #121240=CARTESIAN_POINT('',(0.660549191232521,1.01466667036731,-0.04)); #121241=CARTESIAN_POINT('',(1.33678434490738,3.4427405452618,-0.04)); #121242=CARTESIAN_POINT('Ctrl Pts',(1.33678434490738,3.44274054526181,-0.04)); #121243=CARTESIAN_POINT('Ctrl Pts',(1.34163580400219,3.44114535832384,-0.04)); #121244=CARTESIAN_POINT('Ctrl Pts',(1.35001097151924,3.43405407462075,-0.04)); #121245=CARTESIAN_POINT('Ctrl Pts',(1.35116819623062,3.42314136358871,-0.04)); #121246=CARTESIAN_POINT('Ctrl Pts',(1.34976052090378,3.41823221632163,-0.04)); #121247=CARTESIAN_POINT('',(0.524332708818824,3.62463150994365,-0.04)); #121248=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #121249=CARTESIAN_POINT('',(0.502080417363317,3.60756824037684,-0.04)); #121250=CARTESIAN_POINT('Ctrl Pts',(0.502080417363317,3.60756824037685, -0.04)); #121251=CARTESIAN_POINT('Ctrl Pts',(0.502801196959731,3.61269685369368, -0.04)); #121252=CARTESIAN_POINT('Ctrl Pts',(0.508438635843148,3.62231777493466, -0.04)); #121253=CARTESIAN_POINT('Ctrl Pts',(0.519192709585934,3.62526602209182, -0.04)); #121254=CARTESIAN_POINT('Ctrl Pts',(0.524332708818824,3.62463150994365, -0.04)); #121255=CARTESIAN_POINT('',(0.43508901263774,3.13089962753249,-0.04)); #121256=CARTESIAN_POINT('',(0.188806178360527,1.37850620537345,-0.04)); #121257=CARTESIAN_POINT('',(0.437935808580759,3.11696754908819,-0.04)); #121258=CARTESIAN_POINT('Ctrl Pts',(0.437941677661646,3.11697113669727, -0.04)); #121259=CARTESIAN_POINT('Ctrl Pts',(0.435432034311301,3.12107676122104, -0.04)); #121260=CARTESIAN_POINT('Ctrl Pts',(0.434416489302127,3.12611437535267, -0.04)); #121261=CARTESIAN_POINT('Ctrl Pts',(0.43508901263774,3.13089962753249,-0.04)); #121262=CARTESIAN_POINT('',(0.411130850736729,3.11907132941314,-0.04)); #121263=CARTESIAN_POINT('Ctrl Pts',(0.411134898469833,3.11908428663071, -0.0400263329317257)); #121264=CARTESIAN_POINT('Ctrl Pts',(0.415367957164844,3.11876257290685, -0.0400261556284354)); #121265=CARTESIAN_POINT('Ctrl Pts',(0.419600611588022,3.11843590151108, -0.0400259523838361)); #121266=CARTESIAN_POINT('Ctrl Pts',(0.423832852941648,3.11810426810947, -0.0400257518466415)); #121267=CARTESIAN_POINT('Ctrl Pts',(0.428535653808496,3.11773576222376, -0.0400255290128252)); #121268=CARTESIAN_POINT('Ctrl Pts',(0.433237945239434,3.11736113117922, -0.0400253007754592)); #121269=CARTESIAN_POINT('Ctrl Pts',(0.437939719674037,3.11698038073102, -0.0400250408981077)); #121270=CARTESIAN_POINT('',(0.416041677721553,3.12996638746315,-0.04)); #121271=CARTESIAN_POINT('Ctrl Pts',(0.416041677721535,3.12996638746302, -0.04)); #121272=CARTESIAN_POINT('Ctrl Pts',(0.415480075480971,3.12597037988507, -0.04)); #121273=CARTESIAN_POINT('Ctrl Pts',(0.413749509212451,3.1221288409217,-0.04)); #121274=CARTESIAN_POINT('Ctrl Pts',(0.411124919593377,3.11907642929085, -0.04)); #121275=CARTESIAN_POINT('',(0.483336917816135,3.60879690129271,-0.04)); #121276=CARTESIAN_POINT('',(0.131983789318624,1.10878948891657,-0.04)); #121277=CARTESIAN_POINT('',(0.465710607440072,3.63145814450381,-0.04)); #121278=CARTESIAN_POINT('Ctrl Pts',(0.465710607440073,3.63145814450382, -0.04)); #121279=CARTESIAN_POINT('Ctrl Pts',(0.471015951521776,3.63087737717959, -0.04)); #121280=CARTESIAN_POINT('Ctrl Pts',(0.481080909133905,3.62522741908412, -0.04)); #121281=CARTESIAN_POINT('Ctrl Pts',(0.484079689838883,3.61408199885401, -0.04)); #121282=CARTESIAN_POINT('Ctrl Pts',(0.483336917816135,3.60879690129271, -0.04)); #121283=CARTESIAN_POINT('',(-0.465710607440072,3.63145814450382,-0.04)); #121284=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #121285=CARTESIAN_POINT('',(-0.483336917816136,3.60879690129271,-0.04)); #121286=CARTESIAN_POINT('Ctrl Pts',(-0.483336917816136,3.60879690129272, -0.04)); #121287=CARTESIAN_POINT('Ctrl Pts',(-0.484079689838839,3.6140819988537, -0.04)); #121288=CARTESIAN_POINT('Ctrl Pts',(-0.481080553636774,3.62522787613089, -0.04)); #121289=CARTESIAN_POINT('Ctrl Pts',(-0.471015951521465,3.63087737717963, -0.04)); #121290=CARTESIAN_POINT('Ctrl Pts',(-0.465710607440072,3.63145814450382, -0.04)); #121291=CARTESIAN_POINT('',(-0.416041677721596,3.12996638746345,-0.04)); #121292=CARTESIAN_POINT('',(-0.131983789318624,1.10878948891657,-0.04)); #121293=CARTESIAN_POINT('',(-0.411130850736729,3.11907132941314,-0.04)); #121294=CARTESIAN_POINT('Ctrl Pts',(-0.411124919593377,3.11907642929085, -0.04)); #121295=CARTESIAN_POINT('Ctrl Pts',(-0.413749509212547,3.12212884092181, -0.04)); #121296=CARTESIAN_POINT('Ctrl Pts',(-0.415480075481091,3.12597037988534, -0.04)); #121297=CARTESIAN_POINT('Ctrl Pts',(-0.416041677721596,3.12996638746345, -0.04)); #121298=CARTESIAN_POINT('',(-0.437935808580759,3.11696754908819,-0.04)); #121299=CARTESIAN_POINT('Ctrl Pts',(-0.437939618196692,3.1169803849418, -0.0400250410413041)); #121300=CARTESIAN_POINT('Ctrl Pts',(-0.433237616972039,3.1173611654103, -0.0400252368568981)); #121301=CARTESIAN_POINT('Ctrl Pts',(-0.428535175442666,3.11773581351316, -0.0400254346915989)); #121302=CARTESIAN_POINT('Ctrl Pts',(-0.423832300991258,3.11810431959719, -0.0400256848647267)); #121303=CARTESIAN_POINT('Ctrl Pts',(-0.419600137964667,3.11843594185077, -0.0400259099979878)); #121304=CARTESIAN_POINT('Ctrl Pts',(-0.415367626567088,3.11876259584121, -0.0400261451838257)); #121305=CARTESIAN_POINT('Ctrl Pts',(-0.411134778589046,3.11908429145189, -0.0400263331039679)); #121306=CARTESIAN_POINT('',(-0.435089012637701,3.13089962753221,-0.04)); #121307=CARTESIAN_POINT('Ctrl Pts',(-0.435089012637684,3.13089962753209, -0.04)); #121308=CARTESIAN_POINT('Ctrl Pts',(-0.434416489302225,3.12611437535272, -0.04)); #121309=CARTESIAN_POINT('Ctrl Pts',(-0.435432034311501,3.12107676122106, -0.04)); #121310=CARTESIAN_POINT('Ctrl Pts',(-0.437941677661735,3.11697113669732, -0.04)); #121311=CARTESIAN_POINT('',(-0.502080417363317,3.60756824037685,-0.04)); #121312=CARTESIAN_POINT('',(-0.188806178360527,1.37850620537345,-0.04)); #121313=CARTESIAN_POINT('',(-0.524332708818824,3.62463150994365,-0.04)); #121314=CARTESIAN_POINT('Ctrl Pts',(-0.524332708818824,3.62463150994365, -0.04)); #121315=CARTESIAN_POINT('Ctrl Pts',(-0.519192709585921,3.62526602209182, -0.04)); #121316=CARTESIAN_POINT('Ctrl Pts',(-0.508438613588459,3.62231775786916, -0.04)); #121317=CARTESIAN_POINT('Ctrl Pts',(-0.502801196959733,3.6126968536937, -0.04)); #121318=CARTESIAN_POINT('Ctrl Pts',(-0.502080417363317,3.60756824037685, -0.04)); #121319=CARTESIAN_POINT('',(-1.33678434490738,3.44274054526181,-0.04)); #121320=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #121321=CARTESIAN_POINT('',(-1.34976052090378,3.41823221632163,-0.04)); #121322=CARTESIAN_POINT('Ctrl Pts',(-1.34976052090378,3.41823221632163, -0.0400000000000002)); #121323=CARTESIAN_POINT('Ctrl Pts',(-1.3511681962306,3.42314136358864,-0.0400000000000001)); #121324=CARTESIAN_POINT('Ctrl Pts',(-1.35001089562055,3.43405421797371, -0.0400000000000002)); #121325=CARTESIAN_POINT('Ctrl Pts',(-1.34163580400212,3.44114535832386, -0.0400000000000001)); #121326=CARTESIAN_POINT('Ctrl Pts',(-1.33678434490738,3.44274054526181, -0.0400000000000001)); #121327=CARTESIAN_POINT('',(-1.27207984360695,3.14732750030935,-0.04)); #121328=CARTESIAN_POINT('',(-0.660549191232521,1.01466667036731,-0.04)); #121329=CARTESIAN_POINT('',(-1.2915668217388,3.14732750030935,-0.04)); #121330=CARTESIAN_POINT('',(-0.735860622499998,3.14732750030935,-0.04)); #121331=CARTESIAN_POINT('',(-1.366723435665,3.40942976126573,-0.04)); #121332=CARTESIAN_POINT('',(-0.747464966604975,1.24981883179501,-0.04)); #121333=CARTESIAN_POINT('',(-1.39324494900195,3.42253504300391,-0.04)); #121334=CARTESIAN_POINT('Ctrl Pts',(-1.39324494900195,3.42253504300391, -0.04)); #121335=CARTESIAN_POINT('Ctrl Pts',(-1.3880781661511,3.42456077415652,-0.04)); #121336=CARTESIAN_POINT('Ctrl Pts',(-1.37601459380525,3.42401645335416, -0.04)); #121337=CARTESIAN_POINT('Ctrl Pts',(-1.36825314182933,3.41476448063804, -0.04)); #121338=CARTESIAN_POINT('Ctrl Pts',(-1.366723435665,3.40942976126573,-0.04)); #121339=CARTESIAN_POINT('',(-1.97475033487481,2.80655396645035,-0.04)); #121340=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.04)); #121341=CARTESIAN_POINT('',(-2.03295344808924,2.62129800846544,-0.04)); #121342=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #121343=CARTESIAN_POINT('',(-2.01998661417752,2.59650916458206,-0.04)); #121344=CARTESIAN_POINT('Ctrl Pts',(-2.01998661417752,2.59650916458206, -0.04)); #121345=CARTESIAN_POINT('Ctrl Pts',(-2.02489750878263,2.59810481096538, -0.04)); #121346=CARTESIAN_POINT('Ctrl Pts',(-2.03337289625072,2.60529272239225, -0.04)); #121347=CARTESIAN_POINT('Ctrl Pts',(-2.03444353709147,2.61635406186697, -0.04)); #121348=CARTESIAN_POINT('Ctrl Pts',(-2.03295344808924,2.62129800846545, -0.04)); #121349=CARTESIAN_POINT('',(-1.81499847530935,2.52990448076966,-0.04)); #121350=CARTESIAN_POINT('',(-0.419791667719683,2.07657430866555,-0.04)); #121351=CARTESIAN_POINT('',(-1.81499847530935,2.51020840032987,-0.04)); #121352=CARTESIAN_POINT('',(-1.81499847530936,0.818522097153875,-0.04)); #121353=CARTESIAN_POINT('',(-2.02438070381141,2.57824081041133,-0.04)); #121354=CARTESIAN_POINT('',(-0.611036221202476,2.11901735044958,-0.04)); #121355=CARTESIAN_POINT('',(-2.04974671133387,2.56485748642469,-0.04)); #121356=CARTESIAN_POINT('Ctrl Pts',(-2.04974671133387,2.56485748642469, -0.04)); #121357=CARTESIAN_POINT('Ctrl Pts',(-2.04824475956094,2.56997156362051, -0.04)); #121358=CARTESIAN_POINT('Ctrl Pts',(-2.04092998419,2.5788776927453,-0.04)); #121359=CARTESIAN_POINT('Ctrl Pts',(-2.02944990148233,2.57988789257871, -0.04)); #121360=CARTESIAN_POINT('Ctrl Pts',(-2.02438070381141,2.57824081041133, -0.04)); #121361=CARTESIAN_POINT('',(-2.22712520331957,1.8430168765282,-0.04)); #121362=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #121363=CARTESIAN_POINT('',(-2.21098455382551,1.81942434985641,-0.04)); #121364=CARTESIAN_POINT('Ctrl Pts',(-2.21098455382551,1.81942434985641, -0.04)); #121365=CARTESIAN_POINT('Ctrl Pts',(-2.21621139636674,1.82034598322034, -0.04)); #121366=CARTESIAN_POINT('Ctrl Pts',(-2.22583743549529,1.82658072477182, -0.04)); #121367=CARTESIAN_POINT('Ctrl Pts',(-2.22816015176905,1.83781128600307, -0.04)); #121368=CARTESIAN_POINT('Ctrl Pts',(-2.22712520331957,1.8430168765282,-0.04)); #121369=CARTESIAN_POINT('',(-1.78110051126791,1.74362419457748,-0.04)); #121370=CARTESIAN_POINT('',(-0.679836792968853,1.54944168816603,-0.04)); #121371=CARTESIAN_POINT('',(-1.76680190999531,1.74622581072916,-0.0400000000000002)); #121372=CARTESIAN_POINT('Ctrl Pts',(-1.76680609360068,1.74623278381126, -0.04)); #121373=CARTESIAN_POINT('Ctrl Pts',(-1.76830532253068,1.74533329907963, -0.04)); #121374=CARTESIAN_POINT('Ctrl Pts',(-1.77288367960044,1.7433315014343,-0.04)); #121375=CARTESIAN_POINT('Ctrl Pts',(-1.77794707244311,1.74306815823065, -0.04)); #121376=CARTESIAN_POINT('Ctrl Pts',(-1.78110051126791,1.74362419457748, -0.04)); #121377=CARTESIAN_POINT('Ctrl Pts',(-1.76879631588228,1.71956160179728, -0.03997773023197)); #121378=CARTESIAN_POINT('Ctrl Pts',(-1.76849742857735,1.72363468804856, -0.0399764883110568)); #121379=CARTESIAN_POINT('Ctrl Pts',(-1.76819677085753,1.72770697076603, -0.03997536564422)); #121380=CARTESIAN_POINT('Ctrl Pts',(-1.76789432833612,1.73177846230132, -0.0399745119950644)); #121381=CARTESIAN_POINT('Ctrl Pts',(-1.76753669709498,1.7365929062634,-0.0399735025748096)); #121382=CARTESIAN_POINT('Ctrl Pts',(-1.76717661048228,1.74140635622051, -0.0399725593124107)); #121383=CARTESIAN_POINT('Ctrl Pts',(-1.76681408298275,1.74621894821611, -0.0399716122807922)); #121384=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.126155118517569)); #121385=CARTESIAN_POINT('',(1.35651806350168,3.48433243644669,0.135391632976473)); #121386=CARTESIAN_POINT('Origin',(1.35531617494688,3.48069344420264,0.126155118517569)); #121387=CARTESIAN_POINT('',(-1.35651806350169,3.4843324364467,0.135391632976473)); #121388=CARTESIAN_POINT('Origin',(-1.35531617494687,3.48069344420264,0.126155118517569)); #121389=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.135391632976472)); #121390=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.126155118517569)); #121391=CARTESIAN_POINT('',(-2.00501928625145,2.81645345477576,0.126242383872553)); #121392=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,0.126242383872553)); #121393=CARTESIAN_POINT('',(-2.01816599872593,2.82075309915523,0.135391632976472)); #121394=CARTESIAN_POINT('Origin',(-2.0145235186546,2.8195618227052,0.126155118517569)); #121395=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.135391632976472)); #121396=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.12615511851757)); #121397=CARTESIAN_POINT('',(-2.39742297893403,0.815895430799548,0.126242383872553)); #121398=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.126242383872553)); #121399=CARTESIAN_POINT('',(-2.41121979990895,0.816880682880306,0.135391632976473)); #121400=CARTESIAN_POINT('Origin',(-2.40739719820936,0.816607705048323,0.12615511851757)); #121401=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.135391632976473)); #121402=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.12615511851757)); #121403=CARTESIAN_POINT('',(-2.32064372211968,0.372347632793917,0.126242383872553)); #121404=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.126242383872553)); #121405=CARTESIAN_POINT('',(-2.33330659251885,0.366782140548791,0.135391632976473)); #121406=CARTESIAN_POINT('Origin',(-2.32979816764346,0.368324137806971,0.12615511851757)); #121407=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.135391632976473)); #121408=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.12615511851757)); #121409=CARTESIAN_POINT('Origin',(-3.9338110503513E-15,1.3923,0.126242383872554)); #121410=CARTESIAN_POINT('',(2.33330659251884,0.366782140548788,0.135391632976473)); #121411=CARTESIAN_POINT('Origin',(2.32979816764345,0.368324137806968,0.12615511851757)); #121412=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.135391632976473)); #121413=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.12615511851757)); #121414=CARTESIAN_POINT('',(2.41121979990894,0.816880682880303,0.135391632976473)); #121415=CARTESIAN_POINT('Origin',(2.40739719820935,0.81660770504832,0.12615511851757)); #121416=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.135391632976473)); #121417=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.126155118517569)); #121418=CARTESIAN_POINT('',(2.01816599872593,2.82075309915523,0.135391632976471)); #121419=CARTESIAN_POINT('Origin',(2.01452351865459,2.8195618227052,0.126155118517569)); #121420=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.135391632976472)); #121421=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.12615511851757)); #121422=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.135391632976473)); #121423=CARTESIAN_POINT('Ctrl Pts',(2.55736813929179,0.827317359252679, 0.0592930107989498)); #121424=CARTESIAN_POINT('Ctrl Pts',(2.5767118465441,0.556440828107478,0.0592930107989498)); #121425=CARTESIAN_POINT('Ctrl Pts',(2.46744310730154,0.307827439972686, 0.0592930107989498)); #121426=CARTESIAN_POINT('Ctrl Pts',(2.48755564576089,0.822331942348136, 0.103638355439859)); #121427=CARTESIAN_POINT('Ctrl Pts',(2.50566509101678,0.568739202968059, 0.103638355439859)); #121428=CARTESIAN_POINT('Ctrl Pts',(2.40336845130429,0.335989064456175, 0.103638355439859)); #121429=CARTESIAN_POINT('Ctrl Pts',(2.41121979990894,0.816880682880309, 0.135391632976473)); #121430=CARTESIAN_POINT('Ctrl Pts',(2.42797965243816,0.582186750822388, 0.135391632976473)); #121431=CARTESIAN_POINT('Ctrl Pts',(2.33330659251884,0.366782140548788, 0.135391632976473)); #121432=CARTESIAN_POINT('',(2.55736813929179,0.827317359252679,0.0592930107989496)); #121433=CARTESIAN_POINT('Origin',(2.04267913509972,0.790562630517092,-0.755109509104582)); #121434=CARTESIAN_POINT('',(2.46744310730154,0.307827439972694,0.0592930107989511)); #121435=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.0592930107989516)); #121436=CARTESIAN_POINT('Origin',(1.99505601408627,0.515447562514516,-0.755109509104582)); #121437=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.755109509104582)); #121438=CARTESIAN_POINT('',(2.15742780403478,2.86629880038143,0.0592930107989497)); #121439=CARTESIAN_POINT('Origin',(1.66699103137574,2.70590100381164,-0.755109509104582)); #121440=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.05929301079895)); #121441=CARTESIAN_POINT('Ctrl Pts',(1.4024694940227,3.62346089274209,0.05929301079895)); #121442=CARTESIAN_POINT('Ctrl Pts',(1.97123442382658,3.43560886842877,0.05929301079895)); #121443=CARTESIAN_POINT('Ctrl Pts',(2.15742780403478,2.86629880038143,0.05929301079895)); #121444=CARTESIAN_POINT('Ctrl Pts',(1.38051930314451,3.55700167400514,0.103638355439859)); #121445=CARTESIAN_POINT('Ctrl Pts',(1.91570436074712,3.38024043015074,0.103638355439859)); #121446=CARTESIAN_POINT('Ctrl Pts',(2.09090488681738,2.84454241925769,0.103638355439859)); #121447=CARTESIAN_POINT('Ctrl Pts',(1.35651806350168,3.48433243644669,0.135391632976473)); #121448=CARTESIAN_POINT('Ctrl Pts',(1.8549855105673,3.31969830716263,0.135391632976473)); #121449=CARTESIAN_POINT('Ctrl Pts',(2.01816599872593,2.82075309915523,0.135391632976473)); #121450=CARTESIAN_POINT('',(1.4024694940227,3.62346089274209,0.0592930107989507)); #121451=CARTESIAN_POINT('Origin',(1.24064284504443,3.13349373384149,-0.755109509104582)); #121452=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.0592930107989514)); #121453=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.755109509104582)); #121454=CARTESIAN_POINT('',(-1.40246949402269,3.62346089274203,0.0592930107989861)); #121455=CARTESIAN_POINT('Origin',(-1.24064284504444,3.1334937338415,-0.755109509104582)); #121456=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.0592930107989505)); #121457=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #121458=CARTESIAN_POINT('',(-2.31931556719767,0.37293137373778,-0.04)); #121459=CARTESIAN_POINT('',(-2.39597588873186,0.815792091875647,-0.04)); #121460=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #121461=CARTESIAN_POINT('',(-2.00364038318043,2.81600248326097,-0.04)); #121462=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.04)); #121463=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.03)); #121464=CARTESIAN_POINT('',(1.40414878745913,3.62854533735739,-0.0300872653549838)); #121465=CARTESIAN_POINT('Origin',(1.40728484528891,3.63804046905979,-0.03)); #121466=CARTESIAN_POINT('',(-1.40414878745913,3.62854533735739,-0.0300872653549838)); #121467=CARTESIAN_POINT('Origin',(-6.20675695257481E-15,-0.622835979680532, -0.0300872653549838)); #121468=CARTESIAN_POINT('Origin',(-1.40728484528892,3.6380404690598,-0.03)); #121469=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.03)); #121470=CARTESIAN_POINT('',(-2.16251712188516,2.86796326645483,-0.0300872653549838)); #121471=CARTESIAN_POINT('Origin',(-2.17202135428831,2.87107163438427,-0.03)); #121472=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,-0.0300872653549838)); #121473=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.03)); #121474=CARTESIAN_POINT('',(-2.56270912529133,0.827698767229182,-0.0300872653549838)); #121475=CARTESIAN_POINT('Origin',(-2.57268334456666,0.828411041477957,-0.03)); #121476=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.0300872653549838)); #121477=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.03)); #121478=CARTESIAN_POINT('',(-2.47234512158719,0.305672942482545,-0.0300872653549838)); #121479=CARTESIAN_POINT('Origin',(-2.48149956711097,0.301649447495598,-0.03)); #121480=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.0300872653549838)); #121481=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #121482=CARTESIAN_POINT('',(2.47234512158718,0.305672942482541,-0.0300872653549838)); #121483=CARTESIAN_POINT('Origin',(2.48149956711096,0.301649447495595,-0.03)); #121484=CARTESIAN_POINT('Origin',(-3.84644757541365E-15,1.39230000000001, -0.0300872653549838)); #121485=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.03)); #121486=CARTESIAN_POINT('',(2.56270912529132,0.827698767229178,-0.0300872653549838)); #121487=CARTESIAN_POINT('Origin',(2.57268334456665,0.828411041477954,-0.03)); #121488=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0300872653549838)); #121489=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.03)); #121490=CARTESIAN_POINT('',(2.16251712188516,2.86796326645483,-0.0300872653549838)); #121491=CARTESIAN_POINT('Origin',(2.17202135428831,2.87107163438427,-0.03)); #121492=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.0300872653549838)); #121493=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.03)); #121494=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0300872653549838)); #121495=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #121496=CARTESIAN_POINT('',(-2.56200386745868,0.827648403689032,0.0509330768242666)); #121497=CARTESIAN_POINT('',(-2.56279541267994,0.827704929143534,-0.04)); #121498=CARTESIAN_POINT('',(-2.47169782837747,0.305957436063027,0.0509330768242671)); #121499=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.0509330768242666)); #121500=CARTESIAN_POINT('',(-2.47242431707875,0.305638135058931,-0.04)); #121501=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #121502=CARTESIAN_POINT('',(-2.16184509591896,2.86774347974587,0.0509330768242655)); #121503=CARTESIAN_POINT('',(-2.16259934339767,2.86799015707595,-0.04)); #121504=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.0509330768242655)); #121505=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.04)); #121506=CARTESIAN_POINT('',(-1.40392704285066,3.62787395488421,0.050933076824266)); #121507=CARTESIAN_POINT('',(-1.40417591762674,3.62862748013935,-0.04)); #121508=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.050933076824266)); #121509=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #121510=CARTESIAN_POINT('',(1.40392704285065,3.62787395488421,0.0509330768242658)); #121511=CARTESIAN_POINT('',(1.40417591762674,3.62862748013935,-0.04)); #121512=CARTESIAN_POINT('Origin',(-6.29414678923699E-15,-0.622835979680532, 0.0509330768242658)); #121513=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.0508458114692818)); #121514=CARTESIAN_POINT('',(2.16184509591896,2.86774347974587,0.0509330768242655)); #121515=CARTESIAN_POINT('Origin',(2.15234086351581,2.86463511181644,0.0508458114692818)); #121516=CARTESIAN_POINT('',(2.56200386745867,0.827648403689028,0.0509330768242655)); #121517=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.0509330768242655)); #121518=CARTESIAN_POINT('Origin',(2.55202964818335,0.826936129440252,0.0508458114692818)); #121519=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.050845811469283)); #121520=CARTESIAN_POINT('',(2.47169782837746,0.305957436063023,0.0509330768242667)); #121521=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.0509330768242667)); #121522=CARTESIAN_POINT('Origin',(2.46254338285368,0.30998093104997,0.050845811469283)); #121523=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.0508458114692833)); #121524=CARTESIAN_POINT('Origin',(-4.10867369553132E-15,1.39230000000001, 0.0509330768242671)); #121525=CARTESIAN_POINT('',(-2.46744310730155,0.307827439972698,0.0592930107989517)); #121526=CARTESIAN_POINT('Origin',(-2.46254338285369,0.309980931049973,0.0508458114692833)); #121527=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.0592930107989519)); #121528=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.0508458114692828)); #121529=CARTESIAN_POINT('',(-2.5573681392918,0.827317359252683,0.0592930107989514)); #121530=CARTESIAN_POINT('Origin',(-2.55202964818335,0.826936129440256,0.0508458114692828)); #121531=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.0592930107989516)); #121532=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.0508458114692818)); #121533=CARTESIAN_POINT('',(-2.15742780403478,2.86629880038143,0.0592930107989506)); #121534=CARTESIAN_POINT('Origin',(-2.15234086351582,2.86463511181643,0.0508458114692818)); #121535=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.0592930107989512)); #121536=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.0508458114692823)); #121537=CARTESIAN_POINT('Origin',(-1.40079098502087,3.6183788231818,0.0508458114692823)); #121538=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.059293010798951)); #121539=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.0508458114692821)); #121540=CARTESIAN_POINT('Origin',(1.40079098502087,3.6183788231818,0.0508458114692821)); #121541=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.0508458114692828)); #121542=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.0509330768242666)); #121543=CARTESIAN_POINT('Ctrl Pts',(-1.40246949402271,3.62346089274209, 0.0592930107989509)); #121544=CARTESIAN_POINT('Ctrl Pts',(-1.97123442382658,3.43560886842877, 0.0592930107989509)); #121545=CARTESIAN_POINT('Ctrl Pts',(-2.15742780403478,2.86629880038143, 0.0592930107989509)); #121546=CARTESIAN_POINT('Ctrl Pts',(-1.38051930314451,3.55700167400514, 0.103638355439859)); #121547=CARTESIAN_POINT('Ctrl Pts',(-1.91570436074712,3.38024043015074, 0.103638355439859)); #121548=CARTESIAN_POINT('Ctrl Pts',(-2.09090488681738,2.8445424192577,0.103638355439859)); #121549=CARTESIAN_POINT('Ctrl Pts',(-1.35651806350169,3.4843324364467,0.135391632976473)); #121550=CARTESIAN_POINT('Ctrl Pts',(-1.85498551056731,3.31969830716264, 0.135391632976473)); #121551=CARTESIAN_POINT('Ctrl Pts',(-2.01816599872593,2.82075309915523, 0.135391632976473)); #121552=CARTESIAN_POINT('Origin',(-1.66699103137575,2.70590100381165,-0.755109509104582)); #121553=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #121554=CARTESIAN_POINT('',(2.47242431707874,0.305638135058928,-0.04)); #121555=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #121556=CARTESIAN_POINT('',(2.56279541267994,0.82770492914353,-0.04)); #121557=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #121558=CARTESIAN_POINT('',(2.16259934339766,2.86799015707595,-0.04)); #121559=CARTESIAN_POINT('Ctrl Pts',(0.0361863337308755,1.79087013940396, -1.23142423405683)); #121560=CARTESIAN_POINT('Ctrl Pts',(0.0361666629451183,1.79086817710856, -1.23137608916181)); #121561=CARTESIAN_POINT('Ctrl Pts',(0.036146991567976,1.79086615251841, -1.23132795153174)); #121562=CARTESIAN_POINT('Ctrl Pts',(0.0350660862341275,1.79075128590624, -1.22868307014126)); #121563=CARTESIAN_POINT('Ctrl Pts',(0.0339966375664992,1.79045420434403, -1.22608876080099)); #121564=CARTESIAN_POINT('Ctrl Pts',(0.0329648996392128,1.78995429813311, -1.22360763137966)); #121565=CARTESIAN_POINT('Ctrl Pts',(0.0361863337308755,1.79087013940396, -1.23142423405683)); #121566=CARTESIAN_POINT('Ctrl Pts',(0.0361585378122356,1.79086788569869, -1.23138284995048)); #121567=CARTESIAN_POINT('Ctrl Pts',(0.0361307404139158,1.79086535557922, -1.23134146400008)); #121568=CARTESIAN_POINT('Ctrl Pts',(0.0346039452152196,1.79072777538441, -1.22906830984941)); #121569=CARTESIAN_POINT('Ctrl Pts',(0.0331109063003163,1.79025665731517, -1.22684964568842)); #121570=CARTESIAN_POINT('Ctrl Pts',(0.0317812112916498,1.78947725398395, -1.2247917028086)); #121571=CARTESIAN_POINT('Ctrl Pts',(0.0361863337308755,1.79087013940396, -1.23142423405683)); #121572=CARTESIAN_POINT('Ctrl Pts',(0.0361504209316339,1.79086754316061, -1.23138960691743)); #121573=CARTESIAN_POINT('Ctrl Pts',(0.036114478299073,1.79086466476428, -1.2313549801821)); #121574=CARTESIAN_POINT('Ctrl Pts',(0.034141603613596,1.79070830216357, -1.22945331484786)); #121575=CARTESIAN_POINT('Ctrl Pts',(0.032213439529957,1.79022160926906, -1.22760841386274)); #121576=CARTESIAN_POINT('Ctrl Pts',(0.0304165585858379,1.78942802977991, -1.22596409760434)); #121577=CARTESIAN_POINT('Ctrl Pts',(0.0361863337308755,1.79087013940396, -1.23142423405683)); #121578=CARTESIAN_POINT('Ctrl Pts',(0.0361422813918263,1.79086714259887, -1.23139636005166)); #121579=CARTESIAN_POINT('Ctrl Pts',(0.0360982371354664,1.79086408701754, -1.2313684992687)); #121580=CARTESIAN_POINT('Ctrl Pts',(0.0336783535840726,1.79069270433382, -1.22983807292361)); #121581=CARTESIAN_POINT('Ctrl Pts',(0.0313090633546243,1.79034943024374, -1.22836179578718)); #121582=CARTESIAN_POINT('Ctrl Pts',(0.0290497418251432,1.78981307500267, -1.22697120558879)); #121583=CARTESIAN_POINT('',(0.0329648996392128,1.78995429813311,-1.22360763137966)); #121584=CARTESIAN_POINT('',(0.0290497418251434,1.78981307500267,-1.22697120558879)); #121585=CARTESIAN_POINT('Origin',(0.0290391731863101,1.79914887405378,-1.22338752809476)); #121586=CARTESIAN_POINT('',(0.0361863337309255,1.79087013940397,-1.2314242340568)); #121587=CARTESIAN_POINT('Ctrl Pts',(0.0290497418251432,1.78981307500267, -1.22697120558879)); #121588=CARTESIAN_POINT('Ctrl Pts',(0.0313090633546243,1.79034943024374, -1.22836179578718)); #121589=CARTESIAN_POINT('Ctrl Pts',(0.0336783535840726,1.79069270433382, -1.22983807292361)); #121590=CARTESIAN_POINT('Ctrl Pts',(0.0360982371354664,1.79086408701754, -1.2313684992687)); #121591=CARTESIAN_POINT('Ctrl Pts',(0.0361422813918263,1.79086714259887, -1.23139636005166)); #121592=CARTESIAN_POINT('Ctrl Pts',(0.0361863337308755,1.79087013940396, -1.23142423405683)); #121593=CARTESIAN_POINT('Ctrl Pts',(0.0361863337308755,1.79087013940396, -1.23142423405683)); #121594=CARTESIAN_POINT('Ctrl Pts',(0.0361666629451183,1.79086817710856, -1.23137608916181)); #121595=CARTESIAN_POINT('Ctrl Pts',(0.036146991567976,1.79086615251841, -1.23132795153174)); #121596=CARTESIAN_POINT('Ctrl Pts',(0.0350660862341275,1.79075128590624, -1.22868307014126)); #121597=CARTESIAN_POINT('Ctrl Pts',(0.0339966375664992,1.79045420434403, -1.22608876080099)); #121598=CARTESIAN_POINT('Ctrl Pts',(0.0329648996392128,1.78995429813311, -1.22360763137966)); #121599=CARTESIAN_POINT('Ctrl Pts',(0.0177750026199412,1.77059816747978, -1.17694145792172)); #121600=CARTESIAN_POINT('Ctrl Pts',(0.0176454753015048,1.77344554869769, -1.18435937332723)); #121601=CARTESIAN_POINT('Ctrl Pts',(0.0182571421727036,1.77821423901332, -1.19678139577884)); #121602=CARTESIAN_POINT('Ctrl Pts',(0.021870206664922,1.7847230408889,-1.21373006048976)); #121603=CARTESIAN_POINT('Ctrl Pts',(0.0257674206865768,1.78831923349903, -1.22308929533078)); #121604=CARTESIAN_POINT('Ctrl Pts',(0.0290497418251448,1.78981307500267, -1.22697120558879)); #121605=CARTESIAN_POINT('Ctrl Pts',(0.0203811482552898,1.77061496045895, -1.1769805023453)); #121606=CARTESIAN_POINT('Ctrl Pts',(0.020038475054492,1.77342365600324, -1.18427888644026)); #121607=CARTESIAN_POINT('Ctrl Pts',(0.0202921236214189,1.7781267228661, -1.19655165624075)); #121608=CARTESIAN_POINT('Ctrl Pts',(0.0232981460180835,1.78457752770405, -1.21333454944696)); #121609=CARTESIAN_POINT('Ctrl Pts',(0.026759309593747,1.78813921682673, -1.22261832438228)); #121610=CARTESIAN_POINT('Ctrl Pts',(0.0297331502054921,1.78962055239129, -1.22646765159657)); #121611=CARTESIAN_POINT('Ctrl Pts',(0.0255652690393128,1.77265869052039, -1.17628650175261)); #121612=CARTESIAN_POINT('Ctrl Pts',(0.0248148236356657,1.77502409587442, -1.18348875466684)); #121613=CARTESIAN_POINT('Ctrl Pts',(0.0243565146808066,1.77912158760943, -1.19564481595727)); #121614=CARTESIAN_POINT('Ctrl Pts',(0.0261571071079422,1.78487070905872, -1.21232000179597)); #121615=CARTESIAN_POINT('Ctrl Pts',(0.0287424832689842,1.78810241037844, -1.22155247673494)); #121616=CARTESIAN_POINT('Ctrl Pts',(0.0310996454975871,1.78944906149982, -1.2253786351613)); #121617=CARTESIAN_POINT('Ctrl Pts',(0.0277507134436084,1.77750054226529, -1.17446609462062)); #121618=CARTESIAN_POINT('Ctrl Pts',(0.027281231250721,1.77918404722146, -1.18182423161913)); #121619=CARTESIAN_POINT('Ctrl Pts',(0.0270600017085074,1.78212994938015, -1.1941753249366)); #121620=CARTESIAN_POINT('Ctrl Pts',(0.0285250057463884,1.78633205270439, -1.21103876422853)); #121621=CARTESIAN_POINT('Ctrl Pts',(0.0305441343393265,1.78871725478362, -1.22034898446794)); #121622=CARTESIAN_POINT('Ctrl Pts',(0.0323730554654327,1.78971577605853, -1.22419966709413)); #121623=CARTESIAN_POINT('Ctrl Pts',(0.0277670128327863,1.7799339697927, -1.17353230323997)); #121624=CARTESIAN_POINT('Ctrl Pts',(0.0276371412559781,1.78141909639975, -1.18097264089221)); #121625=CARTESIAN_POINT('Ctrl Pts',(0.0278339200749179,1.78390623384226, -1.1934250125447)); #121626=CARTESIAN_POINT('Ctrl Pts',(0.0294915740015538,1.78730055108247, -1.21039092508573)); #121627=CARTESIAN_POINT('Ctrl Pts',(0.0313579116432765,1.78917566699161, -1.21974313721792)); #121628=CARTESIAN_POINT('Ctrl Pts',(0.0329648996392141,1.78995429813311, -1.22360763137966)); #121629=CARTESIAN_POINT('',(0.0277670128327857,1.7799339697927,-1.17353230323997)); #121630=CARTESIAN_POINT('Ctrl Pts',(0.0329648996392141,1.78995429813311, -1.22360763137966)); #121631=CARTESIAN_POINT('Ctrl Pts',(0.0313579116432765,1.78917566699161, -1.21974313721792)); #121632=CARTESIAN_POINT('Ctrl Pts',(0.0294915740015538,1.78730055108247, -1.21039092508573)); #121633=CARTESIAN_POINT('Ctrl Pts',(0.0278339200749179,1.78390623384226, -1.1934250125447)); #121634=CARTESIAN_POINT('Ctrl Pts',(0.0276371412559781,1.78141909639975, -1.18097264089221)); #121635=CARTESIAN_POINT('Ctrl Pts',(0.0277670128327863,1.7799339697927, -1.17353230323997)); #121636=CARTESIAN_POINT('',(0.0177750026199412,1.77059816747978,-1.17694145792172)); #121637=CARTESIAN_POINT('Origin',(0.0177685358812223,1.77993396979269,-1.17335777917559)); #121638=CARTESIAN_POINT('Ctrl Pts',(0.0177750026199412,1.77059816747978, -1.17694145792172)); #121639=CARTESIAN_POINT('Ctrl Pts',(0.0176454753015048,1.77344554869769, -1.18435937332723)); #121640=CARTESIAN_POINT('Ctrl Pts',(0.0182571421727036,1.77821423901332, -1.19678139577884)); #121641=CARTESIAN_POINT('Ctrl Pts',(0.021870206664922,1.7847230408889,-1.21373006048976)); #121642=CARTESIAN_POINT('Ctrl Pts',(0.0257674206865768,1.78831923349903, -1.22308929533078)); #121643=CARTESIAN_POINT('Ctrl Pts',(0.0290497418251448,1.78981307500267, -1.22697120558879)); #121644=CARTESIAN_POINT('Ctrl Pts',(0.0277670128327855,1.77993396979269, -1.17353230323997)); #121645=CARTESIAN_POINT('Ctrl Pts',(0.0301091499571635,1.72842835279561, -1.03935135725038)); #121646=CARTESIAN_POINT('Ctrl Pts',(0.0324512889542763,1.67692301538965, -0.905170303971879)); #121647=CARTESIAN_POINT('Ctrl Pts',(0.0347934298241221,1.62541795757802, -0.77098914340458)); #121648=CARTESIAN_POINT('Ctrl Pts',(0.0277507134436068,1.77750054226529, -1.17446609462062)); #121649=CARTESIAN_POINT('Ctrl Pts',(0.03009284694853,1.72599505774187,-1.04028509383311)); #121650=CARTESIAN_POINT('Ctrl Pts',(0.0324349960240777,1.67448984935075, -0.906103987342713)); #121651=CARTESIAN_POINT('Ctrl Pts',(0.0347771332767433,1.6229849240132, -0.771922771978624)); #121652=CARTESIAN_POINT('Ctrl Pts',(0.0255653212064004,1.77265864184229, -1.17628652134837)); #121653=CARTESIAN_POINT('Ctrl Pts',(0.0279077089782702,1.72115341091577, -1.04210541995044)); #121654=CARTESIAN_POINT('Ctrl Pts',(0.0302500967871982,1.66964846215899, -0.907924210227055)); #121655=CARTESIAN_POINT('Ctrl Pts',(0.0325924882573559,1.61814379042696, -0.773742894250741)); #121656=CARTESIAN_POINT('Ctrl Pts',(0.0203811482552886,1.77061496045895, -1.1769805023453)); #121657=CARTESIAN_POINT('Ctrl Pts',(0.0227239961210369,1.7191095550616, -1.04279947265622)); #121658=CARTESIAN_POINT('Ctrl Pts',(0.0250668443105518,1.66760441553658, -0.908618341009525)); #121659=CARTESIAN_POINT('Ctrl Pts',(0.0274096958677746,1.61609956945965, -0.774437096691916)); #121660=CARTESIAN_POINT('Ctrl Pts',(0.0177750026199405,1.77059816747978, -1.17694145792172)); #121661=CARTESIAN_POINT('Ctrl Pts',(0.0201179921519954,1.71909255099731, -1.04276051173459)); #121662=CARTESIAN_POINT('Ctrl Pts',(0.0224609835590546,1.66758721420772, -0.908579458219489)); #121663=CARTESIAN_POINT('Ctrl Pts',(0.0248039768417442,1.61608215711421, -0.774398297376528)); #121664=CARTESIAN_POINT('',(0.0347934298241117,1.62541795757807,-0.770989143405174)); #121665=CARTESIAN_POINT('',(0.0248039768417442,1.61608215711421,-0.774398297376528)); #121666=CARTESIAN_POINT('Origin',(0.0247949528725582,1.62541795757802,-0.770814619340207)); #121667=CARTESIAN_POINT('Ctrl Pts',(0.0248039768417442,1.61608215711421, -0.774398297376528)); #121668=CARTESIAN_POINT('Ctrl Pts',(0.0224609835590546,1.66758721420772, -0.908579458219489)); #121669=CARTESIAN_POINT('Ctrl Pts',(0.0201179921519954,1.71909255099731, -1.04276051173459)); #121670=CARTESIAN_POINT('Ctrl Pts',(0.0177750026199405,1.77059816747978, -1.17694145792172)); #121671=CARTESIAN_POINT('Ctrl Pts',(0.0277670128327855,1.77993396979269, -1.17353230323997)); #121672=CARTESIAN_POINT('Ctrl Pts',(0.0301091499571635,1.72842835279561, -1.03935135725038)); #121673=CARTESIAN_POINT('Ctrl Pts',(0.0324512889542763,1.67692301538965, -0.905170303971879)); #121674=CARTESIAN_POINT('Ctrl Pts',(0.0347934298241221,1.62541795757802, -0.77098914340458)); #121675=CARTESIAN_POINT('Ctrl Pts',(0.025553359338905,1.61418402668971, -0.769451583057727)); #121676=CARTESIAN_POINT('Ctrl Pts',(0.0250848479795953,1.61480211526721, -0.771062974241602)); #121677=CARTESIAN_POINT('Ctrl Pts',(0.0248331250878834,1.61544140321734, -0.772729003009158)); #121678=CARTESIAN_POINT('Ctrl Pts',(0.0248039768417452,1.61608215711421, -0.774398297376529)); #121679=CARTESIAN_POINT('Ctrl Pts',(0.0279555340273419,1.614419720285,-0.770059354259492)); #121680=CARTESIAN_POINT('Ctrl Pts',(0.0275785240586026,1.61496860107992, -0.771493301947545)); #121681=CARTESIAN_POINT('Ctrl Pts',(0.0273955143089945,1.61553701670001, -0.772968078444803)); #121682=CARTESIAN_POINT('Ctrl Pts',(0.0274096958677457,1.6160995694597, -0.774437096692056)); #121683=CARTESIAN_POINT('Ctrl Pts',(0.0327381545120685,1.61671325954825, -0.770569650021078)); #121684=CARTESIAN_POINT('Ctrl Pts',(0.0325407863538954,1.61718626195637, -0.77162686346734)); #121685=CARTESIAN_POINT('Ctrl Pts',(0.0324956746595486,1.61766804017781, -0.77269741549741)); #121686=CARTESIAN_POINT('Ctrl Pts',(0.032593012568301,1.61814330130764, -0.773743091150165)); #121687=CARTESIAN_POINT('Ctrl Pts',(0.0349835232120611,1.62140737636121, -0.769421708574568)); #121688=CARTESIAN_POINT('Ctrl Pts',(0.0347989653357996,1.6219234771723, -0.770248551350147)); #121689=CARTESIAN_POINT('Ctrl Pts',(0.0347284870868642,1.62245587669874, -0.771094548015378)); #121690=CARTESIAN_POINT('Ctrl Pts',(0.0347771332766909,1.62298492401327, -0.771922771979207)); #121691=CARTESIAN_POINT('Ctrl Pts',(0.0351668751776422,1.6237423712743, -0.768579353927828)); #121692=CARTESIAN_POINT('Ctrl Pts',(0.0349330657363912,1.62428779709242, -0.769364367073231)); #121693=CARTESIAN_POINT('Ctrl Pts',(0.0348076237868486,1.62485213376502, -0.770175971827561)); #121694=CARTESIAN_POINT('Ctrl Pts',(0.0347934298241123,1.62541795757807, -0.770989143405175)); #121695=CARTESIAN_POINT('',(0.0351668751776426,1.6237423712743,-0.768579353927828)); #121696=CARTESIAN_POINT('Ctrl Pts',(0.0347934298241123,1.62541795757807, -0.770989143405175)); #121697=CARTESIAN_POINT('Ctrl Pts',(0.0348076237868486,1.62485213376502, -0.770175971827561)); #121698=CARTESIAN_POINT('Ctrl Pts',(0.0349330657363912,1.62428779709242, -0.769364367073231)); #121699=CARTESIAN_POINT('Ctrl Pts',(0.0351668751776422,1.6237423712743, -0.768579353927828)); #121700=CARTESIAN_POINT('',(0.0255533593388774,1.61418402668971,-0.769451583057719)); #121701=CARTESIAN_POINT('Origin',(0.0255440627340924,1.62351982692036,-0.765867905110905)); #121702=CARTESIAN_POINT('Ctrl Pts',(0.025553359338905,1.61418402668971, -0.769451583057727)); #121703=CARTESIAN_POINT('Ctrl Pts',(0.0250848479795953,1.61480211526721, -0.771062974241602)); #121704=CARTESIAN_POINT('Ctrl Pts',(0.0248331250878834,1.61544140321734, -0.772729003009158)); #121705=CARTESIAN_POINT('Ctrl Pts',(0.0248039768417452,1.61608215711421, -0.774398297376529)); #121706=CARTESIAN_POINT('Ctrl Pts',(0.0351668751776426,1.6237423712743, -0.768579353927828)); #121707=CARTESIAN_POINT('Ctrl Pts',(0.03657096834269,1.62046693184311,-0.763865123458622)); #121708=CARTESIAN_POINT('Ctrl Pts',(0.0409170037453378,1.61156490341548, -0.762372140357068)); #121709=CARTESIAN_POINT('Ctrl Pts',(0.0446718700360022,1.60405775374256, -0.762994796163528)); #121710=CARTESIAN_POINT('Ctrl Pts',(0.044692556237936,1.60401639740286, -0.762998223237814)); #121711=CARTESIAN_POINT('Ctrl Pts',(0.0447130443981831,1.60397544498603, -0.763001708530667)); #121712=CARTESIAN_POINT('Ctrl Pts',(0.0349835232120621,1.62140737636121, -0.769421708574566)); #121713=CARTESIAN_POINT('Ctrl Pts',(0.0357756773638702,1.61895496945819, -0.765501764755106)); #121714=CARTESIAN_POINT('Ctrl Pts',(0.0402132003595302,1.61096098383547, -0.762357231258542)); #121715=CARTESIAN_POINT('Ctrl Pts',(0.0446652343030006,1.60405080060388, -0.76299575703921)); #121716=CARTESIAN_POINT('Ctrl Pts',(0.0446891250091827,1.60401298828559, -0.762998537838908)); #121717=CARTESIAN_POINT('Ctrl Pts',(0.044713044398183,1.60397544498603, -0.763001708530667)); #121718=CARTESIAN_POINT('Ctrl Pts',(0.0327381577567732,1.61671325647832, -0.77056965214167)); #121719=CARTESIAN_POINT('Ctrl Pts',(0.0333591641774294,1.61580139491792, -0.767454781633396)); #121720=CARTESIAN_POINT('Ctrl Pts',(0.0389091449528818,1.60975594049246, -0.762379317850151)); #121721=CARTESIAN_POINT('Ctrl Pts',(0.0446515687183777,1.60403687904921, -0.762997431754198)); #121722=CARTESIAN_POINT('Ctrl Pts',(0.0446822625516762,1.60400617005106, -0.762999167041096)); #121723=CARTESIAN_POINT('Ctrl Pts',(0.0447130443981826,1.60397544498603, -0.763001708530667)); #121724=CARTESIAN_POINT('Ctrl Pts',(0.0279555340273431,1.614419720285,-0.770059354259485)); #121725=CARTESIAN_POINT('Ctrl Pts',(0.030036025106654,1.61325188784307, -0.766023265246561)); #121726=CARTESIAN_POINT('Ctrl Pts',(0.0377040333164014,1.6084680567947, -0.762587427917719)); #121727=CARTESIAN_POINT('Ctrl Pts',(0.0446375226929131,1.6040232673147, -0.762998297109115)); #121728=CARTESIAN_POINT('Ctrl Pts',(0.0446754000941696,1.60399935181652, -0.762999796243284)); #121729=CARTESIAN_POINT('Ctrl Pts',(0.0447130443981823,1.60397544498603, -0.763001708530667)); #121730=CARTESIAN_POINT('Ctrl Pts',(0.0255533593389061,1.61418402668971, -0.769451583057719)); #121731=CARTESIAN_POINT('Ctrl Pts',(0.0283801316865556,1.61232805973753, -0.764609290769414)); #121732=CARTESIAN_POINT('Ctrl Pts',(0.0371022696266887,1.60777487107501, -0.762722059243836)); #121733=CARTESIAN_POINT('Ctrl Pts',(0.0446304966989429,1.60401664658642, -0.762998588667179)); #121734=CARTESIAN_POINT('Ctrl Pts',(0.0446719688654164,1.60399594269926, -0.763000110844378)); #121735=CARTESIAN_POINT('Ctrl Pts',(0.0447130443981821,1.60397544498603, -0.763001708530667)); #121736=CARTESIAN_POINT('',(0.0447130443982323,1.60397544498603,-0.763001708530667)); #121737=CARTESIAN_POINT('Ctrl Pts',(0.0351668751776426,1.6237423712743, -0.768579353927828)); #121738=CARTESIAN_POINT('Ctrl Pts',(0.03657096834269,1.62046693184311,-0.763865123458622)); #121739=CARTESIAN_POINT('Ctrl Pts',(0.0409170037453378,1.61156490341548, -0.762372140357068)); #121740=CARTESIAN_POINT('Ctrl Pts',(0.0446718700360022,1.60405775374256, -0.762994796163528)); #121741=CARTESIAN_POINT('Ctrl Pts',(0.044692556237936,1.60401639740286, -0.762998223237814)); #121742=CARTESIAN_POINT('Ctrl Pts',(0.0447130443981831,1.60397544498603, -0.763001708530667)); #121743=CARTESIAN_POINT('Ctrl Pts',(0.0447130443981821,1.60397544498603, -0.763001708530667)); #121744=CARTESIAN_POINT('Ctrl Pts',(0.0446719688654164,1.60399594269926, -0.763000110844378)); #121745=CARTESIAN_POINT('Ctrl Pts',(0.0446304966989429,1.60401664658642, -0.762998588667179)); #121746=CARTESIAN_POINT('Ctrl Pts',(0.0371022696266887,1.60777487107501, -0.762722059243836)); #121747=CARTESIAN_POINT('Ctrl Pts',(0.0283801316865556,1.61232805973753, -0.764609290769414)); #121748=CARTESIAN_POINT('Ctrl Pts',(0.0255533593389061,1.61418402668971, -0.769451583057719)); #121749=CARTESIAN_POINT('Ctrl Pts',(0.0447130443981831,1.60397544498603, -0.763001708530667)); #121750=CARTESIAN_POINT('Ctrl Pts',(0.0447986231356309,1.66006953511846, -0.758093703965745)); #121751=CARTESIAN_POINT('Ctrl Pts',(0.0448842018933508,1.71616362514923, -0.753185698239437)); #121752=CARTESIAN_POINT('Ctrl Pts',(0.0449697806713427,1.77225771507836, -0.748277691351741)); #121753=CARTESIAN_POINT('Ctrl Pts',(0.0421319682685132,1.6039804243931, -0.763013565830971)); #121754=CARTESIAN_POINT('Ctrl Pts',(0.0422175622014439,1.6600745165841, -0.758105585053009)); #121755=CARTESIAN_POINT('Ctrl Pts',(0.0423031563062182,1.71616860854089, -0.753197601591844)); #121756=CARTESIAN_POINT('Ctrl Pts',(0.0423887502803534,1.77226270052852, -0.74828961849027)); #121757=CARTESIAN_POINT('Ctrl Pts',(0.0370048674907642,1.60417414307191, -0.765138102509175)); #121758=CARTESIAN_POINT('Ctrl Pts',(0.0370905101620243,1.66026823694325, -0.760230141430681)); #121759=CARTESIAN_POINT('Ctrl Pts',(0.0371761530609899,1.71636233074008, -0.755322179506432)); #121760=CARTESIAN_POINT('Ctrl Pts',(0.0372617957733705,1.77245642440793, -0.750414216102797)); #121761=CARTESIAN_POINT('Ctrl Pts',(0.034806887925947,1.6046219816333,-0.770218129267404)); #121762=CARTESIAN_POINT('Ctrl Pts',(0.0348925576792202,1.66071607302299, -0.765310139485252)); #121763=CARTESIAN_POINT('Ctrl Pts',(0.0349782260409479,1.71681016427809, -0.760402148138792)); #121764=CARTESIAN_POINT('Ctrl Pts',(0.0350638958347827,1.77290425546426, -0.755494156031399)); #121765=CARTESIAN_POINT('Ctrl Pts',(0.0347620128731302,1.60484699260378, -0.772789019321455)); #121766=CARTESIAN_POINT('Ctrl Pts',(0.0348476824189708,1.66094108269865, -0.767881014327324)); #121767=CARTESIAN_POINT('Ctrl Pts',(0.0349333519851049,1.71703517269177, -0.762973008170574)); #121768=CARTESIAN_POINT('Ctrl Pts',(0.0350190215715326,1.77312926258313, -0.758065000851203)); #121769=CARTESIAN_POINT('',(0.035019021571479,1.7731292626645,-0.758065000854283)); #121770=CARTESIAN_POINT('Ctrl Pts',(0.0347934298241013,1.62541795757812, -0.770989143405769)); #121771=CARTESIAN_POINT('Ctrl Pts',(0.0348686270576096,1.67465505932486, -0.766681096783333)); #121772=CARTESIAN_POINT('Ctrl Pts',(0.0349438243067534,1.72389216099319, -0.762373049265145)); #121773=CARTESIAN_POINT('Ctrl Pts',(0.0350190215715326,1.77312926258313, -0.758065000851203)); #121774=CARTESIAN_POINT('',(0.0449697806685234,1.77225771511877,-0.748277691348219)); #121775=CARTESIAN_POINT('Origin',(0.0450174985230967,1.77312926258313,-0.758239524915576)); #121776=CARTESIAN_POINT('',(0.0447244970320256,1.61148227538958,-0.762344891418675)); #121777=CARTESIAN_POINT('Ctrl Pts',(0.0449697806713427,1.77225771507836, -0.748277691351741)); #121778=CARTESIAN_POINT('Ctrl Pts',(0.0448880194397332,1.71866590194155, -0.752966759100802)); #121779=CARTESIAN_POINT('Ctrl Pts',(0.0448062582266275,1.66507408871195, -0.757655825789779)); #121780=CARTESIAN_POINT('Ctrl Pts',(0.0447244970320256,1.61148227538958, -0.762344891418675)); #121781=CARTESIAN_POINT('Ctrl Pts',(0.0447130443981831,1.60397544498603, -0.763001708530667)); #121782=CARTESIAN_POINT('Ctrl Pts',(0.0447168619427569,1.60647772178742, -0.762782769495647)); #121783=CARTESIAN_POINT('Ctrl Pts',(0.0447206794873711,1.6089799985886, -0.762563830458317)); #121784=CARTESIAN_POINT('Ctrl Pts',(0.0447244970320256,1.61148227538958, -0.762344891418675)); #121785=CARTESIAN_POINT('Origin',(0.0268097300000006,1.83235,-1.228375)); #121786=CARTESIAN_POINT('Ctrl Pts',(0.0350190215714791,1.7731292626645, -0.758065000854283)); #121787=CARTESIAN_POINT('Ctrl Pts',(0.032601681954168,1.77538568270633, -0.896554294778552)); #121788=CARTESIAN_POINT('Ctrl Pts',(0.0301843456603084,1.77765379354041, -1.03504339830241)); #121789=CARTESIAN_POINT('Ctrl Pts',(0.0277670128327418,1.77993396969677, -1.17353230324247)); #121790=CARTESIAN_POINT('Ctrl Pts',(0.044329809936634,1.78310406219929, -0.758065000854283)); #121791=CARTESIAN_POINT('Ctrl Pts',(0.0416148126350354,1.78567759732203, -0.915851663114941)); #121792=CARTESIAN_POINT('Ctrl Pts',(0.038900314094436,1.78826611053827, -1.07363807821681)); #121793=CARTESIAN_POINT('Ctrl Pts',(0.0361863337308755,1.79087013940396, -1.23142423405683)); #121794=CARTESIAN_POINT('Ctrl Pts',(0.0418333197743975,1.78293123451763, -0.758024240355267)); #121795=CARTESIAN_POINT('Ctrl Pts',(0.0391111255096056,1.78551432073027, -0.915810631365205)); #121796=CARTESIAN_POINT('Ctrl Pts',(0.0363893704117717,1.78811197018519, -1.07359678274711)); #121797=CARTESIAN_POINT('Ctrl Pts',(0.0336681265031722,1.79072596096177, -1.23138265594783)); #121798=CARTESIAN_POINT('Ctrl Pts',(0.0369979640545686,1.78061494575645, -0.757977578433765)); #121799=CARTESIAN_POINT('Ctrl Pts',(0.0342546797275733,1.78320507298322, -0.91576363647178)); #121800=CARTESIAN_POINT('Ctrl Pts',(0.0315115035254597,1.78581071808055, -1.07354943076603)); #121801=CARTESIAN_POINT('Ctrl Pts',(0.0287686056023013,1.78843222083032, -1.23133495602644)); #121802=CARTESIAN_POINT('Ctrl Pts',(0.035019733048636,1.77563172785537, -0.758024240355267)); #121803=CARTESIAN_POINT('Ctrl Pts',(0.0322653754993375,1.77820915030813, -0.915810631365205)); #121804=CARTESIAN_POINT('Ctrl Pts',(0.0295115890575202,1.78080168314382, -1.07359678274711)); #121805=CARTESIAN_POINT('Ctrl Pts',(0.0267572311701495,1.78341017724803, -1.23138265594783)); #121806=CARTESIAN_POINT('Ctrl Pts',(0.0350190215714791,1.7731292626645, -0.758065000854283)); #121807=CARTESIAN_POINT('Ctrl Pts',(0.0322648451369126,1.77570009667607, -0.915851663114941)); #121808=CARTESIAN_POINT('Ctrl Pts',(0.0295106730165188,1.77828610650599, -1.07363807821681)); #121809=CARTESIAN_POINT('Ctrl Pts',(0.0267565054215574,1.78088784607665, -1.23142423405683)); #121810=CARTESIAN_POINT('',(0.0362387817508015,1.79081981820446,-1.22837499999998)); #121811=CARTESIAN_POINT('Ctrl Pts',(0.0362387817507913,1.79081981820413, -1.22837499999999)); #121812=CARTESIAN_POINT('Ctrl Pts',(0.0362212990559874,1.79083659129368, -1.22939141136303)); #121813=CARTESIAN_POINT('Ctrl Pts',(0.0362038163826805,1.79085336502691, -1.23040782271531)); #121814=CARTESIAN_POINT('Ctrl Pts',(0.0361863337308755,1.79087013940396, -1.23142423405683)); #121815=CARTESIAN_POINT('',(0.0443298097484175,1.78310406218626,-0.758065000851257)); #121816=CARTESIAN_POINT('Ctrl Pts',(0.0362387817507913,1.79081981820413, -1.22837499999999)); #121817=CARTESIAN_POINT('Ctrl Pts',(0.038935286094626,1.78823276230005, -1.07160525218333)); #121818=CARTESIAN_POINT('Ctrl Pts',(0.0416323018376518,1.78566101937657, -0.914835248511208)); #121819=CARTESIAN_POINT('Ctrl Pts',(0.044329809936634,1.78310406219929, -0.758065000854283)); #121820=CARTESIAN_POINT('Origin',(0.045017498523043,1.7731292626645,-0.758239524918656)); #121821=CARTESIAN_POINT('Ctrl Pts',(0.0361863337309255,1.79087013940397, -1.2314242340568)); #121822=CARTESIAN_POINT('Ctrl Pts',(0.012271370724308,1.78944343460854, -1.22774236635202)); #121823=CARTESIAN_POINT('Ctrl Pts',(-0.012271370724163,1.78944343460853, -1.227742366352)); #121824=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337309243,1.79087013940397, -1.2314242340568)); #121825=CARTESIAN_POINT('Ctrl Pts',(0.0363633833025088,1.79085987394931, -1.23026020101239)); #121826=CARTESIAN_POINT('Ctrl Pts',(0.0123316564054251,1.78941985966366, -1.22657920840397)); #121827=CARTESIAN_POINT('Ctrl Pts',(-0.0123316564052794,1.78941985966366, -1.22657920840395)); #121828=CARTESIAN_POINT('Ctrl Pts',(-0.0363633833025076,1.79085987394931, -1.23026020101239)); #121829=CARTESIAN_POINT('Ctrl Pts',(0.0365523344708657,1.79063988386679, -1.22910013497507)); #121830=CARTESIAN_POINT('Ctrl Pts',(0.0123955901791518,1.78919272744644, -1.22541988028966)); #121831=CARTESIAN_POINT('Ctrl Pts',(-0.0123955901790053,1.78919272744643, -1.22541988028964)); #121832=CARTESIAN_POINT('Ctrl Pts',(-0.0365523344708645,1.79063988386679, -1.22910013497507)); #121833=CARTESIAN_POINT('Ctrl Pts',(0.0367416153064029,1.79022364200108, -1.22801508182736)); #121834=CARTESIAN_POINT('Ctrl Pts',(0.0124596183840953,1.7887755366269, -1.22433320989069)); #121835=CARTESIAN_POINT('Ctrl Pts',(-0.012459618383948,1.78877553662689, -1.22433320989067)); #121836=CARTESIAN_POINT('Ctrl Pts',(-0.0367416153064017,1.79022364200108, -1.22801508182736)); #121837=CARTESIAN_POINT('',(-0.0290497418251419,1.78981307500267,-1.22697120558879)); #121838=CARTESIAN_POINT('Ctrl Pts',(0.0290493261114557,1.7898142668133, -1.22697422731336)); #121839=CARTESIAN_POINT('Ctrl Pts',(0.00978757771651743,1.78891199502282, -1.22468016139535)); #121840=CARTESIAN_POINT('Ctrl Pts',(-0.00978757771642545,1.78891199502282, -1.22468016139534)); #121841=CARTESIAN_POINT('Ctrl Pts',(-0.0290493261114543,1.7898142668133, -1.22697422731336)); #121842=CARTESIAN_POINT('',(-0.0361863337309243,1.79087013940397,-1.2314242340568)); #121843=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337308796,1.79087013940396, -1.2314242340568)); #121844=CARTESIAN_POINT('Ctrl Pts',(-0.0361422813919334,1.79086714259888, -1.23139636005174)); #121845=CARTESIAN_POINT('Ctrl Pts',(-0.0360982371355084,1.79086408701754, -1.23136849926868)); #121846=CARTESIAN_POINT('Ctrl Pts',(-0.0336783535848229,1.79069270433389, -1.2298380729245)); #121847=CARTESIAN_POINT('Ctrl Pts',(-0.0313090633546413,1.79034943024374, -1.22836179578719)); #121848=CARTESIAN_POINT('Ctrl Pts',(-0.0290497418251419,1.78981307500267, -1.22697120558879)); #121849=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337309243,1.79087013940397, -1.2314242340568)); #121850=CARTESIAN_POINT('Ctrl Pts',(-0.012271370724163,1.78944343460853, -1.227742366352)); #121851=CARTESIAN_POINT('Ctrl Pts',(0.012271370724308,1.78944343460854, -1.22774236635202)); #121852=CARTESIAN_POINT('Ctrl Pts',(0.0361863337309255,1.79087013940397, -1.2314242340568)); #121853=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337308796,1.79087013940396, -1.2314242340568)); #121854=CARTESIAN_POINT('Ctrl Pts',(-0.0361422813919334,1.79086714259888, -1.23139636005174)); #121855=CARTESIAN_POINT('Ctrl Pts',(-0.0360982371355084,1.79086408701754, -1.23136849926868)); #121856=CARTESIAN_POINT('Ctrl Pts',(-0.0336783535848229,1.79069270433389, -1.2298380729245)); #121857=CARTESIAN_POINT('Ctrl Pts',(-0.0313090633546413,1.79034943024374, -1.22836179578719)); #121858=CARTESIAN_POINT('Ctrl Pts',(-0.0290497418251419,1.78981307500267, -1.22697120558879)); #121859=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337308796,1.79087013940396, -1.2314242340568)); #121860=CARTESIAN_POINT('Ctrl Pts',(-0.0361504209317404,1.79086754316062, -1.23138960691751)); #121861=CARTESIAN_POINT('Ctrl Pts',(-0.0361144782991077,1.79086466476428, -1.23135498018209)); #121862=CARTESIAN_POINT('Ctrl Pts',(-0.0341416036143384,1.79070830216364, -1.22945331484875)); #121863=CARTESIAN_POINT('Ctrl Pts',(-0.0322134395299704,1.79022160926906, -1.22760841386275)); #121864=CARTESIAN_POINT('Ctrl Pts',(-0.0304165585858366,1.78942802977991, -1.22596409760434)); #121865=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337308796,1.79087013940396, -1.2314242340568)); #121866=CARTESIAN_POINT('Ctrl Pts',(-0.0361585378123419,1.7908678856987, -1.23138284995056)); #121867=CARTESIAN_POINT('Ctrl Pts',(-0.0361307404139433,1.79086535557923, -1.23134146400008)); #121868=CARTESIAN_POINT('Ctrl Pts',(-0.0346039452159696,1.79072777538448, -1.22906830985032)); #121869=CARTESIAN_POINT('Ctrl Pts',(-0.0331109063003257,1.79025665731518, -1.22684964568844)); #121870=CARTESIAN_POINT('Ctrl Pts',(-0.0317812112916486,1.78947725398395, -1.2247917028086)); #121871=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337308796,1.79087013940396, -1.2314242340568)); #121872=CARTESIAN_POINT('Ctrl Pts',(-0.036166662945224,1.79086817710856, -1.2313760891619)); #121873=CARTESIAN_POINT('Ctrl Pts',(-0.0361469915679963,1.79086615251841, -1.23132795153174)); #121874=CARTESIAN_POINT('Ctrl Pts',(-0.0350660862348698,1.79075128590631, -1.22868307014218)); #121875=CARTESIAN_POINT('Ctrl Pts',(-0.0339966375665065,1.79045420434403, -1.22608876080101)); #121876=CARTESIAN_POINT('Ctrl Pts',(-0.0329648996392117,1.78995429813311, -1.22360763137966)); #121877=CARTESIAN_POINT('',(-0.0329648996392116,1.78995429813311,-1.22360763137966)); #121878=CARTESIAN_POINT('Origin',(-0.0290391731863088,1.79914887405378, -1.22338752809476)); #121879=CARTESIAN_POINT('Ctrl Pts',(-0.0329648996392117,1.78995429813311, -1.22360763137966)); #121880=CARTESIAN_POINT('Ctrl Pts',(-0.0339966375665065,1.79045420434403, -1.22608876080101)); #121881=CARTESIAN_POINT('Ctrl Pts',(-0.0350660862348698,1.79075128590631, -1.22868307014218)); #121882=CARTESIAN_POINT('Ctrl Pts',(-0.0361469915679963,1.79086615251841, -1.23132795153174)); #121883=CARTESIAN_POINT('Ctrl Pts',(-0.036166662945224,1.79086817710856, -1.2313760891619)); #121884=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337308796,1.79087013940396, -1.2314242340568)); #121885=CARTESIAN_POINT('Ctrl Pts',(-0.0277670128327845,1.7799339697927, -1.17353230323997)); #121886=CARTESIAN_POINT('Ctrl Pts',(-0.0276371412559763,1.78141909639975, -1.18097264089221)); #121887=CARTESIAN_POINT('Ctrl Pts',(-0.0278339200749149,1.78390623384226, -1.19342501254469)); #121888=CARTESIAN_POINT('Ctrl Pts',(-0.0294915740015511,1.78730055108247, -1.21039092508572)); #121889=CARTESIAN_POINT('Ctrl Pts',(-0.0313579116432734,1.78917566699161, -1.21974313721792)); #121890=CARTESIAN_POINT('Ctrl Pts',(-0.0329648996392112,1.78995429813311, -1.22360763137966)); #121891=CARTESIAN_POINT('Ctrl Pts',(-0.0277507134436066,1.77750054226529, -1.17446609462062)); #121892=CARTESIAN_POINT('Ctrl Pts',(-0.0272812312507191,1.77918404722146, -1.18182423161913)); #121893=CARTESIAN_POINT('Ctrl Pts',(-0.0270600017085043,1.78212994938015, -1.1941753249366)); #121894=CARTESIAN_POINT('Ctrl Pts',(-0.0285250057463855,1.78633205270439, -1.21103876422853)); #121895=CARTESIAN_POINT('Ctrl Pts',(-0.0305441343393231,1.78871725478362, -1.22034898446794)); #121896=CARTESIAN_POINT('Ctrl Pts',(-0.0323730554654295,1.78971577605853, -1.22419966709413)); #121897=CARTESIAN_POINT('Ctrl Pts',(-0.0255652690393109,1.77265869052039, -1.17628650175261)); #121898=CARTESIAN_POINT('Ctrl Pts',(-0.0248148236356637,1.77502409587442, -1.18348875466684)); #121899=CARTESIAN_POINT('Ctrl Pts',(-0.0243565146808029,1.77912158760942, -1.19564481595727)); #121900=CARTESIAN_POINT('Ctrl Pts',(-0.0261571071079388,1.78487070905872, -1.21232000179597)); #121901=CARTESIAN_POINT('Ctrl Pts',(-0.0287424832689798,1.78810241037844, -1.22155247673494)); #121902=CARTESIAN_POINT('Ctrl Pts',(-0.0310996454975834,1.78944906149982, -1.2253786351613)); #121903=CARTESIAN_POINT('Ctrl Pts',(-0.0203811482552878,1.77061496045895, -1.1769805023453)); #121904=CARTESIAN_POINT('Ctrl Pts',(-0.0200384750544899,1.77342365600324, -1.18427888644025)); #121905=CARTESIAN_POINT('Ctrl Pts',(-0.0202921236214141,1.77812672286609, -1.19655165624075)); #121906=CARTESIAN_POINT('Ctrl Pts',(-0.0232981460180796,1.78457752770404, -1.21333454944696)); #121907=CARTESIAN_POINT('Ctrl Pts',(-0.0267593095937416,1.78813921682673, -1.22261832438228)); #121908=CARTESIAN_POINT('Ctrl Pts',(-0.0297331502054878,1.78962055239129, -1.22646765159657)); #121909=CARTESIAN_POINT('Ctrl Pts',(-0.0177750026199391,1.77059816747978, -1.17694145792172)); #121910=CARTESIAN_POINT('Ctrl Pts',(-0.0176454753015027,1.77344554869769, -1.18435937332723)); #121911=CARTESIAN_POINT('Ctrl Pts',(-0.0182571421726983,1.77821423901332, -1.19678139577884)); #121912=CARTESIAN_POINT('Ctrl Pts',(-0.0218702066649177,1.7847230408889, -1.21373006048976)); #121913=CARTESIAN_POINT('Ctrl Pts',(-0.0257674206865709,1.78831923349903, -1.22308929533078)); #121914=CARTESIAN_POINT('Ctrl Pts',(-0.0290497418251402,1.78981307500267, -1.22697120558879)); #121915=CARTESIAN_POINT('',(-0.0177750026199391,1.77059816747978,-1.17694145792172)); #121916=CARTESIAN_POINT('Ctrl Pts',(-0.0290497418251402,1.78981307500267, -1.22697120558879)); #121917=CARTESIAN_POINT('Ctrl Pts',(-0.0257674206865709,1.78831923349903, -1.22308929533078)); #121918=CARTESIAN_POINT('Ctrl Pts',(-0.0218702066649177,1.7847230408889, -1.21373006048976)); #121919=CARTESIAN_POINT('Ctrl Pts',(-0.0182571421726983,1.77821423901332, -1.19678139577884)); #121920=CARTESIAN_POINT('Ctrl Pts',(-0.0176454753015027,1.77344554869769, -1.18435937332723)); #121921=CARTESIAN_POINT('Ctrl Pts',(-0.0177750026199391,1.77059816747978, -1.17694145792172)); #121922=CARTESIAN_POINT('',(-0.0277670128327844,1.7799339697927,-1.17353230323997)); #121923=CARTESIAN_POINT('Origin',(-0.0177685358812206,1.77993396979269, -1.17335777917559)); #121924=CARTESIAN_POINT('Ctrl Pts',(-0.0277670128327845,1.7799339697927, -1.17353230323997)); #121925=CARTESIAN_POINT('Ctrl Pts',(-0.0276371412559763,1.78141909639975, -1.18097264089221)); #121926=CARTESIAN_POINT('Ctrl Pts',(-0.0278339200749149,1.78390623384226, -1.19342501254469)); #121927=CARTESIAN_POINT('Ctrl Pts',(-0.0294915740015511,1.78730055108247, -1.21039092508572)); #121928=CARTESIAN_POINT('Ctrl Pts',(-0.0313579116432734,1.78917566699161, -1.21974313721792)); #121929=CARTESIAN_POINT('Ctrl Pts',(-0.0329648996392112,1.78995429813311, -1.22360763137966)); #121930=CARTESIAN_POINT('Ctrl Pts',(-0.0177750026199387,1.77059816747978, -1.17694145792172)); #121931=CARTESIAN_POINT('Ctrl Pts',(-0.0201179921519936,1.71909255099731, -1.04276051173459)); #121932=CARTESIAN_POINT('Ctrl Pts',(-0.0224609835590527,1.66758721420772, -0.90857945821949)); #121933=CARTESIAN_POINT('Ctrl Pts',(-0.0248039768417423,1.61608215711421, -0.774398297376529)); #121934=CARTESIAN_POINT('Ctrl Pts',(-0.020381148255287,1.77061496045895, -1.1769805023453)); #121935=CARTESIAN_POINT('Ctrl Pts',(-0.0227239961210352,1.7191095550616, -1.04279947265622)); #121936=CARTESIAN_POINT('Ctrl Pts',(-0.0250668443105501,1.66760441553658, -0.908618341009526)); #121937=CARTESIAN_POINT('Ctrl Pts',(-0.0274096958677729,1.61609956945965, -0.774437096691918)); #121938=CARTESIAN_POINT('Ctrl Pts',(-0.0255653212063994,1.77265864184229, -1.17628652134837)); #121939=CARTESIAN_POINT('Ctrl Pts',(-0.0279077089782691,1.72115341091577, -1.04210541995044)); #121940=CARTESIAN_POINT('Ctrl Pts',(-0.030250096787197,1.66964846215899, -0.907924210227055)); #121941=CARTESIAN_POINT('Ctrl Pts',(-0.0325924882573547,1.61814379042696, -0.773742894250743)); #121942=CARTESIAN_POINT('Ctrl Pts',(-0.0277507134436062,1.77750054226528, -1.17446609462062)); #121943=CARTESIAN_POINT('Ctrl Pts',(-0.0300928469485292,1.72599505774187, -1.04028509383311)); #121944=CARTESIAN_POINT('Ctrl Pts',(-0.032434996024077,1.67448984935075, -0.906103987342714)); #121945=CARTESIAN_POINT('Ctrl Pts',(-0.0347771332767425,1.6229849240132, -0.771922771978625)); #121946=CARTESIAN_POINT('Ctrl Pts',(-0.0277670128327849,1.77993396979269, -1.17353230323997)); #121947=CARTESIAN_POINT('Ctrl Pts',(-0.0301091499571628,1.72842835279561, -1.03935135725038)); #121948=CARTESIAN_POINT('Ctrl Pts',(-0.0324512889542756,1.67692301538965, -0.905170303971879)); #121949=CARTESIAN_POINT('Ctrl Pts',(-0.0347934298241214,1.62541795757802, -0.770989143404581)); #121950=CARTESIAN_POINT('',(-0.0248039768417423,1.61608215711421,-0.774398297376529)); #121951=CARTESIAN_POINT('',(-0.0347934298241105,1.62541795757807,-0.770989143405175)); #121952=CARTESIAN_POINT('Origin',(-0.0247949528725572,1.62541795757802, -0.770814619340208)); #121953=CARTESIAN_POINT('Ctrl Pts',(-0.0347934298241214,1.62541795757802, -0.770989143404581)); #121954=CARTESIAN_POINT('Ctrl Pts',(-0.0324512889542756,1.67692301538965, -0.905170303971879)); #121955=CARTESIAN_POINT('Ctrl Pts',(-0.0301091499571628,1.72842835279561, -1.03935135725038)); #121956=CARTESIAN_POINT('Ctrl Pts',(-0.0277670128327849,1.77993396979269, -1.17353230323997)); #121957=CARTESIAN_POINT('Ctrl Pts',(-0.0177750026199387,1.77059816747978, -1.17694145792172)); #121958=CARTESIAN_POINT('Ctrl Pts',(-0.0201179921519936,1.71909255099731, -1.04276051173459)); #121959=CARTESIAN_POINT('Ctrl Pts',(-0.0224609835590527,1.66758721420772, -0.90857945821949)); #121960=CARTESIAN_POINT('Ctrl Pts',(-0.0248039768417423,1.61608215711421, -0.774398297376529)); #121961=CARTESIAN_POINT('Ctrl Pts',(-0.0248039768417403,1.61608215711421, -0.774398297376529)); #121962=CARTESIAN_POINT('Ctrl Pts',(-0.0248331250878785,1.61544140321734, -0.772729003009158)); #121963=CARTESIAN_POINT('Ctrl Pts',(-0.0250848479795945,1.61480211526721, -0.771062974241601)); #121964=CARTESIAN_POINT('Ctrl Pts',(-0.0255533593389043,1.61418402668971, -0.769451583057726)); #121965=CARTESIAN_POINT('Ctrl Pts',(-0.0274096958677412,1.6160995694597, -0.774437096692056)); #121966=CARTESIAN_POINT('Ctrl Pts',(-0.02739551430899,1.61553701670001, -0.772968078444803)); #121967=CARTESIAN_POINT('Ctrl Pts',(-0.0275785240586017,1.61496860107992, -0.771493301947545)); #121968=CARTESIAN_POINT('Ctrl Pts',(-0.0279555340273411,1.614419720285, -0.770059354259492)); #121969=CARTESIAN_POINT('Ctrl Pts',(-0.0325930125682976,1.61814330130764, -0.773743091150165)); #121970=CARTESIAN_POINT('Ctrl Pts',(-0.0324956746595451,1.61766804017781, -0.77269741549741)); #121971=CARTESIAN_POINT('Ctrl Pts',(-0.0325407863538943,1.61718626195637, -0.771626863467339)); #121972=CARTESIAN_POINT('Ctrl Pts',(-0.0327381545120675,1.61671325954825, -0.770569650021078)); #121973=CARTESIAN_POINT('Ctrl Pts',(-0.0347771332766879,1.62298492401327, -0.771922771979207)); #121974=CARTESIAN_POINT('Ctrl Pts',(-0.0347284870868612,1.62245587669874, -0.771094548015378)); #121975=CARTESIAN_POINT('Ctrl Pts',(-0.0347989653357985,1.6219234771723, -0.770248551350147)); #121976=CARTESIAN_POINT('Ctrl Pts',(-0.0349835232120601,1.62140737636121, -0.769421708574567)); #121977=CARTESIAN_POINT('Ctrl Pts',(-0.0347934298241092,1.62541795757807, -0.770989143405175)); #121978=CARTESIAN_POINT('Ctrl Pts',(-0.0348076237868456,1.62485213376502, -0.770175971827561)); #121979=CARTESIAN_POINT('Ctrl Pts',(-0.0349330657363901,1.62428779709242, -0.769364367073231)); #121980=CARTESIAN_POINT('Ctrl Pts',(-0.0351668751776411,1.6237423712743, -0.768579353927828)); #121981=CARTESIAN_POINT('',(-0.0255533593388772,1.61418402668971,-0.769451583057719)); #121982=CARTESIAN_POINT('',(-0.0351668751776413,1.6237423712743,-0.768579353927828)); #121983=CARTESIAN_POINT('Origin',(-0.0255440627340914,1.62351982692036, -0.765867905110904)); #121984=CARTESIAN_POINT('Ctrl Pts',(-0.0351668751776411,1.6237423712743, -0.768579353927828)); #121985=CARTESIAN_POINT('Ctrl Pts',(-0.0349330657363901,1.62428779709242, -0.769364367073231)); #121986=CARTESIAN_POINT('Ctrl Pts',(-0.0348076237868456,1.62485213376502, -0.770175971827561)); #121987=CARTESIAN_POINT('Ctrl Pts',(-0.0347934298241092,1.62541795757807, -0.770989143405175)); #121988=CARTESIAN_POINT('Ctrl Pts',(-0.0248039768417403,1.61608215711421, -0.774398297376529)); #121989=CARTESIAN_POINT('Ctrl Pts',(-0.0248331250878785,1.61544140321734, -0.772729003009158)); #121990=CARTESIAN_POINT('Ctrl Pts',(-0.0250848479795945,1.61480211526721, -0.771062974241601)); #121991=CARTESIAN_POINT('Ctrl Pts',(-0.0255533593389043,1.61418402668971, -0.769451583057726)); #121992=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443981819,1.60397544498603, -0.763001708530667)); #121993=CARTESIAN_POINT('Ctrl Pts',(-0.0446925562379266,1.6040163974029, -0.76299822323781)); #121994=CARTESIAN_POINT('Ctrl Pts',(-0.0446717658416956,1.60405796482714, -0.76299481263474)); #121995=CARTESIAN_POINT('Ctrl Pts',(-0.0409442011437104,1.611509817386, -0.762367973974024)); #121996=CARTESIAN_POINT('Ctrl Pts',(-0.0365709683426973,1.62046693184309, -0.763865123458593)); #121997=CARTESIAN_POINT('Ctrl Pts',(-0.0351668751776414,1.6237423712743, -0.768579353927828)); #121998=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443981818,1.60397544498603, -0.763001708530667)); #121999=CARTESIAN_POINT('Ctrl Pts',(-0.0446738796669838,1.60401697309493, -0.762981437669985)); #122000=CARTESIAN_POINT('Ctrl Pts',(-0.0446692635508832,1.60403733000055, -0.763012843253464)); #122001=CARTESIAN_POINT('Ctrl Pts',(-0.0394328494824513,1.61035667662953, -0.762060000828047)); #122002=CARTESIAN_POINT('Ctrl Pts',(-0.0344755018504556,1.61780975972886, -0.766817181854355)); #122003=CARTESIAN_POINT('Ctrl Pts',(-0.0348001712464803,1.61907238144812, -0.770264063221304)); #122004=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443981817,1.60397544498603, -0.763001708530667)); #122005=CARTESIAN_POINT('Ctrl Pts',(-0.0446699394387673,1.60401304798939, -0.762981791915795)); #122006=CARTESIAN_POINT('Ctrl Pts',(-0.0446524550969357,1.60402063900692, -0.763014390016495)); #122007=CARTESIAN_POINT('Ctrl Pts',(-0.0382811582651249,1.6092123449582, -0.762165642059257)); #122008=CARTESIAN_POINT('Ctrl Pts',(-0.0312991348598159,1.61465353386209, -0.767105671405196)); #122009=CARTESIAN_POINT('Ctrl Pts',(-0.0303577087157789,1.61465541388028, -0.770667125461251)); #122010=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443981816,1.60397544498603, -0.763001708530667)); #122011=CARTESIAN_POINT('Ctrl Pts',(-0.044671968865385,1.6039959426993, -0.763000110844375)); #122012=CARTESIAN_POINT('Ctrl Pts',(-0.0446302881426362,1.60401675372616, -0.762998614308321)); #122013=CARTESIAN_POINT('Ctrl Pts',(-0.0371567079934921,1.6077469169141, -0.762715499618673)); #122014=CARTESIAN_POINT('Ctrl Pts',(-0.0283801316865717,1.61232805973752, -0.764609290769385)); #122015=CARTESIAN_POINT('Ctrl Pts',(-0.0255533593389049,1.61418402668971, -0.769451583057719)); #122016=CARTESIAN_POINT('',(-0.0447130443982311,1.60397544498603,-0.763001708530666)); #122017=CARTESIAN_POINT('Ctrl Pts',(-0.0255533593389049,1.61418402668971, -0.769451583057719)); #122018=CARTESIAN_POINT('Ctrl Pts',(-0.0283801316865717,1.61232805973752, -0.764609290769385)); #122019=CARTESIAN_POINT('Ctrl Pts',(-0.0371567079934921,1.6077469169141, -0.762715499618673)); #122020=CARTESIAN_POINT('Ctrl Pts',(-0.0446302881426362,1.60401675372616, -0.762998614308321)); #122021=CARTESIAN_POINT('Ctrl Pts',(-0.044671968865385,1.6039959426993, -0.763000110844375)); #122022=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443981816,1.60397544498603, -0.763001708530667)); #122023=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443981819,1.60397544498603, -0.763001708530667)); #122024=CARTESIAN_POINT('Ctrl Pts',(-0.0446925562379266,1.6040163974029, -0.76299822323781)); #122025=CARTESIAN_POINT('Ctrl Pts',(-0.0446717658416956,1.60405796482714, -0.76299481263474)); #122026=CARTESIAN_POINT('Ctrl Pts',(-0.0409442011437104,1.611509817386, -0.762367973974024)); #122027=CARTESIAN_POINT('Ctrl Pts',(-0.0365709683426973,1.62046693184309, -0.763865123458593)); #122028=CARTESIAN_POINT('Ctrl Pts',(-0.0351668751776414,1.6237423712743, -0.768579353927828)); #122029=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443982309,1.60397544498603, -0.763001708530666)); #122030=CARTESIAN_POINT('Ctrl Pts',(-0.0149045729077417,1.60397787331482, -0.76314346547202)); #122031=CARTESIAN_POINT('Ctrl Pts',(0.0149045729077421,1.60397787331482, -0.76314346547202)); #122032=CARTESIAN_POINT('Ctrl Pts',(0.0447130443982315,1.60397544498603, -0.763001708530667)); #122033=CARTESIAN_POINT('Ctrl Pts',(-0.0447122252869081,1.60642615856507, -0.762787302776149)); #122034=CARTESIAN_POINT('Ctrl Pts',(-0.0149043481737029,1.60642859789227, -0.762929053050517)); #122035=CARTESIAN_POINT('Ctrl Pts',(0.0149043481737035,1.60642859789227, -0.762929053050518)); #122036=CARTESIAN_POINT('Ctrl Pts',(0.0447122252869087,1.60642615856507, -0.762787302776149)); #122037=CARTESIAN_POINT('Ctrl Pts',(-0.0447186950355013,1.6108385869842, -0.764071849216867)); #122038=CARTESIAN_POINT('Ctrl Pts',(-0.0149064431814489,1.61084104842155, -0.764213630146787)); #122039=CARTESIAN_POINT('Ctrl Pts',(0.0149064431814494,1.61084104842155, -0.764213630146787)); #122040=CARTESIAN_POINT('Ctrl Pts',(0.044718695035502,1.6108385869842,-0.764071849216868)); #122041=CARTESIAN_POINT('Ctrl Pts',(-0.044735965771687,1.61352156962566, -0.76765738245665)); #122042=CARTESIAN_POINT('Ctrl Pts',(-0.0149122112180075,1.61352403248468, -0.767799245388669)); #122043=CARTESIAN_POINT('Ctrl Pts',(0.0149122112180085,1.61352403248468, -0.767799245388669)); #122044=CARTESIAN_POINT('Ctrl Pts',(0.0447359657716879,1.61352156962566, -0.767657382456651)); #122045=CARTESIAN_POINT('Ctrl Pts',(-0.0447442112590845,1.61418278449919, -0.769379868638605)); #122046=CARTESIAN_POINT('Ctrl Pts',(-0.0149149622338455,1.61418524240056, -0.769521768749625)); #122047=CARTESIAN_POINT('Ctrl Pts',(0.0149149622338465,1.61418524240056, -0.769521768749625)); #122048=CARTESIAN_POINT('Ctrl Pts',(0.0447442112590856,1.61418278449919, -0.769379868638605)); #122049=CARTESIAN_POINT('Ctrl Pts',(0.0447130443982315,1.60397544498603, -0.763001708530667)); #122050=CARTESIAN_POINT('Ctrl Pts',(0.0149045729077421,1.60397787331482, -0.76314346547202)); #122051=CARTESIAN_POINT('Ctrl Pts',(-0.0149045729077417,1.60397787331482, -0.76314346547202)); #122052=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443982309,1.60397544498603, -0.763001708530666)); #122053=CARTESIAN_POINT('Ctrl Pts',(-0.0255533593388398,1.61418402668808, -0.76945158296525)); #122054=CARTESIAN_POINT('Ctrl Pts',(-0.00851782838327457,1.6141848283376, -0.769497863974076)); #122055=CARTESIAN_POINT('Ctrl Pts',(0.00851782838325066,1.6141848283376, -0.769497863974077)); #122056=CARTESIAN_POINT('Ctrl Pts',(0.0255533593388159,1.61418402668808, -0.769451582965251)); #122057=CARTESIAN_POINT('Ctrl Pts',(0.0290497418251448,1.6141838444804, -0.769441417714632)); #122058=CARTESIAN_POINT('Ctrl Pts',(0.0290497418251448,1.67272692132116, -0.92195134700602)); #122059=CARTESIAN_POINT('Ctrl Pts',(0.0290497418251448,1.73126999816191, -1.07446127629741)); #122060=CARTESIAN_POINT('Ctrl Pts',(0.0290497418251448,1.78981307500267, -1.22697120558879)); #122061=CARTESIAN_POINT('Ctrl Pts',(0.00968330861657134,1.61418488907743, -0.76950125261507)); #122062=CARTESIAN_POINT('Ctrl Pts',(0.00968330861657134,1.67272796591819, -0.922011181906458)); #122063=CARTESIAN_POINT('Ctrl Pts',(0.00968330861657134,1.73127104275895, -1.07452111119784)); #122064=CARTESIAN_POINT('Ctrl Pts',(0.00968330861657134,1.7898141195997, -1.22703104048923)); #122065=CARTESIAN_POINT('Ctrl Pts',(-0.00968330861657063,1.61418488907743, -0.76950125261507)); #122066=CARTESIAN_POINT('Ctrl Pts',(-0.00968330861657063,1.67272796591819, -0.922011181906457)); #122067=CARTESIAN_POINT('Ctrl Pts',(-0.00968330861657063,1.73127104275895, -1.07452111119784)); #122068=CARTESIAN_POINT('Ctrl Pts',(-0.00968330861657063,1.7898141195997, -1.22703104048923)); #122069=CARTESIAN_POINT('Ctrl Pts',(-0.0290497418251441,1.6141838444804, -0.769441417714632)); #122070=CARTESIAN_POINT('Ctrl Pts',(-0.0290497418251441,1.67272692132116, -0.92195134700602)); #122071=CARTESIAN_POINT('Ctrl Pts',(-0.0290497418251441,1.73126999816191, -1.07446127629741)); #122072=CARTESIAN_POINT('Ctrl Pts',(-0.0290497418251441,1.78981307500267, -1.22697120558879)); #122073=CARTESIAN_POINT('Ctrl Pts',(0.568217914905871,2.13982322381992, -1.23144382453034)); #122074=CARTESIAN_POINT('Ctrl Pts',(0.56820978954709,2.13980520367088,-1.23139504204776)); #122075=CARTESIAN_POINT('Ctrl Pts',(0.56820171778706,2.13978715149124,-1.23134626734444)); #122076=CARTESIAN_POINT('Ctrl Pts',(0.567782585118832,2.13884140257524, -1.22879588898866)); #122077=CARTESIAN_POINT('Ctrl Pts',(0.567511931689591,2.1378234996643,-1.22629894054918)); #122078=CARTESIAN_POINT('Ctrl Pts',(0.567415622879458,2.13674068131337, -1.22390135614058)); #122079=CARTESIAN_POINT('Ctrl Pts',(0.568217914905871,2.13982322381992, -1.23144382453034)); #122080=CARTESIAN_POINT('Ctrl Pts',(0.568205946643588,2.13979793459594, -1.23140179126082)); #122081=CARTESIAN_POINT('Ctrl Pts',(0.568194191535715,2.13977251939489, -1.23135975845515)); #122082=CARTESIAN_POINT('Ctrl Pts',(0.567579722076672,2.13844404901437, -1.22916258283765)); #122083=CARTESIAN_POINT('Ctrl Pts',(0.567243627098171,2.1369935922512,-1.22702225016685)); #122084=CARTESIAN_POINT('Ctrl Pts',(0.567223177144871,2.1355236265941,-1.22502370395037)); #122085=CARTESIAN_POINT('Ctrl Pts',(0.568217914905871,2.13982322381992, -1.23144382453034)); #122086=CARTESIAN_POINT('Ctrl Pts',(0.56820214312673,2.13979065011273,-1.23140853777777)); #122087=CARTESIAN_POINT('Ctrl Pts',(0.568186576901053,2.13975792786961, -1.23137325205235)); #122088=CARTESIAN_POINT('Ctrl Pts',(0.567373165142128,2.13804855915514, -1.22952908031932)); #122089=CARTESIAN_POINT('Ctrl Pts',(0.566841263617265,2.13622871842386, -1.2277435438892)); #122090=CARTESIAN_POINT('Ctrl Pts',(0.566609854722348,2.13436584167884, -1.22613560845246)); #122091=CARTESIAN_POINT('Ctrl Pts',(0.568217914905871,2.13982322381992, -1.23144382453034)); #122092=CARTESIAN_POINT('Ctrl Pts',(0.568198371027748,2.1397833246745,-1.23141527973366)); #122093=CARTESIAN_POINT('Ctrl Pts',(0.568178882250752,2.13974340328026, -1.23138674935326)); #122094=CARTESIAN_POINT('Ctrl Pts',(0.567162741950314,2.13765436300548, -1.22989532019017)); #122095=CARTESIAN_POINT('Ctrl Pts',(0.566306714362554,2.13553277697772, -1.22845996034249)); #122096=CARTESIAN_POINT('Ctrl Pts',(0.565649554113089,2.1334068267176,-1.22710309757414)); #122097=CARTESIAN_POINT('',(0.567415622879458,2.13674068131337,-1.22390135614058)); #122098=CARTESIAN_POINT('',(0.565649554113088,2.1334068267176,-1.22710309757414)); #122099=CARTESIAN_POINT('Origin',(0.557511577299596,2.13810528982153,-1.22368289614088)); #122100=CARTESIAN_POINT('',(0.568217914905894,2.13982322381996,-1.23144382453035)); #122101=CARTESIAN_POINT('Ctrl Pts',(0.565649554113089,2.1334068267176,-1.22710309757414)); #122102=CARTESIAN_POINT('Ctrl Pts',(0.566306714362554,2.13553277697772, -1.22845996034249)); #122103=CARTESIAN_POINT('Ctrl Pts',(0.567162741950314,2.13765436300548, -1.22989532019017)); #122104=CARTESIAN_POINT('Ctrl Pts',(0.568178882250752,2.13974340328026, -1.23138674935326)); #122105=CARTESIAN_POINT('Ctrl Pts',(0.568198371027748,2.1397833246745,-1.23141527973366)); #122106=CARTESIAN_POINT('Ctrl Pts',(0.568217914905871,2.13982322381992, -1.23144382453034)); #122107=CARTESIAN_POINT('Ctrl Pts',(0.568217914905871,2.13982322381992, -1.23144382453034)); #122108=CARTESIAN_POINT('Ctrl Pts',(0.56820978954709,2.13980520367088,-1.23139504204776)); #122109=CARTESIAN_POINT('Ctrl Pts',(0.56820171778706,2.13978715149124,-1.23134626734444)); #122110=CARTESIAN_POINT('Ctrl Pts',(0.567782585118832,2.13884140257524, -1.22879588898866)); #122111=CARTESIAN_POINT('Ctrl Pts',(0.567511931689591,2.1378234996643,-1.22629894054918)); #122112=CARTESIAN_POINT('Ctrl Pts',(0.567415622879458,2.13674068131337, -1.22390135614058)); #122113=CARTESIAN_POINT('Ctrl Pts',(0.576686746146382,2.11372698308254, -1.17380640273886)); #122114=CARTESIAN_POINT('Ctrl Pts',(0.574133887196865,2.11504203692016, -1.18168717800928)); #122115=CARTESIAN_POINT('Ctrl Pts',(0.570269132567774,2.1179775979525,-1.19491561196091)); #122116=CARTESIAN_POINT('Ctrl Pts',(0.566392792357462,2.12440752596033, -1.21297195965376)); #122117=CARTESIAN_POINT('Ctrl Pts',(0.56522491815315,2.12966339589033,-1.22297097427565)); #122118=CARTESIAN_POINT('Ctrl Pts',(0.565649554113089,2.1334068267176,-1.22710309757414)); #122119=CARTESIAN_POINT('Ctrl Pts',(0.577977924329747,2.11599262736899, -1.17384659568389)); #122120=CARTESIAN_POINT('Ctrl Pts',(0.575347225049053,2.11710363935229, -1.18161193359003)); #122121=CARTESIAN_POINT('Ctrl Pts',(0.571351194167926,2.11969621378449, -1.19469523799729)); #122122=CARTESIAN_POINT('Ctrl Pts',(0.567216262446716,2.12557074967176, -1.21259235409532)); #122123=CARTESIAN_POINT('Ctrl Pts',(0.565856256649414,2.13042733573188, -1.22251889429335)); #122124=CARTESIAN_POINT('Ctrl Pts',(0.566129704417719,2.13388633419822, -1.2266193530133)); #122125=CARTESIAN_POINT('Ctrl Pts',(0.578791656157131,2.12151336587952, -1.17318895406756)); #122126=CARTESIAN_POINT('Ctrl Pts',(0.576337397218984,2.12204591490772, -1.18086148349521)); #122127=CARTESIAN_POINT('Ctrl Pts',(0.572508803831446,2.12371179772549, -1.19383363468752)); #122128=CARTESIAN_POINT('Ctrl Pts',(0.568371968925328,2.1281862060775,-1.21162641205675)); #122129=CARTESIAN_POINT('Ctrl Pts',(0.566852005871121,2.13210906069709, -1.22150274411027)); #122130=CARTESIAN_POINT('Ctrl Pts',(0.566919428812492,2.13494375525545, -1.22558024366216)); #122131=CARTESIAN_POINT('Ctrl Pts',(0.575662684126625,2.12584549876592, -1.17145247361244)); #122132=CARTESIAN_POINT('Ctrl Pts',(0.573948499922908,2.12627904968815, -1.17927606746043)); #122133=CARTESIAN_POINT('Ctrl Pts',(0.57124618574542,2.12756581688123,-1.19243650762496)); #122134=CARTESIAN_POINT('Ctrl Pts',(0.568294569622674,2.13096392180701, -1.21041110466174)); #122135=CARTESIAN_POINT('Ctrl Pts',(0.5672276359565,2.13395660829005,-1.22036225088432)); #122136=CARTESIAN_POINT('Ctrl Pts',(0.567319400012164,2.13613215395373, -1.22446253004547)); #122137=CARTESIAN_POINT('Ctrl Pts',(0.573548007808671,2.12708438122567, -1.17056072536997)); #122138=CARTESIAN_POINT('Ctrl Pts',(0.572180489526059,2.12771462419754, -1.17846403124023)); #122139=CARTESIAN_POINT('Ctrl Pts',(0.570095351687857,2.12913667412598, -1.19172297357251)); #122140=CARTESIAN_POINT('Ctrl Pts',(0.567949165239454,2.13228942175752, -1.20979660965484)); #122141=CARTESIAN_POINT('Ctrl Pts',(0.567250398954518,2.13488303716129, -1.21978814670713)); #122142=CARTESIAN_POINT('Ctrl Pts',(0.567415622879458,2.13674068131337, -1.22390135614058)); #122143=CARTESIAN_POINT('',(0.57354800780867,2.12708438122567,-1.17056072536997)); #122144=CARTESIAN_POINT('Ctrl Pts',(0.567415622879458,2.13674068131337, -1.22390135614058)); #122145=CARTESIAN_POINT('Ctrl Pts',(0.567250398954518,2.13488303716129, -1.21978814670713)); #122146=CARTESIAN_POINT('Ctrl Pts',(0.567949165239454,2.13228942175752, -1.20979660965484)); #122147=CARTESIAN_POINT('Ctrl Pts',(0.570095351687857,2.12913667412598, -1.19172297357251)); #122148=CARTESIAN_POINT('Ctrl Pts',(0.572180489526059,2.12771462419754, -1.17846403124023)); #122149=CARTESIAN_POINT('Ctrl Pts',(0.573548007808671,2.12708438122567, -1.17056072536997)); #122150=CARTESIAN_POINT('',(0.576686746146382,2.11372698308254,-1.17380640273886)); #122151=CARTESIAN_POINT('Origin',(0.568548769332889,2.11842544618647,-1.1703862013056)); #122152=CARTESIAN_POINT('Ctrl Pts',(0.576686746146382,2.11372698308254, -1.17380640273886)); #122153=CARTESIAN_POINT('Ctrl Pts',(0.574133887196865,2.11504203692016, -1.18168717800928)); #122154=CARTESIAN_POINT('Ctrl Pts',(0.570269132567774,2.1179775979525,-1.19491561196091)); #122155=CARTESIAN_POINT('Ctrl Pts',(0.566392792357462,2.12440752596033, -1.21297195965376)); #122156=CARTESIAN_POINT('Ctrl Pts',(0.56522491815315,2.12966339589033,-1.22297097427565)); #122157=CARTESIAN_POINT('Ctrl Pts',(0.565649554113089,2.1334068267176,-1.22710309757414)); #122158=CARTESIAN_POINT('Origin',(0.557634610030324,2.12404765538765,-1.20407863728814)); #122159=CARTESIAN_POINT('',(0.724391777765905,2.04938025323152,-0.704900116819504)); #122160=CARTESIAN_POINT('',(0.727530516103616,2.03602285508838,-0.708145794188388)); #122161=CARTESIAN_POINT('Origin',(0.719392539290122,2.04072131819231,-0.704725592755131)); #122162=CARTESIAN_POINT('',(0.565772586843817,2.11934919228372,-1.2074988387214)); #122163=CARTESIAN_POINT('',(0.562633848506106,2.13270659042686,-1.20425316135251)); #122164=CARTESIAN_POINT('Ctrl Pts',(0.729356798015667,2.03571966591835, -0.703383863260663)); #122165=CARTESIAN_POINT('Ctrl Pts',(0.728663379827108,2.03565269188207, -0.704941767438574)); #122166=CARTESIAN_POINT('Ctrl Pts',(0.728049924875727,2.03575529212757, -0.70654235902513)); #122167=CARTESIAN_POINT('Ctrl Pts',(0.727530516103615,2.03602285508838, -0.708145794188387)); #122168=CARTESIAN_POINT('Ctrl Pts',(0.730380790264085,2.03789306450398, -0.703933072986042)); #122169=CARTESIAN_POINT('Ctrl Pts',(0.729783258801736,2.03787457290447, -0.705333155818484)); #122170=CARTESIAN_POINT('Ctrl Pts',(0.729256903685914,2.03800971395268, -0.706762927345987)); #122171=CARTESIAN_POINT('Ctrl Pts',(0.72882169428698,2.03828849937483,-0.708185987133417)); #122172=CARTESIAN_POINT('Ctrl Pts',(0.730862365587346,2.04312147726151, -0.704372189206224)); #122173=CARTESIAN_POINT('Ctrl Pts',(0.7303886178529,2.04323497405832,-0.705430508948926)); #122174=CARTESIAN_POINT('Ctrl Pts',(0.729977946524678,2.04346944038521, -0.706493603114188)); #122175=CARTESIAN_POINT('Ctrl Pts',(0.729635763215439,2.04380933951111, -0.70752843775778)); #122176=CARTESIAN_POINT('Ctrl Pts',(0.728007443724885,2.04741457895165, -0.703286032289777)); #122177=CARTESIAN_POINT('Ctrl Pts',(0.727463562995193,2.04756494588486, -0.704119633618488)); #122178=CARTESIAN_POINT('Ctrl Pts',(0.726957599834539,2.047808457347,-0.704965346650687)); #122179=CARTESIAN_POINT('Ctrl Pts',(0.726506454083858,2.04814137077177, -0.705791865061973)); #122180=CARTESIAN_POINT('Ctrl Pts',(0.726104482699083,2.04876747278673, -0.702497191073454)); #122181=CARTESIAN_POINT('Ctrl Pts',(0.725496055595404,2.04888494339789, -0.703283353839265)); #122182=CARTESIAN_POINT('Ctrl Pts',(0.7249205742673,2.04909125984181,-0.704091031677529)); #122183=CARTESIAN_POINT('Ctrl Pts',(0.724391777765903,2.04938025323152, -0.704900116819504)); #122184=CARTESIAN_POINT('',(0.726104482699083,2.04876747278674,-0.702497191073454)); #122185=CARTESIAN_POINT('Ctrl Pts',(0.724391777765903,2.04938025323152, -0.704900116819504)); #122186=CARTESIAN_POINT('Ctrl Pts',(0.7249205742673,2.04909125984181,-0.704091031677529)); #122187=CARTESIAN_POINT('Ctrl Pts',(0.725496055595404,2.04888494339789, -0.703283353839265)); #122188=CARTESIAN_POINT('Ctrl Pts',(0.726104482699083,2.04876747278673, -0.702497191073454)); #122189=CARTESIAN_POINT('',(0.729356798015667,2.03571966591835,-0.703383863260664)); #122190=CARTESIAN_POINT('Origin',(0.721218821202173,2.04041812902228,-0.699963661827406)); #122191=CARTESIAN_POINT('Ctrl Pts',(0.729356798015667,2.03571966591835, -0.703383863260663)); #122192=CARTESIAN_POINT('Ctrl Pts',(0.728663379827108,2.03565269188207, -0.704941767438574)); #122193=CARTESIAN_POINT('Ctrl Pts',(0.728049924875727,2.03575529212757, -0.70654235902513)); #122194=CARTESIAN_POINT('Ctrl Pts',(0.727530516103615,2.03602285508838, -0.708145794188387)); #122195=CARTESIAN_POINT('Ctrl Pts',(0.726104482699083,2.04876747278674, -0.702497191073454)); #122196=CARTESIAN_POINT('Ctrl Pts',(0.729766097226115,2.04806051529961, -0.697765934055978)); #122197=CARTESIAN_POINT('Ctrl Pts',(0.73884394513943,2.04716395332985,-0.695245286332707)); #122198=CARTESIAN_POINT('Ctrl Pts',(0.746994325134415,2.04651221585089, -0.694626400273413)); #122199=CARTESIAN_POINT('Ctrl Pts',(0.747042485470512,2.04650837384218, -0.694622838749133)); #122200=CARTESIAN_POINT('Ctrl Pts',(0.747090314956141,2.04650456303409, -0.694619353995721)); #122201=CARTESIAN_POINT('Ctrl Pts',(0.728007443724885,2.04741457895165, -0.703286032289776)); #122202=CARTESIAN_POINT('Ctrl Pts',(0.730604222766736,2.04661637392722, -0.699191586433236)); #122203=CARTESIAN_POINT('Ctrl Pts',(0.739011511735311,2.04629753093893, -0.69524334653847)); #122204=CARTESIAN_POINT('Ctrl Pts',(0.746996920867398,2.0465026322192,-0.694627350485694)); #122205=CARTESIAN_POINT('Ctrl Pts',(0.747043692982748,2.04650353196614, -0.694623165964511)); #122206=CARTESIAN_POINT('Ctrl Pts',(0.747090314956151,2.04650456303405, -0.694619353995723)); #122207=CARTESIAN_POINT('Ctrl Pts',(0.730862360511033,2.04312147583848, -0.704372186885598)); #122208=CARTESIAN_POINT('Ctrl Pts',(0.731942511919702,2.04315457733858, -0.700886051274098)); #122209=CARTESIAN_POINT('Ctrl Pts',(0.739385262145656,2.04462902658069, -0.695281773293374)); #122210=CARTESIAN_POINT('Ctrl Pts',(0.74700194984954,2.0464831960161,-0.694629024123286)); #122211=CARTESIAN_POINT('Ctrl Pts',(0.747046108007222,2.04649384821404, -0.694623820395267)); #122212=CARTESIAN_POINT('Ctrl Pts',(0.747090314956172,2.04650456303397, -0.694619353995729)); #122213=CARTESIAN_POINT('Ctrl Pts',(0.730380790264084,2.03789306450399, -0.703933072986041)); #122214=CARTESIAN_POINT('Ctrl Pts',(0.732432827841557,2.03928466254314, -0.699689082431571)); #122215=CARTESIAN_POINT('Ctrl Pts',(0.739836414321955,2.04298986843711, -0.69546683019618)); #122216=CARTESIAN_POINT('Ctrl Pts',(0.747006647918883,2.04646362868158, -0.694629986671482)); #122217=CARTESIAN_POINT('Ctrl Pts',(0.747048523031695,2.04648416446194, -0.694624474826024)); #122218=CARTESIAN_POINT('Ctrl Pts',(0.747090314956192,2.04650456303388, -0.694619353995734)); #122219=CARTESIAN_POINT('Ctrl Pts',(0.729356798015667,2.03571966591835, -0.703383863260662)); #122220=CARTESIAN_POINT('Ctrl Pts',(0.732412935054146,2.03744778732416, -0.698486123165343)); #122221=CARTESIAN_POINT('Ctrl Pts',(0.740093417111454,2.0421539045567,-0.695583806160371)); #122222=CARTESIAN_POINT('Ctrl Pts',(0.747008865909655,2.04645391009237, -0.694630341013006)); #122223=CARTESIAN_POINT('Ctrl Pts',(0.747049730543932,2.04647932258589, -0.694624802041402)); #122224=CARTESIAN_POINT('Ctrl Pts',(0.747090314956203,2.04650456303384, -0.694619353995737)); #122225=CARTESIAN_POINT('',(0.747090314956449,2.04650456303391,-0.694619353995711)); #122226=CARTESIAN_POINT('Ctrl Pts',(0.726104482699083,2.04876747278674, -0.702497191073454)); #122227=CARTESIAN_POINT('Ctrl Pts',(0.729766097226115,2.04806051529961, -0.697765934055978)); #122228=CARTESIAN_POINT('Ctrl Pts',(0.73884394513943,2.04716395332985,-0.695245286332707)); #122229=CARTESIAN_POINT('Ctrl Pts',(0.746994325134415,2.04651221585089, -0.694626400273413)); #122230=CARTESIAN_POINT('Ctrl Pts',(0.747042485470512,2.04650837384218, -0.694622838749133)); #122231=CARTESIAN_POINT('Ctrl Pts',(0.747090314956141,2.04650456303409, -0.694619353995721)); #122232=CARTESIAN_POINT('Ctrl Pts',(0.747090314956203,2.04650456303384, -0.694619353995737)); #122233=CARTESIAN_POINT('Ctrl Pts',(0.747049730543932,2.04647932258589, -0.694624802041402)); #122234=CARTESIAN_POINT('Ctrl Pts',(0.747008865909655,2.04645391009237, -0.694630341013006)); #122235=CARTESIAN_POINT('Ctrl Pts',(0.740093417111454,2.0421539045567,-0.695583806160371)); #122236=CARTESIAN_POINT('Ctrl Pts',(0.732412935054146,2.03744778732416, -0.698486123165343)); #122237=CARTESIAN_POINT('Ctrl Pts',(0.729356798015667,2.03571966591835, -0.703383863260662)); #122238=CARTESIAN_POINT('Ctrl Pts',(0.74709031495645,2.04650456303391,-0.694619353995711)); #122239=CARTESIAN_POINT('Ctrl Pts',(0.694267688855479,2.07700239193096, -0.696167890520174)); #122240=CARTESIAN_POINT('Ctrl Pts',(0.64140963269323,2.10752981496234,-0.697266612575249)); #122241=CARTESIAN_POINT('Ctrl Pts',(0.588523666217592,2.13808248920397, -0.697915363853392)); #122242=CARTESIAN_POINT('Ctrl Pts',(0.74573763137893,2.04414322363323,-0.694933927120044)); #122243=CARTESIAN_POINT('Ctrl Pts',(0.692910302909298,2.07463662341728, -0.696475347932546)); #122244=CARTESIAN_POINT('Ctrl Pts',(0.640047433501842,2.10515983692137, -0.697567461996058)); #122245=CARTESIAN_POINT('Ctrl Pts',(0.587156964678181,2.1357080066975,-0.698208946584082)); #122246=CARTESIAN_POINT('Ctrl Pts',(0.743554022519649,2.04022395365485, -0.697551094668598)); #122247=CARTESIAN_POINT('Ctrl Pts',(0.690707455139062,2.07071098445345, -0.699090072161225)); #122248=CARTESIAN_POINT('Ctrl Pts',(0.637825623105551,2.10122743452908, -0.700178839751104)); #122249=CARTESIAN_POINT('Ctrl Pts',(0.584915958276998,2.13176911097691, -0.700817540621856)); #122250=CARTESIAN_POINT('Ctrl Pts',(0.742856484569162,2.03877161655018, -0.702321808674688)); #122251=CARTESIAN_POINT('Ctrl Pts',(0.689986484332046,2.06926485149928, -0.703870577401929)); #122252=CARTESIAN_POINT('Ctrl Pts',(0.637081174162304,2.09978772386257, -0.704969048717625)); #122253=CARTESIAN_POINT('Ctrl Pts',(0.584147848897764,2.13033566973544, -0.705617224878002)); #122254=CARTESIAN_POINT('Ctrl Pts',(0.74288382094959,2.03871444248165,-0.704375424958539)); #122255=CARTESIAN_POINT('Ctrl Pts',(0.690005144269677,2.06921265986731, -0.70592840192809)); #122256=CARTESIAN_POINT('Ctrl Pts',(0.637090999933319,2.09974043018449, -0.707031093478094)); #122257=CARTESIAN_POINT('Ctrl Pts',(0.584148915667679,2.13029341045972, -0.707683342736294)); #122258=CARTESIAN_POINT('',(0.58414891576876,2.13029341040138,-0.707683342735066)); #122259=CARTESIAN_POINT('Origin',(-0.00499923847578185,2.66187721590984, 8.79047684001182)); #122260=CARTESIAN_POINT('',(0.58852366664432,2.13808248994541,-0.697915363655487)); #122261=CARTESIAN_POINT('Origin',(0.589148154143461,2.13895234549893,-0.707857866800667)); #122262=CARTESIAN_POINT('Origin',(0.,2.67053615094905,8.79030231594744)); #122263=CARTESIAN_POINT('Origin',(0.527607448497011,2.1524429072486,-1.228375)); #122264=CARTESIAN_POINT('Ctrl Pts',(0.58414891576876,2.13029341040138,-0.707683342735066)); #122265=CARTESIAN_POINT('Ctrl Pts',(0.580627946077051,2.12921641630566, -0.861976042507571)); #122266=CARTESIAN_POINT('Ctrl Pts',(0.577094459139896,2.12814665378419, -1.01626850714501)); #122267=CARTESIAN_POINT('Ctrl Pts',(0.573548007922278,2.12708438116001, -1.1705607253734)); #122268=CARTESIAN_POINT('Ctrl Pts',(0.580160386282274,2.1433329354017,-0.707683342735066)); #122269=CARTESIAN_POINT('Ctrl Pts',(0.576195285022072,2.14215324181088, -0.882270476503298)); #122270=CARTESIAN_POINT('Ctrl Pts',(0.572214666417231,2.14098320938901, -1.05685730921288)); #122271=CARTESIAN_POINT('Ctrl Pts',(0.568217914905871,2.13982322381992, -1.23144382453035)); #122272=CARTESIAN_POINT('Ctrl Pts',(0.579065543992436,2.1410849990772,-0.707642667313105)); #122273=CARTESIAN_POINT('Ctrl Pts',(0.575087353630294,2.13990367661907, -0.882229502244213)); #122274=CARTESIAN_POINT('Ctrl Pts',(0.571093987842971,2.13873173743733, -1.05681604380334)); #122275=CARTESIAN_POINT('Ctrl Pts',(0.567083671985555,2.13757030299094, -1.23140224638682)); #122276=CARTESIAN_POINT('Ctrl Pts',(0.57865984797818,2.13574418265815,-0.707596129329581)); #122277=CARTESIAN_POINT('Ctrl Pts',(0.574663321673529,2.13454657581862, -0.882182597534616)); #122278=CARTESIAN_POINT('Ctrl Pts',(0.570650509882838,2.13335854964191, -1.0567687456002)); #122279=CARTESIAN_POINT('Ctrl Pts',(0.566621015932373,2.13218052143707, -1.23135456319552)); #122280=CARTESIAN_POINT('Ctrl Pts',(0.581983878735449,2.13154421494367, -0.707642667313105)); #122281=CARTESIAN_POINT('Ctrl Pts',(0.57799339228626,2.13032902439178,-0.882229502244213)); #122282=CARTESIAN_POINT('Ctrl Pts',(0.573987246075633,2.12912360279343, -1.05681604380334)); #122283=CARTESIAN_POINT('Ctrl Pts',(0.569963877394621,2.12792739634296, -1.23140224638682)); #122284=CARTESIAN_POINT('Ctrl Pts',(0.58414891576876,2.13029341040138,-0.707683342735066)); #122285=CARTESIAN_POINT('Ctrl Pts',(0.580164825740737,2.12907475707213, -0.882270476503298)); #122286=CARTESIAN_POINT('Ctrl Pts',(0.576164709072704,2.12786536279574, -1.05685730921288)); #122287=CARTESIAN_POINT('Ctrl Pts',(0.572147918112059,2.12666560182384, -1.23144382453035)); #122288=CARTESIAN_POINT('',(0.568288166831134,2.13984361470062,-1.22837499999997)); #122289=CARTESIAN_POINT('Ctrl Pts',(0.568288166831479,2.13984361470041, -1.22837499999998)); #122290=CARTESIAN_POINT('Ctrl Pts',(0.568264750076711,2.13983681739538, -1.229397941521)); #122291=CARTESIAN_POINT('Ctrl Pts',(0.568241332768217,2.13983002043519, -1.23042088303112)); #122292=CARTESIAN_POINT('Ctrl Pts',(0.568217914905871,2.13982322381992, -1.23144382453035)); #122293=CARTESIAN_POINT('',(0.580160386282241,2.14333293540163,-0.707683342735065)); #122294=CARTESIAN_POINT('Ctrl Pts',(0.568288166831479,2.13984361470041, -1.22837499999998)); #122295=CARTESIAN_POINT('Ctrl Pts',(0.572261312556578,2.14099692068475, -1.05481142248475)); #122296=CARTESIAN_POINT('Ctrl Pts',(0.576218517438506,2.14216015389987, -0.881247531380429)); #122297=CARTESIAN_POINT('Ctrl Pts',(0.580160386282274,2.1433329354017,-0.707683342735066)); #122298=CARTESIAN_POINT('Origin',(0.589148154244542,2.13895234544059,-0.707857866799439)); #122299=CARTESIAN_POINT('Ctrl Pts',(0.568217914905894,2.13982322381996, -1.23144382453035)); #122300=CARTESIAN_POINT('Ctrl Pts',(0.557419903494388,2.11823463802783, -1.22742010582526)); #122301=CARTESIAN_POINT('Ctrl Pts',(0.545329176160383,2.09729288398487, -1.22742010582526)); #122302=CARTESIAN_POINT('Ctrl Pts',(0.532031918138371,2.07714723889609, -1.23144382453035)); #122303=CARTESIAN_POINT('Ctrl Pts',(0.568316837873416,2.13997666987558, -1.23033795230236)); #122304=CARTESIAN_POINT('Ctrl Pts',(0.557470205272302,2.11827624351767, -1.22631512551441)); #122305=CARTESIAN_POINT('Ctrl Pts',(0.545318295638205,2.09722851862243, -1.22631512551441)); #122306=CARTESIAN_POINT('Ctrl Pts',(0.531948491439856,2.07698484606539, -1.23033795230236)); #122307=CARTESIAN_POINT('Ctrl Pts',(0.568585572491228,2.14004451917443, -1.22923563384742)); #122308=CARTESIAN_POINT('Ctrl Pts',(0.557680791891246,2.11822899391736, -1.22521355634738)); #122309=CARTESIAN_POINT('Ctrl Pts',(0.545464508301864,2.09706977006088, -1.22521355634738)); #122310=CARTESIAN_POINT('Ctrl Pts',(0.532024099532332,2.07671819041006, -1.22923563384742)); #122311=CARTESIAN_POINT('Ctrl Pts',(0.569009179774371,2.14002299996702, -1.22819814716147)); #122312=CARTESIAN_POINT('Ctrl Pts',(0.558040497703402,2.11809565764861, -1.22417442845637)); #122313=CARTESIAN_POINT('Ctrl Pts',(0.545759833803929,2.09682492382404, -1.22417442845637)); #122314=CARTESIAN_POINT('Ctrl Pts',(0.532254539354186,2.07636209534534, -1.22819814716147)); #122315=CARTESIAN_POINT('',(0.536304500633382,2.08257970313993,-1.22710309757414)); #122316=CARTESIAN_POINT('Ctrl Pts',(0.565649509004027,2.13340683289771, -1.22710321339583)); #122317=CARTESIAN_POINT('Ctrl Pts',(0.556683366017188,2.11601071881498, -1.22453940637826)); #122318=CARTESIAN_POINT('Ctrl Pts',(0.54688687795608,2.0990427037574,-1.22453940637826)); #122319=CARTESIAN_POINT('Ctrl Pts',(0.536304472726722,2.08257973911546, -1.22710321339583)); #122320=CARTESIAN_POINT('',(0.532031918138371,2.07714723889609,-1.23144382453035)); #122321=CARTESIAN_POINT('Ctrl Pts',(0.5320319181384,2.07714723889613,-1.23144382453034)); #122322=CARTESIAN_POINT('Ctrl Pts',(0.532056697726442,2.07718411076992, -1.23141528220595)); #122323=CARTESIAN_POINT('Ctrl Pts',(0.532081524124889,2.07722094605556, -1.23138675429549)); #122324=CARTESIAN_POINT('Ctrl Pts',(0.533382615478156,2.07914546982767, -1.22989532502086)); #122325=CARTESIAN_POINT('Ctrl Pts',(0.534791951286318,2.08094760779942, -1.22845996262047)); #122326=CARTESIAN_POINT('Ctrl Pts',(0.536304500633382,2.08257970313992, -1.22710309757414)); #122327=CARTESIAN_POINT('Ctrl Pts',(0.532031918138371,2.07714723889609, -1.23144382453035)); #122328=CARTESIAN_POINT('Ctrl Pts',(0.545329176160383,2.09729288398487, -1.22742010582526)); #122329=CARTESIAN_POINT('Ctrl Pts',(0.557419903494388,2.11823463802783, -1.22742010582526)); #122330=CARTESIAN_POINT('Ctrl Pts',(0.568217914905894,2.13982322381996, -1.23144382453035)); #122331=CARTESIAN_POINT('Ctrl Pts',(0.5320319181384,2.07714723889613,-1.23144382453034)); #122332=CARTESIAN_POINT('Ctrl Pts',(0.532056697726442,2.07718411076992, -1.23141528220595)); #122333=CARTESIAN_POINT('Ctrl Pts',(0.532081524124889,2.07722094605556, -1.23138675429549)); #122334=CARTESIAN_POINT('Ctrl Pts',(0.533382615478156,2.07914546982767, -1.22989532502086)); #122335=CARTESIAN_POINT('Ctrl Pts',(0.534791951286318,2.08094760779942, -1.22845996262047)); #122336=CARTESIAN_POINT('Ctrl Pts',(0.536304500633382,2.08257970313992, -1.22710309757414)); #122337=CARTESIAN_POINT('Ctrl Pts',(0.5320319181384,2.07714723889613,-1.23144382453034)); #122338=CARTESIAN_POINT('Ctrl Pts',(0.532052240143442,2.07717718191832, -1.23140854083427)); #122339=CARTESIAN_POINT('Ctrl Pts',(0.532072793541826,2.07720702120585, -1.23137325816347)); #122340=CARTESIAN_POINT('Ctrl Pts',(0.533146443537737,2.0787661413725,-1.22952908632676)); #122341=CARTESIAN_POINT('Ctrl Pts',(0.534456522960951,2.08013670468381, -1.22774354658915)); #122342=CARTESIAN_POINT('Ctrl Pts',(0.535954119618971,2.08126855093642, -1.22613560845245)); #122343=CARTESIAN_POINT('Ctrl Pts',(0.5320319181384,2.07714723889613,-1.23144382453034)); #122344=CARTESIAN_POINT('Ctrl Pts',(0.532047833736445,2.07717024633569, -1.23140179490163)); #122345=CARTESIAN_POINT('Ctrl Pts',(0.532063964991738,2.07719313217865, -1.23135976573518)); #122346=CARTESIAN_POINT('Ctrl Pts',(0.532907218486529,2.07838951408148, -1.2291625900378)); #122347=CARTESIAN_POINT('Ctrl Pts',(0.533995304931219,2.07940581141153, -1.22702225352249)); #122348=CARTESIAN_POINT('Ctrl Pts',(0.535258109681499,2.08015850568017, -1.22502370395037)); #122349=CARTESIAN_POINT('Ctrl Pts',(0.532031918138399,2.07714723889613, -1.23144382453034)); #122350=CARTESIAN_POINT('Ctrl Pts',(0.532043460366053,2.07716328434789, -1.23139504627292)); #122351=CARTESIAN_POINT('Ctrl Pts',(0.532055057122927,2.07717929940247, -1.23134627579342)); #122352=CARTESIAN_POINT('Ctrl Pts',(0.532664532871346,2.07801515367485, -1.22879589738932)); #122353=CARTESIAN_POINT('Ctrl Pts',(0.533410736956084,2.07875849932491, -1.22629894457439)); #122354=CARTESIAN_POINT('Ctrl Pts',(0.534300332244108,2.07938331542554, -1.22390135614058)); #122355=CARTESIAN_POINT('',(0.534300332244108,2.07938331542554,-1.22390135614058)); #122356=CARTESIAN_POINT('Origin',(0.528166523819889,2.08727816624385,-1.22368289614088)); #122357=CARTESIAN_POINT('Ctrl Pts',(0.534300332244108,2.07938331542554, -1.22390135614058)); #122358=CARTESIAN_POINT('Ctrl Pts',(0.533410736956084,2.07875849932491, -1.22629894457439)); #122359=CARTESIAN_POINT('Ctrl Pts',(0.532664532871346,2.07801515367485, -1.22879589738932)); #122360=CARTESIAN_POINT('Ctrl Pts',(0.532055057122927,2.07717929940247, -1.23134627579342)); #122361=CARTESIAN_POINT('Ctrl Pts',(0.532043460366053,2.07716328434789, -1.23139504627292)); #122362=CARTESIAN_POINT('Ctrl Pts',(0.532031918138399,2.07714723889613, -1.23144382453034)); #122363=CARTESIAN_POINT('Ctrl Pts',(0.545729125891226,2.0789006643349,-1.17056072536997)); #122364=CARTESIAN_POINT('Ctrl Pts',(0.544499560296621,2.07976984844242, -1.17846403142731)); #122365=CARTESIAN_POINT('Ctrl Pts',(0.542225457500495,2.0808645872379,-1.19172293600713)); #122366=CARTESIAN_POINT('Ctrl Pts',(0.538422006175871,2.08114686674507, -1.20979656907063)); #122367=CARTESIAN_POINT('Ctrl Pts',(0.535826487344656,2.08045522564326, -1.21978814660976)); #122368=CARTESIAN_POINT('Ctrl Pts',(0.534300332244108,2.07938331542554, -1.22390135614058)); #122369=CARTESIAN_POINT('Ctrl Pts',(0.547859367732652,2.07768874215265, -1.17145247361244)); #122370=CARTESIAN_POINT('Ctrl Pts',(0.546626809489129,2.07895649378873, -1.17927606764563)); #122371=CARTESIAN_POINT('Ctrl Pts',(0.544161273927146,2.08065335727158, -1.19243647080728)); #122372=CARTESIAN_POINT('Ctrl Pts',(0.539742623709522,2.08151048183183, -1.21041106462159)); #122373=CARTESIAN_POINT('Ctrl Pts',(0.536617416790332,2.08093815341569, -1.22036225078726)); #122374=CARTESIAN_POINT('Ctrl Pts',(0.534779220962804,2.07977091055285, -1.22446253004547)); #122375=CARTESIAN_POINT('Ctrl Pts',(0.553175604464844,2.07714498151766, -1.17318896962586)); #122376=CARTESIAN_POINT('Ctrl Pts',(0.551487273968625,2.07900415763547, -1.18086149923513)); #122377=CARTESIAN_POINT('Ctrl Pts',(0.548130270292717,2.08148688135528, -1.19383360429332)); #122378=CARTESIAN_POINT('Ctrl Pts',(0.542186903215717,2.08283228534904, -1.21162637790235)); #122379=CARTESIAN_POINT('Ctrl Pts',(0.538029625423287,2.08218723196436, -1.22150274414419)); #122380=CARTESIAN_POINT('Ctrl Pts',(0.53560841933715,2.08071149467022,-1.22558024379261)); #122381=CARTESIAN_POINT('Ctrl Pts',(0.55754982476418,2.08061012101935,-1.17384659568389)); #122382=CARTESIAN_POINT('Ctrl Pts',(0.555272310468469,2.08233286747527, -1.18161193377385)); #122383=CARTESIAN_POINT('Ctrl Pts',(0.55102905832229,2.08449720776542,-1.19469520263565)); #122384=CARTESIAN_POINT('Ctrl Pts',(0.543874096993192,2.08514089915052, -1.21259231513212)); #122385=CARTESIAN_POINT('Ctrl Pts',(0.538988166853204,2.08389043903185, -1.22251889419628)); #122386=CARTESIAN_POINT('Ctrl Pts',(0.536129310126177,2.08192412703817, -1.2266193530133)); #122387=CARTESIAN_POINT('Ctrl Pts',(0.558866341180501,2.08286113627018, -1.17380640273886)); #122388=CARTESIAN_POINT('Ctrl Pts',(0.556451041617838,2.0844144500907,-1.18168717819583)); #122389=CARTESIAN_POINT('Ctrl Pts',(0.551976388635927,2.08629360899685, -1.19491557479326)); #122390=CARTESIAN_POINT('Ctrl Pts',(0.544469740395342,2.08643565683342, -1.21297191944231)); #122391=CARTESIAN_POINT('Ctrl Pts',(0.539334088918861,2.08481916413524, -1.22297097417783)); #122392=CARTESIAN_POINT('Ctrl Pts',(0.536304500633383,2.08257970313992, -1.22710309757414)); #122393=CARTESIAN_POINT('',(0.558866341180501,2.08286113627018,-1.17380640273886)); #122394=CARTESIAN_POINT('Ctrl Pts',(0.536304500633383,2.08257970313992, -1.22710309757414)); #122395=CARTESIAN_POINT('Ctrl Pts',(0.539334088918861,2.08481916413524, -1.22297097417783)); #122396=CARTESIAN_POINT('Ctrl Pts',(0.544469740395342,2.08643565683342, -1.21297191944231)); #122397=CARTESIAN_POINT('Ctrl Pts',(0.551976388635927,2.08629360899685, -1.19491557479326)); #122398=CARTESIAN_POINT('Ctrl Pts',(0.556451041617838,2.0844144500907,-1.18168717819583)); #122399=CARTESIAN_POINT('Ctrl Pts',(0.558866341180501,2.08286113627018, -1.17380640273886)); #122400=CARTESIAN_POINT('',(0.545729125891225,2.0789006643349,-1.17056072536997)); #122401=CARTESIAN_POINT('Origin',(0.550728364367008,2.08755959937411,-1.1703862013056)); #122402=CARTESIAN_POINT('Ctrl Pts',(0.545729125891226,2.0789006643349,-1.17056072536997)); #122403=CARTESIAN_POINT('Ctrl Pts',(0.544499560296621,2.07976984844242, -1.17846403142731)); #122404=CARTESIAN_POINT('Ctrl Pts',(0.542225457500495,2.0808645872379,-1.19172293600713)); #122405=CARTESIAN_POINT('Ctrl Pts',(0.538422006175871,2.08114686674507, -1.20979656907063)); #122406=CARTESIAN_POINT('Ctrl Pts',(0.535826487344656,2.08045522564326, -1.21978814660976)); #122407=CARTESIAN_POINT('Ctrl Pts',(0.534300332244108,2.07938331542554, -1.22390135614058)); #122408=CARTESIAN_POINT('Origin',(0.550762843192528,2.08753742554647,-1.17027370185164)); #122409=CARTESIAN_POINT('',(0.698370528281857,1.99314399095438,-0.718624283365884)); #122410=CARTESIAN_POINT('',(0.685233312992583,1.98918351901911,-0.715378605997001)); #122411=CARTESIAN_POINT('Origin',(0.690232551468363,1.99784245405831,-0.715204081932628)); #122412=CARTESIAN_POINT('',(0.545763604716746,2.07887849050726,-1.17044822591601)); #122413=CARTESIAN_POINT('',(0.558900820006022,2.08283896244254,-1.1736939032849)); #122414=CARTESIAN_POINT('Ctrl Pts',(0.698370528281861,1.99314399095439, -0.718624283365878)); #122415=CARTESIAN_POINT('Ctrl Pts',(0.699316684923804,1.99253550403582, -0.715537110181424)); #122416=CARTESIAN_POINT('Ctrl Pts',(0.699903959775881,1.99131237974864, -0.712459503885538)); #122417=CARTESIAN_POINT('Ctrl Pts',(0.70006231592566,1.98956458041123,-0.709681693993205)); #122418=CARTESIAN_POINT('Ctrl Pts',(0.69705401186554,1.99089297570356,-0.718664476310907)); #122419=CARTESIAN_POINT('Ctrl Pts',(0.697936982452712,1.99043510355118, -0.715925879519861)); #122420=CARTESIAN_POINT('Ctrl Pts',(0.698518094188819,1.98941753303642, -0.713159650100952)); #122421=CARTESIAN_POINT('Ctrl Pts',(0.698706965026846,1.98791591969718, -0.7106417668769)); #122422=CARTESIAN_POINT('Ctrl Pts',(0.692679872701037,1.98742749091974, -0.718006943174754)); #122423=CARTESIAN_POINT('Ctrl Pts',(0.693572144424187,1.98718327676428, -0.716017782401777)); #122424=CARTESIAN_POINT('Ctrl Pts',(0.694249929730613,1.98651450078545, -0.713921077498666)); #122425=CARTESIAN_POINT('Ctrl Pts',(0.694607114695303,1.98543673391064, -0.711967949338857)); #122426=CARTESIAN_POINT('Ctrl Pts',(0.687363554834012,1.98797159683686, -0.716270354239464)); #122427=CARTESIAN_POINT('Ctrl Pts',(0.6883468837184,1.98754168196535,-0.714682283626873)); #122428=CARTESIAN_POINT('Ctrl Pts',(0.689145645352893,1.98677409490609, -0.713028958315783)); #122429=CARTESIAN_POINT('Ctrl Pts',(0.689665897385174,1.98572563856397, -0.711504483673447)); #122430=CARTESIAN_POINT('Ctrl Pts',(0.685233312992586,1.98918351901911, -0.715378605996995)); #122431=CARTESIAN_POINT('Ctrl Pts',(0.686218149378179,1.98858358479866, -0.713823751699935)); #122432=CARTESIAN_POINT('Ctrl Pts',(0.687022459340394,1.98767695730947, -0.712273615624671)); #122433=CARTESIAN_POINT('Ctrl Pts',(0.6875629695849,1.98653649970742,-0.710874406675093)); #122434=CARTESIAN_POINT('',(0.700062315925659,1.98956458041123,-0.709681693993205)); #122435=CARTESIAN_POINT('',(0.687562969584901,1.98653649970742,-0.710874406675092)); #122436=CARTESIAN_POINT('Origin',(0.691924339112165,1.99426304351516,-0.706261492559947)); #122437=CARTESIAN_POINT('Ctrl Pts',(0.6875629695849,1.98653649970742,-0.710874406675093)); #122438=CARTESIAN_POINT('Ctrl Pts',(0.687022459340394,1.98767695730947, -0.712273615624671)); #122439=CARTESIAN_POINT('Ctrl Pts',(0.686218149378179,1.98858358479866, -0.713823751699935)); #122440=CARTESIAN_POINT('Ctrl Pts',(0.685233312992586,1.98918351901911, -0.715378605996995)); #122441=CARTESIAN_POINT('Ctrl Pts',(0.698370528281861,1.99314399095439, -0.718624283365878)); #122442=CARTESIAN_POINT('Ctrl Pts',(0.699316684923804,1.99253550403582, -0.715537110181424)); #122443=CARTESIAN_POINT('Ctrl Pts',(0.699903959775881,1.99131237974864, -0.712459503885538)); #122444=CARTESIAN_POINT('Ctrl Pts',(0.70006231592566,1.98956458041123,-0.709681693993205)); #122445=CARTESIAN_POINT('Ctrl Pts',(0.697945655858608,1.96899349413981, -0.705194040132605)); #122446=CARTESIAN_POINT('Ctrl Pts',(0.697921681181331,1.96903099363982, -0.705192546598402)); #122447=CARTESIAN_POINT('Ctrl Pts',(0.697897523495655,1.96906879415367, -0.705191111218929)); #122448=CARTESIAN_POINT('Ctrl Pts',(0.69373652433159,1.97558128730497,-0.704952645090222)); #122449=CARTESIAN_POINT('Ctrl Pts',(0.689201107029368,1.98308008732536, -0.706633790338529)); #122450=CARTESIAN_POINT('Ctrl Pts',(0.687562969584904,1.98653649970742, -0.710874406675092)); #122451=CARTESIAN_POINT('Ctrl Pts',(0.697945655858608,1.96899349413981, -0.705194040132605)); #122452=CARTESIAN_POINT('Ctrl Pts',(0.697929596518372,1.96903883490315, -0.705181393503077)); #122453=CARTESIAN_POINT('Ctrl Pts',(0.697917156954446,1.96906788994104, -0.70519933894708)); #122454=CARTESIAN_POINT('Ctrl Pts',(0.695346865160381,1.97609822133138, -0.704518260066707)); #122455=CARTESIAN_POINT('Ctrl Pts',(0.69265115391709,1.98371860039067,-0.708469264415434)); #122456=CARTESIAN_POINT('Ctrl Pts',(0.69176882518545,1.98491477742053,-0.712134560671799)); #122457=CARTESIAN_POINT('Ctrl Pts',(0.697945655858608,1.96899349413981, -0.705194040132605)); #122458=CARTESIAN_POINT('Ctrl Pts',(0.697936837091283,1.96904058979125, -0.705180702760775)); #122459=CARTESIAN_POINT('Ctrl Pts',(0.697937685921961,1.96907286242094, -0.705197371413531)); #122460=CARTESIAN_POINT('Ctrl Pts',(0.696867490544022,1.97646662233759, -0.704372677920231)); #122461=CARTESIAN_POINT('Ctrl Pts',(0.696468656443801,1.98464302551643, -0.708104787436528)); #122462=CARTESIAN_POINT('Ctrl Pts',(0.697351614128034,1.98626725898313, -0.711601839760594)); #122463=CARTESIAN_POINT('Ctrl Pts',(0.697945655858609,1.96899349413981, -0.705194040132605)); #122464=CARTESIAN_POINT('Ctrl Pts',(0.697949288162036,1.96903768163029, -0.705189903449784)); #122465=CARTESIAN_POINT('Ctrl Pts',(0.697952954103738,1.96908222255243, -0.705185804613497)); #122466=CARTESIAN_POINT('Ctrl Pts',(0.698585194109246,1.97675591250901, -0.704488352884382)); #122467=CARTESIAN_POINT('Ctrl Pts',(0.699442246319302,1.98556007092233, -0.705655928764956)); #122468=CARTESIAN_POINT('Ctrl Pts',(0.700062315925662,1.98956458041123, -0.709681693993204)); #122469=CARTESIAN_POINT('',(0.697945655858686,1.96899349413994,-0.705194040132587)); #122470=CARTESIAN_POINT('Ctrl Pts',(0.700062315925662,1.98956458041123, -0.709681693993204)); #122471=CARTESIAN_POINT('Ctrl Pts',(0.699442246319302,1.98556007092233, -0.705655928764956)); #122472=CARTESIAN_POINT('Ctrl Pts',(0.698585194109246,1.97675591250901, -0.704488352884382)); #122473=CARTESIAN_POINT('Ctrl Pts',(0.697952954103738,1.96908222255243, -0.705185804613497)); #122474=CARTESIAN_POINT('Ctrl Pts',(0.697949288162036,1.96903768163029, -0.705189903449784)); #122475=CARTESIAN_POINT('Ctrl Pts',(0.697945655858609,1.96899349413981, -0.705194040132605)); #122476=CARTESIAN_POINT('Ctrl Pts',(0.697945655858608,1.96899349413981, -0.705194040132605)); #122477=CARTESIAN_POINT('Ctrl Pts',(0.697921681181331,1.96903099363982, -0.705192546598402)); #122478=CARTESIAN_POINT('Ctrl Pts',(0.697897523495655,1.96906879415367, -0.705191111218929)); #122479=CARTESIAN_POINT('Ctrl Pts',(0.69373652433159,1.97558128730497,-0.704952645090222)); #122480=CARTESIAN_POINT('Ctrl Pts',(0.689201107029368,1.98308008732536, -0.706633790338529)); #122481=CARTESIAN_POINT('Ctrl Pts',(0.687562969584904,1.98653649970742, -0.710874406675092)); #122482=CARTESIAN_POINT('Ctrl Pts',(0.697945655858582,1.96899349413978, -0.705194040132608)); #122483=CARTESIAN_POINT('Ctrl Pts',(0.714330465616108,1.99486528436281, -0.701709108812211)); #122484=CARTESIAN_POINT('Ctrl Pts',(0.730712093334547,2.02070242512216, -0.69818419736275)); #122485=CARTESIAN_POINT('Ctrl Pts',(0.747090314956445,2.04650456303391, -0.694619353995711)); #122486=CARTESIAN_POINT('Ctrl Pts',(0.696012257096567,1.97022414866108, -0.705230501428603)); #122487=CARTESIAN_POINT('Ctrl Pts',(0.712400125915049,1.99609540826827, -0.701748850560589)); #122488=CARTESIAN_POINT('Ctrl Pts',(0.728784812249966,2.02193203464971, -0.698227024487786)); #122489=CARTESIAN_POINT('Ctrl Pts',(0.745166110936228,2.04773364508006, -0.694665429563742)); #122490=CARTESIAN_POINT('Ctrl Pts',(0.692748027686786,1.97249449346612, -0.706721257223026)); #122491=CARTESIAN_POINT('Ctrl Pts',(0.709143383640102,1.99836454927816, -0.703241328749107)); #122492=CARTESIAN_POINT('Ctrl Pts',(0.725535569046433,2.02419995451777, -0.699721428054766)); #122493=CARTESIAN_POINT('Ctrl Pts',(0.741924349149925,2.05000036646443, -0.696161510186618)); #122494=CARTESIAN_POINT('Ctrl Pts',(0.690950337161624,1.97409012386713, -0.71010474181924)); #122495=CARTESIAN_POINT('Ctrl Pts',(0.707351142029437,1.99996093397622, -0.706620680006573)); #122496=CARTESIAN_POINT('Ctrl Pts',(0.723748723575641,2.02579711518909, -0.703096603415205)); #122497=CARTESIAN_POINT('Ctrl Pts',(0.740142935840684,2.05159827181935, -0.69953254168896)); #122498=CARTESIAN_POINT('Ctrl Pts',(0.690548275659998,1.97456112121107, -0.711708428305966)); #122499=CARTESIAN_POINT('Ctrl Pts',(0.706950471491268,2.00043279890274, -0.708222210746311)); #122500=CARTESIAN_POINT('Ctrl Pts',(0.723349481906963,2.0262698231681,-0.704695967764308)); #122501=CARTESIAN_POINT('Ctrl Pts',(0.739745082611873,2.05207184062479, -0.701129747589656)); #122502=CARTESIAN_POINT('Origin',(-6.99353086378051E-16,-5.63098983011794, 8.06401290263816)); #122503=CARTESIAN_POINT('Origin',(-0.00813797681349412,-5.626291367014, 8.06743310407142)); #122504=CARTESIAN_POINT('Origin',(0.581303456796399,2.12144150240254,-1.17341920337043)); #122505=CARTESIAN_POINT('Ctrl Pts',(-0.532031918138398,2.07714723889613, -1.23144382453035)); #122506=CARTESIAN_POINT('Ctrl Pts',(-0.532043460366046,2.07716328434788, -1.23139504627281)); #122507=CARTESIAN_POINT('Ctrl Pts',(-0.532055057122892,2.07717929940241, -1.23134627579349)); #122508=CARTESIAN_POINT('Ctrl Pts',(-0.532664532871748,2.07801515367543, -1.2287958973885)); #122509=CARTESIAN_POINT('Ctrl Pts',(-0.533410736956069,2.0787584993249, -1.22629894457443)); #122510=CARTESIAN_POINT('Ctrl Pts',(-0.534300332244107,2.07938331542553, -1.22390135614058)); #122511=CARTESIAN_POINT('Ctrl Pts',(-0.532031918138398,2.07714723889613, -1.23144382453035)); #122512=CARTESIAN_POINT('Ctrl Pts',(-0.532047833736445,2.07717024633569, -1.23140179490152)); #122513=CARTESIAN_POINT('Ctrl Pts',(-0.532063964991694,2.07719313217859, -1.23135976573524)); #122514=CARTESIAN_POINT('Ctrl Pts',(-0.532907218486923,2.07838951408206, -1.22916259003697)); #122515=CARTESIAN_POINT('Ctrl Pts',(-0.533995304931197,2.07940581141151, -1.22702225352253)); #122516=CARTESIAN_POINT('Ctrl Pts',(-0.535258109681497,2.08015850568017, -1.22502370395037)); #122517=CARTESIAN_POINT('Ctrl Pts',(-0.532031918138398,2.07714723889613, -1.23144382453035)); #122518=CARTESIAN_POINT('Ctrl Pts',(-0.532052240143458,2.07717718191834, -1.23140854083414)); #122519=CARTESIAN_POINT('Ctrl Pts',(-0.532072793541768,2.07720702120577, -1.23137325816353)); #122520=CARTESIAN_POINT('Ctrl Pts',(-0.533146443538128,2.07876614137309, -1.2295290863259)); #122521=CARTESIAN_POINT('Ctrl Pts',(-0.534456522960927,2.08013670468379, -1.22774354658918)); #122522=CARTESIAN_POINT('Ctrl Pts',(-0.535954119618969,2.08126855093642, -1.22613560845246)); #122523=CARTESIAN_POINT('Ctrl Pts',(-0.532031918138398,2.07714723889613, -1.23144382453035)); #122524=CARTESIAN_POINT('Ctrl Pts',(-0.532056697726464,2.07718411076996, -1.23141528220583)); #122525=CARTESIAN_POINT('Ctrl Pts',(-0.532081524124822,2.07722094605546, -1.23138675429554)); #122526=CARTESIAN_POINT('Ctrl Pts',(-0.533382615478545,2.07914546982824, -1.22989532501999)); #122527=CARTESIAN_POINT('Ctrl Pts',(-0.534791951286292,2.08094760779939, -1.2284599626205)); #122528=CARTESIAN_POINT('Ctrl Pts',(-0.53630450063338,2.08257970313992, -1.22710309757414)); #122529=CARTESIAN_POINT('',(-0.534300332244107,2.07938331542553,-1.22390135614058)); #122530=CARTESIAN_POINT('',(-0.53630450063338,2.08257970313992,-1.22710309757414)); #122531=CARTESIAN_POINT('Origin',(-0.528166523819887,2.08727816624385,-1.22368289614089)); #122532=CARTESIAN_POINT('',(-0.53203191813837,2.07714723889609,-1.23144382453036)); #122533=CARTESIAN_POINT('Ctrl Pts',(-0.53630450063338,2.08257970313992, -1.22710309757414)); #122534=CARTESIAN_POINT('Ctrl Pts',(-0.534791951286292,2.08094760779939, -1.2284599626205)); #122535=CARTESIAN_POINT('Ctrl Pts',(-0.533382615478545,2.07914546982824, -1.22989532501999)); #122536=CARTESIAN_POINT('Ctrl Pts',(-0.532081524124822,2.07722094605546, -1.23138675429554)); #122537=CARTESIAN_POINT('Ctrl Pts',(-0.532056697726464,2.07718411076996, -1.23141528220583)); #122538=CARTESIAN_POINT('Ctrl Pts',(-0.532031918138398,2.07714723889613, -1.23144382453035)); #122539=CARTESIAN_POINT('Ctrl Pts',(-0.532031918138398,2.07714723889613, -1.23144382453035)); #122540=CARTESIAN_POINT('Ctrl Pts',(-0.532043460366046,2.07716328434788, -1.23139504627281)); #122541=CARTESIAN_POINT('Ctrl Pts',(-0.532055057122892,2.07717929940241, -1.23134627579349)); #122542=CARTESIAN_POINT('Ctrl Pts',(-0.532664532871748,2.07801515367543, -1.2287958973885)); #122543=CARTESIAN_POINT('Ctrl Pts',(-0.533410736956069,2.0787584993249, -1.22629894457443)); #122544=CARTESIAN_POINT('Ctrl Pts',(-0.534300332244107,2.07938331542553, -1.22390135614058)); #122545=CARTESIAN_POINT('Ctrl Pts',(-0.5588663411805,2.08286113627018,-1.17380640273886)); #122546=CARTESIAN_POINT('Ctrl Pts',(-0.556451041617837,2.0844144500907, -1.18168717819583)); #122547=CARTESIAN_POINT('Ctrl Pts',(-0.551976388635925,2.08629360899685, -1.19491557479326)); #122548=CARTESIAN_POINT('Ctrl Pts',(-0.54446974039534,2.08643565683342, -1.21297191944231)); #122549=CARTESIAN_POINT('Ctrl Pts',(-0.539334088918858,2.08481916413524, -1.22297097417783)); #122550=CARTESIAN_POINT('Ctrl Pts',(-0.53630450063338,2.08257970313992, -1.22710309757414)); #122551=CARTESIAN_POINT('Ctrl Pts',(-0.557549824764179,2.08061012101935, -1.17384659568389)); #122552=CARTESIAN_POINT('Ctrl Pts',(-0.555272310468468,2.08233286747527, -1.18161193377385)); #122553=CARTESIAN_POINT('Ctrl Pts',(-0.551029058322288,2.08449720776542, -1.19469520263565)); #122554=CARTESIAN_POINT('Ctrl Pts',(-0.54387409699319,2.08514089915052, -1.21259231513213)); #122555=CARTESIAN_POINT('Ctrl Pts',(-0.538988166853202,2.08389043903185, -1.22251889419628)); #122556=CARTESIAN_POINT('Ctrl Pts',(-0.536129310126175,2.08192412703817, -1.2266193530133)); #122557=CARTESIAN_POINT('Ctrl Pts',(-0.553175604464842,2.07714498151766, -1.17318896962586)); #122558=CARTESIAN_POINT('Ctrl Pts',(-0.551487273968623,2.07900415763547, -1.18086149923514)); #122559=CARTESIAN_POINT('Ctrl Pts',(-0.548130270292715,2.08148688135528, -1.19383360429333)); #122560=CARTESIAN_POINT('Ctrl Pts',(-0.542186903215715,2.08283228534904, -1.21162637790235)); #122561=CARTESIAN_POINT('Ctrl Pts',(-0.538029625423285,2.08218723196436, -1.22150274414419)); #122562=CARTESIAN_POINT('Ctrl Pts',(-0.535608419337148,2.08071149467022, -1.22558024379261)); #122563=CARTESIAN_POINT('Ctrl Pts',(-0.547859367732651,2.07768874215265, -1.17145247361244)); #122564=CARTESIAN_POINT('Ctrl Pts',(-0.546626809489128,2.07895649378873, -1.17927606764563)); #122565=CARTESIAN_POINT('Ctrl Pts',(-0.544161273927145,2.08065335727158, -1.19243647080728)); #122566=CARTESIAN_POINT('Ctrl Pts',(-0.539742623709521,2.08151048183183, -1.2104110646216)); #122567=CARTESIAN_POINT('Ctrl Pts',(-0.536617416790331,2.08093815341569, -1.22036225078726)); #122568=CARTESIAN_POINT('Ctrl Pts',(-0.534779220962802,2.07977091055285, -1.22446253004547)); #122569=CARTESIAN_POINT('Ctrl Pts',(-0.545729125891224,2.0789006643349, -1.17056072536997)); #122570=CARTESIAN_POINT('Ctrl Pts',(-0.54449956029662,2.07976984844242, -1.17846403142732)); #122571=CARTESIAN_POINT('Ctrl Pts',(-0.542225457500494,2.0808645872379, -1.19172293600713)); #122572=CARTESIAN_POINT('Ctrl Pts',(-0.538422006175869,2.08114686674507, -1.20979656907063)); #122573=CARTESIAN_POINT('Ctrl Pts',(-0.535826487344654,2.08045522564326, -1.21978814660976)); #122574=CARTESIAN_POINT('Ctrl Pts',(-0.534300332244107,2.07938331542553, -1.22390135614058)); #122575=CARTESIAN_POINT('',(-0.545729125891225,2.0789006643349,-1.17056072536997)); #122576=CARTESIAN_POINT('Ctrl Pts',(-0.534300332244107,2.07938331542553, -1.22390135614058)); #122577=CARTESIAN_POINT('Ctrl Pts',(-0.535826487344654,2.08045522564326, -1.21978814660976)); #122578=CARTESIAN_POINT('Ctrl Pts',(-0.538422006175869,2.08114686674507, -1.20979656907063)); #122579=CARTESIAN_POINT('Ctrl Pts',(-0.542225457500494,2.0808645872379, -1.19172293600713)); #122580=CARTESIAN_POINT('Ctrl Pts',(-0.54449956029662,2.07976984844242, -1.17846403142732)); #122581=CARTESIAN_POINT('Ctrl Pts',(-0.545729125891224,2.0789006643349, -1.17056072536997)); #122582=CARTESIAN_POINT('',(-0.5588663411805,2.08286113627018,-1.17380640273886)); #122583=CARTESIAN_POINT('Origin',(-0.550728364367006,2.08755959937411,-1.1703862013056)); #122584=CARTESIAN_POINT('Ctrl Pts',(-0.5588663411805,2.08286113627018,-1.17380640273886)); #122585=CARTESIAN_POINT('Ctrl Pts',(-0.556451041617837,2.0844144500907, -1.18168717819583)); #122586=CARTESIAN_POINT('Ctrl Pts',(-0.551976388635925,2.08629360899685, -1.19491557479326)); #122587=CARTESIAN_POINT('Ctrl Pts',(-0.54446974039534,2.08643565683342, -1.21297191944231)); #122588=CARTESIAN_POINT('Ctrl Pts',(-0.539334088918858,2.08481916413524, -1.22297097417783)); #122589=CARTESIAN_POINT('Ctrl Pts',(-0.53630450063338,2.08257970313992, -1.22710309757414)); #122590=CARTESIAN_POINT('Origin',(-0.540402308722107,2.09420043399049,-1.20407863728814)); #122591=CARTESIAN_POINT('',(-0.685233312992582,1.98918351901911,-0.715378605996997)); #122592=CARTESIAN_POINT('',(-0.698370528281857,1.99314399095438,-0.718624283365881)); #122593=CARTESIAN_POINT('Origin',(-0.690232551468363,1.99784245405831,-0.715204081932624)); #122594=CARTESIAN_POINT('',(-0.548540285535601,2.08950197088656,-1.2074988387214)); #122595=CARTESIAN_POINT('',(-0.535403070246325,2.08554149895128,-1.20425316135251)); #122596=CARTESIAN_POINT('Ctrl Pts',(-0.700062315925659,1.98956458041123, -0.709681693993205)); #122597=CARTESIAN_POINT('Ctrl Pts',(-0.699903959775879,1.99131237974864, -0.712459503885539)); #122598=CARTESIAN_POINT('Ctrl Pts',(-0.699316684923803,1.99253550403582, -0.715537110181422)); #122599=CARTESIAN_POINT('Ctrl Pts',(-0.69837052828186,1.99314399095439, -0.718624283365875)); #122600=CARTESIAN_POINT('Ctrl Pts',(-0.698706965026845,1.98791591969718, -0.7106417668769)); #122601=CARTESIAN_POINT('Ctrl Pts',(-0.698518094188817,1.98941753303642, -0.713159650100952)); #122602=CARTESIAN_POINT('Ctrl Pts',(-0.697936982452711,1.99043510355118, -0.71592587951986)); #122603=CARTESIAN_POINT('Ctrl Pts',(-0.697054011865539,1.99089297570356, -0.718664476310905)); #122604=CARTESIAN_POINT('Ctrl Pts',(-0.694607114695302,1.98543673391063, -0.711967949338856)); #122605=CARTESIAN_POINT('Ctrl Pts',(-0.694249929730612,1.98651450078545, -0.713921077498665)); #122606=CARTESIAN_POINT('Ctrl Pts',(-0.693572144424187,1.98718327676428, -0.716017782401775)); #122607=CARTESIAN_POINT('Ctrl Pts',(-0.692679872701036,1.98742749091973, -0.718006943174751)); #122608=CARTESIAN_POINT('Ctrl Pts',(-0.689665897385173,1.98572563856397, -0.711504483673446)); #122609=CARTESIAN_POINT('Ctrl Pts',(-0.689145645352893,1.98677409490609, -0.713028958315782)); #122610=CARTESIAN_POINT('Ctrl Pts',(-0.688346883718399,1.98754168196534, -0.714682283626871)); #122611=CARTESIAN_POINT('Ctrl Pts',(-0.687363554834012,1.98797159683685, -0.716270354239461)); #122612=CARTESIAN_POINT('Ctrl Pts',(-0.6875629695849,1.98653649970741,-0.710874406675092)); #122613=CARTESIAN_POINT('Ctrl Pts',(-0.687022459340394,1.98767695730946, -0.71227361562467)); #122614=CARTESIAN_POINT('Ctrl Pts',(-0.686218149378178,1.98858358479866, -0.713823751699933)); #122615=CARTESIAN_POINT('Ctrl Pts',(-0.685233312992586,1.98918351901911, -0.715378605996992)); #122616=CARTESIAN_POINT('',(-0.6875629695849,1.98653649970741,-0.710874406675092)); #122617=CARTESIAN_POINT('Ctrl Pts',(-0.685233312992586,1.98918351901911, -0.715378605996992)); #122618=CARTESIAN_POINT('Ctrl Pts',(-0.686218149378178,1.98858358479866, -0.713823751699933)); #122619=CARTESIAN_POINT('Ctrl Pts',(-0.687022459340394,1.98767695730946, -0.71227361562467)); #122620=CARTESIAN_POINT('Ctrl Pts',(-0.6875629695849,1.98653649970741,-0.710874406675092)); #122621=CARTESIAN_POINT('',(-0.700062315925658,1.98956458041123,-0.709681693993205)); #122622=CARTESIAN_POINT('Origin',(-0.691924339112165,1.99426304351516,-0.706261492559948)); #122623=CARTESIAN_POINT('Ctrl Pts',(-0.700062315925659,1.98956458041123, -0.709681693993205)); #122624=CARTESIAN_POINT('Ctrl Pts',(-0.699903959775879,1.99131237974864, -0.712459503885539)); #122625=CARTESIAN_POINT('Ctrl Pts',(-0.699316684923803,1.99253550403582, -0.715537110181422)); #122626=CARTESIAN_POINT('Ctrl Pts',(-0.69837052828186,1.99314399095439, -0.718624283365875)); #122627=CARTESIAN_POINT('Ctrl Pts',(-0.6875629695849,1.98653649970741,-0.710874406675092)); #122628=CARTESIAN_POINT('Ctrl Pts',(-0.689201107029367,1.98308008732535, -0.706633790338523)); #122629=CARTESIAN_POINT('Ctrl Pts',(-0.69372635943074,1.97559666356471, -0.704949340709447)); #122630=CARTESIAN_POINT('Ctrl Pts',(-0.697897565816135,1.96906872985504, -0.705191123608425)); #122631=CARTESIAN_POINT('Ctrl Pts',(-0.697921681179909,1.96903099364047, -0.70519254659845)); #122632=CARTESIAN_POINT('Ctrl Pts',(-0.697945655858611,1.96899349413981, -0.705194040132603)); #122633=CARTESIAN_POINT('Ctrl Pts',(-0.689966315642355,1.98560980125776, -0.71159449467321)); #122634=CARTESIAN_POINT('Ctrl Pts',(-0.691214114074621,1.98322937095897, -0.707860761065654)); #122635=CARTESIAN_POINT('Ctrl Pts',(-0.694652411453283,1.97587060070128, -0.704796746774228)); #122636=CARTESIAN_POINT('Ctrl Pts',(-0.69790811790815,1.96907108959048, -0.705190426566369)); #122637=CARTESIAN_POINT('Ctrl Pts',(-0.697926939652473,1.96903226754356, -0.705192043141555)); #122638=CARTESIAN_POINT('Ctrl Pts',(-0.697945655858611,1.96899349413981, -0.705194040132603)); #122639=CARTESIAN_POINT('Ctrl Pts',(-0.695007485432394,1.98553151831732, -0.711931135100752)); #122640=CARTESIAN_POINT('Ctrl Pts',(-0.694968364445918,1.98387784708895, -0.708674950120023)); #122641=CARTESIAN_POINT('Ctrl Pts',(-0.696239043141962,1.97628528035247, -0.704588329166841)); #122642=CARTESIAN_POINT('Ctrl Pts',(-0.697926717058366,1.96907547422058, -0.705188916221853)); #122643=CARTESIAN_POINT('Ctrl Pts',(-0.69793614197946,1.96903449687396, -0.705191162091988)); #122644=CARTESIAN_POINT('Ctrl Pts',(-0.697945655858611,1.96899349413981, -0.705194040132604)); #122645=CARTESIAN_POINT('Ctrl Pts',(-0.698900586583818,1.98815144265633, -0.7105046136078)); #122646=CARTESIAN_POINT('Ctrl Pts',(-0.69824167522371,1.98500103525744, -0.706834689434695)); #122647=CARTESIAN_POINT('Ctrl Pts',(-0.697867133960294,1.97663564067192, -0.704506928368146)); #122648=CARTESIAN_POINT('Ctrl Pts',(-0.697945141012668,1.96908011286669, -0.705186795945092)); #122649=CARTESIAN_POINT('Ctrl Pts',(-0.697945344306446,1.96903672620437, -0.70519028104242)); #122650=CARTESIAN_POINT('Ctrl Pts',(-0.697945655858611,1.96899349413981, -0.705194040132603)); #122651=CARTESIAN_POINT('Ctrl Pts',(-0.700062315925658,1.98956458041123, -0.709681693993204)); #122652=CARTESIAN_POINT('Ctrl Pts',(-0.699442246319298,1.98556007092232, -0.705655928764949)); #122653=CARTESIAN_POINT('Ctrl Pts',(-0.698586500695679,1.97677406368723, -0.704483972361789)); #122654=CARTESIAN_POINT('Ctrl Pts',(-0.697952948546704,1.96908214667088, -0.705185821496052)); #122655=CARTESIAN_POINT('Ctrl Pts',(-0.697949288160869,1.96903768163168, -0.705189903449748)); #122656=CARTESIAN_POINT('Ctrl Pts',(-0.697945655858611,1.96899349413981, -0.705194040132603)); #122657=CARTESIAN_POINT('',(-0.697945655858685,1.96899349413994,-0.705194040132588)); #122658=CARTESIAN_POINT('Ctrl Pts',(-0.6875629695849,1.98653649970741,-0.710874406675092)); #122659=CARTESIAN_POINT('Ctrl Pts',(-0.689201107029367,1.98308008732535, -0.706633790338523)); #122660=CARTESIAN_POINT('Ctrl Pts',(-0.69372635943074,1.97559666356471, -0.704949340709447)); #122661=CARTESIAN_POINT('Ctrl Pts',(-0.697897565816135,1.96906872985504, -0.705191123608425)); #122662=CARTESIAN_POINT('Ctrl Pts',(-0.697921681179909,1.96903099364047, -0.70519254659845)); #122663=CARTESIAN_POINT('Ctrl Pts',(-0.697945655858611,1.96899349413981, -0.705194040132603)); #122664=CARTESIAN_POINT('Ctrl Pts',(-0.697945655858611,1.96899349413981, -0.705194040132603)); #122665=CARTESIAN_POINT('Ctrl Pts',(-0.697949288160869,1.96903768163168, -0.705189903449748)); #122666=CARTESIAN_POINT('Ctrl Pts',(-0.697952948546704,1.96908214667088, -0.705185821496052)); #122667=CARTESIAN_POINT('Ctrl Pts',(-0.698586500695679,1.97677406368723, -0.704483972361789)); #122668=CARTESIAN_POINT('Ctrl Pts',(-0.699442246319298,1.98556007092232, -0.705655928764949)); #122669=CARTESIAN_POINT('Ctrl Pts',(-0.700062315925658,1.98956458041123, -0.709681693993204)); #122670=CARTESIAN_POINT('Ctrl Pts',(-0.697945655858611,1.96899349413981, -0.705194040132603)); #122671=CARTESIAN_POINT('Ctrl Pts',(-0.646200938516472,1.99892471574912, -0.706432825393851)); #122672=CARTESIAN_POINT('Ctrl Pts',(-0.594424484404791,2.02886564695208, -0.7072417749397)); #122673=CARTESIAN_POINT('Ctrl Pts',(-0.542623356177088,2.05881220360607, -0.707620778424036)); #122674=CARTESIAN_POINT('Ctrl Pts',(-0.699320369719608,1.97138552069101, -0.70488228374992)); #122675=CARTESIAN_POINT('Ctrl Pts',(-0.647575189244579,2.00131280837136, -0.70612928454315)); #122676=CARTESIAN_POINT('Ctrl Pts',(-0.595797752580212,2.03124993485479, -0.706945867105548)); #122677=CARTESIAN_POINT('Ctrl Pts',(-0.54399591578146,2.06119260681398, -0.707333056813613)); #122678=CARTESIAN_POINT('Ctrl Pts',(-0.702235975679124,1.97635569791738, -0.706702338785833)); #122679=CARTESIAN_POINT('Ctrl Pts',(-0.650475439828544,2.00627487207032, -0.707957516411298)); #122680=CARTESIAN_POINT('Ctrl Pts',(-0.598683009417147,2.03620362045647, -0.708782958002408)); #122681=CARTESIAN_POINT('Ctrl Pts',(-0.546865615516123,2.06613824934224, -0.709178064719213)); #122682=CARTESIAN_POINT('Ctrl Pts',(-0.703611318951384,1.97850847604888, -0.712178651167943)); #122683=CARTESIAN_POINT('Ctrl Pts',(-0.651823295624982,2.00843323743767, -0.713425836363451)); #122684=CARTESIAN_POINT('Ctrl Pts',(-0.600003618681802,2.03836821317676, -0.714242656482126)); #122685=CARTESIAN_POINT('Ctrl Pts',(-0.548158997479399,2.06830831062435, -0.714629358866943)); #122686=CARTESIAN_POINT('Ctrl Pts',(-0.703685490949305,1.97852159314638, -0.714954105674845)); #122687=CARTESIAN_POINT('Ctrl Pts',(-0.651885866807215,2.00845317013993, -0.71619695299649)); #122688=CARTESIAN_POINT('Ctrl Pts',(-0.600054472219399,2.03839441720469, -0.717009512859223)); #122689=CARTESIAN_POINT('Ctrl Pts',(-0.548198377333631,2.06834125015495, -0.717391674424461)); #122690=CARTESIAN_POINT('',(-0.548198377333632,2.06834125015495,-0.717391674424485)); #122691=CARTESIAN_POINT('Origin',(-0.004999238475782,2.19118332774227,8.74778134349794)); #122692=CARTESIAN_POINT('',(-0.542623356177087,2.05881220360607,-0.707620778424035)); #122693=CARTESIAN_POINT('Origin',(-0.543199138857851,2.05968231511574,-0.717566198488834)); #122694=CARTESIAN_POINT('Origin',(0.,2.18252439270306,8.74760681943357)); #122695=CARTESIAN_POINT('Origin',(-0.50079771849701,2.1060070927514,-1.228375)); #122696=CARTESIAN_POINT('Ctrl Pts',(-0.548198377333632,2.06834125015495, -0.717391674424485)); #122697=CARTESIAN_POINT('Ctrl Pts',(-0.547387443948666,2.07185404444617, -0.868448253865754)); #122698=CARTESIAN_POINT('Ctrl Pts',(-0.546564500148189,2.07537376840705, -1.01950460771105)); #122699=CARTESIAN_POINT('Ctrl Pts',(-0.545729126000248,2.07890066427202, -1.17056072537326)); #122700=CARTESIAN_POINT('Ctrl Pts',(-0.534910204348595,2.06527365717756, -0.717391674424485)); #122701=CARTESIAN_POINT('Ctrl Pts',(-0.533966522438193,2.06922311283164, -0.888742686563047)); #122702=CARTESIAN_POINT('Ctrl Pts',(-0.533007296076403,2.07318086611347, -1.06009340841607)); #122703=CARTESIAN_POINT('Ctrl Pts',(-0.532031918138398,2.07714723889613, -1.23144382453036)); #122704=CARTESIAN_POINT('Ctrl Pts',(-0.536309278297302,2.0673464566075, -0.717350982636984)); #122705=CARTESIAN_POINT('Ctrl Pts',(-0.535360550724086,2.07130784273939, -0.888701701233315)); #122706=CARTESIAN_POINT('Ctrl Pts',(-0.534396686240441,2.07527736937335, -1.06005213720976)); #122707=CARTESIAN_POINT('Ctrl Pts',(-0.533415883348846,2.07925598249368, -1.23140224638682)); #122708=CARTESIAN_POINT('Ctrl Pts',(-0.540731963814089,2.07036960283856, -0.717304422682355)); #122709=CARTESIAN_POINT('Ctrl Pts',(-0.539788076883043,2.07435458096456, -0.888654781029717)); #122710=CARTESIAN_POINT('Ctrl Pts',(-0.538828340544993,2.07834854058559, -1.06000483057506)); #122711=CARTESIAN_POINT('Ctrl Pts',(-0.537852230593049,2.08235159765601, -1.23135456190003)); #122712=CARTESIAN_POINT('Ctrl Pts',(-0.546032282444756,2.06959102546399, -0.717350982636984)); #122713=CARTESIAN_POINT('Ctrl Pts',(-0.545106315040084,2.07357950269367, -0.888701701233315)); #122714=CARTESIAN_POINT('Ctrl Pts',(-0.544164641245587,2.07757633335942, -1.06005213720976)); #122715=CARTESIAN_POINT('Ctrl Pts',(-0.543206988176856,2.0815831047653, -1.23140224638682)); #122716=CARTESIAN_POINT('Ctrl Pts',(-0.548198377333632,2.06834125015495, -0.717391674424485)); #122717=CARTESIAN_POINT('Ctrl Pts',(-0.547278495149057,2.07232598792315, -0.888742686563047)); #122718=CARTESIAN_POINT('Ctrl Pts',(-0.546343158566636,2.0763196424413, -1.06009340841607)); #122719=CARTESIAN_POINT('Ctrl Pts',(-0.545391754643489,2.08032256728066, -1.23144382453036)); #122720=CARTESIAN_POINT('',(-0.532049385080332,2.07707620350384,-1.22837499999999)); #122721=CARTESIAN_POINT('Ctrl Pts',(-0.532049385080676,2.07707620350365, -1.228375)); #122722=CARTESIAN_POINT('Ctrl Pts',(-0.532043563342131,2.07709988166066, -1.22939794152101)); #122723=CARTESIAN_POINT('Ctrl Pts',(-0.532037741028082,2.0771235601248, -1.23042088303113)); #122724=CARTESIAN_POINT('Ctrl Pts',(-0.532031918138398,2.07714723889613, -1.23144382453036)); #122725=CARTESIAN_POINT('',(-0.534910204348517,2.06527365717745,-0.717391674424487)); #122726=CARTESIAN_POINT('Ctrl Pts',(-0.532049385080676,2.07707620350365, -1.228375)); #122727=CARTESIAN_POINT('Ctrl Pts',(-0.533018748455815,2.07313361178131, -1.05804752175682)); #122728=CARTESIAN_POINT('Ctrl Pts',(-0.533972156106381,2.06919953505354, -0.887719741505628)); #122729=CARTESIAN_POINT('Ctrl Pts',(-0.534910204348595,2.06527365717756, -0.717391674424485)); #122730=CARTESIAN_POINT('Origin',(-0.543199138857849,2.05968231511574,-0.717566198488858)); #122731=CARTESIAN_POINT('Ctrl Pts',(-0.53203191813837,2.07714723889609, -1.23144382453036)); #122732=CARTESIAN_POINT('Ctrl Pts',(-0.545329176160382,2.09729288398487, -1.22742010582526)); #122733=CARTESIAN_POINT('Ctrl Pts',(-0.557419903494387,2.11823463802783, -1.22742010582526)); #122734=CARTESIAN_POINT('Ctrl Pts',(-0.568217914905893,2.13982322381996, -1.23144382453036)); #122735=CARTESIAN_POINT('Ctrl Pts',(-0.531948491439855,2.07698484606539, -1.23033795230236)); #122736=CARTESIAN_POINT('Ctrl Pts',(-0.545318295638204,2.09722851862243, -1.22631512551442)); #122737=CARTESIAN_POINT('Ctrl Pts',(-0.557470205272301,2.11827624351767, -1.22631512551442)); #122738=CARTESIAN_POINT('Ctrl Pts',(-0.568316837873416,2.13997666987558, -1.23033795230236)); #122739=CARTESIAN_POINT('Ctrl Pts',(-0.532024099532331,2.07671819041006, -1.22923563384742)); #122740=CARTESIAN_POINT('Ctrl Pts',(-0.545464508301863,2.09706977006088, -1.22521355634738)); #122741=CARTESIAN_POINT('Ctrl Pts',(-0.557680791891245,2.11822899391736, -1.22521355634738)); #122742=CARTESIAN_POINT('Ctrl Pts',(-0.568585572491227,2.14004451917443, -1.22923563384742)); #122743=CARTESIAN_POINT('Ctrl Pts',(-0.532254539354185,2.07636209534534, -1.22819814716147)); #122744=CARTESIAN_POINT('Ctrl Pts',(-0.545759833803928,2.09682492382404, -1.22417442845638)); #122745=CARTESIAN_POINT('Ctrl Pts',(-0.558040497703401,2.1180956576486, -1.22417442845638)); #122746=CARTESIAN_POINT('Ctrl Pts',(-0.56900917977437,2.14002299996702, -1.22819814716147)); #122747=CARTESIAN_POINT('',(-0.565649554113088,2.1334068267176,-1.22710309757415)); #122748=CARTESIAN_POINT('Ctrl Pts',(-0.53630447272672,2.08257973911546, -1.22710321339583)); #122749=CARTESIAN_POINT('Ctrl Pts',(-0.546886877956078,2.0990427037574, -1.22453940637826)); #122750=CARTESIAN_POINT('Ctrl Pts',(-0.556683366017187,2.11601071881498, -1.22453940637826)); #122751=CARTESIAN_POINT('Ctrl Pts',(-0.565649509004027,2.13340683289771, -1.22710321339583)); #122752=CARTESIAN_POINT('',(-0.568217914905893,2.13982322381996,-1.23144382453036)); #122753=CARTESIAN_POINT('Ctrl Pts',(-0.56821791490587,2.13982322381992, -1.23144382453035)); #122754=CARTESIAN_POINT('Ctrl Pts',(-0.568198371027782,2.13978332467456, -1.23141527973358)); #122755=CARTESIAN_POINT('Ctrl Pts',(-0.568178882250753,2.13974340328026, -1.2313867493532)); #122756=CARTESIAN_POINT('Ctrl Pts',(-0.567162741950567,2.13765436300602, -1.22989532019109)); #122757=CARTESIAN_POINT('Ctrl Pts',(-0.566306714362546,2.1355327769777, -1.22845996034248)); #122758=CARTESIAN_POINT('Ctrl Pts',(-0.565649554113088,2.1334068267176, -1.22710309757415)); #122759=CARTESIAN_POINT('Ctrl Pts',(-0.568217914905893,2.13982322381996, -1.23144382453036)); #122760=CARTESIAN_POINT('Ctrl Pts',(-0.557419903494387,2.11823463802783, -1.22742010582526)); #122761=CARTESIAN_POINT('Ctrl Pts',(-0.545329176160382,2.09729288398487, -1.22742010582526)); #122762=CARTESIAN_POINT('Ctrl Pts',(-0.53203191813837,2.07714723889609, -1.23144382453036)); #122763=CARTESIAN_POINT('Ctrl Pts',(-0.56821791490587,2.13982322381992, -1.23144382453035)); #122764=CARTESIAN_POINT('Ctrl Pts',(-0.568198371027782,2.13978332467456, -1.23141527973358)); #122765=CARTESIAN_POINT('Ctrl Pts',(-0.568178882250753,2.13974340328026, -1.2313867493532)); #122766=CARTESIAN_POINT('Ctrl Pts',(-0.567162741950567,2.13765436300602, -1.22989532019109)); #122767=CARTESIAN_POINT('Ctrl Pts',(-0.566306714362546,2.1355327769777, -1.22845996034248)); #122768=CARTESIAN_POINT('Ctrl Pts',(-0.565649554113088,2.1334068267176, -1.22710309757415)); #122769=CARTESIAN_POINT('Ctrl Pts',(-0.56821791490587,2.13982322381992, -1.23144382453035)); #122770=CARTESIAN_POINT('Ctrl Pts',(-0.568202143126768,2.13979065011281, -1.23140853777771)); #122771=CARTESIAN_POINT('Ctrl Pts',(-0.568186576901056,2.13975792786962, -1.23137325205227)); #122772=CARTESIAN_POINT('Ctrl Pts',(-0.567373165142391,2.13804855915569, -1.22952908032024)); #122773=CARTESIAN_POINT('Ctrl Pts',(-0.566841263617261,2.13622871842384, -1.22774354388918)); #122774=CARTESIAN_POINT('Ctrl Pts',(-0.566609854722348,2.13436584167884, -1.22613560845246)); #122775=CARTESIAN_POINT('Ctrl Pts',(-0.56821791490587,2.13982322381992, -1.23144382453035)); #122776=CARTESIAN_POINT('Ctrl Pts',(-0.568205946643638,2.13979793459604, -1.23140179126079)); #122777=CARTESIAN_POINT('Ctrl Pts',(-0.568194191535714,2.13977251939489, -1.23135975845504)); #122778=CARTESIAN_POINT('Ctrl Pts',(-0.567579722076961,2.13844404901499, -1.22916258283862)); #122779=CARTESIAN_POINT('Ctrl Pts',(-0.567243627098171,2.13699359225119, -1.22702225016684)); #122780=CARTESIAN_POINT('Ctrl Pts',(-0.56722317714487,2.1355236265941,-1.22502370395037)); #122781=CARTESIAN_POINT('Ctrl Pts',(-0.56821791490587,2.13982322381992, -1.23144382453035)); #122782=CARTESIAN_POINT('Ctrl Pts',(-0.568209789547144,2.13980520367099, -1.23139504204774)); #122783=CARTESIAN_POINT('Ctrl Pts',(-0.568201717787062,2.13978715149124, -1.23134626734432)); #122784=CARTESIAN_POINT('Ctrl Pts',(-0.567782585119124,2.13884140257586, -1.22879588898962)); #122785=CARTESIAN_POINT('Ctrl Pts',(-0.567511931689589,2.13782349966429, -1.22629894054916)); #122786=CARTESIAN_POINT('Ctrl Pts',(-0.567415622879457,2.13674068131337, -1.22390135614058)); #122787=CARTESIAN_POINT('',(-0.567415622879457,2.13674068131337,-1.22390135614058)); #122788=CARTESIAN_POINT('Origin',(-0.557511577299595,2.13810528982153,-1.22368289614089)); #122789=CARTESIAN_POINT('Ctrl Pts',(-0.567415622879457,2.13674068131337, -1.22390135614058)); #122790=CARTESIAN_POINT('Ctrl Pts',(-0.567511931689589,2.13782349966429, -1.22629894054916)); #122791=CARTESIAN_POINT('Ctrl Pts',(-0.567782585119124,2.13884140257586, -1.22879588898962)); #122792=CARTESIAN_POINT('Ctrl Pts',(-0.568201717787062,2.13978715149124, -1.23134626734432)); #122793=CARTESIAN_POINT('Ctrl Pts',(-0.568209789547144,2.13980520367099, -1.23139504204774)); #122794=CARTESIAN_POINT('Ctrl Pts',(-0.56821791490587,2.13982322381992, -1.23144382453035)); #122795=CARTESIAN_POINT('Ctrl Pts',(-0.57354800780867,2.12708438122567, -1.17056072536997)); #122796=CARTESIAN_POINT('Ctrl Pts',(-0.572180489526058,2.12771462419754, -1.17846403124023)); #122797=CARTESIAN_POINT('Ctrl Pts',(-0.570095351687855,2.12913667412598, -1.19172297357252)); #122798=CARTESIAN_POINT('Ctrl Pts',(-0.567949165239453,2.13228942175752, -1.20979660965484)); #122799=CARTESIAN_POINT('Ctrl Pts',(-0.567250398954517,2.13488303716129, -1.21978814670713)); #122800=CARTESIAN_POINT('Ctrl Pts',(-0.567415622879457,2.13674068131337, -1.22390135614058)); #122801=CARTESIAN_POINT('Ctrl Pts',(-0.575662684126624,2.12584549876592, -1.17145247361244)); #122802=CARTESIAN_POINT('Ctrl Pts',(-0.573948499922907,2.12627904968815, -1.17927606746043)); #122803=CARTESIAN_POINT('Ctrl Pts',(-0.571246185745418,2.12756581688123, -1.19243650762496)); #122804=CARTESIAN_POINT('Ctrl Pts',(-0.568294569622673,2.13096392180701, -1.21041110466174)); #122805=CARTESIAN_POINT('Ctrl Pts',(-0.567227635956498,2.13395660829005, -1.22036225088432)); #122806=CARTESIAN_POINT('Ctrl Pts',(-0.567319400012163,2.13613215395374, -1.22446253004548)); #122807=CARTESIAN_POINT('Ctrl Pts',(-0.578791656157131,2.12151336587952, -1.17318895406756)); #122808=CARTESIAN_POINT('Ctrl Pts',(-0.576337397218983,2.12204591490772, -1.18086148349521)); #122809=CARTESIAN_POINT('Ctrl Pts',(-0.572508803831444,2.12371179772549, -1.19383363468752)); #122810=CARTESIAN_POINT('Ctrl Pts',(-0.568371968925327,2.1281862060775, -1.21162641205675)); #122811=CARTESIAN_POINT('Ctrl Pts',(-0.566852005871119,2.13210906069709, -1.22150274411027)); #122812=CARTESIAN_POINT('Ctrl Pts',(-0.566919428812491,2.13494375525545, -1.22558024366217)); #122813=CARTESIAN_POINT('Ctrl Pts',(-0.577977924329747,2.11599262736899, -1.17384659568389)); #122814=CARTESIAN_POINT('Ctrl Pts',(-0.575347225049052,2.11710363935229, -1.18161193359003)); #122815=CARTESIAN_POINT('Ctrl Pts',(-0.571351194167924,2.11969621378449, -1.19469523799729)); #122816=CARTESIAN_POINT('Ctrl Pts',(-0.567216262446715,2.12557074967176, -1.21259235409532)); #122817=CARTESIAN_POINT('Ctrl Pts',(-0.565856256649413,2.13042733573188, -1.22251889429335)); #122818=CARTESIAN_POINT('Ctrl Pts',(-0.566129704417718,2.13388633419822, -1.2266193530133)); #122819=CARTESIAN_POINT('Ctrl Pts',(-0.576686746146382,2.11372698308254, -1.17380640273886)); #122820=CARTESIAN_POINT('Ctrl Pts',(-0.574133887196864,2.11504203692016, -1.18168717800928)); #122821=CARTESIAN_POINT('Ctrl Pts',(-0.570269132567772,2.11797759795249, -1.19491561196092)); #122822=CARTESIAN_POINT('Ctrl Pts',(-0.566392792357461,2.12440752596033, -1.21297195965376)); #122823=CARTESIAN_POINT('Ctrl Pts',(-0.565224918153149,2.12966339589033, -1.22297097427565)); #122824=CARTESIAN_POINT('Ctrl Pts',(-0.565649554113089,2.1334068267176, -1.22710309757414)); #122825=CARTESIAN_POINT('',(-0.576686746146382,2.11372698308254,-1.17380640273886)); #122826=CARTESIAN_POINT('Ctrl Pts',(-0.565649554113089,2.1334068267176, -1.22710309757414)); #122827=CARTESIAN_POINT('Ctrl Pts',(-0.565224918153149,2.12966339589033, -1.22297097427565)); #122828=CARTESIAN_POINT('Ctrl Pts',(-0.566392792357461,2.12440752596033, -1.21297195965376)); #122829=CARTESIAN_POINT('Ctrl Pts',(-0.570269132567772,2.11797759795249, -1.19491561196092)); #122830=CARTESIAN_POINT('Ctrl Pts',(-0.574133887196864,2.11504203692016, -1.18168717800928)); #122831=CARTESIAN_POINT('Ctrl Pts',(-0.576686746146382,2.11372698308254, -1.17380640273886)); #122832=CARTESIAN_POINT('',(-0.57354800780867,2.12708438122567,-1.17056072536997)); #122833=CARTESIAN_POINT('Origin',(-0.568548769332888,2.11842544618647,-1.1703862013056)); #122834=CARTESIAN_POINT('Ctrl Pts',(-0.57354800780867,2.12708438122567, -1.17056072536997)); #122835=CARTESIAN_POINT('Ctrl Pts',(-0.572180489526058,2.12771462419754, -1.17846403124023)); #122836=CARTESIAN_POINT('Ctrl Pts',(-0.570095351687855,2.12913667412598, -1.19172297357252)); #122837=CARTESIAN_POINT('Ctrl Pts',(-0.567949165239453,2.13228942175752, -1.20979660965484)); #122838=CARTESIAN_POINT('Ctrl Pts',(-0.567250398954517,2.13488303716129, -1.21978814670713)); #122839=CARTESIAN_POINT('Ctrl Pts',(-0.567415622879457,2.13674068131337, -1.22390135614058)); #122840=CARTESIAN_POINT('Origin',(-0.568585211843682,2.11840667356149,-1.17027370185164)); #122841=CARTESIAN_POINT('',(-0.727530516103615,2.03602285508838,-0.708145794188389)); #122842=CARTESIAN_POINT('',(-0.724391777765905,2.04938025323152,-0.704900116819506)); #122843=CARTESIAN_POINT('Origin',(-0.719392539290121,2.04072131819231,-0.704725592755132)); #122844=CARTESIAN_POINT('',(-0.573584450319464,2.1270656086007,-1.17044822591601)); #122845=CARTESIAN_POINT('',(-0.576723188657175,2.11370821045756,-1.1736939032849)); #122846=CARTESIAN_POINT('Ctrl Pts',(-0.727530516103615,2.03602285508838, -0.708145794188387)); #122847=CARTESIAN_POINT('Ctrl Pts',(-0.728049924875726,2.03575529212757, -0.706542359025129)); #122848=CARTESIAN_POINT('Ctrl Pts',(-0.728663379827108,2.03565269188207, -0.704941767438574)); #122849=CARTESIAN_POINT('Ctrl Pts',(-0.729356798015667,2.03571966591835, -0.703383863260662)); #122850=CARTESIAN_POINT('Ctrl Pts',(-0.72882169428698,2.03828849937483, -0.708185987133417)); #122851=CARTESIAN_POINT('Ctrl Pts',(-0.729256903685914,2.03800971395268, -0.706762927345986)); #122852=CARTESIAN_POINT('Ctrl Pts',(-0.729783258801736,2.03787457290447, -0.705333155818484)); #122853=CARTESIAN_POINT('Ctrl Pts',(-0.730380790264085,2.03789306450398, -0.703933072986041)); #122854=CARTESIAN_POINT('Ctrl Pts',(-0.72963576321544,2.04380933951112, -0.707528437757779)); #122855=CARTESIAN_POINT('Ctrl Pts',(-0.729977946524679,2.04346944038521, -0.706493603114188)); #122856=CARTESIAN_POINT('Ctrl Pts',(-0.730388617852901,2.04323497405832, -0.705430508948926)); #122857=CARTESIAN_POINT('Ctrl Pts',(-0.730862365587346,2.04312147726151, -0.704372189206224)); #122858=CARTESIAN_POINT('Ctrl Pts',(-0.726506454083858,2.04814137077177, -0.705791865061972)); #122859=CARTESIAN_POINT('Ctrl Pts',(-0.726957599834539,2.047808457347,-0.704965346650686)); #122860=CARTESIAN_POINT('Ctrl Pts',(-0.727463562995193,2.04756494588487, -0.704119633618487)); #122861=CARTESIAN_POINT('Ctrl Pts',(-0.728007443724885,2.04741457895165, -0.703286032289776)); #122862=CARTESIAN_POINT('Ctrl Pts',(-0.724391777765903,2.04938025323152, -0.704900116819503)); #122863=CARTESIAN_POINT('Ctrl Pts',(-0.724920574267299,2.04909125984181, -0.704091031677528)); #122864=CARTESIAN_POINT('Ctrl Pts',(-0.725496055595404,2.0488849433979, -0.703283353839264)); #122865=CARTESIAN_POINT('Ctrl Pts',(-0.726104482699083,2.04876747278674, -0.702497191073454)); #122866=CARTESIAN_POINT('',(-0.729356798015667,2.03571966591835,-0.703383863260663)); #122867=CARTESIAN_POINT('',(-0.726104482699082,2.04876747278674,-0.702497191073453)); #122868=CARTESIAN_POINT('Origin',(-0.721218821202172,2.04041812902228,-0.699963661827405)); #122869=CARTESIAN_POINT('Ctrl Pts',(-0.726104482699083,2.04876747278674, -0.702497191073454)); #122870=CARTESIAN_POINT('Ctrl Pts',(-0.725496055595404,2.0488849433979, -0.703283353839264)); #122871=CARTESIAN_POINT('Ctrl Pts',(-0.724920574267299,2.04909125984181, -0.704091031677528)); #122872=CARTESIAN_POINT('Ctrl Pts',(-0.724391777765903,2.04938025323152, -0.704900116819503)); #122873=CARTESIAN_POINT('Ctrl Pts',(-0.727530516103615,2.03602285508838, -0.708145794188387)); #122874=CARTESIAN_POINT('Ctrl Pts',(-0.728049924875726,2.03575529212757, -0.706542359025129)); #122875=CARTESIAN_POINT('Ctrl Pts',(-0.728663379827108,2.03565269188207, -0.704941767438574)); #122876=CARTESIAN_POINT('Ctrl Pts',(-0.729356798015667,2.03571966591835, -0.703383863260662)); #122877=CARTESIAN_POINT('Ctrl Pts',(-0.747090314956448,2.04650456303391, -0.694619353995711)); #122878=CARTESIAN_POINT('Ctrl Pts',(-0.747042485366565,2.04650837385076, -0.694622838756679)); #122879=CARTESIAN_POINT('Ctrl Pts',(-0.746994149359875,2.04651222991266, -0.694626414125519)); #122880=CARTESIAN_POINT('Ctrl Pts',(-0.73888626638837,2.04716057884106, -0.69524207049955)); #122881=CARTESIAN_POINT('Ctrl Pts',(-0.729766097184519,2.04806051530763, -0.697765934109725)); #122882=CARTESIAN_POINT('Ctrl Pts',(-0.726104482699083,2.04876747278673, -0.702497191073456)); #122883=CARTESIAN_POINT('Ctrl Pts',(-0.747090314956448,2.04650456303391, -0.694619353995711)); #122884=CARTESIAN_POINT('Ctrl Pts',(-0.747036360090671,2.04649427997877, -0.694609553184132)); #122885=CARTESIAN_POINT('Ctrl Pts',(-0.747007108795571,2.04649708660792, -0.694641189078352)); #122886=CARTESIAN_POINT('Ctrl Pts',(-0.73915229783443,2.04538138066217, -0.695028287741006)); #122887=CARTESIAN_POINT('Ctrl Pts',(-0.731049526397715,2.04500900987478, -0.700301950021735)); #122888=CARTESIAN_POINT('Ctrl Pts',(-0.729910404750684,2.04606168511657, -0.704074873506099)); #122889=CARTESIAN_POINT('Ctrl Pts',(-0.747090314956448,2.04650456303391, -0.694619353995711)); #122890=CARTESIAN_POINT('Ctrl Pts',(-0.747038153031356,2.04648708098039, -0.694610043652153)); #122891=CARTESIAN_POINT('Ctrl Pts',(-0.747012614224525,2.04647501983321, -0.694642677049284)); #122892=CARTESIAN_POINT('Ctrl Pts',(-0.739539310208039,2.04382942879822, -0.695133173006841)); #122893=CARTESIAN_POINT('Ctrl Pts',(-0.732039404157729,2.04104016042158, -0.700571296610696)); #122894=CARTESIAN_POINT('Ctrl Pts',(-0.7314047825125,2.04006646308962,-0.704482282711421)); #122895=CARTESIAN_POINT('Ctrl Pts',(-0.747090314956448,2.04650456303391, -0.694619353995711)); #122896=CARTESIAN_POINT('Ctrl Pts',(-0.747049730455317,2.0464793225315, -0.694624802053234)); #122897=CARTESIAN_POINT('Ctrl Pts',(-0.747008716701657,2.04645381747258, -0.694630362250192)); #122898=CARTESIAN_POINT('Ctrl Pts',(-0.740129343334995,2.04217621011555, -0.695578812376263)); #122899=CARTESIAN_POINT('Ctrl Pts',(-0.732412935019427,2.03744778730452, -0.698486123220982)); #122900=CARTESIAN_POINT('Ctrl Pts',(-0.729356798015666,2.03571966591836, -0.703383863260664)); #122901=CARTESIAN_POINT('',(-0.747090314956455,2.04650456303393,-0.694619353995711)); #122902=CARTESIAN_POINT('Ctrl Pts',(-0.729356798015666,2.03571966591836, -0.703383863260664)); #122903=CARTESIAN_POINT('Ctrl Pts',(-0.732412935019427,2.03744778730452, -0.698486123220982)); #122904=CARTESIAN_POINT('Ctrl Pts',(-0.740129343334995,2.04217621011555, -0.695578812376263)); #122905=CARTESIAN_POINT('Ctrl Pts',(-0.747008716701657,2.04645381747258, -0.694630362250192)); #122906=CARTESIAN_POINT('Ctrl Pts',(-0.747049730455317,2.0464793225315, -0.694624802053234)); #122907=CARTESIAN_POINT('Ctrl Pts',(-0.747090314956448,2.04650456303391, -0.694619353995711)); #122908=CARTESIAN_POINT('Ctrl Pts',(-0.747090314956448,2.04650456303391, -0.694619353995711)); #122909=CARTESIAN_POINT('Ctrl Pts',(-0.747042485366565,2.04650837385076, -0.694622838756679)); #122910=CARTESIAN_POINT('Ctrl Pts',(-0.746994149359875,2.04651222991266, -0.694626414125519)); #122911=CARTESIAN_POINT('Ctrl Pts',(-0.73888626638837,2.04716057884106, -0.69524207049955)); #122912=CARTESIAN_POINT('Ctrl Pts',(-0.729766097184519,2.04806051530763, -0.697765934109725)); #122913=CARTESIAN_POINT('Ctrl Pts',(-0.726104482699083,2.04876747278673, -0.702497191073456)); #122914=CARTESIAN_POINT('Ctrl Pts',(-0.747090314956456,2.04650456303393, -0.694619353995708)); #122915=CARTESIAN_POINT('Ctrl Pts',(-0.73071209333459,2.02070242512223, -0.69818419736274)); #122916=CARTESIAN_POINT('Ctrl Pts',(-0.71433046561618,1.99486528436292, -0.701709108812198)); #122917=CARTESIAN_POINT('Ctrl Pts',(-0.697945655858686,1.96899349413994, -0.705194040132588)); #122918=CARTESIAN_POINT('Ctrl Pts',(-0.745166110936239,2.04773364508008, -0.694665429563738)); #122919=CARTESIAN_POINT('Ctrl Pts',(-0.728784812250008,2.02193203464978, -0.698227024487776)); #122920=CARTESIAN_POINT('Ctrl Pts',(-0.712400125915121,1.99609540826838, -0.701748850560576)); #122921=CARTESIAN_POINT('Ctrl Pts',(-0.69601225709667,1.97022414866124, -0.705230501428583)); #122922=CARTESIAN_POINT('Ctrl Pts',(-0.741924349149934,2.05000036646445, -0.696161510186614)); #122923=CARTESIAN_POINT('Ctrl Pts',(-0.725535569046474,2.02419995451783, -0.699721428054755)); #122924=CARTESIAN_POINT('Ctrl Pts',(-0.709143383640175,1.99836454927827, -0.703241328749094)); #122925=CARTESIAN_POINT('Ctrl Pts',(-0.69274802768689,1.97249449346628, -0.706721257223006)); #122926=CARTESIAN_POINT('Ctrl Pts',(-0.740142935840693,2.05159827181936, -0.699532541688957)); #122927=CARTESIAN_POINT('Ctrl Pts',(-0.723748723575682,2.02579711518916, -0.703096603415195)); #122928=CARTESIAN_POINT('Ctrl Pts',(-0.707351142029509,1.99996093397633, -0.706620680006559)); #122929=CARTESIAN_POINT('Ctrl Pts',(-0.690950337161728,1.97409012386729, -0.710104741819219)); #122930=CARTESIAN_POINT('Ctrl Pts',(-0.739745082611882,2.0520718406248, -0.701129747589653)); #122931=CARTESIAN_POINT('Ctrl Pts',(-0.723349481907002,2.02626982316816, -0.704695967764298)); #122932=CARTESIAN_POINT('Ctrl Pts',(-0.70695047149134,2.00043279890285, -0.708222210746297)); #122933=CARTESIAN_POINT('Ctrl Pts',(-0.690548275660102,1.97456112121123, -0.711708428305945)); #122934=CARTESIAN_POINT('Origin',(0.,-5.63098983011793,8.06401290263816)); #122935=CARTESIAN_POINT('Origin',(0.00813797681349355,-5.626291367014,8.06743310407142)); #122936=CARTESIAN_POINT('Origin',(-0.554493726796398,2.07500568790534,-1.17341920337043)); #122937=CARTESIAN_POINT('Origin',(0.589148154247936,2.13895234544752,-0.707857866798603)); #122938=CARTESIAN_POINT('Origin',(0.589148154247936,2.13895234544752,-0.707857866798603)); #122939=CARTESIAN_POINT('Ctrl Pts',(-0.588523666217591,2.13808248920397, -0.697915363853391)); #122940=CARTESIAN_POINT('Ctrl Pts',(-0.641409632693229,2.10752981496234, -0.697266612575248)); #122941=CARTESIAN_POINT('Ctrl Pts',(-0.694267688855477,2.07700239193096, -0.696167890520174)); #122942=CARTESIAN_POINT('Ctrl Pts',(-0.747090314956448,2.04650456303391, -0.694619353995711)); #122943=CARTESIAN_POINT('Ctrl Pts',(-0.587156964678179,2.1357080066975, -0.69820894658408)); #122944=CARTESIAN_POINT('Ctrl Pts',(-0.640047433501841,2.10515983692138, -0.697567461996056)); #122945=CARTESIAN_POINT('Ctrl Pts',(-0.692910302909296,2.07463662341728, -0.696475347932546)); #122946=CARTESIAN_POINT('Ctrl Pts',(-0.745737631378929,2.04414322363323, -0.694933927120044)); #122947=CARTESIAN_POINT('Ctrl Pts',(-0.584915958276996,2.13176911097692, -0.700817540621854)); #122948=CARTESIAN_POINT('Ctrl Pts',(-0.637825623105549,2.10122743452908, -0.700178839751101)); #122949=CARTESIAN_POINT('Ctrl Pts',(-0.69070745513906,2.07071098445345, -0.699090072161225)); #122950=CARTESIAN_POINT('Ctrl Pts',(-0.743554022519647,2.04022395365485, -0.697551094668598)); #122951=CARTESIAN_POINT('Ctrl Pts',(-0.584147848897761,2.13033566973544, -0.705617224878001)); #122952=CARTESIAN_POINT('Ctrl Pts',(-0.637081174162302,2.09978772386257, -0.704969048717624)); #122953=CARTESIAN_POINT('Ctrl Pts',(-0.689986484332043,2.06926485149928, -0.703870577401929)); #122954=CARTESIAN_POINT('Ctrl Pts',(-0.742856484569161,2.03877161655018, -0.702321808674688)); #122955=CARTESIAN_POINT('Ctrl Pts',(-0.584148915667676,2.13029341045972, -0.707683342736293)); #122956=CARTESIAN_POINT('Ctrl Pts',(-0.637090999933316,2.0997404301845, -0.707031093478092)); #122957=CARTESIAN_POINT('Ctrl Pts',(-0.690005144269675,2.06921265986731, -0.70592840192809)); #122958=CARTESIAN_POINT('Ctrl Pts',(-0.742883820949588,2.03871444248165, -0.704375424958539)); #122959=CARTESIAN_POINT('',(-0.588523666644318,2.13808248994541,-0.697915363655487)); #122960=CARTESIAN_POINT('Origin',(0.,2.67053615094905,8.79030231594744)); #122961=CARTESIAN_POINT('',(-0.584148915667676,2.13029341045972,-0.707683342736293)); #122962=CARTESIAN_POINT('Origin',(-0.589148154143458,2.13895234549893,-0.707857866800666)); #122963=CARTESIAN_POINT('Origin',(0.00499923847578177,2.66187721590984, 8.79047684001182)); #122964=CARTESIAN_POINT('Ctrl Pts',(-0.56821791490587,2.13982322381992, -1.23144382453036)); #122965=CARTESIAN_POINT('Ctrl Pts',(-0.57221466641723,2.14098320938901, -1.05685730921288)); #122966=CARTESIAN_POINT('Ctrl Pts',(-0.576195285022071,2.14215324181088, -0.882270476503299)); #122967=CARTESIAN_POINT('Ctrl Pts',(-0.580160386282272,2.1433329354017, -0.707683342735066)); #122968=CARTESIAN_POINT('Ctrl Pts',(-0.567083671985554,2.13757030299094, -1.23140224638682)); #122969=CARTESIAN_POINT('Ctrl Pts',(-0.57109398784297,2.13873173743733, -1.05681604380335)); #122970=CARTESIAN_POINT('Ctrl Pts',(-0.575087353630293,2.13990367661907, -0.882229502244213)); #122971=CARTESIAN_POINT('Ctrl Pts',(-0.579065543992435,2.1410849990772, -0.707642667313105)); #122972=CARTESIAN_POINT('Ctrl Pts',(-0.566621015932372,2.13218052143707, -1.23135456319553)); #122973=CARTESIAN_POINT('Ctrl Pts',(-0.570650509882837,2.1333585496419, -1.0567687456002)); #122974=CARTESIAN_POINT('Ctrl Pts',(-0.574663321673528,2.13454657581862, -0.882182597534617)); #122975=CARTESIAN_POINT('Ctrl Pts',(-0.57865984797818,2.13574418265815, -0.707596129329581)); #122976=CARTESIAN_POINT('Ctrl Pts',(-0.56996387739462,2.12792739634296, -1.23140224638682)); #122977=CARTESIAN_POINT('Ctrl Pts',(-0.573987246075632,2.12912360279343, -1.05681604380335)); #122978=CARTESIAN_POINT('Ctrl Pts',(-0.57799339228626,2.13032902439178, -0.882229502244213)); #122979=CARTESIAN_POINT('Ctrl Pts',(-0.581983878735448,2.13154421494367, -0.707642667313105)); #122980=CARTESIAN_POINT('Ctrl Pts',(-0.572147918112059,2.12666560182384, -1.23144382453036)); #122981=CARTESIAN_POINT('Ctrl Pts',(-0.576164709072703,2.12786536279574, -1.05685730921288)); #122982=CARTESIAN_POINT('Ctrl Pts',(-0.580164825740736,2.12907475707213, -0.882270476503299)); #122983=CARTESIAN_POINT('Ctrl Pts',(-0.584148915768759,2.13029341040138, -0.707683342735066)); #122984=CARTESIAN_POINT('Ctrl Pts',(-0.573548007922277,2.12708438116001, -1.1705607253734)); #122985=CARTESIAN_POINT('Ctrl Pts',(-0.57709445910594,2.12814665380379, -1.01626850714541)); #122986=CARTESIAN_POINT('Ctrl Pts',(-0.580627946009397,2.12921641634469, -0.861976042508376)); #122987=CARTESIAN_POINT('Ctrl Pts',(-0.584148915667676,2.13029341045972, -0.707683342736293)); #122988=CARTESIAN_POINT('',(-0.580160386282241,2.14333293540164,-0.707683342735065)); #122989=CARTESIAN_POINT('Origin',(-0.589148154244541,2.13895234544059,-0.707857866799439)); #122990=CARTESIAN_POINT('',(-0.568288166831155,2.13984361470063,-1.22837499999902)); #122991=CARTESIAN_POINT('Ctrl Pts',(-0.580160386282272,2.1433329354017, -0.707683342735066)); #122992=CARTESIAN_POINT('Ctrl Pts',(-0.576218517438513,2.14216015389987, -0.88124753138011)); #122993=CARTESIAN_POINT('Ctrl Pts',(-0.572261312556591,2.14099692068476, -1.05481142248411)); #122994=CARTESIAN_POINT('Ctrl Pts',(-0.5682881668315,2.13984361470042,-1.22837499999903)); #122995=CARTESIAN_POINT('Ctrl Pts',(-0.56821791490587,2.13982322381992, -1.23144382453036)); #122996=CARTESIAN_POINT('Ctrl Pts',(-0.568241332768223,2.1398300204352, -1.23042088303081)); #122997=CARTESIAN_POINT('Ctrl Pts',(-0.568264750076725,2.13983681739539, -1.22939794152036)); #122998=CARTESIAN_POINT('Ctrl Pts',(-0.5682881668315,2.13984361470042,-1.22837499999903)); #122999=CARTESIAN_POINT('Origin',(-0.589148154244541,2.13895234544059,-0.707857866799421)); #123000=CARTESIAN_POINT('Origin',(-0.589148154244541,2.13895234544059,-0.707857866799421)); #123001=CARTESIAN_POINT('Origin',(-0.712064468235616,2.0459465972486,-1.228375)); #123002=CARTESIAN_POINT('Ctrl Pts',(-0.0449697806713835,1.77225771510584, -0.748277691349337)); #123003=CARTESIAN_POINT('Ctrl Pts',(-0.0448842018933776,1.71616362516755, -0.753185698237834)); #123004=CARTESIAN_POINT('Ctrl Pts',(-0.0447986231356437,1.66006953512762, -0.758093703964944)); #123005=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443981819,1.60397544498603, -0.763001708530667)); #123006=CARTESIAN_POINT('Ctrl Pts',(-0.0423887502803942,1.772262700556, -0.748289618487866)); #123007=CARTESIAN_POINT('Ctrl Pts',(-0.042303156306245,1.71616860855921, -0.753197601590242)); #123008=CARTESIAN_POINT('Ctrl Pts',(-0.0422175622014567,1.66007451659326, -0.758105585052208)); #123009=CARTESIAN_POINT('Ctrl Pts',(-0.042131968268512,1.60398042439311, -0.763013565830971)); #123010=CARTESIAN_POINT('Ctrl Pts',(-0.0372617957734114,1.7724564244354, -0.750414216100393)); #123011=CARTESIAN_POINT('Ctrl Pts',(-0.0371761530610168,1.7163623307584, -0.755322179504829)); #123012=CARTESIAN_POINT('Ctrl Pts',(-0.0370905101620371,1.66026823695241, -0.76023014142988)); #123013=CARTESIAN_POINT('Ctrl Pts',(-0.037004867490763,1.60417414307191, -0.765138102509176)); #123014=CARTESIAN_POINT('Ctrl Pts',(-0.0350638958348236,1.77290425549174, -0.755494156028996)); #123015=CARTESIAN_POINT('Ctrl Pts',(-0.0349782260409748,1.71681016429641, -0.760402148137189)); #123016=CARTESIAN_POINT('Ctrl Pts',(-0.0348925576792329,1.66071607303214, -0.765310139484451)); #123017=CARTESIAN_POINT('Ctrl Pts',(-0.0348068879259458,1.6046219816333, -0.770218129267405)); #123018=CARTESIAN_POINT('Ctrl Pts',(-0.0350190215715735,1.77312926261061, -0.758065000848799)); #123019=CARTESIAN_POINT('Ctrl Pts',(-0.0349333519851318,1.71703517271009, -0.762973008168971)); #123020=CARTESIAN_POINT('Ctrl Pts',(-0.0348476824189836,1.66094108270781, -0.767881014326523)); #123021=CARTESIAN_POINT('Ctrl Pts',(-0.034762012873129,1.60484699260378, -0.772789019321456)); #123022=CARTESIAN_POINT('',(-0.0447244970320244,1.61148227538958,-0.762344891418675)); #123023=CARTESIAN_POINT('Ctrl Pts',(-0.0447244970320244,1.61148227538958, -0.762344891418676)); #123024=CARTESIAN_POINT('Ctrl Pts',(-0.0447206794873699,1.6089799985886, -0.762563830458317)); #123025=CARTESIAN_POINT('Ctrl Pts',(-0.0447168619427557,1.60647772178742, -0.762782769495648)); #123026=CARTESIAN_POINT('Ctrl Pts',(-0.0447130443981819,1.60397544498603, -0.763001708530667)); #123027=CARTESIAN_POINT('',(-0.0449697806695179,1.77225771513257,-0.748277691347007)); #123028=CARTESIAN_POINT('Ctrl Pts',(-0.0447244970320243,1.61148227538958, -0.762344891418676)); #123029=CARTESIAN_POINT('Ctrl Pts',(-0.0448062582266403,1.66507408872111, -0.757655825788978)); #123030=CARTESIAN_POINT('Ctrl Pts',(-0.04488801943976,1.71866590195987, -0.752966759099199)); #123031=CARTESIAN_POINT('Ctrl Pts',(-0.0449697806713835,1.77225771510584, -0.748277691349337)); #123032=CARTESIAN_POINT('',(-0.0350190215715736,1.77312926261061,-0.758065000848799)); #123033=CARTESIAN_POINT('Origin',(-0.0450174985231375,1.77312926261061, -0.758239524913172)); #123034=CARTESIAN_POINT('Ctrl Pts',(-0.0350190215715735,1.77312926261061, -0.758065000848799)); #123035=CARTESIAN_POINT('Ctrl Pts',(-0.0349438243067803,1.72389216101151, -0.762373049263543)); #123036=CARTESIAN_POINT('Ctrl Pts',(-0.0348686270576224,1.67465505933401, -0.766681096782532)); #123037=CARTESIAN_POINT('Ctrl Pts',(-0.0347934298241,1.62541795757812,-0.770989143405769)); #123038=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337308744,1.79087013940396, -1.23142423405683)); #123039=CARTESIAN_POINT('Ctrl Pts',(-0.0389003140944545,1.78826611053825, -1.07363807821566)); #123040=CARTESIAN_POINT('Ctrl Pts',(-0.0416148126350736,1.78567759732199, -0.915851663112653)); #123041=CARTESIAN_POINT('Ctrl Pts',(-0.0443298099366918,1.78310406219923, -0.758065000850851)); #123042=CARTESIAN_POINT('Ctrl Pts',(-0.0336681265031711,1.79072596096177, -1.23138265594783)); #123043=CARTESIAN_POINT('Ctrl Pts',(-0.0363893704117903,1.78811197018517, -1.07359678274596)); #123044=CARTESIAN_POINT('Ctrl Pts',(-0.0391111255096437,1.78551432073023, -0.915810631362916)); #123045=CARTESIAN_POINT('Ctrl Pts',(-0.0418333197744554,1.78293123451757, -0.758024240351835)); #123046=CARTESIAN_POINT('Ctrl Pts',(-0.0287686056023001,1.78843222083032, -1.23133495602644)); #123047=CARTESIAN_POINT('Ctrl Pts',(-0.0315115035254784,1.78581071808053, -1.07354943076489)); #123048=CARTESIAN_POINT('Ctrl Pts',(-0.0342546797276115,1.78320507298318, -0.915763636469493)); #123049=CARTESIAN_POINT('Ctrl Pts',(-0.0369979640546268,1.78061494575639, -0.757977578430333)); #123050=CARTESIAN_POINT('Ctrl Pts',(-0.0267572311701483,1.78341017724803, -1.23138265594783)); #123051=CARTESIAN_POINT('Ctrl Pts',(-0.029511589057539,1.7808016831438, -1.07359678274596)); #123052=CARTESIAN_POINT('Ctrl Pts',(-0.0322653754993758,1.77820915030809, -0.915810631362916)); #123053=CARTESIAN_POINT('Ctrl Pts',(-0.0350197330486943,1.77563172785531, -0.758024240351835)); #123054=CARTESIAN_POINT('Ctrl Pts',(-0.0267565054215562,1.78088784607665, -1.23142423405683)); #123055=CARTESIAN_POINT('Ctrl Pts',(-0.0295106730165376,1.77828610650597, -1.07363807821566)); #123056=CARTESIAN_POINT('Ctrl Pts',(-0.032264845136951,1.77570009667603, -0.915851663112653)); #123057=CARTESIAN_POINT('Ctrl Pts',(-0.0350190215715374,1.77312926266445, -0.758065000850851)); #123058=CARTESIAN_POINT('Ctrl Pts',(-0.0277670128327407,1.77993396969677, -1.17353230324247)); #123059=CARTESIAN_POINT('Ctrl Pts',(-0.0301843456603271,1.77765379354039, -1.03504339830126)); #123060=CARTESIAN_POINT('Ctrl Pts',(-0.0326016819542063,1.77538568270629, -0.896554294776264)); #123061=CARTESIAN_POINT('Ctrl Pts',(-0.0350190215715374,1.77312926266445, -0.758065000850851)); #123062=CARTESIAN_POINT('',(-0.044329809811906,1.78310406219059,-0.758065000848844)); #123063=CARTESIAN_POINT('Origin',(-0.0450174985231017,1.77312926266445, -0.758239524915224)); #123064=CARTESIAN_POINT('',(-0.0362387817508132,1.79081981820445,-1.22837499999923)); #123065=CARTESIAN_POINT('Ctrl Pts',(-0.0443298099366918,1.78310406219923, -0.758065000850851)); #123066=CARTESIAN_POINT('Ctrl Pts',(-0.0416323018376943,1.78566101937653, -0.91483524850867)); #123067=CARTESIAN_POINT('Ctrl Pts',(-0.0389352860946532,1.78823276230002, -1.07160525218169)); #123068=CARTESIAN_POINT('Ctrl Pts',(-0.036238781750803,1.79081981820412, -1.22837499999924)); #123069=CARTESIAN_POINT('Ctrl Pts',(-0.0361863337308744,1.79087013940396, -1.23142423405683)); #123070=CARTESIAN_POINT('Ctrl Pts',(-0.0362038163826836,1.7908533650269, -1.23040782271506)); #123071=CARTESIAN_POINT('Ctrl Pts',(-0.0362212990559949,1.79083659129367, -1.22939141136253)); #123072=CARTESIAN_POINT('Ctrl Pts',(-0.036238781750803,1.79081981820412, -1.22837499999924)); #123073=CARTESIAN_POINT('Origin',(-0.045017498523037,1.77312926266433,-0.758239524908472)); #123074=CARTESIAN_POINT('Origin',(-0.045017498523037,1.77312926266433,-0.758239524908472)); #123075=CARTESIAN_POINT('Origin',(-0.0268097299999994,1.61935738,-1.228375)); #123076=CARTESIAN_POINT('Origin',(-0.54319913885785,2.05968231511574,-0.717566198488835)); #123077=CARTESIAN_POINT('Origin',(-0.54319913885785,2.05968231511574,-0.717566198488835)); #123078=CARTESIAN_POINT('Origin',(0.0450174985174649,1.77312926266394,-0.758239524908533)); #123079=CARTESIAN_POINT('Origin',(0.0450174985174649,1.77312926266394,-0.758239524908533)); #123080=CARTESIAN_POINT('Ctrl Pts',(0.542623356177086,2.05881220360607, -0.707620778424036)); #123081=CARTESIAN_POINT('Ctrl Pts',(0.594424484404789,2.02886564695208, -0.7072417749397)); #123082=CARTESIAN_POINT('Ctrl Pts',(0.646200938516473,1.99892471574912, -0.706432825393852)); #123083=CARTESIAN_POINT('Ctrl Pts',(0.697945655858612,1.96899349413981, -0.705194040132603)); #123084=CARTESIAN_POINT('Ctrl Pts',(0.543995915781457,2.06119260681399, -0.707333056813613)); #123085=CARTESIAN_POINT('Ctrl Pts',(0.59579775258021,2.03124993485479,-0.706945867105547)); #123086=CARTESIAN_POINT('Ctrl Pts',(0.64757518924458,2.00131280837137,-0.70612928454315)); #123087=CARTESIAN_POINT('Ctrl Pts',(0.69932036971961,1.97138552069101,-0.70488228374992)); #123088=CARTESIAN_POINT('Ctrl Pts',(0.546865615516122,2.06613824934224, -0.709178064719213)); #123089=CARTESIAN_POINT('Ctrl Pts',(0.598683009417147,2.03620362045647, -0.708782958002407)); #123090=CARTESIAN_POINT('Ctrl Pts',(0.650475439828546,2.00627487207032, -0.707957516411298)); #123091=CARTESIAN_POINT('Ctrl Pts',(0.702235975679126,1.97635569791738, -0.706702338785833)); #123092=CARTESIAN_POINT('Ctrl Pts',(0.548158997479401,2.06830831062435, -0.714629358866945)); #123093=CARTESIAN_POINT('Ctrl Pts',(0.600003618681804,2.03836821317676, -0.714242656482128)); #123094=CARTESIAN_POINT('Ctrl Pts',(0.651823295624985,2.00843323743767, -0.713425836363453)); #123095=CARTESIAN_POINT('Ctrl Pts',(0.703611318951388,1.97850847604888, -0.712178651167945)); #123096=CARTESIAN_POINT('Ctrl Pts',(0.548198377333633,2.06834125015496, -0.717391674424463)); #123097=CARTESIAN_POINT('Ctrl Pts',(0.600054472219401,2.03839441720469, -0.717009512859226)); #123098=CARTESIAN_POINT('Ctrl Pts',(0.651885866807218,2.00845317013993, -0.716196952996493)); #123099=CARTESIAN_POINT('Ctrl Pts',(0.703685490949308,1.97852159314638, -0.714954105674848)); #123100=CARTESIAN_POINT('',(0.542623356177089,2.05881220360607,-0.707620778424036)); #123101=CARTESIAN_POINT('Origin',(0.,2.18252439270306,8.74760681943357)); #123102=CARTESIAN_POINT('',(0.548198377333633,2.06834125015495,-0.717391674424465)); #123103=CARTESIAN_POINT('Origin',(0.543199138857851,2.05968231511574,-0.717566198488836)); #123104=CARTESIAN_POINT('Origin',(0.00499923847578195,2.19118332774227, 8.74778134349794)); #123105=CARTESIAN_POINT('Ctrl Pts',(0.532031918138399,2.07714723889613, -1.23144382453035)); #123106=CARTESIAN_POINT('Ctrl Pts',(0.533007296076402,2.07318086611348, -1.06009340841632)); #123107=CARTESIAN_POINT('Ctrl Pts',(0.533966522438191,2.06922311283165, -0.888742686563554)); #123108=CARTESIAN_POINT('Ctrl Pts',(0.534910204348591,2.06527365717758, -0.717391674425247)); #123109=CARTESIAN_POINT('Ctrl Pts',(0.533415883348847,2.07925598249368, -1.23140224638682)); #123110=CARTESIAN_POINT('Ctrl Pts',(0.534396686240441,2.07527736937335, -1.06005213721001)); #123111=CARTESIAN_POINT('Ctrl Pts',(0.535360550724084,2.0713078427394,-0.888701701233822)); #123112=CARTESIAN_POINT('Ctrl Pts',(0.536309278297298,2.06734645660751, -0.717350982637746)); #123113=CARTESIAN_POINT('Ctrl Pts',(0.53785223059305,2.08235159765601,-1.23135456190003)); #123114=CARTESIAN_POINT('Ctrl Pts',(0.538828340544992,2.0783485405856,-1.06000483057531)); #123115=CARTESIAN_POINT('Ctrl Pts',(0.539788076883041,2.07435458096457, -0.888654781030224)); #123116=CARTESIAN_POINT('Ctrl Pts',(0.540731963814085,2.07036960283858, -0.717304422683117)); #123117=CARTESIAN_POINT('Ctrl Pts',(0.543206988176857,2.0815831047653,-1.23140224638682)); #123118=CARTESIAN_POINT('Ctrl Pts',(0.544164641245586,2.07757633335943, -1.06005213721001)); #123119=CARTESIAN_POINT('Ctrl Pts',(0.545106315040082,2.07357950269369, -0.888701701233822)); #123120=CARTESIAN_POINT('Ctrl Pts',(0.546032282444752,2.069591025464,-0.717350982637746)); #123121=CARTESIAN_POINT('Ctrl Pts',(0.54539175464349,2.08032256728066,-1.23144382453035)); #123122=CARTESIAN_POINT('Ctrl Pts',(0.546343158566635,2.0763196424413,-1.06009340841632)); #123123=CARTESIAN_POINT('Ctrl Pts',(0.547278495149055,2.07232598792317, -0.888742686563554)); #123124=CARTESIAN_POINT('Ctrl Pts',(0.548198377333628,2.06834125015497, -0.717391674425247)); #123125=CARTESIAN_POINT('Ctrl Pts',(0.545729126000249,2.07890066427202, -1.17056072537326)); #123126=CARTESIAN_POINT('Ctrl Pts',(0.546564500148188,2.07537376840705, -1.0195046077113)); #123127=CARTESIAN_POINT('Ctrl Pts',(0.547387443948664,2.07185404444618, -0.868448253866262)); #123128=CARTESIAN_POINT('Ctrl Pts',(0.548198377333628,2.06834125015497, -0.717391674425247)); #123129=CARTESIAN_POINT('',(0.534910204346155,2.06527365717397,-0.717391674425317)); #123130=CARTESIAN_POINT('Origin',(0.543199138857846,2.05968231511576,-0.71756619848962)); #123131=CARTESIAN_POINT('',(0.532049385080338,2.07707620350382,-1.22837499999906)); #123132=CARTESIAN_POINT('Ctrl Pts',(0.534910204348591,2.06527365717758, -0.717391674425247)); #123133=CARTESIAN_POINT('Ctrl Pts',(0.53397215610638,2.06919953505355,-0.887719741505829)); #123134=CARTESIAN_POINT('Ctrl Pts',(0.533018748455818,2.07313361178131, -1.05804752175646)); #123135=CARTESIAN_POINT('Ctrl Pts',(0.532049385080682,2.07707620350363, -1.22837499999907)); #123136=CARTESIAN_POINT('Ctrl Pts',(0.532031918138399,2.07714723889613, -1.23144382453035)); #123137=CARTESIAN_POINT('Ctrl Pts',(0.532037741028084,2.07712356012479, -1.23042088303082)); #123138=CARTESIAN_POINT('Ctrl Pts',(0.532043563342136,2.07709988166065, -1.22939794152039)); #123139=CARTESIAN_POINT('Ctrl Pts',(0.532049385080683,2.07707620350363, -1.22837499999907)); #123140=CARTESIAN_POINT('Origin',(0.543199138857812,2.05968231511569,-0.717566198488841)); #123141=CARTESIAN_POINT('Origin',(0.543199138857812,2.05968231511569,-0.717566198488841)); #123142=CARTESIAN_POINT('Origin',(0.685254738235617,1.9995107827514,-1.228375)); #123143=CARTESIAN_POINT('Ctrl Pts',(0.127941522484805,2.0853523242121,-1.12183594)); #123144=CARTESIAN_POINT('Ctrl Pts',(0.122651062720946,2.08336589987254, -1.12183594)); #123145=CARTESIAN_POINT('Ctrl Pts',(0.117292538703454,2.08151727550279, -1.1197638018269)); #123146=CARTESIAN_POINT('Ctrl Pts',(0.113399863616847,2.08024094971757, -1.11597807562373)); #123147=CARTESIAN_POINT('Ctrl Pts',(0.127941522484805,2.0853523242121,-1.12445393387799)); #123148=CARTESIAN_POINT('Ctrl Pts',(0.122061119824429,2.08313914365888, -1.12460612759535)); #123149=CARTESIAN_POINT('Ctrl Pts',(0.115957315600749,2.08105953568676, -1.12242110541903)); #123150=CARTESIAN_POINT('Ctrl Pts',(0.111443932085396,2.07959964115927, -1.11814427866026)); #123151=CARTESIAN_POINT('Ctrl Pts',(0.127179000606595,2.08738315480323, -1.12966667457027)); #123152=CARTESIAN_POINT('Ctrl Pts',(0.12006350097988,2.08499398850815,-1.13010956423932)); #123153=CARTESIAN_POINT('Ctrl Pts',(0.112425022876854,2.08268002679084, -1.12769493611992)); #123154=CARTESIAN_POINT('Ctrl Pts',(0.106668024417788,2.08105588107229, -1.12244271607692)); #123155=CARTESIAN_POINT('Ctrl Pts',(0.12534666198688,2.09226323684226,-1.13183594)); #123156=CARTESIAN_POINT('Ctrl Pts',(0.117638963962323,2.09017459279437, -1.13207803079412)); #123157=CARTESIAN_POINT('Ctrl Pts',(0.109631656698529,2.08820611077356, -1.12920519601569)); #123158=CARTESIAN_POINT('Ctrl Pts',(0.103690430201815,2.08683222242139, -1.12352637020182)); #123159=CARTESIAN_POINT('Ctrl Pts',(0.124426406871193,2.09471415962098, -1.13183594)); #123160=CARTESIAN_POINT('Ctrl Pts',(0.116708745477975,2.09278231108352, -1.13183594)); #123161=CARTESIAN_POINT('Ctrl Pts',(0.108891792740344,2.0909844765454,-1.12872773274034)); #123162=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.08974321703584, -1.1230491434356)); #123163=CARTESIAN_POINT('',(0.113399863616847,2.08024094971757,-1.11597807562373)); #123164=CARTESIAN_POINT('',(0.103213203435597,2.08974321703584,-1.1230491434356)); #123165=CARTESIAN_POINT('Origin',(0.110284271247462,2.08974321703584,-1.11597807562373)); #123166=CARTESIAN_POINT('',(0.124426406871193,2.09471415962098,-1.13183594)); #123167=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.08974321703584, -1.1230491434356)); #123168=CARTESIAN_POINT('Ctrl Pts',(0.108891792740344,2.0909844765454,-1.12872773274034)); #123169=CARTESIAN_POINT('Ctrl Pts',(0.116708745477975,2.09278231108352, -1.13183594)); #123170=CARTESIAN_POINT('Ctrl Pts',(0.124426406871193,2.09471415962098, -1.13183594)); #123171=CARTESIAN_POINT('',(0.127941522484805,2.0853523242121,-1.12183594)); #123172=CARTESIAN_POINT('Origin',(0.124426406871193,2.09471415962098,-1.12183594)); #123173=CARTESIAN_POINT('Ctrl Pts',(0.127941522484805,2.0853523242121,-1.12183594)); #123174=CARTESIAN_POINT('Ctrl Pts',(0.122651062720946,2.08336589987254, -1.12183594)); #123175=CARTESIAN_POINT('Ctrl Pts',(0.117292538703454,2.08151727550279, -1.1197638018269)); #123176=CARTESIAN_POINT('Ctrl Pts',(0.113399863616847,2.08024094971757, -1.11597807562373)); #123177=CARTESIAN_POINT('Ctrl Pts',(0.113399863616847,2.08024094971757, -1.11597807562373)); #123178=CARTESIAN_POINT('Ctrl Pts',(0.105790002746028,2.07774583737574, -1.10857729105781)); #123179=CARTESIAN_POINT('Ctrl Pts',(0.0980977652803619,2.07550197412756, -1.10109639314395)); #123180=CARTESIAN_POINT('Ctrl Pts',(0.0903398982034406,2.07351424514995, -1.09355166875254)); #123181=CARTESIAN_POINT('Ctrl Pts',(0.111443932085396,2.07959964115927, -1.11814427866026)); #123182=CARTESIAN_POINT('Ctrl Pts',(0.103828164791091,2.07715170861964, -1.11071741952302)); #123183=CARTESIAN_POINT('Ctrl Pts',(0.0961353685491952,2.07495182286562, -1.10321231202084)); #123184=CARTESIAN_POINT('Ctrl Pts',(0.0883771707037838,2.07301135301639, -1.09564324781108)); #123185=CARTESIAN_POINT('Ctrl Pts',(0.106668012539822,2.08105585253776, -1.12244273731076)); #123186=CARTESIAN_POINT('Ctrl Pts',(0.0991184069424667,2.07866762511195, -1.11496686863598)); #123187=CARTESIAN_POINT('Ctrl Pts',(0.0914917621518256,2.07653038714388, -1.10741206340747)); #123188=CARTESIAN_POINT('Ctrl Pts',(0.0838046656791469,2.07464535008726, -1.09979708836327)); #123189=CARTESIAN_POINT('Ctrl Pts',(0.103690430201815,2.08683222242139, -1.12352637020182)); #123190=CARTESIAN_POINT('Ctrl Pts',(0.0962526430942663,2.08441963188784, -1.11608683937787)); #123191=CARTESIAN_POINT('Ctrl Pts',(0.0887336794262838,2.08225191899536, -1.10857124764613)); #123192=CARTESIAN_POINT('Ctrl Pts',(0.081153881855737,2.08033594512631, -1.10098982185574)); #123193=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.08974321703584, -1.1230491434356)); #123194=CARTESIAN_POINT('Ctrl Pts',(0.0958124188696786,2.08731665638997, -1.11564835886968)); #123195=CARTESIAN_POINT('Ctrl Pts',(0.0883315209558173,2.08513444192152, -1.10816746095582)); #123196=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644042,2.08320132459016, -1.1006227365644)); #123197=CARTESIAN_POINT('',(0.0903398982034406,2.07351424514995,-1.09355166875254)); #123198=CARTESIAN_POINT('',(0.0807867965644041,2.08320132459016,-1.1006227365644)); #123199=CARTESIAN_POINT('Origin',(0.0878578643762695,2.08320132459016,-1.09355166875254)); #123200=CARTESIAN_POINT('Origin',(-0.007071067811865,2.4261,-1.01276487218814)); #123201=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.00569380437627)); #123202=CARTESIAN_POINT('Ctrl Pts',(0.0903398982034405,2.07351424514995, -1.09355166875254)); #123203=CARTESIAN_POINT('Ctrl Pts',(0.086573011929299,2.07254908953074, -1.08988827539063)); #123204=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809289,2.0720255278599, -1.0846746963917)); #123205=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809289,2.0720255278599, -1.07940953312881)); #123206=CARTESIAN_POINT('Ctrl Pts',(0.0883771707037837,2.07301135301639, -1.09564324781108)); #123207=CARTESIAN_POINT('Ctrl Pts',(0.0840321712062466,2.0719174755792, -1.09149806568469)); #123208=CARTESIAN_POINT('Ctrl Pts',(0.0813907347594052,2.07132879791442, -1.08549136464263)); #123209=CARTESIAN_POINT('Ctrl Pts',(0.0813907347594052,2.07132879791442, -1.07940953312881)); #123210=CARTESIAN_POINT('Ctrl Pts',(0.0838046473964177,2.0746453114939, -1.09979711653443)); #123211=CARTESIAN_POINT('Ctrl Pts',(0.0783192798254819,2.0734397072826, -1.09469620875354)); #123212=CARTESIAN_POINT('Ctrl Pts',(0.0749117116997936,2.07277906418794, -1.08709913386164)); #123213=CARTESIAN_POINT('Ctrl Pts',(0.0749117116997936,2.07277906418794, -1.07940953312881)); #123214=CARTESIAN_POINT('Ctrl Pts',(0.0811538818557368,2.08033594512631, -1.10098982185574)); #123215=CARTESIAN_POINT('Ctrl Pts',(0.0754555205962859,2.07930504621045, -1.09548631894715)); #123216=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.07874588470212, -1.08750618506303)); #123217=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.07874588470212, -1.07940953312881)); #123218=CARTESIAN_POINT('Ctrl Pts',(0.080786796564404,2.08320132459016, -1.1006227365644)); #123219=CARTESIAN_POINT('Ctrl Pts',(0.075291706521535,2.0822626860353,-1.09512764652153)); #123220=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.08175350890334, -1.08730727802314)); #123221=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.08175350890334, -1.07940953312881)); #123222=CARTESIAN_POINT('',(0.0843165458809289,2.0720255278599,-1.07940953312881)); #123223=CARTESIAN_POINT('',(0.0720000000000004,2.08175350890334,-1.07940953312881)); #123224=CARTESIAN_POINT('Origin',(0.0820000000000004,2.08175350890334,-1.07940953312881)); #123225=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.08175350890334, -1.07940953312881)); #123226=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.08175350890334, -1.08730727802314)); #123227=CARTESIAN_POINT('Ctrl Pts',(0.075291706521535,2.0822626860353,-1.09512764652153)); #123228=CARTESIAN_POINT('Ctrl Pts',(0.080786796564404,2.08320132459016, -1.1006227365644)); #123229=CARTESIAN_POINT('Ctrl Pts',(0.0903398982034405,2.07351424514995, -1.09355166875254)); #123230=CARTESIAN_POINT('Ctrl Pts',(0.086573011929299,2.07254908953074, -1.08988827539063)); #123231=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809289,2.0720255278599, -1.0846746963917)); #123232=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809289,2.0720255278599, -1.07940953312881)); #123233=CARTESIAN_POINT('Origin',(0.0820000000000004,2.08175350890334,-1.03908271)); #123234=CARTESIAN_POINT('',(0.084316545880929,2.0720255278599,-0.996206019)); #123235=CARTESIAN_POINT('',(0.0720000000000004,2.08175350890334,-0.996206019)); #123236=CARTESIAN_POINT('Origin',(0.0820000000000004,2.08175350890334,-0.996206019)); #123237=CARTESIAN_POINT('',(0.0720000000000004,2.08175350890334,-1.03908271)); #123238=CARTESIAN_POINT('',(0.084316545880929,2.0720255278599,-1.03908271)); #123239=CARTESIAN_POINT('Ctrl Pts',(0.084316545880929,2.0720255278599,-0.996206019)); #123240=CARTESIAN_POINT('Ctrl Pts',(0.084316545880929,2.0720255278599,-0.985058750140602)); #123241=CARTESIAN_POINT('Ctrl Pts',(0.0775504968772094,2.07031248371391, -0.966620666976661)); #123242=CARTESIAN_POINT('Ctrl Pts',(0.0562861750684238,2.06628315273093, -0.950236167793892)); #123243=CARTESIAN_POINT('Ctrl Pts',(0.0411606559574556,2.06437883114721, -0.94683594)); #123244=CARTESIAN_POINT('Ctrl Pts',(0.0335517345254587,2.06367443130911, -0.94683594)); #123245=CARTESIAN_POINT('Ctrl Pts',(0.0813907347594052,2.07132879791442, -0.996206019)); #123246=CARTESIAN_POINT('Ctrl Pts',(0.0813907347594052,2.07132879791442, -0.985759186172802)); #123247=CARTESIAN_POINT('Ctrl Pts',(0.0751254325186467,2.06980143147713, -0.968434753771898)); #123248=CARTESIAN_POINT('Ctrl Pts',(0.0551143669624626,2.06612747676659, -0.952778590082099)); #123249=CARTESIAN_POINT('Ctrl Pts',(0.0407713819113735,2.06434203992101, -0.949477187791068)); #123250=CARTESIAN_POINT('Ctrl Pts',(0.0335517345254587,2.06367443130911, -0.949453933877992)); #123251=CARTESIAN_POINT('Ctrl Pts',(0.0749116303812164,2.07277896123092, -0.996206019)); #123252=CARTESIAN_POINT('Ctrl Pts',(0.0749116303812164,2.07277896123092, -0.98713812981507)); #123253=CARTESIAN_POINT('Ctrl Pts',(0.0697403399636203,2.07146275939876, -0.972024041018129)); #123254=CARTESIAN_POINT('Ctrl Pts',(0.0524216274830938,2.06812810506611, -0.957826022360596)); #123255=CARTESIAN_POINT('Ctrl Pts',(0.0397489391751934,2.06646716939878, -0.954734439883326)); #123256=CARTESIAN_POINT('Ctrl Pts',(0.0333517775089702,2.06583436540609, -0.954666770086931)); #123257=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000002,2.07874588470212, -0.996206019)); #123258=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000002,2.07874588470212, -0.987487247499123)); #123259=CARTESIAN_POINT('Ctrl Pts',(0.0669682241345065,2.07719732750187, -0.972993257455047)); #123260=CARTESIAN_POINT('Ctrl Pts',(0.0506517471025408,2.07348087487062, -0.9597184701437)); #123261=CARTESIAN_POINT('Ctrl Pts',(0.0388260857623086,2.07169197449451, -0.956872929426243)); #123262=CARTESIAN_POINT('Ctrl Pts',(0.0328712512166304,2.07102500658263, -0.95683594)); #123263=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000002,2.08175350890334, -0.996206019)); #123264=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000002,2.08175350890334, -0.987316649881741)); #123265=CARTESIAN_POINT('Ctrl Pts',(0.066752666725598,2.08008752960469, -0.972613234260854)); #123266=CARTESIAN_POINT('Ctrl Pts',(0.0502613608950467,2.0761689020429, -0.959547445421403)); #123267=CARTESIAN_POINT('Ctrl Pts',(0.0385309345147533,2.07431690053793, -0.95683594)); #123268=CARTESIAN_POINT('Ctrl Pts',(0.0326299210000004,2.0736318536575, -0.95683594)); #123269=CARTESIAN_POINT('',(0.0335517345254587,2.06367443130911,-0.94683594)); #123270=CARTESIAN_POINT('',(0.0326299210000004,2.0736318536575,-0.95683594)); #123271=CARTESIAN_POINT('Origin',(0.0326299210000004,2.0736318536575,-0.94683594)); #123272=CARTESIAN_POINT('Ctrl Pts',(0.0326299210000004,2.0736318536575, -0.95683594)); #123273=CARTESIAN_POINT('Ctrl Pts',(0.0385309345147533,2.07431690053793, -0.95683594)); #123274=CARTESIAN_POINT('Ctrl Pts',(0.0502613608950467,2.0761689020429, -0.959547445421403)); #123275=CARTESIAN_POINT('Ctrl Pts',(0.066752666725598,2.08008752960469, -0.972613234260854)); #123276=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000002,2.08175350890334, -0.987316649881741)); #123277=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000002,2.08175350890334, -0.996206019)); #123278=CARTESIAN_POINT('Ctrl Pts',(0.084316545880929,2.0720255278599,-0.996206019)); #123279=CARTESIAN_POINT('Ctrl Pts',(0.084316545880929,2.0720255278599,-0.985058750140602)); #123280=CARTESIAN_POINT('Ctrl Pts',(0.0775504968772094,2.07031248371391, -0.966620666976661)); #123281=CARTESIAN_POINT('Ctrl Pts',(0.0562861750684238,2.06628315273093, -0.950236167793892)); #123282=CARTESIAN_POINT('Ctrl Pts',(0.0411606559574556,2.06437883114721, -0.94683594)); #123283=CARTESIAN_POINT('Ctrl Pts',(0.0335517345254587,2.06367443130911, -0.94683594)); #123284=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #123285=CARTESIAN_POINT('',(-0.0335517345254578,2.06367443130911,-0.94683594)); #123286=CARTESIAN_POINT('',(-0.0326299209999996,2.0736318536575,-0.95683594)); #123287=CARTESIAN_POINT('Origin',(-0.0326299209999995,2.0736318536575,-0.94683594)); #123288=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.95683594)); #123289=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #123290=CARTESIAN_POINT('Ctrl Pts',(-0.0335517345254578,2.06367443130911, -0.94683594)); #123291=CARTESIAN_POINT('Ctrl Pts',(-0.0411606538806442,2.06437883095495, -0.94683594)); #123292=CARTESIAN_POINT('Ctrl Pts',(-0.056286886839827,2.06628326835654, -0.950236259019549)); #123293=CARTESIAN_POINT('Ctrl Pts',(-0.0775507343209077,2.07031258490721, -0.966621438381213)); #123294=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.0720255278599, -0.985058753183184)); #123295=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.0720255278599, -0.996206019)); #123296=CARTESIAN_POINT('Ctrl Pts',(-0.0335517345254578,2.06367443130911, -0.949453933877992)); #123297=CARTESIAN_POINT('Ctrl Pts',(-0.0407713799408119,2.06434203973879, -0.949477187784721)); #123298=CARTESIAN_POINT('Ctrl Pts',(-0.0551150410518273,2.06612758390266, -0.952778685929317)); #123299=CARTESIAN_POINT('Ctrl Pts',(-0.0751256480134515,2.06980152044131, -0.968435483174294)); #123300=CARTESIAN_POINT('Ctrl Pts',(-0.0813907347594043,2.07132879791442, -0.985759189024204)); #123301=CARTESIAN_POINT('Ctrl Pts',(-0.0813907347594043,2.07132879791442, -0.996206019)); #123302=CARTESIAN_POINT('Ctrl Pts',(-0.0333517775089694,2.06583436540609, -0.954666770086931)); #123303=CARTESIAN_POINT('Ctrl Pts',(-0.039748937429124,2.06646716922606, -0.954734439864855)); #123304=CARTESIAN_POINT('Ctrl Pts',(-0.0524222209747843,2.06812820451222, -0.957826126586555)); #123305=CARTESIAN_POINT('Ctrl Pts',(-0.069740507337078,2.07146283626004, -0.972024687107793)); #123306=CARTESIAN_POINT('Ctrl Pts',(-0.0749116303812157,2.07277896123092, -0.987138132290095)); #123307=CARTESIAN_POINT('Ctrl Pts',(-0.0749116303812157,2.07277896123092, -0.996206019)); #123308=CARTESIAN_POINT('Ctrl Pts',(-0.0328712512166295,2.07102500658263, -0.95683594)); #123309=CARTESIAN_POINT('Ctrl Pts',(-0.0388260841369695,2.07169197431246, -0.956872929416147)); #123310=CARTESIAN_POINT('Ctrl Pts',(-0.0506523008681378,2.07348098283686, -0.959718557565162)); #123311=CARTESIAN_POINT('Ctrl Pts',(-0.0669683916008944,2.07719741840708, -0.972993870265423)); #123312=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.07874588470212, -0.987487249878858)); #123313=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.07874588470212, -0.996206019)); #123314=CARTESIAN_POINT('Ctrl Pts',(-0.0326299209999996,2.0736318536575, -0.95683594)); #123315=CARTESIAN_POINT('Ctrl Pts',(-0.0385309329041043,2.07431690035095, -0.95683594)); #123316=CARTESIAN_POINT('Ctrl Pts',(-0.0502619129013601,2.07616901449177, -0.959547518169135)); #123317=CARTESIAN_POINT('Ctrl Pts',(-0.0667528508723896,2.08008762801777, -0.972613849415999)); #123318=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.08175350890334, -0.98731665230804)); #123319=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.08175350890334, -0.996206019)); #123320=CARTESIAN_POINT('',(-0.084316545880928,2.0720255278599,-0.996206019)); #123321=CARTESIAN_POINT('',(-0.0719999999999995,2.08175350890334,-0.996206019)); #123322=CARTESIAN_POINT('Origin',(-0.0819999999999995,2.08175350890334, -0.996206019)); #123323=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.08175350890334, -0.996206019)); #123324=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.08175350890334, -0.98731665230804)); #123325=CARTESIAN_POINT('Ctrl Pts',(-0.0667528508723896,2.08008762801777, -0.972613849415999)); #123326=CARTESIAN_POINT('Ctrl Pts',(-0.0502619129013601,2.07616901449177, -0.959547518169135)); #123327=CARTESIAN_POINT('Ctrl Pts',(-0.0385309329041043,2.07431690035095, -0.95683594)); #123328=CARTESIAN_POINT('Ctrl Pts',(-0.0326299209999996,2.0736318536575, -0.95683594)); #123329=CARTESIAN_POINT('Ctrl Pts',(-0.0335517345254578,2.06367443130911, -0.94683594)); #123330=CARTESIAN_POINT('Ctrl Pts',(-0.0411606538806442,2.06437883095495, -0.94683594)); #123331=CARTESIAN_POINT('Ctrl Pts',(-0.056286886839827,2.06628326835654, -0.950236259019549)); #123332=CARTESIAN_POINT('Ctrl Pts',(-0.0775507343209077,2.07031258490721, -0.966621438381213)); #123333=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.0720255278599, -0.985058753183184)); #123334=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.0720255278599, -0.996206019)); #123335=CARTESIAN_POINT('Origin',(-0.0819999999999995,2.08175350890334, -1.03908271)); #123336=CARTESIAN_POINT('',(-0.0843165458809282,2.0720255278599,-1.07940953312881)); #123337=CARTESIAN_POINT('',(-0.0719999999999996,2.08175350890334,-1.07940953312881)); #123338=CARTESIAN_POINT('Origin',(-0.0819999999999995,2.08175350890334, -1.07940953312881)); #123339=CARTESIAN_POINT('',(-0.0719999999999996,2.08175350890334,-1.03908271)); #123340=CARTESIAN_POINT('',(-0.0843165458809282,2.0720255278599,-1.03908271)); #123341=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.0720255278599, -1.07940953312881)); #123342=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.0720255278599, -1.08467470605908)); #123343=CARTESIAN_POINT('Ctrl Pts',(-0.086573005012902,2.07254908775861, -1.08988826866425)); #123344=CARTESIAN_POINT('Ctrl Pts',(-0.0903398982034398,2.07351424514995, -1.09355166875254)); #123345=CARTESIAN_POINT('Ctrl Pts',(-0.0813907347594043,2.07132879791442, -1.07940953312881)); #123346=CARTESIAN_POINT('Ctrl Pts',(-0.0813907347594043,2.07132879791442, -1.0854913758095)); #123347=CARTESIAN_POINT('Ctrl Pts',(-0.0840321632283734,2.07191747357073, -1.0914980580737)); #123348=CARTESIAN_POINT('Ctrl Pts',(-0.0883771707037829,2.07301135301639, -1.09564324781108)); #123349=CARTESIAN_POINT('Ctrl Pts',(-0.0749117116997928,2.07277906418795, -1.07940953312881)); #123350=CARTESIAN_POINT('Ctrl Pts',(-0.0749117116997928,2.07277906418795, -1.08709914798055)); #123351=CARTESIAN_POINT('Ctrl Pts',(-0.078319269753774,2.07343970506898, -1.09469619938774)); #123352=CARTESIAN_POINT('Ctrl Pts',(-0.0838046473964171,2.0746453114939, -1.09979711653443)); #123353=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999998,2.07874588470212, -1.07940953312881)); #123354=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999998,2.07874588470212, -1.08750619992933)); #123355=CARTESIAN_POINT('Ctrl Pts',(-0.0754555101334995,2.07930504431761, -1.09548630884215)); #123356=CARTESIAN_POINT('Ctrl Pts',(-0.0811538818557362,2.08033594512631, -1.10098982185574)); #123357=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999998,2.08175350890334, -1.07940953312881)); #123358=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999998,2.08175350890334, -1.08730729252422)); #123359=CARTESIAN_POINT('Ctrl Pts',(-0.0752916964319756,2.08226268431186, -1.09512763643198)); #123360=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644034,2.08320132459016, -1.1006227365644)); #123361=CARTESIAN_POINT('',(-0.0903398982034398,2.07351424514995,-1.09355166875254)); #123362=CARTESIAN_POINT('',(-0.0807867965644033,2.08320132459016,-1.1006227365644)); #123363=CARTESIAN_POINT('Origin',(-0.0878578643762687,2.08320132459016, -1.09355166875254)); #123364=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644034,2.08320132459016, -1.1006227365644)); #123365=CARTESIAN_POINT('Ctrl Pts',(-0.0752916964319756,2.08226268431186, -1.09512763643198)); #123366=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999998,2.08175350890334, -1.08730729252422)); #123367=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999998,2.08175350890334, -1.07940953312881)); #123368=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.0720255278599, -1.07940953312881)); #123369=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.0720255278599, -1.08467470605908)); #123370=CARTESIAN_POINT('Ctrl Pts',(-0.086573005012902,2.07254908775861, -1.08988826866425)); #123371=CARTESIAN_POINT('Ctrl Pts',(-0.0903398982034398,2.07351424514995, -1.09355166875254)); #123372=CARTESIAN_POINT('Ctrl Pts',(-0.0903398982034398,2.07351424514995, -1.09355166875254)); #123373=CARTESIAN_POINT('Ctrl Pts',(-0.0980977652803611,2.07550197412756, -1.10109639314395)); #123374=CARTESIAN_POINT('Ctrl Pts',(-0.105790002746027,2.07774583737574, -1.10857729105781)); #123375=CARTESIAN_POINT('Ctrl Pts',(-0.113399863616846,2.08024094971757, -1.11597807562373)); #123376=CARTESIAN_POINT('Ctrl Pts',(-0.088377170703783,2.07301135301639, -1.09564324781108)); #123377=CARTESIAN_POINT('Ctrl Pts',(-0.0961353685491944,2.07495182286562, -1.10321231202084)); #123378=CARTESIAN_POINT('Ctrl Pts',(-0.10382816479109,2.07715170861964, -1.11071741952302)); #123379=CARTESIAN_POINT('Ctrl Pts',(-0.111443932085396,2.07959964115927, -1.11814427866026)); #123380=CARTESIAN_POINT('Ctrl Pts',(-0.0838046656791461,2.07464535008726, -1.09979708836327)); #123381=CARTESIAN_POINT('Ctrl Pts',(-0.0914917621518248,2.07653038714388, -1.10741206340747)); #123382=CARTESIAN_POINT('Ctrl Pts',(-0.0991184069424659,2.07866762511195, -1.11496686863598)); #123383=CARTESIAN_POINT('Ctrl Pts',(-0.106668012539821,2.08105585253776, -1.12244273731076)); #123384=CARTESIAN_POINT('Ctrl Pts',(-0.0811538818557362,2.08033594512631, -1.10098982185574)); #123385=CARTESIAN_POINT('Ctrl Pts',(-0.088733679426283,2.08225191899536, -1.10857124764613)); #123386=CARTESIAN_POINT('Ctrl Pts',(-0.0962526430942655,2.08441963188784, -1.11608683937787)); #123387=CARTESIAN_POINT('Ctrl Pts',(-0.103690430201815,2.08683222242139, -1.12352637020182)); #123388=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644034,2.08320132459016, -1.1006227365644)); #123389=CARTESIAN_POINT('Ctrl Pts',(-0.0883315209558165,2.08513444192152, -1.10816746095582)); #123390=CARTESIAN_POINT('Ctrl Pts',(-0.0958124188696777,2.08731665638997, -1.11564835886968)); #123391=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.08974321703584, -1.1230491434356)); #123392=CARTESIAN_POINT('',(-0.113399863616846,2.08024094971757,-1.11597807562373)); #123393=CARTESIAN_POINT('',(-0.103213203435596,2.08974321703584,-1.1230491434356)); #123394=CARTESIAN_POINT('Origin',(-0.110284271247461,2.08974321703584,-1.11597807562373)); #123395=CARTESIAN_POINT('Origin',(0.00707106781186594,2.4261,-1.01276487218814)); #123396=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.00569380437627)); #123397=CARTESIAN_POINT('Ctrl Pts',(-0.113399863616846,2.08024094971757, -1.11597807562373)); #123398=CARTESIAN_POINT('Ctrl Pts',(-0.117292540427094,2.08151727606793, -1.11976380350318)); #123399=CARTESIAN_POINT('Ctrl Pts',(-0.122651060378379,2.08336589899297, -1.12183594)); #123400=CARTESIAN_POINT('Ctrl Pts',(-0.127941522484804,2.0853523242121, -1.12183594)); #123401=CARTESIAN_POINT('Ctrl Pts',(-0.111443932085396,2.07959964115927, -1.11814427866026)); #123402=CARTESIAN_POINT('Ctrl Pts',(-0.115957317599233,2.08105953633318, -1.12242110731277)); #123403=CARTESIAN_POINT('Ctrl Pts',(-0.122061117220641,2.0831391426789, -1.12460612766274)); #123404=CARTESIAN_POINT('Ctrl Pts',(-0.127941522484804,2.0853523242121, -1.12445393387799)); #123405=CARTESIAN_POINT('Ctrl Pts',(-0.106668024417787,2.08105588107229, -1.12244271607692)); #123406=CARTESIAN_POINT('Ctrl Pts',(-0.112425025425999,2.08268002751,-1.12769493844556)); #123407=CARTESIAN_POINT('Ctrl Pts',(-0.120063497829204,2.08499398745025, -1.13010956443543)); #123408=CARTESIAN_POINT('Ctrl Pts',(-0.127179000606594,2.08738315480323, -1.12966667457027)); #123409=CARTESIAN_POINT('Ctrl Pts',(-0.103690430201815,2.08683222242139, -1.12352637020182)); #123410=CARTESIAN_POINT('Ctrl Pts',(-0.109631659329248,2.0882061113819, -1.12920519853022)); #123411=CARTESIAN_POINT('Ctrl Pts',(-0.117638960549426,2.09017459186954, -1.13207803090131)); #123412=CARTESIAN_POINT('Ctrl Pts',(-0.125346661986879,2.09226323684226, -1.13183594)); #123413=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.08974321703584, -1.1230491434356)); #123414=CARTESIAN_POINT('Ctrl Pts',(-0.10889179525477,2.09098447709501, -1.12872773525477)); #123415=CARTESIAN_POINT('Ctrl Pts',(-0.116708742060667,2.09278231022812, -1.13183594)); #123416=CARTESIAN_POINT('Ctrl Pts',(-0.124426406871192,2.09471415962098, -1.13183594)); #123417=CARTESIAN_POINT('',(-0.127941522484804,2.0853523242121,-1.12183594)); #123418=CARTESIAN_POINT('',(-0.124426406871192,2.09471415962098,-1.13183594)); #123419=CARTESIAN_POINT('Origin',(-0.124426406871192,2.09471415962098,-1.12183594)); #123420=CARTESIAN_POINT('Ctrl Pts',(-0.124426406871192,2.09471415962098, -1.13183594)); #123421=CARTESIAN_POINT('Ctrl Pts',(-0.116708742060667,2.09278231022812, -1.13183594)); #123422=CARTESIAN_POINT('Ctrl Pts',(-0.10889179525477,2.09098447709501, -1.12872773525477)); #123423=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.08974321703584, -1.1230491434356)); #123424=CARTESIAN_POINT('Ctrl Pts',(-0.113399863616846,2.08024094971757, -1.11597807562373)); #123425=CARTESIAN_POINT('Ctrl Pts',(-0.117292540427094,2.08151727606793, -1.11976380350318)); #123426=CARTESIAN_POINT('Ctrl Pts',(-0.122651060378379,2.08336589899297, -1.12183594)); #123427=CARTESIAN_POINT('Ctrl Pts',(-0.127941522484804,2.0853523242121, -1.12183594)); #123428=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #123429=CARTESIAN_POINT('',(-0.340747675787897,2.29815847751519,-1.12183594)); #123430=CARTESIAN_POINT('',(-0.331385840379018,2.30167359312881,-1.13183594)); #123431=CARTESIAN_POINT('Origin',(-0.331385840379018,2.30167359312881,-1.12183594)); #123432=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #123433=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #123434=CARTESIAN_POINT('Ctrl Pts',(-0.340747675787897,2.29815847751519, -1.12183594)); #123435=CARTESIAN_POINT('Ctrl Pts',(-0.342734100127455,2.30344893727905, -1.12183594)); #123436=CARTESIAN_POINT('Ctrl Pts',(-0.344582724497213,2.30880746129654, -1.1197638018269)); #123437=CARTESIAN_POINT('Ctrl Pts',(-0.34585905028243,2.31270013638315, -1.11597807562373)); #123438=CARTESIAN_POINT('Ctrl Pts',(-0.340747675787897,2.29815847751519, -1.12445393387799)); #123439=CARTESIAN_POINT('Ctrl Pts',(-0.342960856341124,2.30403888017557, -1.12460612759535)); #123440=CARTESIAN_POINT('Ctrl Pts',(-0.345040464313242,2.31014268439925, -1.12242110541903)); #123441=CARTESIAN_POINT('Ctrl Pts',(-0.346500358840726,2.3146560679146, -1.11814427866026)); #123442=CARTESIAN_POINT('Ctrl Pts',(-0.33871667734368,2.29892106241769, -1.12966649527521)); #123443=CARTESIAN_POINT('Ctrl Pts',(-0.341105843638766,2.30603656204441, -1.13010938494426)); #123444=CARTESIAN_POINT('Ctrl Pts',(-0.343419656251711,2.31367484518438, -1.12769470025752)); #123445=CARTESIAN_POINT('Ctrl Pts',(-0.345043801970267,2.31943184364345, -1.12244248021452)); #123446=CARTESIAN_POINT('Ctrl Pts',(-0.333836763157739,2.30075333801312, -1.13183594)); #123447=CARTESIAN_POINT('Ctrl Pts',(-0.335925407205631,2.30846103603768, -1.13207803079412)); #123448=CARTESIAN_POINT('Ctrl Pts',(-0.33789388922644,2.31646834330147, -1.12920519601569)); #123449=CARTESIAN_POINT('Ctrl Pts',(-0.339267777578607,2.32240956979818, -1.12352637020181)); #123450=CARTESIAN_POINT('Ctrl Pts',(-0.331385840379018,2.30167359312881, -1.13183594)); #123451=CARTESIAN_POINT('Ctrl Pts',(-0.333317688916478,2.30939125452203, -1.13183594)); #123452=CARTESIAN_POINT('Ctrl Pts',(-0.335115523454603,2.31720820725965, -1.12872773274035)); #123453=CARTESIAN_POINT('Ctrl Pts',(-0.336356782964161,2.3228867965644, -1.1230491434356)); #123454=CARTESIAN_POINT('',(-0.34585905028243,2.31270013638315,-1.11597807562373)); #123455=CARTESIAN_POINT('',(-0.336356782964161,2.3228867965644,-1.1230491434356)); #123456=CARTESIAN_POINT('Origin',(-0.336356782964161,2.31581572875254,-1.11597807562373)); #123457=CARTESIAN_POINT('Ctrl Pts',(-0.336356782964161,2.3228867965644, -1.1230491434356)); #123458=CARTESIAN_POINT('Ctrl Pts',(-0.335115523454603,2.31720820725965, -1.12872773274035)); #123459=CARTESIAN_POINT('Ctrl Pts',(-0.333317688916478,2.30939125452203, -1.13183594)); #123460=CARTESIAN_POINT('Ctrl Pts',(-0.331385840379018,2.30167359312881, -1.13183594)); #123461=CARTESIAN_POINT('Ctrl Pts',(-0.340747675787897,2.29815847751519, -1.12183594)); #123462=CARTESIAN_POINT('Ctrl Pts',(-0.342734100127455,2.30344893727905, -1.12183594)); #123463=CARTESIAN_POINT('Ctrl Pts',(-0.344582724497213,2.30880746129654, -1.1197638018269)); #123464=CARTESIAN_POINT('Ctrl Pts',(-0.34585905028243,2.31270013638315, -1.11597807562373)); #123465=CARTESIAN_POINT('Ctrl Pts',(-0.34585905028243,2.31270013638315, -1.11597807562373)); #123466=CARTESIAN_POINT('Ctrl Pts',(-0.348354162624262,2.32030999725397, -1.10857729105781)); #123467=CARTESIAN_POINT('Ctrl Pts',(-0.350598025872443,2.32800223471964, -1.10109639314395)); #123468=CARTESIAN_POINT('Ctrl Pts',(-0.352585754850045,2.33576010179656, -1.09355166875254)); #123469=CARTESIAN_POINT('Ctrl Pts',(-0.346500358840726,2.3146560679146, -1.11814427866026)); #123470=CARTESIAN_POINT('Ctrl Pts',(-0.348948291380354,2.32227183520891, -1.11071741952302)); #123471=CARTESIAN_POINT('Ctrl Pts',(-0.351148177134381,2.32996463145081, -1.10321231202084)); #123472=CARTESIAN_POINT('Ctrl Pts',(-0.353088646983607,2.33772282929622, -1.09564324781108)); #123473=CARTESIAN_POINT('Ctrl Pts',(-0.34504379762637,2.31943184183523, -1.12244247698203)); #123474=CARTESIAN_POINT('Ctrl Pts',(-0.347432025052187,2.32698144743259, -1.11496660830725)); #123475=CARTESIAN_POINT('Ctrl Pts',(-0.349569297838272,2.33460808861562, -1.10741183346071)); #123476=CARTESIAN_POINT('Ctrl Pts',(-0.35145433489489,2.3422951850883,-1.09979685841651)); #123477=CARTESIAN_POINT('Ctrl Pts',(-0.339267777578607,2.32240956979818, -1.12352637020182)); #123478=CARTESIAN_POINT('Ctrl Pts',(-0.341680368112159,2.32984735690573, -1.11608683937787)); #123479=CARTESIAN_POINT('Ctrl Pts',(-0.343848081004637,2.33736632057372, -1.10857124764613)); #123480=CARTESIAN_POINT('Ctrl Pts',(-0.345764054873686,2.34494611814426, -1.10098982185574)); #123481=CARTESIAN_POINT('Ctrl Pts',(-0.336356782964161,2.3228867965644, -1.1230491434356)); #123482=CARTESIAN_POINT('Ctrl Pts',(-0.33878334361003,2.33028758113032, -1.11564835886968)); #123483=CARTESIAN_POINT('Ctrl Pts',(-0.340965558078477,2.33776847904418, -1.10816746095582)); #123484=CARTESIAN_POINT('Ctrl Pts',(-0.342898675409843,2.3453132034356, -1.1006227365644)); #123485=CARTESIAN_POINT('',(-0.352585754850045,2.33576010179656,-1.09355166875254)); #123486=CARTESIAN_POINT('',(-0.342898675409843,2.3453132034356,-1.1006227365644)); #123487=CARTESIAN_POINT('Origin',(-0.342898675409843,2.33824213562373,-1.09355166875254)); #123488=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.43317106781187, -1.01276487218814)); #123489=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.00569380437627)); #123490=CARTESIAN_POINT('Ctrl Pts',(-0.352585754850045,2.33576010179656, -1.09355166875254)); #123491=CARTESIAN_POINT('Ctrl Pts',(-0.353550910469263,2.3395269880707, -1.08988827539063)); #123492=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.34178345411907, -1.0846746963917)); #123493=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.34178345411907, -1.07940953312881)); #123494=CARTESIAN_POINT('Ctrl Pts',(-0.353088646983607,2.33772282929622, -1.09564324781108)); #123495=CARTESIAN_POINT('Ctrl Pts',(-0.354182524420799,2.34206782879375, -1.09149806568469)); #123496=CARTESIAN_POINT('Ctrl Pts',(-0.354771202085575,2.34470926524059, -1.08549136464263)); #123497=CARTESIAN_POINT('Ctrl Pts',(-0.354771202085575,2.34470926524059, -1.07940953312881)); #123498=CARTESIAN_POINT('Ctrl Pts',(-0.351454334720165,2.34229518500553, -1.09979685828897)); #123499=CARTESIAN_POINT('Ctrl Pts',(-0.352659938931471,2.34778055257646, -1.09469595050807)); #123500=CARTESIAN_POINT('Ctrl Pts',(-0.353320520765889,2.35118796048417, -1.08709913386164)); #123501=CARTESIAN_POINT('Ctrl Pts',(-0.353320520765889,2.35118796048417, -1.07940953312881)); #123502=CARTESIAN_POINT('Ctrl Pts',(-0.345764054873686,2.34494611814426, -1.10098982185574)); #123503=CARTESIAN_POINT('Ctrl Pts',(-0.34679495378955,2.35064447940371, -1.09548631894715)); #123504=CARTESIAN_POINT('Ctrl Pts',(-0.34735411529788,2.3541,-1.08750618506303)); #123505=CARTESIAN_POINT('Ctrl Pts',(-0.34735411529788,2.3541,-1.07940953312881)); #123506=CARTESIAN_POINT('Ctrl Pts',(-0.342898675409843,2.3453132034356, -1.1006227365644)); #123507=CARTESIAN_POINT('Ctrl Pts',(-0.343837313964696,2.35080829347847, -1.09512764652153)); #123508=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.3541,-1.08730727802314)); #123509=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.3541,-1.07940953312881)); #123510=CARTESIAN_POINT('',(-0.354074472140103,2.34178345411907,-1.07940953312881)); #123511=CARTESIAN_POINT('',(-0.344346491096662,2.3541,-1.07940953312881)); #123512=CARTESIAN_POINT('Origin',(-0.344346491096662,2.3441,-1.07940953312881)); #123513=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.3541,-1.07940953312881)); #123514=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.3541,-1.08730727802314)); #123515=CARTESIAN_POINT('Ctrl Pts',(-0.343837313964696,2.35080829347847, -1.09512764652153)); #123516=CARTESIAN_POINT('Ctrl Pts',(-0.342898675409843,2.3453132034356, -1.1006227365644)); #123517=CARTESIAN_POINT('Ctrl Pts',(-0.352585754850045,2.33576010179656, -1.09355166875254)); #123518=CARTESIAN_POINT('Ctrl Pts',(-0.353550910469263,2.3395269880707, -1.08988827539063)); #123519=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.34178345411907, -1.0846746963917)); #123520=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.34178345411907, -1.07940953312881)); #123521=CARTESIAN_POINT('Origin',(-0.344346491096662,2.3441,-1.03908271)); #123522=CARTESIAN_POINT('',(-0.354074472140104,2.34178345411907,-0.996206019)); #123523=CARTESIAN_POINT('',(-0.344346491096662,2.3541,-0.996206019)); #123524=CARTESIAN_POINT('Origin',(-0.344346491096662,2.3441,-0.996206019)); #123525=CARTESIAN_POINT('',(-0.344346491096662,2.3541,-1.03908271)); #123526=CARTESIAN_POINT('',(-0.354074472140104,2.34178345411907,-1.03908271)); #123527=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140104,2.34178345411907, -0.996206019)); #123528=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140104,2.34178345411907, -0.985058750140602)); #123529=CARTESIAN_POINT('Ctrl Pts',(-0.355787535869397,2.34854954338434, -0.966620694729625)); #123530=CARTESIAN_POINT('Ctrl Pts',(-0.359816855712054,2.36981384689209, -0.950236185041419)); #123531=CARTESIAN_POINT('Ctrl Pts',(-0.361721168852786,2.38493934404254, -0.94683594)); #123532=CARTESIAN_POINT('Ctrl Pts',(-0.362425568690892,2.39254826547454, -0.94683594)); #123533=CARTESIAN_POINT('Ctrl Pts',(-0.354771202085575,2.3447092652406, -0.996206019)); #123534=CARTESIAN_POINT('Ctrl Pts',(-0.354771202085575,2.3447092652406, -0.985759186172801)); #123535=CARTESIAN_POINT('Ctrl Pts',(-0.35629858798797,2.35097460285425, -0.968434778771548)); #123536=CARTESIAN_POINT('Ctrl Pts',(-0.359972530523002,2.37098565219207, -0.952778606565859)); #123537=CARTESIAN_POINT('Ctrl Pts',(-0.361757960078993,2.38532861808863, -0.949477187791068)); #123538=CARTESIAN_POINT('Ctrl Pts',(-0.362425568690892,2.39254826547454, -0.949453933877992)); #123539=CARTESIAN_POINT('Ctrl Pts',(-0.353320581545358,2.35118800848964, -0.996206019)); #123540=CARTESIAN_POINT('Ctrl Pts',(-0.353320581545358,2.35118800848964, -0.987138129815065)); #123541=CARTESIAN_POINT('Ctrl Pts',(-0.354636921387088,2.35635954549776, -0.972023893129145)); #123542=CARTESIAN_POINT('Ctrl Pts',(-0.357971578313411,2.37367826660044, -0.957825867650497)); #123543=CARTESIAN_POINT('Ctrl Pts',(-0.35963258681094,2.38635108339382, -0.954734195050603)); #123544=CARTESIAN_POINT('Ctrl Pts',(-0.360265390803625,2.39274824506004, -0.954666525254209)); #123545=CARTESIAN_POINT('Ctrl Pts',(-0.34735411529788,2.3541,-0.996206019)); #123546=CARTESIAN_POINT('Ctrl Pts',(-0.34735411529788,2.3541,-0.987487247499117)); #123547=CARTESIAN_POINT('Ctrl Pts',(-0.348902690331182,2.35913180984055, -0.972993289157362)); #123548=CARTESIAN_POINT('Ctrl Pts',(-0.35261913234874,2.37544826999449, -0.959718491630556)); #123549=CARTESIAN_POINT('Ctrl Pts',(-0.354408025505493,2.38727391423769, -0.956872929426243)); #123550=CARTESIAN_POINT('Ctrl Pts',(-0.355074993417366,2.39322874878337, -0.95683594)); #123551=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.3541,-0.996206019)); #123552=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.3541,-0.987316649881736)); #123553=CARTESIAN_POINT('Ctrl Pts',(-0.346012489440576,2.3593473644988, -0.972613256392403)); #123554=CARTESIAN_POINT('Ctrl Pts',(-0.349931106168121,2.37583865613618, -0.959547459175409)); #123555=CARTESIAN_POINT('Ctrl Pts',(-0.351783099462065,2.38756906548525, -0.95683594)); #123556=CARTESIAN_POINT('Ctrl Pts',(-0.352468146342499,2.393470079,-0.95683594)); #123557=CARTESIAN_POINT('',(-0.362425568690892,2.39254826547454,-0.94683594)); #123558=CARTESIAN_POINT('',(-0.352468146342499,2.393470079,-0.95683594)); #123559=CARTESIAN_POINT('Origin',(-0.352468146342499,2.393470079,-0.94683594)); #123560=CARTESIAN_POINT('Ctrl Pts',(-0.352468146342499,2.393470079,-0.95683594)); #123561=CARTESIAN_POINT('Ctrl Pts',(-0.351783099462065,2.38756906548525, -0.95683594)); #123562=CARTESIAN_POINT('Ctrl Pts',(-0.349931106168121,2.37583865613618, -0.959547459175409)); #123563=CARTESIAN_POINT('Ctrl Pts',(-0.346012489440576,2.3593473644988, -0.972613256392403)); #123564=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.3541,-0.987316649881736)); #123565=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.3541,-0.996206019)); #123566=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140104,2.34178345411907, -0.996206019)); #123567=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140104,2.34178345411907, -0.985058750140602)); #123568=CARTESIAN_POINT('Ctrl Pts',(-0.355787535869397,2.34854954338434, -0.966620694729625)); #123569=CARTESIAN_POINT('Ctrl Pts',(-0.359816855712054,2.36981384689209, -0.950236185041419)); #123570=CARTESIAN_POINT('Ctrl Pts',(-0.361721168852786,2.38493934404254, -0.94683594)); #123571=CARTESIAN_POINT('Ctrl Pts',(-0.362425568690892,2.39254826547454, -0.94683594)); #123572=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #123573=CARTESIAN_POINT('',(-0.362425568690892,2.45965173452546,-0.94683594)); #123574=CARTESIAN_POINT('',(-0.352468146342499,2.458729921,-0.95683594)); #123575=CARTESIAN_POINT('Origin',(-0.352468146342499,2.458729921,-0.94683594)); #123576=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.95683594)); #123577=CARTESIAN_POINT('',(-0.363975289999999,2.4261,-0.94683594)); #123578=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #123579=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #123580=CARTESIAN_POINT('Ctrl Pts',(-0.362425568690892,2.45965173452546, -0.94683594)); #123581=CARTESIAN_POINT('Ctrl Pts',(-0.361368969222126,2.47106511355824, -0.94683594)); #123582=CARTESIAN_POINT('Ctrl Pts',(-0.358588464733651,2.48988579622813, -0.953303219962163)); #123583=CARTESIAN_POINT('Ctrl Pts',(-0.354932968694673,2.5068760449678, -0.973948896174214)); #123584=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.51041654588093, -0.988774508455456)); #123585=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.51041654588093, -0.996206019)); #123586=CARTESIAN_POINT('Ctrl Pts',(-0.362425568690892,2.45965173452546, -0.949453933877992)); #123587=CARTESIAN_POINT('Ctrl Pts',(-0.361424156046372,2.47048120264849, -0.949488814738086)); #123588=CARTESIAN_POINT('Ctrl Pts',(-0.358834630986293,2.48830764244524, -0.955755477765566)); #123589=CARTESIAN_POINT('Ctrl Pts',(-0.355537257698876,2.50420973547614, -0.975341369180269)); #123590=CARTESIAN_POINT('Ctrl Pts',(-0.354771202085575,2.50749073475941, -0.989241465682803)); #123591=CARTESIAN_POINT('Ctrl Pts',(-0.354771202085575,2.50749073475941, -0.996206019)); #123592=CARTESIAN_POINT('Ctrl Pts',(-0.360265333461278,2.45945174963146, -0.954666467666668)); #123593=CARTESIAN_POINT('Ctrl Pts',(-0.35931612773133,2.4690474895117,-0.954767972333554)); #123594=CARTESIAN_POINT('Ctrl Pts',(-0.356925837208793,2.48474068283922, -0.960613064087026)); #123595=CARTESIAN_POINT('Ctrl Pts',(-0.35397774165428,2.49829800436084, -0.978091666233966)); #123596=CARTESIAN_POINT('Ctrl Pts',(-0.353320474000951,2.50101207645219, -0.990160761193397)); #123597=CARTESIAN_POINT('Ctrl Pts',(-0.353320474000951,2.50101207645219, -0.996206019)); #123598=CARTESIAN_POINT('Ctrl Pts',(-0.355074993417366,2.45897125121663, -0.95683594)); #123599=CARTESIAN_POINT('Ctrl Pts',(-0.354074541822622,2.46790350059715, -0.95689142412422)); #123600=CARTESIAN_POINT('Ctrl Pts',(-0.351474246954848,2.48257749496226, -0.96227882398756)); #123601=CARTESIAN_POINT('Ctrl Pts',(-0.348129855894474,2.49545880125759, -0.978784381486157)); #123602=CARTESIAN_POINT('Ctrl Pts',(-0.34735411529788,2.4981,-0.990393506252572)); #123603=CARTESIAN_POINT('Ctrl Pts',(-0.34735411529788,2.4981,-0.996206019)); #123604=CARTESIAN_POINT('Ctrl Pts',(-0.352468146342499,2.458729921,-0.95683594)); #123605=CARTESIAN_POINT('Ctrl Pts',(-0.351440576302316,2.46758143885617, -0.95683594)); #123606=CARTESIAN_POINT('Ctrl Pts',(-0.348736464485676,2.48217760781184, -0.961993260550886)); #123607=CARTESIAN_POINT('Ctrl Pts',(-0.345181400979879,2.49535420430161, -0.978457117201323)); #123608=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.4981,-0.990279774538693)); #123609=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.4981,-0.996206019)); #123610=CARTESIAN_POINT('',(-0.354074472140103,2.51041654588093,-0.996206019)); #123611=CARTESIAN_POINT('',(-0.344346491096662,2.4981,-0.996206019)); #123612=CARTESIAN_POINT('Origin',(-0.344346491096662,2.5081,-0.996206019)); #123613=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.4981,-0.996206019)); #123614=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.4981,-0.990279774538693)); #123615=CARTESIAN_POINT('Ctrl Pts',(-0.345181400979879,2.49535420430161, -0.978457117201323)); #123616=CARTESIAN_POINT('Ctrl Pts',(-0.348736464485676,2.48217760781184, -0.961993260550886)); #123617=CARTESIAN_POINT('Ctrl Pts',(-0.351440576302316,2.46758143885617, -0.95683594)); #123618=CARTESIAN_POINT('Ctrl Pts',(-0.352468146342499,2.458729921,-0.95683594)); #123619=CARTESIAN_POINT('Ctrl Pts',(-0.362425568690892,2.45965173452546, -0.94683594)); #123620=CARTESIAN_POINT('Ctrl Pts',(-0.361368969222126,2.47106511355824, -0.94683594)); #123621=CARTESIAN_POINT('Ctrl Pts',(-0.358588464733651,2.48988579622813, -0.953303219962163)); #123622=CARTESIAN_POINT('Ctrl Pts',(-0.354932968694673,2.5068760449678, -0.973948896174214)); #123623=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.51041654588093, -0.988774508455456)); #123624=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.51041654588093, -0.996206019)); #123625=CARTESIAN_POINT('Origin',(-0.344346491096662,2.5081,-1.03908271)); #123626=CARTESIAN_POINT('',(-0.354074472140104,2.51041654588093,-1.07940953312881)); #123627=CARTESIAN_POINT('',(-0.344346491096662,2.4981,-1.07940953312881)); #123628=CARTESIAN_POINT('Origin',(-0.344346491096662,2.5081,-1.07940953312881)); #123629=CARTESIAN_POINT('',(-0.344346491096662,2.4981,-1.03908271)); #123630=CARTESIAN_POINT('',(-0.354074472140104,2.51041654588093,-1.03908271)); #123631=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.51041654588093, -1.07940953312881)); #123632=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.51041654588093, -1.08467470605908)); #123633=CARTESIAN_POINT('Ctrl Pts',(-0.353550912241389,2.5126730050129, -1.08988826866425)); #123634=CARTESIAN_POINT('Ctrl Pts',(-0.352585754850045,2.51643989820344, -1.09355166875254)); #123635=CARTESIAN_POINT('Ctrl Pts',(-0.354771202085575,2.50749073475941, -1.07940953312881)); #123636=CARTESIAN_POINT('Ctrl Pts',(-0.354771202085575,2.50749073475941, -1.0854913758095)); #123637=CARTESIAN_POINT('Ctrl Pts',(-0.354182526429272,2.51013216322837, -1.0914980580737)); #123638=CARTESIAN_POINT('Ctrl Pts',(-0.353088646983607,2.51447717070378, -1.09564324781108)); #123639=CARTESIAN_POINT('Ctrl Pts',(-0.353320406893129,2.50101212945598, -1.07940953312881)); #123640=CARTESIAN_POINT('Ctrl Pts',(-0.353320406893129,2.50101212945598, -1.08709914798055)); #123641=CARTESIAN_POINT('Ctrl Pts',(-0.352659844079787,2.50441948333431, -1.09469587028962)); #123642=CARTESIAN_POINT('Ctrl Pts',(-0.351454237654866,2.50990486097695, -1.09979678743631)); #123643=CARTESIAN_POINT('Ctrl Pts',(-0.34735411529788,2.4981,-1.07940953312881)); #123644=CARTESIAN_POINT('Ctrl Pts',(-0.34735411529788,2.4981,-1.08750619992933)); #123645=CARTESIAN_POINT('Ctrl Pts',(-0.346794955682388,2.5015555101335, -1.09548630884215)); #123646=CARTESIAN_POINT('Ctrl Pts',(-0.345764054873686,2.50725388185574, -1.10098982185574)); #123647=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.4981,-1.07940953312881)); #123648=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.4981,-1.08730729252422)); #123649=CARTESIAN_POINT('Ctrl Pts',(-0.343837315688135,2.50139169643198, -1.09512763643198)); #123650=CARTESIAN_POINT('Ctrl Pts',(-0.342898675409843,2.5068867965644, -1.1006227365644)); #123651=CARTESIAN_POINT('',(-0.352585754850045,2.51643989820344,-1.09355166875254)); #123652=CARTESIAN_POINT('',(-0.342898675409843,2.5068867965644,-1.1006227365644)); #123653=CARTESIAN_POINT('Origin',(-0.342898675409843,2.51395786437627,-1.09355166875254)); #123654=CARTESIAN_POINT('Ctrl Pts',(-0.342898675409843,2.5068867965644, -1.1006227365644)); #123655=CARTESIAN_POINT('Ctrl Pts',(-0.343837315688135,2.50139169643198, -1.09512763643198)); #123656=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.4981,-1.08730729252422)); #123657=CARTESIAN_POINT('Ctrl Pts',(-0.344346491096662,2.4981,-1.07940953312881)); #123658=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.51041654588093, -1.07940953312881)); #123659=CARTESIAN_POINT('Ctrl Pts',(-0.354074472140103,2.51041654588093, -1.08467470605908)); #123660=CARTESIAN_POINT('Ctrl Pts',(-0.353550912241389,2.5126730050129, -1.08988826866425)); #123661=CARTESIAN_POINT('Ctrl Pts',(-0.352585754850045,2.51643989820344, -1.09355166875254)); #123662=CARTESIAN_POINT('Ctrl Pts',(-0.352585754850045,2.51643989820344, -1.09355166875254)); #123663=CARTESIAN_POINT('Ctrl Pts',(-0.350598025872443,2.52419776528036, -1.10109639314395)); #123664=CARTESIAN_POINT('Ctrl Pts',(-0.348354162624262,2.53189000274603, -1.10857729105781)); #123665=CARTESIAN_POINT('Ctrl Pts',(-0.34585905028243,2.53949986361685, -1.11597807562373)); #123666=CARTESIAN_POINT('Ctrl Pts',(-0.353088646983607,2.51447717070378, -1.09564324781108)); #123667=CARTESIAN_POINT('Ctrl Pts',(-0.351148177134381,2.52223536854919, -1.10321231202084)); #123668=CARTESIAN_POINT('Ctrl Pts',(-0.348948291380354,2.52992816479109, -1.11071741952302)); #123669=CARTESIAN_POINT('Ctrl Pts',(-0.346500358840726,2.5375439320854, -1.11814427866026)); #123670=CARTESIAN_POINT('Ctrl Pts',(-0.351454216501291,2.50990487099798, -1.09979677199529)); #123671=CARTESIAN_POINT('Ctrl Pts',(-0.349569179444673,2.51759196747066, -1.10741174703949)); #123672=CARTESIAN_POINT('Ctrl Pts',(-0.347431893572883,2.52521860729784, -1.11496651046755)); #123673=CARTESIAN_POINT('Ctrl Pts',(-0.345043666147066,2.5327682128952, -1.12244237914232)); #123674=CARTESIAN_POINT('Ctrl Pts',(-0.345764054873686,2.50725388185574, -1.10098982185574)); #123675=CARTESIAN_POINT('Ctrl Pts',(-0.343848081004637,2.51483367942628, -1.10857124764613)); #123676=CARTESIAN_POINT('Ctrl Pts',(-0.341680368112159,2.52235264309427, -1.11608683937787)); #123677=CARTESIAN_POINT('Ctrl Pts',(-0.339267777578607,2.52979043020182, -1.12352637020182)); #123678=CARTESIAN_POINT('Ctrl Pts',(-0.342898675409843,2.5068867965644, -1.1006227365644)); #123679=CARTESIAN_POINT('Ctrl Pts',(-0.340965558078477,2.51443152095582, -1.10816746095582)); #123680=CARTESIAN_POINT('Ctrl Pts',(-0.33878334361003,2.52191241886968, -1.11564835886968)); #123681=CARTESIAN_POINT('Ctrl Pts',(-0.336356782964161,2.5293132034356, -1.1230491434356)); #123682=CARTESIAN_POINT('',(-0.34585905028243,2.53949986361685,-1.11597807562373)); #123683=CARTESIAN_POINT('',(-0.336356782964161,2.5293132034356,-1.1230491434356)); #123684=CARTESIAN_POINT('Origin',(-0.336356782964161,2.53638427124746,-1.11597807562373)); #123685=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.41902893218813, -1.01276487218814)); #123686=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.00569380437627)); #123687=CARTESIAN_POINT('Ctrl Pts',(-0.34585905028243,2.53949986361685, -1.11597807562373)); #123688=CARTESIAN_POINT('Ctrl Pts',(-0.344582723932068,2.54339254042709, -1.11976380350318)); #123689=CARTESIAN_POINT('Ctrl Pts',(-0.342734101007025,2.54875106037838, -1.12183594)); #123690=CARTESIAN_POINT('Ctrl Pts',(-0.340747675787897,2.55404152248481, -1.12183594)); #123691=CARTESIAN_POINT('Ctrl Pts',(-0.346500358840726,2.5375439320854, -1.11814427866026)); #123692=CARTESIAN_POINT('Ctrl Pts',(-0.345040463666815,2.54205731759923, -1.12242110731277)); #123693=CARTESIAN_POINT('Ctrl Pts',(-0.342960857321099,2.54816111722064, -1.12460612766274)); #123694=CARTESIAN_POINT('Ctrl Pts',(-0.340747675787897,2.55404152248481, -1.12445393387799)); #123695=CARTESIAN_POINT('Ctrl Pts',(-0.345043655172673,2.53276821746347, -1.12244237097578)); #123696=CARTESIAN_POINT('Ctrl Pts',(-0.34341950873496,2.53852521847168, -1.12769459334441)); #123697=CARTESIAN_POINT('Ctrl Pts',(-0.341105766956167,2.54616340561547, -1.13010930210056)); #123698=CARTESIAN_POINT('Ctrl Pts',(-0.338716599603182,2.55327890839286, -1.1296664122354)); #123699=CARTESIAN_POINT('Ctrl Pts',(-0.339267777578607,2.52979043020181, -1.12352637020181)); #123700=CARTESIAN_POINT('Ctrl Pts',(-0.337893888618095,2.53573165932925, -1.12920519853022)); #123701=CARTESIAN_POINT('Ctrl Pts',(-0.335925408130463,2.54373896054943, -1.13207803090131)); #123702=CARTESIAN_POINT('Ctrl Pts',(-0.333836763157739,2.55144666198688, -1.13183594)); #123703=CARTESIAN_POINT('Ctrl Pts',(-0.336356782964161,2.5293132034356, -1.1230491434356)); #123704=CARTESIAN_POINT('Ctrl Pts',(-0.335115522904986,2.53499179525477, -1.12872773525477)); #123705=CARTESIAN_POINT('Ctrl Pts',(-0.333317689771882,2.54280874206067, -1.13183594)); #123706=CARTESIAN_POINT('Ctrl Pts',(-0.331385840379018,2.55052640687119, -1.13183594)); #123707=CARTESIAN_POINT('',(-0.340747675787897,2.55404152248481,-1.12183594)); #123708=CARTESIAN_POINT('',(-0.331385840379018,2.55052640687119,-1.13183594)); #123709=CARTESIAN_POINT('Origin',(-0.331385840379018,2.55052640687119,-1.12183594)); #123710=CARTESIAN_POINT('Ctrl Pts',(-0.331385840379018,2.55052640687119, -1.13183594)); #123711=CARTESIAN_POINT('Ctrl Pts',(-0.333317689771882,2.54280874206067, -1.13183594)); #123712=CARTESIAN_POINT('Ctrl Pts',(-0.335115522904986,2.53499179525477, -1.12872773525477)); #123713=CARTESIAN_POINT('Ctrl Pts',(-0.336356782964161,2.5293132034356, -1.1230491434356)); #123714=CARTESIAN_POINT('Ctrl Pts',(-0.34585905028243,2.53949986361685, -1.11597807562373)); #123715=CARTESIAN_POINT('Ctrl Pts',(-0.344582723932068,2.54339254042709, -1.11976380350318)); #123716=CARTESIAN_POINT('Ctrl Pts',(-0.342734101007025,2.54875106037838, -1.12183594)); #123717=CARTESIAN_POINT('Ctrl Pts',(-0.340747675787897,2.55404152248481, -1.12183594)); #123718=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #123719=CARTESIAN_POINT('',(-0.127941522484804,2.7668476757879,-1.12183594)); #123720=CARTESIAN_POINT('',(-0.124426406871192,2.75748584037902,-1.13183594)); #123721=CARTESIAN_POINT('Origin',(-0.124426406871192,2.75748584037902,-1.12183594)); #123722=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #123723=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #123724=CARTESIAN_POINT('Ctrl Pts',(-0.127941522484804,2.7668476757879, -1.12183594)); #123725=CARTESIAN_POINT('Ctrl Pts',(-0.122651062720944,2.76883410012746, -1.12183594)); #123726=CARTESIAN_POINT('Ctrl Pts',(-0.117292538703453,2.77068272449721, -1.1197638018269)); #123727=CARTESIAN_POINT('Ctrl Pts',(-0.113399863616846,2.77195905028243, -1.11597807562373)); #123728=CARTESIAN_POINT('Ctrl Pts',(-0.127941522484804,2.7668476757879, -1.12445393387799)); #123729=CARTESIAN_POINT('Ctrl Pts',(-0.122061119824427,2.76906085634112, -1.12460612759535)); #123730=CARTESIAN_POINT('Ctrl Pts',(-0.115957315600749,2.77114046431324, -1.12242110541903)); #123731=CARTESIAN_POINT('Ctrl Pts',(-0.111443932085395,2.77260035884073, -1.11814427866026)); #123732=CARTESIAN_POINT('Ctrl Pts',(-0.127178908392854,2.76481659960318, -1.1296664122354)); #123733=CARTESIAN_POINT('Ctrl Pts',(-0.120063408766139,2.76720576589827, -1.13010930190445)); #123734=CARTESIAN_POINT('Ctrl Pts',(-0.112425215922535,2.76951950945412, -1.12769459101878)); #123735=CARTESIAN_POINT('Ctrl Pts',(-0.106668217463468,2.77114365517267, -1.12244237097578)); #123736=CARTESIAN_POINT('Ctrl Pts',(-0.125346661986879,2.75993676315774, -1.13183594)); #123737=CARTESIAN_POINT('Ctrl Pts',(-0.117638963962321,2.76202540720563, -1.13207803079412)); #123738=CARTESIAN_POINT('Ctrl Pts',(-0.109631656698529,2.76399388922644, -1.12920519601569)); #123739=CARTESIAN_POINT('Ctrl Pts',(-0.103690430201814,2.76536777757861, -1.12352637020182)); #123740=CARTESIAN_POINT('Ctrl Pts',(-0.124426406871192,2.75748584037902, -1.13183594)); #123741=CARTESIAN_POINT('Ctrl Pts',(-0.116708745477973,2.75941768891648, -1.13183594)); #123742=CARTESIAN_POINT('Ctrl Pts',(-0.108891792740344,2.7612155234546, -1.12872773274035)); #123743=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.76245678296416, -1.1230491434356)); #123744=CARTESIAN_POINT('',(-0.113399863616846,2.77195905028243,-1.11597807562373)); #123745=CARTESIAN_POINT('',(-0.103213203435596,2.76245678296416,-1.1230491434356)); #123746=CARTESIAN_POINT('Origin',(-0.110284271247461,2.76245678296416,-1.11597807562373)); #123747=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.76245678296416, -1.1230491434356)); #123748=CARTESIAN_POINT('Ctrl Pts',(-0.108891792740344,2.7612155234546, -1.12872773274035)); #123749=CARTESIAN_POINT('Ctrl Pts',(-0.116708745477973,2.75941768891648, -1.13183594)); #123750=CARTESIAN_POINT('Ctrl Pts',(-0.124426406871192,2.75748584037902, -1.13183594)); #123751=CARTESIAN_POINT('Ctrl Pts',(-0.127941522484804,2.7668476757879, -1.12183594)); #123752=CARTESIAN_POINT('Ctrl Pts',(-0.122651062720944,2.76883410012746, -1.12183594)); #123753=CARTESIAN_POINT('Ctrl Pts',(-0.117292538703453,2.77068272449721, -1.1197638018269)); #123754=CARTESIAN_POINT('Ctrl Pts',(-0.113399863616846,2.77195905028243, -1.11597807562373)); #123755=CARTESIAN_POINT('Ctrl Pts',(-0.113399863616846,2.77195905028243, -1.11597807562373)); #123756=CARTESIAN_POINT('Ctrl Pts',(-0.105790002746027,2.77445416262426, -1.10857729105781)); #123757=CARTESIAN_POINT('Ctrl Pts',(-0.0980977652803609,2.77669802587244, -1.10109639314395)); #123758=CARTESIAN_POINT('Ctrl Pts',(-0.0903398982034396,2.77868575485004, -1.09355166875254)); #123759=CARTESIAN_POINT('Ctrl Pts',(-0.111443932085395,2.77260035884073, -1.11814427866026)); #123760=CARTESIAN_POINT('Ctrl Pts',(-0.10382816479109,2.77504829138035, -1.11071741952302)); #123761=CARTESIAN_POINT('Ctrl Pts',(-0.0961353685491942,2.77724817713438, -1.10321231202084)); #123762=CARTESIAN_POINT('Ctrl Pts',(-0.0883771707037828,2.77918864698361, -1.09564324781108)); #123763=CARTESIAN_POINT('Ctrl Pts',(-0.106668212895196,2.77114366614707, -1.12244237914232)); #123764=CARTESIAN_POINT('Ctrl Pts',(-0.0991186072978411,2.77353189357288, -1.11496651046754)); #123765=CARTESIAN_POINT('Ctrl Pts',(-0.0914919674706576,2.77566917944467, -1.10741174703949)); #123766=CARTESIAN_POINT('Ctrl Pts',(-0.083804870997979,2.77755421650129, -1.09979677199529)); #123767=CARTESIAN_POINT('Ctrl Pts',(-0.103690430201814,2.76536777757861, -1.12352637020182)); #123768=CARTESIAN_POINT('Ctrl Pts',(-0.0962526430942654,2.76778036811216, -1.11608683937787)); #123769=CARTESIAN_POINT('Ctrl Pts',(-0.0887336794262829,2.76994808100464, -1.10857124764613)); #123770=CARTESIAN_POINT('Ctrl Pts',(-0.081153881855736,2.77186405487369, -1.10098982185574)); #123771=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.76245678296416, -1.1230491434356)); #123772=CARTESIAN_POINT('Ctrl Pts',(-0.0958124188696776,2.76488334361003, -1.11564835886968)); #123773=CARTESIAN_POINT('Ctrl Pts',(-0.0883315209558164,2.76706555807848, -1.10816746095582)); #123774=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644032,2.76899867540984, -1.1006227365644)); #123775=CARTESIAN_POINT('',(-0.0903398982034396,2.77868575485004,-1.09355166875254)); #123776=CARTESIAN_POINT('',(-0.0807867965644031,2.76899867540984,-1.1006227365644)); #123777=CARTESIAN_POINT('Origin',(-0.0878578643762686,2.76899867540984, -1.09355166875254)); #123778=CARTESIAN_POINT('Origin',(0.00707106781186595,2.4261,-1.01276487218814)); #123779=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.00569380437627)); #123780=CARTESIAN_POINT('Ctrl Pts',(-0.0903398982034396,2.77868575485004, -1.09355166875254)); #123781=CARTESIAN_POINT('Ctrl Pts',(-0.0865730119292978,2.77965091046926, -1.08988827539063)); #123782=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.7801744721401, -1.0846746963917)); #123783=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.7801744721401, -1.07940953312881)); #123784=CARTESIAN_POINT('Ctrl Pts',(-0.0883771707037828,2.77918864698361, -1.09564324781108)); #123785=CARTESIAN_POINT('Ctrl Pts',(-0.0840321712062452,2.7802825244208, -1.09149806568469)); #123786=CARTESIAN_POINT('Ctrl Pts',(-0.0813907347594043,2.78087120208558, -1.08549136464263)); #123787=CARTESIAN_POINT('Ctrl Pts',(-0.0813907347594043,2.78087120208558, -1.07940953312881)); #123788=CARTESIAN_POINT('Ctrl Pts',(-0.083804860976953,2.77755423765487, -1.09979678743631)); #123789=CARTESIAN_POINT('Ctrl Pts',(-0.0783194934060167,2.77875984186617, -1.09469587965542)); #123790=CARTESIAN_POINT('Ctrl Pts',(-0.0749121294559822,2.77942040689313, -1.08709913386164)); #123791=CARTESIAN_POINT('Ctrl Pts',(-0.0749121294559822,2.77942040689313, -1.07940953312881)); #123792=CARTESIAN_POINT('Ctrl Pts',(-0.0811538818557359,2.77186405487369, -1.10098982185574)); #123793=CARTESIAN_POINT('Ctrl Pts',(-0.0754555205962845,2.77289495378955, -1.09548631894715)); #123794=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.77345411529788, -1.08750618506303)); #123795=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.77345411529788, -1.07940953312881)); #123796=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644031,2.76899867540984, -1.1006227365644)); #123797=CARTESIAN_POINT('Ctrl Pts',(-0.0752917065215336,2.7699373139647, -1.09512764652153)); #123798=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.77044649109666, -1.08730727802314)); #123799=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.77044649109666, -1.07940953312881)); #123800=CARTESIAN_POINT('',(-0.084316545880928,2.7801744721401,-1.07940953312881)); #123801=CARTESIAN_POINT('',(-0.0719999999999995,2.77044649109666,-1.07940953312881)); #123802=CARTESIAN_POINT('Origin',(-0.0819999999999995,2.77044649109666, -1.07940953312881)); #123803=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.77044649109666, -1.07940953312881)); #123804=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.77044649109666, -1.08730727802314)); #123805=CARTESIAN_POINT('Ctrl Pts',(-0.0752917065215336,2.7699373139647, -1.09512764652153)); #123806=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644031,2.76899867540984, -1.1006227365644)); #123807=CARTESIAN_POINT('Ctrl Pts',(-0.0903398982034396,2.77868575485004, -1.09355166875254)); #123808=CARTESIAN_POINT('Ctrl Pts',(-0.0865730119292978,2.77965091046926, -1.08988827539063)); #123809=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.7801744721401, -1.0846746963917)); #123810=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.7801744721401, -1.07940953312881)); #123811=CARTESIAN_POINT('Origin',(-0.0819999999999995,2.77044649109666, -1.03908271)); #123812=CARTESIAN_POINT('',(-0.0843165458809281,2.7801744721401,-0.996206019)); #123813=CARTESIAN_POINT('',(-0.0719999999999995,2.77044649109666,-0.996206019)); #123814=CARTESIAN_POINT('Origin',(-0.0819999999999995,2.77044649109666, -0.996206019)); #123815=CARTESIAN_POINT('',(-0.0719999999999995,2.77044649109666,-1.03908271)); #123816=CARTESIAN_POINT('',(-0.0843165458809281,2.7801744721401,-1.03908271)); #123817=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.7801744721401, -0.996206019)); #123818=CARTESIAN_POINT('Ctrl Pts',(-0.0843165458809281,2.7801744721401, -0.985058750140605)); #123819=CARTESIAN_POINT('Ctrl Pts',(-0.077550447431004,2.78188754033644, -0.966620701061124)); #123820=CARTESIAN_POINT('Ctrl Pts',(-0.056286148096767,2.78591685763914, -0.950236188976647)); #123821=CARTESIAN_POINT('Ctrl Pts',(-0.0411606559574528,2.78782116885279, -0.94683594)); #123822=CARTESIAN_POINT('Ctrl Pts',(-0.0335517345254577,2.78852556869089, -0.94683594)); #123823=CARTESIAN_POINT('Ctrl Pts',(-0.0813907347594044,2.78087120208557, -0.996206019)); #123824=CARTESIAN_POINT('Ctrl Pts',(-0.0813907347594044,2.78087120208557, -0.985759186172803)); #123825=CARTESIAN_POINT('Ctrl Pts',(-0.0751253890763424,2.78239859242785, -0.968434784475079)); #123826=CARTESIAN_POINT('Ctrl Pts',(-0.0551143434370457,2.78607253218713, -0.952778610326641)); #123827=CARTESIAN_POINT('Ctrl Pts',(-0.0407713819113708,2.78785796007899, -0.949477187791068)); #123828=CARTESIAN_POINT('Ctrl Pts',(-0.0335517345254577,2.78852556869089, -0.949453933877992)); #123829=CARTESIAN_POINT('Ctrl Pts',(-0.0749120764521919,2.77942047400095, -0.996206019)); #123830=CARTESIAN_POINT('Ctrl Pts',(-0.0749120764521919,2.77942047400095, -0.987138129815065)); #123831=CARTESIAN_POINT('Ctrl Pts',(-0.0697404815718327,2.78073684622349, -0.972023858425585)); #123832=CARTESIAN_POINT('Ctrl Pts',(-0.0524217583935394,2.78407150380234, -0.957825831293128)); #123833=CARTESIAN_POINT('Ctrl Pts',(-0.039748911297682,2.78573252946859, -0.954734137463062)); #123834=CARTESIAN_POINT('Ctrl Pts',(-0.0333517496314604,2.78636533346128, -0.954666467666667)); #123835=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.77345411529788,-0.996206019)); #123836=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.77345411529788,-0.987487247499117)); #123837=CARTESIAN_POINT('Ctrl Pts',(-0.0669681824091511,2.7750026943989, -0.972993296390176)); #123838=CARTESIAN_POINT('Ctrl Pts',(-0.0506517261038365,2.77871913399667, -0.959718496532693)); #123839=CARTESIAN_POINT('Ctrl Pts',(-0.0388260857623062,2.78050802550549, -0.956872929426243)); #123840=CARTESIAN_POINT('Ctrl Pts',(-0.0328712512166294,2.78117499341737, -0.95683594)); #123841=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.77044649109666,-0.996206019)); #123842=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.77044649109666,-0.987316649881736)); #123843=CARTESIAN_POINT('Ctrl Pts',(-0.0667526283781486,2.77211249378489, -0.972613261441445)); #123844=CARTESIAN_POINT('Ctrl Pts',(-0.0502613399774813,2.77603110804226, -0.959547462313549)); #123845=CARTESIAN_POINT('Ctrl Pts',(-0.0385309345147509,2.77788309946207, -0.95683594)); #123846=CARTESIAN_POINT('Ctrl Pts',(-0.0326299209999995,2.7785681463425, -0.95683594)); #123847=CARTESIAN_POINT('',(-0.0335517345254577,2.78852556869089,-0.94683594)); #123848=CARTESIAN_POINT('',(-0.0326299209999995,2.7785681463425,-0.95683594)); #123849=CARTESIAN_POINT('Origin',(-0.0326299209999995,2.7785681463425,-0.94683594)); #123850=CARTESIAN_POINT('Ctrl Pts',(-0.0326299209999995,2.7785681463425, -0.95683594)); #123851=CARTESIAN_POINT('Ctrl Pts',(-0.0385309345147509,2.77788309946207, -0.95683594)); #123852=CARTESIAN_POINT('Ctrl Pts',(-0.0502613399774813,2.77603110804226, -0.959547462313549)); #123853=CARTESIAN_POINT('Ctrl Pts',(-0.0667526283781486,2.77211249378489, -0.972613261441445)); #123854=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.77044649109666,-0.987316649881736)); #123855=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.77044649109666,-0.996206019)); #123856=CARTESIAN_POINT('Ctrl Pts',(-0.084316545880928,2.7801744721401, -0.996206019)); #123857=CARTESIAN_POINT('Ctrl Pts',(-0.0843165458809281,2.7801744721401, -0.985058750140605)); #123858=CARTESIAN_POINT('Ctrl Pts',(-0.077550447431004,2.78188754033644, -0.966620701061124)); #123859=CARTESIAN_POINT('Ctrl Pts',(-0.056286148096767,2.78591685763914, -0.950236188976647)); #123860=CARTESIAN_POINT('Ctrl Pts',(-0.0411606559574528,2.78782116885279, -0.94683594)); #123861=CARTESIAN_POINT('Ctrl Pts',(-0.0335517345254577,2.78852556869089, -0.94683594)); #123862=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #123863=CARTESIAN_POINT('',(0.0335517345254587,2.78852556869089,-0.94683594)); #123864=CARTESIAN_POINT('',(0.0326299210000005,2.7785681463425,-0.95683594)); #123865=CARTESIAN_POINT('Origin',(0.0326299210000005,2.7785681463425,-0.94683594)); #123866=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.95683594)); #123867=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #123868=CARTESIAN_POINT('Ctrl Pts',(0.0335517345254588,2.78852556869089, -0.94683594)); #123869=CARTESIAN_POINT('Ctrl Pts',(0.0411606538806452,2.78782116904505, -0.94683594)); #123870=CARTESIAN_POINT('Ctrl Pts',(0.0562868593586478,2.78591674206846, -0.950236279872132)); #123871=CARTESIAN_POINT('Ctrl Pts',(0.0775506842925386,2.78188743921221, -0.966621471789)); #123872=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809291,2.7801744721401, -0.985058753183184)); #123873=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809291,2.7801744721401, -0.996206019)); #123874=CARTESIAN_POINT('Ctrl Pts',(0.0335517345254588,2.78852556869089, -0.949453933877992)); #123875=CARTESIAN_POINT('Ctrl Pts',(0.0407713799408133,2.78785796026121, -0.949477187784721)); #123876=CARTESIAN_POINT('Ctrl Pts',(0.0551150170437921,2.78607242510279, -0.952778705863026)); #123877=CARTESIAN_POINT('Ctrl Pts',(0.0751256040206394,2.78239850352827, -0.968435513241756)); #123878=CARTESIAN_POINT('Ctrl Pts',(0.0813907347594053,2.78087120208558, -0.985759189024204)); #123879=CARTESIAN_POINT('Ctrl Pts',(0.0813907347594053,2.78087120208558, -0.996206019)); #123880=CARTESIAN_POINT('Ctrl Pts',(0.0333517496314614,2.78636533346128, -0.954666467666668)); #123881=CARTESIAN_POINT('Ctrl Pts',(0.039748909551617,2.78573252964131, -0.954734137444592)); #123882=CARTESIAN_POINT('Ctrl Pts',(0.0524223514601263,2.78407140440386, -0.95782593524655)); #123883=CARTESIAN_POINT('Ctrl Pts',(0.069740648462791,2.7807367694209,-0.972024503961049)); #123884=CARTESIAN_POINT('Ctrl Pts',(0.0749120764521927,2.77942047400095, -0.987138132290095)); #123885=CARTESIAN_POINT('Ctrl Pts',(0.0749120764521927,2.77942047400095, -0.996206019)); #123886=CARTESIAN_POINT('Ctrl Pts',(0.0328712512166305,2.78117499341737, -0.95683594)); #123887=CARTESIAN_POINT('Ctrl Pts',(0.0388260841369718,2.78050802568754, -0.956872929416147)); #123888=CARTESIAN_POINT('Ctrl Pts',(0.050652279471421,2.77871902608203, -0.959718583693513)); #123889=CARTESIAN_POINT('Ctrl Pts',(0.0669683494222333,2.77500260355822, -0.97299390866831)); #123890=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77345411529788, -0.987487249878858)); #123891=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77345411529788, -0.996206019)); #123892=CARTESIAN_POINT('Ctrl Pts',(0.0326299210000005,2.7785681463425, -0.95683594)); #123893=CARTESIAN_POINT('Ctrl Pts',(0.0385309329041066,2.77788309964905, -0.95683594)); #123894=CARTESIAN_POINT('Ctrl Pts',(0.0502618915886409,2.77603099564682, -0.959547534797989)); #123895=CARTESIAN_POINT('Ctrl Pts',(0.0667528120734506,2.77211239543898, -0.972613876056977)); #123896=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77044649109666, -0.98731665230804)); #123897=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77044649109666, -0.996206019)); #123898=CARTESIAN_POINT('',(0.0843165458809291,2.7801744721401,-0.996206019)); #123899=CARTESIAN_POINT('',(0.0720000000000005,2.77044649109666,-0.996206019)); #123900=CARTESIAN_POINT('Origin',(0.0820000000000005,2.77044649109666,-0.996206019)); #123901=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77044649109666, -0.996206019)); #123902=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77044649109666, -0.98731665230804)); #123903=CARTESIAN_POINT('Ctrl Pts',(0.0667528120734506,2.77211239543898, -0.972613876056977)); #123904=CARTESIAN_POINT('Ctrl Pts',(0.0502618915886409,2.77603099564682, -0.959547534797989)); #123905=CARTESIAN_POINT('Ctrl Pts',(0.0385309329041066,2.77788309964905, -0.95683594)); #123906=CARTESIAN_POINT('Ctrl Pts',(0.0326299210000005,2.7785681463425, -0.95683594)); #123907=CARTESIAN_POINT('Ctrl Pts',(0.0335517345254588,2.78852556869089, -0.94683594)); #123908=CARTESIAN_POINT('Ctrl Pts',(0.0411606538806452,2.78782116904505, -0.94683594)); #123909=CARTESIAN_POINT('Ctrl Pts',(0.0562868593586478,2.78591674206846, -0.950236279872132)); #123910=CARTESIAN_POINT('Ctrl Pts',(0.0775506842925386,2.78188743921221, -0.966621471789)); #123911=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809291,2.7801744721401, -0.985058753183184)); #123912=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809291,2.7801744721401, -0.996206019)); #123913=CARTESIAN_POINT('Origin',(0.0820000000000005,2.77044649109666,-1.03908271)); #123914=CARTESIAN_POINT('',(0.0843165458809292,2.7801744721401,-1.07940953312881)); #123915=CARTESIAN_POINT('',(0.0720000000000005,2.77044649109666,-1.07940953312881)); #123916=CARTESIAN_POINT('Origin',(0.0820000000000005,2.77044649109666,-1.07940953312881)); #123917=CARTESIAN_POINT('',(0.0720000000000005,2.77044649109666,-1.03908271)); #123918=CARTESIAN_POINT('',(0.0843165458809292,2.7801744721401,-1.03908271)); #123919=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809291,2.7801744721401, -1.07940953312881)); #123920=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809291,2.7801744721401, -1.08467470605908)); #123921=CARTESIAN_POINT('Ctrl Pts',(0.0865730050129038,2.77965091224139, -1.08988826866425)); #123922=CARTESIAN_POINT('Ctrl Pts',(0.0903398982034408,2.77868575485004, -1.09355166875254)); #123923=CARTESIAN_POINT('Ctrl Pts',(0.0813907347594053,2.78087120208558, -1.07940953312881)); #123924=CARTESIAN_POINT('Ctrl Pts',(0.0813907347594053,2.78087120208558, -1.0854913758095)); #123925=CARTESIAN_POINT('Ctrl Pts',(0.0840321632283753,2.78028252642927, -1.0914980580737)); #123926=CARTESIAN_POINT('Ctrl Pts',(0.0883771707037839,2.77918864698361, -1.09564324781108)); #123927=CARTESIAN_POINT('Ctrl Pts',(0.0749121294559833,2.77942040689313, -1.07940953312881)); #123928=CARTESIAN_POINT('Ctrl Pts',(0.0749121294559833,2.77942040689313, -1.08709914798055)); #123929=CARTESIAN_POINT('Ctrl Pts',(0.0783194833343124,2.77875984407979, -1.09469587028962)); #123930=CARTESIAN_POINT('Ctrl Pts',(0.0838048609769543,2.77755423765487, -1.09979678743631)); #123931=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77345411529788, -1.07940953312881)); #123932=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77345411529788, -1.08750619992933)); #123933=CARTESIAN_POINT('Ctrl Pts',(0.0754555101335017,2.77289495568239, -1.09548630884215)); #123934=CARTESIAN_POINT('Ctrl Pts',(0.0811538818557372,2.77186405487369, -1.10098982185574)); #123935=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77044649109666, -1.07940953312881)); #123936=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77044649109666, -1.08730729252422)); #123937=CARTESIAN_POINT('Ctrl Pts',(0.0752916964319778,2.76993731568814, -1.09512763643198)); #123938=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644044,2.76899867540984, -1.1006227365644)); #123939=CARTESIAN_POINT('',(0.0903398982034408,2.77868575485004,-1.09355166875254)); #123940=CARTESIAN_POINT('',(0.0807867965644042,2.76899867540984,-1.1006227365644)); #123941=CARTESIAN_POINT('Origin',(0.0878578643762697,2.76899867540984,-1.09355166875254)); #123942=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644044,2.76899867540984, -1.1006227365644)); #123943=CARTESIAN_POINT('Ctrl Pts',(0.0752916964319778,2.76993731568814, -1.09512763643198)); #123944=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77044649109666, -1.08730729252422)); #123945=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.77044649109666, -1.07940953312881)); #123946=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809291,2.7801744721401, -1.07940953312881)); #123947=CARTESIAN_POINT('Ctrl Pts',(0.0843165458809291,2.7801744721401, -1.08467470605908)); #123948=CARTESIAN_POINT('Ctrl Pts',(0.0865730050129038,2.77965091224139, -1.08988826866425)); #123949=CARTESIAN_POINT('Ctrl Pts',(0.0903398982034408,2.77868575485004, -1.09355166875254)); #123950=CARTESIAN_POINT('Ctrl Pts',(0.0903398982034408,2.77868575485004, -1.09355166875254)); #123951=CARTESIAN_POINT('Ctrl Pts',(0.0980977652803621,2.77669802587244, -1.10109639314395)); #123952=CARTESIAN_POINT('Ctrl Pts',(0.105790002746028,2.77445416262426, -1.10857729105781)); #123953=CARTESIAN_POINT('Ctrl Pts',(0.113399863616847,2.77195905028243, -1.11597807562373)); #123954=CARTESIAN_POINT('Ctrl Pts',(0.088377170703784,2.77918864698361, -1.09564324781108)); #123955=CARTESIAN_POINT('Ctrl Pts',(0.0961353685491954,2.77724817713438, -1.10321231202084)); #123956=CARTESIAN_POINT('Ctrl Pts',(0.103828164791091,2.77504829138035, -1.11071741952302)); #123957=CARTESIAN_POINT('Ctrl Pts',(0.111443932085397,2.77260035884073, -1.11814427866026)); #123958=CARTESIAN_POINT('Ctrl Pts',(0.0838048709979802,2.77755421650129, -1.09979677199529)); #123959=CARTESIAN_POINT('Ctrl Pts',(0.0914919674706589,2.77566917944467, -1.10741174703949)); #123960=CARTESIAN_POINT('Ctrl Pts',(0.0991186072978425,2.77353189357288, -1.11496651046754)); #123961=CARTESIAN_POINT('Ctrl Pts',(0.106668212895198,2.77114366614707, -1.12244237914232)); #123962=CARTESIAN_POINT('Ctrl Pts',(0.0811538818557372,2.77186405487369, -1.10098982185574)); #123963=CARTESIAN_POINT('Ctrl Pts',(0.088733679426284,2.76994808100464, -1.10857124764613)); #123964=CARTESIAN_POINT('Ctrl Pts',(0.0962526430942668,2.76778036811216, -1.11608683937787)); #123965=CARTESIAN_POINT('Ctrl Pts',(0.103690430201816,2.76536777757861, -1.12352637020182)); #123966=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644044,2.76899867540984, -1.1006227365644)); #123967=CARTESIAN_POINT('Ctrl Pts',(0.0883315209558175,2.76706555807848, -1.10816746095582)); #123968=CARTESIAN_POINT('Ctrl Pts',(0.095812418869679,2.76488334361003, -1.11564835886968)); #123969=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.76245678296416, -1.1230491434356)); #123970=CARTESIAN_POINT('',(0.113399863616847,2.77195905028243,-1.11597807562373)); #123971=CARTESIAN_POINT('',(0.103213203435597,2.76245678296416,-1.1230491434356)); #123972=CARTESIAN_POINT('Origin',(0.110284271247462,2.76245678296416,-1.11597807562373)); #123973=CARTESIAN_POINT('Origin',(-0.00707106781186501,2.4261,-1.01276487218814)); #123974=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.00569380437627)); #123975=CARTESIAN_POINT('Ctrl Pts',(0.113399863616847,2.77195905028243, -1.11597807562373)); #123976=CARTESIAN_POINT('Ctrl Pts',(0.117292540427094,2.77068272393207, -1.11976380350318)); #123977=CARTESIAN_POINT('Ctrl Pts',(0.12265106037838,2.76883410100703,-1.12183594)); #123978=CARTESIAN_POINT('Ctrl Pts',(0.127941522484805,2.7668476757879,-1.12183594)); #123979=CARTESIAN_POINT('Ctrl Pts',(0.111443932085396,2.77260035884073, -1.11814427866026)); #123980=CARTESIAN_POINT('Ctrl Pts',(0.115957317599234,2.77114046366682, -1.12242110731277)); #123981=CARTESIAN_POINT('Ctrl Pts',(0.122061117220642,2.7690608573211,-1.12460612766274)); #123982=CARTESIAN_POINT('Ctrl Pts',(0.127941522484805,2.7668476757879,-1.12445393387799)); #123983=CARTESIAN_POINT('Ctrl Pts',(0.106668217463469,2.77114365517267, -1.12244237097578)); #123984=CARTESIAN_POINT('Ctrl Pts',(0.11242521847168,2.76951950873496,-1.12769459334441)); #123985=CARTESIAN_POINT('Ctrl Pts',(0.120063405615466,2.76720576695617, -1.13010930210056)); #123986=CARTESIAN_POINT('Ctrl Pts',(0.127178908392856,2.76481659960318, -1.1296664122354)); #123987=CARTESIAN_POINT('Ctrl Pts',(0.103690430201816,2.76536777757861, -1.12352637020182)); #123988=CARTESIAN_POINT('Ctrl Pts',(0.109631659329249,2.7639938886181,-1.12920519853022)); #123989=CARTESIAN_POINT('Ctrl Pts',(0.117638960549427,2.76202540813046, -1.13207803090131)); #123990=CARTESIAN_POINT('Ctrl Pts',(0.12534666198688,2.75993676315774,-1.13183594)); #123991=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.76245678296416, -1.1230491434356)); #123992=CARTESIAN_POINT('Ctrl Pts',(0.108891795254771,2.76121552290499, -1.12872773525477)); #123993=CARTESIAN_POINT('Ctrl Pts',(0.116708742060668,2.75941768977188, -1.13183594)); #123994=CARTESIAN_POINT('Ctrl Pts',(0.124426406871193,2.75748584037902, -1.13183594)); #123995=CARTESIAN_POINT('',(0.127941522484805,2.7668476757879,-1.12183594)); #123996=CARTESIAN_POINT('',(0.124426406871193,2.75748584037902,-1.13183594)); #123997=CARTESIAN_POINT('Origin',(0.124426406871193,2.75748584037902,-1.12183594)); #123998=CARTESIAN_POINT('Ctrl Pts',(0.124426406871193,2.75748584037902, -1.13183594)); #123999=CARTESIAN_POINT('Ctrl Pts',(0.116708742060668,2.75941768977188, -1.13183594)); #124000=CARTESIAN_POINT('Ctrl Pts',(0.108891795254771,2.76121552290499, -1.12872773525477)); #124001=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.76245678296416, -1.1230491434356)); #124002=CARTESIAN_POINT('Ctrl Pts',(0.113399863616847,2.77195905028243, -1.11597807562373)); #124003=CARTESIAN_POINT('Ctrl Pts',(0.117292540427094,2.77068272393207, -1.11976380350318)); #124004=CARTESIAN_POINT('Ctrl Pts',(0.12265106037838,2.76883410100703,-1.12183594)); #124005=CARTESIAN_POINT('Ctrl Pts',(0.127941522484805,2.7668476757879,-1.12183594)); #124006=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #124007=CARTESIAN_POINT('',(0.340747675787898,2.5540415224848,-1.12183594)); #124008=CARTESIAN_POINT('',(0.331385840379019,2.55052640687119,-1.13183594)); #124009=CARTESIAN_POINT('Origin',(0.331385840379019,2.55052640687119,-1.12183594)); #124010=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #124011=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #124012=CARTESIAN_POINT('Ctrl Pts',(0.340747675787898,2.5540415224848,-1.12183594)); #124013=CARTESIAN_POINT('Ctrl Pts',(0.342734100127456,2.54875106272094, -1.12183594)); #124014=CARTESIAN_POINT('Ctrl Pts',(0.344582724497214,2.54339253870345, -1.1197638018269)); #124015=CARTESIAN_POINT('Ctrl Pts',(0.345859050282431,2.53949986361685, -1.11597807562373)); #124016=CARTESIAN_POINT('Ctrl Pts',(0.340747675787898,2.5540415224848,-1.12445393387799)); #124017=CARTESIAN_POINT('Ctrl Pts',(0.342960856341126,2.54816111982443, -1.12460612759535)); #124018=CARTESIAN_POINT('Ctrl Pts',(0.345040464313243,2.54205731560075, -1.12242110541903)); #124019=CARTESIAN_POINT('Ctrl Pts',(0.346500358840727,2.5375439320854,-1.11814427866026)); #124020=CARTESIAN_POINT('Ctrl Pts',(0.338716599603183,2.55327890839285, -1.1296664122354)); #124021=CARTESIAN_POINT('Ctrl Pts',(0.341105765898269,2.54616340876614, -1.13010930190445)); #124022=CARTESIAN_POINT('Ctrl Pts',(0.343419509454117,2.53852521592253, -1.12769459101878)); #124023=CARTESIAN_POINT('Ctrl Pts',(0.345043655172674,2.53276821746347, -1.12244237097578)); #124024=CARTESIAN_POINT('Ctrl Pts',(0.33383676315774,2.55144666198688,-1.13183594)); #124025=CARTESIAN_POINT('Ctrl Pts',(0.335925407205633,2.54373896396232, -1.13207803079412)); #124026=CARTESIAN_POINT('Ctrl Pts',(0.337893889226441,2.53573165669853, -1.12920519601569)); #124027=CARTESIAN_POINT('Ctrl Pts',(0.339267777578608,2.52979043020181, -1.12352637020181)); #124028=CARTESIAN_POINT('Ctrl Pts',(0.331385840379019,2.55052640687119, -1.13183594)); #124029=CARTESIAN_POINT('Ctrl Pts',(0.33331768891648,2.54280874547797,-1.13183594)); #124030=CARTESIAN_POINT('Ctrl Pts',(0.335115523454605,2.53499179274034, -1.12872773274034)); #124031=CARTESIAN_POINT('Ctrl Pts',(0.336356782964162,2.5293132034356,-1.1230491434356)); #124032=CARTESIAN_POINT('',(0.345859050282431,2.53949986361685,-1.11597807562373)); #124033=CARTESIAN_POINT('',(0.336356782964162,2.5293132034356,-1.1230491434356)); #124034=CARTESIAN_POINT('Origin',(0.336356782964162,2.53638427124746,-1.11597807562373)); #124035=CARTESIAN_POINT('Ctrl Pts',(0.336356782964162,2.5293132034356,-1.1230491434356)); #124036=CARTESIAN_POINT('Ctrl Pts',(0.335115523454605,2.53499179274034, -1.12872773274034)); #124037=CARTESIAN_POINT('Ctrl Pts',(0.33331768891648,2.54280874547797,-1.13183594)); #124038=CARTESIAN_POINT('Ctrl Pts',(0.331385840379019,2.55052640687119, -1.13183594)); #124039=CARTESIAN_POINT('Ctrl Pts',(0.340747675787898,2.5540415224848,-1.12183594)); #124040=CARTESIAN_POINT('Ctrl Pts',(0.342734100127456,2.54875106272094, -1.12183594)); #124041=CARTESIAN_POINT('Ctrl Pts',(0.344582724497214,2.54339253870345, -1.1197638018269)); #124042=CARTESIAN_POINT('Ctrl Pts',(0.345859050282431,2.53949986361685, -1.11597807562373)); #124043=CARTESIAN_POINT('Ctrl Pts',(0.345859050282431,2.53949986361685, -1.11597807562373)); #124044=CARTESIAN_POINT('Ctrl Pts',(0.348354162624263,2.53189000274603, -1.10857729105781)); #124045=CARTESIAN_POINT('Ctrl Pts',(0.350598025872444,2.52419776528036, -1.10109639314395)); #124046=CARTESIAN_POINT('Ctrl Pts',(0.352585754850046,2.51643989820344, -1.09355166875254)); #124047=CARTESIAN_POINT('Ctrl Pts',(0.346500358840727,2.5375439320854,-1.11814427866026)); #124048=CARTESIAN_POINT('Ctrl Pts',(0.348948291380355,2.52992816479109, -1.11071741952302)); #124049=CARTESIAN_POINT('Ctrl Pts',(0.351148177134382,2.52223536854919, -1.10321231202084)); #124050=CARTESIAN_POINT('Ctrl Pts',(0.353088646983608,2.51447717070378, -1.09564324781108)); #124051=CARTESIAN_POINT('Ctrl Pts',(0.345043666147067,2.5327682128952,-1.12244237914232)); #124052=CARTESIAN_POINT('Ctrl Pts',(0.347431893572884,2.52521860729784, -1.11496651046754)); #124053=CARTESIAN_POINT('Ctrl Pts',(0.349569179444674,2.51759196747066, -1.10741174703949)); #124054=CARTESIAN_POINT('Ctrl Pts',(0.351454216501292,2.50990487099798, -1.09979677199529)); #124055=CARTESIAN_POINT('Ctrl Pts',(0.339267777578608,2.52979043020181, -1.12352637020182)); #124056=CARTESIAN_POINT('Ctrl Pts',(0.34168036811216,2.52235264309427,-1.11608683937787)); #124057=CARTESIAN_POINT('Ctrl Pts',(0.343848081004638,2.51483367942628, -1.10857124764613)); #124058=CARTESIAN_POINT('Ctrl Pts',(0.345764054873687,2.50725388185574, -1.10098982185574)); #124059=CARTESIAN_POINT('Ctrl Pts',(0.336356782964163,2.5293132034356,-1.1230491434356)); #124060=CARTESIAN_POINT('Ctrl Pts',(0.338783343610031,2.52191241886968, -1.11564835886968)); #124061=CARTESIAN_POINT('Ctrl Pts',(0.340965558078478,2.51443152095582, -1.10816746095582)); #124062=CARTESIAN_POINT('Ctrl Pts',(0.342898675409844,2.5068867965644,-1.1006227365644)); #124063=CARTESIAN_POINT('',(0.352585754850046,2.51643989820344,-1.09355166875254)); #124064=CARTESIAN_POINT('',(0.342898675409844,2.5068867965644,-1.1006227365644)); #124065=CARTESIAN_POINT('Origin',(0.342898675409844,2.51395786437627,-1.09355166875254)); #124066=CARTESIAN_POINT('Origin',(4.81665194715546E-16,2.41902893218813, -1.01276487218813)); #124067=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.00569380437627)); #124068=CARTESIAN_POINT('Ctrl Pts',(0.352585754850046,2.51643989820344, -1.09355166875254)); #124069=CARTESIAN_POINT('Ctrl Pts',(0.353550910469264,2.5126730119293,-1.08988827539062)); #124070=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.51041654588093, -1.0846746963917)); #124071=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.51041654588093, -1.07940953312881)); #124072=CARTESIAN_POINT('Ctrl Pts',(0.353088646983608,2.51447717070378, -1.09564324781108)); #124073=CARTESIAN_POINT('Ctrl Pts',(0.3541825244208,2.51013217120624,-1.09149806568469)); #124074=CARTESIAN_POINT('Ctrl Pts',(0.354771202085576,2.50749073475941, -1.08549136464263)); #124075=CARTESIAN_POINT('Ctrl Pts',(0.354771202085576,2.50749073475941, -1.07940953312881)); #124076=CARTESIAN_POINT('Ctrl Pts',(0.351454237654867,2.50990486097695, -1.09979678743631)); #124077=CARTESIAN_POINT('Ctrl Pts',(0.352659841866173,2.50441949340602, -1.09469587965541)); #124078=CARTESIAN_POINT('Ctrl Pts',(0.35332040689313,2.50101212945598,-1.08709913386164)); #124079=CARTESIAN_POINT('Ctrl Pts',(0.35332040689313,2.50101212945598,-1.07940953312881)); #124080=CARTESIAN_POINT('Ctrl Pts',(0.345764054873687,2.50725388185574, -1.10098982185574)); #124081=CARTESIAN_POINT('Ctrl Pts',(0.346794953789552,2.50155552059628, -1.09548631894715)); #124082=CARTESIAN_POINT('Ctrl Pts',(0.347354115297881,2.4981,-1.08750618506303)); #124083=CARTESIAN_POINT('Ctrl Pts',(0.347354115297881,2.4981,-1.07940953312881)); #124084=CARTESIAN_POINT('Ctrl Pts',(0.342898675409844,2.5068867965644,-1.1006227365644)); #124085=CARTESIAN_POINT('Ctrl Pts',(0.343837313964698,2.50139170652153, -1.09512764652153)); #124086=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.4981,-1.08730727802314)); #124087=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.4981,-1.07940953312881)); #124088=CARTESIAN_POINT('',(0.354074472140104,2.51041654588093,-1.07940953312881)); #124089=CARTESIAN_POINT('',(0.344346491096663,2.4981,-1.07940953312881)); #124090=CARTESIAN_POINT('Origin',(0.344346491096663,2.5081,-1.07940953312881)); #124091=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.4981,-1.07940953312881)); #124092=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.4981,-1.08730727802314)); #124093=CARTESIAN_POINT('Ctrl Pts',(0.343837313964698,2.50139170652153, -1.09512764652153)); #124094=CARTESIAN_POINT('Ctrl Pts',(0.342898675409844,2.5068867965644,-1.1006227365644)); #124095=CARTESIAN_POINT('Ctrl Pts',(0.352585754850046,2.51643989820344, -1.09355166875254)); #124096=CARTESIAN_POINT('Ctrl Pts',(0.353550910469264,2.5126730119293,-1.08988827539062)); #124097=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.51041654588093, -1.0846746963917)); #124098=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.51041654588093, -1.07940953312881)); #124099=CARTESIAN_POINT('Origin',(0.344346491096663,2.5081,-1.03908271)); #124100=CARTESIAN_POINT('',(0.354074472140104,2.51041654588093,-0.996206019)); #124101=CARTESIAN_POINT('',(0.344346491096663,2.4981,-0.996206019)); #124102=CARTESIAN_POINT('Origin',(0.344346491096663,2.5081,-0.996206019)); #124103=CARTESIAN_POINT('',(0.344346491096663,2.4981,-1.03908271)); #124104=CARTESIAN_POINT('',(0.354074472140104,2.51041654588093,-1.03908271)); #124105=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.51041654588093, -0.996206019)); #124106=CARTESIAN_POINT('Ctrl Pts',(0.354074472140105,2.51041654588093, -0.988774506427068)); #124107=CARTESIAN_POINT('Ctrl Pts',(0.354933052477186,2.5068758237216,-0.97394815257993)); #124108=CARTESIAN_POINT('Ctrl Pts',(0.358588614823526,2.48988495320467, -0.95330313026718)); #124109=CARTESIAN_POINT('Ctrl Pts',(0.361368968933734,2.47106511667345, -0.94683594)); #124110=CARTESIAN_POINT('Ctrl Pts',(0.362425568690893,2.45965173452546, -0.94683594)); #124111=CARTESIAN_POINT('Ctrl Pts',(0.354771202085576,2.50749073475941, -0.996206019)); #124112=CARTESIAN_POINT('Ctrl Pts',(0.354771202085576,2.50749073475941, -0.98924146378187)); #124113=CARTESIAN_POINT('Ctrl Pts',(0.355537330943159,2.50420953475932, -0.975340668986702)); #124114=CARTESIAN_POINT('Ctrl Pts',(0.358834768916642,2.48830684644662, -0.955755380484531)); #124115=CARTESIAN_POINT('Ctrl Pts',(0.361424155773044,2.47048120560433, -0.949488814747606)); #124116=CARTESIAN_POINT('Ctrl Pts',(0.362425568690893,2.45965173452546, -0.949453933877992)); #124117=CARTESIAN_POINT('Ctrl Pts',(0.353320474000952,2.50101207645219, -0.996206019)); #124118=CARTESIAN_POINT('Ctrl Pts',(0.353320474000952,2.50101207645219, -0.990160759543384)); #124119=CARTESIAN_POINT('Ctrl Pts',(0.3539778042807,2.49829784838485,-0.978091051855783)); #124120=CARTESIAN_POINT('Ctrl Pts',(0.356925963864019,2.48473998770491, -0.960612952859771)); #124121=CARTESIAN_POINT('Ctrl Pts',(0.359316127472251,2.4690474921308,-0.95476797236126)); #124122=CARTESIAN_POINT('Ctrl Pts',(0.360265333461279,2.45945174963146, -0.954666467666668)); #124123=CARTESIAN_POINT('Ctrl Pts',(0.347354115297881,2.4981,-0.996206019)); #124124=CARTESIAN_POINT('Ctrl Pts',(0.347354115297881,2.4981,-0.990393504666086)); #124125=CARTESIAN_POINT('Ctrl Pts',(0.348129930793974,2.49545864499433, -0.978783795198234)); #124126=CARTESIAN_POINT('Ctrl Pts',(0.351474386244158,2.48257684349365, -0.962278733545629)); #124127=CARTESIAN_POINT('Ctrl Pts',(0.354074541549557,2.46790350303515, -0.956891424139364)); #124128=CARTESIAN_POINT('Ctrl Pts',(0.355074993417367,2.45897125121663, -0.95683594)); #124129=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.4981,-0.996206019)); #124130=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.4981,-0.990279772921164)); #124131=CARTESIAN_POINT('Ctrl Pts',(0.345181482460519,2.49535403271661, -0.978456524223428)); #124132=CARTESIAN_POINT('Ctrl Pts',(0.348736610451924,2.48217695401446, -0.961993189023787)); #124133=CARTESIAN_POINT('Ctrl Pts',(0.351440576021849,2.46758144127213, -0.95683594)); #124134=CARTESIAN_POINT('Ctrl Pts',(0.3524681463425,2.458729921,-0.95683594)); #124135=CARTESIAN_POINT('',(0.362425568690893,2.45965173452546,-0.94683594)); #124136=CARTESIAN_POINT('',(0.3524681463425,2.458729921,-0.95683594)); #124137=CARTESIAN_POINT('Origin',(0.3524681463425,2.458729921,-0.94683594)); #124138=CARTESIAN_POINT('Ctrl Pts',(0.3524681463425,2.458729921,-0.95683594)); #124139=CARTESIAN_POINT('Ctrl Pts',(0.351440576021849,2.46758144127213, -0.95683594)); #124140=CARTESIAN_POINT('Ctrl Pts',(0.348736610451924,2.48217695401446, -0.961993189023787)); #124141=CARTESIAN_POINT('Ctrl Pts',(0.345181482460519,2.49535403271661, -0.978456524223428)); #124142=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.4981,-0.990279772921164)); #124143=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.4981,-0.996206019)); #124144=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.51041654588093, -0.996206019)); #124145=CARTESIAN_POINT('Ctrl Pts',(0.354074472140105,2.51041654588093, -0.988774506427068)); #124146=CARTESIAN_POINT('Ctrl Pts',(0.354933052477186,2.5068758237216,-0.97394815257993)); #124147=CARTESIAN_POINT('Ctrl Pts',(0.358588614823526,2.48988495320467, -0.95330313026718)); #124148=CARTESIAN_POINT('Ctrl Pts',(0.361368968933734,2.47106511667345, -0.94683594)); #124149=CARTESIAN_POINT('Ctrl Pts',(0.362425568690893,2.45965173452546, -0.94683594)); #124150=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #124151=CARTESIAN_POINT('',(0.362425568690893,2.39254826547454,-0.94683594)); #124152=CARTESIAN_POINT('',(0.3524681463425,2.393470079,-0.95683594)); #124153=CARTESIAN_POINT('Origin',(0.3524681463425,2.393470079,-0.94683594)); #124154=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.95683594)); #124155=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #124156=CARTESIAN_POINT('Ctrl Pts',(0.362425568690893,2.39254826547454, -0.94683594)); #124157=CARTESIAN_POINT('Ctrl Pts',(0.361721169045049,2.38493934611936, -0.94683594)); #124158=CARTESIAN_POINT('Ctrl Pts',(0.359816740131174,2.36981313553557, -0.950236275998252)); #124159=CARTESIAN_POINT('Ctrl Pts',(0.355787434732336,2.34854930641465, -0.966621465583183)); #124160=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.34178345411907, -0.985058753183183)); #124161=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.34178345411907, -0.996206019)); #124162=CARTESIAN_POINT('Ctrl Pts',(0.362425568690893,2.39254826547454, -0.949453933877992)); #124163=CARTESIAN_POINT('Ctrl Pts',(0.361757960261214,2.38532862005919, -0.949477187784721)); #124164=CARTESIAN_POINT('Ctrl Pts',(0.359972423429051,2.37098497849568, -0.952778702159998)); #124165=CARTESIAN_POINT('Ctrl Pts',(0.356298499076385,2.35097438780768, -0.9684355076563)); #124166=CARTESIAN_POINT('Ctrl Pts',(0.354771202085576,2.34470926524059, -0.985759189024203)); #124167=CARTESIAN_POINT('Ctrl Pts',(0.354771202085576,2.34470926524059, -0.996206019)); #124168=CARTESIAN_POINT('Ctrl Pts',(0.360265390803626,2.39274824506004, -0.954666525254209)); #124169=CARTESIAN_POINT('Ctrl Pts',(0.359632586983661,2.38635108513989, -0.954734195032133)); #124170=CARTESIAN_POINT('Ctrl Pts',(0.357971478906082,2.3736776734549,-0.957825971654559)); #124171=CARTESIAN_POINT('Ctrl Pts',(0.354636844573595,2.35635937851716, -0.972024538767545)); #124172=CARTESIAN_POINT('Ctrl Pts',(0.353320581545359,2.35118800848964, -0.987138132290095)); #124173=CARTESIAN_POINT('Ctrl Pts',(0.353320581545359,2.35118800848964, -0.996206019)); #124174=CARTESIAN_POINT('Ctrl Pts',(0.355074993417367,2.39322874878337, -0.95683594)); #124175=CARTESIAN_POINT('Ctrl Pts',(0.354408025687539,2.38727391586303, -0.956872929416147)); #124176=CARTESIAN_POINT('Ctrl Pts',(0.352619024424514,2.37544771655299, -0.959718578839805)); #124177=CARTESIAN_POINT('Ctrl Pts',(0.348902599478517,2.35913164274325, -0.972993901534351)); #124178=CARTESIAN_POINT('Ctrl Pts',(0.347354115297881,2.3541,-0.987487249878857)); #124179=CARTESIAN_POINT('Ctrl Pts',(0.347354115297881,2.3541,-0.996206019)); #124180=CARTESIAN_POINT('Ctrl Pts',(0.3524681463425,2.393470079,-0.95683594)); #124181=CARTESIAN_POINT('Ctrl Pts',(0.351783099649046,2.38756906709589, -0.95683594)); #124182=CARTESIAN_POINT('Ctrl Pts',(0.349930993762755,2.37583810445163, -0.959547531708771)); #124183=CARTESIAN_POINT('Ctrl Pts',(0.346012391082194,2.35934718071961, -0.972613871108159)); #124184=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.3541,-0.987316652308039)); #124185=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.3541,-0.996206019)); #124186=CARTESIAN_POINT('',(0.354074472140104,2.34178345411907,-0.996206019)); #124187=CARTESIAN_POINT('',(0.344346491096663,2.3541,-0.996206019)); #124188=CARTESIAN_POINT('Origin',(0.344346491096663,2.3441,-0.996206019)); #124189=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.3541,-0.996206019)); #124190=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.3541,-0.987316652308039)); #124191=CARTESIAN_POINT('Ctrl Pts',(0.346012391082194,2.35934718071961, -0.972613871108159)); #124192=CARTESIAN_POINT('Ctrl Pts',(0.349930993762755,2.37583810445163, -0.959547531708771)); #124193=CARTESIAN_POINT('Ctrl Pts',(0.351783099649046,2.38756906709589, -0.95683594)); #124194=CARTESIAN_POINT('Ctrl Pts',(0.3524681463425,2.393470079,-0.95683594)); #124195=CARTESIAN_POINT('Ctrl Pts',(0.362425568690893,2.39254826547454, -0.94683594)); #124196=CARTESIAN_POINT('Ctrl Pts',(0.361721169045049,2.38493934611936, -0.94683594)); #124197=CARTESIAN_POINT('Ctrl Pts',(0.359816740131174,2.36981313553557, -0.950236275998252)); #124198=CARTESIAN_POINT('Ctrl Pts',(0.355787434732336,2.34854930641465, -0.966621465583183)); #124199=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.34178345411907, -0.985058753183183)); #124200=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.34178345411907, -0.996206019)); #124201=CARTESIAN_POINT('Origin',(0.344346491096663,2.3441,-1.03908271)); #124202=CARTESIAN_POINT('',(0.354074472140104,2.34178345411907,-1.07940953312881)); #124203=CARTESIAN_POINT('',(0.344346491096663,2.3541,-1.07940953312881)); #124204=CARTESIAN_POINT('Origin',(0.344346491096663,2.3441,-1.07940953312881)); #124205=CARTESIAN_POINT('',(0.344346491096663,2.3541,-1.03908271)); #124206=CARTESIAN_POINT('',(0.354074472140104,2.34178345411907,-1.03908271)); #124207=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.34178345411907, -1.07940953312881)); #124208=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.34178345411907, -1.08467470605908)); #124209=CARTESIAN_POINT('Ctrl Pts',(0.35355091224139,2.3395269949871,-1.08988826866425)); #124210=CARTESIAN_POINT('Ctrl Pts',(0.352585754850046,2.33576010179656, -1.09355166875254)); #124211=CARTESIAN_POINT('Ctrl Pts',(0.354771202085576,2.34470926524059, -1.07940953312881)); #124212=CARTESIAN_POINT('Ctrl Pts',(0.354771202085576,2.34470926524059, -1.0854913758095)); #124213=CARTESIAN_POINT('Ctrl Pts',(0.354182526429273,2.34206783677163, -1.0914980580737)); #124214=CARTESIAN_POINT('Ctrl Pts',(0.353088646983608,2.33772282929622, -1.09564324781108)); #124215=CARTESIAN_POINT('Ctrl Pts',(0.35332052076589,2.35118796048417,-1.07940953312881)); #124216=CARTESIAN_POINT('Ctrl Pts',(0.35332052076589,2.35118796048417,-1.08709914798055)); #124217=CARTESIAN_POINT('Ctrl Pts',(0.352659941145087,2.34778056264817, -1.09469594114227)); #124218=CARTESIAN_POINT('Ctrl Pts',(0.351454334720166,2.34229518500553, -1.09979685828897)); #124219=CARTESIAN_POINT('Ctrl Pts',(0.347354115297881,2.3541,-1.07940953312881)); #124220=CARTESIAN_POINT('Ctrl Pts',(0.347354115297881,2.3541,-1.08750619992933)); #124221=CARTESIAN_POINT('Ctrl Pts',(0.346794955682389,2.3506444898665,-1.09548630884215)); #124222=CARTESIAN_POINT('Ctrl Pts',(0.345764054873687,2.34494611814426, -1.10098982185574)); #124223=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.3541,-1.07940953312881)); #124224=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.3541,-1.08730729252422)); #124225=CARTESIAN_POINT('Ctrl Pts',(0.343837315688136,2.35080830356802, -1.09512763643198)); #124226=CARTESIAN_POINT('Ctrl Pts',(0.342898675409844,2.3453132034356,-1.1006227365644)); #124227=CARTESIAN_POINT('',(0.352585754850046,2.33576010179656,-1.09355166875254)); #124228=CARTESIAN_POINT('',(0.342898675409844,2.3453132034356,-1.1006227365644)); #124229=CARTESIAN_POINT('Origin',(0.342898675409844,2.33824213562373,-1.09355166875254)); #124230=CARTESIAN_POINT('Ctrl Pts',(0.342898675409844,2.3453132034356,-1.1006227365644)); #124231=CARTESIAN_POINT('Ctrl Pts',(0.343837315688136,2.35080830356802, -1.09512763643198)); #124232=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.3541,-1.08730729252422)); #124233=CARTESIAN_POINT('Ctrl Pts',(0.344346491096663,2.3541,-1.07940953312881)); #124234=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.34178345411907, -1.07940953312881)); #124235=CARTESIAN_POINT('Ctrl Pts',(0.354074472140104,2.34178345411907, -1.08467470605908)); #124236=CARTESIAN_POINT('Ctrl Pts',(0.35355091224139,2.3395269949871,-1.08988826866425)); #124237=CARTESIAN_POINT('Ctrl Pts',(0.352585754850046,2.33576010179656, -1.09355166875254)); #124238=CARTESIAN_POINT('Ctrl Pts',(0.352585754850046,2.33576010179656, -1.09355166875254)); #124239=CARTESIAN_POINT('Ctrl Pts',(0.350598025872444,2.32800223471964, -1.10109639314395)); #124240=CARTESIAN_POINT('Ctrl Pts',(0.348354162624263,2.32030999725397, -1.10857729105781)); #124241=CARTESIAN_POINT('Ctrl Pts',(0.345859050282431,2.31270013638315, -1.11597807562373)); #124242=CARTESIAN_POINT('Ctrl Pts',(0.353088646983607,2.33772282929622, -1.09564324781108)); #124243=CARTESIAN_POINT('Ctrl Pts',(0.351148177134382,2.32996463145081, -1.10321231202084)); #124244=CARTESIAN_POINT('Ctrl Pts',(0.348948291380355,2.32227183520891, -1.11071741952302)); #124245=CARTESIAN_POINT('Ctrl Pts',(0.346500358840727,2.3146560679146,-1.11814427866026)); #124246=CARTESIAN_POINT('Ctrl Pts',(0.351454334894891,2.3422951850883,-1.09979685841651)); #124247=CARTESIAN_POINT('Ctrl Pts',(0.349569297838273,2.33460808861562, -1.1074118334607)); #124248=CARTESIAN_POINT('Ctrl Pts',(0.347432025052188,2.32698144743259, -1.11496660830725)); #124249=CARTESIAN_POINT('Ctrl Pts',(0.345043797626371,2.31943184183523, -1.12244247698203)); #124250=CARTESIAN_POINT('Ctrl Pts',(0.345764054873687,2.34494611814426, -1.10098982185574)); #124251=CARTESIAN_POINT('Ctrl Pts',(0.343848081004638,2.33736632057372, -1.10857124764613)); #124252=CARTESIAN_POINT('Ctrl Pts',(0.34168036811216,2.32984735690573,-1.11608683937787)); #124253=CARTESIAN_POINT('Ctrl Pts',(0.339267777578608,2.32240956979818, -1.12352637020182)); #124254=CARTESIAN_POINT('Ctrl Pts',(0.342898675409844,2.3453132034356,-1.1006227365644)); #124255=CARTESIAN_POINT('Ctrl Pts',(0.340965558078478,2.33776847904418, -1.10816746095582)); #124256=CARTESIAN_POINT('Ctrl Pts',(0.338783343610031,2.33028758113032, -1.11564835886968)); #124257=CARTESIAN_POINT('Ctrl Pts',(0.336356782964162,2.3228867965644,-1.1230491434356)); #124258=CARTESIAN_POINT('',(0.345859050282431,2.31270013638315,-1.11597807562373)); #124259=CARTESIAN_POINT('',(0.336356782964162,2.3228867965644,-1.1230491434356)); #124260=CARTESIAN_POINT('Origin',(0.336356782964162,2.31581572875254,-1.11597807562373)); #124261=CARTESIAN_POINT('Origin',(4.81665194715546E-16,2.43317106781187, -1.01276487218813)); #124262=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.00569380437627)); #124263=CARTESIAN_POINT('Ctrl Pts',(0.345859050282431,2.31270013638315, -1.11597807562373)); #124264=CARTESIAN_POINT('Ctrl Pts',(0.344582723932083,2.30880745957295, -1.11976380350314)); #124265=CARTESIAN_POINT('Ctrl Pts',(0.342734101007004,2.30344893962156, -1.12183594)); #124266=CARTESIAN_POINT('Ctrl Pts',(0.340747675787898,2.29815847751519, -1.12183594)); #124267=CARTESIAN_POINT('Ctrl Pts',(0.346500358840727,2.3146560679146,-1.11814427866026)); #124268=CARTESIAN_POINT('Ctrl Pts',(0.345040463666831,2.31014268240082, -1.12242110731272)); #124269=CARTESIAN_POINT('Ctrl Pts',(0.342960857321076,2.30403888277929, -1.12460612766274)); #124270=CARTESIAN_POINT('Ctrl Pts',(0.340747675787898,2.29815847751519, -1.12445393387799)); #124271=CARTESIAN_POINT('Ctrl Pts',(0.345043801970268,2.31943184364345, -1.12244248021452)); #124272=CARTESIAN_POINT('Ctrl Pts',(0.343419655532573,2.3136748426353,-1.1276947025831)); #124273=CARTESIAN_POINT('Ctrl Pts',(0.341105844696641,2.30603656519501, -1.13010938514036)); #124274=CARTESIAN_POINT('Ctrl Pts',(0.338716677343681,2.29892106241769, -1.12966649527521)); #124275=CARTESIAN_POINT('Ctrl Pts',(0.339267777578608,2.32240956979818, -1.12352637020182)); #124276=CARTESIAN_POINT('Ctrl Pts',(0.337893888618111,2.31646834067082, -1.12920519853016)); #124277=CARTESIAN_POINT('Ctrl Pts',(0.335925408130441,2.30846103945049, -1.13207803090131)); #124278=CARTESIAN_POINT('Ctrl Pts',(0.33383676315774,2.30075333801312,-1.13183594)); #124279=CARTESIAN_POINT('Ctrl Pts',(0.336356782964162,2.3228867965644,-1.1230491434356)); #124280=CARTESIAN_POINT('Ctrl Pts',(0.335115522905,2.31720820474529,-1.12872773525471)); #124281=CARTESIAN_POINT('Ctrl Pts',(0.333317689771862,2.30939125793925, -1.13183594)); #124282=CARTESIAN_POINT('Ctrl Pts',(0.331385840379019,2.30167359312881, -1.13183594)); #124283=CARTESIAN_POINT('',(0.340747675787898,2.29815847751519,-1.12183594)); #124284=CARTESIAN_POINT('',(0.331385840379019,2.30167359312881,-1.13183594)); #124285=CARTESIAN_POINT('Origin',(0.331385840379019,2.30167359312881,-1.12183594)); #124286=CARTESIAN_POINT('Ctrl Pts',(0.331385840379019,2.30167359312881, -1.13183594)); #124287=CARTESIAN_POINT('Ctrl Pts',(0.333317689771862,2.30939125793925, -1.13183594)); #124288=CARTESIAN_POINT('Ctrl Pts',(0.335115522905,2.31720820474529,-1.12872773525471)); #124289=CARTESIAN_POINT('Ctrl Pts',(0.336356782964162,2.3228867965644,-1.1230491434356)); #124290=CARTESIAN_POINT('Ctrl Pts',(0.345859050282431,2.31270013638315, -1.11597807562373)); #124291=CARTESIAN_POINT('Ctrl Pts',(0.344582723932083,2.30880745957295, -1.11976380350314)); #124292=CARTESIAN_POINT('Ctrl Pts',(0.342734101007004,2.30344893962156, -1.12183594)); #124293=CARTESIAN_POINT('Ctrl Pts',(0.340747675787898,2.29815847751519, -1.12183594)); #124294=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #124295=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #124296=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #124297=CARTESIAN_POINT('Origin',(-0.0919999999999995,2.60449143178036, -1.11183594)); #124298=CARTESIAN_POINT('',(-0.0807867965644034,2.69628389192622,-1.1006227365644)); #124299=CARTESIAN_POINT('',(-0.0807867965644031,2.69368714767054,-1.1006227365644)); #124300=CARTESIAN_POINT('',(-0.103213203435596,2.68782528760714,-1.1230491434356)); #124301=CARTESIAN_POINT('Origin',(0.00831243458909216,2.4261,-1.01152350541091)); #124302=CARTESIAN_POINT('',(-0.103213203435596,2.51529571589018,-1.1230491434356)); #124303=CARTESIAN_POINT('Origin',(-0.101999999999999,2.69368714767054,-1.07940953312881)); #124304=CARTESIAN_POINT('',(-0.0719999999999995,2.69818345552731,-1.07940953312881)); #124305=CARTESIAN_POINT('',(-0.0719999999999995,2.69368714767054,-1.07940953312881)); #124306=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644038,2.69628389192622, -1.1006227365644)); #124307=CARTESIAN_POINT('Ctrl Pts',(-0.0753274173517299,2.69748410468,-1.09516335735173)); #124308=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.69815936989611,-1.08733729993183)); #124309=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.69818345552731,-1.07940953312881)); #124310=CARTESIAN_POINT('Origin',(-0.0719999999999995,2.78288286356072, -1.13183594)); #124311=CARTESIAN_POINT('',(-0.0719999999999995,2.69856260890595,-0.996206019)); #124312=CARTESIAN_POINT('',(-0.0719999999999995,2.76191676978023,-0.996206019)); #124313=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.69818345552731, -1.07940953312881)); #124314=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.6983098473097, -1.05167502845258)); #124315=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.69843623175906, -1.02394052374293)); #124316=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.69856260890595, -0.996206019000001)); #124317=CARTESIAN_POINT('Origin',(-0.0326299209999995,2.76191676978023, -0.996206019)); #124318=CARTESIAN_POINT('',(-0.0326299209999995,2.70897417020089,-0.95683594)); #124319=CARTESIAN_POINT('',(-0.0326299209999995,2.76191676978023,-0.95683594)); #124320=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999993,2.69856260890595, -0.996206019000001)); #124321=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999996,2.69863059596472, -0.984307837597859)); #124322=CARTESIAN_POINT('Ctrl Pts',(-0.06195235639586,2.70284650806902, -0.963979596527777)); #124323=CARTESIAN_POINT('Ctrl Pts',(-0.0414948663868824,2.70769185045539, -0.95683594)); #124324=CARTESIAN_POINT('Ctrl Pts',(-0.0326299209999995,2.70897417020089, -0.95683594)); #124325=CARTESIAN_POINT('Origin',(5.0845462723938E-16,2.74095067599974, -0.95683594)); #124326=CARTESIAN_POINT('',(0.0326299210000005,2.70897417020089,-0.95683594)); #124327=CARTESIAN_POINT('',(0.0326299210000005,2.71699059698989,-0.95683594)); #124328=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.95683594)); #124329=CARTESIAN_POINT('Origin',(0.0326299210000005,2.71699059698989,-0.996206019)); #124330=CARTESIAN_POINT('',(0.0720000000000005,2.69856260890595,-0.996206019)); #124331=CARTESIAN_POINT('',(0.0720000000000005,2.71699059698989,-0.996206019)); #124332=CARTESIAN_POINT('Ctrl Pts',(0.0326299210000005,2.70897417020089, -0.95683594)); #124333=CARTESIAN_POINT('Ctrl Pts',(0.0414948644920919,2.70769185072948, -0.95683594)); #124334=CARTESIAN_POINT('Ctrl Pts',(0.0619515248525414,2.70284679249154, -0.9639788923821)); #124335=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000001,2.69863059595018, -0.984307840140984)); #124336=CARTESIAN_POINT('Ctrl Pts',(0.072,2.69856260890595,-0.996206019)); #124337=CARTESIAN_POINT('Origin',(0.0720000000000005,2.69303051798003,-1.13183594)); #124338=CARTESIAN_POINT('',(0.0720000000000005,2.69818345552731,-1.07940953312881)); #124339=CARTESIAN_POINT('',(0.0720000000000005,2.62629788848503,-1.07940953312881)); #124340=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.69856260890595, -0.996206019)); #124341=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.69843623175906, -1.02394052374293)); #124342=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.6983098473097, -1.05167502845258)); #124343=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.69818345552731, -1.07940953312881)); #124344=CARTESIAN_POINT('Origin',(0.102,2.62629788848503,-1.07940953312881)); #124345=CARTESIAN_POINT('',(0.0807867965644042,2.69628389192622,-1.1006227365644)); #124346=CARTESIAN_POINT('',(0.0807867965644041,2.62629788848503,-1.1006227365644)); #124347=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.69818345552731, -1.07940953312881)); #124348=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000008,2.6981593699609, -1.0873372786061)); #124349=CARTESIAN_POINT('Ctrl Pts',(0.0753274320374857,2.69748410145142, -1.09516337203749)); #124350=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644042,2.69628389192622, -1.1006227365644)); #124351=CARTESIAN_POINT('Origin',(0.0920000000000005,2.55956525899002,-1.11183594)); #124352=CARTESIAN_POINT('',(0.103213203435597,2.68782528760715,-1.1230491434356)); #124353=CARTESIAN_POINT('',(0.103213203435597,2.49283262949501,-1.1230491434356)); #124354=CARTESIAN_POINT('Origin',(-0.00831243458909115,2.4261,-1.01152350541091)); #124355=CARTESIAN_POINT('Origin',(0.124426406871193,2.49283262949501,-1.10183594)); #124356=CARTESIAN_POINT('',(0.124426406871193,2.68137690444658,-1.13183594)); #124357=CARTESIAN_POINT('',(0.124426406871193,2.49283262949501,-1.13183594)); #124358=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.68782528760715, -1.1230491434356)); #124359=CARTESIAN_POINT('Ctrl Pts',(0.108967559507415,2.68619063212576, -1.12880349950742)); #124360=CARTESIAN_POINT('Ctrl Pts',(0.116786372547685,2.68385949492693, -1.13183594)); #124361=CARTESIAN_POINT('Ctrl Pts',(0.124426406871193,2.68137690444658, -1.13183594)); #124362=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #124363=CARTESIAN_POINT('',(0.255276904446585,2.55052640687119,-1.13183594)); #124364=CARTESIAN_POINT('',(0.0891957158901793,2.55052640687119,-1.13183594)); #124365=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #124366=CARTESIAN_POINT('Origin',(0.0891957158901793,2.55052640687119,-1.10183594)); #124367=CARTESIAN_POINT('',(0.261725287607147,2.5293132034356,-1.1230491434356)); #124368=CARTESIAN_POINT('',(0.0891957158901793,2.5293132034356,-1.1230491434356)); #124369=CARTESIAN_POINT('Ctrl Pts',(0.255276904446585,2.55052640687119, -1.13183594)); #124370=CARTESIAN_POINT('Ctrl Pts',(0.257759498113807,2.54288636274025, -1.13183594)); #124371=CARTESIAN_POINT('Ctrl Pts',(0.260090630027374,2.53506756689422, -1.12880350689422)); #124372=CARTESIAN_POINT('Ctrl Pts',(0.261725287607146,2.5293132034356,-1.1230491434356)); #124373=CARTESIAN_POINT('Origin',(0.178391431780358,2.5181,-1.11183594)); #124374=CARTESIAN_POINT('',(0.270183891926221,2.5068867965644,-1.1006227365644)); #124375=CARTESIAN_POINT('',(0.267587147670537,2.5068867965644,-1.1006227365644)); #124376=CARTESIAN_POINT('Origin',(5.69084330512802E-16,2.41778756541091, -1.01152350541091)); #124377=CARTESIAN_POINT('Origin',(0.267587147670537,2.5281,-1.07940953312881)); #124378=CARTESIAN_POINT('',(0.272083455527313,2.4981,-1.07940953312881)); #124379=CARTESIAN_POINT('',(0.267587147670537,2.4981,-1.07940953312881)); #124380=CARTESIAN_POINT('Ctrl Pts',(0.270183891926221,2.5068867965644,-1.1006227365644)); #124381=CARTESIAN_POINT('Ctrl Pts',(0.27138410468,2.50142741735173,-1.09516335735173)); #124382=CARTESIAN_POINT('Ctrl Pts',(0.272059369896115,2.4981,-1.08733729993183)); #124383=CARTESIAN_POINT('Ctrl Pts',(0.272083455527313,2.4981,-1.07940953312881)); #124384=CARTESIAN_POINT('Origin',(0.356782863560716,2.4981,-1.13183594)); #124385=CARTESIAN_POINT('',(0.27246260890595,2.4981,-0.996206019000001)); #124386=CARTESIAN_POINT('',(0.33581676978023,2.4981,-0.996206019)); #124387=CARTESIAN_POINT('Ctrl Pts',(0.272083455527313,2.4981,-1.07940953312881)); #124388=CARTESIAN_POINT('Ctrl Pts',(0.272209847309703,2.4981,-1.05167502845258)); #124389=CARTESIAN_POINT('Ctrl Pts',(0.272336231759059,2.4981,-1.02394052374293)); #124390=CARTESIAN_POINT('Ctrl Pts',(0.27246260890595,2.4981,-0.996206019000001)); #124391=CARTESIAN_POINT('Origin',(0.33581676978023,2.458729921,-0.996206019)); #124392=CARTESIAN_POINT('',(0.282874170200891,2.458729921,-0.95683594)); #124393=CARTESIAN_POINT('',(0.33581676978023,2.458729921,-0.95683594)); #124394=CARTESIAN_POINT('Ctrl Pts',(0.27246260890595,2.4981,-0.996206019000001)); #124395=CARTESIAN_POINT('Ctrl Pts',(0.272530595964717,2.4981,-0.984307837597859)); #124396=CARTESIAN_POINT('Ctrl Pts',(0.276746508069022,2.48805235639586, -0.963979596527781)); #124397=CARTESIAN_POINT('Ctrl Pts',(0.28159185045539,2.46759486638691,-0.95683594)); #124398=CARTESIAN_POINT('Ctrl Pts',(0.282874170200891,2.458729921,-0.95683594)); #124399=CARTESIAN_POINT('Origin',(0.314850675999744,2.4261,-0.95683594)); #124400=CARTESIAN_POINT('',(0.282874170200891,2.393470079,-0.95683594)); #124401=CARTESIAN_POINT('',(0.290890596989889,2.393470079,-0.95683594)); #124402=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.95683594)); #124403=CARTESIAN_POINT('Origin',(0.290890596989889,2.393470079,-0.996206019)); #124404=CARTESIAN_POINT('',(0.272462608905949,2.3541,-0.996206019)); #124405=CARTESIAN_POINT('',(0.290890596989889,2.3541,-0.996206019)); #124406=CARTESIAN_POINT('Ctrl Pts',(0.282874170200891,2.393470079,-0.95683594)); #124407=CARTESIAN_POINT('Ctrl Pts',(0.281591850729477,2.38460513550791, -0.95683594)); #124408=CARTESIAN_POINT('Ctrl Pts',(0.276746792896986,2.36414846442044, -0.963978880580039)); #124409=CARTESIAN_POINT('Ctrl Pts',(0.272530595950185,2.3541,-0.98430784014099)); #124410=CARTESIAN_POINT('Ctrl Pts',(0.272462608905949,2.3541,-0.996206019)); #124411=CARTESIAN_POINT('Origin',(0.266930517980034,2.3541,-1.13183594)); #124412=CARTESIAN_POINT('',(0.272083455527313,2.3541,-1.07940953312881)); #124413=CARTESIAN_POINT('',(0.200197888485026,2.3541,-1.07940953312881)); #124414=CARTESIAN_POINT('Ctrl Pts',(0.272462608905949,2.3541,-0.996206019)); #124415=CARTESIAN_POINT('Ctrl Pts',(0.272336231759059,2.3541,-1.02394052374293)); #124416=CARTESIAN_POINT('Ctrl Pts',(0.272209847309703,2.3541,-1.05167502845258)); #124417=CARTESIAN_POINT('Ctrl Pts',(0.272083455527313,2.3541,-1.07940953312881)); #124418=CARTESIAN_POINT('Origin',(0.200197888485026,2.3241,-1.07940953312881)); #124419=CARTESIAN_POINT('',(0.270183891926221,2.3453132034356,-1.1006227365644)); #124420=CARTESIAN_POINT('',(0.200197888485026,2.3453132034356,-1.1006227365644)); #124421=CARTESIAN_POINT('Ctrl Pts',(0.272083455527313,2.3541,-1.07940953312881)); #124422=CARTESIAN_POINT('Ctrl Pts',(0.272059369960906,2.3541,-1.0873372786061)); #124423=CARTESIAN_POINT('Ctrl Pts',(0.271384101451422,2.35077256796252, -1.09516337203749)); #124424=CARTESIAN_POINT('Ctrl Pts',(0.270183891926221,2.3453132034356,-1.1006227365644)); #124425=CARTESIAN_POINT('Origin',(0.133465258990017,2.3341,-1.11183594)); #124426=CARTESIAN_POINT('',(0.261725287607146,2.3228867965644,-1.1230491434356)); #124427=CARTESIAN_POINT('',(0.066732629495009,2.3228867965644,-1.1230491434356)); #124428=CARTESIAN_POINT('Origin',(4.37955626816918E-16,2.43441243458909, -1.01152350541091)); #124429=CARTESIAN_POINT('Origin',(0.066732629495009,2.30167359312881,-1.10183594)); #124430=CARTESIAN_POINT('',(0.255276904446585,2.30167359312881,-1.13183594)); #124431=CARTESIAN_POINT('',(0.066732629495009,2.30167359312881,-1.13183594)); #124432=CARTESIAN_POINT('Ctrl Pts',(0.261725287607146,2.3228867965644,-1.1230491434356)); #124433=CARTESIAN_POINT('Ctrl Pts',(0.260090630027374,2.31713243310578, -1.12880350689422)); #124434=CARTESIAN_POINT('Ctrl Pts',(0.257759498113807,2.30931363725975, -1.13183594)); #124435=CARTESIAN_POINT('Ctrl Pts',(0.255276904446585,2.30167359312881, -1.13183594)); #124436=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #124437=CARTESIAN_POINT('',(0.124426406871193,2.17082309555342,-1.13183594)); #124438=CARTESIAN_POINT('',(0.124426406871193,2.33690428410982,-1.13183594)); #124439=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #124440=CARTESIAN_POINT('Origin',(0.124426406871193,2.33690428410982,-1.10183594)); #124441=CARTESIAN_POINT('',(0.103213203435597,2.16437471239285,-1.1230491434356)); #124442=CARTESIAN_POINT('',(0.103213203435597,2.33690428410982,-1.1230491434356)); #124443=CARTESIAN_POINT('Ctrl Pts',(0.124426406871194,2.17082309555342, -1.13183594)); #124444=CARTESIAN_POINT('Ctrl Pts',(0.116786362740251,2.16834050188619, -1.13183594)); #124445=CARTESIAN_POINT('Ctrl Pts',(0.108967566894224,2.16600936997263, -1.12880350689422)); #124446=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.16437471239285, -1.1230491434356)); #124447=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.03908271)); #124448=CARTESIAN_POINT('',(-0.363975289999999,2.4261,-0.6525)); #124449=CARTESIAN_POINT('',(0.36397529,2.4261,-0.6525)); #124450=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.6525)); #124451=CARTESIAN_POINT('',(-0.363975289999999,2.4261,-1.03908271)); #124452=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.6525)); #124453=CARTESIAN_POINT('Ctrl Pts',(0.246287933554797,2.30605497401385, -1.12179230690715)); #124454=CARTESIAN_POINT('Ctrl Pts',(0.248683108572615,2.31096898607553, -1.12179230690715)); #124455=CARTESIAN_POINT('Ctrl Pts',(0.250932436310253,2.31599783694435, -1.11977068483663)); #124456=CARTESIAN_POINT('Ctrl Pts',(0.252510081598221,2.31969877837446, -1.11593444253088)); #124457=CARTESIAN_POINT('Ctrl Pts',(0.246277636727366,2.30605999286678, -1.12441754799972)); #124458=CARTESIAN_POINT('Ctrl Pts',(0.248942522093742,2.3115115030371,-1.1242299343596)); #124459=CARTESIAN_POINT('Ctrl Pts',(0.251371365356877,2.31701273212661, -1.12184366328577)); #124460=CARTESIAN_POINT('Ctrl Pts',(0.25307150028871,2.32104899057548,-1.117523880319)); #124461=CARTESIAN_POINT('Ctrl Pts',(0.248222701353868,2.30511193450978, -1.12964931875872)); #124462=CARTESIAN_POINT('Ctrl Pts',(0.25113139240785,2.31182119239389,-1.1291014108301)); #124463=CARTESIAN_POINT('Ctrl Pts',(0.253730314136312,2.31838748257741, -1.12598283192038)); #124464=CARTESIAN_POINT('Ctrl Pts',(0.255531388138739,2.32317841842917, -1.12070148865739)); #124465=CARTESIAN_POINT('Ctrl Pts',(0.252917037941841,2.30282383314177, -1.13183594)); #124466=CARTESIAN_POINT('Ctrl Pts',(0.255546467158521,2.31034224196829, -1.13153640143743)); #124467=CARTESIAN_POINT('Ctrl Pts',(0.257965948926905,2.31783918430083, -1.12833884451767)); #124468=CARTESIAN_POINT('Ctrl Pts',(0.259657353794166,2.32332737922478, -1.12260856077522)); #124469=CARTESIAN_POINT('Ctrl Pts',(0.255276904446585,2.30167359312881, -1.13183594)); #124470=CARTESIAN_POINT('Ctrl Pts',(0.257759498113807,2.30931363725975, -1.13183594)); #124471=CARTESIAN_POINT('Ctrl Pts',(0.260090630027374,2.31713243310578, -1.12880350689422)); #124472=CARTESIAN_POINT('Ctrl Pts',(0.261725287607146,2.3228867965644,-1.1230491434356)); #124473=CARTESIAN_POINT('',(0.252510081598221,2.31969877837446,-1.11593444253088)); #124474=CARTESIAN_POINT('Origin',(0.261725287607146,2.31581572875254,-1.11597807562373)); #124475=CARTESIAN_POINT('',(0.246287933554797,2.30605497401385,-1.12179230690715)); #124476=CARTESIAN_POINT('Origin',(0.255276904446585,2.30167359312881,-1.12183594)); #124477=CARTESIAN_POINT('Ctrl Pts',(0.246287933554797,2.30605497401385, -1.12179230690715)); #124478=CARTESIAN_POINT('Ctrl Pts',(0.248683108572615,2.31096898607553, -1.12179230690715)); #124479=CARTESIAN_POINT('Ctrl Pts',(0.250932436310253,2.31599783694435, -1.11977068483663)); #124480=CARTESIAN_POINT('Ctrl Pts',(0.252510081598221,2.31969877837446, -1.11593444253088)); #124481=CARTESIAN_POINT('Ctrl Pts',(0.252510081598221,2.31969877837446, -1.11593444253088)); #124482=CARTESIAN_POINT('Ctrl Pts',(0.255533671146924,2.32679170852408, -1.10858220547835)); #124483=CARTESIAN_POINT('Ctrl Pts',(0.258258248660697,2.33401214977944, -1.10109788698579)); #124484=CARTESIAN_POINT('Ctrl Pts',(0.26067413954586,2.34133449812147,-1.09350803565969)); #124485=CARTESIAN_POINT('Ctrl Pts',(0.253151702958779,2.32124187803277, -1.11775094286016)); #124486=CARTESIAN_POINT('Ctrl Pts',(0.256144600599656,2.32838574521169, -1.11041568855782)); #124487=CARTESIAN_POINT('Ctrl Pts',(0.258837745268396,2.33565523369709, -1.10294843643144)); #124488=CARTESIAN_POINT('Ctrl Pts',(0.26121540444097,2.34302539375383,-1.09537742219871)); #124489=CARTESIAN_POINT('Ctrl Pts',(0.255813399795437,2.32327768175621, -1.12092091970468)); #124490=CARTESIAN_POINT('Ctrl Pts',(0.258821095199179,2.33053221366232, -1.11361376406453)); #124491=CARTESIAN_POINT('Ctrl Pts',(0.261520244223252,2.33791493836835, -1.10617705245012)); #124492=CARTESIAN_POINT('Ctrl Pts',(0.263902740764619,2.34539879074112, -1.09863701206718)); #124493=CARTESIAN_POINT('Ctrl Pts',(0.259952772910306,2.32326443884473, -1.12267150115527)); #124494=CARTESIAN_POINT('Ctrl Pts',(0.263048215166821,2.33059542126921, -1.11534083725959)); #124495=CARTESIAN_POINT('Ctrl Pts',(0.265833902110027,2.33805700541923, -1.10787854876943)); #124496=CARTESIAN_POINT('Ctrl Pts',(0.268300818552423,2.34562369047883, -1.10031224952117)); #124497=CARTESIAN_POINT('Ctrl Pts',(0.261725287607146,2.3228867965644,-1.1230491434356)); #124498=CARTESIAN_POINT('Ctrl Pts',(0.264858142382296,2.33023903361694, -1.11569690638306)); #124499=CARTESIAN_POINT('Ctrl Pts',(0.267681014949004,2.3377233521095,-1.1082125878905)); #124500=CARTESIAN_POINT('Ctrl Pts',(0.270183891926221,2.3453132034356,-1.1006227365644)); #124501=CARTESIAN_POINT('',(0.26067413954586,2.34133449812147,-1.09350803565969)); #124502=CARTESIAN_POINT('Origin',(0.270183891926221,2.33824213562373,-1.09355166875254)); #124503=CARTESIAN_POINT('Ctrl Pts',(0.252510081598221,2.31969877837446, -1.11593444253088)); #124504=CARTESIAN_POINT('Ctrl Pts',(0.255533671146924,2.32679170852408, -1.10858220547835)); #124505=CARTESIAN_POINT('Ctrl Pts',(0.258258248660697,2.33401214977944, -1.10109788698579)); #124506=CARTESIAN_POINT('Ctrl Pts',(0.26067413954586,2.34133449812147,-1.09350803565969)); #124507=CARTESIAN_POINT('Ctrl Pts',(0.26067413954586,2.34133449812147,-1.09350803565969)); #124508=CARTESIAN_POINT('Ctrl Pts',(0.261832636459057,2.34484579824007, -1.08986845930841)); #124509=CARTESIAN_POINT('Ctrl Pts',(0.262484908117983,2.34698578873645, -1.08465106368749)); #124510=CARTESIAN_POINT('Ctrl Pts',(0.262508923111296,2.34698555456851, -1.07936590003596)); #124511=CARTESIAN_POINT('Ctrl Pts',(0.261215404440971,2.34302539375383, -1.09537742219871)); #124512=CARTESIAN_POINT('Ctrl Pts',(0.262485417336942,2.34694157614276, -1.09120843894593)); #124513=CARTESIAN_POINT('Ctrl Pts',(0.263184964952921,2.34931884110174, -1.08532742886917)); #124514=CARTESIAN_POINT('Ctrl Pts',(0.263211385417834,2.34931643677722, -1.07936910129966)); #124515=CARTESIAN_POINT('Ctrl Pts',(0.263902740790634,2.34539879070921, -1.09863701204386)); #124516=CARTESIAN_POINT('Ctrl Pts',(0.265235186046534,2.35009042328839, -1.0935552281476)); #124517=CARTESIAN_POINT('Ctrl Pts',(0.265966474659884,2.35291094235986, -1.08650858351453)); #124518=CARTESIAN_POINT('Ctrl Pts',(0.265993968919676,2.35290588181806, -1.07938178209899)); #124519=CARTESIAN_POINT('Ctrl Pts',(0.268300818552423,2.34562369047883, -1.10031224952117)); #124520=CARTESIAN_POINT('Ctrl Pts',(0.269540375743009,2.35090534885076, -1.09486999842191)); #124521=CARTESIAN_POINT('Ctrl Pts',(0.270232546726004,2.35410220974646, -1.08718446886987)); #124522=CARTESIAN_POINT('Ctrl Pts',(0.270257647983584,2.3541,-1.07940121255224)); #124523=CARTESIAN_POINT('Ctrl Pts',(0.270183891926221,2.3453132034356,-1.1006227365644)); #124524=CARTESIAN_POINT('Ctrl Pts',(0.271384101451422,2.35077256796252, -1.09516337203749)); #124525=CARTESIAN_POINT('Ctrl Pts',(0.272059369960906,2.3541,-1.0873372786061)); #124526=CARTESIAN_POINT('Ctrl Pts',(0.272083455527313,2.3541,-1.07940953312881)); #124527=CARTESIAN_POINT('',(0.262508923111296,2.34698555456851,-1.07936590003596)); #124528=CARTESIAN_POINT('Origin',(0.272083455527313,2.3441,-1.07940953312881)); #124529=CARTESIAN_POINT('Ctrl Pts',(0.26067413954586,2.34133449812147,-1.09350803565969)); #124530=CARTESIAN_POINT('Ctrl Pts',(0.261832636459057,2.34484579824007, -1.08986845930841)); #124531=CARTESIAN_POINT('Ctrl Pts',(0.262484908117983,2.34698578873645, -1.08465106368749)); #124532=CARTESIAN_POINT('Ctrl Pts',(0.262508923111296,2.34698555456851, -1.07936590003596)); #124533=CARTESIAN_POINT('Ctrl Pts',(0.272462608905949,2.3541,-0.996206019)); #124534=CARTESIAN_POINT('Ctrl Pts',(0.272336231759059,2.3541,-1.02394052374293)); #124535=CARTESIAN_POINT('Ctrl Pts',(0.272209847309703,2.3541,-1.05167502845258)); #124536=CARTESIAN_POINT('Ctrl Pts',(0.272083455527313,2.3541,-1.07940953312881)); #124537=CARTESIAN_POINT('Ctrl Pts',(0.270027466447186,2.3541,-0.996194922845262)); #124538=CARTESIAN_POINT('Ctrl Pts',(0.26990133542619,2.35409999437888,-1.02392942828143)); #124539=CARTESIAN_POINT('Ctrl Pts',(0.26977519047044,2.3541000056313,-1.05166393365578)); #124540=CARTESIAN_POINT('Ctrl Pts',(0.269649045469007,2.3541,-1.07939843902672)); #124541=CARTESIAN_POINT('Ctrl Pts',(0.26578655898759,2.35247048433737,-0.996175598404825)); #124542=CARTESIAN_POINT('Ctrl Pts',(0.265660841323457,2.35247082604618, -1.02391010498116)); #124543=CARTESIAN_POINT('Ctrl Pts',(0.265535119354585,2.35247116391364, -1.05164461153503)); #124544=CARTESIAN_POINT('Ctrl Pts',(0.265409388830822,2.35247150641586, -1.07937911804855)); #124545=CARTESIAN_POINT('Ctrl Pts',(0.263413300469976,2.34873076290737, -0.996164784235144)); #124546=CARTESIAN_POINT('Ctrl Pts',(0.263287464859662,2.3487317469505,-1.0238992898566)); #124547=CARTESIAN_POINT('Ctrl Pts',(0.263161618329457,2.34873272974115, -1.05163379542704)); #124548=CARTESIAN_POINT('Ctrl Pts',(0.2630357698412,2.34873371622504,-1.07936830098373)); #124549=CARTESIAN_POINT('Ctrl Pts',(0.262886967658316,2.34698187280251, -0.996162385907153)); #124550=CARTESIAN_POINT('Ctrl Pts',(0.26276095939336,2.34698309849195,-1.02389689065008)); #124551=CARTESIAN_POINT('Ctrl Pts',(0.262634944553255,2.34698432574529, -1.05163139535973)); #124552=CARTESIAN_POINT('Ctrl Pts',(0.262508923111296,2.34698555456851, -1.07936590003596)); #124553=CARTESIAN_POINT('',(0.262886967658316,2.34698187280251,-0.996162385907153)); #124554=CARTESIAN_POINT('Ctrl Pts',(0.262508923111296,2.34698555456851, -1.07936590003596)); #124555=CARTESIAN_POINT('Ctrl Pts',(0.262634944553255,2.34698432574529, -1.05163139535973)); #124556=CARTESIAN_POINT('Ctrl Pts',(0.26276095939336,2.34698309849195,-1.02389689065008)); #124557=CARTESIAN_POINT('Ctrl Pts',(0.262886967658316,2.34698187280251, -0.996162385907153)); #124558=CARTESIAN_POINT('Origin',(0.27246260890595,2.3441,-0.996206019)); #124559=CARTESIAN_POINT('Ctrl Pts',(0.262886967658316,2.34698187280251, -0.996162385907153)); #124560=CARTESIAN_POINT('Ctrl Pts',(0.26295475625534,2.34698121341903,-0.981242069637883)); #124561=CARTESIAN_POINT('Ctrl Pts',(0.267026568602264,2.35913812485331, -0.95574955438255)); #124562=CARTESIAN_POINT('Ctrl Pts',(0.271702851081794,2.38388974043467, -0.946792306907153)); #124563=CARTESIAN_POINT('Ctrl Pts',(0.27294013779829,2.39461598317456,-0.946792306907153)); #124564=CARTESIAN_POINT('Ctrl Pts',(0.263501022605253,2.34902224459152, -0.996165183956476)); #124565=CARTESIAN_POINT('Ctrl Pts',(0.263566261381493,2.34902395468902, -0.981917779729674)); #124566=CARTESIAN_POINT('Ctrl Pts',(0.267414718282004,2.36089549419825, -0.957632915635338)); #124567=CARTESIAN_POINT('Ctrl Pts',(0.271760617724199,2.38447647945833, -0.949374163282097)); #124568=CARTESIAN_POINT('Ctrl Pts',(0.27292875840697,2.39461729580285,-0.94941754799972)); #124569=CARTESIAN_POINT('Ctrl Pts',(0.266079992521674,2.35268637560485, -0.996176935486311)); #124570=CARTESIAN_POINT('Ctrl Pts',(0.266143457274459,2.35269184199916, -0.983270593685167)); #124571=CARTESIAN_POINT('Ctrl Pts',(0.269851463791771,2.36394400700461, -0.961402346560041)); #124572=CARTESIAN_POINT('Ctrl Pts',(0.273957696803853,2.38531066241702, -0.95452266214451)); #124573=CARTESIAN_POINT('Ctrl Pts',(0.275078274454062,2.39436934619772, -0.954649363117587)); #124574=CARTESIAN_POINT('Ctrl Pts',(0.270331859254532,2.3541,-0.996196309864604)); #124575=CARTESIAN_POINT('Ctrl Pts',(0.270398311573717,2.35410297770062, -0.984106917887453)); #124576=CARTESIAN_POINT('Ctrl Pts',(0.274444936783569,2.36456184509337, -0.963546841341163)); #124577=CARTESIAN_POINT('Ctrl Pts',(0.279039119606533,2.38501096289648, -0.956766673202844)); #124578=CARTESIAN_POINT('Ctrl Pts',(0.280266197541267,2.39377091220012, -0.95683594)); #124579=CARTESIAN_POINT('Ctrl Pts',(0.272462608905949,2.3541,-0.996206019)); #124580=CARTESIAN_POINT('Ctrl Pts',(0.272530595950185,2.3541,-0.98430784014099)); #124581=CARTESIAN_POINT('Ctrl Pts',(0.276746792896986,2.36414846442044, -0.963978880580039)); #124582=CARTESIAN_POINT('Ctrl Pts',(0.281591850729477,2.38460513550791, -0.95683594)); #124583=CARTESIAN_POINT('Ctrl Pts',(0.282874170200891,2.393470079,-0.95683594)); #124584=CARTESIAN_POINT('',(0.27294013779829,2.39461598317456,-0.946792306907153)); #124585=CARTESIAN_POINT('Origin',(0.282874170200891,2.393470079,-0.94683594)); #124586=CARTESIAN_POINT('Ctrl Pts',(0.262886967658316,2.34698187280251, -0.996162385907153)); #124587=CARTESIAN_POINT('Ctrl Pts',(0.26295475625534,2.34698121341903,-0.981242069637883)); #124588=CARTESIAN_POINT('Ctrl Pts',(0.267026568602264,2.35913812485331, -0.95574955438255)); #124589=CARTESIAN_POINT('Ctrl Pts',(0.271702851081794,2.38388974043467, -0.946792306907153)); #124590=CARTESIAN_POINT('Ctrl Pts',(0.27294013779829,2.39461598317456,-0.946792306907153)); #124591=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #124592=CARTESIAN_POINT('',(0.27294013779829,2.45758401682544,-0.946792306907153)); #124593=CARTESIAN_POINT('Origin',(0.282874170200891,2.458729921,-0.94683594)); #124594=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.946792306907153)); #124595=CARTESIAN_POINT('Ctrl Pts',(0.27294013779829,2.45758401682544,-0.946792306907153)); #124596=CARTESIAN_POINT('Ctrl Pts',(0.271702850817336,2.46831026185796, -0.946792306907153)); #124597=CARTESIAN_POINT('Ctrl Pts',(0.267026293644831,2.49306286826269, -0.955750452181011)); #124598=CARTESIAN_POINT('Ctrl Pts',(0.262954756269829,2.50521878658111, -0.981242066448813)); #124599=CARTESIAN_POINT('Ctrl Pts',(0.262886967658316,2.50521812719749, -0.996162385907154)); #124600=CARTESIAN_POINT('Ctrl Pts',(0.272928758406969,2.45758270419715, -0.94941754799972)); #124601=CARTESIAN_POINT('Ctrl Pts',(0.27176061747452,2.46772352270918,-0.949374163272825)); #124602=CARTESIAN_POINT('Ctrl Pts',(0.267414462792389,2.49130546528269, -0.957633760880918)); #124603=CARTESIAN_POINT('Ctrl Pts',(0.263566261395437,2.50317604531061, -0.98191777668443)); #124604=CARTESIAN_POINT('Ctrl Pts',(0.263501022605253,2.50317775540848, -0.996165183956477)); #124605=CARTESIAN_POINT('Ctrl Pts',(0.275078352797402,2.45783066283929, -0.954649284598109)); #124606=CARTESIAN_POINT('Ctrl Pts',(0.273957774907678,2.46688934855621, -0.954522583597951)); #124607=CARTESIAN_POINT('Ctrl Pts',(0.269851279173351,2.48825690868938, -0.961403038047069)); #124608=CARTESIAN_POINT('Ctrl Pts',(0.266143487037289,2.49950819802062, -0.983270591062113)); #124609=CARTESIAN_POINT('Ctrl Pts',(0.26608002227094,2.4995136644161,-0.99617693562187)); #124610=CARTESIAN_POINT('Ctrl Pts',(0.280266197541267,2.45842908779988, -0.95683594)); #124611=CARTESIAN_POINT('Ctrl Pts',(0.279039119344254,2.4671890389759,-0.95676667318804)); #124612=CARTESIAN_POINT('Ctrl Pts',(0.274444665667033,2.48763899209176, -0.963547547749153)); #124613=CARTESIAN_POINT('Ctrl Pts',(0.270398311587921,2.49809702229874, -0.984106915303453)); #124614=CARTESIAN_POINT('Ctrl Pts',(0.270331859254532,2.4981,-0.996196309864606)); #124615=CARTESIAN_POINT('Ctrl Pts',(0.282874170200891,2.458729921,-0.95683594)); #124616=CARTESIAN_POINT('Ctrl Pts',(0.28159185045539,2.46759486638691,-0.95683594)); #124617=CARTESIAN_POINT('Ctrl Pts',(0.276746508069022,2.48805235639586, -0.963979596527781)); #124618=CARTESIAN_POINT('Ctrl Pts',(0.272530595964717,2.4981,-0.984307837597859)); #124619=CARTESIAN_POINT('Ctrl Pts',(0.27246260890595,2.4981,-0.996206019000001)); #124620=CARTESIAN_POINT('',(0.262886967658316,2.50521812719749,-0.996162385907154)); #124621=CARTESIAN_POINT('Origin',(0.27246260890595,2.5081,-0.996206019000002)); #124622=CARTESIAN_POINT('Ctrl Pts',(0.27294013779829,2.45758401682544,-0.946792306907153)); #124623=CARTESIAN_POINT('Ctrl Pts',(0.271702850817336,2.46831026185796, -0.946792306907153)); #124624=CARTESIAN_POINT('Ctrl Pts',(0.267026293644831,2.49306286826269, -0.955750452181011)); #124625=CARTESIAN_POINT('Ctrl Pts',(0.262954756269829,2.50521878658111, -0.981242066448813)); #124626=CARTESIAN_POINT('Ctrl Pts',(0.262886967658316,2.50521812719749, -0.996162385907154)); #124627=CARTESIAN_POINT('Ctrl Pts',(0.272083455527313,2.4981,-1.07940953312881)); #124628=CARTESIAN_POINT('Ctrl Pts',(0.272209847309703,2.4981,-1.05167502845258)); #124629=CARTESIAN_POINT('Ctrl Pts',(0.272336231759059,2.4981,-1.02394052374293)); #124630=CARTESIAN_POINT('Ctrl Pts',(0.27246260890595,2.4981,-0.996206019000001)); #124631=CARTESIAN_POINT('Ctrl Pts',(0.269649045469008,2.4981,-1.07939843902672)); #124632=CARTESIAN_POINT('Ctrl Pts',(0.26977519047044,2.4980999943687,-1.05166393365578)); #124633=CARTESIAN_POINT('Ctrl Pts',(0.26990133542619,2.49810000562112,-1.02392942828144)); #124634=CARTESIAN_POINT('Ctrl Pts',(0.270027466447186,2.4981,-0.996194922845263)); #124635=CARTESIAN_POINT('Ctrl Pts',(0.265409404336223,2.49972851157738, -1.07937911811921)); #124636=CARTESIAN_POINT('Ctrl Pts',(0.265535134859986,2.4997288540796,-1.05164461160569)); #124637=CARTESIAN_POINT('Ctrl Pts',(0.26566085685079,2.49972919196465,-1.02391010505191)); #124638=CARTESIAN_POINT('Ctrl Pts',(0.265786574514923,2.49972953367346, -0.996175598475579)); #124639=CARTESIAN_POINT('Ctrl Pts',(0.2630357698412,2.50346628377496,-1.07936830098373)); #124640=CARTESIAN_POINT('Ctrl Pts',(0.263161618329457,2.50346727025885, -1.05163379542704)); #124641=CARTESIAN_POINT('Ctrl Pts',(0.263287464859662,2.5034682530495,-1.0238992898566)); #124642=CARTESIAN_POINT('Ctrl Pts',(0.263413300469976,2.50346923709263, -0.996164784235145)); #124643=CARTESIAN_POINT('Ctrl Pts',(0.262508923111296,2.50521444543149, -1.07936590003596)); #124644=CARTESIAN_POINT('Ctrl Pts',(0.262634944553255,2.50521567425471, -1.05163139535973)); #124645=CARTESIAN_POINT('Ctrl Pts',(0.26276095939336,2.50521690150805,-1.02389689065008)); #124646=CARTESIAN_POINT('Ctrl Pts',(0.262886967658316,2.50521812719749, -0.996162385907154)); #124647=CARTESIAN_POINT('',(0.262508923111296,2.50521444543149,-1.07936590003596)); #124648=CARTESIAN_POINT('Ctrl Pts',(0.262886967658316,2.50521812719749, -0.996162385907154)); #124649=CARTESIAN_POINT('Ctrl Pts',(0.26276095939336,2.50521690150805,-1.02389689065008)); #124650=CARTESIAN_POINT('Ctrl Pts',(0.262634944553255,2.50521567425471, -1.05163139535973)); #124651=CARTESIAN_POINT('Ctrl Pts',(0.262508923111296,2.50521444543149, -1.07936590003596)); #124652=CARTESIAN_POINT('Origin',(0.272083455527313,2.5081,-1.07940953312881)); #124653=CARTESIAN_POINT('Ctrl Pts',(0.262508923111296,2.50521444543149, -1.07936590003596)); #124654=CARTESIAN_POINT('Ctrl Pts',(0.262484908053382,2.50521421126292, -1.08465107790464)); #124655=CARTESIAN_POINT('Ctrl Pts',(0.261832639575427,2.50735419231449, -1.08986844951791)); #124656=CARTESIAN_POINT('Ctrl Pts',(0.260674139545859,2.51086550187853, -1.09350803565969)); #124657=CARTESIAN_POINT('Ctrl Pts',(0.263211385417834,2.50288356322278, -1.07936910129966)); #124658=CARTESIAN_POINT('Ctrl Pts',(0.263184964881849,2.50288115889179, -1.08532744489714)); #124659=CARTESIAN_POINT('Ctrl Pts',(0.262485420753291,2.50525841332266, -1.09120842773132)); #124660=CARTESIAN_POINT('Ctrl Pts',(0.26121540444097,2.50917460624617,-1.09537742219871)); #124661=CARTESIAN_POINT('Ctrl Pts',(0.265993983080003,2.49929414043035, -1.07938178216352)); #124662=CARTESIAN_POINT('Ctrl Pts',(0.265966488746252,2.49928907987494, -1.08650860275024)); #124663=CARTESIAN_POINT('Ctrl Pts',(0.265235207721894,2.50210958627851, -1.09355519825592)); #124664=CARTESIAN_POINT('Ctrl Pts',(0.263902758881702,2.50680123147823, -1.09863699582224)); #124665=CARTESIAN_POINT('Ctrl Pts',(0.270257647983584,2.4981,-1.07940121255224)); #124666=CARTESIAN_POINT('Ctrl Pts',(0.270232546658481,2.4980977902476,-1.08718448980693)); #124667=CARTESIAN_POINT('Ctrl Pts',(0.269540379077432,2.50129463694151, -1.09486998378219)); #124668=CARTESIAN_POINT('Ctrl Pts',(0.268300818552423,2.50657630952117, -1.10031224952117)); #124669=CARTESIAN_POINT('Ctrl Pts',(0.272083455527313,2.4981,-1.07940953312881)); #124670=CARTESIAN_POINT('Ctrl Pts',(0.272059369896115,2.4981,-1.08733729993183)); #124671=CARTESIAN_POINT('Ctrl Pts',(0.27138410468,2.50142741735173,-1.09516335735173)); #124672=CARTESIAN_POINT('Ctrl Pts',(0.270183891926221,2.5068867965644,-1.1006227365644)); #124673=CARTESIAN_POINT('',(0.260674139545859,2.51086550187853,-1.09350803565969)); #124674=CARTESIAN_POINT('Origin',(0.270183891926221,2.51395786437627,-1.09355166875254)); #124675=CARTESIAN_POINT('Ctrl Pts',(0.262508923111296,2.50521444543149, -1.07936590003596)); #124676=CARTESIAN_POINT('Ctrl Pts',(0.262484908053382,2.50521421126292, -1.08465107790464)); #124677=CARTESIAN_POINT('Ctrl Pts',(0.261832639575427,2.50735419231449, -1.08986844951791)); #124678=CARTESIAN_POINT('Ctrl Pts',(0.260674139545859,2.51086550187853, -1.09350803565969)); #124679=CARTESIAN_POINT('Ctrl Pts',(0.26067413954586,2.51086550187853,-1.09350803565969)); #124680=CARTESIAN_POINT('Ctrl Pts',(0.258258248660696,2.51818785022056, -1.10109788698579)); #124681=CARTESIAN_POINT('Ctrl Pts',(0.255533671146923,2.52540829147592, -1.10858220547835)); #124682=CARTESIAN_POINT('Ctrl Pts',(0.252510081598221,2.53250122162554, -1.11593444253088)); #124683=CARTESIAN_POINT('Ctrl Pts',(0.26121540444097,2.50917460624617,-1.09537742219871)); #124684=CARTESIAN_POINT('Ctrl Pts',(0.258837745268396,2.51654476630291, -1.10294843643144)); #124685=CARTESIAN_POINT('Ctrl Pts',(0.256144600599656,2.52381425478831, -1.11041568855782)); #124686=CARTESIAN_POINT('Ctrl Pts',(0.253151702958779,2.53095812196723, -1.11775094286016)); #124687=CARTESIAN_POINT('Ctrl Pts',(0.263902762776856,2.50680123625537, -1.09863699232959)); #124688=CARTESIAN_POINT('Ctrl Pts',(0.26152026623549,2.51428508862814,-1.10617703271252)); #124689=CARTESIAN_POINT('Ctrl Pts',(0.258821112813995,2.52166781018145, -1.1136137477266)); #124690=CARTESIAN_POINT('Ctrl Pts',(0.255813417410253,2.52892234208756, -1.12092090336674)); #124691=CARTESIAN_POINT('Ctrl Pts',(0.268300818552423,2.50657630952117, -1.10031224952117)); #124692=CARTESIAN_POINT('Ctrl Pts',(0.265833902110027,2.51414299458077, -1.10787854876943)); #124693=CARTESIAN_POINT('Ctrl Pts',(0.263048215166821,2.52160457873079, -1.11534083725959)); #124694=CARTESIAN_POINT('Ctrl Pts',(0.259952772910307,2.52893556115527, -1.12267150115527)); #124695=CARTESIAN_POINT('Ctrl Pts',(0.270183891926221,2.5068867965644,-1.1006227365644)); #124696=CARTESIAN_POINT('Ctrl Pts',(0.267681014949004,2.5144766478905,-1.1082125878905)); #124697=CARTESIAN_POINT('Ctrl Pts',(0.264858142382297,2.52196096638306, -1.11569690638306)); #124698=CARTESIAN_POINT('Ctrl Pts',(0.261725287607147,2.5293132034356,-1.1230491434356)); #124699=CARTESIAN_POINT('',(0.252510081598221,2.53250122162554,-1.11593444253088)); #124700=CARTESIAN_POINT('Origin',(0.261725287607147,2.53638427124746,-1.11597807562373)); #124701=CARTESIAN_POINT('Ctrl Pts',(0.26067413954586,2.51086550187853,-1.09350803565969)); #124702=CARTESIAN_POINT('Ctrl Pts',(0.258258248660696,2.51818785022056, -1.10109788698579)); #124703=CARTESIAN_POINT('Ctrl Pts',(0.255533671146923,2.52540829147592, -1.10858220547835)); #124704=CARTESIAN_POINT('Ctrl Pts',(0.252510081598221,2.53250122162554, -1.11593444253088)); #124705=CARTESIAN_POINT('Ctrl Pts',(0.252510081598221,2.53250122162554, -1.11593444253088)); #124706=CARTESIAN_POINT('Ctrl Pts',(0.250932436310253,2.53620216305565, -1.11977068483664)); #124707=CARTESIAN_POINT('Ctrl Pts',(0.248683108572615,2.54123101392447, -1.12179230690715)); #124708=CARTESIAN_POINT('Ctrl Pts',(0.246287933554797,2.54614502598615, -1.12179230690715)); #124709=CARTESIAN_POINT('Ctrl Pts',(0.25307150028871,2.53115100942452,-1.117523880319)); #124710=CARTESIAN_POINT('Ctrl Pts',(0.251371365356876,2.53518726787339, -1.12184366328577)); #124711=CARTESIAN_POINT('Ctrl Pts',(0.248942522093742,2.5406884969629,-1.1242299343596)); #124712=CARTESIAN_POINT('Ctrl Pts',(0.246277636727366,2.54614000713322, -1.12441754799972)); #124713=CARTESIAN_POINT('Ctrl Pts',(0.255531437666076,2.52902164044398, -1.12070145088828)); #124714=CARTESIAN_POINT('Ctrl Pts',(0.253730363663648,2.53381257629575, -1.12598279415127)); #124715=CARTESIAN_POINT('Ctrl Pts',(0.251131508029205,2.54037886396197, -1.12910128276554)); #124716=CARTESIAN_POINT('Ctrl Pts',(0.248222816975223,2.54708812184608, -1.12964919069416)); #124717=CARTESIAN_POINT('Ctrl Pts',(0.259657353794166,2.52887262077522, -1.12260856077522)); #124718=CARTESIAN_POINT('Ctrl Pts',(0.257965948926905,2.53436081569918, -1.12833884451767)); #124719=CARTESIAN_POINT('Ctrl Pts',(0.255546467158521,2.54185775803171, -1.13153640143743)); #124720=CARTESIAN_POINT('Ctrl Pts',(0.252917037941841,2.54937616685823, -1.13183594)); #124721=CARTESIAN_POINT('Ctrl Pts',(0.261725287607146,2.5293132034356,-1.1230491434356)); #124722=CARTESIAN_POINT('Ctrl Pts',(0.260090630027374,2.53506756689422, -1.12880350689422)); #124723=CARTESIAN_POINT('Ctrl Pts',(0.257759498113807,2.54288636274025, -1.13183594)); #124724=CARTESIAN_POINT('Ctrl Pts',(0.255276904446585,2.55052640687119, -1.13183594)); #124725=CARTESIAN_POINT('',(0.246287933554797,2.54614502598615,-1.12179230690715)); #124726=CARTESIAN_POINT('Origin',(0.255276904446585,2.55052640687119,-1.12183594)); #124727=CARTESIAN_POINT('Ctrl Pts',(0.252510081598221,2.53250122162554, -1.11593444253088)); #124728=CARTESIAN_POINT('Ctrl Pts',(0.250932436310253,2.53620216305565, -1.11977068483664)); #124729=CARTESIAN_POINT('Ctrl Pts',(0.248683108572615,2.54123101392447, -1.12179230690715)); #124730=CARTESIAN_POINT('Ctrl Pts',(0.246287933554797,2.54614502598615, -1.12179230690715)); #124731=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #124732=CARTESIAN_POINT('',(0.120045025986152,2.6723879335548,-1.12179230690715)); #124733=CARTESIAN_POINT('Origin',(0.124426406871193,2.68137690444658,-1.12183594)); #124734=CARTESIAN_POINT('Origin',(4.58950462935596E-16,2.4261,-1.12179230690715)); #124735=CARTESIAN_POINT('Ctrl Pts',(0.120045025986152,2.6723879335548,-1.12179230690715)); #124736=CARTESIAN_POINT('Ctrl Pts',(0.115131020232533,2.67478310549796, -1.12179230690715)); #124737=CARTESIAN_POINT('Ctrl Pts',(0.110102158304797,2.67703243833546, -1.1197706799121)); #124738=CARTESIAN_POINT('Ctrl Pts',(0.106401221625544,2.67861008159822, -1.11593444253088)); #124739=CARTESIAN_POINT('Ctrl Pts',(0.120040007133223,2.67237763672737, -1.12441754799972)); #124740=CARTESIAN_POINT('Ctrl Pts',(0.114588503960935,2.67504251867286, -1.12422993460043)); #124741=CARTESIAN_POINT('Ctrl Pts',(0.109087262692095,2.67747136753932, -1.12184365774052)); #124742=CARTESIAN_POINT('Ctrl Pts',(0.10505100942452,2.67917150028871,-1.117523880319)); #124743=CARTESIAN_POINT('Ctrl Pts',(0.120988121846077,2.67432281697522, -1.12964919069416)); #124744=CARTESIAN_POINT('Ctrl Pts',(0.114278872574566,2.67723150429535, -1.12910128346888)); #124745=CARTESIAN_POINT('Ctrl Pts',(0.107712570145683,2.67983036597566, -1.12598278737167)); #124746=CARTESIAN_POINT('Ctrl Pts',(0.102921640443982,2.68163143766607, -1.12070145088828)); #124747=CARTESIAN_POINT('Ctrl Pts',(0.123276166858226,2.67901703794184, -1.13183594)); #124748=CARTESIAN_POINT('Ctrl Pts',(0.115757767683001,2.68164646378315, -1.13153640182195)); #124749=CARTESIAN_POINT('Ctrl Pts',(0.108260808654044,2.68406595109814, -1.12833883716177)); #124750=CARTESIAN_POINT('Ctrl Pts',(0.102772620775218,2.68575735379417, -1.12260856077522)); #124751=CARTESIAN_POINT('Ctrl Pts',(0.124426406871193,2.68137690444658, -1.13183594)); #124752=CARTESIAN_POINT('Ctrl Pts',(0.116786372547685,2.68385949492693, -1.13183594)); #124753=CARTESIAN_POINT('Ctrl Pts',(0.108967559507415,2.68619063212576, -1.12880349950742)); #124754=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.68782528760715, -1.1230491434356)); #124755=CARTESIAN_POINT('',(0.106401221625544,2.67861008159822,-1.11593444253088)); #124756=CARTESIAN_POINT('Origin',(0.110284271247463,2.68782528760715,-1.11597807562373)); #124757=CARTESIAN_POINT('Ctrl Pts',(0.120045025986152,2.6723879335548,-1.12179230690715)); #124758=CARTESIAN_POINT('Ctrl Pts',(0.115131020232533,2.67478310549796, -1.12179230690715)); #124759=CARTESIAN_POINT('Ctrl Pts',(0.110102158304797,2.67703243833546, -1.1197706799121)); #124760=CARTESIAN_POINT('Ctrl Pts',(0.106401221625544,2.67861008159822, -1.11593444253088)); #124761=CARTESIAN_POINT('Ctrl Pts',(0.106401221625544,2.67861008159822, -1.11593444253088)); #124762=CARTESIAN_POINT('Ctrl Pts',(0.0993082914759253,2.68163367114692, -1.10858220547835)); #124763=CARTESIAN_POINT('Ctrl Pts',(0.0920878502205588,2.6843582486607, -1.10109788698579)); #124764=CARTESIAN_POINT('Ctrl Pts',(0.0847655018785311,2.68677413954586, -1.09350803565969)); #124765=CARTESIAN_POINT('Ctrl Pts',(0.104858121967232,2.67925170295878, -1.11775094286016)); #124766=CARTESIAN_POINT('Ctrl Pts',(0.0977142547883134,2.68224460059966, -1.11041568855782)); #124767=CARTESIAN_POINT('Ctrl Pts',(0.0904447663029083,2.6849377452684, -1.10294843643144)); #124768=CARTESIAN_POINT('Ctrl Pts',(0.083074606246168,2.68731540444097, -1.09537742219871)); #124769=CARTESIAN_POINT('Ctrl Pts',(0.102822342087556,2.68191341741025, -1.12092090336674)); #124770=CARTESIAN_POINT('Ctrl Pts',(0.0955678101814462,2.684921112814,-1.1136137477266)); #124771=CARTESIAN_POINT('Ctrl Pts',(0.088185088628139,2.68762026623549, -1.10617703271252)); #124772=CARTESIAN_POINT('Ctrl Pts',(0.0807012362553732,2.69000276277686, -1.09863699232959)); #124773=CARTESIAN_POINT('Ctrl Pts',(0.102835561155272,2.68605277291031, -1.12267150115527)); #124774=CARTESIAN_POINT('Ctrl Pts',(0.095504578730791,2.68914821516682, -1.11534083725959)); #124775=CARTESIAN_POINT('Ctrl Pts',(0.0880429945807753,2.69193390211003, -1.10787854876943)); #124776=CARTESIAN_POINT('Ctrl Pts',(0.0804763095211678,2.69440081855242, -1.10031224952117)); #124777=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.68782528760715, -1.1230491434356)); #124778=CARTESIAN_POINT('Ctrl Pts',(0.0958609663830626,2.6909581423823, -1.11569690638306)); #124779=CARTESIAN_POINT('Ctrl Pts',(0.0883766478905004,2.693781014949,-1.1082125878905)); #124780=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644044,2.69628389192622, -1.1006227365644)); #124781=CARTESIAN_POINT('',(0.0847655018785312,2.68677413954586,-1.09350803565969)); #124782=CARTESIAN_POINT('Origin',(0.0878578643762697,2.69628389192622,-1.09355166875254)); #124783=CARTESIAN_POINT('Ctrl Pts',(0.106401221625544,2.67861008159822, -1.11593444253088)); #124784=CARTESIAN_POINT('Ctrl Pts',(0.0993082914759253,2.68163367114692, -1.10858220547835)); #124785=CARTESIAN_POINT('Ctrl Pts',(0.0920878502205588,2.6843582486607, -1.10109788698579)); #124786=CARTESIAN_POINT('Ctrl Pts',(0.0847655018785311,2.68677413954586, -1.09350803565969)); #124787=CARTESIAN_POINT('Ctrl Pts',(0.0847655018785311,2.68677413954586, -1.09350803565969)); #124788=CARTESIAN_POINT('Ctrl Pts',(0.0812542017599318,2.68793263645906, -1.08986845930841)); #124789=CARTESIAN_POINT('Ctrl Pts',(0.0791142112635479,2.68858490811798, -1.08465106368749)); #124790=CARTESIAN_POINT('Ctrl Pts',(0.0791144454314887,2.6886089231113, -1.07936590003596)); #124791=CARTESIAN_POINT('Ctrl Pts',(0.083074606246168,2.68731540444097, -1.09537742219871)); #124792=CARTESIAN_POINT('Ctrl Pts',(0.0791584238572419,2.68858541733694, -1.09120843894593)); #124793=CARTESIAN_POINT('Ctrl Pts',(0.0767811588982557,2.68928496495292, -1.08532742886916)); #124794=CARTESIAN_POINT('Ctrl Pts',(0.076783563222778,2.68931138541783, -1.07936910129966)); #124795=CARTESIAN_POINT('Ctrl Pts',(0.0807012314782346,2.6900027588817, -1.09863699582224)); #124796=CARTESIAN_POINT('Ctrl Pts',(0.0760095988990531,2.6913352041376, -1.09355521192597)); #124797=CARTESIAN_POINT('Ctrl Pts',(0.0731890798885541,2.69206648882021, -1.08650858357906)); #124798=CARTESIAN_POINT('Ctrl Pts',(0.0731941404303474,2.69209398308,-1.07938178216352)); #124799=CARTESIAN_POINT('Ctrl Pts',(0.0804763095211677,2.69440081855242, -1.10031224952117)); #124800=CARTESIAN_POINT('Ctrl Pts',(0.0751946511492382,2.69564037574301, -1.09486999842191)); #124801=CARTESIAN_POINT('Ctrl Pts',(0.0719977902535436,2.696332546726,-1.08718446886987)); #124802=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.69635764798358, -1.07940121255224)); #124803=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644042,2.69628389192622, -1.1006227365644)); #124804=CARTESIAN_POINT('Ctrl Pts',(0.0753274320374857,2.69748410145142, -1.09516337203749)); #124805=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000008,2.6981593699609, -1.0873372786061)); #124806=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000007,2.69818345552731, -1.07940953312881)); #124807=CARTESIAN_POINT('',(0.0791144454314887,2.6886089231113,-1.07936590003596)); #124808=CARTESIAN_POINT('Origin',(0.0820000000000005,2.69818345552731,-1.07940953312881)); #124809=CARTESIAN_POINT('Ctrl Pts',(0.0847655018785311,2.68677413954586, -1.09350803565969)); #124810=CARTESIAN_POINT('Ctrl Pts',(0.0812542017599318,2.68793263645906, -1.08986845930841)); #124811=CARTESIAN_POINT('Ctrl Pts',(0.0791142112635479,2.68858490811798, -1.08465106368749)); #124812=CARTESIAN_POINT('Ctrl Pts',(0.0791144454314887,2.6886089231113, -1.07936590003596)); #124813=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.69856260890595, -0.996206019)); #124814=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.69843623175906, -1.02394052374293)); #124815=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.6983098473097, -1.05167502845258)); #124816=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.69818345552731, -1.07940953312881)); #124817=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.69612746644719, -0.996194922845263)); #124818=CARTESIAN_POINT('Ctrl Pts',(0.0720000056211235,2.69600133542619, -1.02392942828143)); #124819=CARTESIAN_POINT('Ctrl Pts',(0.0719999943687009,2.69587519047044, -1.05166393365578)); #124820=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000005,2.69574904546901, -1.07939843902672)); #124821=CARTESIAN_POINT('Ctrl Pts',(0.0736295336734635,2.69188657451492, -0.996175598475578)); #124822=CARTESIAN_POINT('Ctrl Pts',(0.0736291919646495,2.69176085685079, -1.02391010505191)); #124823=CARTESIAN_POINT('Ctrl Pts',(0.0736288540795961,2.69163513485998, -1.05164461160569)); #124824=CARTESIAN_POINT('Ctrl Pts',(0.0736285115773803,2.69150940433622, -1.07937911811921)); #124825=CARTESIAN_POINT('Ctrl Pts',(0.0773692370926281,2.68951330046998, -0.996164784235144)); #124826=CARTESIAN_POINT('Ctrl Pts',(0.0773682530495038,2.68938746485966, -1.0238992898566)); #124827=CARTESIAN_POINT('Ctrl Pts',(0.077367270258846,2.68926161832946, -1.05163379542704)); #124828=CARTESIAN_POINT('Ctrl Pts',(0.0773662837749556,2.6891357698412, -1.07936830098373)); #124829=CARTESIAN_POINT('Ctrl Pts',(0.0791181271974942,2.68898696765832, -0.996162385907153)); #124830=CARTESIAN_POINT('Ctrl Pts',(0.0791169015080495,2.68886095939336, -1.02389689065008)); #124831=CARTESIAN_POINT('Ctrl Pts',(0.0791156742547149,2.68873494455325, -1.05163139535973)); #124832=CARTESIAN_POINT('Ctrl Pts',(0.0791144454314886,2.6886089231113, -1.07936590003596)); #124833=CARTESIAN_POINT('',(0.0791181271974942,2.68898696765832,-0.996162385907153)); #124834=CARTESIAN_POINT('Ctrl Pts',(0.0791144454314886,2.6886089231113, -1.07936590003596)); #124835=CARTESIAN_POINT('Ctrl Pts',(0.0791156742547149,2.68873494455325, -1.05163139535973)); #124836=CARTESIAN_POINT('Ctrl Pts',(0.0791169015080495,2.68886095939336, -1.02389689065008)); #124837=CARTESIAN_POINT('Ctrl Pts',(0.0791181271974942,2.68898696765832, -0.996162385907153)); #124838=CARTESIAN_POINT('Origin',(0.0820000000000005,2.69856260890595,-0.996206019)); #124839=CARTESIAN_POINT('Ctrl Pts',(0.0791181271974942,2.68898696765832, -0.996162385907153)); #124840=CARTESIAN_POINT('Ctrl Pts',(0.079118786580971,2.68905475625534, -0.981242069637883)); #124841=CARTESIAN_POINT('Ctrl Pts',(0.0669618621645454,2.69312656820927, -0.955749569182334)); #124842=CARTESIAN_POINT('Ctrl Pts',(0.0422102595653277,2.69780285108179, -0.946792306907153)); #124843=CARTESIAN_POINT('Ctrl Pts',(0.0314840168254407,2.69904013779829, -0.946792306907153)); #124844=CARTESIAN_POINT('Ctrl Pts',(0.0770777554084838,2.68960102260525, -0.996165183956476)); #124845=CARTESIAN_POINT('Ctrl Pts',(0.0770760453109798,2.68966626138149, -0.981917779729673)); #124846=CARTESIAN_POINT('Ctrl Pts',(0.0652044936647928,2.69351471922894, -0.95763292999511)); #124847=CARTESIAN_POINT('Ctrl Pts',(0.0416235205416741,2.6978606177242, -0.949374163282097)); #124848=CARTESIAN_POINT('Ctrl Pts',(0.0314827041971454,2.69902875840697, -0.94941754799972)); #124849=CARTESIAN_POINT('Ctrl Pts',(0.0734136644161049,2.69218002227094, -0.99617693562187)); #124850=CARTESIAN_POINT('Ctrl Pts',(0.0734081980217906,2.69224348702372, -0.983270593820722)); #124851=CARTESIAN_POINT('Ctrl Pts',(0.0621560057592788,2.69595152404332, -0.961402310412775)); #124852=CARTESIAN_POINT('Ctrl Pts',(0.040789346619987,2.70005777514719, -0.954522583625033)); #124853=CARTESIAN_POINT('Ctrl Pts',(0.0317306628392937,2.7011783527974, -0.95464928459811)); #124854=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000001,2.69643185925453, -0.996196309864605)); #124855=CARTESIAN_POINT('Ctrl Pts',(0.0719970222993788,2.69649831157372, -0.984106917887449)); #124856=CARTESIAN_POINT('Ctrl Pts',(0.0615381427068193,2.70054493665009, -0.963546852991612)); #124857=CARTESIAN_POINT('Ctrl Pts',(0.0410890371035211,2.70513911960653, -0.956766673202845)); #124858=CARTESIAN_POINT('Ctrl Pts',(0.0323290877998774,2.70636619754127, -0.95683594)); #124859=CARTESIAN_POINT('Ctrl Pts',(0.072,2.69856260890595,-0.996206019)); #124860=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000001,2.69863059595018, -0.984307840140984)); #124861=CARTESIAN_POINT('Ctrl Pts',(0.0619515248525414,2.70284679249154, -0.9639788923821)); #124862=CARTESIAN_POINT('Ctrl Pts',(0.0414948644920919,2.70769185072948, -0.95683594)); #124863=CARTESIAN_POINT('Ctrl Pts',(0.0326299210000005,2.70897417020089, -0.95683594)); #124864=CARTESIAN_POINT('',(0.0314840168254407,2.69904013779829,-0.946792306907153)); #124865=CARTESIAN_POINT('Origin',(0.0326299210000005,2.70897417020089,-0.94683594)); #124866=CARTESIAN_POINT('Ctrl Pts',(0.0791181271974942,2.68898696765832, -0.996162385907153)); #124867=CARTESIAN_POINT('Ctrl Pts',(0.079118786580971,2.68905475625534, -0.981242069637883)); #124868=CARTESIAN_POINT('Ctrl Pts',(0.0669618621645454,2.69312656820927, -0.955749569182334)); #124869=CARTESIAN_POINT('Ctrl Pts',(0.0422102595653277,2.69780285108179, -0.946792306907153)); #124870=CARTESIAN_POINT('Ctrl Pts',(0.0314840168254407,2.69904013779829, -0.946792306907153)); #124871=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #124872=CARTESIAN_POINT('',(-0.0314840168254397,2.69904013779829,-0.946792306907153)); #124873=CARTESIAN_POINT('Origin',(-0.0326299209999995,2.70897417020089, -0.94683594)); #124874=CARTESIAN_POINT('Origin',(4.67125454719529E-16,2.4261,-0.946792306907153)); #124875=CARTESIAN_POINT('Ctrl Pts',(-0.0314840168254398,2.69904013779829, -0.946792306907153)); #124876=CARTESIAN_POINT('Ctrl Pts',(-0.042210261857955,2.69780285081734, -0.946792306907153)); #124877=CARTESIAN_POINT('Ctrl Pts',(-0.0669628682626966,2.69312629364483, -0.95575045218101)); #124878=CARTESIAN_POINT('Ctrl Pts',(-0.0791187865811111,2.68905475626983, -0.981242066448814)); #124879=CARTESIAN_POINT('Ctrl Pts',(-0.0791181271974931,2.68898696765832, -0.996162385907154)); #124880=CARTESIAN_POINT('Ctrl Pts',(-0.0314827041971445,2.69902875840697, -0.94941754799972)); #124881=CARTESIAN_POINT('Ctrl Pts',(-0.0416235227091716,2.69786061747452, -0.949374163272824)); #124882=CARTESIAN_POINT('Ctrl Pts',(-0.0652054652826964,2.69351446279239, -0.957633760880916)); #124883=CARTESIAN_POINT('Ctrl Pts',(-0.0770760453106134,2.68966626139544, -0.981917776684431)); #124884=CARTESIAN_POINT('Ctrl Pts',(-0.0770777554084827,2.68960102260525, -0.996165183956477)); #124885=CARTESIAN_POINT('Ctrl Pts',(-0.0317306628392928,2.7011783527974, -0.954649284598109)); #124886=CARTESIAN_POINT('Ctrl Pts',(-0.0407893485561882,2.70005777490768, -0.954522583597951)); #124887=CARTESIAN_POINT('Ctrl Pts',(-0.0621569086893861,2.69595127917335, -0.961403038047066)); #124888=CARTESIAN_POINT('Ctrl Pts',(-0.0734081980206215,2.69224348703729, -0.983270591062114)); #124889=CARTESIAN_POINT('Ctrl Pts',(-0.073413664416104,2.69218002227094, -0.99617693562187)); #124890=CARTESIAN_POINT('Ctrl Pts',(-0.0323290877998764,2.70636619754127, -0.95683594)); #124891=CARTESIAN_POINT('Ctrl Pts',(-0.0410890389758701,2.70513911934426, -0.956766673188039)); #124892=CARTESIAN_POINT('Ctrl Pts',(-0.0615389920917647,2.70054466566703, -0.96354754774915)); #124893=CARTESIAN_POINT('Ctrl Pts',(-0.0719970222987417,2.69649831158792, -0.984106915303454)); #124894=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999993,2.69643185925453, -0.996196309864605)); #124895=CARTESIAN_POINT('Ctrl Pts',(-0.0326299209999995,2.70897417020089, -0.95683594)); #124896=CARTESIAN_POINT('Ctrl Pts',(-0.0414948663868824,2.70769185045539, -0.95683594)); #124897=CARTESIAN_POINT('Ctrl Pts',(-0.06195235639586,2.70284650806902, -0.963979596527777)); #124898=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999996,2.69863059596472, -0.984307837597859)); #124899=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999993,2.69856260890595, -0.996206019000001)); #124900=CARTESIAN_POINT('',(-0.0791181271974931,2.68898696765832,-0.996162385907154)); #124901=CARTESIAN_POINT('Origin',(-0.0819999999999995,2.69856260890595, -0.996206019000001)); #124902=CARTESIAN_POINT('Ctrl Pts',(-0.0314840168254398,2.69904013779829, -0.946792306907153)); #124903=CARTESIAN_POINT('Ctrl Pts',(-0.042210261857955,2.69780285081734, -0.946792306907153)); #124904=CARTESIAN_POINT('Ctrl Pts',(-0.0669628682626966,2.69312629364483, -0.95575045218101)); #124905=CARTESIAN_POINT('Ctrl Pts',(-0.0791187865811111,2.68905475626983, -0.981242066448814)); #124906=CARTESIAN_POINT('Ctrl Pts',(-0.0791181271974931,2.68898696765832, -0.996162385907154)); #124907=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.69818345552731, -1.07940953312881)); #124908=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.6983098473097, -1.05167502845258)); #124909=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.69843623175906, -1.02394052374293)); #124910=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.69856260890595, -0.996206019000001)); #124911=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.69574904546901, -1.07939843902672)); #124912=CARTESIAN_POINT('Ctrl Pts',(-0.0719999943686999,2.69587519047044, -1.05166393365578)); #124913=CARTESIAN_POINT('Ctrl Pts',(-0.0720000056211225,2.69600133542619, -1.02392942828144)); #124914=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.69612746644719, -0.996194922845263)); #124915=CARTESIAN_POINT('Ctrl Pts',(-0.0736285115773793,2.69150940433622, -1.07937911811921)); #124916=CARTESIAN_POINT('Ctrl Pts',(-0.0736288540795951,2.69163513485998, -1.05164461160569)); #124917=CARTESIAN_POINT('Ctrl Pts',(-0.0736291919646485,2.69176085685079, -1.02391010505191)); #124918=CARTESIAN_POINT('Ctrl Pts',(-0.0736295336734625,2.69188657451492, -0.996175598475579)); #124919=CARTESIAN_POINT('Ctrl Pts',(-0.0773662837749547,2.6891357698412, -1.07936830098373)); #124920=CARTESIAN_POINT('Ctrl Pts',(-0.077367270258845,2.68926161832946, -1.05163379542704)); #124921=CARTESIAN_POINT('Ctrl Pts',(-0.0773682530495029,2.68938746485966, -1.0238992898566)); #124922=CARTESIAN_POINT('Ctrl Pts',(-0.0773692370926272,2.68951330046998, -0.996164784235145)); #124923=CARTESIAN_POINT('Ctrl Pts',(-0.0791144454314877,2.6886089231113, -1.07936590003596)); #124924=CARTESIAN_POINT('Ctrl Pts',(-0.079115674254714,2.68873494455325, -1.05163139535973)); #124925=CARTESIAN_POINT('Ctrl Pts',(-0.0791169015080486,2.68886095939336, -1.02389689065008)); #124926=CARTESIAN_POINT('Ctrl Pts',(-0.0791181271974932,2.68898696765832, -0.996162385907154)); #124927=CARTESIAN_POINT('',(-0.0791144454314876,2.6886089231113,-1.07936590003596)); #124928=CARTESIAN_POINT('Ctrl Pts',(-0.0791181271974932,2.68898696765832, -0.996162385907154)); #124929=CARTESIAN_POINT('Ctrl Pts',(-0.0791169015080486,2.68886095939336, -1.02389689065008)); #124930=CARTESIAN_POINT('Ctrl Pts',(-0.079115674254714,2.68873494455325, -1.05163139535973)); #124931=CARTESIAN_POINT('Ctrl Pts',(-0.0791144454314877,2.6886089231113, -1.07936590003596)); #124932=CARTESIAN_POINT('Origin',(-0.0819999999999995,2.69818345552731, -1.07940953312881)); #124933=CARTESIAN_POINT('Ctrl Pts',(-0.0791144454314877,2.6886089231113, -1.07936590003596)); #124934=CARTESIAN_POINT('Ctrl Pts',(-0.079114211262917,2.68858490805338, -1.08465107790464)); #124935=CARTESIAN_POINT('Ctrl Pts',(-0.0812541923144922,2.68793263957543, -1.08986844951791)); #124936=CARTESIAN_POINT('Ctrl Pts',(-0.0847655018785304,2.68677413954586, -1.09350803565969)); #124937=CARTESIAN_POINT('Ctrl Pts',(-0.0767835632227771,2.68931138541783, -1.07936910129966)); #124938=CARTESIAN_POINT('Ctrl Pts',(-0.0767811588917871,2.68928496488185, -1.08532744489714)); #124939=CARTESIAN_POINT('Ctrl Pts',(-0.0791584133226642,2.68858542075329, -1.09120842773132)); #124940=CARTESIAN_POINT('Ctrl Pts',(-0.0830746062461673,2.68731540444097, -1.09537742219871)); #124941=CARTESIAN_POINT('Ctrl Pts',(-0.0731941404303467,2.69209398308,-1.07938178216352)); #124942=CARTESIAN_POINT('Ctrl Pts',(-0.0731890798749403,2.69206648874625, -1.08650860275024)); #124943=CARTESIAN_POINT('Ctrl Pts',(-0.0760095862785053,2.69133520772189, -1.09355519825591)); #124944=CARTESIAN_POINT('Ctrl Pts',(-0.080701231478234,2.6900027588817, -1.09863699582224)); #124945=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.69635764798358,-1.07940121255224)); #124946=CARTESIAN_POINT('Ctrl Pts',(-0.0719977902475986,2.69633254665848, -1.08718448980693)); #124947=CARTESIAN_POINT('Ctrl Pts',(-0.0751946369415141,2.69564037907743, -1.09486998378219)); #124948=CARTESIAN_POINT('Ctrl Pts',(-0.0804763095211672,2.69440081855242, -1.10031224952117)); #124949=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.69818345552731,-1.07940953312881)); #124950=CARTESIAN_POINT('Ctrl Pts',(-0.072,2.69815936989611,-1.08733729993183)); #124951=CARTESIAN_POINT('Ctrl Pts',(-0.0753274173517299,2.69748410468,-1.09516335735173)); #124952=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644038,2.69628389192622, -1.1006227365644)); #124953=CARTESIAN_POINT('',(-0.0847655018785304,2.68677413954586,-1.09350803565969)); #124954=CARTESIAN_POINT('Origin',(-0.087857864376269,2.69628389192622,-1.09355166875254)); #124955=CARTESIAN_POINT('Ctrl Pts',(-0.0791144454314877,2.6886089231113, -1.07936590003596)); #124956=CARTESIAN_POINT('Ctrl Pts',(-0.079114211262917,2.68858490805338, -1.08465107790464)); #124957=CARTESIAN_POINT('Ctrl Pts',(-0.0812541923144922,2.68793263957543, -1.08986844951791)); #124958=CARTESIAN_POINT('Ctrl Pts',(-0.0847655018785304,2.68677413954586, -1.09350803565969)); #124959=CARTESIAN_POINT('Ctrl Pts',(-0.0847655018785306,2.68677413954586, -1.09350803565969)); #124960=CARTESIAN_POINT('Ctrl Pts',(-0.0920878502205582,2.6843582486607, -1.10109788698579)); #124961=CARTESIAN_POINT('Ctrl Pts',(-0.0993082914759246,2.68163367114692, -1.10858220547835)); #124962=CARTESIAN_POINT('Ctrl Pts',(-0.106401221625543,2.67861008159822, -1.11593444253088)); #124963=CARTESIAN_POINT('Ctrl Pts',(-0.0830746062461676,2.68731540444097, -1.09537742219871)); #124964=CARTESIAN_POINT('Ctrl Pts',(-0.0904447663029078,2.6849377452684, -1.10294843643144)); #124965=CARTESIAN_POINT('Ctrl Pts',(-0.0977142547883127,2.68224460059966, -1.11041568855782)); #124966=CARTESIAN_POINT('Ctrl Pts',(-0.104858121967231,2.67925170295878, -1.11775094286016)); #124967=CARTESIAN_POINT('Ctrl Pts',(-0.080701236255373,2.69000276277686, -1.09863699232959)); #124968=CARTESIAN_POINT('Ctrl Pts',(-0.0881850886281386,2.68762026623549, -1.10617703271252)); #124969=CARTESIAN_POINT('Ctrl Pts',(-0.0955678101814456,2.68492111281399, -1.1136137477266)); #124970=CARTESIAN_POINT('Ctrl Pts',(-0.102822342087556,2.68191341741025, -1.12092090336674)); #124971=CARTESIAN_POINT('Ctrl Pts',(-0.0804763095211676,2.69440081855242, -1.10031224952117)); #124972=CARTESIAN_POINT('Ctrl Pts',(-0.0880429945807749,2.69193390211003, -1.10787854876943)); #124973=CARTESIAN_POINT('Ctrl Pts',(-0.0955045787307905,2.68914821516682, -1.11534083725959)); #124974=CARTESIAN_POINT('Ctrl Pts',(-0.102835561155272,2.68605277291031, -1.12267150115527)); #124975=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644041,2.69628389192622, -1.1006227365644)); #124976=CARTESIAN_POINT('Ctrl Pts',(-0.0883766478905,2.693781014949,-1.1082125878905)); #124977=CARTESIAN_POINT('Ctrl Pts',(-0.0958609663830621,2.6909581423823, -1.11569690638306)); #124978=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435597,2.68782528760714, -1.1230491434356)); #124979=CARTESIAN_POINT('',(-0.106401221625543,2.67861008159822,-1.11593444253088)); #124980=CARTESIAN_POINT('Origin',(-0.110284271247462,2.68782528760715,-1.11597807562373)); #124981=CARTESIAN_POINT('Ctrl Pts',(-0.0847655018785306,2.68677413954586, -1.09350803565969)); #124982=CARTESIAN_POINT('Ctrl Pts',(-0.0920878502205582,2.6843582486607, -1.10109788698579)); #124983=CARTESIAN_POINT('Ctrl Pts',(-0.0993082914759246,2.68163367114692, -1.10858220547835)); #124984=CARTESIAN_POINT('Ctrl Pts',(-0.106401221625543,2.67861008159822, -1.11593444253088)); #124985=CARTESIAN_POINT('Ctrl Pts',(-0.106401221625543,2.67861008159822, -1.11593444253088)); #124986=CARTESIAN_POINT('Ctrl Pts',(-0.110102163055651,2.67703243631025, -1.11977068483663)); #124987=CARTESIAN_POINT('Ctrl Pts',(-0.115131013924474,2.67478310857261, -1.12179230690715)); #124988=CARTESIAN_POINT('Ctrl Pts',(-0.120045025986151,2.6723879335548, -1.12179230690715)); #124989=CARTESIAN_POINT('Ctrl Pts',(-0.105051009424519,2.67917150028871, -1.117523880319)); #124990=CARTESIAN_POINT('Ctrl Pts',(-0.109087267873392,2.67747136535688, -1.12184366328577)); #124991=CARTESIAN_POINT('Ctrl Pts',(-0.114588496962896,2.67504252209374, -1.1242299343596)); #124992=CARTESIAN_POINT('Ctrl Pts',(-0.120040007133223,2.67237763672737, -1.12441754799972)); #124993=CARTESIAN_POINT('Ctrl Pts',(-0.102921640443981,2.68163143766607, -1.12070145088828)); #124994=CARTESIAN_POINT('Ctrl Pts',(-0.107712576295749,2.67983036366365, -1.12598279415127)); #124995=CARTESIAN_POINT('Ctrl Pts',(-0.114278863961971,2.6772315080292, -1.12910128276554)); #124996=CARTESIAN_POINT('Ctrl Pts',(-0.120988121846077,2.67432281697522, -1.12964919069416)); #124997=CARTESIAN_POINT('Ctrl Pts',(-0.102772620775217,2.68575735379417, -1.12260856077522)); #124998=CARTESIAN_POINT('Ctrl Pts',(-0.108260815699174,2.6840659489269, -1.12833884451767)); #124999=CARTESIAN_POINT('Ctrl Pts',(-0.115757758031709,2.68164646715852, -1.13153640143743)); #125000=CARTESIAN_POINT('Ctrl Pts',(-0.123276166858225,2.67901703794184, -1.13183594)); #125001=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.68782528760715, -1.1230491434356)); #125002=CARTESIAN_POINT('Ctrl Pts',(-0.108967566894223,2.68619063002737, -1.12880350689422)); #125003=CARTESIAN_POINT('Ctrl Pts',(-0.11678636274025,2.68385949811381, -1.13183594)); #125004=CARTESIAN_POINT('Ctrl Pts',(-0.124426406871193,2.68137690444658, -1.13183594)); #125005=CARTESIAN_POINT('',(-0.120045025986151,2.6723879335548,-1.12179230690715)); #125006=CARTESIAN_POINT('',(-0.124426406871192,2.68137690444658,-1.13183594)); #125007=CARTESIAN_POINT('Origin',(-0.124426406871192,2.68137690444658,-1.12183594)); #125008=CARTESIAN_POINT('Ctrl Pts',(-0.124426406871193,2.68137690444658, -1.13183594)); #125009=CARTESIAN_POINT('Ctrl Pts',(-0.11678636274025,2.68385949811381, -1.13183594)); #125010=CARTESIAN_POINT('Ctrl Pts',(-0.108967566894223,2.68619063002737, -1.12880350689422)); #125011=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.68782528760715, -1.1230491434356)); #125012=CARTESIAN_POINT('Ctrl Pts',(-0.106401221625543,2.67861008159822, -1.11593444253088)); #125013=CARTESIAN_POINT('Ctrl Pts',(-0.110102163055651,2.67703243631025, -1.11977068483663)); #125014=CARTESIAN_POINT('Ctrl Pts',(-0.115131013924474,2.67478310857261, -1.12179230690715)); #125015=CARTESIAN_POINT('Ctrl Pts',(-0.120045025986151,2.6723879335548, -1.12179230690715)); #125016=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #125017=CARTESIAN_POINT('',(-0.246287933554796,2.54614502598615,-1.12179230690715)); #125018=CARTESIAN_POINT('',(-0.255276904446584,2.55052640687119,-1.13183594)); #125019=CARTESIAN_POINT('Origin',(-0.255276904446584,2.55052640687119,-1.12183594)); #125020=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #125021=CARTESIAN_POINT('Origin',(5.02660030834224E-16,2.4261,-1.12179230690715)); #125022=CARTESIAN_POINT('Ctrl Pts',(-0.246287933554796,2.54614502598615, -1.12179230690715)); #125023=CARTESIAN_POINT('Ctrl Pts',(-0.248683105497955,2.54123102023253, -1.12179230690715)); #125024=CARTESIAN_POINT('Ctrl Pts',(-0.250932438335456,2.5362021583048, -1.1197706799121)); #125025=CARTESIAN_POINT('Ctrl Pts',(-0.25251008159822,2.53250122162554, -1.11593444253088)); #125026=CARTESIAN_POINT('Ctrl Pts',(-0.246277636727365,2.54614000713322, -1.12441754799972)); #125027=CARTESIAN_POINT('Ctrl Pts',(-0.248942518672858,2.54068850396094, -1.12422993460043)); #125028=CARTESIAN_POINT('Ctrl Pts',(-0.251371367539318,2.5351872626921, -1.12184365774052)); #125029=CARTESIAN_POINT('Ctrl Pts',(-0.253071500288708,2.53115100942452, -1.117523880319)); #125030=CARTESIAN_POINT('Ctrl Pts',(-0.248222816975222,2.54708812184608, -1.12964919069416)); #125031=CARTESIAN_POINT('Ctrl Pts',(-0.25113150429535,2.54037887257457, -1.12910128346888)); #125032=CARTESIAN_POINT('Ctrl Pts',(-0.253730365975664,2.53381257014568, -1.12598278737167)); #125033=CARTESIAN_POINT('Ctrl Pts',(-0.255531437666074,2.52902164044398, -1.12070145088828)); #125034=CARTESIAN_POINT('Ctrl Pts',(-0.25291703794184,2.54937616685823, -1.13183594)); #125035=CARTESIAN_POINT('Ctrl Pts',(-0.255546463783152,2.541857767683,-1.13153640182195)); #125036=CARTESIAN_POINT('Ctrl Pts',(-0.25796595109814,2.53436080865404, -1.12833883716177)); #125037=CARTESIAN_POINT('Ctrl Pts',(-0.259657353794165,2.52887262077522, -1.12260856077522)); #125038=CARTESIAN_POINT('Ctrl Pts',(-0.255276904446584,2.55052640687119, -1.13183594)); #125039=CARTESIAN_POINT('Ctrl Pts',(-0.257759494926929,2.54288637254769, -1.13183594)); #125040=CARTESIAN_POINT('Ctrl Pts',(-0.260090632125764,2.53506755950742, -1.12880349950741)); #125041=CARTESIAN_POINT('Ctrl Pts',(-0.261725287607145,2.5293132034356, -1.1230491434356)); #125042=CARTESIAN_POINT('',(-0.25251008159822,2.53250122162554,-1.11593444253088)); #125043=CARTESIAN_POINT('',(-0.261725287607145,2.5293132034356,-1.1230491434356)); #125044=CARTESIAN_POINT('Origin',(-0.261725287607145,2.53638427124746,-1.11597807562373)); #125045=CARTESIAN_POINT('Ctrl Pts',(-0.261725287607145,2.5293132034356, -1.1230491434356)); #125046=CARTESIAN_POINT('Ctrl Pts',(-0.260090632125764,2.53506755950742, -1.12880349950741)); #125047=CARTESIAN_POINT('Ctrl Pts',(-0.257759494926929,2.54288637254769, -1.13183594)); #125048=CARTESIAN_POINT('Ctrl Pts',(-0.255276904446584,2.55052640687119, -1.13183594)); #125049=CARTESIAN_POINT('Ctrl Pts',(-0.246287933554796,2.54614502598615, -1.12179230690715)); #125050=CARTESIAN_POINT('Ctrl Pts',(-0.248683105497955,2.54123102023253, -1.12179230690715)); #125051=CARTESIAN_POINT('Ctrl Pts',(-0.250932438335456,2.5362021583048, -1.1197706799121)); #125052=CARTESIAN_POINT('Ctrl Pts',(-0.25251008159822,2.53250122162554, -1.11593444253088)); #125053=CARTESIAN_POINT('Ctrl Pts',(-0.25251008159822,2.53250122162554, -1.11593444253088)); #125054=CARTESIAN_POINT('Ctrl Pts',(-0.255533671146922,2.52540829147592, -1.10858220547835)); #125055=CARTESIAN_POINT('Ctrl Pts',(-0.258258248660696,2.51818785022056, -1.10109788698579)); #125056=CARTESIAN_POINT('Ctrl Pts',(-0.260674139545859,2.51086550187853, -1.09350803565969)); #125057=CARTESIAN_POINT('Ctrl Pts',(-0.253151702958778,2.53095812196723, -1.11775094286016)); #125058=CARTESIAN_POINT('Ctrl Pts',(-0.256144600599655,2.52381425478831, -1.11041568855782)); #125059=CARTESIAN_POINT('Ctrl Pts',(-0.258837745268395,2.51654476630291, -1.10294843643144)); #125060=CARTESIAN_POINT('Ctrl Pts',(-0.261215404440969,2.50917460624617, -1.09537742219871)); #125061=CARTESIAN_POINT('Ctrl Pts',(-0.255813417410252,2.52892234208756, -1.12092090336674)); #125062=CARTESIAN_POINT('Ctrl Pts',(-0.258821112813994,2.52166781018145, -1.1136137477266)); #125063=CARTESIAN_POINT('Ctrl Pts',(-0.261520266235489,2.51428508862814, -1.10617703271252)); #125064=CARTESIAN_POINT('Ctrl Pts',(-0.263902762776856,2.50680123625537, -1.09863699232959)); #125065=CARTESIAN_POINT('Ctrl Pts',(-0.259952772910305,2.52893556115527, -1.12267150115527)); #125066=CARTESIAN_POINT('Ctrl Pts',(-0.26304821516682,2.52160457873079, -1.11534083725959)); #125067=CARTESIAN_POINT('Ctrl Pts',(-0.265833902110026,2.51414299458077, -1.10787854876943)); #125068=CARTESIAN_POINT('Ctrl Pts',(-0.268300818552422,2.50657630952117, -1.10031224952117)); #125069=CARTESIAN_POINT('Ctrl Pts',(-0.261725287607145,2.5293132034356, -1.1230491434356)); #125070=CARTESIAN_POINT('Ctrl Pts',(-0.264858142382295,2.52196096638306, -1.11569690638306)); #125071=CARTESIAN_POINT('Ctrl Pts',(-0.267681014949003,2.5144766478905, -1.1082125878905)); #125072=CARTESIAN_POINT('Ctrl Pts',(-0.27018389192622,2.5068867965644,-1.1006227365644)); #125073=CARTESIAN_POINT('',(-0.260674139545859,2.51086550187853,-1.09350803565969)); #125074=CARTESIAN_POINT('',(-0.27018389192622,2.5068867965644,-1.1006227365644)); #125075=CARTESIAN_POINT('Origin',(-0.27018389192622,2.51395786437627,-1.09355166875254)); #125076=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.41778756541091, -1.01152350541091)); #125077=CARTESIAN_POINT('Ctrl Pts',(-0.25251008159822,2.53250122162554, -1.11593444253088)); #125078=CARTESIAN_POINT('Ctrl Pts',(-0.255533671146922,2.52540829147592, -1.10858220547835)); #125079=CARTESIAN_POINT('Ctrl Pts',(-0.258258248660696,2.51818785022056, -1.10109788698579)); #125080=CARTESIAN_POINT('Ctrl Pts',(-0.260674139545859,2.51086550187853, -1.09350803565969)); #125081=CARTESIAN_POINT('Ctrl Pts',(-0.260674139545859,2.51086550187853, -1.09350803565969)); #125082=CARTESIAN_POINT('Ctrl Pts',(-0.261832636459057,2.50735420175993, -1.08986845930841)); #125083=CARTESIAN_POINT('Ctrl Pts',(-0.262484908117982,2.50521421126355, -1.08465106368749)); #125084=CARTESIAN_POINT('Ctrl Pts',(-0.262508923111296,2.50521444543149, -1.07936590003596)); #125085=CARTESIAN_POINT('Ctrl Pts',(-0.26121540444097,2.50917460624617, -1.09537742219871)); #125086=CARTESIAN_POINT('Ctrl Pts',(-0.262485417336941,2.50525842385724, -1.09120843894593)); #125087=CARTESIAN_POINT('Ctrl Pts',(-0.26318496495292,2.50288115889825, -1.08532742886916)); #125088=CARTESIAN_POINT('Ctrl Pts',(-0.263211385417833,2.50288356322278, -1.07936910129966)); #125089=CARTESIAN_POINT('Ctrl Pts',(-0.263902758881701,2.50680123147823, -1.09863699582223)); #125090=CARTESIAN_POINT('Ctrl Pts',(-0.265235204137601,2.50210959889905, -1.09355521192597)); #125091=CARTESIAN_POINT('Ctrl Pts',(-0.265966488820211,2.49928907988855, -1.08650858357906)); #125092=CARTESIAN_POINT('Ctrl Pts',(-0.265993983080003,2.49929414043035, -1.07938178216352)); #125093=CARTESIAN_POINT('Ctrl Pts',(-0.268300818552422,2.50657630952117, -1.10031224952117)); #125094=CARTESIAN_POINT('Ctrl Pts',(-0.269540375743008,2.50129465114924, -1.09486999842191)); #125095=CARTESIAN_POINT('Ctrl Pts',(-0.270232546726003,2.49809779025354, -1.08718446886987)); #125096=CARTESIAN_POINT('Ctrl Pts',(-0.270257647983583,2.4981,-1.07940121255224)); #125097=CARTESIAN_POINT('Ctrl Pts',(-0.27018389192622,2.5068867965644,-1.1006227365644)); #125098=CARTESIAN_POINT('Ctrl Pts',(-0.271384101451421,2.50142743203748, -1.09516337203748)); #125099=CARTESIAN_POINT('Ctrl Pts',(-0.272059369960905,2.4981,-1.0873372786061)); #125100=CARTESIAN_POINT('Ctrl Pts',(-0.272083455527312,2.4981,-1.07940953312881)); #125101=CARTESIAN_POINT('',(-0.262508923111296,2.50521444543149,-1.07936590003596)); #125102=CARTESIAN_POINT('',(-0.272083455527312,2.4981,-1.07940953312881)); #125103=CARTESIAN_POINT('Origin',(-0.272083455527312,2.5081,-1.07940953312881)); #125104=CARTESIAN_POINT('Ctrl Pts',(-0.272083455527312,2.4981,-1.07940953312881)); #125105=CARTESIAN_POINT('Ctrl Pts',(-0.272059369960905,2.4981,-1.0873372786061)); #125106=CARTESIAN_POINT('Ctrl Pts',(-0.271384101451421,2.50142743203748, -1.09516337203748)); #125107=CARTESIAN_POINT('Ctrl Pts',(-0.27018389192622,2.5068867965644,-1.1006227365644)); #125108=CARTESIAN_POINT('Ctrl Pts',(-0.260674139545859,2.51086550187853, -1.09350803565969)); #125109=CARTESIAN_POINT('Ctrl Pts',(-0.261832636459057,2.50735420175993, -1.08986845930841)); #125110=CARTESIAN_POINT('Ctrl Pts',(-0.262484908117982,2.50521421126355, -1.08465106368749)); #125111=CARTESIAN_POINT('Ctrl Pts',(-0.262508923111296,2.50521444543149, -1.07936590003596)); #125112=CARTESIAN_POINT('Ctrl Pts',(-0.272462608905949,2.4981,-0.996206019)); #125113=CARTESIAN_POINT('Ctrl Pts',(-0.272336231759058,2.4981,-1.02394052374293)); #125114=CARTESIAN_POINT('Ctrl Pts',(-0.272209847309702,2.4981,-1.05167502845257)); #125115=CARTESIAN_POINT('Ctrl Pts',(-0.272083455527312,2.4981,-1.07940953312881)); #125116=CARTESIAN_POINT('Ctrl Pts',(-0.270027466447185,2.4981,-0.996194922845262)); #125117=CARTESIAN_POINT('Ctrl Pts',(-0.269901335426189,2.49810000562112, -1.02392942828143)); #125118=CARTESIAN_POINT('Ctrl Pts',(-0.269775190470439,2.4980999943687, -1.05166393365578)); #125119=CARTESIAN_POINT('Ctrl Pts',(-0.269649045469007,2.4981,-1.07939843902672)); #125120=CARTESIAN_POINT('Ctrl Pts',(-0.265786574514922,2.49972953367346, -0.996175598475577)); #125121=CARTESIAN_POINT('Ctrl Pts',(-0.265660856850789,2.49972919196465, -1.02391010505191)); #125122=CARTESIAN_POINT('Ctrl Pts',(-0.265535134859985,2.4997288540796, -1.05164461160568)); #125123=CARTESIAN_POINT('Ctrl Pts',(-0.265409404336222,2.49972851157738, -1.07937911811921)); #125124=CARTESIAN_POINT('Ctrl Pts',(-0.263413300469975,2.50346923709263, -0.996164784235144)); #125125=CARTESIAN_POINT('Ctrl Pts',(-0.263287464859661,2.5034682530495, -1.0238992898566)); #125126=CARTESIAN_POINT('Ctrl Pts',(-0.263161618329456,2.50346727025885, -1.05163379542704)); #125127=CARTESIAN_POINT('Ctrl Pts',(-0.263035769841199,2.50346628377496, -1.07936830098373)); #125128=CARTESIAN_POINT('Ctrl Pts',(-0.262886967658315,2.50521812719749, -0.996162385907153)); #125129=CARTESIAN_POINT('Ctrl Pts',(-0.262760959393359,2.50521690150805, -1.02389689065008)); #125130=CARTESIAN_POINT('Ctrl Pts',(-0.262634944553254,2.50521567425471, -1.05163139535973)); #125131=CARTESIAN_POINT('Ctrl Pts',(-0.262508923111295,2.50521444543149, -1.07936590003596)); #125132=CARTESIAN_POINT('',(-0.262886967658315,2.50521812719749,-0.996162385907153)); #125133=CARTESIAN_POINT('Ctrl Pts',(-0.262508923111295,2.50521444543149, -1.07936590003596)); #125134=CARTESIAN_POINT('Ctrl Pts',(-0.262634944553254,2.50521567425471, -1.05163139535973)); #125135=CARTESIAN_POINT('Ctrl Pts',(-0.262760959393359,2.50521690150805, -1.02389689065008)); #125136=CARTESIAN_POINT('Ctrl Pts',(-0.262886967658315,2.50521812719749, -0.996162385907153)); #125137=CARTESIAN_POINT('',(-0.272462608905949,2.4981,-0.996206019)); #125138=CARTESIAN_POINT('Origin',(-0.272462608905949,2.5081,-0.996206019)); #125139=CARTESIAN_POINT('Ctrl Pts',(-0.272462608905949,2.4981,-0.996206019)); #125140=CARTESIAN_POINT('Ctrl Pts',(-0.272336231759058,2.4981,-1.02394052374293)); #125141=CARTESIAN_POINT('Ctrl Pts',(-0.272209847309702,2.4981,-1.05167502845257)); #125142=CARTESIAN_POINT('Ctrl Pts',(-0.272083455527312,2.4981,-1.07940953312881)); #125143=CARTESIAN_POINT('Ctrl Pts',(-0.262886967658315,2.50521812719749, -0.996162385907152)); #125144=CARTESIAN_POINT('Ctrl Pts',(-0.262937809106083,2.5052186217351, -0.984972148705199)); #125145=CARTESIAN_POINT('Ctrl Pts',(-0.266001026598563,2.49629569776268, -0.959066197486714)); #125146=CARTESIAN_POINT('Ctrl Pts',(-0.271290422176294,2.47188567381196, -0.946792306907153)); #125147=CARTESIAN_POINT('Ctrl Pts',(-0.272940137798289,2.45758401682544, -0.946792306907153)); #125148=CARTESIAN_POINT('Ctrl Pts',(-0.263501022605252,2.50317775540848, -0.996165183956475)); #125149=CARTESIAN_POINT('Ctrl Pts',(-0.263549951687432,2.50317647283536, -0.985479630786371)); #125150=CARTESIAN_POINT('Ctrl Pts',(-0.266453426059253,2.49444627377681, -0.96075761609266)); #125151=CARTESIAN_POINT('Ctrl Pts',(-0.271371237496608,2.47110379265652, -0.949359701709556)); #125152=CARTESIAN_POINT('Ctrl Pts',(-0.272928758406969,2.45758270419714, -0.94941754799972)); #125153=CARTESIAN_POINT('Ctrl Pts',(-0.266080022270939,2.4995136644161, -0.996176935621869)); #125154=CARTESIAN_POINT('Ctrl Pts',(-0.266127620835527,2.49950956462037, -0.986497179271003)); #125155=CARTESIAN_POINT('Ctrl Pts',(-0.268937506680042,2.49120556665933, -0.964141722551741)); #125156=CARTESIAN_POINT('Ctrl Pts',(-0.273584249263789,2.46990890788022, -0.95448034996734)); #125157=CARTESIAN_POINT('Ctrl Pts',(-0.275078352797401,2.45783066283929, -0.954649284598109)); #125158=CARTESIAN_POINT('Ctrl Pts',(-0.270331859254531,2.4981,-0.996196309864604)); #125159=CARTESIAN_POINT('Ctrl Pts',(-0.27038169849392,2.49809776672453, -0.98712926588173)); #125160=CARTESIAN_POINT('Ctrl Pts',(-0.27343187743365,2.49039593950946, -0.966166649300591)); #125161=CARTESIAN_POINT('Ctrl Pts',(-0.278630093628288,2.47010902020474, -0.956743584270459)); #125162=CARTESIAN_POINT('Ctrl Pts',(-0.280266197541266,2.45842908779988, -0.95683594)); #125163=CARTESIAN_POINT('Ctrl Pts',(-0.272462608905949,2.4981,-0.996206019)); #125164=CARTESIAN_POINT('Ctrl Pts',(-0.272513599189125,2.4981,-0.987282384855731)); #125165=CARTESIAN_POINT('Ctrl Pts',(-0.275684430276301,2.49072432140573, -0.966623731543839)); #125166=CARTESIAN_POINT('Ctrl Pts',(-0.281164410905671,2.47054984565612, -0.95683594)); #125167=CARTESIAN_POINT('Ctrl Pts',(-0.28287417020089,2.458729921,-0.95683594)); #125168=CARTESIAN_POINT('',(-0.272940137798289,2.45758401682544,-0.946792306907153)); #125169=CARTESIAN_POINT('',(-0.28287417020089,2.458729921,-0.95683594)); #125170=CARTESIAN_POINT('Origin',(-0.28287417020089,2.458729921,-0.94683594)); #125171=CARTESIAN_POINT('Ctrl Pts',(-0.28287417020089,2.458729921,-0.95683594)); #125172=CARTESIAN_POINT('Ctrl Pts',(-0.281164410905671,2.47054984565612, -0.95683594)); #125173=CARTESIAN_POINT('Ctrl Pts',(-0.275684430276301,2.49072432140573, -0.966623731543839)); #125174=CARTESIAN_POINT('Ctrl Pts',(-0.272513599189125,2.4981,-0.987282384855731)); #125175=CARTESIAN_POINT('Ctrl Pts',(-0.272462608905949,2.4981,-0.996206019)); #125176=CARTESIAN_POINT('Ctrl Pts',(-0.262886967658315,2.50521812719749, -0.996162385907152)); #125177=CARTESIAN_POINT('Ctrl Pts',(-0.262937809106083,2.5052186217351, -0.984972148705199)); #125178=CARTESIAN_POINT('Ctrl Pts',(-0.266001026598563,2.49629569776268, -0.959066197486714)); #125179=CARTESIAN_POINT('Ctrl Pts',(-0.271290422176294,2.47188567381196, -0.946792306907153)); #125180=CARTESIAN_POINT('Ctrl Pts',(-0.272940137798289,2.45758401682544, -0.946792306907153)); #125181=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #125182=CARTESIAN_POINT('',(-0.272940137798289,2.39461598317456,-0.946792306907153)); #125183=CARTESIAN_POINT('',(-0.28287417020089,2.393470079,-0.95683594)); #125184=CARTESIAN_POINT('Origin',(-0.28287417020089,2.393470079,-0.94683594)); #125185=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.95683594)); #125186=CARTESIAN_POINT('',(-0.27474999933906,2.4261,-0.946792306907153)); #125187=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.946792306907153)); #125188=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.946792306907153)); #125189=CARTESIAN_POINT('Ctrl Pts',(-0.272940137798289,2.39461598317456, -0.946792306907153)); #125190=CARTESIAN_POINT('Ctrl Pts',(-0.271702850817335,2.38388973814204, -0.946792306907153)); #125191=CARTESIAN_POINT('Ctrl Pts',(-0.267026294027664,2.35913711866653, -0.955750437485642)); #125192=CARTESIAN_POINT('Ctrl Pts',(-0.262954756269828,2.34698121341889, -0.981242066448813)); #125193=CARTESIAN_POINT('Ctrl Pts',(-0.262886967658315,2.34698187280251, -0.996162385907154)); #125194=CARTESIAN_POINT('Ctrl Pts',(-0.272928758406969,2.39461729580285, -0.94941754799972)); #125195=CARTESIAN_POINT('Ctrl Pts',(-0.27176061747452,2.38447647729083, -0.949374163272824)); #125196=CARTESIAN_POINT('Ctrl Pts',(-0.267414461835771,2.36089452249672, -0.957633746620605)); #125197=CARTESIAN_POINT('Ctrl Pts',(-0.263566261395436,2.34902395468939, -0.98191777668443)); #125198=CARTESIAN_POINT('Ctrl Pts',(-0.263501022605252,2.34902224459152, -0.996165183956477)); #125199=CARTESIAN_POINT('Ctrl Pts',(-0.275078274454061,2.39436934619772, -0.954649363117587)); #125200=CARTESIAN_POINT('Ctrl Pts',(-0.27395769656434,2.38531066048083, -0.954522662117429)); #125201=CARTESIAN_POINT('Ctrl Pts',(-0.269851218912646,2.36394310400007, -0.961403074283815)); #125202=CARTESIAN_POINT('Ctrl Pts',(-0.266143457288022,2.35269184200033, -0.983270590926556)); #125203=CARTESIAN_POINT('Ctrl Pts',(-0.266079992521673,2.35268637560484, -0.996176935486312)); #125204=CARTESIAN_POINT('Ctrl Pts',(-0.280266197541266,2.39377091220012, -0.95683594)); #125205=CARTESIAN_POINT('Ctrl Pts',(-0.279039119344258,2.38501096102414, -0.956766673188039)); #125206=CARTESIAN_POINT('Ctrl Pts',(-0.274444665790435,2.36456099563624, -0.963547536183048)); #125207=CARTESIAN_POINT('Ctrl Pts',(-0.27039831158792,2.35410297770126, -0.984106915303453)); #125208=CARTESIAN_POINT('Ctrl Pts',(-0.270331859254531,2.3541,-0.996196309864606)); #125209=CARTESIAN_POINT('Ctrl Pts',(-0.28287417020089,2.393470079,-0.95683594)); #125210=CARTESIAN_POINT('Ctrl Pts',(-0.281591850455394,2.38460513361312, -0.95683594)); #125211=CARTESIAN_POINT('Ctrl Pts',(-0.276746508463948,2.36414763280387, -0.963979584808984)); #125212=CARTESIAN_POINT('Ctrl Pts',(-0.272530595964716,2.3541,-0.984307837597859)); #125213=CARTESIAN_POINT('Ctrl Pts',(-0.272462608905949,2.3541,-0.996206019000001)); #125214=CARTESIAN_POINT('',(-0.262886967658315,2.34698187280251,-0.996162385907154)); #125215=CARTESIAN_POINT('',(-0.272462608905949,2.3541,-0.996206019000001)); #125216=CARTESIAN_POINT('Origin',(-0.272462608905949,2.3441,-0.996206019000002)); #125217=CARTESIAN_POINT('Ctrl Pts',(-0.272462608905949,2.3541,-0.996206019000001)); #125218=CARTESIAN_POINT('Ctrl Pts',(-0.272530595964716,2.3541,-0.984307837597859)); #125219=CARTESIAN_POINT('Ctrl Pts',(-0.276746508463948,2.36414763280387, -0.963979584808984)); #125220=CARTESIAN_POINT('Ctrl Pts',(-0.281591850455394,2.38460513361312, -0.95683594)); #125221=CARTESIAN_POINT('Ctrl Pts',(-0.28287417020089,2.393470079,-0.95683594)); #125222=CARTESIAN_POINT('Ctrl Pts',(-0.272940137798289,2.39461598317456, -0.946792306907153)); #125223=CARTESIAN_POINT('Ctrl Pts',(-0.271702850817335,2.38388973814204, -0.946792306907153)); #125224=CARTESIAN_POINT('Ctrl Pts',(-0.267026294027664,2.35913711866653, -0.955750437485642)); #125225=CARTESIAN_POINT('Ctrl Pts',(-0.262954756269828,2.34698121341889, -0.981242066448813)); #125226=CARTESIAN_POINT('Ctrl Pts',(-0.262886967658315,2.34698187280251, -0.996162385907154)); #125227=CARTESIAN_POINT('Ctrl Pts',(-0.272083455527312,2.3541,-1.07940953312881)); #125228=CARTESIAN_POINT('Ctrl Pts',(-0.272209847309702,2.3541,-1.05167502845258)); #125229=CARTESIAN_POINT('Ctrl Pts',(-0.272336231759058,2.3541,-1.02394052374293)); #125230=CARTESIAN_POINT('Ctrl Pts',(-0.272462608905949,2.3541,-0.996206019000001)); #125231=CARTESIAN_POINT('Ctrl Pts',(-0.269649045469007,2.3541,-1.07939843902672)); #125232=CARTESIAN_POINT('Ctrl Pts',(-0.269775190470439,2.3541000056313, -1.05166393365578)); #125233=CARTESIAN_POINT('Ctrl Pts',(-0.269901335426189,2.35409999437888, -1.02392942828144)); #125234=CARTESIAN_POINT('Ctrl Pts',(-0.270027466447185,2.3541,-0.996194922845263)); #125235=CARTESIAN_POINT('Ctrl Pts',(-0.265409388830821,2.35247150641585, -1.07937911804855)); #125236=CARTESIAN_POINT('Ctrl Pts',(-0.265535119354584,2.35247116391364, -1.05164461153502)); #125237=CARTESIAN_POINT('Ctrl Pts',(-0.265660841323456,2.35247082604618, -1.02391010498116)); #125238=CARTESIAN_POINT('Ctrl Pts',(-0.265786558987589,2.35247048433736, -0.996175598404825)); #125239=CARTESIAN_POINT('Ctrl Pts',(-0.263035769841199,2.34873371622504, -1.07936830098373)); #125240=CARTESIAN_POINT('Ctrl Pts',(-0.263161618329456,2.34873272974115, -1.05163379542704)); #125241=CARTESIAN_POINT('Ctrl Pts',(-0.263287464859661,2.3487317469505, -1.0238992898566)); #125242=CARTESIAN_POINT('Ctrl Pts',(-0.263413300469975,2.34873076290737, -0.996164784235145)); #125243=CARTESIAN_POINT('Ctrl Pts',(-0.262508923111295,2.34698555456851, -1.07936590003596)); #125244=CARTESIAN_POINT('Ctrl Pts',(-0.262634944553254,2.34698432574529, -1.05163139535973)); #125245=CARTESIAN_POINT('Ctrl Pts',(-0.262760959393359,2.34698309849195, -1.02389689065008)); #125246=CARTESIAN_POINT('Ctrl Pts',(-0.262886967658315,2.34698187280251, -0.996162385907154)); #125247=CARTESIAN_POINT('',(-0.262508923111295,2.34698555456851,-1.07936590003596)); #125248=CARTESIAN_POINT('Ctrl Pts',(-0.262886967658315,2.34698187280251, -0.996162385907154)); #125249=CARTESIAN_POINT('Ctrl Pts',(-0.262760959393359,2.34698309849195, -1.02389689065008)); #125250=CARTESIAN_POINT('Ctrl Pts',(-0.262634944553254,2.34698432574529, -1.05163139535973)); #125251=CARTESIAN_POINT('Ctrl Pts',(-0.262508923111295,2.34698555456851, -1.07936590003596)); #125252=CARTESIAN_POINT('',(-0.272083455527312,2.3541,-1.07940953312881)); #125253=CARTESIAN_POINT('Origin',(-0.272083455527312,2.3441,-1.07940953312881)); #125254=CARTESIAN_POINT('Ctrl Pts',(-0.272083455527312,2.3541,-1.07940953312881)); #125255=CARTESIAN_POINT('Ctrl Pts',(-0.272209847309702,2.3541,-1.05167502845258)); #125256=CARTESIAN_POINT('Ctrl Pts',(-0.272336231759058,2.3541,-1.02394052374293)); #125257=CARTESIAN_POINT('Ctrl Pts',(-0.272462608905949,2.3541,-0.996206019000001)); #125258=CARTESIAN_POINT('Ctrl Pts',(-0.262508923111295,2.34698555456851, -1.07936590003596)); #125259=CARTESIAN_POINT('Ctrl Pts',(-0.262484908053381,2.34698578873708, -1.08465107790464)); #125260=CARTESIAN_POINT('Ctrl Pts',(-0.261832639575426,2.34484580768551, -1.08986844951791)); #125261=CARTESIAN_POINT('Ctrl Pts',(-0.260674139545858,2.34133449812147, -1.09350803565969)); #125262=CARTESIAN_POINT('Ctrl Pts',(-0.263211385417833,2.34931643677722, -1.07936910129966)); #125263=CARTESIAN_POINT('Ctrl Pts',(-0.263184964881848,2.34931884110821, -1.08532744489714)); #125264=CARTESIAN_POINT('Ctrl Pts',(-0.26248542075329,2.34694158667733, -1.09120842773132)); #125265=CARTESIAN_POINT('Ctrl Pts',(-0.261215404440969,2.34302539375383, -1.09537742219871)); #125266=CARTESIAN_POINT('Ctrl Pts',(-0.265993968919675,2.35290588181806, -1.07938178209899)); #125267=CARTESIAN_POINT('Ctrl Pts',(-0.265966474585923,2.35291094237347, -1.08650860268571)); #125268=CARTESIAN_POINT('Ctrl Pts',(-0.265235189630825,2.35009043590894, -1.09355521447754)); #125269=CARTESIAN_POINT('Ctrl Pts',(-0.263902740790632,2.34539879070921, -1.09863701204386)); #125270=CARTESIAN_POINT('Ctrl Pts',(-0.270257647983583,2.3541,-1.07940121255224)); #125271=CARTESIAN_POINT('Ctrl Pts',(-0.27023254665848,2.3541022097524,-1.08718448980693)); #125272=CARTESIAN_POINT('Ctrl Pts',(-0.269540379077431,2.35090536305848, -1.09486998378219)); #125273=CARTESIAN_POINT('Ctrl Pts',(-0.268300818552422,2.34562369047883, -1.10031224952117)); #125274=CARTESIAN_POINT('Ctrl Pts',(-0.272083455527312,2.3541,-1.07940953312881)); #125275=CARTESIAN_POINT('Ctrl Pts',(-0.272059369896114,2.3541,-1.08733729993183)); #125276=CARTESIAN_POINT('Ctrl Pts',(-0.271384104679999,2.35077258264827, -1.09516335735173)); #125277=CARTESIAN_POINT('Ctrl Pts',(-0.27018389192622,2.3453132034356,-1.1006227365644)); #125278=CARTESIAN_POINT('',(-0.260674139545858,2.34133449812147,-1.09350803565969)); #125279=CARTESIAN_POINT('',(-0.27018389192622,2.3453132034356,-1.1006227365644)); #125280=CARTESIAN_POINT('Origin',(-0.27018389192622,2.33824213562373,-1.09355166875254)); #125281=CARTESIAN_POINT('Ctrl Pts',(-0.27018389192622,2.3453132034356,-1.1006227365644)); #125282=CARTESIAN_POINT('Ctrl Pts',(-0.271384104679999,2.35077258264827, -1.09516335735173)); #125283=CARTESIAN_POINT('Ctrl Pts',(-0.272059369896114,2.3541,-1.08733729993183)); #125284=CARTESIAN_POINT('Ctrl Pts',(-0.272083455527312,2.3541,-1.07940953312881)); #125285=CARTESIAN_POINT('Ctrl Pts',(-0.262508923111295,2.34698555456851, -1.07936590003596)); #125286=CARTESIAN_POINT('Ctrl Pts',(-0.262484908053381,2.34698578873708, -1.08465107790464)); #125287=CARTESIAN_POINT('Ctrl Pts',(-0.261832639575426,2.34484580768551, -1.08986844951791)); #125288=CARTESIAN_POINT('Ctrl Pts',(-0.260674139545858,2.34133449812147, -1.09350803565969)); #125289=CARTESIAN_POINT('Ctrl Pts',(-0.260674139545859,2.34133449812147, -1.09350803565969)); #125290=CARTESIAN_POINT('Ctrl Pts',(-0.258258248660695,2.33401214977944, -1.10109788698579)); #125291=CARTESIAN_POINT('Ctrl Pts',(-0.255533671146922,2.32679170852408, -1.10858220547835)); #125292=CARTESIAN_POINT('Ctrl Pts',(-0.25251008159822,2.31969877837446, -1.11593444253088)); #125293=CARTESIAN_POINT('Ctrl Pts',(-0.261215404440969,2.34302539375383, -1.09537742219871)); #125294=CARTESIAN_POINT('Ctrl Pts',(-0.258837745268395,2.33565523369709, -1.10294843643144)); #125295=CARTESIAN_POINT('Ctrl Pts',(-0.256144600599655,2.32838574521169, -1.11041568855782)); #125296=CARTESIAN_POINT('Ctrl Pts',(-0.253151702958778,2.32124187803277, -1.11775094286016)); #125297=CARTESIAN_POINT('Ctrl Pts',(-0.263902740764618,2.34539879074112, -1.09863701206719)); #125298=CARTESIAN_POINT('Ctrl Pts',(-0.261520244223251,2.33791493836835, -1.10617705245012)); #125299=CARTESIAN_POINT('Ctrl Pts',(-0.258821095199178,2.33053221366232, -1.11361376406454)); #125300=CARTESIAN_POINT('Ctrl Pts',(-0.255813399795436,2.32327768175621, -1.12092091970468)); #125301=CARTESIAN_POINT('Ctrl Pts',(-0.268300818552422,2.34562369047883, -1.10031224952117)); #125302=CARTESIAN_POINT('Ctrl Pts',(-0.265833902110026,2.33805700541923, -1.10787854876943)); #125303=CARTESIAN_POINT('Ctrl Pts',(-0.26304821516682,2.33059542126921, -1.11534083725959)); #125304=CARTESIAN_POINT('Ctrl Pts',(-0.259952772910305,2.32326443884473, -1.12267150115527)); #125305=CARTESIAN_POINT('Ctrl Pts',(-0.27018389192622,2.3453132034356,-1.1006227365644)); #125306=CARTESIAN_POINT('Ctrl Pts',(-0.267681014949003,2.3377233521095, -1.1082125878905)); #125307=CARTESIAN_POINT('Ctrl Pts',(-0.264858142382295,2.33023903361694, -1.11569690638306)); #125308=CARTESIAN_POINT('Ctrl Pts',(-0.261725287607145,2.3228867965644, -1.1230491434356)); #125309=CARTESIAN_POINT('',(-0.25251008159822,2.31969877837446,-1.11593444253088)); #125310=CARTESIAN_POINT('',(-0.261725287607145,2.3228867965644,-1.1230491434356)); #125311=CARTESIAN_POINT('Origin',(-0.261725287607145,2.31581572875254,-1.11597807562373)); #125312=CARTESIAN_POINT('Origin',(4.37095678986282E-16,2.43441243458909, -1.01152350541091)); #125313=CARTESIAN_POINT('Ctrl Pts',(-0.260674139545859,2.34133449812147, -1.09350803565969)); #125314=CARTESIAN_POINT('Ctrl Pts',(-0.258258248660695,2.33401214977944, -1.10109788698579)); #125315=CARTESIAN_POINT('Ctrl Pts',(-0.255533671146922,2.32679170852408, -1.10858220547835)); #125316=CARTESIAN_POINT('Ctrl Pts',(-0.25251008159822,2.31969877837446, -1.11593444253088)); #125317=CARTESIAN_POINT('Ctrl Pts',(-0.25251008159822,2.31969877837446, -1.11593444253088)); #125318=CARTESIAN_POINT('Ctrl Pts',(-0.250932436310252,2.31599783694435, -1.11977068483663)); #125319=CARTESIAN_POINT('Ctrl Pts',(-0.248683108572614,2.31096898607553, -1.12179230690715)); #125320=CARTESIAN_POINT('Ctrl Pts',(-0.246287933554796,2.30605497401385, -1.12179230690715)); #125321=CARTESIAN_POINT('Ctrl Pts',(-0.253071500288708,2.32104899057548, -1.117523880319)); #125322=CARTESIAN_POINT('Ctrl Pts',(-0.251371365356876,2.31701273212661, -1.12184366328577)); #125323=CARTESIAN_POINT('Ctrl Pts',(-0.248942522093741,2.3115115030371, -1.1242299343596)); #125324=CARTESIAN_POINT('Ctrl Pts',(-0.246277636727365,2.30605999286678, -1.12441754799972)); #125325=CARTESIAN_POINT('Ctrl Pts',(-0.255531388138738,2.32317841842917, -1.12070148865739)); #125326=CARTESIAN_POINT('Ctrl Pts',(-0.253730314136311,2.31838748257741, -1.12598283192038)); #125327=CARTESIAN_POINT('Ctrl Pts',(-0.251131392407848,2.31182119239389, -1.1291014108301)); #125328=CARTESIAN_POINT('Ctrl Pts',(-0.248222701353867,2.30511193450978, -1.12964931875872)); #125329=CARTESIAN_POINT('Ctrl Pts',(-0.259657353794165,2.32332737922478, -1.12260856077522)); #125330=CARTESIAN_POINT('Ctrl Pts',(-0.257965948926904,2.31783918430083, -1.12833884451767)); #125331=CARTESIAN_POINT('Ctrl Pts',(-0.25554646715852,2.31034224196829, -1.13153640143743)); #125332=CARTESIAN_POINT('Ctrl Pts',(-0.25291703794184,2.30282383314177, -1.13183594)); #125333=CARTESIAN_POINT('Ctrl Pts',(-0.261725287607145,2.3228867965644, -1.1230491434356)); #125334=CARTESIAN_POINT('Ctrl Pts',(-0.260090630027373,2.31713243310578, -1.12880350689422)); #125335=CARTESIAN_POINT('Ctrl Pts',(-0.257759498113805,2.30931363725975, -1.13183594)); #125336=CARTESIAN_POINT('Ctrl Pts',(-0.255276904446584,2.30167359312881, -1.13183594)); #125337=CARTESIAN_POINT('',(-0.246287933554796,2.30605497401385,-1.12179230690715)); #125338=CARTESIAN_POINT('',(-0.255276904446584,2.30167359312881,-1.13183594)); #125339=CARTESIAN_POINT('Origin',(-0.255276904446584,2.30167359312881,-1.12183594)); #125340=CARTESIAN_POINT('Ctrl Pts',(-0.255276904446584,2.30167359312881, -1.13183594)); #125341=CARTESIAN_POINT('Ctrl Pts',(-0.257759498113805,2.30931363725975, -1.13183594)); #125342=CARTESIAN_POINT('Ctrl Pts',(-0.260090630027373,2.31713243310578, -1.12880350689422)); #125343=CARTESIAN_POINT('Ctrl Pts',(-0.261725287607145,2.3228867965644, -1.1230491434356)); #125344=CARTESIAN_POINT('Ctrl Pts',(-0.25251008159822,2.31969877837446, -1.11593444253088)); #125345=CARTESIAN_POINT('Ctrl Pts',(-0.250932436310252,2.31599783694435, -1.11977068483663)); #125346=CARTESIAN_POINT('Ctrl Pts',(-0.248683108572614,2.31096898607553, -1.12179230690715)); #125347=CARTESIAN_POINT('Ctrl Pts',(-0.246287933554796,2.30605497401385, -1.12179230690715)); #125348=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #125349=CARTESIAN_POINT('',(-0.120045025986151,2.1798120664452,-1.12179230690715)); #125350=CARTESIAN_POINT('',(-0.124426406871192,2.17082309555342,-1.13183594)); #125351=CARTESIAN_POINT('Origin',(-0.124426406871192,2.17082309555342,-1.12183594)); #125352=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #125353=CARTESIAN_POINT('Origin',(4.69877854910253E-16,2.4261,-1.12179230690715)); #125354=CARTESIAN_POINT('Ctrl Pts',(-0.120045025986151,2.1798120664452, -1.12179230690715)); #125355=CARTESIAN_POINT('Ctrl Pts',(-0.115131020232533,2.17741689450204, -1.12179230690715)); #125356=CARTESIAN_POINT('Ctrl Pts',(-0.110102158304797,2.17516756166454, -1.1197706799121)); #125357=CARTESIAN_POINT('Ctrl Pts',(-0.106401221625543,2.17358991840178, -1.11593444253088)); #125358=CARTESIAN_POINT('Ctrl Pts',(-0.120040007133222,2.17982236327263, -1.12441754799972)); #125359=CARTESIAN_POINT('Ctrl Pts',(-0.114588503960935,2.17715748132714, -1.12422993460043)); #125360=CARTESIAN_POINT('Ctrl Pts',(-0.109087262692095,2.17472863246068, -1.12184365774052)); #125361=CARTESIAN_POINT('Ctrl Pts',(-0.10505100942452,2.17302849971129, -1.117523880319)); #125362=CARTESIAN_POINT('Ctrl Pts',(-0.120987988619193,2.177877456357,-1.12964949344248)); #125363=CARTESIAN_POINT('Ctrl Pts',(-0.114278739347683,2.17496876903687, -1.12910158621721)); #125364=CARTESIAN_POINT('Ctrl Pts',(-0.107712430967842,2.17236975110839, -1.12598287665894)); #125365=CARTESIAN_POINT('Ctrl Pts',(-0.102921501266142,2.17056867941798, -1.12070154017554)); #125366=CARTESIAN_POINT('Ctrl Pts',(-0.123276166858225,2.17318296205816, -1.13183594)); #125367=CARTESIAN_POINT('Ctrl Pts',(-0.115757767683001,2.17055353621685, -1.13153640182195)); #125368=CARTESIAN_POINT('Ctrl Pts',(-0.108260808654043,2.16813404890186, -1.12833883716177)); #125369=CARTESIAN_POINT('Ctrl Pts',(-0.102772620775217,2.16644264620583, -1.12260856077522)); #125370=CARTESIAN_POINT('Ctrl Pts',(-0.124426406871192,2.17082309555342, -1.13183594)); #125371=CARTESIAN_POINT('Ctrl Pts',(-0.116786372547684,2.16834050507307, -1.13183594)); #125372=CARTESIAN_POINT('Ctrl Pts',(-0.108967559507415,2.16600936787424, -1.12880349950742)); #125373=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.16437471239285, -1.1230491434356)); #125374=CARTESIAN_POINT('',(-0.106401221625543,2.17358991840178,-1.11593444253088)); #125375=CARTESIAN_POINT('',(-0.103213203435596,2.16437471239285,-1.1230491434356)); #125376=CARTESIAN_POINT('Origin',(-0.110284271247462,2.16437471239285,-1.11597807562373)); #125377=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.16437471239285, -1.1230491434356)); #125378=CARTESIAN_POINT('Ctrl Pts',(-0.108967559507415,2.16600936787424, -1.12880349950742)); #125379=CARTESIAN_POINT('Ctrl Pts',(-0.116786372547684,2.16834050507307, -1.13183594)); #125380=CARTESIAN_POINT('Ctrl Pts',(-0.124426406871192,2.17082309555342, -1.13183594)); #125381=CARTESIAN_POINT('Ctrl Pts',(-0.120045025986151,2.1798120664452, -1.12179230690715)); #125382=CARTESIAN_POINT('Ctrl Pts',(-0.115131020232533,2.17741689450204, -1.12179230690715)); #125383=CARTESIAN_POINT('Ctrl Pts',(-0.110102158304797,2.17516756166454, -1.1197706799121)); #125384=CARTESIAN_POINT('Ctrl Pts',(-0.106401221625543,2.17358991840178, -1.11593444253088)); #125385=CARTESIAN_POINT('Ctrl Pts',(-0.106401221625543,2.17358991840178, -1.11593444253088)); #125386=CARTESIAN_POINT('Ctrl Pts',(-0.0993082914759245,2.17056632885308, -1.10858220547835)); #125387=CARTESIAN_POINT('Ctrl Pts',(-0.0920878502205578,2.1678417513393, -1.10109788698579)); #125388=CARTESIAN_POINT('Ctrl Pts',(-0.08476550187853,2.16542586045414, -1.09350803565969)); #125389=CARTESIAN_POINT('Ctrl Pts',(-0.104858121967231,2.17294829704122, -1.11775094286016)); #125390=CARTESIAN_POINT('Ctrl Pts',(-0.0977142547883125,2.16995539940034, -1.11041568855782)); #125391=CARTESIAN_POINT('Ctrl Pts',(-0.0904447663029072,2.1672622547316, -1.10294843643144)); #125392=CARTESIAN_POINT('Ctrl Pts',(-0.0830746062461669,2.16488459555903, -1.09537742219871)); #125393=CARTESIAN_POINT('Ctrl Pts',(-0.102822274464642,2.17028663254683, -1.12092094970249)); #125394=CARTESIAN_POINT('Ctrl Pts',(-0.0955677425585316,2.16727893714309, -1.11361379406235)); #125395=CARTESIAN_POINT('Ctrl Pts',(-0.0881850120638384,2.16457979619305, -1.10617708868999)); #125396=CARTESIAN_POINT('Ctrl Pts',(-0.0807011596910727,2.16219729965169, -1.09863704830706)); #125397=CARTESIAN_POINT('Ctrl Pts',(-0.102835561155271,2.16614722708969, -1.12267150115527)); #125398=CARTESIAN_POINT('Ctrl Pts',(-0.0955045787307901,2.16305178483318, -1.11534083725959)); #125399=CARTESIAN_POINT('Ctrl Pts',(-0.0880429945807741,2.16026609788997, -1.10787854876943)); #125400=CARTESIAN_POINT('Ctrl Pts',(-0.0804763095211666,2.15779918144758, -1.10031224952117)); #125401=CARTESIAN_POINT('Ctrl Pts',(-0.103213203435596,2.16437471239285, -1.1230491434356)); #125402=CARTESIAN_POINT('Ctrl Pts',(-0.0958609663830618,2.1612418576177, -1.11569690638306)); #125403=CARTESIAN_POINT('Ctrl Pts',(-0.0883766478904992,2.158418985051, -1.1082125878905)); #125404=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644031,2.15591610807378, -1.1006227365644)); #125405=CARTESIAN_POINT('',(-0.0847655018785304,2.16542586045414,-1.09350803565969)); #125406=CARTESIAN_POINT('',(-0.0807867965644032,2.15591610807378,-1.1006227365644)); #125407=CARTESIAN_POINT('Origin',(-0.0878578643762688,2.15591610807378, -1.09355166875254)); #125408=CARTESIAN_POINT('Origin',(0.00831243458909215,2.4261,-1.01152350541091)); #125409=CARTESIAN_POINT('Ctrl Pts',(-0.106401221625543,2.17358991840178, -1.11593444253088)); #125410=CARTESIAN_POINT('Ctrl Pts',(-0.0993082914759245,2.17056632885308, -1.10858220547835)); #125411=CARTESIAN_POINT('Ctrl Pts',(-0.0920878502205578,2.1678417513393, -1.10109788698579)); #125412=CARTESIAN_POINT('Ctrl Pts',(-0.08476550187853,2.16542586045414, -1.09350803565969)); #125413=CARTESIAN_POINT('Ctrl Pts',(-0.0847655018785301,2.16542586045414, -1.09350803565969)); #125414=CARTESIAN_POINT('Ctrl Pts',(-0.0812542017599308,2.16426736354094, -1.08986845930841)); #125415=CARTESIAN_POINT('Ctrl Pts',(-0.079114211263547,2.16361509188202, -1.08465106368749)); #125416=CARTESIAN_POINT('Ctrl Pts',(-0.0791144454314877,2.1635910768887, -1.07936590003596)); #125417=CARTESIAN_POINT('Ctrl Pts',(-0.083074606246167,2.16488459555903, -1.09537742219871)); #125418=CARTESIAN_POINT('Ctrl Pts',(-0.0791584238572409,2.16361458266306, -1.09120843894593)); #125419=CARTESIAN_POINT('Ctrl Pts',(-0.0767811588982548,2.16291503504708, -1.08532742886916)); #125420=CARTESIAN_POINT('Ctrl Pts',(-0.076783563222777,2.16288861458217, -1.07936910129966)); #125421=CARTESIAN_POINT('Ctrl Pts',(-0.080701150809674,2.16219730689335, -1.0986370548004)); #125422=CARTESIAN_POINT('Ctrl Pts',(-0.0760095182304923,2.16086486163745, -1.09355527090414)); #125423=CARTESIAN_POINT('Ctrl Pts',(-0.0731889989983435,2.16013356266355, -1.08650858334444)); #125424=CARTESIAN_POINT('Ctrl Pts',(-0.0731940595401368,2.16010606840376, -1.0793817819289)); #125425=CARTESIAN_POINT('Ctrl Pts',(-0.0804763095211668,2.15779918144758, -1.10031224952117)); #125426=CARTESIAN_POINT('Ctrl Pts',(-0.0751946511492371,2.15655962425699, -1.09486999842191)); #125427=CARTESIAN_POINT('Ctrl Pts',(-0.0719977902535427,2.155867453274, -1.08718446886987)); #125428=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.15584235201642, -1.07940121255224)); #125429=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644033,2.15591610807378, -1.1006227365644)); #125430=CARTESIAN_POINT('Ctrl Pts',(-0.0753274320374845,2.15471589854858, -1.09516337203749)); #125431=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999998,2.15404063003909, -1.0873372786061)); #125432=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.15401654447269, -1.07940953312881)); #125433=CARTESIAN_POINT('',(-0.0791144454314877,2.1635910768887,-1.07936590003596)); #125434=CARTESIAN_POINT('',(-0.0719999999999996,2.15401654447269,-1.07940953312881)); #125435=CARTESIAN_POINT('Origin',(-0.0819999999999996,2.15401654447269, -1.07940953312881)); #125436=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999997,2.15401654447269, -1.07940953312881)); #125437=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999998,2.15404063003909, -1.0873372786061)); #125438=CARTESIAN_POINT('Ctrl Pts',(-0.0753274320374845,2.15471589854858, -1.09516337203749)); #125439=CARTESIAN_POINT('Ctrl Pts',(-0.0807867965644033,2.15591610807378, -1.1006227365644)); #125440=CARTESIAN_POINT('Ctrl Pts',(-0.0847655018785301,2.16542586045414, -1.09350803565969)); #125441=CARTESIAN_POINT('Ctrl Pts',(-0.0812542017599308,2.16426736354094, -1.08986845930841)); #125442=CARTESIAN_POINT('Ctrl Pts',(-0.079114211263547,2.16361509188202, -1.08465106368749)); #125443=CARTESIAN_POINT('Ctrl Pts',(-0.0791144454314877,2.1635910768887, -1.07936590003596)); #125444=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.15363739109405, -0.996206019)); #125445=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.15376376824094, -1.02394052374293)); #125446=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.1538901526903, -1.05167502845258)); #125447=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.15401654447269, -1.07940953312881)); #125448=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999996,2.15607253355281, -0.996194922845263)); #125449=CARTESIAN_POINT('Ctrl Pts',(-0.0720000056211226,2.15619866457381, -1.02392942828143)); #125450=CARTESIAN_POINT('Ctrl Pts',(-0.0719999943687,2.15632480952956,-1.05166393365578)); #125451=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999996,2.15645095453099, -1.07939843902672)); #125452=CARTESIAN_POINT('Ctrl Pts',(-0.0736294609611711,2.16031348817115, -0.996175598189938)); #125453=CARTESIAN_POINT('Ctrl Pts',(-0.0736291192523571,2.16043920583528, -1.02391010476627)); #125454=CARTESIAN_POINT('Ctrl Pts',(-0.0736287814383314,2.16056492773754, -1.05164461132042)); #125455=CARTESIAN_POINT('Ctrl Pts',(-0.0736284389361156,2.1606906582613, -1.07937911783394)); #125456=CARTESIAN_POINT('Ctrl Pts',(-0.0773692370926273,2.16268669953002, -0.996164784235144)); #125457=CARTESIAN_POINT('Ctrl Pts',(-0.077368253049503,2.16281253514034, -1.0238992898566)); #125458=CARTESIAN_POINT('Ctrl Pts',(-0.0773672702588452,2.16293838167054, -1.05163379542704)); #125459=CARTESIAN_POINT('Ctrl Pts',(-0.0773662837749548,2.1630642301588, -1.07936830098373)); #125460=CARTESIAN_POINT('Ctrl Pts',(-0.0791181271974933,2.16321303234168, -0.996162385907153)); #125461=CARTESIAN_POINT('Ctrl Pts',(-0.0791169015080487,2.16333904060664, -1.02389689065008)); #125462=CARTESIAN_POINT('Ctrl Pts',(-0.0791156742547141,2.16346505544675, -1.05163139535973)); #125463=CARTESIAN_POINT('Ctrl Pts',(-0.0791144454314878,2.1635910768887, -1.07936590003596)); #125464=CARTESIAN_POINT('',(-0.0791181271974933,2.16321303234168,-0.996162385907153)); #125465=CARTESIAN_POINT('Ctrl Pts',(-0.0791144454314878,2.1635910768887, -1.07936590003596)); #125466=CARTESIAN_POINT('Ctrl Pts',(-0.0791156742547141,2.16346505544675, -1.05163139535973)); #125467=CARTESIAN_POINT('Ctrl Pts',(-0.0791169015080487,2.16333904060664, -1.02389689065008)); #125468=CARTESIAN_POINT('Ctrl Pts',(-0.0791181271974933,2.16321303234168, -0.996162385907153)); #125469=CARTESIAN_POINT('',(-0.0719999999999995,2.15363739109405,-0.996206019)); #125470=CARTESIAN_POINT('Origin',(-0.0819999999999995,2.15363739109405, -0.996206019)); #125471=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.15363739109405, -0.996206019)); #125472=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.15376376824094, -1.02394052374293)); #125473=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.1538901526903, -1.05167502845258)); #125474=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999995,2.15401654447269, -1.07940953312881)); #125475=CARTESIAN_POINT('Ctrl Pts',(-0.0791181271974932,2.16321303234168, -0.996162385907153)); #125476=CARTESIAN_POINT('Ctrl Pts',(-0.0791186217351008,2.16316219089392, -0.9849721487052)); #125477=CARTESIAN_POINT('Ctrl Pts',(-0.0701957630078547,2.16009899033242, -0.959066131765384)); #125478=CARTESIAN_POINT('Ctrl Pts',(-0.0457856738119558,2.15480957782371, -0.946792306907153)); #125479=CARTESIAN_POINT('Ctrl Pts',(-0.0314840168254397,2.15315986220171, -0.946792306907153)); #125480=CARTESIAN_POINT('Ctrl Pts',(-0.0770777554084827,2.16259897739475, -0.996165183956476)); #125481=CARTESIAN_POINT('Ctrl Pts',(-0.0770764728353547,2.16255004831257, -0.985479630786373)); #125482=CARTESIAN_POINT('Ctrl Pts',(-0.0683463380684489,2.15964659321573, -0.960757550154518)); #125483=CARTESIAN_POINT('Ctrl Pts',(-0.045003792656516,2.15472876250339, -0.949359701709556)); #125484=CARTESIAN_POINT('Ctrl Pts',(-0.0314827041971445,2.15317124159303, -0.94941754799972)); #125485=CARTESIAN_POINT('Ctrl Pts',(-0.0734134904756747,2.16002010702634, -0.996176935032704)); #125486=CARTESIAN_POINT('Ctrl Pts',(-0.073409390679939,2.15997250846176, -0.98649717868184)); #125487=CARTESIAN_POINT('Ctrl Pts',(-0.0651054892326497,2.15716270992759, -0.964141773285838)); #125488=CARTESIAN_POINT('Ctrl Pts',(-0.0438088686032492,2.15251609123473, -0.954480691231394)); #125489=CARTESIAN_POINT('Ctrl Pts',(-0.0317306235623249,2.15102198770112, -0.954649625862164)); #125490=CARTESIAN_POINT('Ctrl Pts',(-0.071999999999999,2.15576814074547, -0.996196309864605)); #125491=CARTESIAN_POINT('Ctrl Pts',(-0.071997766724533,2.15571830150608, -0.987129265881733)); #125492=CARTESIAN_POINT('Ctrl Pts',(-0.064296002333075,2.15266814008485, -0.966166590523325)); #125493=CARTESIAN_POINT('Ctrl Pts',(-0.0440090202047346,2.14746990637171, -0.95674358427046)); #125494=CARTESIAN_POINT('Ctrl Pts',(-0.0323290877998765,2.14583380245873, -0.956835940000001)); #125495=CARTESIAN_POINT('Ctrl Pts',(-0.071999999999999,2.15363739109405, -0.996206019)); #125496=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999991,2.15358640081087, -0.987282384855733)); #125497=CARTESIAN_POINT('Ctrl Pts',(-0.0646243753184908,2.15041558727848, -0.966623679134482)); #125498=CARTESIAN_POINT('Ctrl Pts',(-0.0444498456561214,2.14493558909433, -0.956835940000001)); #125499=CARTESIAN_POINT('Ctrl Pts',(-0.0326299209999996,2.14322582979911, -0.956835940000001)); #125500=CARTESIAN_POINT('',(-0.0314840168254397,2.15315986220171,-0.946792306907153)); #125501=CARTESIAN_POINT('',(-0.0326299209999996,2.14322582979911,-0.95683594)); #125502=CARTESIAN_POINT('Origin',(-0.0326299209999996,2.14322582979911, -0.94683594)); #125503=CARTESIAN_POINT('Ctrl Pts',(-0.0326299209999996,2.14322582979911, -0.956835940000001)); #125504=CARTESIAN_POINT('Ctrl Pts',(-0.0444498456561214,2.14493558909433, -0.956835940000001)); #125505=CARTESIAN_POINT('Ctrl Pts',(-0.0646243753184908,2.15041558727848, -0.966623679134482)); #125506=CARTESIAN_POINT('Ctrl Pts',(-0.0719999999999991,2.15358640081087, -0.987282384855733)); #125507=CARTESIAN_POINT('Ctrl Pts',(-0.071999999999999,2.15363739109405, -0.996206019)); #125508=CARTESIAN_POINT('Ctrl Pts',(-0.0791181271974932,2.16321303234168, -0.996162385907153)); #125509=CARTESIAN_POINT('Ctrl Pts',(-0.0791186217351008,2.16316219089392, -0.9849721487052)); #125510=CARTESIAN_POINT('Ctrl Pts',(-0.0701957630078547,2.16009899033242, -0.959066131765384)); #125511=CARTESIAN_POINT('Ctrl Pts',(-0.0457856738119558,2.15480957782371, -0.946792306907153)); #125512=CARTESIAN_POINT('Ctrl Pts',(-0.0314840168254397,2.15315986220171, -0.946792306907153)); #125513=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.94683594)); #125514=CARTESIAN_POINT('',(0.0314840168254407,2.15315986220171,-0.946792306907153)); #125515=CARTESIAN_POINT('',(0.0326299210000004,2.14322582979911,-0.95683594)); #125516=CARTESIAN_POINT('Origin',(0.0326299210000004,2.14322582979911,-0.94683594)); #125517=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.95683594)); #125518=CARTESIAN_POINT('Origin',(4.67125454719529E-16,2.4261,-0.946792306907153)); #125519=CARTESIAN_POINT('Ctrl Pts',(0.0314840168254407,2.15315986220171, -0.946792306907153)); #125520=CARTESIAN_POINT('Ctrl Pts',(0.042210261857956,2.15439714918266, -0.946792306907153)); #125521=CARTESIAN_POINT('Ctrl Pts',(0.0669629261992602,2.15907370465824, -0.955750387043378)); #125522=CARTESIAN_POINT('Ctrl Pts',(0.079118786581112,2.16314524373017, -0.981242066448814)); #125523=CARTESIAN_POINT('Ctrl Pts',(0.079118127197494,2.16321303234168, -0.996162385907154)); #125524=CARTESIAN_POINT('Ctrl Pts',(0.0314827041971454,2.15317124159303, -0.94941754799972)); #125525=CARTESIAN_POINT('Ctrl Pts',(0.0416235227091738,2.15433938252548, -0.949374163272824)); #125526=CARTESIAN_POINT('Ctrl Pts',(0.0652055194507681,2.15868554144784, -0.957633697671685)); #125527=CARTESIAN_POINT('Ctrl Pts',(0.0770760453106144,2.16253373860456, -0.981917776684431)); #125528=CARTESIAN_POINT('Ctrl Pts',(0.0770777554084836,2.16259897739475, -0.996165183956477)); #125529=CARTESIAN_POINT('Ctrl Pts',(0.0317306235623258,2.15102198770112, -0.954649625862164)); #125530=CARTESIAN_POINT('Ctrl Pts',(0.0407893092792247,2.15214256559084, -0.954522924862005)); #125531=CARTESIAN_POINT('Ctrl Pts',(0.0621568540008316,2.15624898256989, -0.961403194204646)); #125532=CARTESIAN_POINT('Ctrl Pts',(0.0734080240801934,2.15995664225999, -0.983270590472949)); #125533=CARTESIAN_POINT('Ctrl Pts',(0.0734134904756758,2.16002010702634, -0.996176935032705)); #125534=CARTESIAN_POINT('Ctrl Pts',(0.0323290877998773,2.14583380245873, -0.95683594)); #125535=CARTESIAN_POINT('Ctrl Pts',(0.0410890389758755,2.14706088065574, -0.95676667318804)); #125536=CARTESIAN_POINT('Ctrl Pts',(0.0615390464877184,2.15165533378598, -0.963547496482075)); #125537=CARTESIAN_POINT('Ctrl Pts',(0.0719970222987427,2.15570168841208, -0.984106915303454)); #125538=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000002,2.15576814074547, -0.996196309864606)); #125539=CARTESIAN_POINT('Ctrl Pts',(0.0326299210000004,2.14322582979911, -0.95683594)); #125540=CARTESIAN_POINT('Ctrl Pts',(0.0414948663868878,2.14450814954461, -0.95683594)); #125541=CARTESIAN_POINT('Ctrl Pts',(0.0619524042683696,2.14935349018045, -0.963979544583892)); #125542=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.15356940403528, -0.984307837597859)); #125543=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000002,2.15363739109405, -0.996206019000001)); #125544=CARTESIAN_POINT('',(0.079118127197494,2.16321303234168,-0.996162385907154)); #125545=CARTESIAN_POINT('',(0.0720000000000004,2.15363739109405,-0.996206019000001)); #125546=CARTESIAN_POINT('Origin',(0.0820000000000004,2.15363739109405,-0.996206019000001)); #125547=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000002,2.15363739109405, -0.996206019000001)); #125548=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.15356940403528, -0.984307837597859)); #125549=CARTESIAN_POINT('Ctrl Pts',(0.0619524042683696,2.14935349018045, -0.963979544583892)); #125550=CARTESIAN_POINT('Ctrl Pts',(0.0414948663868878,2.14450814954461, -0.95683594)); #125551=CARTESIAN_POINT('Ctrl Pts',(0.0326299210000004,2.14322582979911, -0.95683594)); #125552=CARTESIAN_POINT('Ctrl Pts',(0.0314840168254407,2.15315986220171, -0.946792306907153)); #125553=CARTESIAN_POINT('Ctrl Pts',(0.042210261857956,2.15439714918266, -0.946792306907153)); #125554=CARTESIAN_POINT('Ctrl Pts',(0.0669629261992602,2.15907370465824, -0.955750387043378)); #125555=CARTESIAN_POINT('Ctrl Pts',(0.079118786581112,2.16314524373017, -0.981242066448814)); #125556=CARTESIAN_POINT('Ctrl Pts',(0.079118127197494,2.16321303234168, -0.996162385907154)); #125557=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.15401654447269, -1.07940953312881)); #125558=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.1538901526903, -1.05167502845258)); #125559=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.15376376824094, -1.02394052374293)); #125560=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.15363739109405, -0.996206019000001)); #125561=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.15645095453099, -1.07939843902672)); #125562=CARTESIAN_POINT('Ctrl Pts',(0.0719999943687008,2.15632480952956, -1.05166393365578)); #125563=CARTESIAN_POINT('Ctrl Pts',(0.0720000056211234,2.15619866457381, -1.02392942828144)); #125564=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.15607253355281, -0.996194922845263)); #125565=CARTESIAN_POINT('Ctrl Pts',(0.0736284389361162,2.1606906582613, -1.07937911783394)); #125566=CARTESIAN_POINT('Ctrl Pts',(0.073628781438332,2.16056492773754, -1.05164461132042)); #125567=CARTESIAN_POINT('Ctrl Pts',(0.0736291192523578,2.16043920583528, -1.02391010476627)); #125568=CARTESIAN_POINT('Ctrl Pts',(0.0736294609611718,2.16031348817114, -0.996175598189938)); #125569=CARTESIAN_POINT('Ctrl Pts',(0.0773662837749555,2.1630642301588, -1.07936830098373)); #125570=CARTESIAN_POINT('Ctrl Pts',(0.0773672702588459,2.16293838167054, -1.05163379542704)); #125571=CARTESIAN_POINT('Ctrl Pts',(0.0773682530495038,2.16281253514034, -1.0238992898566)); #125572=CARTESIAN_POINT('Ctrl Pts',(0.0773692370926281,2.16268669953002, -0.996164784235145)); #125573=CARTESIAN_POINT('Ctrl Pts',(0.0791144454314886,2.1635910768887, -1.07936590003596)); #125574=CARTESIAN_POINT('Ctrl Pts',(0.0791156742547149,2.16346505544675, -1.05163139535973)); #125575=CARTESIAN_POINT('Ctrl Pts',(0.0791169015080495,2.16333904060664, -1.02389689065008)); #125576=CARTESIAN_POINT('Ctrl Pts',(0.0791181271974941,2.16321303234168, -0.996162385907154)); #125577=CARTESIAN_POINT('',(0.0791144454314885,2.1635910768887,-1.07936590003596)); #125578=CARTESIAN_POINT('Ctrl Pts',(0.0791181271974941,2.16321303234168, -0.996162385907154)); #125579=CARTESIAN_POINT('Ctrl Pts',(0.0791169015080495,2.16333904060664, -1.02389689065008)); #125580=CARTESIAN_POINT('Ctrl Pts',(0.0791156742547149,2.16346505544675, -1.05163139535973)); #125581=CARTESIAN_POINT('Ctrl Pts',(0.0791144454314886,2.1635910768887, -1.07936590003596)); #125582=CARTESIAN_POINT('',(0.0720000000000004,2.15401654447269,-1.07940953312881)); #125583=CARTESIAN_POINT('Origin',(0.0820000000000004,2.15401654447269,-1.07940953312881)); #125584=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.15401654447269, -1.07940953312881)); #125585=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.1538901526903, -1.05167502845258)); #125586=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.15376376824094, -1.02394052374293)); #125587=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000004,2.15363739109405, -0.996206019000001)); #125588=CARTESIAN_POINT('Ctrl Pts',(0.0791144454314886,2.1635910768887, -1.07936590003596)); #125589=CARTESIAN_POINT('Ctrl Pts',(0.0791142112629181,2.16361509194662, -1.08465107790464)); #125590=CARTESIAN_POINT('Ctrl Pts',(0.0812541923144932,2.16426736042457, -1.08986844951791)); #125591=CARTESIAN_POINT('Ctrl Pts',(0.0847655018785313,2.16542586045414, -1.09350803565969)); #125592=CARTESIAN_POINT('Ctrl Pts',(0.0767835632227779,2.16288861458217, -1.07936910129966)); #125593=CARTESIAN_POINT('Ctrl Pts',(0.0767811588917882,2.16291503511815, -1.08532744489713)); #125594=CARTESIAN_POINT('Ctrl Pts',(0.0791584133226652,2.16361457924671, -1.09120842773132)); #125595=CARTESIAN_POINT('Ctrl Pts',(0.0830746062461682,2.16488459555903, -1.09537742219871)); #125596=CARTESIAN_POINT('Ctrl Pts',(0.0731940595401377,2.16010606840376, -1.0793817819289)); #125597=CARTESIAN_POINT('Ctrl Pts',(0.0731889989847316,2.16013356273751, -1.08650860251562)); #125598=CARTESIAN_POINT('Ctrl Pts',(0.0760095056099466,2.16086485805315, -1.09355525723408)); #125599=CARTESIAN_POINT('Ctrl Pts',(0.0807011508096753,2.16219730689335, -1.0986370548004)); #125600=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.15584235201642, -1.07940121255224)); #125601=CARTESIAN_POINT('Ctrl Pts',(0.0719977902475996,2.15586745334152, -1.08718448980692)); #125602=CARTESIAN_POINT('Ctrl Pts',(0.0751946369415151,2.15655962092257, -1.09486998378219)); #125603=CARTESIAN_POINT('Ctrl Pts',(0.0804763095211682,2.15779918144758, -1.10031224952117)); #125604=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.15401654447269, -1.07940953312881)); #125605=CARTESIAN_POINT('Ctrl Pts',(0.072000000000001,2.15404063010388, -1.08733729993183)); #125606=CARTESIAN_POINT('Ctrl Pts',(0.0753274173517309,2.15471589532,-1.09516335735173)); #125607=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644047,2.15591610807378, -1.1006227365644)); #125608=CARTESIAN_POINT('',(0.0847655018785313,2.16542586045414,-1.09350803565969)); #125609=CARTESIAN_POINT('',(0.0807867965644044,2.15591610807378,-1.1006227365644)); #125610=CARTESIAN_POINT('Origin',(0.08785786437627,2.15591610807378,-1.09355166875254)); #125611=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644047,2.15591610807378, -1.1006227365644)); #125612=CARTESIAN_POINT('Ctrl Pts',(0.0753274173517309,2.15471589532,-1.09516335735173)); #125613=CARTESIAN_POINT('Ctrl Pts',(0.072000000000001,2.15404063010388, -1.08733729993183)); #125614=CARTESIAN_POINT('Ctrl Pts',(0.0720000000000006,2.15401654447269, -1.07940953312881)); #125615=CARTESIAN_POINT('Ctrl Pts',(0.0791144454314886,2.1635910768887, -1.07936590003596)); #125616=CARTESIAN_POINT('Ctrl Pts',(0.0791142112629181,2.16361509194662, -1.08465107790464)); #125617=CARTESIAN_POINT('Ctrl Pts',(0.0812541923144932,2.16426736042457, -1.08986844951791)); #125618=CARTESIAN_POINT('Ctrl Pts',(0.0847655018785313,2.16542586045414, -1.09350803565969)); #125619=CARTESIAN_POINT('Ctrl Pts',(0.0847655018785314,2.16542586045414, -1.09350803565969)); #125620=CARTESIAN_POINT('Ctrl Pts',(0.092087850220559,2.1678417513393,-1.10109788698579)); #125621=CARTESIAN_POINT('Ctrl Pts',(0.0993082914759254,2.17056632885308, -1.10858220547835)); #125622=CARTESIAN_POINT('Ctrl Pts',(0.106401221625544,2.17358991840178, -1.11593444253088)); #125623=CARTESIAN_POINT('Ctrl Pts',(0.0830746062461684,2.16488459555903, -1.09537742219871)); #125624=CARTESIAN_POINT('Ctrl Pts',(0.0904447663029086,2.1672622547316, -1.10294843643144)); #125625=CARTESIAN_POINT('Ctrl Pts',(0.0977142547883134,2.16995539940034, -1.11041568855782)); #125626=CARTESIAN_POINT('Ctrl Pts',(0.104858121967231,2.17294829704122, -1.11775094286016)); #125627=CARTESIAN_POINT('Ctrl Pts',(0.0807011596910744,2.16219729965169, -1.09863704830706)); #125628=CARTESIAN_POINT('Ctrl Pts',(0.08818501206384,2.16457979619305,-1.10617708868999)); #125629=CARTESIAN_POINT('Ctrl Pts',(0.0955677425585325,2.16727893714309, -1.11361379406235)); #125630=CARTESIAN_POINT('Ctrl Pts',(0.102822274464643,2.17028663254683, -1.12092094970249)); #125631=CARTESIAN_POINT('Ctrl Pts',(0.0804763095211684,2.15779918144758, -1.10031224952117)); #125632=CARTESIAN_POINT('Ctrl Pts',(0.0880429945807757,2.16026609788997, -1.10787854876943)); #125633=CARTESIAN_POINT('Ctrl Pts',(0.095504578730791,2.16305178483318, -1.11534083725959)); #125634=CARTESIAN_POINT('Ctrl Pts',(0.102835561155272,2.16614722708969, -1.12267150115527)); #125635=CARTESIAN_POINT('Ctrl Pts',(0.0807867965644049,2.15591610807378, -1.1006227365644)); #125636=CARTESIAN_POINT('Ctrl Pts',(0.0883766478905008,2.158418985051,-1.1082125878905)); #125637=CARTESIAN_POINT('Ctrl Pts',(0.0958609663830626,2.1612418576177, -1.11569690638306)); #125638=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.16437471239285, -1.1230491434356)); #125639=CARTESIAN_POINT('',(0.106401221625544,2.17358991840178,-1.11593444253088)); #125640=CARTESIAN_POINT('Origin',(0.110284271247462,2.16437471239285,-1.11597807562373)); #125641=CARTESIAN_POINT('Origin',(-0.00831243458909106,2.4261,-1.01152350541091)); #125642=CARTESIAN_POINT('Ctrl Pts',(0.0847655018785314,2.16542586045414, -1.09350803565969)); #125643=CARTESIAN_POINT('Ctrl Pts',(0.092087850220559,2.1678417513393,-1.10109788698579)); #125644=CARTESIAN_POINT('Ctrl Pts',(0.0993082914759254,2.17056632885308, -1.10858220547835)); #125645=CARTESIAN_POINT('Ctrl Pts',(0.106401221625544,2.17358991840178, -1.11593444253088)); #125646=CARTESIAN_POINT('Ctrl Pts',(0.106401221625544,2.17358991840178, -1.11593444253088)); #125647=CARTESIAN_POINT('Ctrl Pts',(0.110102163055652,2.17516756368975, -1.11977068483663)); #125648=CARTESIAN_POINT('Ctrl Pts',(0.115131013924474,2.17741689142739, -1.12179230690715)); #125649=CARTESIAN_POINT('Ctrl Pts',(0.120045025986152,2.1798120664452,-1.12179230690715)); #125650=CARTESIAN_POINT('Ctrl Pts',(0.10505100942452,2.17302849971129,-1.117523880319)); #125651=CARTESIAN_POINT('Ctrl Pts',(0.109087267873393,2.17472863464312, -1.12184366328577)); #125652=CARTESIAN_POINT('Ctrl Pts',(0.114588496962897,2.17715747790626, -1.1242299343596)); #125653=CARTESIAN_POINT('Ctrl Pts',(0.120040007133224,2.17982236327263, -1.12441754799972)); #125654=CARTESIAN_POINT('Ctrl Pts',(0.102921501266142,2.17056867941798, -1.12070154017554)); #125655=CARTESIAN_POINT('Ctrl Pts',(0.10771243711791,2.17236975342041,-1.12598288343853)); #125656=CARTESIAN_POINT('Ctrl Pts',(0.114278730735088,2.17496876530302, -1.12910158551387)); #125657=CARTESIAN_POINT('Ctrl Pts',(0.120987988619195,2.177877456357,-1.12964949344248)); #125658=CARTESIAN_POINT('Ctrl Pts',(0.102772620775218,2.16644264620583, -1.12260856077522)); #125659=CARTESIAN_POINT('Ctrl Pts',(0.108260815699175,2.16813405107309, -1.12833884451767)); #125660=CARTESIAN_POINT('Ctrl Pts',(0.115757758031709,2.17055353284148, -1.13153640143743)); #125661=CARTESIAN_POINT('Ctrl Pts',(0.123276166858226,2.17318296205816, -1.13183594)); #125662=CARTESIAN_POINT('Ctrl Pts',(0.103213203435597,2.16437471239285, -1.1230491434356)); #125663=CARTESIAN_POINT('Ctrl Pts',(0.108967566894224,2.16600936997263, -1.12880350689422)); #125664=CARTESIAN_POINT('Ctrl Pts',(0.116786362740251,2.16834050188619, -1.13183594)); #125665=CARTESIAN_POINT('Ctrl Pts',(0.124426406871194,2.17082309555342, -1.13183594)); #125666=CARTESIAN_POINT('',(0.120045025986152,2.1798120664452,-1.12179230690715)); #125667=CARTESIAN_POINT('Origin',(0.124426406871193,2.17082309555342,-1.12183594)); #125668=CARTESIAN_POINT('Ctrl Pts',(0.106401221625544,2.17358991840178, -1.11593444253088)); #125669=CARTESIAN_POINT('Ctrl Pts',(0.110102163055652,2.17516756368975, -1.11977068483663)); #125670=CARTESIAN_POINT('Ctrl Pts',(0.115131013924474,2.17741689142739, -1.12179230690715)); #125671=CARTESIAN_POINT('Ctrl Pts',(0.120045025986152,2.1798120664452,-1.12179230690715)); #125672=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12183594)); #125673=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.12179230690715)); #125674=CARTESIAN_POINT('Origin',(-0.133465258990016,2.5181,-1.11183594)); #125675=CARTESIAN_POINT('',(-0.066732629495008,2.5293132034356,-1.1230491434356)); #125676=CARTESIAN_POINT('',(-0.200197888485025,2.5068867965644,-1.1006227365644)); #125677=CARTESIAN_POINT('Origin',(-0.200197888485025,2.5281,-1.07940953312881)); #125678=CARTESIAN_POINT('',(-0.200197888485025,2.4981,-1.07940953312881)); #125679=CARTESIAN_POINT('Origin',(-0.266930517980033,2.4981,-1.13183594)); #125680=CARTESIAN_POINT('',(-0.290890596989888,2.4981,-0.996206019)); #125681=CARTESIAN_POINT('Origin',(-0.290890596989888,2.458729921,-0.996206019)); #125682=CARTESIAN_POINT('',(-0.290890596989888,2.458729921,-0.95683594)); #125683=CARTESIAN_POINT('Origin',(-0.314850675999743,2.4261,-0.95683594)); #125684=CARTESIAN_POINT('',(-0.335816769780229,2.393470079,-0.95683594)); #125685=CARTESIAN_POINT('Origin',(-0.335816769780229,2.393470079,-0.996206019)); #125686=CARTESIAN_POINT('',(-0.335816769780229,2.3541,-0.996206019)); #125687=CARTESIAN_POINT('Origin',(-0.356782863560715,2.3541,-1.13183594)); #125688=CARTESIAN_POINT('',(-0.267587147670536,2.3541,-1.07940953312881)); #125689=CARTESIAN_POINT('Origin',(-0.267587147670536,2.3241,-1.07940953312881)); #125690=CARTESIAN_POINT('',(-0.267587147670536,2.3453132034356,-1.1006227365644)); #125691=CARTESIAN_POINT('Origin',(-0.178391431780357,2.3341,-1.11183594)); #125692=CARTESIAN_POINT('',(-0.0891957158901784,2.3228867965644,-1.1230491434356)); #125693=CARTESIAN_POINT('Origin',(-0.0891957158901784,2.30167359312881, -1.10183594)); #125694=CARTESIAN_POINT('',(-0.0891957158901784,2.30167359312881,-1.13183594)); #125695=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #125696=CARTESIAN_POINT('',(-0.124426406871192,2.35936737050499,-1.13183594)); #125697=CARTESIAN_POINT('Origin',(-0.124426406871192,2.35936737050499,-1.10183594)); #125698=CARTESIAN_POINT('',(-0.103213203435596,2.35936737050499,-1.1230491434356)); #125699=CARTESIAN_POINT('Origin',(-0.0919999999999995,2.29263474100998, -1.11183594)); #125700=CARTESIAN_POINT('',(-0.0807867965644032,2.22590211151497,-1.1006227365644)); #125701=CARTESIAN_POINT('Origin',(-0.102,2.22590211151497,-1.07940953312881)); #125702=CARTESIAN_POINT('',(-0.0719999999999995,2.22590211151497,-1.07940953312881)); #125703=CARTESIAN_POINT('Origin',(-0.0719999999999995,2.15916948201997, -1.13183594)); #125704=CARTESIAN_POINT('',(-0.0719999999999996,2.13520940301011,-0.996206019)); #125705=CARTESIAN_POINT('Origin',(-0.0326299209999995,2.13520940301011, -0.996206019)); #125706=CARTESIAN_POINT('',(-0.0326299209999995,2.13520940301011,-0.95683594)); #125707=CARTESIAN_POINT('Origin',(4.29213374323859E-16,2.11124932400026, -0.95683594)); #125708=CARTESIAN_POINT('',(0.0326299210000004,2.09028323021977,-0.95683594)); #125709=CARTESIAN_POINT('Origin',(0.0326299210000004,2.09028323021977,-0.996206019)); #125710=CARTESIAN_POINT('',(0.0720000000000004,2.09028323021977,-0.996206019)); #125711=CARTESIAN_POINT('Origin',(0.0720000000000004,2.06931713643928,-1.13183594)); #125712=CARTESIAN_POINT('',(0.0720000000000004,2.15851285232946,-1.07940953312881)); #125713=CARTESIAN_POINT('Origin',(0.102,2.15851285232946,-1.07940953312881)); #125714=CARTESIAN_POINT('',(0.0807867965644041,2.15851285232946,-1.1006227365644)); #125715=CARTESIAN_POINT('Origin',(0.0920000000000004,2.24770856821964,-1.11183594)); #125716=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.5525)); #125717=CARTESIAN_POINT('',(-0.276340105634853,2.4261,-0.582369100721458)); #125718=CARTESIAN_POINT('',(-0.276470435,2.4261,-0.5525)); #125719=CARTESIAN_POINT('',(0.276340105634854,2.4261,-0.582369100721458)); #125720=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.582369100721458)); #125721=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.582369100721458)); #125722=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.308375)); #125723=CARTESIAN_POINT('',(-0.634913489320407,2.4261,-1.30854952406437)); #125724=CARTESIAN_POINT('Origin',(4.37095678986282E-16,2.4261,-1.30854952406437)); #125725=CARTESIAN_POINT('',(-0.624915012368843,2.4261,-1.318375)); #125726=CARTESIAN_POINT('Origin',(-0.624915012368843,2.4261,-1.308375)); #125727=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.318375)); #125728=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.318375)); #125729=CARTESIAN_POINT('',(-0.557399387310276,2.35309633789405,-1.318375)); #125730=CARTESIAN_POINT('',(-0.577308812294566,2.37120234213152,-1.318375)); #125731=CARTESIAN_POINT('Ctrl Pts',(-0.557399387310276,2.35309633789405, -1.318375)); #125732=CARTESIAN_POINT('Ctrl Pts',(-0.562318980585328,2.35309633789405, -1.318375)); #125733=CARTESIAN_POINT('Ctrl Pts',(-0.572122425219136,2.35690568857421, -1.318375)); #125734=CARTESIAN_POINT('Ctrl Pts',(-0.576843097443793,2.36630484198658, -1.318375)); #125735=CARTESIAN_POINT('Ctrl Pts',(-0.577308812294566,2.37120234213152, -1.318375)); #125736=CARTESIAN_POINT('',(-0.486971393711157,2.35309633789405,-1.318375)); #125737=CARTESIAN_POINT('',(-0.283248822813395,2.35309633789405,-1.318375)); #125738=CARTESIAN_POINT('',(0.486971393711158,2.35309633789405,-1.318375)); #125739=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.318375)); #125740=CARTESIAN_POINT('',(0.557399387310277,2.35309633789405,-1.318375)); #125741=CARTESIAN_POINT('',(0.239178715869901,2.35309633789405,-1.318375)); #125742=CARTESIAN_POINT('',(0.577308812294567,2.37120234213152,-1.318375)); #125743=CARTESIAN_POINT('Ctrl Pts',(0.577308812294567,2.37120234213152, -1.318375)); #125744=CARTESIAN_POINT('Ctrl Pts',(0.576843097443806,2.36630484198671, -1.318375)); #125745=CARTESIAN_POINT('Ctrl Pts',(0.572122807785808,2.35690603648962, -1.318375)); #125746=CARTESIAN_POINT('Ctrl Pts',(0.5623189805852,2.35309633789405,-1.318375)); #125747=CARTESIAN_POINT('Ctrl Pts',(0.557399387310277,2.35309633789405, -1.318375)); #125748=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.318375)); #125749=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.318375)); #125750=CARTESIAN_POINT('',(-0.645937724768647,2.42618981864136,-0.678122906569633)); #125751=CARTESIAN_POINT('Ctrl Pts',(-0.64593148557562,2.42610009932952, -0.678130257362275)); #125752=CARTESIAN_POINT('Ctrl Pts',(-0.64588315432177,2.4009302059942,-0.680369112171903)); #125753=CARTESIAN_POINT('Ctrl Pts',(-0.639988041097784,2.30325890010922, -0.689457777811599)); #125754=CARTESIAN_POINT('Ctrl Pts',(-0.611941903461818,2.20822941799097, -0.699890596436375)); #125755=CARTESIAN_POINT('Ctrl Pts',(-0.58016038628224,2.14333293540164, -0.707683342735056)); #125756=CARTESIAN_POINT('',(-0.634741985,2.4261,-1.318375)); #125757=CARTESIAN_POINT('',(-0.281146534415067,3.00826295966825,-0.644987603439675)); #125758=CARTESIAN_POINT('Ctrl Pts',(-0.281146534415055,3.00826295966825, -0.644987603439676)); #125759=CARTESIAN_POINT('Ctrl Pts',(-0.324600874163705,2.98726720162347, -0.645511822517124)); #125760=CARTESIAN_POINT('Ctrl Pts',(-0.407716365393088,2.93474028211563, -0.646982159578697)); #125761=CARTESIAN_POINT('Ctrl Pts',(-0.545325359667185,2.79658650813656, -0.65216434960673)); #125762=CARTESIAN_POINT('Ctrl Pts',(-0.630441663824749,2.61764668457183, -0.662205861030285)); #125763=CARTESIAN_POINT('Ctrl Pts',(-0.646011839243652,2.47355737299397, -0.67390970306417)); #125764=CARTESIAN_POINT('Ctrl Pts',(-0.645931751032889,2.42624704381368, -0.678117187865652)); #125765=CARTESIAN_POINT('',(0.28114653441506,3.00826295966825,-0.644987603439676)); #125766=CARTESIAN_POINT('Ctrl Pts',(0.281146534415058,3.00826295966825, -0.644987603439675)); #125767=CARTESIAN_POINT('Ctrl Pts',(0.256096117205204,3.02036652634769, -0.64468540332168)); #125768=CARTESIAN_POINT('Ctrl Pts',(0.204575596968131,3.04135147544244, -0.639709007740149)); #125769=CARTESIAN_POINT('Ctrl Pts',(0.124319884682682,3.06263995528344, -0.626634302797282)); #125770=CARTESIAN_POINT('Ctrl Pts',(0.0418815468754287,3.07358100146385, -0.614290967472463)); #125771=CARTESIAN_POINT('Ctrl Pts',(-0.0420076957189809,3.07355188794258, -0.614318916516791)); #125772=CARTESIAN_POINT('Ctrl Pts',(-0.124121554750074,3.06268385485458, -0.62654427617963)); #125773=CARTESIAN_POINT('Ctrl Pts',(-0.204285979971589,3.04144675164572, -0.639649610903987)); #125774=CARTESIAN_POINT('Ctrl Pts',(-0.256096117205213,3.02036652634769, -0.64468540332168)); #125775=CARTESIAN_POINT('Ctrl Pts',(-0.281146534415067,3.00826295966825, -0.644987603439675)); #125776=CARTESIAN_POINT('Ctrl Pts',(0.58016038628224,2.14333293540163,-0.707683342735056)); #125777=CARTESIAN_POINT('Ctrl Pts',(0.62253574252167,2.22986157885407,-0.697293014336816)); #125778=CARTESIAN_POINT('Ctrl Pts',(0.65653049499878,2.37455078733227,-0.681938880640356)); #125779=CARTESIAN_POINT('Ctrl Pts',(0.630824126575173,2.61670405590138, -0.662282121997699)); #125780=CARTESIAN_POINT('Ctrl Pts',(0.550584541268325,2.78531953180546, -0.652796318616678)); #125781=CARTESIAN_POINT('Ctrl Pts',(0.417491312028442,2.92689576170533, -0.647210291368797)); #125782=CARTESIAN_POINT('Ctrl Pts',(0.335464459100859,2.98201826211228, -0.645642877286486)); #125783=CARTESIAN_POINT('Ctrl Pts',(0.281146534415059,3.00826295966825, -0.644987603439676)); #125784=CARTESIAN_POINT('Ctrl Pts',(0.0443298097483679,1.78310406218616, -0.758065000846166)); #125785=CARTESIAN_POINT('Ctrl Pts',(0.128064384419798,1.78886086532196, -0.757146194586223)); #125786=CARTESIAN_POINT('Ctrl Pts',(0.319875058192269,1.84027575325419, -0.748833802243607)); #125787=CARTESIAN_POINT('Ctrl Pts',(0.472512317696901,1.97314135695512, -0.729245572587944)); #125788=CARTESIAN_POINT('Ctrl Pts',(0.534910204348595,2.06527365717756, -0.717391674424461)); #125789=CARTESIAN_POINT('Ctrl Pts',(-0.534910204348595,2.06527365717756, -0.71739167442446)); #125790=CARTESIAN_POINT('Ctrl Pts',(-0.488205046719176,1.99631212922278, -0.726264381689996)); #125791=CARTESIAN_POINT('Ctrl Pts',(-0.347963864693755,1.85657396035166, -0.746143935680099)); #125792=CARTESIAN_POINT('Ctrl Pts',(-0.156247923297523,1.79079850083935, -0.756836941064634)); #125793=CARTESIAN_POINT('Ctrl Pts',(-0.0443298098118732,1.78310406219053, -0.758065000845469)); #125794=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.929505789999999)); #125795=CARTESIAN_POINT('',(0.564342673495888,2.37551062511683,-0.929418524645016)); #125796=CARTESIAN_POINT('',(0.554382991542394,2.37640344096742,-0.919505789999999)); #125797=CARTESIAN_POINT('Origin',(0.554382991542394,2.37640344096742,-0.929505789999999)); #125798=CARTESIAN_POINT('',(0.552312637272743,2.4951,-0.919505789999999)); #125799=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.919505789999999)); #125800=CARTESIAN_POINT('',(0.562235124596583,2.4963396088359,-0.929418524645016)); #125801=CARTESIAN_POINT('Origin',(0.552312637272743,2.4951,-0.929505789999999)); #125802=CARTESIAN_POINT('Origin',(3.93386111087654E-16,2.4261,-0.929418524645016)); #125803=CARTESIAN_POINT('Ctrl Pts',(0.0699722560303619,2.98998847414648, -1.11363679606495)); #125804=CARTESIAN_POINT('Ctrl Pts',(0.0694279556977166,2.98951602284834, -1.05223070089513)); #125805=CARTESIAN_POINT('Ctrl Pts',(0.0688836311645807,2.98904295665133, -0.990824610405696)); #125806=CARTESIAN_POINT('Ctrl Pts',(0.068339282220731,2.98856927070295, -0.929418524645016)); #125807=CARTESIAN_POINT('Ctrl Pts',(0.0727078771823533,2.98964882723542, -1.11361554164172)); #125808=CARTESIAN_POINT('Ctrl Pts',(0.0721654808778334,2.98917855631521, -1.05220941513634)); #125809=CARTESIAN_POINT('Ctrl Pts',(0.0716230244634702,2.98870750267189, -0.990803295324693)); #125810=CARTESIAN_POINT('Ctrl Pts',(0.0710805849436688,2.98823601834046, -0.929397177946443)); #125811=CARTESIAN_POINT('Ctrl Pts',(0.0771969767346642,2.98696207545042, -1.11359708311814)); #125812=CARTESIAN_POINT('Ctrl Pts',(0.0766592155563202,2.98649261537698, -1.05219092779947)); #125813=CARTESIAN_POINT('Ctrl Pts',(0.0761214598356529,2.98602260340102, -0.990784776324738)); #125814=CARTESIAN_POINT('Ctrl Pts',(0.0755836846315691,2.98555194657928, -0.929378629855737)); #125815=CARTESIAN_POINT('Ctrl Pts',(0.0787403395125187,2.98213243330905, -1.11362085524753)); #125816=CARTESIAN_POINT('Ctrl Pts',(0.0782044721024695,2.9816601407686, -1.05221473705944)); #125817=CARTESIAN_POINT('Ctrl Pts',(0.0776685575402076,2.98118726939112, -0.990808623605537)); #125818=CARTESIAN_POINT('Ctrl Pts',(0.0771326904092389,2.98071376462254, -0.929402514621086)); #125819=CARTESIAN_POINT('Ctrl Pts',(0.0787404786259248,2.98006496432964, -1.11363679606495)); #125820=CARTESIAN_POINT('Ctrl Pts',(0.0782045957518311,2.97959146851899, -1.05223070089513)); #125821=CARTESIAN_POINT('Ctrl Pts',(0.0776687129185825,2.979117365676,-0.990824610405696)); #125822=CARTESIAN_POINT('Ctrl Pts',(0.0771328301266011,2.97864265110123, -0.929418524645016)); #125823=CARTESIAN_POINT('',(0.0771328301266008,2.97864265110123,-0.929418524645016)); #125824=CARTESIAN_POINT('',(0.068339282220731,2.98856927070295,-0.929418524645016)); #125825=CARTESIAN_POINT('Origin',(0.0671332108959591,2.97864265110123,-0.929505789999999)); #125826=CARTESIAN_POINT('',(0.0699722560303619,2.98998847414648,-1.11363679606495)); #125827=CARTESIAN_POINT('Ctrl Pts',(0.068339282220731,2.98856927070295, -0.929418524645016)); #125828=CARTESIAN_POINT('Ctrl Pts',(0.0688836311645807,2.98904295665133, -0.990824610405696)); #125829=CARTESIAN_POINT('Ctrl Pts',(0.0694279556977166,2.98951602284834, -1.05223070089513)); #125830=CARTESIAN_POINT('Ctrl Pts',(0.0699722560303619,2.98998847414648, -1.11363679606495)); #125831=CARTESIAN_POINT('',(0.0787404786259247,2.98006496432964,-1.11363679606495)); #125832=CARTESIAN_POINT('Origin',(0.068740859395283,2.98006496432964,-1.11372406141993)); #125833=CARTESIAN_POINT('Ctrl Pts',(0.0787404786259248,2.98006496432964, -1.11363679606495)); #125834=CARTESIAN_POINT('Ctrl Pts',(0.0782045957518311,2.97959146851899, -1.05223070089513)); #125835=CARTESIAN_POINT('Ctrl Pts',(0.0776687129185825,2.979117365676,-0.990824610405696)); #125836=CARTESIAN_POINT('Ctrl Pts',(0.0771328301266011,2.97864265110123, -0.929418524645016)); #125837=CARTESIAN_POINT('Ctrl Pts',(0.0787404786259247,2.98006496432964, -1.11363679606495)); #125838=CARTESIAN_POINT('Ctrl Pts',(0.0787992830912895,2.98013424232388, -1.12037512037267)); #125839=CARTESIAN_POINT('Ctrl Pts',(0.0844719101425857,2.97946657479515, -1.13607604344238)); #125840=CARTESIAN_POINT('Ctrl Pts',(0.099926203830864,2.97630512248896, -1.143375)); #125841=CARTESIAN_POINT('Ctrl Pts',(0.10873933631785,2.97397286809609,-1.143375)); #125842=CARTESIAN_POINT('Ctrl Pts',(0.0787403163269509,2.98247701147228, -1.11361819844462)); #125843=CARTESIAN_POINT('Ctrl Pts',(0.0787954189443536,2.98254369650625, -1.12042056943665)); #125844=CARTESIAN_POINT('Ctrl Pts',(0.0845706775377581,2.98187380218697, -1.13629998743848)); #125845=CARTESIAN_POINT('Ctrl Pts',(0.100359029433603,2.97877662055279, -1.14352718950339)); #125846=CARTESIAN_POINT('Ctrl Pts',(0.109246170903874,2.97652650625223, -1.143375)); #125847=CARTESIAN_POINT('Ctrl Pts',(0.0769095535743643,2.98728266554617, -1.11359711938623)); #125848=CARTESIAN_POINT('Ctrl Pts',(0.0769661332453851,2.9873443493432, -1.12094847895244)); #125849=CARTESIAN_POINT('Ctrl Pts',(0.0832709081618607,2.98667150835412, -1.13811580128464)); #125850=CARTESIAN_POINT('Ctrl Pts',(0.100571842549038,2.98370058561599, -1.14580260519618)); #125851=CARTESIAN_POINT('Ctrl Pts',(0.110254519110329,2.98160697338231, -1.14552376600114)); #125852=CARTESIAN_POINT('Ctrl Pts',(0.0723659245383544,2.9896912830993, -1.11361819844462)); #125853=CARTESIAN_POINT('Ctrl Pts',(0.0724376592986621,2.98975620211158, -1.12205612193755)); #125854=CARTESIAN_POINT('Ctrl Pts',(0.0796786451399699,2.98907482982263, -1.14173198545043)); #125855=CARTESIAN_POINT('Ctrl Pts',(0.0994570565069851,2.9859844197046, -1.15078055144944)); #125856=CARTESIAN_POINT('Ctrl Pts',(0.110681620242879,2.98375888206946, -1.15068438430884)); #125857=CARTESIAN_POINT('Ctrl Pts',(0.069972256030362,2.98998847414648, -1.11363679606495)); #125858=CARTESIAN_POINT('Ctrl Pts',(0.0700518935571585,2.99005759931628, -1.12262122847525)); #125859=CARTESIAN_POINT('Ctrl Pts',(0.0777504734600551,2.98937472314951, -1.14355579256819)); #125860=CARTESIAN_POINT('Ctrl Pts',(0.0987248302707908,2.98615517407922, -1.15328773464502)); #125861=CARTESIAN_POINT('Ctrl Pts',(0.110686043152885,2.98378116648348, -1.15328773464502)); #125862=CARTESIAN_POINT('',(0.110686043152885,2.98378116648348,-1.15328773464502)); #125863=CARTESIAN_POINT('',(0.10873933631785,2.97397286809609,-1.143375)); #125864=CARTESIAN_POINT('Origin',(0.10873933631785,2.97397286809609,-1.153375)); #125865=CARTESIAN_POINT('Ctrl Pts',(0.10873933631785,2.97397286809609,-1.143375)); #125866=CARTESIAN_POINT('Ctrl Pts',(0.099926203830864,2.97630512248896, -1.143375)); #125867=CARTESIAN_POINT('Ctrl Pts',(0.0844719101425857,2.97946657479515, -1.13607604344238)); #125868=CARTESIAN_POINT('Ctrl Pts',(0.0787992830912895,2.98013424232388, -1.12037512037267)); #125869=CARTESIAN_POINT('Ctrl Pts',(0.0787404786259247,2.98006496432964, -1.11363679606495)); #125870=CARTESIAN_POINT('Ctrl Pts',(0.069972256030362,2.98998847414648, -1.11363679606495)); #125871=CARTESIAN_POINT('Ctrl Pts',(0.0700518935571585,2.99005759931628, -1.12262122847525)); #125872=CARTESIAN_POINT('Ctrl Pts',(0.0777504734600551,2.98937472314951, -1.14355579256819)); #125873=CARTESIAN_POINT('Ctrl Pts',(0.0987248302707908,2.98615517407922, -1.15328773464502)); #125874=CARTESIAN_POINT('Ctrl Pts',(0.110686043152885,2.98378116648348, -1.15328773464502)); #125875=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.153375)); #125876=CARTESIAN_POINT('',(0.557628439327503,2.53705137336868,-1.15328773464502)); #125877=CARTESIAN_POINT('',(0.547821068286606,2.5351,-1.143375)); #125878=CARTESIAN_POINT('Origin',(0.547821068286606,2.5351,-1.153375)); #125879=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.143375)); #125880=CARTESIAN_POINT('Origin',(4.37095678986282E-16,2.4261,-1.15328773464502)); #125881=CARTESIAN_POINT('Ctrl Pts',(0.547821068286606,2.5351,-1.143375)); #125882=CARTESIAN_POINT('Ctrl Pts',(0.549584265207949,2.52845378609369, -1.143375)); #125883=CARTESIAN_POINT('Ctrl Pts',(0.553047588761386,2.51278031105095, -1.13802319585094)); #125884=CARTESIAN_POINT('Ctrl Pts',(0.554035618460534,2.5051,-1.12240997831989)); #125885=CARTESIAN_POINT('Ctrl Pts',(0.553929676573817,2.5051,-1.113375)); #125886=CARTESIAN_POINT('Ctrl Pts',(0.550374465003586,2.53560804954074, -1.143375)); #125887=CARTESIAN_POINT('Ctrl Pts',(0.552075576869676,2.52890595668707, -1.14349004520856)); #125888=CARTESIAN_POINT('Ctrl Pts',(0.555453796616916,2.51293442563157, -1.13827256875485)); #125889=CARTESIAN_POINT('Ctrl Pts',(0.556443378056469,2.50509349546058, -1.12247487290989)); #125890=CARTESIAN_POINT('Ctrl Pts',(0.556341041412566,2.5051,-1.11335379370612)); #125891=CARTESIAN_POINT('Ctrl Pts',(0.555454451696188,2.53661881488283, -1.14552376609769)); #125892=CARTESIAN_POINT('Ctrl Pts',(0.557037272613469,2.52931666023733, -1.1457345501047)); #125893=CARTESIAN_POINT('Ctrl Pts',(0.56025097927403,2.51182848245296,-1.14024163500382)); #125894=CARTESIAN_POINT('Ctrl Pts',(0.561240743277974,2.50325839468444, -1.12316890177049)); #125895=CARTESIAN_POINT('Ctrl Pts',(0.561145356958369,2.5032705401809,-1.11331154305798)); #125896=CARTESIAN_POINT('Ctrl Pts',(0.557606157020411,2.53704693985633, -1.15068438430884)); #125897=CARTESIAN_POINT('Ctrl Pts',(0.559288696718663,2.5285820958613,-1.15075708031284)); #125898=CARTESIAN_POINT('Ctrl Pts',(0.562650206405301,2.50853983434624, -1.1441723602131)); #125899=CARTESIAN_POINT('Ctrl Pts',(0.563654571077449,2.49872440361195, -1.12460435373183)); #125900=CARTESIAN_POINT('Ctrl Pts',(0.563554552738606,2.49872901147298, -1.11329035583948)); #125901=CARTESIAN_POINT('Ctrl Pts',(0.557628439327503,2.53705137336868, -1.15328773464502)); #125902=CARTESIAN_POINT('Ctrl Pts',(0.559423201895783,2.52803110963037, -1.15328773464502)); #125903=CARTESIAN_POINT('Ctrl Pts',(0.562949623702938,2.5067592299906,-1.1461519957796)); #125904=CARTESIAN_POINT('Ctrl Pts',(0.56395857929374,2.4963358127348,-1.12533437240487)); #125905=CARTESIAN_POINT('Ctrl Pts',(0.563852608409889,2.49633604552282, -1.11328773464502)); #125906=CARTESIAN_POINT('',(0.563852608409889,2.49633604552282,-1.11328773464502)); #125907=CARTESIAN_POINT('',(0.553929676573817,2.5051,-1.113375)); #125908=CARTESIAN_POINT('Origin',(0.553929676573817,2.4951,-1.113375)); #125909=CARTESIAN_POINT('Ctrl Pts',(0.553929676573817,2.5051,-1.113375)); #125910=CARTESIAN_POINT('Ctrl Pts',(0.554035618460534,2.5051,-1.12240997831989)); #125911=CARTESIAN_POINT('Ctrl Pts',(0.553047588761386,2.51278031105095, -1.13802319585094)); #125912=CARTESIAN_POINT('Ctrl Pts',(0.549584265207949,2.52845378609369, -1.143375)); #125913=CARTESIAN_POINT('Ctrl Pts',(0.547821068286606,2.5351,-1.143375)); #125914=CARTESIAN_POINT('Ctrl Pts',(0.557628439327503,2.53705137336868, -1.15328773464502)); #125915=CARTESIAN_POINT('Ctrl Pts',(0.559423201895783,2.52803110963037, -1.15328773464502)); #125916=CARTESIAN_POINT('Ctrl Pts',(0.562949623702938,2.5067592299906,-1.1461519957796)); #125917=CARTESIAN_POINT('Ctrl Pts',(0.56395857929374,2.4963358127348,-1.12533437240487)); #125918=CARTESIAN_POINT('Ctrl Pts',(0.563852608409889,2.49633604552282, -1.11328773464502)); #125919=CARTESIAN_POINT('Ctrl Pts',(0.553929676573817,2.5051,-1.113375)); #125920=CARTESIAN_POINT('Ctrl Pts',(0.553390675528089,2.5051,-1.05208526322732)); #125921=CARTESIAN_POINT('Ctrl Pts',(0.552851662463024,2.5051,-0.99079552656034)); #125922=CARTESIAN_POINT('Ctrl Pts',(0.552312637272743,2.5051,-0.929505789999999)); #125923=CARTESIAN_POINT('Ctrl Pts',(0.556685522103816,2.5051,-1.11335076423557)); #125924=CARTESIAN_POINT('Ctrl Pts',(0.556146290181774,2.50509999255323, -1.05206102913184)); #125925=CARTESIAN_POINT('Ctrl Pts',(0.555607056239574,2.50510000748488, -0.990771294047762)); #125926=CARTESIAN_POINT('Ctrl Pts',(0.555067798833036,2.5051,-0.929481559165154)); #125927=CARTESIAN_POINT('Ctrl Pts',(0.561470000400727,2.50298796552671, -1.11330868804237)); #125928=CARTESIAN_POINT('Ctrl Pts',(0.560930390943871,2.50298833425145, -1.05201895563469)); #125929=CARTESIAN_POINT('Ctrl Pts',(0.560390764630758,2.50298869854248, -0.990729223370171)); #125930=CARTESIAN_POINT('Ctrl Pts',(0.5598511274724,2.50298906930268,-0.92943949119791)); #125931=CARTESIAN_POINT('Ctrl Pts',(0.563597132120217,2.49838715919439, -1.11328998138312)); #125932=CARTESIAN_POINT('Ctrl Pts',(0.56305775780032,2.49838814543428,-1.05200024662837)); #125933=CARTESIAN_POINT('Ctrl Pts',(0.562518376330136,2.49838912974846, -0.990710511933371)); #125934=CARTESIAN_POINT('Ctrl Pts',(0.561978975400497,2.49839012159862, -0.929420777401789)); #125935=CARTESIAN_POINT('Ctrl Pts',(0.563852608409889,2.49633604552282, -1.11328773464502)); #125936=CARTESIAN_POINT('Ctrl Pts',(0.5633134598353,2.49633722987955,-1.05199799787234)); #125937=CARTESIAN_POINT('Ctrl Pts',(0.562774298601976,2.49633841764073, -0.990708261205357)); #125938=CARTESIAN_POINT('Ctrl Pts',(0.562235124596583,2.4963396088359,-0.929418524645016)); #125939=CARTESIAN_POINT('',(0.552312637272743,2.5051,-0.929505789999999)); #125940=CARTESIAN_POINT('Origin',(0.552312637272743,2.4951,-0.929505789999999)); #125941=CARTESIAN_POINT('Ctrl Pts',(0.552312637272743,2.5051,-0.929505789999999)); #125942=CARTESIAN_POINT('Ctrl Pts',(0.552851662463024,2.5051,-0.99079552656034)); #125943=CARTESIAN_POINT('Ctrl Pts',(0.553390675528089,2.5051,-1.05208526322732)); #125944=CARTESIAN_POINT('Ctrl Pts',(0.553929676573817,2.5051,-1.113375)); #125945=CARTESIAN_POINT('Ctrl Pts',(0.563852608409889,2.49633604552282, -1.11328773464502)); #125946=CARTESIAN_POINT('Ctrl Pts',(0.5633134598353,2.49633722987955,-1.05199799787234)); #125947=CARTESIAN_POINT('Ctrl Pts',(0.562774298601976,2.49633841764073, -0.990708261205357)); #125948=CARTESIAN_POINT('Ctrl Pts',(0.562235124596583,2.4963396088359,-0.929418524645016)); #125949=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.929505789999999)); #125950=CARTESIAN_POINT('',(0.0671332108959591,2.97864265110123,-0.919505789999999)); #125951=CARTESIAN_POINT('Origin',(0.0671332108959591,2.97864265110123,-0.929505789999999)); #125952=CARTESIAN_POINT('',(-0.0671332108959581,2.97864265110123,-0.919505789999999)); #125953=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.919505789999999)); #125954=CARTESIAN_POINT('',(-0.06833928222073,2.98856927070295,-0.929418524645016)); #125955=CARTESIAN_POINT('Origin',(-0.0671332108959581,2.97864265110123, -0.929505789999999)); #125956=CARTESIAN_POINT('Origin',(5.07961044231748E-16,2.4261,-0.929418524645016)); #125957=CARTESIAN_POINT('Ctrl Pts',(-0.563852608409888,2.49633604552282, -1.11328773464502)); #125958=CARTESIAN_POINT('Ctrl Pts',(-0.563313459835299,2.49633722987955, -1.05199799787234)); #125959=CARTESIAN_POINT('Ctrl Pts',(-0.562774298601975,2.49633841764073, -0.990708261205356)); #125960=CARTESIAN_POINT('Ctrl Pts',(-0.562235124596582,2.4963396088359, -0.929418524645015)); #125961=CARTESIAN_POINT('Ctrl Pts',(-0.563511973356992,2.49907086375158, -1.11329073029583)); #125962=CARTESIAN_POINT('Ctrl Pts',(-0.562972518179204,2.4990717800466, -1.05200099626117)); #125963=CARTESIAN_POINT('Ctrl Pts',(-0.562433074543195,2.49907270437815, -0.990711262128354)); #125964=CARTESIAN_POINT('Ctrl Pts',(-0.561893592335133,2.49907362585286, -0.929421528320713)); #125965=CARTESIAN_POINT('Ctrl Pts',(-0.560824832647805,2.50355777905663, -1.11331436184865)); #125966=CARTESIAN_POINT('Ctrl Pts',(-0.560285263661191,2.50355804319445, -1.0520246291698)); #125967=CARTESIAN_POINT('Ctrl Pts',(-0.559745674819056,2.50355830630605, -0.990734896659691)); #125968=CARTESIAN_POINT('Ctrl Pts',(-0.559206078381925,2.50355857190502, -0.929445164214768)); #125969=CARTESIAN_POINT('Ctrl Pts',(-0.555996560721315,2.5051,-1.11335682317668)); #125970=CARTESIAN_POINT('Ctrl Pts',(-0.555457390341188,2.50509999779825, -1.05206708762335)); #125971=CARTESIAN_POINT('Ctrl Pts',(-0.55491820395389,2.50510000221233, -0.990777352208418)); #125972=CARTESIAN_POINT('Ctrl Pts',(-0.554379008442962,2.5051,-0.929487616873865)); #125973=CARTESIAN_POINT('Ctrl Pts',(-0.553929676573816,2.5051,-1.113375)); #125974=CARTESIAN_POINT('Ctrl Pts',(-0.553390675528088,2.5051,-1.05208526322732)); #125975=CARTESIAN_POINT('Ctrl Pts',(-0.552851662463023,2.5051,-0.99079552656034)); #125976=CARTESIAN_POINT('Ctrl Pts',(-0.552312637272742,2.5051,-0.929505789999999)); #125977=CARTESIAN_POINT('',(-0.552312637272742,2.5051,-0.929505789999999)); #125978=CARTESIAN_POINT('',(-0.562235124596582,2.4963396088359,-0.929418524645015)); #125979=CARTESIAN_POINT('Origin',(-0.552312637272742,2.4951,-0.929505789999999)); #125980=CARTESIAN_POINT('',(-0.563852608409888,2.49633604552282,-1.11328773464502)); #125981=CARTESIAN_POINT('Ctrl Pts',(-0.562235124596582,2.4963396088359, -0.929418524645015)); #125982=CARTESIAN_POINT('Ctrl Pts',(-0.562774298601975,2.49633841764073, -0.990708261205356)); #125983=CARTESIAN_POINT('Ctrl Pts',(-0.563313459835299,2.49633722987955, -1.05199799787234)); #125984=CARTESIAN_POINT('Ctrl Pts',(-0.563852608409888,2.49633604552282, -1.11328773464502)); #125985=CARTESIAN_POINT('',(-0.553929676573816,2.5051,-1.113375)); #125986=CARTESIAN_POINT('Origin',(-0.553929676573816,2.4951,-1.113375)); #125987=CARTESIAN_POINT('Ctrl Pts',(-0.553929676573816,2.5051,-1.113375)); #125988=CARTESIAN_POINT('Ctrl Pts',(-0.553390675528088,2.5051,-1.05208526322732)); #125989=CARTESIAN_POINT('Ctrl Pts',(-0.552851662463023,2.5051,-0.99079552656034)); #125990=CARTESIAN_POINT('Ctrl Pts',(-0.552312637272742,2.5051,-0.929505789999999)); #125991=CARTESIAN_POINT('Ctrl Pts',(-0.553929676573816,2.5051,-1.113375)); #125992=CARTESIAN_POINT('Ctrl Pts',(-0.554035617619673,2.5051,-1.12240990660937)); #125993=CARTESIAN_POINT('Ctrl Pts',(-0.553047470276289,2.5127809223707, -1.13802371278975)); #125994=CARTESIAN_POINT('Ctrl Pts',(-0.549584251213475,2.52845383884462, -1.143375)); #125995=CARTESIAN_POINT('Ctrl Pts',(-0.547821068286605,2.5351,-1.143375)); #125996=CARTESIAN_POINT('Ctrl Pts',(-0.556341041412565,2.5051,-1.11335379370612)); #125997=CARTESIAN_POINT('Ctrl Pts',(-0.556443377244223,2.50509349551221, -1.12247480051599)); #125998=CARTESIAN_POINT('Ctrl Pts',(-0.555453680480834,2.51293504863529, -1.13827308589429)); #125999=CARTESIAN_POINT('Ctrl Pts',(-0.55207556336797,2.5289060098815,-1.14349004429545)); #126000=CARTESIAN_POINT('Ctrl Pts',(-0.550374465003585,2.53560804954074, -1.143375)); #126001=CARTESIAN_POINT('Ctrl Pts',(-0.561145356958367,2.5032705401809, -1.11331154305798)); #126002=CARTESIAN_POINT('Ctrl Pts',(-0.561240742520892,2.50325839478084, -1.12316882353275)); #126003=CARTESIAN_POINT('Ctrl Pts',(-0.560250867626358,2.51182916471289, -1.14024219052302)); #126004=CARTESIAN_POINT('Ctrl Pts',(-0.557037260050637,2.52931671819445, -1.14573454843171)); #126005=CARTESIAN_POINT('Ctrl Pts',(-0.555454451696187,2.53661881488284, -1.14552376609769)); #126006=CARTESIAN_POINT('Ctrl Pts',(-0.563554552738604,2.49872901147298, -1.11329035583948)); #126007=CARTESIAN_POINT('Ctrl Pts',(-0.563654570283604,2.49872440364853, -1.12460426393277)); #126008=CARTESIAN_POINT('Ctrl Pts',(-0.562650090490121,2.50854061594909, -1.14417300463359)); #126009=CARTESIAN_POINT('Ctrl Pts',(-0.559288683364363,2.52858216304667, -1.15075707973585)); #126010=CARTESIAN_POINT('Ctrl Pts',(-0.557606157020409,2.53704693985633, -1.15068438430884)); #126011=CARTESIAN_POINT('Ctrl Pts',(-0.563852608409888,2.49633604552282, -1.11328773464502)); #126012=CARTESIAN_POINT('Ctrl Pts',(-0.563958578452649,2.49633581273665, -1.12533427679085)); #126013=CARTESIAN_POINT('Ctrl Pts',(-0.562949502989876,2.50676005966182, -1.14615268503134)); #126014=CARTESIAN_POINT('Ctrl Pts',(-0.559423187650772,2.5280311812241, -1.15328773464502)); #126015=CARTESIAN_POINT('Ctrl Pts',(-0.557628439327502,2.53705137336868, -1.15328773464502)); #126016=CARTESIAN_POINT('',(-0.557628439327502,2.53705137336868,-1.15328773464502)); #126017=CARTESIAN_POINT('',(-0.547821068286605,2.5351,-1.143375)); #126018=CARTESIAN_POINT('Origin',(-0.547821068286605,2.5351,-1.153375)); #126019=CARTESIAN_POINT('Ctrl Pts',(-0.547821068286605,2.5351,-1.143375)); #126020=CARTESIAN_POINT('Ctrl Pts',(-0.549584251213475,2.52845383884462, -1.143375)); #126021=CARTESIAN_POINT('Ctrl Pts',(-0.553047470276289,2.5127809223707, -1.13802371278975)); #126022=CARTESIAN_POINT('Ctrl Pts',(-0.554035617619673,2.5051,-1.12240990660937)); #126023=CARTESIAN_POINT('Ctrl Pts',(-0.553929676573816,2.5051,-1.113375)); #126024=CARTESIAN_POINT('Ctrl Pts',(-0.563852608409888,2.49633604552282, -1.11328773464502)); #126025=CARTESIAN_POINT('Ctrl Pts',(-0.563958578452649,2.49633581273665, -1.12533427679085)); #126026=CARTESIAN_POINT('Ctrl Pts',(-0.562949502989876,2.50676005966182, -1.14615268503134)); #126027=CARTESIAN_POINT('Ctrl Pts',(-0.559423187650772,2.5280311812241, -1.15328773464502)); #126028=CARTESIAN_POINT('Ctrl Pts',(-0.557628439327502,2.53705137336868, -1.15328773464502)); #126029=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.153375)); #126030=CARTESIAN_POINT('',(-0.110686043152884,2.98378116648348,-1.15328773464502)); #126031=CARTESIAN_POINT('',(-0.108739336317849,2.97397286809609,-1.143375)); #126032=CARTESIAN_POINT('Origin',(-0.108739336317849,2.97397286809609,-1.153375)); #126033=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.143375)); #126034=CARTESIAN_POINT('Origin',(3.93386111087654E-16,2.4261,-1.15328773464502)); #126035=CARTESIAN_POINT('Ctrl Pts',(-0.108739336317849,2.97397286809609, -1.143375)); #126036=CARTESIAN_POINT('Ctrl Pts',(-0.0999261332587304,2.97630514116475, -1.143375)); #126037=CARTESIAN_POINT('Ctrl Pts',(-0.0844709564639788,2.97946675008199, -1.13607507852292)); #126038=CARTESIAN_POINT('Ctrl Pts',(-0.0787992835621718,2.98013424287863, -1.12037517433055)); #126039=CARTESIAN_POINT('Ctrl Pts',(-0.0787404786259237,2.98006496432964, -1.11363679606495)); #126040=CARTESIAN_POINT('Ctrl Pts',(-0.109246170903873,2.97652650625223, -1.143375)); #126041=CARTESIAN_POINT('Ctrl Pts',(-0.100358958268835,2.97877663857083, -1.14352719072206)); #126042=CARTESIAN_POINT('Ctrl Pts',(-0.0845697055337886,2.9818739743737, -1.13629901928821)); #126043=CARTESIAN_POINT('Ctrl Pts',(-0.0787954193855929,2.98254369704023, -1.12042062390739)); #126044=CARTESIAN_POINT('Ctrl Pts',(-0.0787403163269499,2.98247701147228, -1.11361819844462)); #126045=CARTESIAN_POINT('Ctrl Pts',(-0.110254519110328,2.98160697338231, -1.14552376600114)); #126046=CARTESIAN_POINT('Ctrl Pts',(-0.100571765013934,2.98370060238082, -1.14580260742902)); #126047=CARTESIAN_POINT('Ctrl Pts',(-0.0832698438007359,2.98667167462091, -1.13811475922631)); #126048=CARTESIAN_POINT('Ctrl Pts',(-0.0769661336984521,2.98734434983714, -1.12094853781927)); #126049=CARTESIAN_POINT('Ctrl Pts',(-0.0769095535743633,2.98728266554617, -1.11359711938623)); #126050=CARTESIAN_POINT('Ctrl Pts',(-0.110681620242878,2.98375888206946, -1.15068438430884)); #126051=CARTESIAN_POINT('Ctrl Pts',(-0.0994569666250497,2.98598443752584, -1.15078055221951)); #126052=CARTESIAN_POINT('Ctrl Pts',(-0.0796774258944889,2.98907500193725, -1.14173078081297)); #126053=CARTESIAN_POINT('Ctrl Pts',(-0.072437659873085,2.98975620263143, -1.12205618950516)); #126054=CARTESIAN_POINT('Ctrl Pts',(-0.0723659245383533,2.9896912830993, -1.11361819844462)); #126055=CARTESIAN_POINT('Ctrl Pts',(-0.110686043152884,2.98378116648348, -1.15328773464502)); #126056=CARTESIAN_POINT('Ctrl Pts',(-0.0987247344900559,2.98615519308934, -1.15328773464502)); #126057=CARTESIAN_POINT('Ctrl Pts',(-0.0777491791488548,2.98937490177395, -1.1435545060089)); #126058=CARTESIAN_POINT('Ctrl Pts',(-0.0700518941948638,2.99005759986981, -1.12262130041908)); #126059=CARTESIAN_POINT('Ctrl Pts',(-0.0699722560303609,2.98998847414648, -1.11363679606495)); #126060=CARTESIAN_POINT('',(-0.069972256030361,2.98998847414648,-1.11363679606495)); #126061=CARTESIAN_POINT('',(-0.0787404786259237,2.98006496432964,-1.11363679606495)); #126062=CARTESIAN_POINT('Origin',(-0.068740859395282,2.98006496432964,-1.11372406141993)); #126063=CARTESIAN_POINT('Ctrl Pts',(-0.0787404786259237,2.98006496432964, -1.11363679606495)); #126064=CARTESIAN_POINT('Ctrl Pts',(-0.0787992835621718,2.98013424287863, -1.12037517433055)); #126065=CARTESIAN_POINT('Ctrl Pts',(-0.0844709564639788,2.97946675008199, -1.13607507852292)); #126066=CARTESIAN_POINT('Ctrl Pts',(-0.0999261332587304,2.97630514116475, -1.143375)); #126067=CARTESIAN_POINT('Ctrl Pts',(-0.108739336317849,2.97397286809609, -1.143375)); #126068=CARTESIAN_POINT('Ctrl Pts',(-0.110686043152884,2.98378116648348, -1.15328773464502)); #126069=CARTESIAN_POINT('Ctrl Pts',(-0.0987247344900559,2.98615519308934, -1.15328773464502)); #126070=CARTESIAN_POINT('Ctrl Pts',(-0.0777491791488548,2.98937490177395, -1.1435545060089)); #126071=CARTESIAN_POINT('Ctrl Pts',(-0.0700518941948638,2.99005759986981, -1.12262130041908)); #126072=CARTESIAN_POINT('Ctrl Pts',(-0.0699722560303609,2.98998847414648, -1.11363679606495)); #126073=CARTESIAN_POINT('Ctrl Pts',(-0.0787404786259237,2.98006496432964, -1.11363679606495)); #126074=CARTESIAN_POINT('Ctrl Pts',(-0.07820459575183,2.97959146851899, -1.05223070089513)); #126075=CARTESIAN_POINT('Ctrl Pts',(-0.0776687129185813,2.979117365676, -0.990824610405695)); #126076=CARTESIAN_POINT('Ctrl Pts',(-0.0771328301265998,2.97864265110123, -0.929418524645015)); #126077=CARTESIAN_POINT('Ctrl Pts',(-0.0787402931413822,2.98282158963552, -1.11361554164172)); #126078=CARTESIAN_POINT('Ctrl Pts',(-0.0782044629577067,2.98234973444455, -1.05220941513634)); #126079=CARTESIAN_POINT('Ctrl Pts',(-0.0776684733866527,2.98187720059969, -0.990803295324693)); #126080=CARTESIAN_POINT('Ctrl Pts',(-0.0771326438367836,2.98140413579631, -0.929397177946442)); #126081=CARTESIAN_POINT('Ctrl Pts',(-0.076626798102913,2.98760737992209, -1.11359708311814)); #126082=CARTESIAN_POINT('Ctrl Pts',(-0.0760882933735686,2.98713820729701, -1.05219092779947)); #126083=CARTESIAN_POINT('Ctrl Pts',(-0.0755498176211989,2.98666846088283, -0.990784776324738)); #126084=CARTESIAN_POINT('Ctrl Pts',(-0.0750112930671707,2.98619809229701, -0.929378629855737)); #126085=CARTESIAN_POINT('Ctrl Pts',(-0.0720239718943545,2.98973373896318, -1.11362085524753)); #126086=CARTESIAN_POINT('Ctrl Pts',(-0.0714810787589055,2.98926289302104, -1.05221473705944)); #126087=CARTESIAN_POINT('Ctrl Pts',(-0.0709381971347864,2.98879139645119, -0.990808623605536)); #126088=CARTESIAN_POINT('Ctrl Pts',(-0.0703952592629333,2.98831933143108, -0.929402514621086)); #126089=CARTESIAN_POINT('Ctrl Pts',(-0.069972256030361,2.98998847414648, -1.11363679606495)); #126090=CARTESIAN_POINT('Ctrl Pts',(-0.0694279556977156,2.98951602284834, -1.05223070089513)); #126091=CARTESIAN_POINT('Ctrl Pts',(-0.0688836311645797,2.98904295665133, -0.990824610405695)); #126092=CARTESIAN_POINT('Ctrl Pts',(-0.06833928222073,2.98856927070295, -0.929418524645016)); #126093=CARTESIAN_POINT('',(-0.0771328301265998,2.97864265110123,-0.929418524645016)); #126094=CARTESIAN_POINT('Origin',(-0.0671332108959581,2.97864265110123, -0.929505789999999)); #126095=CARTESIAN_POINT('Ctrl Pts',(-0.0771328301265998,2.97864265110123, -0.929418524645015)); #126096=CARTESIAN_POINT('Ctrl Pts',(-0.0776687129185813,2.979117365676, -0.990824610405695)); #126097=CARTESIAN_POINT('Ctrl Pts',(-0.07820459575183,2.97959146851899, -1.05223070089513)); #126098=CARTESIAN_POINT('Ctrl Pts',(-0.0787404786259237,2.98006496432964, -1.11363679606495)); #126099=CARTESIAN_POINT('Ctrl Pts',(-0.069972256030361,2.98998847414648, -1.11363679606495)); #126100=CARTESIAN_POINT('Ctrl Pts',(-0.0694279556977156,2.98951602284834, -1.05223070089513)); #126101=CARTESIAN_POINT('Ctrl Pts',(-0.0688836311645797,2.98904295665133, -0.990824610405695)); #126102=CARTESIAN_POINT('Ctrl Pts',(-0.06833928222073,2.98856927070295, -0.929418524645016)); #126103=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.929505789999999)); #126104=CARTESIAN_POINT('',(-0.552312637272742,2.4951,-0.919505789999999)); #126105=CARTESIAN_POINT('Origin',(-0.552312637272742,2.4951,-0.929505789999999)); #126106=CARTESIAN_POINT('',(-0.554382991542393,2.37640344096742,-0.919505789999999)); #126107=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.919505789999999)); #126108=CARTESIAN_POINT('',(-0.564342673495887,2.37551062511683,-0.929418524645016)); #126109=CARTESIAN_POINT('Origin',(-0.554382991542393,2.37640344096742,-0.929505789999999)); #126110=CARTESIAN_POINT('Origin',(5.24514814783538E-16,2.4261,-0.929418524645016)); #126111=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.308375)); #126112=CARTESIAN_POINT('',(-0.47708228151327,2.35457885086041,-1.30846226535498)); #126113=CARTESIAN_POINT('Origin',(-0.486971393711157,2.35309633789405,-1.308375)); #126114=CARTESIAN_POINT('',(0.477082281513271,2.35457885086041,-1.30846226535498)); #126115=CARTESIAN_POINT('Origin',(4.56785897162671E-16,2.4261,-1.30846226535498)); #126116=CARTESIAN_POINT('Origin',(0.486971393711158,2.35309633789405,-1.308375)); #126117=CARTESIAN_POINT('Ctrl Pts',(0.557399387310277,2.36309595712469, -1.30846226535498)); #126118=CARTESIAN_POINT('Ctrl Pts',(0.559859338859136,2.36309578678358, -1.30848178451279)); #126119=CARTESIAN_POINT('Ctrl Pts',(0.564761429257081,2.36500044167384, -1.30850406140914)); #126120=CARTESIAN_POINT('Ctrl Pts',(0.567121397288091,2.36970003883029, -1.30848178446888)); #126121=CARTESIAN_POINT('Ctrl Pts',(0.567354099802422,2.37214895924343, -1.30846226535498)); #126122=CARTESIAN_POINT('Ctrl Pts',(0.557399387310277,2.36307323803049, -1.31106561569116)); #126123=CARTESIAN_POINT('Ctrl Pts',(0.559859914234676,2.36306790176621, -1.31108184798362)); #126124=CARTESIAN_POINT('Ctrl Pts',(0.564781595335628,2.36497826723577, -1.31110034892925)); #126125=CARTESIAN_POINT('Ctrl Pts',(0.567149102598499,2.3696968262958,-1.31108184794711)); #126126=CARTESIAN_POINT('Ctrl Pts',(0.567376716868692,2.3721468085332,-1.31106561569116)); #126127=CARTESIAN_POINT('Ctrl Pts',(0.557399387310277,2.36087934559393, -1.31622622534346)); #126128=CARTESIAN_POINT('Ctrl Pts',(0.560405282396801,2.36086977863946, -1.31623189490284)); #126129=CARTESIAN_POINT('Ctrl Pts',(0.566409048437933,2.36318875694068, -1.31623834492838)); #126130=CARTESIAN_POINT('Ctrl Pts',(0.569285726836578,2.36894582127844, -1.31623189489009)); #126131=CARTESIAN_POINT('Ctrl Pts',(0.569560756874713,2.37193912301296, -1.31622622534346)); #126132=CARTESIAN_POINT('Ctrl Pts',(0.557399387310277,2.35569978736161, -1.318375)); #126133=CARTESIAN_POINT('Ctrl Pts',(0.561677580823688,2.35569650584846, -1.31837489559334)); #126134=CARTESIAN_POINT('Ctrl Pts',(0.570210442201274,2.35900883033438, -1.3183747778648)); #126135=CARTESIAN_POINT('Ctrl Pts',(0.574315324743862,2.36718950704262, -1.31837489559357)); #126136=CARTESIAN_POINT('Ctrl Pts',(0.574717054514636,2.37144879849742, -1.318375)); #126137=CARTESIAN_POINT('Ctrl Pts',(0.557399387310277,2.35309633789405, -1.318375)); #126138=CARTESIAN_POINT('Ctrl Pts',(0.5623189805852,2.35309633789405,-1.318375)); #126139=CARTESIAN_POINT('Ctrl Pts',(0.572122807785808,2.35690603648962, -1.318375)); #126140=CARTESIAN_POINT('Ctrl Pts',(0.576843097443806,2.36630484198671, -1.318375)); #126141=CARTESIAN_POINT('Ctrl Pts',(0.577308812294567,2.37120234213152, -1.318375)); #126142=CARTESIAN_POINT('',(0.567354099802422,2.37214895924343,-1.30846226535498)); #126143=CARTESIAN_POINT('',(0.557399387310277,2.36309595712469,-1.30846226535498)); #126144=CARTESIAN_POINT('Ctrl Pts',(0.557399387310277,2.36309595712469, -1.30846226535498)); #126145=CARTESIAN_POINT('Ctrl Pts',(0.559859338859136,2.36309578678358, -1.30848178451279)); #126146=CARTESIAN_POINT('Ctrl Pts',(0.564761429257081,2.36500044167384, -1.30850406140914)); #126147=CARTESIAN_POINT('Ctrl Pts',(0.567121397288091,2.36970003883029, -1.30848178446888)); #126148=CARTESIAN_POINT('Ctrl Pts',(0.567354099802422,2.37214895924343, -1.30846226535498)); #126149=CARTESIAN_POINT('Origin',(0.577308812294567,2.37120234213152,-1.308375)); #126150=CARTESIAN_POINT('Origin',(0.557399387310277,2.35309633789405,-1.308375)); #126151=CARTESIAN_POINT('Ctrl Pts',(-0.567354099802421,2.37214895924343, -1.30846226535498)); #126152=CARTESIAN_POINT('Ctrl Pts',(-0.567121397288084,2.36970003883023, -1.30848178446889)); #126153=CARTESIAN_POINT('Ctrl Pts',(-0.564761237973745,2.36500026771613, -1.30850406140915)); #126154=CARTESIAN_POINT('Ctrl Pts',(-0.5598593388592,2.36309578678358,-1.30848178451279)); #126155=CARTESIAN_POINT('Ctrl Pts',(-0.557399387310276,2.36309595712469, -1.30846226535498)); #126156=CARTESIAN_POINT('Ctrl Pts',(-0.567376716868691,2.3721468085332, -1.31106561569116)); #126157=CARTESIAN_POINT('Ctrl Pts',(-0.567149102598492,2.36969682629574, -1.31108184794711)); #126158=CARTESIAN_POINT('Ctrl Pts',(-0.564781403807936,2.36497809305489, -1.31110034892926)); #126159=CARTESIAN_POINT('Ctrl Pts',(-0.559859914234739,2.36306790176621, -1.31108184798362)); #126160=CARTESIAN_POINT('Ctrl Pts',(-0.557399387310276,2.36307323803049, -1.31106561569116)); #126161=CARTESIAN_POINT('Ctrl Pts',(-0.569560756874712,2.37193912301296, -1.31622622534346)); #126162=CARTESIAN_POINT('Ctrl Pts',(-0.56928572683657,2.36894582127836, -1.31623189489009)); #126163=CARTESIAN_POINT('Ctrl Pts',(-0.566408814332795,2.3631885440399, -1.31623834492838)); #126164=CARTESIAN_POINT('Ctrl Pts',(-0.560405282396879,2.36086977863946, -1.31623189490284)); #126165=CARTESIAN_POINT('Ctrl Pts',(-0.557399387310276,2.36087934559393, -1.31622622534346)); #126166=CARTESIAN_POINT('Ctrl Pts',(-0.574717054514635,2.37144879849742, -1.318375)); #126167=CARTESIAN_POINT('Ctrl Pts',(-0.57431532474385,2.3671895070425,-1.31837489559357)); #126168=CARTESIAN_POINT('Ctrl Pts',(-0.570210109390446,2.35900852766794, -1.31837477786482)); #126169=CARTESIAN_POINT('Ctrl Pts',(-0.561677580823799,2.35569650584846, -1.31837489559334)); #126170=CARTESIAN_POINT('Ctrl Pts',(-0.557399387310276,2.35569978736161, -1.318375)); #126171=CARTESIAN_POINT('Ctrl Pts',(-0.577308812294566,2.37120234213152, -1.318375)); #126172=CARTESIAN_POINT('Ctrl Pts',(-0.576843097443793,2.36630484198658, -1.318375)); #126173=CARTESIAN_POINT('Ctrl Pts',(-0.572122425219136,2.35690568857421, -1.318375)); #126174=CARTESIAN_POINT('Ctrl Pts',(-0.562318980585328,2.35309633789405, -1.318375)); #126175=CARTESIAN_POINT('Ctrl Pts',(-0.557399387310276,2.35309633789405, -1.318375)); #126176=CARTESIAN_POINT('',(-0.557399387310276,2.36309595712469,-1.30846226535498)); #126177=CARTESIAN_POINT('',(-0.567354099802421,2.37214895924343,-1.30846226535498)); #126178=CARTESIAN_POINT('Ctrl Pts',(-0.567354099802421,2.37214895924343, -1.30846226535498)); #126179=CARTESIAN_POINT('Ctrl Pts',(-0.567121397288084,2.36970003883023, -1.30848178446889)); #126180=CARTESIAN_POINT('Ctrl Pts',(-0.564761237973745,2.36500026771613, -1.30850406140915)); #126181=CARTESIAN_POINT('Ctrl Pts',(-0.5598593388592,2.36309578678358,-1.30848178451279)); #126182=CARTESIAN_POINT('Ctrl Pts',(-0.557399387310276,2.36309595712469, -1.30846226535498)); #126183=CARTESIAN_POINT('Origin',(-0.557399387310276,2.35309633789405,-1.308375)); #126184=CARTESIAN_POINT('Origin',(-0.577308812294566,2.37120234213152,-1.308375)); #126185=CARTESIAN_POINT('Origin',(-0.283248822813395,2.35309633789405,-1.308375)); #126186=CARTESIAN_POINT('',(-0.486971393711157,2.36309595712469,-1.30846226535498)); #126187=CARTESIAN_POINT('Origin',(-0.486971393711157,2.35309633789405,-1.308375)); #126188=CARTESIAN_POINT('',(-0.283248822813395,2.36309595712469,-1.30846226535498)); #126189=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.308375)); #126190=CARTESIAN_POINT('Origin',(4.37102312651265E-16,2.4261,-1.30846226535498)); #126191=CARTESIAN_POINT('Ctrl Pts',(-0.557399882741102,2.363095412347,-1.30852469069563)); #126192=CARTESIAN_POINT('Ctrl Pts',(-0.556396973624927,2.36419821566573, -1.18215594704417)); #126193=CARTESIAN_POINT('Ctrl Pts',(-0.555391358033029,2.36530101879703, -1.05578722487019)); #126194=CARTESIAN_POINT('Ctrl Pts',(-0.554382991542393,2.36640382173678, -0.929418524645016)); #126195=CARTESIAN_POINT('Ctrl Pts',(-0.559859670127956,2.36309558269804, -1.30850517039924)); #126196=CARTESIAN_POINT('Ctrl Pts',(-0.558859765750917,2.36419830621206, -1.18213636703626)); #126197=CARTESIAN_POINT('Ctrl Pts',(-0.557857111158308,2.36530127234152, -1.05576758733767)); #126198=CARTESIAN_POINT('Ctrl Pts',(-0.556851784044555,2.3664039936419, -0.929398826269946)); #126199=CARTESIAN_POINT('Ctrl Pts',(-0.564758846523004,2.36500308231702, -1.30848293521927)); #126200=CARTESIAN_POINT('Ctrl Pts',(-0.563764751495729,2.36611074871967, -1.18211405900436)); #126201=CARTESIAN_POINT('Ctrl Pts',(-0.56276803695778,2.36721842451683, -1.05574520233354)); #126202=CARTESIAN_POINT('Ctrl Pts',(-0.561768583022758,2.36832616740467, -0.929376366939041)); #126203=CARTESIAN_POINT('Ctrl Pts',(-0.567121566093236,2.36969968112665, -1.30850517039924)); #126204=CARTESIAN_POINT('Ctrl Pts',(-0.566124541850083,2.37081692730623, -1.18213636703626)); #126205=CARTESIAN_POINT('Ctrl Pts',(-0.565124552342387,2.37193426680626, -1.05576758733767)); #126206=CARTESIAN_POINT('Ctrl Pts',(-0.564122076176415,2.37305170802369, -0.929398826269946)); #126207=CARTESIAN_POINT('Ctrl Pts',(-0.567354594395645,2.37214840565747, -1.30852469069563)); #126208=CARTESIAN_POINT('Ctrl Pts',(-0.566353380859604,2.37326903968521, -1.18215594704417)); #126209=CARTESIAN_POINT('Ctrl Pts',(-0.565349422530779,2.37438977887647, -1.05578722487019)); #126210=CARTESIAN_POINT('Ctrl Pts',(-0.564342673495887,2.37551062511683, -0.929418524645016)); #126211=CARTESIAN_POINT('Ctrl Pts',(-0.567354099802421,2.37214895924343, -1.30846226535498)); #126212=CARTESIAN_POINT('Ctrl Pts',(-0.566353050226922,2.37326940877715, -1.18211433015748)); #126213=CARTESIAN_POINT('Ctrl Pts',(-0.565349256754946,2.37438996344007, -1.05576641643046)); #126214=CARTESIAN_POINT('Ctrl Pts',(-0.564342673495887,2.37551062511683, -0.929418524645016)); #126215=CARTESIAN_POINT('',(-0.554382991542393,2.36640382173678,-0.929418524645016)); #126216=CARTESIAN_POINT('Origin',(-0.554382991542393,2.37640344096742,-0.929505789999999)); #126217=CARTESIAN_POINT('Ctrl Pts',(-0.554382991542393,2.36640382173678, -0.929418524645016)); #126218=CARTESIAN_POINT('Ctrl Pts',(-0.555391191990857,2.36530120038953, -1.05576641643046)); #126219=CARTESIAN_POINT('Ctrl Pts',(-0.556396642446461,2.3641985788508, -1.18211433015748)); #126220=CARTESIAN_POINT('Ctrl Pts',(-0.557399387310277,2.36309595712469, -1.30846226535498)); #126221=CARTESIAN_POINT('Origin',(0.239178715869901,2.35309633789405,-1.308375)); #126222=CARTESIAN_POINT('',(0.486971393711158,2.36309595712469,-1.30846226535498)); #126223=CARTESIAN_POINT('Origin',(0.486971393711158,2.35309633789405,-1.308375)); #126224=CARTESIAN_POINT('',(0.239178715869901,2.36309595712469,-1.30846226535498)); #126225=CARTESIAN_POINT('Ctrl Pts',(0.56735459439587,2.37214840565722,-1.30852469072393)); #126226=CARTESIAN_POINT('Ctrl Pts',(0.566353380859755,2.37326903968505, -1.18215594706304)); #126227=CARTESIAN_POINT('Ctrl Pts',(0.565349422530855,2.37438977887639, -1.05578722487962)); #126228=CARTESIAN_POINT('Ctrl Pts',(0.564342673495888,2.37551062511683, -0.929418524645016)); #126229=CARTESIAN_POINT('Ctrl Pts',(0.567121566093461,2.3696996811264,-1.30850517042754)); #126230=CARTESIAN_POINT('Ctrl Pts',(0.566124541850233,2.37081692730607, -1.18213636705513)); #126231=CARTESIAN_POINT('Ctrl Pts',(0.565124552342463,2.37193426680618, -1.0557675873471)); #126232=CARTESIAN_POINT('Ctrl Pts',(0.564122076176416,2.37305170802369, -0.929398826269947)); #126233=CARTESIAN_POINT('Ctrl Pts',(0.564758846523227,2.36500308231677, -1.30848293524757)); #126234=CARTESIAN_POINT('Ctrl Pts',(0.563764751495878,2.3661107487195,-1.18211405902322)); #126235=CARTESIAN_POINT('Ctrl Pts',(0.562768036957855,2.36721842451675, -1.05574520234297)); #126236=CARTESIAN_POINT('Ctrl Pts',(0.561768583022759,2.36832616740467, -0.929376366939041)); #126237=CARTESIAN_POINT('Ctrl Pts',(0.559859670128181,2.3630955826978,-1.30850517042754)); #126238=CARTESIAN_POINT('Ctrl Pts',(0.558859765751067,2.36419830621189, -1.18213636705513)); #126239=CARTESIAN_POINT('Ctrl Pts',(0.557857111158384,2.36530127234144, -1.0557675873471)); #126240=CARTESIAN_POINT('Ctrl Pts',(0.556851784044556,2.3664039936419,-0.929398826269946)); #126241=CARTESIAN_POINT('Ctrl Pts',(0.557399882741328,2.36309541234675, -1.30852469072393)); #126242=CARTESIAN_POINT('Ctrl Pts',(0.556396973625079,2.36419821566556, -1.18215594706304)); #126243=CARTESIAN_POINT('Ctrl Pts',(0.555391358033105,2.36530101879694, -1.05578722487962)); #126244=CARTESIAN_POINT('Ctrl Pts',(0.554382991542394,2.36640382173678, -0.929418524645016)); #126245=CARTESIAN_POINT('',(0.554382991542394,2.36640382173678,-0.929418524645016)); #126246=CARTESIAN_POINT('Ctrl Pts',(0.557399387310277,2.36309595712469, -1.30846226535498)); #126247=CARTESIAN_POINT('Ctrl Pts',(0.556396642446462,2.3641985788508,-1.18211433015748)); #126248=CARTESIAN_POINT('Ctrl Pts',(0.555391191990858,2.36530120038953, -1.05576641643046)); #126249=CARTESIAN_POINT('Ctrl Pts',(0.554382991542394,2.36640382173678, -0.929418524645016)); #126250=CARTESIAN_POINT('Origin',(0.554382991542394,2.37640344096742,-0.929505789999999)); #126251=CARTESIAN_POINT('Ctrl Pts',(0.564342673495888,2.37551062511683, -0.929418524645016)); #126252=CARTESIAN_POINT('Ctrl Pts',(0.565349256754947,2.37438996344007, -1.05576641643046)); #126253=CARTESIAN_POINT('Ctrl Pts',(0.566353050226923,2.37326940877715, -1.18211433015748)); #126254=CARTESIAN_POINT('Ctrl Pts',(0.567354099802422,2.37214895924343, -1.30846226535498)); #126255=CARTESIAN_POINT('Origin',(-0.486971393711157,2.35309633789405,-1.308375)); #126256=CARTESIAN_POINT('Origin',(-0.486971393711157,2.35309633789405,-1.308375)); #126257=CARTESIAN_POINT('Origin',(0.486971393711158,2.35309633789405,-1.308375)); #126258=CARTESIAN_POINT('Origin',(0.486971393711158,2.35309633789405,-1.308375)); #126259=CARTESIAN_POINT('Origin',(-0.554382991542393,2.37640344096742,-0.929505789999999)); #126260=CARTESIAN_POINT('Origin',(-0.554382991542393,2.37640344096742,-0.929505789999999)); #126261=CARTESIAN_POINT('Origin',(-0.552312637272742,2.4951,-0.929505789999999)); #126262=CARTESIAN_POINT('Origin',(-0.552312637272742,2.4951,-0.929505789999999)); #126263=CARTESIAN_POINT('Origin',(-0.0671332108959581,2.97864265110123, -0.929505789999999)); #126264=CARTESIAN_POINT('Origin',(-0.0671332108959581,2.97864265110123, -0.929505789999999)); #126265=CARTESIAN_POINT('Origin',(0.0671332108959591,2.97864265110123,-0.929505789999999)); #126266=CARTESIAN_POINT('Origin',(0.0671332108959591,2.97864265110123,-0.929505789999999)); #126267=CARTESIAN_POINT('Origin',(0.552312637272743,2.4951,-0.929505789999999)); #126268=CARTESIAN_POINT('Origin',(0.552312637272743,2.4951,-0.929505789999999)); #126269=CARTESIAN_POINT('Origin',(0.554382991542394,2.37640344096742,-0.929505789999999)); #126270=CARTESIAN_POINT('Origin',(0.554382991542394,2.37640344096742,-0.929505789999999)); #126271=CARTESIAN_POINT('Origin',(-0.0671332108959581,2.96961073611715, -0.929505789999999)); #126272=CARTESIAN_POINT('',(-0.0671332108959581,2.91040141513342,-0.919505789999999)); #126273=CARTESIAN_POINT('',(-0.0671332108959581,2.96961073611715,-0.919505789999999)); #126274=CARTESIAN_POINT('',(-0.0771328301265998,2.90738149704205,-0.929418524645015)); #126275=CARTESIAN_POINT('Ctrl Pts',(-0.0771328301265995,2.90738149704205, -0.929418524645015)); #126276=CARTESIAN_POINT('Ctrl Pts',(-0.0771099825467499,2.90734333182831, -0.926800451311334)); #126277=CARTESIAN_POINT('Ctrl Pts',(-0.0748864953738055,2.90808327605071, -0.921621852036711)); #126278=CARTESIAN_POINT('Ctrl Pts',(-0.0697266553326719,2.90968241557291, -0.919505789999999)); #126279=CARTESIAN_POINT('Ctrl Pts',(-0.0671332108959581,2.91040141513342, -0.919505789999999)); #126280=CARTESIAN_POINT('',(-0.0771328301265998,2.96961073611715,-0.929418524645016)); #126281=CARTESIAN_POINT('Origin',(4.52955705325033E-16,2.94862257940988, -0.919505789999999)); #126282=CARTESIAN_POINT('',(0.0671332108959591,2.91040141513342,-0.919505789999999)); #126283=CARTESIAN_POINT('',(0.0671332108959591,2.92535564362956,-0.919505789999999)); #126284=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.919505789999999)); #126285=CARTESIAN_POINT('Origin',(0.0671332108959591,2.92535564362956,-0.929505789999999)); #126286=CARTESIAN_POINT('',(0.0771328301266008,2.90738149704205,-0.929418524645016)); #126287=CARTESIAN_POINT('',(0.0771328301266008,2.92535564362956,-0.929418524645016)); #126288=CARTESIAN_POINT('Ctrl Pts',(0.0671332108959591,2.91040141513342, -0.919505789999999)); #126289=CARTESIAN_POINT('Ctrl Pts',(0.0697266227714492,2.90968242460009, -0.919505789999999)); #126290=CARTESIAN_POINT('Ctrl Pts',(0.0748865822047139,2.90808324679669, -0.921621950832068)); #126291=CARTESIAN_POINT('Ctrl Pts',(0.077109982833607,2.90734333230748, -0.926800484181779)); #126292=CARTESIAN_POINT('Ctrl Pts',(0.0771328301266005,2.90738149704205, -0.929418524645016)); #126293=CARTESIAN_POINT('Origin',(0.0790000000000005,2.90208870784925,-1.143375)); #126294=CARTESIAN_POINT('',(0.0787404786259247,2.90872235891681,-1.11363679606495)); #126295=CARTESIAN_POINT('',(0.0787404786259247,2.80806320787876,-1.11363679606495)); #126296=CARTESIAN_POINT('Ctrl Pts',(0.0771328301266008,2.90738149704205, -0.929418524645016)); #126297=CARTESIAN_POINT('Ctrl Pts',(0.0776687129201472,2.90782907357952, -0.990824610585024)); #126298=CARTESIAN_POINT('Ctrl Pts',(0.0782045957534017,2.90827602580819, -1.05223070107512)); #126299=CARTESIAN_POINT('Ctrl Pts',(0.0787404786259247,2.90872235891681, -1.11363679606495)); #126300=CARTESIAN_POINT('Origin',(0.10873933631785,2.80806320787876,-1.113375)); #126301=CARTESIAN_POINT('',(0.10873933631785,2.90479064500137,-1.143375)); #126302=CARTESIAN_POINT('',(0.10873933631785,2.80806320787876,-1.143375)); #126303=CARTESIAN_POINT('Ctrl Pts',(0.0787404786259246,2.90872235891681, -1.11363679606495)); #126304=CARTESIAN_POINT('Ctrl Pts',(0.0788191735953471,2.90876605524441, -1.12265434638304)); #126305=CARTESIAN_POINT('Ctrl Pts',(0.0866114744786891,2.9079313321551, -1.13813627492246)); #126306=CARTESIAN_POINT('Ctrl Pts',(0.10214376806167,2.905789477577,-1.143375)); #126307=CARTESIAN_POINT('Ctrl Pts',(0.10873933631785,2.90479064500137,-1.143375)); #126308=CARTESIAN_POINT('Origin',(5.32868844805984E-16,2.71403770790827, -1.143375)); #126309=CARTESIAN_POINT('',(0.478631358014364,2.5351,-1.143375)); #126310=CARTESIAN_POINT('',(0.282249446412213,2.5351,-1.143375)); #126311=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.143375)); #126312=CARTESIAN_POINT('Origin',(0.282249446412213,2.5351,-1.113375)); #126313=CARTESIAN_POINT('',(0.482572949387345,2.5051,-1.113375)); #126314=CARTESIAN_POINT('',(0.282249446412213,2.5051,-1.113375)); #126315=CARTESIAN_POINT('Ctrl Pts',(0.478631358014364,2.5351,-1.143375)); #126316=CARTESIAN_POINT('Ctrl Pts',(0.479973765295212,2.52625800614898, -1.143375)); #126317=CARTESIAN_POINT('Ctrl Pts',(0.482036861763164,2.51076211318646, -1.13605287418395)); #126318=CARTESIAN_POINT('Ctrl Pts',(0.482613184394053,2.5051,-1.12017601591228)); #126319=CARTESIAN_POINT('Ctrl Pts',(0.482572949387345,2.5051,-1.113375)); #126320=CARTESIAN_POINT('Origin',(0.564498892824424,2.5051,-1.143375)); #126321=CARTESIAN_POINT('',(0.480941194998979,2.5051,-0.929505789999999)); #126322=CARTESIAN_POINT('',(0.543795774614592,2.5051,-0.929505789999999)); #126323=CARTESIAN_POINT('Ctrl Pts',(0.482572949387345,2.5051,-1.113375)); #126324=CARTESIAN_POINT('Ctrl Pts',(0.482029061604586,2.5051,-1.05208526306402)); #126325=CARTESIAN_POINT('Ctrl Pts',(0.481485143578091,2.5051,-0.990795526396434)); #126326=CARTESIAN_POINT('Ctrl Pts',(0.480941194998979,2.5051,-0.929505789999999)); #126327=CARTESIAN_POINT('Origin',(0.543795774614592,2.4951,-0.929505789999999)); #126328=CARTESIAN_POINT('',(0.484038974366146,2.4951,-0.919505789999999)); #126329=CARTESIAN_POINT('',(0.543795774614592,2.4951,-0.919505789999999)); #126330=CARTESIAN_POINT('Ctrl Pts',(0.480941194998979,2.5051,-0.929505789999999)); #126331=CARTESIAN_POINT('Ctrl Pts',(0.480894450727581,2.5051,-0.926872320420278)); #126332=CARTESIAN_POINT('Ctrl Pts',(0.481645717207669,2.50289423989031, -0.921644979610493)); #126333=CARTESIAN_POINT('Ctrl Pts',(0.483295654352498,2.4977072163553,-0.91950579)); #126334=CARTESIAN_POINT('Ctrl Pts',(0.484038974366146,2.4951,-0.91950579)); #126335=CARTESIAN_POINT('Origin',(0.523092656404761,2.43414945259443,-0.919505789999999)); #126336=CARTESIAN_POINT('',(0.486400021305255,2.37640344096742,-0.919505789999999)); #126337=CARTESIAN_POINT('',(0.500725044072281,2.37640344096742,-0.919505789999999)); #126338=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.919505789999999)); #126339=CARTESIAN_POINT('Origin',(0.500725044072281,2.37640344096742,-0.929505789999999)); #126340=CARTESIAN_POINT('',(0.484114076368086,2.36640382173678,-0.929418524645016)); #126341=CARTESIAN_POINT('',(0.500725044072281,2.36640382173678,-0.929418524645016)); #126342=CARTESIAN_POINT('Ctrl Pts',(0.486400021305255,2.37640344096742, -0.91950579)); #126343=CARTESIAN_POINT('Ctrl Pts',(0.485869061537497,2.37380508358236, -0.91950579)); #126344=CARTESIAN_POINT('Ctrl Pts',(0.484646063176322,2.36863628009523, -0.921634801402947)); #126345=CARTESIAN_POINT('Ctrl Pts',(0.484074584842209,2.36642661378829, -0.926806814229117)); #126346=CARTESIAN_POINT('Ctrl Pts',(0.484114076368086,2.36640382173678, -0.929418524645016)); #126347=CARTESIAN_POINT('Origin',(0.4783574317398,2.36300945,-1.318375)); #126348=CARTESIAN_POINT('Ctrl Pts',(0.484114076368086,2.36640382173678, -0.929418524645015)); #126349=CARTESIAN_POINT('Ctrl Pts',(0.485069326044766,2.36530120038448, -1.05576641700906)); #126350=CARTESIAN_POINT('Ctrl Pts',(0.486021748669322,2.36419857884567, -1.18211433074478)); #126351=CARTESIAN_POINT('Ctrl Pts',(0.486971393711158,2.36309595712469, -1.30846226535498)); #126352=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.318375)); #126353=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.6525)); #126354=CARTESIAN_POINT('',(-0.466688616125971,2.4261,-0.6425)); #126355=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.6425)); #126356=CARTESIAN_POINT('',(-0.476688235356612,2.4261,-0.652412734645016)); #126357=CARTESIAN_POINT('Origin',(-0.466688616125971,2.4261,-0.6525)); #126358=CARTESIAN_POINT('Origin',(5.24514814783538E-16,2.4261,-0.652412734645016)); #126359=CARTESIAN_POINT('Origin',(5.01186585038183E-16,2.4261,-0.6425)); #126360=CARTESIAN_POINT('',(0.37397529,2.4261,-0.6425)); #126361=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.6425)); #126362=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.318375)); #126363=CARTESIAN_POINT('',(-0.474216500227409,2.35782911348488,-0.929418524645016)); #126364=CARTESIAN_POINT('Ctrl Pts',(-0.474216500227409,2.35782911348488, -0.929418524645016)); #126365=CARTESIAN_POINT('Ctrl Pts',(-0.475174532834264,2.35674582264775, -1.05576641700906)); #126366=CARTESIAN_POINT('Ctrl Pts',(-0.47612977736583,2.35566240089922, -1.18211433074479)); #126367=CARTESIAN_POINT('Ctrl Pts',(-0.47708228151327,2.35457885086041, -1.30846226535498)); #126368=CARTESIAN_POINT('',(-0.476452190755091,2.37741983267492,-0.909593055354983)); #126369=CARTESIAN_POINT('Ctrl Pts',(-0.476452190755091,2.37741983267492, -0.909593055354983)); #126370=CARTESIAN_POINT('Ctrl Pts',(-0.475932090173654,2.37232940108737, -0.909593055354983)); #126371=CARTESIAN_POINT('Ctrl Pts',(-0.474734855128592,2.3622030957449, -0.913851123181624)); #126372=CARTESIAN_POINT('Ctrl Pts',(-0.474176893652485,2.35787389843207, -0.924195104033298)); #126373=CARTESIAN_POINT('Ctrl Pts',(-0.474216500227409,2.35782911348488, -0.929418524645015)); #126374=CARTESIAN_POINT('',(-0.478932614013833,2.4261,-0.909593055354983)); #126375=CARTESIAN_POINT('Origin',(5.24514814783538E-16,2.4261,-0.909593055354983)); #126376=CARTESIAN_POINT('',(-0.482499999999999,2.4261,-1.318375)); #126377=CARTESIAN_POINT('',(-0.474139431837863,2.49368881521814,-0.909593055354983)); #126378=CARTESIAN_POINT('Origin',(5.24514814783538E-16,2.4261,-0.909593055354983)); #126379=CARTESIAN_POINT('',(-0.471108518145175,2.51328042569635,-0.929593055354983)); #126380=CARTESIAN_POINT('Ctrl Pts',(-0.471108518145175,2.51328042569635, -0.929593055354983)); #126381=CARTESIAN_POINT('Ctrl Pts',(-0.471061806164626,2.51328025470395, -0.924326189215468)); #126382=CARTESIAN_POINT('Ctrl Pts',(-0.471795851131768,2.50895874298479, -0.913871613289535)); #126383=CARTESIAN_POINT('Ctrl Pts',(-0.473411324264962,2.49879653178068, -0.909593055354983)); #126384=CARTESIAN_POINT('Ctrl Pts',(-0.474139431837863,2.49368881521814, -0.909593055354983)); #126385=CARTESIAN_POINT('',(-0.472739173339943,2.51328637561569,-1.11346226535498)); #126386=CARTESIAN_POINT('Ctrl Pts',(-0.472739173339943,2.51328637561569, -1.11346226535498)); #126387=CARTESIAN_POINT('Ctrl Pts',(-0.4721956501385,2.51328439879455,-1.05217252841901)); #126388=CARTESIAN_POINT('Ctrl Pts',(-0.471652098501807,2.51328241550938, -0.990882791751418)); #126389=CARTESIAN_POINT('Ctrl Pts',(-0.471108518145175,2.51328042569635, -0.929593055354983)); #126390=CARTESIAN_POINT('',(-0.468881370833791,2.53287960932796,-1.13346226535498)); #126391=CARTESIAN_POINT('Ctrl Pts',(-0.468881370833791,2.53287960932796, -1.13346226535498)); #126392=CARTESIAN_POINT('Ctrl Pts',(-0.469867681091754,2.52854860916105, -1.13346226535498)); #126393=CARTESIAN_POINT('Ctrl Pts',(-0.471981304106538,2.5183476226126, -1.12992992800329)); #126394=CARTESIAN_POINT('Ctrl Pts',(-0.472792784815032,2.51328657060331, -1.11950769855125)); #126395=CARTESIAN_POINT('Ctrl Pts',(-0.472739173339943,2.51328637561569, -1.11346226535498)); #126396=CARTESIAN_POINT('',(-0.106524255510103,2.89503945011187,-1.13346226535498)); #126397=CARTESIAN_POINT('Origin',(4.15240895036968E-16,2.4261,-1.13346226535498)); #126398=CARTESIAN_POINT('',(-0.086931775319495,2.89888760653763,-1.11363679606495)); #126399=CARTESIAN_POINT('Ctrl Pts',(-0.086931775319495,2.89888760653763, -1.11363679606495)); #126400=CARTESIAN_POINT('Ctrl Pts',(-0.0869704654866027,2.89892049912365, -1.11814551249468)); #126401=CARTESIAN_POINT('Ctrl Pts',(-0.0907151004876633,2.89834970436427, -1.1286373342779)); #126402=CARTESIAN_POINT('Ctrl Pts',(-0.100781030000203,2.89634408085156, -1.13346226535498)); #126403=CARTESIAN_POINT('Ctrl Pts',(-0.106524255510103,2.89503945011187, -1.13346226535498)); #126404=CARTESIAN_POINT('',(-0.0853510509349796,2.89754183218907,-0.929418524645015)); #126405=CARTESIAN_POINT('Ctrl Pts',(-0.0853510509349796,2.89754183218907, -0.929418524645015)); #126406=CARTESIAN_POINT('Ctrl Pts',(-0.0858779295251417,2.89799103887085, -0.990824610585023)); #126407=CARTESIAN_POINT('Ctrl Pts',(-0.0864048377500966,2.89843962865011, -1.05223070107512)); #126408=CARTESIAN_POINT('Ctrl Pts',(-0.086931775319495,2.89888760653763, -1.11363679606495)); #126409=CARTESIAN_POINT('',(-0.0657602055977898,2.9004965051788,-0.909593055354983)); #126410=CARTESIAN_POINT('Ctrl Pts',(-0.0657602055977898,2.9004965051788, -0.909593055354983)); #126411=CARTESIAN_POINT('Ctrl Pts',(-0.0708410124618932,2.89979221056377, -0.909593055354983)); #126412=CARTESIAN_POINT('Ctrl Pts',(-0.0809497449761828,2.8982265241325, -0.913825179428405)); #126413=CARTESIAN_POINT('Ctrl Pts',(-0.0853061235709934,2.8975035279716, -0.924182377977652)); #126414=CARTESIAN_POINT('Ctrl Pts',(-0.0853510509349795,2.89754183218907, -0.929418524645015)); #126415=CARTESIAN_POINT('',(0.0657602055977907,2.9004965051788,-0.909593055354983)); #126416=CARTESIAN_POINT('Origin',(4.56959209990669E-16,2.4261,-0.909593055354983)); #126417=CARTESIAN_POINT('',(0.0853510509349805,2.89754183218907,-0.929418524645015)); #126418=CARTESIAN_POINT('Ctrl Pts',(0.0853510509349805,2.89754183218907, -0.929418524645015)); #126419=CARTESIAN_POINT('Ctrl Pts',(0.0853061241350667,2.89750352845252, -0.924182443718542)); #126420=CARTESIAN_POINT('Ctrl Pts',(0.0809499150925722,2.89822649551149, -0.91382537701912)); #126421=CARTESIAN_POINT('Ctrl Pts',(0.070840948671328,2.89979221940633, -0.909593055354983)); #126422=CARTESIAN_POINT('Ctrl Pts',(0.0657602055977908,2.9004965051788, -0.909593055354983)); #126423=CARTESIAN_POINT('',(0.086931775319496,2.89888760653763,-1.11363679606495)); #126424=CARTESIAN_POINT('Ctrl Pts',(0.086931775319496,2.89888760653763, -1.11363679606495)); #126425=CARTESIAN_POINT('Ctrl Pts',(0.0864048377500976,2.89843962865011, -1.05223070107512)); #126426=CARTESIAN_POINT('Ctrl Pts',(0.0858779295251427,2.89799103887085, -0.990824610585024)); #126427=CARTESIAN_POINT('Ctrl Pts',(0.0853510509349806,2.89754183218907, -0.929418524645016)); #126428=CARTESIAN_POINT('',(0.106524255510104,2.89503945011187,-1.13346226535498)); #126429=CARTESIAN_POINT('Ctrl Pts',(0.106524255510104,2.89503945011187, -1.13346226535498)); #126430=CARTESIAN_POINT('Ctrl Pts',(0.102216780270405,2.89601793591196, -1.13346226535498)); #126431=CARTESIAN_POINT('Ctrl Pts',(0.0920727157958135,2.8981155536879, -1.12996978196996)); #126432=CARTESIAN_POINT('Ctrl Pts',(0.0869833628809298,2.89893146389026, -1.11964849627701)); #126433=CARTESIAN_POINT('Ctrl Pts',(0.086931775319496,2.89888760653763, -1.11363679606495)); #126434=CARTESIAN_POINT('',(0.468881370833792,2.53287960932796,-1.13346226535498)); #126435=CARTESIAN_POINT('Origin',(4.15240895036968E-16,2.4261,-1.13346226535498)); #126436=CARTESIAN_POINT('',(0.472739173339944,2.51328637561569,-1.11346226535498)); #126437=CARTESIAN_POINT('Ctrl Pts',(0.472739173339944,2.51328637561569, -1.11346226535498)); #126438=CARTESIAN_POINT('Ctrl Pts',(0.47277938137614,2.51328652185433,-1.11799627596317)); #126439=CARTESIAN_POINT('Ctrl Pts',(0.472216653824455,2.51698468722047, -1.12858084814428)); #126440=CARTESIAN_POINT('Ctrl Pts',(0.470196432531112,2.52710502431822, -1.13346226535498)); #126441=CARTESIAN_POINT('Ctrl Pts',(0.468881370833792,2.53287960932796, -1.13346226535498)); #126442=CARTESIAN_POINT('',(0.471108518145176,2.51328042569635,-0.929593055354983)); #126443=CARTESIAN_POINT('Ctrl Pts',(0.471108518145176,2.51328042569635, -0.929593055354983)); #126444=CARTESIAN_POINT('Ctrl Pts',(0.471652098501808,2.51328241550938, -0.990882791751418)); #126445=CARTESIAN_POINT('Ctrl Pts',(0.472195650138501,2.51328439879455, -1.05217252841901)); #126446=CARTESIAN_POINT('Ctrl Pts',(0.472739173339944,2.51328637561569, -1.11346226535498)); #126447=CARTESIAN_POINT('',(0.474139431837864,2.49368881521814,-0.909593055354983)); #126448=CARTESIAN_POINT('Ctrl Pts',(0.474139431837864,2.49368881521814, -0.909593055354983)); #126449=CARTESIAN_POINT('Ctrl Pts',(0.473411314170466,2.49879660259415, -0.909593055354983)); #126450=CARTESIAN_POINT('Ctrl Pts',(0.471795876747937,2.50895859440467, -0.913871434575969)); #126451=CARTESIAN_POINT('Ctrl Pts',(0.471061805517011,2.51328025470158, -0.92432611619554)); #126452=CARTESIAN_POINT('Ctrl Pts',(0.471108518145176,2.51328042569635, -0.929593055354983)); #126453=CARTESIAN_POINT('',(0.476452190755092,2.37741983267492,-0.909593055354983)); #126454=CARTESIAN_POINT('Origin',(5.24514814783538E-16,2.4261,-0.909593055354983)); #126455=CARTESIAN_POINT('',(0.47421650022741,2.35782911348488,-0.929418524645015)); #126456=CARTESIAN_POINT('Ctrl Pts',(0.47421650022741,2.35782911348488,-0.929418524645015)); #126457=CARTESIAN_POINT('Ctrl Pts',(0.474176893650817,2.35787389843396, -0.92419510381322)); #126458=CARTESIAN_POINT('Ctrl Pts',(0.474734860105891,2.36220313439174, -0.913851078160878)); #126459=CARTESIAN_POINT('Ctrl Pts',(0.475932090151741,2.3723294008729,-0.909593055354983)); #126460=CARTESIAN_POINT('Ctrl Pts',(0.476452190755092,2.37741983267492, -0.909593055354983)); #126461=CARTESIAN_POINT('Ctrl Pts',(0.477082281513271,2.35457885086041, -1.30846226535498)); #126462=CARTESIAN_POINT('Ctrl Pts',(0.476129777365831,2.35566240089922, -1.18211433074478)); #126463=CARTESIAN_POINT('Ctrl Pts',(0.475174532834265,2.35674582264775, -1.05576641700906)); #126464=CARTESIAN_POINT('Ctrl Pts',(0.47421650022741,2.35782911348488,-0.929418524645016)); #126465=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.6525)); #126466=CARTESIAN_POINT('Origin',(0.37397529,2.4261,-0.6525)); #126467=CARTESIAN_POINT('Ctrl Pts',(-0.486971393711157,2.36309595712469, -1.30846226535498)); #126468=CARTESIAN_POINT('Ctrl Pts',(-0.486021748669321,2.36419857884567, -1.18211433074479)); #126469=CARTESIAN_POINT('Ctrl Pts',(-0.485069326044766,2.36530120038448, -1.05576641700906)); #126470=CARTESIAN_POINT('Ctrl Pts',(-0.484114076368085,2.36640382173678, -0.929418524645016)); #126471=CARTESIAN_POINT('Ctrl Pts',(-0.484263041182134,2.36309577949168, -1.30848262008177)); #126472=CARTESIAN_POINT('Ctrl Pts',(-0.483309669895405,2.36419851518523, -1.18213475428538)); #126473=CARTESIAN_POINT('Ctrl Pts',(-0.482353659888963,2.36530090421127, -1.05578690545253)); #126474=CARTESIAN_POINT('Ctrl Pts',(-0.481394635740755,2.36640364232383, -0.929439083333466)); #126475=CARTESIAN_POINT('Ctrl Pts',(-0.479558496838888,2.36105943281356, -1.30850020902881)); #126476=CARTESIAN_POINT('Ctrl Pts',(-0.478599004148522,2.36215621796891, -1.1821524061177)); #126477=CARTESIAN_POINT('Ctrl Pts',(-0.47763662708077,2.36325303696347, -1.05580462667254)); #126478=CARTESIAN_POINT('Ctrl Pts',(-0.476671408839653,2.36434971871858, -0.929456868837481)); #126479=CARTESIAN_POINT('Ctrl Pts',(-0.477383562313207,2.35658764775082, -1.30847753140007)); #126480=CARTESIAN_POINT('Ctrl Pts',(-0.476427561764726,2.35767447989204, -1.18212964737416)); #126481=CARTESIAN_POINT('Ctrl Pts',(-0.475468875845886,2.35876126555744, -1.05578178439735)); #126482=CARTESIAN_POINT('Ctrl Pts',(-0.474507266540847,2.35984786147924, -0.929433943661353)); #126483=CARTESIAN_POINT('Ctrl Pts',(-0.47708228151327,2.35457885086041, -1.30846226535498)); #126484=CARTESIAN_POINT('Ctrl Pts',(-0.47612977736583,2.35566240089922, -1.18211433074479)); #126485=CARTESIAN_POINT('Ctrl Pts',(-0.475174532834264,2.35674582264775, -1.05576641700906)); #126486=CARTESIAN_POINT('Ctrl Pts',(-0.474216500227409,2.35782911348488, -0.929418524645016)); #126487=CARTESIAN_POINT('',(-0.484114076368085,2.36640382173678,-0.929418524645016)); #126488=CARTESIAN_POINT('Ctrl Pts',(-0.486971393711157,2.36309595712469, -1.30846226535498)); #126489=CARTESIAN_POINT('Ctrl Pts',(-0.486021748669321,2.36419857884567, -1.18211433074479)); #126490=CARTESIAN_POINT('Ctrl Pts',(-0.485069326044766,2.36530120038448, -1.05576641700906)); #126491=CARTESIAN_POINT('Ctrl Pts',(-0.484114076368085,2.36640382173678, -0.929418524645016)); #126492=CARTESIAN_POINT('Origin',(-0.484114076368085,2.35640420250614,-0.929331259290032)); #126493=CARTESIAN_POINT('Ctrl Pts',(-0.476452190755091,2.37741983267492, -0.909593055354983)); #126494=CARTESIAN_POINT('Ctrl Pts',(-0.475932090173654,2.37232940108737, -0.909593055354983)); #126495=CARTESIAN_POINT('Ctrl Pts',(-0.474734855128592,2.3622030957449, -0.913851123181624)); #126496=CARTESIAN_POINT('Ctrl Pts',(-0.474176893652485,2.35787389843207, -0.924195104033298)); #126497=CARTESIAN_POINT('Ctrl Pts',(-0.474216500227409,2.35782911348488, -0.929418524645015)); #126498=CARTESIAN_POINT('Ctrl Pts',(-0.476474792185617,2.3774175234371, -0.912196405691163)); #126499=CARTESIAN_POINT('Ctrl Pts',(-0.476024222727716,2.37299753513823, -0.912151996068318)); #126500=CARTESIAN_POINT('Ctrl Pts',(-0.474998210386712,2.36410101481965, -0.915752208668611)); #126501=CARTESIAN_POINT('Ctrl Pts',(-0.474520305731154,2.36022049269719, -0.924841596440877)); #126502=CARTESIAN_POINT('Ctrl Pts',(-0.474555727593087,2.3601843194783, -0.929436513497409)); #126503=CARTESIAN_POINT('Ctrl Pts',(-0.478657083883149,2.37719455389881, -0.917357257112346)); #126504=CARTESIAN_POINT('Ctrl Pts',(-0.478261559957875,2.37408918149674, -0.917228490223695)); #126505=CARTESIAN_POINT('Ctrl Pts',(-0.477374124043458,2.36762447318725, -0.919524086861692)); #126506=CARTESIAN_POINT('Ctrl Pts',(-0.476960411273581,2.36464443839857, -0.926111910448791)); #126507=CARTESIAN_POINT('Ctrl Pts',(-0.476992588033927,2.36462436241731, -0.92945683735049)); #126508=CARTESIAN_POINT('Ctrl Pts',(-0.483810055272365,2.37666806348841, -0.919505789999999)); #126509=CARTESIAN_POINT('Ctrl Pts',(-0.483325498418998,2.37409236199237, -0.919435509461305)); #126510=CARTESIAN_POINT('Ctrl Pts',(-0.482216280448901,2.36880679083356, -0.92140640813266)); #126511=CARTESIAN_POINT('Ctrl Pts',(-0.481697571300837,2.36642242675464, -0.92673882672746)); #126512=CARTESIAN_POINT('Ctrl Pts',(-0.481734565819171,2.36640366475045, -0.929436513497409)); #126513=CARTESIAN_POINT('Ctrl Pts',(-0.486400021305254,2.37640344096742, -0.919505789999999)); #126514=CARTESIAN_POINT('Ctrl Pts',(-0.485869061559867,2.37380508369183, -0.919505789999999)); #126515=CARTESIAN_POINT('Ctrl Pts',(-0.484646058086981,2.36863626036894, -0.92163482391332)); #126516=CARTESIAN_POINT('Ctrl Pts',(-0.484074584843872,2.36642661378733, -0.926806814339157)); #126517=CARTESIAN_POINT('Ctrl Pts',(-0.484114076368085,2.36640382173678, -0.929418524645016)); #126518=CARTESIAN_POINT('',(-0.486400021305254,2.37640344096742,-0.919505789999999)); #126519=CARTESIAN_POINT('Ctrl Pts',(-0.484114076368085,2.36640382173678, -0.929418524645016)); #126520=CARTESIAN_POINT('Ctrl Pts',(-0.484074584843872,2.36642661378733, -0.926806814339157)); #126521=CARTESIAN_POINT('Ctrl Pts',(-0.484646058086981,2.36863626036894, -0.92163482391332)); #126522=CARTESIAN_POINT('Ctrl Pts',(-0.485869061559867,2.37380508369183, -0.919505789999999)); #126523=CARTESIAN_POINT('Ctrl Pts',(-0.486400021305254,2.37640344096742, -0.919505789999999)); #126524=CARTESIAN_POINT('Origin',(-0.486400021305254,2.37640344096742,-0.909505789999999)); #126525=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.909505789999999)); #126526=CARTESIAN_POINT('',(-0.484038974366145,2.4951,-0.919505789999999)); #126527=CARTESIAN_POINT('Origin',(-0.484038974366145,2.4951,-0.909505789999999)); #126528=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.919505789999999)); #126529=CARTESIAN_POINT('Ctrl Pts',(-0.471108518145175,2.51328042569635, -0.929593055354983)); #126530=CARTESIAN_POINT('Ctrl Pts',(-0.471061806164626,2.51328025470395, -0.924326189215468)); #126531=CARTESIAN_POINT('Ctrl Pts',(-0.471795851131768,2.50895874298479, -0.913871613289535)); #126532=CARTESIAN_POINT('Ctrl Pts',(-0.473411324264962,2.49879653178068, -0.909593055354983)); #126533=CARTESIAN_POINT('Ctrl Pts',(-0.474139431837863,2.49368881521814, -0.909593055354983)); #126534=CARTESIAN_POINT('Ctrl Pts',(-0.471529375044877,2.51100600588578, -0.929589320235107)); #126535=CARTESIAN_POINT('Ctrl Pts',(-0.471487573344122,2.51100973612248, -0.924942458964963)); #126536=CARTESIAN_POINT('Ctrl Pts',(-0.472129664559064,2.50710681620802, -0.915728253061334)); #126537=CARTESIAN_POINT('Ctrl Pts',(-0.47353021238066,2.4981336314842,-0.912134536841608)); #126538=CARTESIAN_POINT('Ctrl Pts',(-0.474161923558199,2.49369202142422, -0.912196405691163)); #126539=CARTESIAN_POINT('Ctrl Pts',(-0.474017140374804,2.50677679571598, -0.929567241229781)); #126540=CARTESIAN_POINT('Ctrl Pts',(-0.473979032105176,2.50678702886502, -0.926154253140628)); #126541=CARTESIAN_POINT('Ctrl Pts',(-0.474549091695178,2.50373304608517, -0.919414911489253)); #126542=CARTESIAN_POINT('Ctrl Pts',(-0.475778262394557,2.4971296028011, -0.917177795242587)); #126543=CARTESIAN_POINT('Ctrl Pts',(-0.476333692293258,2.49400160815309, -0.917357185625823)); #126544=CARTESIAN_POINT('Ctrl Pts',(-0.478628253456241,2.5051,-0.929526317438029)); #126545=CARTESIAN_POINT('Ctrl Pts',(-0.478584452542977,2.50510549809687, -0.926783351034238)); #126546=CARTESIAN_POINT('Ctrl Pts',(-0.479275268382485,2.50268292237873, -0.92134848339455)); #126547=CARTESIAN_POINT('Ctrl Pts',(-0.480782640993813,2.49732118272588, -0.919407879326669)); #126548=CARTESIAN_POINT('Ctrl Pts',(-0.481461580354446,2.4947325911833, -0.919505789999999)); #126549=CARTESIAN_POINT('Ctrl Pts',(-0.480941194998978,2.5051,-0.929505789999999)); #126550=CARTESIAN_POINT('Ctrl Pts',(-0.480894451375634,2.5051,-0.926872356930242)); #126551=CARTESIAN_POINT('Ctrl Pts',(-0.481645691024641,2.5028943157285, -0.921645068967275)); #126552=CARTESIAN_POINT('Ctrl Pts',(-0.483295664657756,2.49770718020931, -0.919505789999999)); #126553=CARTESIAN_POINT('Ctrl Pts',(-0.484038974366145,2.4951,-0.919505789999999)); #126554=CARTESIAN_POINT('',(-0.480941194998978,2.5051,-0.929505789999999)); #126555=CARTESIAN_POINT('Ctrl Pts',(-0.484038974366145,2.4951,-0.919505789999999)); #126556=CARTESIAN_POINT('Ctrl Pts',(-0.483295664657756,2.49770718020931, -0.919505789999999)); #126557=CARTESIAN_POINT('Ctrl Pts',(-0.481645691024641,2.5028943157285, -0.921645068967275)); #126558=CARTESIAN_POINT('Ctrl Pts',(-0.480894451375634,2.5051,-0.926872356930242)); #126559=CARTESIAN_POINT('Ctrl Pts',(-0.480941194998978,2.5051,-0.929505789999999)); #126560=CARTESIAN_POINT('Origin',(-0.480941194998978,2.5151,-0.929505789999999)); #126561=CARTESIAN_POINT('Ctrl Pts',(-0.480941194998978,2.5051,-0.929505789999999)); #126562=CARTESIAN_POINT('Ctrl Pts',(-0.48148514357809,2.5051,-0.990795526396434)); #126563=CARTESIAN_POINT('Ctrl Pts',(-0.482029061604585,2.5051,-1.05208526306402)); #126564=CARTESIAN_POINT('Ctrl Pts',(-0.482572949387345,2.5051,-1.113375)); #126565=CARTESIAN_POINT('Ctrl Pts',(-0.47829783323585,2.5051,-0.929529249929176)); #126566=CARTESIAN_POINT('Ctrl Pts',(-0.478841391285852,2.50509998877485, -0.990818988910705)); #126567=CARTESIAN_POINT('Ctrl Pts',(-0.479384935314416,2.50510001116438, -1.0521087280277)); #126568=CARTESIAN_POINT('Ctrl Pts',(-0.479928435152465,2.5051,-1.11339846753397)); #126569=CARTESIAN_POINT('Ctrl Pts',(-0.473703016771153,2.50703485727568, -0.929570029087877)); #126570=CARTESIAN_POINT('Ctrl Pts',(-0.474245929458456,2.50703545217146, -0.990859772272902)); #126571=CARTESIAN_POINT('Ctrl Pts',(-0.474788810636619,2.50703603699891, -1.0521495157467)); #126572=CARTESIAN_POINT('Ctrl Pts',(-0.47533166944758,2.50703662824991, -1.11343925943213)); #126573=CARTESIAN_POINT('Ctrl Pts',(-0.471469252630634,2.51133092300158, -0.929589853823661)); #126574=CARTESIAN_POINT('Ctrl Pts',(-0.47201248805839,2.51133255766067, -0.990879593399644)); #126575=CARTESIAN_POINT('Ctrl Pts',(-0.472555704828987,2.51133418076274, -1.05216933316132)); #126576=CARTESIAN_POINT('Ctrl Pts',(-0.473098885002521,2.51133580496749, -1.11345907325809)); #126577=CARTESIAN_POINT('Ctrl Pts',(-0.471108518145175,2.51328042569635, -0.929593055354983)); #126578=CARTESIAN_POINT('Ctrl Pts',(-0.471652098501807,2.51328241550938, -0.990882791751418)); #126579=CARTESIAN_POINT('Ctrl Pts',(-0.4721956501385,2.51328439879455,-1.05217252841901)); #126580=CARTESIAN_POINT('Ctrl Pts',(-0.472739173339943,2.51328637561569, -1.11346226535498)); #126581=CARTESIAN_POINT('',(-0.482572949387345,2.5051,-1.113375)); #126582=CARTESIAN_POINT('Origin',(-0.482572949387344,2.5151,-1.113375)); #126583=CARTESIAN_POINT('Ctrl Pts',(-0.480941194998978,2.5051,-0.929505789999999)); #126584=CARTESIAN_POINT('Ctrl Pts',(-0.48148514357809,2.5051,-0.990795526396434)); #126585=CARTESIAN_POINT('Ctrl Pts',(-0.482029061604585,2.5051,-1.05208526306402)); #126586=CARTESIAN_POINT('Ctrl Pts',(-0.482572949387345,2.5051,-1.113375)); #126587=CARTESIAN_POINT('Ctrl Pts',(-0.468881370833791,2.53287960932796, -1.13346226535498)); #126588=CARTESIAN_POINT('Ctrl Pts',(-0.469867681091754,2.52854860916105, -1.13346226535498)); #126589=CARTESIAN_POINT('Ctrl Pts',(-0.471981304106538,2.5183476226126, -1.12992992800329)); #126590=CARTESIAN_POINT('Ctrl Pts',(-0.472792784815032,2.51328657060331, -1.11950769855125)); #126591=CARTESIAN_POINT('Ctrl Pts',(-0.472739173339943,2.51328637561569, -1.11346226535498)); #126592=CARTESIAN_POINT('Ctrl Pts',(-0.468903522764986,2.53288465404653, -1.13606561569116)); #126593=CARTESIAN_POINT('Ctrl Pts',(-0.470016496970521,2.52800603829091, -1.13598307979435)); #126594=CARTESIAN_POINT('Ctrl Pts',(-0.472352337882071,2.51662418929367, -1.13186347103893)); #126595=CARTESIAN_POINT('Ctrl Pts',(-0.473218008763363,2.51101530072263, -1.12021586334987)); #126596=CARTESIAN_POINT('Ctrl Pts',(-0.473158836946284,2.51101070985945, -1.11345854124195)); #126597=CARTESIAN_POINT('Ctrl Pts',(-0.471042597635665,2.53337179129109, -1.14122627592863)); #126598=CARTESIAN_POINT('Ctrl Pts',(-0.472264773482455,2.52735359977343, -1.14098696088939)); #126599=CARTESIAN_POINT('Ctrl Pts',(-0.474790153307801,2.51352296733476, -1.13570634339769)); #126600=CARTESIAN_POINT('Ctrl Pts',(-0.475709342807937,2.50679025298132, -1.12161051042165)); #126601=CARTESIAN_POINT('Ctrl Pts',(-0.475645971276119,2.50677840337934, -1.11343647030407)); #126602=CARTESIAN_POINT('Ctrl Pts',(-0.47609290146432,2.53452191049683, -1.143375)); #126603=CARTESIAN_POINT('Ctrl Pts',(-0.477173740050487,2.52793239742647, -1.14324438264912)); #126604=CARTESIAN_POINT('Ctrl Pts',(-0.479456864819746,2.5126171576639, -1.13774416624725)); #126605=CARTESIAN_POINT('Ctrl Pts',(-0.480315960985808,2.50510631151826, -1.12233834752329)); #126606=CARTESIAN_POINT('Ctrl Pts',(-0.480258999431825,2.5051,-1.11339553409223)); #126607=CARTESIAN_POINT('Ctrl Pts',(-0.478631358014363,2.5351,-1.143375)); #126608=CARTESIAN_POINT('Ctrl Pts',(-0.479638177750777,2.52846841058189, -1.143375)); #126609=CARTESIAN_POINT('Ctrl Pts',(-0.48179637738069,2.51284896122156, -1.13807649397245)); #126610=CARTESIAN_POINT('Ctrl Pts',(-0.482626596823625,2.5051,-1.12244314979441)); #126611=CARTESIAN_POINT('Ctrl Pts',(-0.482572949387344,2.5051,-1.113375)); #126612=CARTESIAN_POINT('',(-0.478631358014363,2.5351,-1.143375)); #126613=CARTESIAN_POINT('Ctrl Pts',(-0.482572949387344,2.5051,-1.113375)); #126614=CARTESIAN_POINT('Ctrl Pts',(-0.482626596823625,2.5051,-1.12244314979441)); #126615=CARTESIAN_POINT('Ctrl Pts',(-0.48179637738069,2.51284896122156, -1.13807649397245)); #126616=CARTESIAN_POINT('Ctrl Pts',(-0.479638177750777,2.52846841058189, -1.143375)); #126617=CARTESIAN_POINT('Ctrl Pts',(-0.478631358014363,2.5351,-1.143375)); #126618=CARTESIAN_POINT('Origin',(-0.478631358014363,2.5351,-1.133375)); #126619=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.133375)); #126620=CARTESIAN_POINT('',(-0.108739336317849,2.90479064500137,-1.143375)); #126621=CARTESIAN_POINT('Origin',(-0.108739336317849,2.90479064500137,-1.133375)); #126622=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.143375)); #126623=CARTESIAN_POINT('Ctrl Pts',(-0.086931775319495,2.89888760653763, -1.11363679606495)); #126624=CARTESIAN_POINT('Ctrl Pts',(-0.0869704654866027,2.89892049912365, -1.11814551249468)); #126625=CARTESIAN_POINT('Ctrl Pts',(-0.0907151004876633,2.89834970436427, -1.1286373342779)); #126626=CARTESIAN_POINT('Ctrl Pts',(-0.100781030000203,2.89634408085156, -1.13346226535498)); #126627=CARTESIAN_POINT('Ctrl Pts',(-0.106524255510103,2.89503945011187, -1.13346226535498)); #126628=CARTESIAN_POINT('Ctrl Pts',(-0.0846552050196018,2.89930635032956, -1.1136536197339)); #126629=CARTESIAN_POINT('Ctrl Pts',(-0.0847013779094126,2.89934280088357, -1.11869338712856)); #126630=CARTESIAN_POINT('Ctrl Pts',(-0.0888575173734357,2.89873287679965, -1.13041291987079)); #126631=CARTESIAN_POINT('Ctrl Pts',(-0.100059701289677,2.89653382033831, -1.13595642874398)); #126632=CARTESIAN_POINT('Ctrl Pts',(-0.106529288164684,2.89506160478698, -1.13606561569116)); #126633=CARTESIAN_POINT('Ctrl Pts',(-0.0804204395374001,2.90179297350333, -1.11367250183412)); #126634=CARTESIAN_POINT('Ctrl Pts',(-0.0804806854282636,2.90183207995873, -1.11976909188214)); #126635=CARTESIAN_POINT('Ctrl Pts',(-0.0854796719737385,2.90118465033514, -1.13393719887104)); #126636=CARTESIAN_POINT('Ctrl Pts',(-0.0990343611185884,2.89881763961185, -1.14090968555123)); #126637=CARTESIAN_POINT('Ctrl Pts',(-0.107015260443569,2.89720094452832, -1.14122627602351)); #126638=CARTESIAN_POINT('Ctrl Pts',(-0.0787406254438583,2.90640759787686, -1.1136536197339)); #126639=CARTESIAN_POINT('Ctrl Pts',(-0.0788026918721154,2.90644252802594, -1.12032341371405)); #126640=CARTESIAN_POINT('Ctrl Pts',(-0.0843708767049816,2.90583728851813, -1.13582586963633)); #126641=CARTESIAN_POINT('Ctrl Pts',(-0.0994243346444152,2.90368156704461, -1.14320220597531)); #126642=CARTESIAN_POINT('Ctrl Pts',(-0.108162629263659,2.90225187401844, -1.143375)); #126643=CARTESIAN_POINT('Ctrl Pts',(-0.0787404786259236,2.90872235891681, -1.11363679606495)); #126644=CARTESIAN_POINT('Ctrl Pts',(-0.078799499084206,2.90875513073564, -1.12039987070954)); #126645=CARTESIAN_POINT('Ctrl Pts',(-0.0845327716471707,2.90817058959959, -1.13613760338437)); #126646=CARTESIAN_POINT('Ctrl Pts',(-0.0999453598575626,2.90612240442174, -1.143375)); #126647=CARTESIAN_POINT('Ctrl Pts',(-0.108739336317849,2.90479064500137, -1.143375)); #126648=CARTESIAN_POINT('',(-0.0787404786259237,2.90872235891681,-1.11363679606495)); #126649=CARTESIAN_POINT('Ctrl Pts',(-0.108739336317849,2.90479064500137, -1.143375)); #126650=CARTESIAN_POINT('Ctrl Pts',(-0.0999453598575626,2.90612240442174, -1.143375)); #126651=CARTESIAN_POINT('Ctrl Pts',(-0.0845327716471707,2.90817058959959, -1.13613760338437)); #126652=CARTESIAN_POINT('Ctrl Pts',(-0.078799499084206,2.90875513073564, -1.12039987070954)); #126653=CARTESIAN_POINT('Ctrl Pts',(-0.0787404786259236,2.90872235891681, -1.11363679606495)); #126654=CARTESIAN_POINT('Origin',(-0.0887400978565654,2.90872235891681, -1.11354953070997)); #126655=CARTESIAN_POINT('Ctrl Pts',(-0.0787404786259237,2.90872235891681, -1.11363679606495)); #126656=CARTESIAN_POINT('Ctrl Pts',(-0.0782045957534007,2.90827602580819, -1.05223070107512)); #126657=CARTESIAN_POINT('Ctrl Pts',(-0.0776687129201462,2.90782907357952, -0.990824610585023)); #126658=CARTESIAN_POINT('Ctrl Pts',(-0.0771328301265998,2.90738149704205, -0.929418524645015)); #126659=CARTESIAN_POINT('Ctrl Pts',(-0.078740646417849,2.90607691772829, -1.11365602311518)); #126660=CARTESIAN_POINT('Ctrl Pts',(-0.0782047132522817,2.90562882946231, -1.05224995915145)); #126661=CARTESIAN_POINT('Ctrl Pts',(-0.0776689323931751,2.90518018600213, -0.990843897860373)); #126662=CARTESIAN_POINT('Ctrl Pts',(-0.0771329987175247,2.90473084345133, -0.929437843251519)); #126663=CARTESIAN_POINT('Ctrl Pts',(-0.0806788649362251,2.90147858269682, -1.11367253175429)); #126664=CARTESIAN_POINT('Ctrl Pts',(-0.0801456588866014,2.90102759450667, -1.05226649591853)); #126665=CARTESIAN_POINT('Ctrl Pts',(-0.0796124266818478,2.90057595336491, -0.990860465396285)); #126666=CARTESIAN_POINT('Ctrl Pts',(-0.0790792432869765,2.90012369429373, -0.929454439210619)); #126667=CARTESIAN_POINT('Ctrl Pts',(-0.084980429348158,2.89924652978786, -1.11365121635262)); #126668=CARTESIAN_POINT('Ctrl Pts',(-0.0844518971223745,2.89879699845293, -1.05224514419026)); #126669=CARTESIAN_POINT('Ctrl Pts',(-0.0839233624799328,2.89834688794292, -0.990839076489696)); #126670=CARTESIAN_POINT('Ctrl Pts',(-0.0833948832516266,2.8978961103541, -0.929433013599893)); #126671=CARTESIAN_POINT('Ctrl Pts',(-0.086931775319495,2.89888760653763, -1.11363679606495)); #126672=CARTESIAN_POINT('Ctrl Pts',(-0.0864048377500966,2.89843962865011, -1.05223070107512)); #126673=CARTESIAN_POINT('Ctrl Pts',(-0.0858779295251417,2.89799103887085, -0.990824610585023)); #126674=CARTESIAN_POINT('Ctrl Pts',(-0.0853510509349796,2.89754183218907, -0.929418524645015)); #126675=CARTESIAN_POINT('Origin',(-0.0871324493572415,2.90738149704205, -0.929331259290032)); #126676=CARTESIAN_POINT('Ctrl Pts',(-0.0787404786259237,2.90872235891681, -1.11363679606495)); #126677=CARTESIAN_POINT('Ctrl Pts',(-0.0782045957534007,2.90827602580819, -1.05223070107512)); #126678=CARTESIAN_POINT('Ctrl Pts',(-0.0776687129201462,2.90782907357952, -0.990824610585023)); #126679=CARTESIAN_POINT('Ctrl Pts',(-0.0771328301265998,2.90738149704205, -0.929418524645015)); #126680=CARTESIAN_POINT('Ctrl Pts',(-0.0657602055977898,2.9004965051788, -0.909593055354983)); #126681=CARTESIAN_POINT('Ctrl Pts',(-0.0708410124618932,2.89979221056377, -0.909593055354983)); #126682=CARTESIAN_POINT('Ctrl Pts',(-0.0809497449761828,2.8982265241325, -0.913825179428405)); #126683=CARTESIAN_POINT('Ctrl Pts',(-0.0853061235709934,2.8975035279716, -0.924182377977652)); #126684=CARTESIAN_POINT('Ctrl Pts',(-0.0853510509349795,2.89754183218907, -0.929418524645015)); #126685=CARTESIAN_POINT('Ctrl Pts',(-0.0657633250602395,2.90051900909391, -0.912196405691163)); #126686=CARTESIAN_POINT('Ctrl Pts',(-0.0701808051172256,2.89990805934634, -0.912136527831758)); #126687=CARTESIAN_POINT('Ctrl Pts',(-0.0791013760554659,2.89855198833693, -0.915692597449309)); #126688=CARTESIAN_POINT('Ctrl Pts',(-0.0830323159853372,2.89792069703874, -0.924816927831266)); #126689=CARTESIAN_POINT('Ctrl Pts',(-0.0830688553044009,2.89795515671493, -0.929435428425706)); #126690=CARTESIAN_POINT('Ctrl Pts',(-0.0660645358320637,2.90269195451244, -0.917357186467397)); #126691=CARTESIAN_POINT('Ctrl Pts',(-0.0691747182988664,2.90215487481429, -0.917183569013325)); #126692=CARTESIAN_POINT('Ctrl Pts',(-0.0757294421810715,2.90096628306238, -0.91940033862449)); #126693=CARTESIAN_POINT('Ctrl Pts',(-0.0787986517921902,2.9004069850632, -0.926065007233279)); #126694=CARTESIAN_POINT('Ctrl Pts',(-0.078819621074261,2.9004385107318, -0.929454410266018)); #126695=CARTESIAN_POINT('Ctrl Pts',(-0.066775742293402,2.90782262368618, -0.919505789999999)); #126696=CARTESIAN_POINT('Ctrl Pts',(-0.0693502387857625,2.90716595260151, -0.919411030172168)); #126697=CARTESIAN_POINT('Ctrl Pts',(-0.0746767526973326,2.90570594365028, -0.921332981337989)); #126698=CARTESIAN_POINT('Ctrl Pts',(-0.0771136966785384,2.9050262735696, -0.926710553683091)); #126699=CARTESIAN_POINT('Ctrl Pts',(-0.0771329776436588,2.90506217515017, -0.929435428425706)); #126700=CARTESIAN_POINT('Ctrl Pts',(-0.0671332108959581,2.91040141513342, -0.919505789999999)); #126701=CARTESIAN_POINT('Ctrl Pts',(-0.0697266553326719,2.90968241557291, -0.919505789999999)); #126702=CARTESIAN_POINT('Ctrl Pts',(-0.0748864953738055,2.90808327605071, -0.921621852036711)); #126703=CARTESIAN_POINT('Ctrl Pts',(-0.0771099825467499,2.90734333182831, -0.926800451311334)); #126704=CARTESIAN_POINT('Ctrl Pts',(-0.0771328301265995,2.90738149704205, -0.929418524645015)); #126705=CARTESIAN_POINT('Origin',(-0.067133210895958,2.91040141513342,-0.909505789999999)); #126706=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.909505789999999)); #126707=CARTESIAN_POINT('Origin',(0.0671332108959591,2.91040141513342,-0.909505789999999)); #126708=CARTESIAN_POINT('Ctrl Pts',(0.0853510509349805,2.89754183218907, -0.929418524645015)); #126709=CARTESIAN_POINT('Ctrl Pts',(0.0853061241350667,2.89750352845252, -0.924182443718542)); #126710=CARTESIAN_POINT('Ctrl Pts',(0.0809499150925722,2.89822649551149, -0.91382537701912)); #126711=CARTESIAN_POINT('Ctrl Pts',(0.070840948671328,2.89979221940633, -0.909593055354983)); #126712=CARTESIAN_POINT('Ctrl Pts',(0.0657602055977908,2.9004965051788, -0.909593055354983)); #126713=CARTESIAN_POINT('Ctrl Pts',(0.0830688553044019,2.89795515671493, -0.929435428425706)); #126714=CARTESIAN_POINT('Ctrl Pts',(0.0830323164440969,2.89792069747138, -0.924816985817483)); #126715=CARTESIAN_POINT('Ctrl Pts',(0.0791015285429071,2.89855196357341, -0.915692769393716)); #126716=CARTESIAN_POINT('Ctrl Pts',(0.0701807496548637,2.89990806701694, -0.912136528583536)); #126717=CARTESIAN_POINT('Ctrl Pts',(0.0657633250602405,2.90051900909391, -0.912196405691163)); #126718=CARTESIAN_POINT('Ctrl Pts',(0.078819621074262,2.9004385107318,-0.929454410266018)); #126719=CARTESIAN_POINT('Ctrl Pts',(0.0787986520554649,2.90040698545901, -0.926065049787925)); #126720=CARTESIAN_POINT('Ctrl Pts',(0.0757295592423229,2.90096626110113, -0.919400459706888)); #126721=CARTESIAN_POINT('Ctrl Pts',(0.0691746792498923,2.90215488155744, -0.917183571193128)); #126722=CARTESIAN_POINT('Ctrl Pts',(0.0660645358320647,2.90269195451244, -0.917357186467397)); #126723=CARTESIAN_POINT('Ctrl Pts',(0.0771329776436598,2.90506217515017, -0.929435428425706)); #126724=CARTESIAN_POINT('Ctrl Pts',(0.0771136969206158,2.90502627402035, -0.926710587894449)); #126725=CARTESIAN_POINT('Ctrl Pts',(0.0746768459770297,2.90570591688064, -0.921333080297525)); #126726=CARTESIAN_POINT('Ctrl Pts',(0.0693502064624351,2.90716596084615, -0.919411031361897)); #126727=CARTESIAN_POINT('Ctrl Pts',(0.066775742293403,2.90782262368618, -0.919505789999999)); #126728=CARTESIAN_POINT('Ctrl Pts',(0.0771328301266005,2.90738149704205, -0.929418524645016)); #126729=CARTESIAN_POINT('Ctrl Pts',(0.077109982833607,2.90734333230748, -0.926800484181779)); #126730=CARTESIAN_POINT('Ctrl Pts',(0.0748865822047139,2.90808324679669, -0.921621950832068)); #126731=CARTESIAN_POINT('Ctrl Pts',(0.0697266227714492,2.90968242460009, -0.919505789999999)); #126732=CARTESIAN_POINT('Ctrl Pts',(0.0671332108959591,2.91040141513342, -0.919505789999999)); #126733=CARTESIAN_POINT('Origin',(0.0871324493572425,2.90738149704205,-0.929331259290032)); #126734=CARTESIAN_POINT('Ctrl Pts',(0.0771328301266008,2.90738149704205, -0.929418524645016)); #126735=CARTESIAN_POINT('Ctrl Pts',(0.0776687129201472,2.90782907357952, -0.990824610585024)); #126736=CARTESIAN_POINT('Ctrl Pts',(0.0782045957534017,2.90827602580819, -1.05223070107512)); #126737=CARTESIAN_POINT('Ctrl Pts',(0.0787404786259247,2.90872235891681, -1.11363679606495)); #126738=CARTESIAN_POINT('Ctrl Pts',(0.0771329987175257,2.90473084345133, -0.929437843251519)); #126739=CARTESIAN_POINT('Ctrl Pts',(0.0776689323931761,2.90518018600213, -0.990843897860374)); #126740=CARTESIAN_POINT('Ctrl Pts',(0.0782047132522827,2.90562882946231, -1.05224995915145)); #126741=CARTESIAN_POINT('Ctrl Pts',(0.07874064641785,2.90607691772829,-1.11365602311518)); #126742=CARTESIAN_POINT('Ctrl Pts',(0.0790792432869774,2.90012369429373, -0.92945443921062)); #126743=CARTESIAN_POINT('Ctrl Pts',(0.0796124266818487,2.90057595336491, -0.990860465396286)); #126744=CARTESIAN_POINT('Ctrl Pts',(0.0801456588866024,2.90102759450667, -1.05226649591853)); #126745=CARTESIAN_POINT('Ctrl Pts',(0.0806788649362261,2.90147858269682, -1.11367253175429)); #126746=CARTESIAN_POINT('Ctrl Pts',(0.0833948832516276,2.8978961103541, -0.929433013599893)); #126747=CARTESIAN_POINT('Ctrl Pts',(0.0839233624799338,2.89834688794292, -0.990839076489697)); #126748=CARTESIAN_POINT('Ctrl Pts',(0.0844518971223755,2.89879699845293, -1.05224514419026)); #126749=CARTESIAN_POINT('Ctrl Pts',(0.084980429348159,2.89924652978786, -1.11365121635262)); #126750=CARTESIAN_POINT('Ctrl Pts',(0.0853510509349806,2.89754183218907, -0.929418524645016)); #126751=CARTESIAN_POINT('Ctrl Pts',(0.0858779295251427,2.89799103887085, -0.990824610585024)); #126752=CARTESIAN_POINT('Ctrl Pts',(0.0864048377500976,2.89843962865011, -1.05223070107512)); #126753=CARTESIAN_POINT('Ctrl Pts',(0.086931775319496,2.89888760653763, -1.11363679606495)); #126754=CARTESIAN_POINT('Origin',(0.0887400978565664,2.90872235891681,-1.11354953070997)); #126755=CARTESIAN_POINT('Ctrl Pts',(0.106524255510104,2.89503945011187, -1.13346226535498)); #126756=CARTESIAN_POINT('Ctrl Pts',(0.102216780270405,2.89601793591196, -1.13346226535498)); #126757=CARTESIAN_POINT('Ctrl Pts',(0.0920727157958135,2.8981155536879, -1.12996978196996)); #126758=CARTESIAN_POINT('Ctrl Pts',(0.0869833628809298,2.89893146389026, -1.11964849627701)); #126759=CARTESIAN_POINT('Ctrl Pts',(0.086931775319496,2.89888760653763, -1.11363679606495)); #126760=CARTESIAN_POINT('Ctrl Pts',(0.106529288164684,2.89506160478698, -1.13606561569116)); #126761=CARTESIAN_POINT('Ctrl Pts',(0.101677034805116,2.89616578083299, -1.13598372441409)); #126762=CARTESIAN_POINT('Ctrl Pts',(0.0903575481672631,2.89848428361816, -1.13190963678534)); #126763=CARTESIAN_POINT('Ctrl Pts',(0.084716769674598,2.8993549517013,-1.12037339712231)); #126764=CARTESIAN_POINT('Ctrl Pts',(0.0846552050196028,2.89930635032956, -1.1136536197339)); #126765=CARTESIAN_POINT('Ctrl Pts',(0.10701526044357,2.89720094452832,-1.14122627602351)); #126766=CARTESIAN_POINT('Ctrl Pts',(0.101029507982061,2.89841348163495, -1.14098883007643)); #126767=CARTESIAN_POINT('Ctrl Pts',(0.0872727685626308,2.90092047268718, -1.13576497832056)); #126768=CARTESIAN_POINT('Ctrl Pts',(0.0805007684382147,2.90184511612305, -1.12180139444819)); #126769=CARTESIAN_POINT('Ctrl Pts',(0.0804204395374011,2.90179297350333, -1.11367250183412)); #126770=CARTESIAN_POINT('Ctrl Pts',(0.10816262926366,2.90225187401844,-1.143375)); #126771=CARTESIAN_POINT('Ctrl Pts',(0.101608822932234,2.90332415775516, -1.1432454027934)); #126772=CARTESIAN_POINT('Ctrl Pts',(0.0863772517219083,2.90559024355559, -1.13780576977316)); #126773=CARTESIAN_POINT('Ctrl Pts',(0.0788233817594828,2.90645417201562, -1.12254679421267)); #126774=CARTESIAN_POINT('Ctrl Pts',(0.0787406254438593,2.90640759787686, -1.1136536197339)); #126775=CARTESIAN_POINT('Ctrl Pts',(0.10873933631785,2.90479064500137,-1.143375)); #126776=CARTESIAN_POINT('Ctrl Pts',(0.10214376806167,2.905789477577,-1.143375)); #126777=CARTESIAN_POINT('Ctrl Pts',(0.0866114744786891,2.9079313321551, -1.13813627492246)); #126778=CARTESIAN_POINT('Ctrl Pts',(0.0788191735953471,2.90876605524441, -1.12265434638304)); #126779=CARTESIAN_POINT('Ctrl Pts',(0.0787404786259246,2.90872235891681, -1.11363679606495)); #126780=CARTESIAN_POINT('Origin',(0.10873933631785,2.90479064500137,-1.133375)); #126781=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.133375)); #126782=CARTESIAN_POINT('Origin',(0.478631358014364,2.5351,-1.133375)); #126783=CARTESIAN_POINT('Ctrl Pts',(0.472739173339944,2.51328637561569, -1.11346226535498)); #126784=CARTESIAN_POINT('Ctrl Pts',(0.47277938137614,2.51328652185433,-1.11799627596317)); #126785=CARTESIAN_POINT('Ctrl Pts',(0.472216653824455,2.51698468722047, -1.12858084814428)); #126786=CARTESIAN_POINT('Ctrl Pts',(0.470196432531112,2.52710502431822, -1.13346226535498)); #126787=CARTESIAN_POINT('Ctrl Pts',(0.468881370833792,2.53287960932796, -1.13346226535498)); #126788=CARTESIAN_POINT('Ctrl Pts',(0.473158836946285,2.51101070985945, -1.11345854124195)); #126789=CARTESIAN_POINT('Ctrl Pts',(0.473203215179843,2.51101415295801, -1.11852646096342)); #126790=CARTESIAN_POINT('Ctrl Pts',(0.472602132500789,2.51511849547383, -1.13034835894478)); #126791=CARTESIAN_POINT('Ctrl Pts',(0.470387467331099,2.52637992527142, -1.13595556938913)); #126792=CARTESIAN_POINT('Ctrl Pts',(0.468903522764987,2.53288465404653, -1.13606561569116)); #126793=CARTESIAN_POINT('Ctrl Pts',(0.47564597127612,2.50677840337934,-1.11343647030407)); #126794=CARTESIAN_POINT('Ctrl Pts',(0.475693499251071,2.50678729045481, -1.11956691346698)); #126795=CARTESIAN_POINT('Ctrl Pts',(0.475055526095054,2.51172350869426, -1.13385662380535)); #126796=CARTESIAN_POINT('Ctrl Pts',(0.472672142325613,2.52534764971077, -1.140907193734)); #126797=CARTESIAN_POINT('Ctrl Pts',(0.471042597635666,2.53337179129109, -1.14122627592863)); #126798=CARTESIAN_POINT('Ctrl Pts',(0.480258999431826,2.5051,-1.11339553409222)); #126799=CARTESIAN_POINT('Ctrl Pts',(0.480301719991566,2.50510473357158, -1.12010254906486)); #126800=CARTESIAN_POINT('Ctrl Pts',(0.479705123370576,2.51060328935299, -1.13573999586948)); #126801=CARTESIAN_POINT('Ctrl Pts',(0.47753399914548,2.52573601764734,-1.14320084600155)); #126802=CARTESIAN_POINT('Ctrl Pts',(0.476092901464321,2.53452191049683, -1.143375)); #126803=CARTESIAN_POINT('Ctrl Pts',(0.482572949387345,2.5051,-1.113375)); #126804=CARTESIAN_POINT('Ctrl Pts',(0.482613184394053,2.5051,-1.12017601591228)); #126805=CARTESIAN_POINT('Ctrl Pts',(0.482036861763164,2.51076211318646, -1.13605287418395)); #126806=CARTESIAN_POINT('Ctrl Pts',(0.479973765295212,2.52625800614898, -1.143375)); #126807=CARTESIAN_POINT('Ctrl Pts',(0.478631358014364,2.5351,-1.143375)); #126808=CARTESIAN_POINT('Origin',(0.482572949387345,2.5151,-1.113375)); #126809=CARTESIAN_POINT('Ctrl Pts',(0.482572949387345,2.5051,-1.113375)); #126810=CARTESIAN_POINT('Ctrl Pts',(0.482029061604586,2.5051,-1.05208526306402)); #126811=CARTESIAN_POINT('Ctrl Pts',(0.481485143578091,2.5051,-0.990795526396434)); #126812=CARTESIAN_POINT('Ctrl Pts',(0.480941194998979,2.5051,-0.929505789999999)); #126813=CARTESIAN_POINT('Ctrl Pts',(0.479928435152466,2.5051,-1.11339846753397)); #126814=CARTESIAN_POINT('Ctrl Pts',(0.479384935314417,2.50510001116438, -1.0521087280277)); #126815=CARTESIAN_POINT('Ctrl Pts',(0.478841391285853,2.50509998877485, -0.990818988910705)); #126816=CARTESIAN_POINT('Ctrl Pts',(0.478297833235851,2.5051,-0.929529249929176)); #126817=CARTESIAN_POINT('Ctrl Pts',(0.475331669447581,2.50703662824991, -1.11343925943213)); #126818=CARTESIAN_POINT('Ctrl Pts',(0.47478881063662,2.50703603699891,-1.0521495157467)); #126819=CARTESIAN_POINT('Ctrl Pts',(0.474245929458457,2.50703545217145, -0.990859772272902)); #126820=CARTESIAN_POINT('Ctrl Pts',(0.473703016771154,2.50703485727567, -0.929570029087877)); #126821=CARTESIAN_POINT('Ctrl Pts',(0.473098885002522,2.51133580496749, -1.11345907325809)); #126822=CARTESIAN_POINT('Ctrl Pts',(0.472555704828988,2.51133418076274, -1.05216933316132)); #126823=CARTESIAN_POINT('Ctrl Pts',(0.472012488058391,2.51133255766066, -0.990879593399644)); #126824=CARTESIAN_POINT('Ctrl Pts',(0.471469252630635,2.51133092300158, -0.929589853823661)); #126825=CARTESIAN_POINT('Ctrl Pts',(0.472739173339944,2.51328637561569, -1.11346226535498)); #126826=CARTESIAN_POINT('Ctrl Pts',(0.472195650138501,2.51328439879455, -1.05217252841901)); #126827=CARTESIAN_POINT('Ctrl Pts',(0.471652098501808,2.51328241550938, -0.990882791751418)); #126828=CARTESIAN_POINT('Ctrl Pts',(0.471108518145176,2.51328042569635, -0.929593055354983)); #126829=CARTESIAN_POINT('Origin',(0.480941194998979,2.5151,-0.929505789999999)); #126830=CARTESIAN_POINT('Ctrl Pts',(0.474139431837864,2.49368881521814, -0.909593055354983)); #126831=CARTESIAN_POINT('Ctrl Pts',(0.473411314170466,2.49879660259415, -0.909593055354983)); #126832=CARTESIAN_POINT('Ctrl Pts',(0.471795876747937,2.50895859440467, -0.913871434575969)); #126833=CARTESIAN_POINT('Ctrl Pts',(0.471061805517011,2.51328025470158, -0.92432611619554)); #126834=CARTESIAN_POINT('Ctrl Pts',(0.471108518145176,2.51328042569635, -0.929593055354983)); #126835=CARTESIAN_POINT('Ctrl Pts',(0.4741619235582,2.49369202142422,-0.912196405691163)); #126836=CARTESIAN_POINT('Ctrl Pts',(0.473530203622606,2.49813369306276, -0.912134535983857)); #126837=CARTESIAN_POINT('Ctrl Pts',(0.472129686757243,2.50710668287942, -0.915728097436016)); #126838=CARTESIAN_POINT('Ctrl Pts',(0.471487572764584,2.5110097361742,-0.924942394540793)); #126839=CARTESIAN_POINT('Ctrl Pts',(0.471529375044878,2.51100600588578, -0.929589320235107)); #126840=CARTESIAN_POINT('Ctrl Pts',(0.476333692293259,2.49400160815309, -0.917357185625823)); #126841=CARTESIAN_POINT('Ctrl Pts',(0.475778254694068,2.49712964616767, -0.917177792755515)); #126842=CARTESIAN_POINT('Ctrl Pts',(0.474549111419982,2.50373294345094, -0.919414801660196)); #126843=CARTESIAN_POINT('Ctrl Pts',(0.473979031576844,2.50678702900689, -0.926154205822899)); #126844=CARTESIAN_POINT('Ctrl Pts',(0.474017140374805,2.50677679571598, -0.929567241229781)); #126845=CARTESIAN_POINT('Ctrl Pts',(0.481461580354447,2.4947325911833,-0.91950579)); #126846=CARTESIAN_POINT('Ctrl Pts',(0.480782631580986,2.49732121861416, -0.919407877969233)); #126847=CARTESIAN_POINT('Ctrl Pts',(0.479275292365913,2.50268284069587, -0.92134839371545)); #126848=CARTESIAN_POINT('Ctrl Pts',(0.478584451935722,2.5051054981731,-0.926783313005702)); #126849=CARTESIAN_POINT('Ctrl Pts',(0.478628253456242,2.5051,-0.929526317438029)); #126850=CARTESIAN_POINT('Ctrl Pts',(0.484038974366146,2.4951,-0.91950579)); #126851=CARTESIAN_POINT('Ctrl Pts',(0.483295654352498,2.4977072163553,-0.91950579)); #126852=CARTESIAN_POINT('Ctrl Pts',(0.481645717207669,2.50289423989031, -0.921644979610493)); #126853=CARTESIAN_POINT('Ctrl Pts',(0.480894450727581,2.5051,-0.926872320420278)); #126854=CARTESIAN_POINT('Ctrl Pts',(0.480941194998979,2.5051,-0.929505789999999)); #126855=CARTESIAN_POINT('Origin',(0.484038974366146,2.4951,-0.909505789999999)); #126856=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.909505789999999)); #126857=CARTESIAN_POINT('Origin',(0.486400021305255,2.37640344096742,-0.909505789999999)); #126858=CARTESIAN_POINT('Ctrl Pts',(0.47421650022741,2.35782911348488,-0.929418524645015)); #126859=CARTESIAN_POINT('Ctrl Pts',(0.474176893650817,2.35787389843396, -0.92419510381322)); #126860=CARTESIAN_POINT('Ctrl Pts',(0.474734860105891,2.36220313439174, -0.913851078160878)); #126861=CARTESIAN_POINT('Ctrl Pts',(0.475932090151741,2.3723294008729,-0.909593055354983)); #126862=CARTESIAN_POINT('Ctrl Pts',(0.476452190755092,2.37741983267492, -0.909593055354983)); #126863=CARTESIAN_POINT('Ctrl Pts',(0.474555727593088,2.3601843194783,-0.929436513497409)); #126864=CARTESIAN_POINT('Ctrl Pts',(0.474520305729663,2.36022049269871, -0.924841596247279)); #126865=CARTESIAN_POINT('Ctrl Pts',(0.474998214622677,2.36410104917741, -0.915752169519239)); #126866=CARTESIAN_POINT('Ctrl Pts',(0.476024222708733,2.37299753495201, -0.912151996066446)); #126867=CARTESIAN_POINT('Ctrl Pts',(0.476474792185618,2.3774175234371,-0.912196405691163)); #126868=CARTESIAN_POINT('Ctrl Pts',(0.476992588033928,2.36462436241731, -0.92945683735049)); #126869=CARTESIAN_POINT('Ctrl Pts',(0.476960411272226,2.36464443839941, -0.926111910307859)); #126870=CARTESIAN_POINT('Ctrl Pts',(0.477374127725978,2.36762449902124, -0.919524059354194)); #126871=CARTESIAN_POINT('Ctrl Pts',(0.478261559941211,2.3740891813659,-0.91722849021827)); #126872=CARTESIAN_POINT('Ctrl Pts',(0.47865708388315,2.37719455389881,-0.917357257112347)); #126873=CARTESIAN_POINT('Ctrl Pts',(0.481734565819172,2.36640366475045, -0.929436513497409)); #126874=CARTESIAN_POINT('Ctrl Pts',(0.481697571299279,2.36642242675543, -0.926738826613798)); #126875=CARTESIAN_POINT('Ctrl Pts',(0.482216285058226,2.36880681161825, -0.921406385623122)); #126876=CARTESIAN_POINT('Ctrl Pts',(0.483325498398583,2.37409236188385, -0.919435509458344)); #126877=CARTESIAN_POINT('Ctrl Pts',(0.483810055272366,2.37666806348841, -0.91950579)); #126878=CARTESIAN_POINT('Ctrl Pts',(0.484114076368086,2.36640382173678, -0.929418524645016)); #126879=CARTESIAN_POINT('Ctrl Pts',(0.484074584842209,2.36642661378829, -0.926806814229117)); #126880=CARTESIAN_POINT('Ctrl Pts',(0.484646063176322,2.36863628009523, -0.921634801402947)); #126881=CARTESIAN_POINT('Ctrl Pts',(0.485869061537497,2.37380508358236, -0.91950579)); #126882=CARTESIAN_POINT('Ctrl Pts',(0.486400021305255,2.37640344096742, -0.91950579)); #126883=CARTESIAN_POINT('Origin',(0.484114076368086,2.35640420250614,-0.929331259290032)); #126884=CARTESIAN_POINT('Ctrl Pts',(0.484114076368086,2.36640382173678, -0.929418524645015)); #126885=CARTESIAN_POINT('Ctrl Pts',(0.485069326044766,2.36530120038448, -1.05576641700906)); #126886=CARTESIAN_POINT('Ctrl Pts',(0.486021748669322,2.36419857884567, -1.18211433074478)); #126887=CARTESIAN_POINT('Ctrl Pts',(0.486971393711158,2.36309595712469, -1.30846226535498)); #126888=CARTESIAN_POINT('Ctrl Pts',(0.481394635740756,2.36640364232383, -0.929439083333465)); #126889=CARTESIAN_POINT('Ctrl Pts',(0.482353659888964,2.36530090421127, -1.05578690545252)); #126890=CARTESIAN_POINT('Ctrl Pts',(0.483309669895406,2.36419851518523, -1.18213475428538)); #126891=CARTESIAN_POINT('Ctrl Pts',(0.484263041182135,2.36309577949168, -1.30848262008177)); #126892=CARTESIAN_POINT('Ctrl Pts',(0.476671408839654,2.36434971871858, -0.929456868837481)); #126893=CARTESIAN_POINT('Ctrl Pts',(0.477636627080771,2.36325303696347, -1.05580462667254)); #126894=CARTESIAN_POINT('Ctrl Pts',(0.478599004148523,2.36215621796891, -1.1821524061177)); #126895=CARTESIAN_POINT('Ctrl Pts',(0.479558496838889,2.36105943281356, -1.30850020902881)); #126896=CARTESIAN_POINT('Ctrl Pts',(0.474507266540848,2.35984786147924, -0.929433943661353)); #126897=CARTESIAN_POINT('Ctrl Pts',(0.475468875845887,2.35876126555744, -1.05578178439735)); #126898=CARTESIAN_POINT('Ctrl Pts',(0.476427561764727,2.35767447989204, -1.18212964737416)); #126899=CARTESIAN_POINT('Ctrl Pts',(0.477383562313208,2.35658764775082, -1.30847753140007)); #126900=CARTESIAN_POINT('Ctrl Pts',(0.47421650022741,2.35782911348488,-0.929418524645016)); #126901=CARTESIAN_POINT('Ctrl Pts',(0.475174532834265,2.35674582264775, -1.05576641700906)); #126902=CARTESIAN_POINT('Ctrl Pts',(0.476129777365831,2.35566240089922, -1.18211433074478)); #126903=CARTESIAN_POINT('Ctrl Pts',(0.477082281513271,2.35457885086041, -1.30846226535498)); #126904=CARTESIAN_POINT('Origin',(-0.0789999999999995,2.99059889282442, -1.143375)); #126905=CARTESIAN_POINT('',(-0.0787404786259237,2.85231830036635,-1.11363679606495)); #126906=CARTESIAN_POINT('Origin',(-0.108739336317849,2.85231830036635,-1.113375)); #126907=CARTESIAN_POINT('',(-0.108739336317849,2.85231830036635,-1.143375)); #126908=CARTESIAN_POINT('Origin',(5.32868844805984E-16,2.71403770790827, -1.143375)); #126909=CARTESIAN_POINT('',(-0.237994353924626,2.5351,-1.143375)); #126910=CARTESIAN_POINT('Origin',(-0.237994353924626,2.5351,-1.113375)); #126911=CARTESIAN_POINT('',(-0.237994353924627,2.5051,-1.113375)); #126912=CARTESIAN_POINT('Origin',(-0.475988707849251,2.5051,-1.143375)); #126913=CARTESIAN_POINT('',(-0.499540682127005,2.5051,-0.929505789999999)); #126914=CARTESIAN_POINT('Origin',(-0.499540682127005,2.4951,-0.929505789999999)); #126915=CARTESIAN_POINT('',(-0.499540682127005,2.4951,-0.919505789999999)); #126916=CARTESIAN_POINT('Origin',(-0.52309265640476,2.43414945259443,-0.919505789999999)); #126917=CARTESIAN_POINT('',(-0.544795151015776,2.37640344096742,-0.919505789999999)); #126918=CARTESIAN_POINT('Origin',(-0.544795151015776,2.37640344096742,-0.929505789999999)); #126919=CARTESIAN_POINT('',(-0.544795151015776,2.36640382173678,-0.929418524645016)); #126920=CARTESIAN_POINT('Origin',(-0.566497645626791,2.36300945,-1.318375)); #126921=CARTESIAN_POINT('Origin',(-1.78059661380633,0.358762477772048,0.)); #126922=CARTESIAN_POINT('',(-1.78059661380633,0.358762477772048,0.)); #126923=CARTESIAN_POINT('',(-1.84954061229208,0.300911594070771,0.)); #126924=CARTESIAN_POINT('',(-1.22119905768936,0.82815276079127,0.)); #126925=CARTESIAN_POINT('',(-1.78059661380633,0.358762477772048,0.01)); #126926=CARTESIAN_POINT('',(-1.78059661380633,0.358762477772048,0.)); #126927=CARTESIAN_POINT('',(-1.84954061229208,0.300911594070771,0.01)); #126928=CARTESIAN_POINT('',(-1.78059661380633,0.358762477772048,0.01)); #126929=CARTESIAN_POINT('',(-1.84954061229208,0.300911594070771,0.)); #126930=CARTESIAN_POINT('Origin',(-1.77342385431987,0.350214315885242,0.)); #126931=CARTESIAN_POINT('',(-1.77342385431987,0.350214315885242,0.)); #126932=CARTESIAN_POINT('',(-1.44610948327691,-0.0398637617129241,0.)); #126933=CARTESIAN_POINT('',(-1.77342385431987,0.350214315885242,0.01)); #126934=CARTESIAN_POINT('',(-1.77342385431987,0.350214315885242,0.)); #126935=CARTESIAN_POINT('',(-1.77342385431987,0.350214315885242,0.01)); #126936=CARTESIAN_POINT('Origin',(-1.82800917564935,0.304411792889984,0.)); #126937=CARTESIAN_POINT('',(-1.82800917564935,0.304411792889984,0.)); #126938=CARTESIAN_POINT('',(-1.24131895886764,0.796703337406835,0.)); #126939=CARTESIAN_POINT('',(-1.82800917564935,0.304411792889984,0.01)); #126940=CARTESIAN_POINT('',(-1.82800917564935,0.304411792889984,0.)); #126941=CARTESIAN_POINT('',(-1.82800917564935,0.304411792889984,0.01)); #126942=CARTESIAN_POINT('Origin',(-1.75012410618411,0.322446757337903,0.)); #126943=CARTESIAN_POINT('',(-1.75012410618411,0.322446757337903,0.)); #126944=CARTESIAN_POINT('',(-0.899112468470955,0.51950589506867,0.)); #126945=CARTESIAN_POINT('',(-1.75012410618411,0.322446757337903,0.01)); #126946=CARTESIAN_POINT('',(-1.75012410618411,0.322446757337903,0.)); #126947=CARTESIAN_POINT('',(-1.75012410618411,0.322446757337903,0.01)); #126948=CARTESIAN_POINT('Origin',(-1.73920088422106,0.30942896832074,0.)); #126949=CARTESIAN_POINT('',(-1.73920088422106,0.30942896832074,0.)); #126950=CARTESIAN_POINT('',(-1.42899799822751,-0.0602564354951753,0.)); #126951=CARTESIAN_POINT('',(-1.73920088422106,0.30942896832074,0.01)); #126952=CARTESIAN_POINT('',(-1.73920088422106,0.30942896832074,0.)); #126953=CARTESIAN_POINT('',(-1.73920088422106,0.30942896832074,0.01)); #126954=CARTESIAN_POINT('Origin',(-1.80814488270681,0.251578084619462,0.)); #126955=CARTESIAN_POINT('',(-1.80814488270681,0.251578084619462,0.)); #126956=CARTESIAN_POINT('',(-1.21427532734696,0.749893809489323,0.)); #126957=CARTESIAN_POINT('',(-1.80814488270681,0.251578084619462,0.01)); #126958=CARTESIAN_POINT('',(-1.80814488270681,0.251578084619462,0.)); #126959=CARTESIAN_POINT('',(-1.80814488270681,0.251578084619462,0.01)); #126960=CARTESIAN_POINT('Origin',(-1.81531764219327,0.260126246506269,0.)); #126961=CARTESIAN_POINT('',(-1.81531764219327,0.260126246506269,0.)); #126962=CARTESIAN_POINT('',(-1.50152837645648,-0.11383323825305,0.)); #126963=CARTESIAN_POINT('',(-1.81531764219327,0.260126246506269,0.01)); #126964=CARTESIAN_POINT('',(-1.81531764219327,0.260126246506269,0.)); #126965=CARTESIAN_POINT('',(-1.81531764219327,0.260126246506269,0.01)); #126966=CARTESIAN_POINT('Origin',(-1.75587160135953,0.310007397444809,0.)); #126967=CARTESIAN_POINT('',(-1.75587160135953,0.310007397444809,0.)); #126968=CARTESIAN_POINT('',(-1.19172506641655,0.7833825468454,0.)); #126969=CARTESIAN_POINT('',(-1.75587160135953,0.310007397444809,0.01)); #126970=CARTESIAN_POINT('',(-1.75587160135953,0.310007397444809,0.)); #126971=CARTESIAN_POINT('',(-1.75587160135953,0.310007397444809,0.01)); #126972=CARTESIAN_POINT('Origin',(-1.84091454859594,0.290631451670951,0.)); #126973=CARTESIAN_POINT('',(-1.84091454859594,0.290631451670951,0.)); #126974=CARTESIAN_POINT('',(-0.942248945244113,0.49538089374957,0.)); #126975=CARTESIAN_POINT('',(-1.84091454859594,0.290631451670951,0.01)); #126976=CARTESIAN_POINT('',(-1.84091454859594,0.290631451670951,0.)); #126977=CARTESIAN_POINT('',(-1.84091454859594,0.290631451670951,0.01)); #126978=CARTESIAN_POINT('Origin',(-1.84954061229208,0.300911594070771,0.)); #126979=CARTESIAN_POINT('',(-1.51863986150587,-0.0934405644708025,0.)); #126980=CARTESIAN_POINT('',(-1.84954061229208,0.300911594070771,0.01)); #126981=CARTESIAN_POINT('Origin',(-1.79352465319415,0.305738424264313,0.01)); #126982=CARTESIAN_POINT('Origin',(-1.6682876125257,0.111680292597593,0.)); #126983=CARTESIAN_POINT('',(-1.6682876125257,0.111680292597593,0.)); #126984=CARTESIAN_POINT('',(-1.68147301256025,0.100616428291676,0.)); #126985=CARTESIAN_POINT('',(-1.08101075718313,0.604464085314496,0.)); #126986=CARTESIAN_POINT('',(-1.6682876125257,0.111680292597593,0.01)); #126987=CARTESIAN_POINT('',(-1.6682876125257,0.111680292597593,0.)); #126988=CARTESIAN_POINT('',(-1.68147301256025,0.100616428291676,0.01)); #126989=CARTESIAN_POINT('',(-1.6682876125257,0.111680292597593,0.01)); #126990=CARTESIAN_POINT('',(-1.68147301256025,0.100616428291676,0.)); #126991=CARTESIAN_POINT('Origin',(-1.65900521789616,0.100617965449961,0.)); #126992=CARTESIAN_POINT('',(-1.65900521789616,0.100617965449961,0.)); #126993=CARTESIAN_POINT('',(-1.41677946429064,-0.188055446628252,0.)); #126994=CARTESIAN_POINT('',(-1.65900521789616,0.100617965449961,0.01)); #126995=CARTESIAN_POINT('',(-1.65900521789616,0.100617965449961,0.)); #126996=CARTESIAN_POINT('',(-1.65900521789616,0.100617965449961,0.01)); #126997=CARTESIAN_POINT('Origin',(-1.67219061793071,0.0895541011440439, 0.)); #126998=CARTESIAN_POINT('',(-1.67219061793071,0.0895541011440439,0.)); #126999=CARTESIAN_POINT('',(-1.07832106257086,0.587869826013901,0.)); #127000=CARTESIAN_POINT('',(-1.67219061793071,0.0895541011440439,0.01)); #127001=CARTESIAN_POINT('',(-1.67219061793071,0.0895541011440439,0.)); #127002=CARTESIAN_POINT('',(-1.67219061793071,0.0895541011440439,0.01)); #127003=CARTESIAN_POINT('Origin',(-1.68147301256025,0.100616428291676,0.)); #127004=CARTESIAN_POINT('',(-1.43460606163997,-0.193588147360346,0.)); #127005=CARTESIAN_POINT('',(-1.68147301256025,0.100616428291676,0.01)); #127006=CARTESIAN_POINT('Origin',(-1.6702391152282,0.100617196870818,0.01)); #127007=CARTESIAN_POINT('Origin',(-0.57037682979255,-0.53937455195497,0.)); #127008=CARTESIAN_POINT('',(-0.57037682979255,-0.53937455195497,0.)); #127009=CARTESIAN_POINT('',(-0.57037682979255,-0.556586869272835,0.)); #127010=CARTESIAN_POINT('',(-0.57037682979255,-0.0152679126138088,0.)); #127011=CARTESIAN_POINT('',(-0.57037682979255,-0.53937455195497,0.01)); #127012=CARTESIAN_POINT('',(-0.57037682979255,-0.53937455195497,0.)); #127013=CARTESIAN_POINT('',(-0.57037682979255,-0.556586869272835,0.01)); #127014=CARTESIAN_POINT('',(-0.57037682979255,-0.53937455195497,0.01)); #127015=CARTESIAN_POINT('',(-0.57037682979255,-0.556586869272835,0.)); #127016=CARTESIAN_POINT('Origin',(-0.555935987297053,-0.53937455195497, 0.)); #127017=CARTESIAN_POINT('',(-0.555935987297053,-0.53937455195497,0.)); #127018=CARTESIAN_POINT('',(-0.277967993648526,-0.53937455195497,0.)); #127019=CARTESIAN_POINT('',(-0.555935987297053,-0.53937455195497,0.01)); #127020=CARTESIAN_POINT('',(-0.555935987297053,-0.53937455195497,0.)); #127021=CARTESIAN_POINT('',(-0.555935987297053,-0.53937455195497,0.01)); #127022=CARTESIAN_POINT('Origin',(-0.555935987297053,-0.556586869272835, 0.)); #127023=CARTESIAN_POINT('',(-0.555935987297053,-0.556586869272835,0.)); #127024=CARTESIAN_POINT('',(-0.555935987297053,-0.0238740712727414,0.)); #127025=CARTESIAN_POINT('',(-0.555935987297053,-0.556586869272835,0.01)); #127026=CARTESIAN_POINT('',(-0.555935987297053,-0.556586869272835,0.)); #127027=CARTESIAN_POINT('',(-0.555935987297053,-0.556586869272835,0.01)); #127028=CARTESIAN_POINT('Origin',(-0.57037682979255,-0.556586869272835, 0.)); #127029=CARTESIAN_POINT('',(-0.285188414896275,-0.556586869272835,0.)); #127030=CARTESIAN_POINT('',(-0.57037682979255,-0.556586869272835,0.01)); #127031=CARTESIAN_POINT('Origin',(-0.563156408544801,-0.547980710613902, 0.01)); #127032=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.475047162656846, 0.)); #127033=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.475047162656846, 0.01)); #127034=CARTESIAN_POINT('Ctrl Pts',(-0.506997576617868,-0.475047162656846, 0.)); #127035=CARTESIAN_POINT('Ctrl Pts',(-0.506997576617868,-0.475047162656846, 0.01)); #127036=CARTESIAN_POINT('Ctrl Pts',(-0.517062406235942,-0.479714909726097, 0.)); #127037=CARTESIAN_POINT('Ctrl Pts',(-0.517062406235942,-0.479714909726097, 0.01)); #127038=CARTESIAN_POINT('Ctrl Pts',(-0.520636150085837,-0.484382656795349, 0.)); #127039=CARTESIAN_POINT('Ctrl Pts',(-0.520636150085837,-0.484382656795349, 0.01)); #127040=CARTESIAN_POINT('',(-0.520636150085837,-0.484382656795349,0.)); #127041=CARTESIAN_POINT('',(-0.500725291493561,-0.475047162656846,0.)); #127042=CARTESIAN_POINT('Ctrl Pts',(-0.520636150085837,-0.484382656795349, 0.)); #127043=CARTESIAN_POINT('Ctrl Pts',(-0.517062406235942,-0.479714909726097, 0.)); #127044=CARTESIAN_POINT('Ctrl Pts',(-0.506997576617868,-0.475047162656846, 0.)); #127045=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.475047162656846, 0.)); #127046=CARTESIAN_POINT('',(-0.520636150085837,-0.484382656795349,0.01)); #127047=CARTESIAN_POINT('',(-0.520636150085837,-0.484382656795349,0.)); #127048=CARTESIAN_POINT('',(-0.500725291493561,-0.475047162656846,0.01)); #127049=CARTESIAN_POINT('Ctrl Pts',(-0.520636150085837,-0.484382656795349, 0.01)); #127050=CARTESIAN_POINT('Ctrl Pts',(-0.517062406235942,-0.479714909726097, 0.01)); #127051=CARTESIAN_POINT('Ctrl Pts',(-0.506997576617868,-0.475047162656846, 0.01)); #127052=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.475047162656846, 0.01)); #127053=CARTESIAN_POINT('',(-0.500725291493561,-0.475047162656846,0.)); #127054=CARTESIAN_POINT('Ctrl Pts',(-0.480741499353327,-0.484382656795349, 0.)); #127055=CARTESIAN_POINT('Ctrl Pts',(-0.480741499353327,-0.484382656795349, 0.01)); #127056=CARTESIAN_POINT('Ctrl Pts',(-0.484461110299137,-0.479569042630183, 0.)); #127057=CARTESIAN_POINT('Ctrl Pts',(-0.484461110299137,-0.479569042630183, 0.01)); #127058=CARTESIAN_POINT('Ctrl Pts',(-0.494234205725383,-0.475047162656846, 0.)); #127059=CARTESIAN_POINT('Ctrl Pts',(-0.494234205725383,-0.475047162656846, 0.01)); #127060=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.475047162656846, 0.)); #127061=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.475047162656846, 0.01)); #127062=CARTESIAN_POINT('',(-0.480741499353327,-0.484382656795349,0.)); #127063=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.475047162656846, 0.)); #127064=CARTESIAN_POINT('Ctrl Pts',(-0.494234205725383,-0.475047162656846, 0.)); #127065=CARTESIAN_POINT('Ctrl Pts',(-0.484461110299137,-0.479569042630183, 0.)); #127066=CARTESIAN_POINT('Ctrl Pts',(-0.480741499353327,-0.484382656795349, 0.)); #127067=CARTESIAN_POINT('',(-0.480741499353327,-0.484382656795349,0.01)); #127068=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.475047162656846, 0.01)); #127069=CARTESIAN_POINT('Ctrl Pts',(-0.494234205725383,-0.475047162656846, 0.01)); #127070=CARTESIAN_POINT('Ctrl Pts',(-0.484461110299137,-0.479569042630183, 0.01)); #127071=CARTESIAN_POINT('Ctrl Pts',(-0.480741499353327,-0.484382656795349, 0.01)); #127072=CARTESIAN_POINT('',(-0.480741499353327,-0.484382656795349,0.)); #127073=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.511586870183331, 0.)); #127074=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.511586870183331, 0.01)); #127075=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.502834844428484, 0.)); #127076=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.502834844428484, 0.01)); #127077=CARTESIAN_POINT('Ctrl Pts',(-0.477167755503432,-0.488977470316644, 0.)); #127078=CARTESIAN_POINT('Ctrl Pts',(-0.477167755503432,-0.488977470316644, 0.01)); #127079=CARTESIAN_POINT('Ctrl Pts',(-0.480741499353327,-0.484382656795349, 0.)); #127080=CARTESIAN_POINT('Ctrl Pts',(-0.480741499353327,-0.484382656795349, 0.01)); #127081=CARTESIAN_POINT('',(-0.473375211009665,-0.511586870183331,0.)); #127082=CARTESIAN_POINT('Ctrl Pts',(-0.480741499353327,-0.484382656795349, 0.)); #127083=CARTESIAN_POINT('Ctrl Pts',(-0.477167755503432,-0.488977470316644, 0.)); #127084=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.502834844428484, 0.)); #127085=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.511586870183331, 0.)); #127086=CARTESIAN_POINT('',(-0.473375211009665,-0.511586870183331,0.01)); #127087=CARTESIAN_POINT('Ctrl Pts',(-0.480741499353327,-0.484382656795349, 0.01)); #127088=CARTESIAN_POINT('Ctrl Pts',(-0.477167755503432,-0.488977470316644, 0.01)); #127089=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.502834844428484, 0.01)); #127090=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.511586870183331, 0.01)); #127091=CARTESIAN_POINT('',(-0.473375211009665,-0.511586870183331,0.)); #127092=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.548126577709817, 0.)); #127093=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.548126577709817, 0.01)); #127094=CARTESIAN_POINT('Ctrl Pts',(-0.488253654792904,-0.548126577709817, 0.)); #127095=CARTESIAN_POINT('Ctrl Pts',(-0.488253654792904,-0.548126577709817, 0.01)); #127096=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.529309722336896, 0.)); #127097=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.529309722336896, 0.01)); #127098=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.511586870183331, 0.)); #127099=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.511586870183331, 0.01)); #127100=CARTESIAN_POINT('',(-0.500725291493561,-0.548126577709816,0.)); #127101=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.511586870183331, 0.)); #127102=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.529309722336896, 0.)); #127103=CARTESIAN_POINT('Ctrl Pts',(-0.488253654792904,-0.548126577709817, 0.)); #127104=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.548126577709817, 0.)); #127105=CARTESIAN_POINT('',(-0.500725291493561,-0.548126577709816,0.01)); #127106=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.511586870183331, 0.01)); #127107=CARTESIAN_POINT('Ctrl Pts',(-0.473375211009665,-0.529309722336896, 0.01)); #127108=CARTESIAN_POINT('Ctrl Pts',(-0.488253654792904,-0.548126577709817, 0.01)); #127109=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.548126577709817, 0.01)); #127110=CARTESIAN_POINT('',(-0.500725291493561,-0.548126577709816,0.)); #127111=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.511586870183331, 0.)); #127112=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.511586870183331, 0.01)); #127113=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.529309722336896, 0.)); #127114=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.529309722336896, 0.01)); #127115=CARTESIAN_POINT('Ctrl Pts',(-0.513196928194218,-0.548126577709817, 0.)); #127116=CARTESIAN_POINT('Ctrl Pts',(-0.513196928194218,-0.548126577709817, 0.01)); #127117=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.548126577709817, 0.)); #127118=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.548126577709817, 0.01)); #127119=CARTESIAN_POINT('',(-0.5280024384295,-0.511586870183331,0.)); #127120=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.548126577709817, 0.)); #127121=CARTESIAN_POINT('Ctrl Pts',(-0.513196928194218,-0.548126577709817, 0.)); #127122=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.529309722336896, 0.)); #127123=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.511586870183331, 0.)); #127124=CARTESIAN_POINT('',(-0.5280024384295,-0.511586870183331,0.01)); #127125=CARTESIAN_POINT('Ctrl Pts',(-0.500725291493561,-0.548126577709817, 0.01)); #127126=CARTESIAN_POINT('Ctrl Pts',(-0.513196928194218,-0.548126577709817, 0.01)); #127127=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.529309722336896, 0.01)); #127128=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.511586870183331, 0.01)); #127129=CARTESIAN_POINT('',(-0.5280024384295,-0.511586870183331,0.)); #127130=CARTESIAN_POINT('Ctrl Pts',(-0.520636150085837,-0.484382656795349, 0.)); #127131=CARTESIAN_POINT('Ctrl Pts',(-0.520636150085837,-0.484382656795349, 0.01)); #127132=CARTESIAN_POINT('Ctrl Pts',(-0.524136960387776,-0.488904536768687, 0.)); #127133=CARTESIAN_POINT('Ctrl Pts',(-0.524136960387776,-0.488904536768687, 0.01)); #127134=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.50268897733257, 0.)); #127135=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.50268897733257, 0.01)); #127136=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.511586870183331, 0.)); #127137=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.511586870183331, 0.01)); #127138=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.511586870183331, 0.)); #127139=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.50268897733257, 0.)); #127140=CARTESIAN_POINT('Ctrl Pts',(-0.524136960387776,-0.488904536768687, 0.)); #127141=CARTESIAN_POINT('Ctrl Pts',(-0.520636150085837,-0.484382656795349, 0.)); #127142=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.511586870183331, 0.01)); #127143=CARTESIAN_POINT('Ctrl Pts',(-0.5280024384295,-0.50268897733257, 0.01)); #127144=CARTESIAN_POINT('Ctrl Pts',(-0.524136960387776,-0.488904536768687, 0.01)); #127145=CARTESIAN_POINT('Ctrl Pts',(-0.520636150085837,-0.484382656795349, 0.01)); #127146=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.464690598846944, 0.)); #127147=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.464690598846944, 0.01)); #127148=CARTESIAN_POINT('Ctrl Pts',(-0.509841984988193,-0.464690598846944, 0.)); #127149=CARTESIAN_POINT('Ctrl Pts',(-0.509841984988193,-0.464690598846944, 0.01)); #127150=CARTESIAN_POINT('Ctrl Pts',(-0.524574561675518,-0.471108751067165, 0.)); #127151=CARTESIAN_POINT('Ctrl Pts',(-0.524574561675518,-0.471108751067165, 0.01)); #127152=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.476870501355772, 0.)); #127153=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.476870501355772, 0.01)); #127154=CARTESIAN_POINT('',(-0.500652357945604,-0.464690598846944,0.)); #127155=CARTESIAN_POINT('',(-0.529679910032512,-0.476870501355772,0.)); #127156=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.464690598846944, 0.)); #127157=CARTESIAN_POINT('Ctrl Pts',(-0.509841984988193,-0.464690598846944, 0.)); #127158=CARTESIAN_POINT('Ctrl Pts',(-0.524574561675518,-0.471108751067165, 0.)); #127159=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.476870501355772, 0.)); #127160=CARTESIAN_POINT('',(-0.500652357945604,-0.464690598846944,0.01)); #127161=CARTESIAN_POINT('',(-0.500652357945604,-0.464690598846944,0.)); #127162=CARTESIAN_POINT('',(-0.529679910032512,-0.476870501355772,0.01)); #127163=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.464690598846944, 0.01)); #127164=CARTESIAN_POINT('Ctrl Pts',(-0.509841984988193,-0.464690598846944, 0.01)); #127165=CARTESIAN_POINT('Ctrl Pts',(-0.524574561675518,-0.471108751067165, 0.01)); #127166=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.476870501355772, 0.01)); #127167=CARTESIAN_POINT('',(-0.529679910032512,-0.476870501355772,0.)); #127168=CARTESIAN_POINT('Ctrl Pts',(-0.471697739406652,-0.476870501355772, 0.)); #127169=CARTESIAN_POINT('Ctrl Pts',(-0.471697739406652,-0.476870501355772, 0.01)); #127170=CARTESIAN_POINT('Ctrl Pts',(-0.476948954859561,-0.470889950423294, 0.)); #127171=CARTESIAN_POINT('Ctrl Pts',(-0.476948954859561,-0.470889950423294, 0.01)); #127172=CARTESIAN_POINT('Ctrl Pts',(-0.491608597998929,-0.464690598846944, 0.)); #127173=CARTESIAN_POINT('Ctrl Pts',(-0.491608597998929,-0.464690598846944, 0.01)); #127174=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.464690598846944, 0.)); #127175=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.464690598846944, 0.01)); #127176=CARTESIAN_POINT('',(-0.471697739406652,-0.476870501355772,0.)); #127177=CARTESIAN_POINT('Ctrl Pts',(-0.471697739406652,-0.476870501355772, 0.)); #127178=CARTESIAN_POINT('Ctrl Pts',(-0.476948954859561,-0.470889950423294, 0.)); #127179=CARTESIAN_POINT('Ctrl Pts',(-0.491608597998929,-0.464690598846944, 0.)); #127180=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.464690598846944, 0.)); #127181=CARTESIAN_POINT('',(-0.471697739406652,-0.476870501355772,0.01)); #127182=CARTESIAN_POINT('',(-0.471697739406652,-0.476870501355772,0.)); #127183=CARTESIAN_POINT('Ctrl Pts',(-0.471697739406652,-0.476870501355772, 0.01)); #127184=CARTESIAN_POINT('Ctrl Pts',(-0.476948954859561,-0.470889950423294, 0.01)); #127185=CARTESIAN_POINT('Ctrl Pts',(-0.491608597998929,-0.464690598846944, 0.01)); #127186=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.464690598846944, 0.01)); #127187=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.511586870183331, 0.)); #127188=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.511586870183331, 0.01)); #127189=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.500282170249987, 0.)); #127190=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.500282170249987, 0.01)); #127191=CARTESIAN_POINT('Ctrl Pts',(-0.466519457501701,-0.483069852932122, 0.)); #127192=CARTESIAN_POINT('Ctrl Pts',(-0.466519457501701,-0.483069852932122, 0.01)); #127193=CARTESIAN_POINT('Ctrl Pts',(-0.471697739406652,-0.476870501355772, 0.)); #127194=CARTESIAN_POINT('Ctrl Pts',(-0.471697739406652,-0.476870501355772, 0.01)); #127195=CARTESIAN_POINT('',(-0.460903574309008,-0.511586870183331,0.)); #127196=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.511586870183331, 0.)); #127197=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.500282170249987, 0.)); #127198=CARTESIAN_POINT('Ctrl Pts',(-0.466519457501701,-0.483069852932122, 0.)); #127199=CARTESIAN_POINT('Ctrl Pts',(-0.471697739406652,-0.476870501355772, 0.)); #127200=CARTESIAN_POINT('',(-0.460903574309008,-0.511586870183331,0.01)); #127201=CARTESIAN_POINT('',(-0.460903574309008,-0.511586870183331,0.)); #127202=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.511586870183331, 0.01)); #127203=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.500282170249987, 0.01)); #127204=CARTESIAN_POINT('Ctrl Pts',(-0.466519457501701,-0.483069852932122, 0.01)); #127205=CARTESIAN_POINT('Ctrl Pts',(-0.471697739406652,-0.476870501355772, 0.01)); #127206=CARTESIAN_POINT('Ctrl Pts',(-0.471624805858695,-0.546230305462933, 0.)); #127207=CARTESIAN_POINT('Ctrl Pts',(-0.471624805858695,-0.546230305462933, 0.01)); #127208=CARTESIAN_POINT('Ctrl Pts',(-0.466446523953744,-0.540249754530454, 0.)); #127209=CARTESIAN_POINT('Ctrl Pts',(-0.466446523953744,-0.540249754530454, 0.01)); #127210=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.522672769472804, 0.)); #127211=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.522672769472804, 0.01)); #127212=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.511586870183331, 0.)); #127213=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.511586870183331, 0.01)); #127214=CARTESIAN_POINT('',(-0.471624805858695,-0.546230305462933,0.)); #127215=CARTESIAN_POINT('Ctrl Pts',(-0.471624805858695,-0.546230305462933, 0.)); #127216=CARTESIAN_POINT('Ctrl Pts',(-0.466446523953744,-0.540249754530454, 0.)); #127217=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.522672769472804, 0.)); #127218=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.511586870183331, 0.)); #127219=CARTESIAN_POINT('',(-0.471624805858695,-0.546230305462933,0.01)); #127220=CARTESIAN_POINT('',(-0.471624805858695,-0.546230305462933,0.)); #127221=CARTESIAN_POINT('Ctrl Pts',(-0.471624805858695,-0.546230305462933, 0.01)); #127222=CARTESIAN_POINT('Ctrl Pts',(-0.466446523953744,-0.540249754530454, 0.01)); #127223=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.522672769472804, 0.01)); #127224=CARTESIAN_POINT('Ctrl Pts',(-0.460903574309008,-0.511586870183331, 0.01)); #127225=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.558483141519719, 0.)); #127226=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.558483141519719, 0.01)); #127227=CARTESIAN_POINT('Ctrl Pts',(-0.491535664450972,-0.558483141519719, 0.)); #127228=CARTESIAN_POINT('Ctrl Pts',(-0.491535664450972,-0.558483141519719, 0.01)); #127229=CARTESIAN_POINT('Ctrl Pts',(-0.476948954859561,-0.552283789943369, 0.)); #127230=CARTESIAN_POINT('Ctrl Pts',(-0.476948954859561,-0.552283789943369, 0.01)); #127231=CARTESIAN_POINT('Ctrl Pts',(-0.471624805858695,-0.546230305462933, 0.)); #127232=CARTESIAN_POINT('Ctrl Pts',(-0.471624805858695,-0.546230305462933, 0.01)); #127233=CARTESIAN_POINT('',(-0.500652357945604,-0.558483141519719,0.)); #127234=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.558483141519719, 0.)); #127235=CARTESIAN_POINT('Ctrl Pts',(-0.491535664450972,-0.558483141519719, 0.)); #127236=CARTESIAN_POINT('Ctrl Pts',(-0.476948954859561,-0.552283789943369, 0.)); #127237=CARTESIAN_POINT('Ctrl Pts',(-0.471624805858695,-0.546230305462933, 0.)); #127238=CARTESIAN_POINT('',(-0.500652357945604,-0.558483141519719,0.01)); #127239=CARTESIAN_POINT('',(-0.500652357945604,-0.558483141519719,0.)); #127240=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.558483141519719, 0.01)); #127241=CARTESIAN_POINT('Ctrl Pts',(-0.491535664450972,-0.558483141519719, 0.01)); #127242=CARTESIAN_POINT('Ctrl Pts',(-0.476948954859561,-0.552283789943369, 0.01)); #127243=CARTESIAN_POINT('Ctrl Pts',(-0.471624805858695,-0.546230305462933, 0.01)); #127244=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.546230305462933, 0.)); #127245=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.546230305462933, 0.01)); #127246=CARTESIAN_POINT('Ctrl Pts',(-0.524136960387776,-0.55250259058724, 0.)); #127247=CARTESIAN_POINT('Ctrl Pts',(-0.524136960387776,-0.55250259058724, 0.01)); #127248=CARTESIAN_POINT('Ctrl Pts',(-0.509477317248408,-0.558483141519719, 0.)); #127249=CARTESIAN_POINT('Ctrl Pts',(-0.509477317248408,-0.558483141519719, 0.01)); #127250=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.558483141519719, 0.)); #127251=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.558483141519719, 0.01)); #127252=CARTESIAN_POINT('',(-0.529679910032512,-0.546230305462933,0.)); #127253=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.546230305462933, 0.)); #127254=CARTESIAN_POINT('Ctrl Pts',(-0.524136960387776,-0.55250259058724, 0.)); #127255=CARTESIAN_POINT('Ctrl Pts',(-0.509477317248408,-0.558483141519719, 0.)); #127256=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.558483141519719, 0.)); #127257=CARTESIAN_POINT('',(-0.529679910032512,-0.546230305462933,0.01)); #127258=CARTESIAN_POINT('',(-0.529679910032512,-0.546230305462933,0.)); #127259=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.546230305462933, 0.01)); #127260=CARTESIAN_POINT('Ctrl Pts',(-0.524136960387776,-0.55250259058724, 0.01)); #127261=CARTESIAN_POINT('Ctrl Pts',(-0.509477317248408,-0.558483141519719, 0.01)); #127262=CARTESIAN_POINT('Ctrl Pts',(-0.500652357945604,-0.558483141519719, 0.01)); #127263=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.511586870183331, 0.)); #127264=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.511586870183331, 0.01)); #127265=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.52252690237689, 0.)); #127266=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.52252690237689, 0.01)); #127267=CARTESIAN_POINT('Ctrl Pts',(-0.53493112548542,-0.540249754530454, 0.)); #127268=CARTESIAN_POINT('Ctrl Pts',(-0.53493112548542,-0.540249754530454, 0.01)); #127269=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.546230305462933, 0.)); #127270=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.546230305462933, 0.01)); #127271=CARTESIAN_POINT('',(-0.540474075130157,-0.511586870183331,0.)); #127272=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.511586870183331, 0.)); #127273=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.52252690237689, 0.)); #127274=CARTESIAN_POINT('Ctrl Pts',(-0.53493112548542,-0.540249754530454, 0.)); #127275=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.546230305462933, 0.)); #127276=CARTESIAN_POINT('',(-0.540474075130157,-0.511586870183331,0.01)); #127277=CARTESIAN_POINT('',(-0.540474075130157,-0.511586870183331,0.)); #127278=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.511586870183331, 0.01)); #127279=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.52252690237689, 0.01)); #127280=CARTESIAN_POINT('Ctrl Pts',(-0.53493112548542,-0.540249754530454, 0.01)); #127281=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.546230305462933, 0.01)); #127282=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.476870501355772, 0.)); #127283=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.476870501355772, 0.01)); #127284=CARTESIAN_POINT('Ctrl Pts',(-0.534785258389506,-0.482851052288251, 0.)); #127285=CARTESIAN_POINT('Ctrl Pts',(-0.534785258389506,-0.482851052288251, 0.01)); #127286=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.500355103797944, 0.)); #127287=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.500355103797944, 0.01)); #127288=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.511586870183331, 0.)); #127289=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.511586870183331, 0.01)); #127290=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.476870501355772, 0.)); #127291=CARTESIAN_POINT('Ctrl Pts',(-0.534785258389506,-0.482851052288251, 0.)); #127292=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.500355103797944, 0.)); #127293=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.511586870183331, 0.)); #127294=CARTESIAN_POINT('Ctrl Pts',(-0.529679910032512,-0.476870501355772, 0.01)); #127295=CARTESIAN_POINT('Ctrl Pts',(-0.534785258389506,-0.482851052288251, 0.01)); #127296=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.500355103797944, 0.01)); #127297=CARTESIAN_POINT('Ctrl Pts',(-0.540474075130157,-0.511586870183331, 0.01)); #127298=CARTESIAN_POINT('Origin',(-0.500677602786374,-0.511595876919648, 0.01)); #127299=CARTESIAN_POINT('Origin',(-0.332321729260718,-0.53937455195497, 0.)); #127300=CARTESIAN_POINT('',(-0.332321729260718,-0.53937455195497,0.)); #127301=CARTESIAN_POINT('',(-0.332321729260718,-0.556586869272835,0.)); #127302=CARTESIAN_POINT('',(-0.332321729260718,-0.0152679126138088,0.)); #127303=CARTESIAN_POINT('',(-0.332321729260718,-0.53937455195497,0.01)); #127304=CARTESIAN_POINT('',(-0.332321729260718,-0.53937455195497,0.)); #127305=CARTESIAN_POINT('',(-0.332321729260718,-0.556586869272835,0.01)); #127306=CARTESIAN_POINT('',(-0.332321729260718,-0.53937455195497,0.01)); #127307=CARTESIAN_POINT('',(-0.332321729260718,-0.556586869272835,0.)); #127308=CARTESIAN_POINT('Origin',(-0.31788088676522,-0.53937455195497,0.)); #127309=CARTESIAN_POINT('',(-0.31788088676522,-0.53937455195497,0.)); #127310=CARTESIAN_POINT('',(-0.15894044338261,-0.53937455195497,0.)); #127311=CARTESIAN_POINT('',(-0.31788088676522,-0.53937455195497,0.01)); #127312=CARTESIAN_POINT('',(-0.31788088676522,-0.53937455195497,0.)); #127313=CARTESIAN_POINT('',(-0.31788088676522,-0.53937455195497,0.01)); #127314=CARTESIAN_POINT('Origin',(-0.31788088676522,-0.556586869272835, 0.)); #127315=CARTESIAN_POINT('',(-0.31788088676522,-0.556586869272835,0.)); #127316=CARTESIAN_POINT('',(-0.31788088676522,-0.0238740712727414,0.)); #127317=CARTESIAN_POINT('',(-0.31788088676522,-0.556586869272835,0.01)); #127318=CARTESIAN_POINT('',(-0.31788088676522,-0.556586869272835,0.)); #127319=CARTESIAN_POINT('',(-0.31788088676522,-0.556586869272835,0.01)); #127320=CARTESIAN_POINT('Origin',(-0.332321729260718,-0.556586869272835, 0.)); #127321=CARTESIAN_POINT('',(-0.166160864630359,-0.556586869272835,0.)); #127322=CARTESIAN_POINT('',(-0.332321729260718,-0.556586869272835,0.01)); #127323=CARTESIAN_POINT('Origin',(-0.325101308012969,-0.547980710613902, 0.01)); #127324=CARTESIAN_POINT('Origin',(-0.222192071845562,-0.466586871093827, 0.)); #127325=CARTESIAN_POINT('',(-0.222192071845562,-0.466586871093827,0.)); #127326=CARTESIAN_POINT('',(-0.222192071845562,-0.477235169095558,0.)); #127327=CARTESIAN_POINT('',(-0.222192071845562,0.0211259278167624,0.)); #127328=CARTESIAN_POINT('',(-0.222192071845562,-0.466586871093827,0.01)); #127329=CARTESIAN_POINT('',(-0.222192071845562,-0.466586871093827,0.)); #127330=CARTESIAN_POINT('',(-0.222192071845562,-0.477235169095558,0.01)); #127331=CARTESIAN_POINT('',(-0.222192071845562,-0.466586871093827,0.01)); #127332=CARTESIAN_POINT('',(-0.222192071845562,-0.477235169095558,0.)); #127333=CARTESIAN_POINT('Origin',(-0.168367113453255,-0.466586871093827, 0.)); #127334=CARTESIAN_POINT('',(-0.168367113453255,-0.466586871093827,0.)); #127335=CARTESIAN_POINT('',(-0.0841835567266272,-0.466586871093827,0.)); #127336=CARTESIAN_POINT('',(-0.168367113453255,-0.466586871093827,0.01)); #127337=CARTESIAN_POINT('',(-0.168367113453255,-0.466586871093827,0.)); #127338=CARTESIAN_POINT('',(-0.168367113453255,-0.466586871093827,0.01)); #127339=CARTESIAN_POINT('Origin',(-0.168367113453255,-0.556586869272835, 0.)); #127340=CARTESIAN_POINT('',(-0.168367113453255,-0.556586869272835,0.)); #127341=CARTESIAN_POINT('',(-0.168367113453255,-0.0238740712727414,0.)); #127342=CARTESIAN_POINT('',(-0.168367113453255,-0.556586869272835,0.01)); #127343=CARTESIAN_POINT('',(-0.168367113453255,-0.556586869272835,0.)); #127344=CARTESIAN_POINT('',(-0.168367113453255,-0.556586869272835,0.01)); #127345=CARTESIAN_POINT('Origin',(-0.180328215318212,-0.556586869272835, 0.)); #127346=CARTESIAN_POINT('',(-0.180328215318212,-0.556586869272835,0.)); #127347=CARTESIAN_POINT('',(-0.0901641076591059,-0.556586869272835,0.)); #127348=CARTESIAN_POINT('',(-0.180328215318212,-0.556586869272835,0.01)); #127349=CARTESIAN_POINT('',(-0.180328215318212,-0.556586869272835,0.)); #127350=CARTESIAN_POINT('',(-0.180328215318212,-0.556586869272835,0.01)); #127351=CARTESIAN_POINT('Origin',(-0.180328215318212,-0.513264341786344, 0.)); #127352=CARTESIAN_POINT('',(-0.180328215318212,-0.513264341786344,0.)); #127353=CARTESIAN_POINT('',(-0.180328215318212,-0.00221280752949563,0.)); #127354=CARTESIAN_POINT('',(-0.180328215318212,-0.513264341786344,0.01)); #127355=CARTESIAN_POINT('',(-0.180328215318212,-0.513264341786344,0.)); #127356=CARTESIAN_POINT('',(-0.180328215318212,-0.513264341786344,0.01)); #127357=CARTESIAN_POINT('Origin',(-0.220441666694593,-0.513264341786344, 0.)); #127358=CARTESIAN_POINT('',(-0.220441666694593,-0.513264341786344,0.)); #127359=CARTESIAN_POINT('',(-0.110220833347296,-0.513264341786344,0.)); #127360=CARTESIAN_POINT('',(-0.220441666694593,-0.513264341786344,0.01)); #127361=CARTESIAN_POINT('',(-0.220441666694593,-0.513264341786344,0.)); #127362=CARTESIAN_POINT('',(-0.220441666694593,-0.513264341786344,0.01)); #127363=CARTESIAN_POINT('Origin',(-0.220441666694593,-0.502616043784613, 0.)); #127364=CARTESIAN_POINT('',(-0.220441666694593,-0.502616043784613,0.)); #127365=CARTESIAN_POINT('',(-0.220441666694593,0.00311134147136951,0.)); #127366=CARTESIAN_POINT('',(-0.220441666694593,-0.502616043784613,0.01)); #127367=CARTESIAN_POINT('',(-0.220441666694593,-0.502616043784613,0.)); #127368=CARTESIAN_POINT('',(-0.220441666694593,-0.502616043784613,0.01)); #127369=CARTESIAN_POINT('Origin',(-0.180328215318212,-0.502616043784613, 0.)); #127370=CARTESIAN_POINT('',(-0.180328215318212,-0.502616043784613,0.)); #127371=CARTESIAN_POINT('',(-0.0901641076591059,-0.502616043784613,0.)); #127372=CARTESIAN_POINT('',(-0.180328215318212,-0.502616043784613,0.01)); #127373=CARTESIAN_POINT('',(-0.180328215318212,-0.502616043784613,0.)); #127374=CARTESIAN_POINT('',(-0.180328215318212,-0.502616043784613,0.01)); #127375=CARTESIAN_POINT('Origin',(-0.180328215318212,-0.477235169095558, 0.)); #127376=CARTESIAN_POINT('',(-0.180328215318212,-0.477235169095558,0.)); #127377=CARTESIAN_POINT('',(-0.180328215318212,0.0158017788158973,0.)); #127378=CARTESIAN_POINT('',(-0.180328215318212,-0.477235169095558,0.01)); #127379=CARTESIAN_POINT('',(-0.180328215318212,-0.477235169095558,0.)); #127380=CARTESIAN_POINT('',(-0.180328215318212,-0.477235169095558,0.01)); #127381=CARTESIAN_POINT('Origin',(-0.222192071845562,-0.477235169095558, 0.)); #127382=CARTESIAN_POINT('',(-0.111096035922781,-0.477235169095558,0.)); #127383=CARTESIAN_POINT('',(-0.222192071845562,-0.477235169095558,0.01)); #127384=CARTESIAN_POINT('Origin',(-0.188523956486024,-0.501761489326988, 0.01)); #127385=CARTESIAN_POINT('Origin',(0.218910026198715,-0.466586871093827, 0.)); #127386=CARTESIAN_POINT('',(0.218910026198715,-0.466586871093827,0.)); #127387=CARTESIAN_POINT('',(0.218910026198715,-0.556586869272835,0.)); #127388=CARTESIAN_POINT('',(0.218910026198715,0.0211259278167624,0.)); #127389=CARTESIAN_POINT('',(0.218910026198715,-0.466586871093827,0.01)); #127390=CARTESIAN_POINT('',(0.218910026198715,-0.466586871093827,0.)); #127391=CARTESIAN_POINT('',(0.218910026198715,-0.556586869272835,0.01)); #127392=CARTESIAN_POINT('',(0.218910026198715,-0.466586871093827,0.01)); #127393=CARTESIAN_POINT('',(0.218910026198715,-0.556586869272835,0.)); #127394=CARTESIAN_POINT('Origin',(0.230871128063673,-0.466586871093827, 0.)); #127395=CARTESIAN_POINT('',(0.230871128063673,-0.466586871093827,0.)); #127396=CARTESIAN_POINT('',(0.115435564031836,-0.466586871093827,0.)); #127397=CARTESIAN_POINT('',(0.230871128063673,-0.466586871093827,0.01)); #127398=CARTESIAN_POINT('',(0.230871128063673,-0.466586871093827,0.)); #127399=CARTESIAN_POINT('',(0.230871128063673,-0.466586871093827,0.01)); #127400=CARTESIAN_POINT('Origin',(0.230871128063673,-0.501886708305043, 0.)); #127401=CARTESIAN_POINT('',(0.230871128063673,-0.501886708305043,0.)); #127402=CARTESIAN_POINT('',(0.230871128063673,0.00347600921115479,0.)); #127403=CARTESIAN_POINT('',(0.230871128063673,-0.501886708305043,0.01)); #127404=CARTESIAN_POINT('',(0.230871128063673,-0.501886708305043,0.)); #127405=CARTESIAN_POINT('',(0.230871128063673,-0.501886708305043,0.01)); #127406=CARTESIAN_POINT('Origin',(0.272297383303281,-0.501886708305043, 0.)); #127407=CARTESIAN_POINT('',(0.272297383303281,-0.501886708305043,0.)); #127408=CARTESIAN_POINT('',(0.13614869165164,-0.501886708305043,0.)); #127409=CARTESIAN_POINT('',(0.272297383303281,-0.501886708305043,0.01)); #127410=CARTESIAN_POINT('',(0.272297383303281,-0.501886708305043,0.)); #127411=CARTESIAN_POINT('',(0.272297383303281,-0.501886708305043,0.01)); #127412=CARTESIAN_POINT('Origin',(0.272297383303281,-0.466586871093827, 0.)); #127413=CARTESIAN_POINT('',(0.272297383303281,-0.466586871093827,0.)); #127414=CARTESIAN_POINT('',(0.272297383303281,0.0211259278167624,0.)); #127415=CARTESIAN_POINT('',(0.272297383303281,-0.466586871093827,0.01)); #127416=CARTESIAN_POINT('',(0.272297383303281,-0.466586871093827,0.)); #127417=CARTESIAN_POINT('',(0.272297383303281,-0.466586871093827,0.01)); #127418=CARTESIAN_POINT('Origin',(0.284258485168238,-0.466586871093827, 0.)); #127419=CARTESIAN_POINT('',(0.284258485168238,-0.466586871093827,0.)); #127420=CARTESIAN_POINT('',(0.142129242584119,-0.466586871093827,0.)); #127421=CARTESIAN_POINT('',(0.284258485168238,-0.466586871093827,0.01)); #127422=CARTESIAN_POINT('',(0.284258485168238,-0.466586871093827,0.)); #127423=CARTESIAN_POINT('',(0.284258485168238,-0.466586871093827,0.01)); #127424=CARTESIAN_POINT('Origin',(0.284258485168238,-0.556586869272835, 0.)); #127425=CARTESIAN_POINT('',(0.284258485168238,-0.556586869272835,0.)); #127426=CARTESIAN_POINT('',(0.284258485168238,-0.0238740712727414,0.)); #127427=CARTESIAN_POINT('',(0.284258485168238,-0.556586869272835,0.01)); #127428=CARTESIAN_POINT('',(0.284258485168238,-0.556586869272835,0.)); #127429=CARTESIAN_POINT('',(0.284258485168238,-0.556586869272835,0.01)); #127430=CARTESIAN_POINT('Origin',(0.272297383303281,-0.556586869272835, 0.)); #127431=CARTESIAN_POINT('',(0.272297383303281,-0.556586869272835,0.)); #127432=CARTESIAN_POINT('',(0.13614869165164,-0.556586869272835,0.)); #127433=CARTESIAN_POINT('',(0.272297383303281,-0.556586869272835,0.01)); #127434=CARTESIAN_POINT('',(0.272297383303281,-0.556586869272835,0.)); #127435=CARTESIAN_POINT('',(0.272297383303281,-0.556586869272835,0.01)); #127436=CARTESIAN_POINT('Origin',(0.272297383303281,-0.512535006306773, 0.)); #127437=CARTESIAN_POINT('',(0.272297383303281,-0.512535006306773,0.)); #127438=CARTESIAN_POINT('',(0.272297383303281,-0.00184813978971035,0.)); #127439=CARTESIAN_POINT('',(0.272297383303281,-0.512535006306773,0.01)); #127440=CARTESIAN_POINT('',(0.272297383303281,-0.512535006306773,0.)); #127441=CARTESIAN_POINT('',(0.272297383303281,-0.512535006306773,0.01)); #127442=CARTESIAN_POINT('Origin',(0.230871128063673,-0.512535006306773, 0.)); #127443=CARTESIAN_POINT('',(0.230871128063673,-0.512535006306773,0.)); #127444=CARTESIAN_POINT('',(0.115435564031836,-0.512535006306773,0.)); #127445=CARTESIAN_POINT('',(0.230871128063673,-0.512535006306773,0.01)); #127446=CARTESIAN_POINT('',(0.230871128063673,-0.512535006306773,0.)); #127447=CARTESIAN_POINT('',(0.230871128063673,-0.512535006306773,0.01)); #127448=CARTESIAN_POINT('Origin',(0.230871128063673,-0.556586869272835, 0.)); #127449=CARTESIAN_POINT('',(0.230871128063673,-0.556586869272835,0.)); #127450=CARTESIAN_POINT('',(0.230871128063673,-0.0238740712727414,0.)); #127451=CARTESIAN_POINT('',(0.230871128063673,-0.556586869272835,0.01)); #127452=CARTESIAN_POINT('',(0.230871128063673,-0.556586869272835,0.)); #127453=CARTESIAN_POINT('',(0.230871128063673,-0.556586869272835,0.01)); #127454=CARTESIAN_POINT('Origin',(0.218910026198715,-0.556586869272835, 0.)); #127455=CARTESIAN_POINT('',(0.109455013099358,-0.556586869272835,0.)); #127456=CARTESIAN_POINT('',(0.218910026198715,-0.556586869272835,0.01)); #127457=CARTESIAN_POINT('Origin',(0.251584255683477,-0.511013011440549, 0.01)); #127458=CARTESIAN_POINT('Ctrl Pts',(0.337208240985061,-0.476943434903729, 0.)); #127459=CARTESIAN_POINT('Ctrl Pts',(0.337208240985061,-0.476943434903729, 0.01)); #127460=CARTESIAN_POINT('Ctrl Pts',(0.332248759723981,-0.476943434903729, 0.)); #127461=CARTESIAN_POINT('Ctrl Pts',(0.332248759723981,-0.476943434903729, 0.01)); #127462=CARTESIAN_POINT('Ctrl Pts',(0.325465939763975,-0.478329172314913, 0.)); #127463=CARTESIAN_POINT('Ctrl Pts',(0.325465939763975,-0.478329172314913, 0.01)); #127464=CARTESIAN_POINT('Ctrl Pts',(0.322913265585478,-0.480006643917926, 0.)); #127465=CARTESIAN_POINT('Ctrl Pts',(0.322913265585478,-0.480006643917926, 0.01)); #127466=CARTESIAN_POINT('',(0.322913265585478,-0.480006643917926,0.)); #127467=CARTESIAN_POINT('',(0.337208240985061,-0.476943434903729,0.)); #127468=CARTESIAN_POINT('Ctrl Pts',(0.322913265585478,-0.480006643917926, 0.)); #127469=CARTESIAN_POINT('Ctrl Pts',(0.325465939763975,-0.478329172314913, 0.)); #127470=CARTESIAN_POINT('Ctrl Pts',(0.332248759723981,-0.476943434903729, 0.)); #127471=CARTESIAN_POINT('Ctrl Pts',(0.337208240985061,-0.476943434903729, 0.)); #127472=CARTESIAN_POINT('',(0.322913265585478,-0.480006643917926,0.01)); #127473=CARTESIAN_POINT('',(0.322913265585478,-0.480006643917926,0.)); #127474=CARTESIAN_POINT('',(0.337208240985061,-0.476943434903729,0.01)); #127475=CARTESIAN_POINT('Ctrl Pts',(0.322913265585478,-0.480006643917926, 0.01)); #127476=CARTESIAN_POINT('Ctrl Pts',(0.325465939763975,-0.478329172314913, 0.01)); #127477=CARTESIAN_POINT('Ctrl Pts',(0.332248759723981,-0.476943434903729, 0.01)); #127478=CARTESIAN_POINT('Ctrl Pts',(0.337208240985061,-0.476943434903729, 0.01)); #127479=CARTESIAN_POINT('',(0.337208240985061,-0.476943434903729,0.)); #127480=CARTESIAN_POINT('Origin',(0.349096409302061,-0.476943434903729, 0.)); #127481=CARTESIAN_POINT('',(0.349096409302061,-0.476943434903729,0.)); #127482=CARTESIAN_POINT('',(0.174548204651031,-0.476943434903729,0.)); #127483=CARTESIAN_POINT('',(0.349096409302061,-0.476943434903729,0.01)); #127484=CARTESIAN_POINT('',(0.341607879745471,-0.476943434903729,0.01)); #127485=CARTESIAN_POINT('',(0.349096409302061,-0.476943434903729,0.)); #127486=CARTESIAN_POINT('Origin',(0.349096409302061,-0.510784601155804, 0.)); #127487=CARTESIAN_POINT('',(0.349096409302061,-0.510784601155804,0.)); #127488=CARTESIAN_POINT('',(0.349096409302061,-0.000972937214225652,0.)); #127489=CARTESIAN_POINT('',(0.349096409302061,-0.510784601155804,0.01)); #127490=CARTESIAN_POINT('',(0.349096409302061,-0.514564847640056,0.01)); #127491=CARTESIAN_POINT('',(0.349096409302061,-0.510784601155804,0.)); #127492=CARTESIAN_POINT('Origin',(0.338593978396245,-0.510784601155804, 0.)); #127493=CARTESIAN_POINT('',(0.338593978396245,-0.510784601155804,0.)); #127494=CARTESIAN_POINT('',(0.169296989198123,-0.510784601155804,0.)); #127495=CARTESIAN_POINT('',(0.338593978396245,-0.510784601155804,0.01)); #127496=CARTESIAN_POINT('',(0.336356664292563,-0.510784601155804,0.01)); #127497=CARTESIAN_POINT('',(0.338593978396245,-0.510784601155804,0.)); #127498=CARTESIAN_POINT('Ctrl Pts',(0.322329797201821,-0.506116854086552, 0.)); #127499=CARTESIAN_POINT('Ctrl Pts',(0.322329797201821,-0.506116854086552, 0.01)); #127500=CARTESIAN_POINT('Ctrl Pts',(0.325174205572147,-0.508961262456877, 0.)); #127501=CARTESIAN_POINT('Ctrl Pts',(0.325174205572147,-0.508961262456877, 0.01)); #127502=CARTESIAN_POINT('Ctrl Pts',(0.333415696491294,-0.510784601155804, 0.)); #127503=CARTESIAN_POINT('Ctrl Pts',(0.333415696491294,-0.510784601155804, 0.01)); #127504=CARTESIAN_POINT('Ctrl Pts',(0.338593978396245,-0.510784601155804, 0.)); #127505=CARTESIAN_POINT('Ctrl Pts',(0.338593978396245,-0.510784601155804, 0.01)); #127506=CARTESIAN_POINT('',(0.322329797201821,-0.506116854086552,0.)); #127507=CARTESIAN_POINT('Ctrl Pts',(0.338593978396245,-0.510784601155804, 0.)); #127508=CARTESIAN_POINT('Ctrl Pts',(0.333415696491294,-0.510784601155804, 0.)); #127509=CARTESIAN_POINT('Ctrl Pts',(0.325174205572147,-0.508961262456877, 0.)); #127510=CARTESIAN_POINT('Ctrl Pts',(0.322329797201821,-0.506116854086552, 0.)); #127511=CARTESIAN_POINT('',(0.322329797201821,-0.506116854086552,0.01)); #127512=CARTESIAN_POINT('Ctrl Pts',(0.338593978396245,-0.510784601155804, 0.01)); #127513=CARTESIAN_POINT('Ctrl Pts',(0.333415696491294,-0.510784601155804, 0.01)); #127514=CARTESIAN_POINT('Ctrl Pts',(0.325174205572147,-0.508961262456877, 0.01)); #127515=CARTESIAN_POINT('Ctrl Pts',(0.322329797201821,-0.506116854086552, 0.01)); #127516=CARTESIAN_POINT('',(0.322329797201821,-0.506116854086552,0.)); #127517=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.491967745782883, 0.)); #127518=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.491967745782883, 0.01)); #127519=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.496635492852135, 0.)); #127520=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.496635492852135, 0.01)); #127521=CARTESIAN_POINT('Ctrl Pts',(0.319704189475367,-0.503637113456012, 0.)); #127522=CARTESIAN_POINT('Ctrl Pts',(0.319704189475367,-0.503637113456012, 0.01)); #127523=CARTESIAN_POINT('Ctrl Pts',(0.322329797201821,-0.506116854086552, 0.)); #127524=CARTESIAN_POINT('Ctrl Pts',(0.322329797201821,-0.506116854086552, 0.01)); #127525=CARTESIAN_POINT('',(0.317224448844827,-0.491967745782883,0.)); #127526=CARTESIAN_POINT('Ctrl Pts',(0.322329797201821,-0.506116854086552, 0.)); #127527=CARTESIAN_POINT('Ctrl Pts',(0.319704189475367,-0.503637113456012, 0.)); #127528=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.496635492852135, 0.)); #127529=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.491967745782883, 0.)); #127530=CARTESIAN_POINT('',(0.317224448844827,-0.491967745782883,0.01)); #127531=CARTESIAN_POINT('Ctrl Pts',(0.322329797201821,-0.506116854086552, 0.01)); #127532=CARTESIAN_POINT('Ctrl Pts',(0.319704189475367,-0.503637113456012, 0.01)); #127533=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.496635492852135, 0.01)); #127534=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.491967745782883, 0.01)); #127535=CARTESIAN_POINT('',(0.317224448844827,-0.491967745782883,0.)); #127536=CARTESIAN_POINT('Ctrl Pts',(0.322913265585478,-0.480006643917926, 0.)); #127537=CARTESIAN_POINT('Ctrl Pts',(0.322913265585478,-0.480006643917926, 0.01)); #127538=CARTESIAN_POINT('Ctrl Pts',(0.319995923667196,-0.481975849712766, 0.)); #127539=CARTESIAN_POINT('Ctrl Pts',(0.319995923667196,-0.481975849712766, 0.01)); #127540=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.488029334193202, 0.)); #127541=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.488029334193202, 0.01)); #127542=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.491967745782883, 0.)); #127543=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.491967745782883, 0.01)); #127544=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.491967745782883, 0.)); #127545=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.488029334193202, 0.)); #127546=CARTESIAN_POINT('Ctrl Pts',(0.319995923667196,-0.481975849712766, 0.)); #127547=CARTESIAN_POINT('Ctrl Pts',(0.322913265585478,-0.480006643917926, 0.)); #127548=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.491967745782883, 0.01)); #127549=CARTESIAN_POINT('Ctrl Pts',(0.317224448844827,-0.488029334193202, 0.01)); #127550=CARTESIAN_POINT('Ctrl Pts',(0.319995923667196,-0.481975849712766, 0.01)); #127551=CARTESIAN_POINT('Ctrl Pts',(0.322913265585478,-0.480006643917926, 0.01)); #127552=CARTESIAN_POINT('Origin',(0.323423800421177,-0.517421554019896, 0.)); #127553=CARTESIAN_POINT('',(0.323423800421177,-0.517421554019896,0.)); #127554=CARTESIAN_POINT('',(0.291405972868029,-0.556586869272835,0.)); #127555=CARTESIAN_POINT('',(0.510086502954205,-0.289089273244871,0.)); #127556=CARTESIAN_POINT('',(0.323423800421177,-0.517421554019896,0.01)); #127557=CARTESIAN_POINT('',(0.323423800421177,-0.517421554019896,0.)); #127558=CARTESIAN_POINT('',(0.291405972868029,-0.556586869272835,0.01)); #127559=CARTESIAN_POINT('',(0.323423800421177,-0.517421554019896,0.01)); #127560=CARTESIAN_POINT('',(0.291405972868029,-0.556586869272835,0.)); #127561=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.491019609659441, 0.)); #127562=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.491019609659441, 0.01)); #127563=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.500865638633644, 0.)); #127564=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.500865638633644, 0.01)); #127565=CARTESIAN_POINT('Ctrl Pts',(0.314817641762245,-0.514212477909785, 0.)); #127566=CARTESIAN_POINT('Ctrl Pts',(0.314817641762245,-0.514212477909785, 0.01)); #127567=CARTESIAN_POINT('Ctrl Pts',(0.323423800421177,-0.517421554019896, 0.)); #127568=CARTESIAN_POINT('Ctrl Pts',(0.323423800421177,-0.517421554019896, 0.01)); #127569=CARTESIAN_POINT('',(0.304752812144171,-0.491019609659441,0.)); #127570=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.491019609659441, 0.)); #127571=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.500865638633644, 0.)); #127572=CARTESIAN_POINT('Ctrl Pts',(0.314817641762245,-0.514212477909785, 0.)); #127573=CARTESIAN_POINT('Ctrl Pts',(0.323423800421177,-0.517421554019896, 0.)); #127574=CARTESIAN_POINT('',(0.304752812144171,-0.491019609659441,0.01)); #127575=CARTESIAN_POINT('',(0.304752812144171,-0.491019609659441,0.)); #127576=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.491019609659441, 0.01)); #127577=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.500865638633644, 0.01)); #127578=CARTESIAN_POINT('Ctrl Pts',(0.314817641762245,-0.514212477909785, 0.01)); #127579=CARTESIAN_POINT('Ctrl Pts',(0.323423800421177,-0.517421554019896, 0.01)); #127580=CARTESIAN_POINT('Ctrl Pts',(0.314963508858159,-0.471327551711036, 0.)); #127581=CARTESIAN_POINT('Ctrl Pts',(0.314963508858159,-0.471327551711036, 0.01)); #127582=CARTESIAN_POINT('Ctrl Pts',(0.31022282824095,-0.474390760725232, 0.)); #127583=CARTESIAN_POINT('Ctrl Pts',(0.31022282824095,-0.474390760725232, 0.01)); #127584=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.483945055507607, 0.)); #127585=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.483945055507607, 0.01)); #127586=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.491019609659441, 0.)); #127587=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.491019609659441, 0.01)); #127588=CARTESIAN_POINT('',(0.314963508858159,-0.471327551711036,0.)); #127589=CARTESIAN_POINT('Ctrl Pts',(0.314963508858159,-0.471327551711036, 0.)); #127590=CARTESIAN_POINT('Ctrl Pts',(0.31022282824095,-0.474390760725232, 0.)); #127591=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.483945055507607, 0.)); #127592=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.491019609659441, 0.)); #127593=CARTESIAN_POINT('',(0.314963508858159,-0.471327551711036,0.01)); #127594=CARTESIAN_POINT('',(0.314963508858159,-0.471327551711036,0.)); #127595=CARTESIAN_POINT('Ctrl Pts',(0.314963508858159,-0.471327551711036, 0.01)); #127596=CARTESIAN_POINT('Ctrl Pts',(0.31022282824095,-0.474390760725232, 0.01)); #127597=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.483945055507607, 0.01)); #127598=CARTESIAN_POINT('Ctrl Pts',(0.304752812144171,-0.491019609659441, 0.01)); #127599=CARTESIAN_POINT('Ctrl Pts',(0.337864642916674,-0.466586871093827, 0.)); #127600=CARTESIAN_POINT('Ctrl Pts',(0.337864642916674,-0.466586871093827, 0.01)); #127601=CARTESIAN_POINT('Ctrl Pts',(0.330133686833226,-0.466586871093827, 0.)); #127602=CARTESIAN_POINT('Ctrl Pts',(0.330133686833226,-0.466586871093827, 0.01)); #127603=CARTESIAN_POINT('Ctrl Pts',(0.319704189475367,-0.46826434269684, 0.)); #127604=CARTESIAN_POINT('Ctrl Pts',(0.319704189475367,-0.46826434269684, 0.01)); #127605=CARTESIAN_POINT('Ctrl Pts',(0.314963508858159,-0.471327551711036, 0.)); #127606=CARTESIAN_POINT('Ctrl Pts',(0.314963508858159,-0.471327551711036, 0.01)); #127607=CARTESIAN_POINT('',(0.337864642916674,-0.466586871093827,0.)); #127608=CARTESIAN_POINT('Ctrl Pts',(0.337864642916674,-0.466586871093827, 0.)); #127609=CARTESIAN_POINT('Ctrl Pts',(0.330133686833226,-0.466586871093827, 0.)); #127610=CARTESIAN_POINT('Ctrl Pts',(0.319704189475367,-0.46826434269684, 0.)); #127611=CARTESIAN_POINT('Ctrl Pts',(0.314963508858159,-0.471327551711036, 0.)); #127612=CARTESIAN_POINT('',(0.337864642916674,-0.466586871093827,0.01)); #127613=CARTESIAN_POINT('',(0.337864642916674,-0.466586871093827,0.)); #127614=CARTESIAN_POINT('Ctrl Pts',(0.337864642916674,-0.466586871093827, 0.01)); #127615=CARTESIAN_POINT('Ctrl Pts',(0.330133686833226,-0.466586871093827, 0.01)); #127616=CARTESIAN_POINT('Ctrl Pts',(0.319704189475367,-0.46826434269684, 0.01)); #127617=CARTESIAN_POINT('Ctrl Pts',(0.314963508858159,-0.471327551711036, 0.01)); #127618=CARTESIAN_POINT('Origin',(0.361057511167018,-0.466586871093827, 0.)); #127619=CARTESIAN_POINT('',(0.361057511167018,-0.466586871093827,0.)); #127620=CARTESIAN_POINT('',(0.180528755583509,-0.466586871093827,0.)); #127621=CARTESIAN_POINT('',(0.361057511167018,-0.466586871093827,0.01)); #127622=CARTESIAN_POINT('',(0.361057511167018,-0.466586871093827,0.)); #127623=CARTESIAN_POINT('',(0.361057511167018,-0.466586871093827,0.01)); #127624=CARTESIAN_POINT('Origin',(0.361057511167018,-0.556586869272835, 0.)); #127625=CARTESIAN_POINT('',(0.361057511167018,-0.556586869272835,0.)); #127626=CARTESIAN_POINT('',(0.361057511167018,-0.0238740712727414,0.)); #127627=CARTESIAN_POINT('',(0.361057511167018,-0.556586869272835,0.01)); #127628=CARTESIAN_POINT('',(0.361057511167018,-0.556586869272835,0.)); #127629=CARTESIAN_POINT('',(0.361057511167018,-0.556586869272835,0.01)); #127630=CARTESIAN_POINT('Origin',(0.349096409302061,-0.556586869272835, 0.)); #127631=CARTESIAN_POINT('',(0.349096409302061,-0.556586869272835,0.)); #127632=CARTESIAN_POINT('',(0.174548204651031,-0.556586869272835,0.)); #127633=CARTESIAN_POINT('',(0.349096409302061,-0.556586869272835,0.01)); #127634=CARTESIAN_POINT('',(0.349096409302061,-0.556586869272835,0.)); #127635=CARTESIAN_POINT('',(0.349096409302061,-0.556586869272835,0.01)); #127636=CARTESIAN_POINT('Origin',(0.349096409302061,-0.52077649722592,0.)); #127637=CARTESIAN_POINT('',(0.349096409302061,-0.52077649722592,0.)); #127638=CARTESIAN_POINT('',(0.349096409302061,-0.00596888524928405,0.)); #127639=CARTESIAN_POINT('',(0.349096409302061,-0.52077649722592,0.01)); #127640=CARTESIAN_POINT('',(0.349096409302061,-0.52077649722592,0.)); #127641=CARTESIAN_POINT('',(0.349096409302061,-0.52077649722592,0.01)); #127642=CARTESIAN_POINT('Origin',(0.335384902286135,-0.52077649722592,0.)); #127643=CARTESIAN_POINT('',(0.335384902286135,-0.52077649722592,0.)); #127644=CARTESIAN_POINT('',(0.167692451143067,-0.52077649722592,0.)); #127645=CARTESIAN_POINT('',(0.335384902286135,-0.52077649722592,0.01)); #127646=CARTESIAN_POINT('',(0.335384902286135,-0.52077649722592,0.)); #127647=CARTESIAN_POINT('',(0.335384902286135,-0.52077649722592,0.01)); #127648=CARTESIAN_POINT('Origin',(0.306940818582883,-0.556586869272835, 0.)); #127649=CARTESIAN_POINT('',(0.306940818582883,-0.556586869272835,0.)); #127650=CARTESIAN_POINT('',(0.507017435804492,-0.304695538360501,0.)); #127651=CARTESIAN_POINT('',(0.306940818582883,-0.556586869272835,0.01)); #127652=CARTESIAN_POINT('',(0.306940818582883,-0.556586869272835,0.)); #127653=CARTESIAN_POINT('',(0.306940818582883,-0.556586869272835,0.01)); #127654=CARTESIAN_POINT('Origin',(0.291405972868029,-0.556586869272835, 0.)); #127655=CARTESIAN_POINT('',(0.145702986434015,-0.556586869272835,0.)); #127656=CARTESIAN_POINT('',(0.291405972868029,-0.556586869272835,0.01)); #127657=CARTESIAN_POINT('Origin',(0.33411935018888,-0.518345094124309,0.01)); #127658=CARTESIAN_POINT('Ctrl Pts',(0.480012127884978,-0.476797567807815, 0.)); #127659=CARTESIAN_POINT('Ctrl Pts',(0.480012127884978,-0.476797567807815, 0.01)); #127660=CARTESIAN_POINT('Ctrl Pts',(0.473666909212714,-0.476797567807815, 0.)); #127661=CARTESIAN_POINT('Ctrl Pts',(0.473666909212714,-0.476797567807815, 0.01)); #127662=CARTESIAN_POINT('Ctrl Pts',(0.466592355060879,-0.477526903287386, 0.)); #127663=CARTESIAN_POINT('Ctrl Pts',(0.466592355060879,-0.477526903287386, 0.01)); #127664=CARTESIAN_POINT('Ctrl Pts',(0.463675013142597,-0.479131441342441, 0.)); #127665=CARTESIAN_POINT('Ctrl Pts',(0.463675013142597,-0.479131441342441, 0.01)); #127666=CARTESIAN_POINT('',(0.463675013142597,-0.479131441342441,0.)); #127667=CARTESIAN_POINT('',(0.480012127884978,-0.476797567807815,0.)); #127668=CARTESIAN_POINT('Ctrl Pts',(0.463675013142597,-0.479131441342441, 0.)); #127669=CARTESIAN_POINT('Ctrl Pts',(0.466592355060879,-0.477526903287386, 0.)); #127670=CARTESIAN_POINT('Ctrl Pts',(0.473666909212714,-0.476797567807815, 0.)); #127671=CARTESIAN_POINT('Ctrl Pts',(0.480012127884978,-0.476797567807815, 0.)); #127672=CARTESIAN_POINT('',(0.463675013142597,-0.479131441342441,0.01)); #127673=CARTESIAN_POINT('',(0.463675013142597,-0.479131441342441,0.)); #127674=CARTESIAN_POINT('',(0.480012127884978,-0.476797567807815,0.01)); #127675=CARTESIAN_POINT('Ctrl Pts',(0.463675013142597,-0.479131441342441, 0.01)); #127676=CARTESIAN_POINT('Ctrl Pts',(0.466592355060879,-0.477526903287386, 0.01)); #127677=CARTESIAN_POINT('Ctrl Pts',(0.473666909212714,-0.476797567807815, 0.01)); #127678=CARTESIAN_POINT('Ctrl Pts',(0.480012127884978,-0.476797567807815, 0.01)); #127679=CARTESIAN_POINT('',(0.480012127884978,-0.476797567807815,0.)); #127680=CARTESIAN_POINT('Origin',(0.491462694914235,-0.476797567807815, 0.)); #127681=CARTESIAN_POINT('',(0.491462694914235,-0.476797567807815,0.)); #127682=CARTESIAN_POINT('',(0.245731347457118,-0.476797567807815,0.)); #127683=CARTESIAN_POINT('',(0.491462694914235,-0.476797567807815,0.01)); #127684=CARTESIAN_POINT('',(0.48529008128813,-0.476797567807815,0.01)); #127685=CARTESIAN_POINT('',(0.491462694914235,-0.476797567807815,0.)); #127686=CARTESIAN_POINT('Origin',(0.491462694914235,-0.503126578620313, 0.)); #127687=CARTESIAN_POINT('',(0.491462694914235,-0.503126578620313,0.)); #127688=CARTESIAN_POINT('',(0.491462694914235,0.00285607405351978,0.)); #127689=CARTESIAN_POINT('',(0.491462694914235,-0.503126578620313,0.01)); #127690=CARTESIAN_POINT('',(0.491462694914235,-0.507329283689273,0.01)); #127691=CARTESIAN_POINT('',(0.491462694914235,-0.503126578620313,0.)); #127692=CARTESIAN_POINT('Origin',(0.477897054994223,-0.503126578620313, 0.)); #127693=CARTESIAN_POINT('',(0.477897054994223,-0.503126578620313,0.)); #127694=CARTESIAN_POINT('',(0.238948527497111,-0.503126578620313,0.)); #127695=CARTESIAN_POINT('',(0.477897054994223,-0.503126578620313,0.01)); #127696=CARTESIAN_POINT('',(0.478507261328124,-0.503126578620313,0.01)); #127697=CARTESIAN_POINT('',(0.477897054994223,-0.503126578620313,0.)); #127698=CARTESIAN_POINT('Ctrl Pts',(0.464112614430339,-0.500136303154073, 0.)); #127699=CARTESIAN_POINT('Ctrl Pts',(0.464112614430339,-0.500136303154073, 0.01)); #127700=CARTESIAN_POINT('Ctrl Pts',(0.466957022800664,-0.501959641853,0.)); #127701=CARTESIAN_POINT('Ctrl Pts',(0.466957022800664,-0.501959641853,0.01)); #127702=CARTESIAN_POINT('Ctrl Pts',(0.47228117180153,-0.503126578620313, 0.)); #127703=CARTESIAN_POINT('Ctrl Pts',(0.47228117180153,-0.503126578620313, 0.01)); #127704=CARTESIAN_POINT('Ctrl Pts',(0.477897054994223,-0.503126578620313, 0.)); #127705=CARTESIAN_POINT('Ctrl Pts',(0.477897054994223,-0.503126578620313, 0.01)); #127706=CARTESIAN_POINT('',(0.464112614430339,-0.500136303154073,0.)); #127707=CARTESIAN_POINT('Ctrl Pts',(0.477897054994223,-0.503126578620313, 0.)); #127708=CARTESIAN_POINT('Ctrl Pts',(0.47228117180153,-0.503126578620313, 0.)); #127709=CARTESIAN_POINT('Ctrl Pts',(0.466957022800664,-0.501959641853,0.)); #127710=CARTESIAN_POINT('Ctrl Pts',(0.464112614430339,-0.500136303154073, 0.)); #127711=CARTESIAN_POINT('',(0.464112614430339,-0.500136303154073,0.01)); #127712=CARTESIAN_POINT('Ctrl Pts',(0.477897054994223,-0.503126578620313, 0.01)); #127713=CARTESIAN_POINT('Ctrl Pts',(0.47228117180153,-0.503126578620313, 0.01)); #127714=CARTESIAN_POINT('Ctrl Pts',(0.466957022800664,-0.501959641853,0.01)); #127715=CARTESIAN_POINT('Ctrl Pts',(0.464112614430339,-0.500136303154073, 0.01)); #127716=CARTESIAN_POINT('',(0.464112614430339,-0.500136303154073,0.)); #127717=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.488539869028901, 0.)); #127718=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.488539869028901, 0.01)); #127719=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.492770014810411, 0.)); #127720=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.492770014810411, 0.01)); #127721=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.498385898003104, 0.)); #127722=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.498385898003104, 0.01)); #127723=CARTESIAN_POINT('Ctrl Pts',(0.464112614430339,-0.500136303154073, 0.)); #127724=CARTESIAN_POINT('Ctrl Pts',(0.464112614430339,-0.500136303154073, 0.01)); #127725=CARTESIAN_POINT('',(0.459080199621302,-0.488539869028901,0.)); #127726=CARTESIAN_POINT('Ctrl Pts',(0.464112614430339,-0.500136303154073, 0.)); #127727=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.498385898003104, 0.)); #127728=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.492770014810411, 0.)); #127729=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.488539869028901, 0.)); #127730=CARTESIAN_POINT('',(0.459080199621302,-0.488539869028901,0.01)); #127731=CARTESIAN_POINT('Ctrl Pts',(0.464112614430339,-0.500136303154073, 0.01)); #127732=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.498385898003104, 0.01)); #127733=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.492770014810411, 0.01)); #127734=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.488539869028901, 0.01)); #127735=CARTESIAN_POINT('',(0.459080199621302,-0.488539869028901,0.)); #127736=CARTESIAN_POINT('Ctrl Pts',(0.463675013142597,-0.479131441342441, 0.)); #127737=CARTESIAN_POINT('Ctrl Pts',(0.463675013142597,-0.479131441342441, 0.01)); #127738=CARTESIAN_POINT('Ctrl Pts',(0.461268206060014,-0.480517178753625, 0.)); #127739=CARTESIAN_POINT('Ctrl Pts',(0.461268206060014,-0.480517178753625, 0.01)); #127740=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.485330792918791, 0.)); #127741=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.485330792918791, 0.01)); #127742=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.488539869028901, 0.)); #127743=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.488539869028901, 0.01)); #127744=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.488539869028901, 0.)); #127745=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.485330792918791, 0.)); #127746=CARTESIAN_POINT('Ctrl Pts',(0.461268206060014,-0.480517178753625, 0.)); #127747=CARTESIAN_POINT('Ctrl Pts',(0.463675013142597,-0.479131441342441, 0.)); #127748=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.488539869028901, 0.01)); #127749=CARTESIAN_POINT('Ctrl Pts',(0.459080199621302,-0.485330792918791, 0.01)); #127750=CARTESIAN_POINT('Ctrl Pts',(0.461268206060014,-0.480517178753625, 0.01)); #127751=CARTESIAN_POINT('Ctrl Pts',(0.463675013142597,-0.479131441342441, 0.01)); #127752=CARTESIAN_POINT('Ctrl Pts',(0.477897054994223,-0.513118474690429, 0.)); #127753=CARTESIAN_POINT('Ctrl Pts',(0.477897054994223,-0.513118474690429, 0.01)); #127754=CARTESIAN_POINT('Ctrl Pts',(0.471697703417873,-0.513118474690429, 0.)); #127755=CARTESIAN_POINT('Ctrl Pts',(0.471697703417873,-0.513118474690429, 0.01)); #127756=CARTESIAN_POINT('Ctrl Pts',(0.464987817005824,-0.513993677265914, 0.)); #127757=CARTESIAN_POINT('Ctrl Pts',(0.464987817005824,-0.513993677265914, 0.01)); #127758=CARTESIAN_POINT('Ctrl Pts',(0.462143408635499,-0.515452348225055, 0.)); #127759=CARTESIAN_POINT('Ctrl Pts',(0.462143408635499,-0.515452348225055, 0.01)); #127760=CARTESIAN_POINT('',(0.462143408635499,-0.515452348225055,0.)); #127761=CARTESIAN_POINT('',(0.477897054994223,-0.513118474690429,0.)); #127762=CARTESIAN_POINT('Ctrl Pts',(0.462143408635499,-0.515452348225055, 0.)); #127763=CARTESIAN_POINT('Ctrl Pts',(0.464987817005824,-0.513993677265914, 0.)); #127764=CARTESIAN_POINT('Ctrl Pts',(0.471697703417873,-0.513118474690429, 0.)); #127765=CARTESIAN_POINT('Ctrl Pts',(0.477897054994223,-0.513118474690429, 0.)); #127766=CARTESIAN_POINT('',(0.462143408635499,-0.515452348225055,0.01)); #127767=CARTESIAN_POINT('',(0.462143408635499,-0.515452348225055,0.)); #127768=CARTESIAN_POINT('',(0.477897054994223,-0.513118474690429,0.01)); #127769=CARTESIAN_POINT('Ctrl Pts',(0.462143408635499,-0.515452348225055, 0.01)); #127770=CARTESIAN_POINT('Ctrl Pts',(0.464987817005824,-0.513993677265914, 0.01)); #127771=CARTESIAN_POINT('Ctrl Pts',(0.471697703417873,-0.513118474690429, 0.01)); #127772=CARTESIAN_POINT('Ctrl Pts',(0.477897054994223,-0.513118474690429, 0.01)); #127773=CARTESIAN_POINT('',(0.477897054994223,-0.513118474690429,0.)); #127774=CARTESIAN_POINT('Origin',(0.491462694914235,-0.513118474690429, 0.)); #127775=CARTESIAN_POINT('',(0.491462694914235,-0.513118474690429,0.)); #127776=CARTESIAN_POINT('',(0.245731347457118,-0.513118474690429,0.)); #127777=CARTESIAN_POINT('',(0.491462694914235,-0.513118474690429,0.01)); #127778=CARTESIAN_POINT('',(0.48529008128813,-0.513118474690429,0.01)); #127779=CARTESIAN_POINT('',(0.491462694914235,-0.513118474690429,0.)); #127780=CARTESIAN_POINT('Origin',(0.491462694914235,-0.546376172558847, 0.)); #127781=CARTESIAN_POINT('',(0.491462694914235,-0.546376172558847,0.)); #127782=CARTESIAN_POINT('',(0.491462694914235,-0.0187687229157475,0.)); #127783=CARTESIAN_POINT('',(0.491462694914235,-0.546376172558847,0.01)); #127784=CARTESIAN_POINT('',(0.491462694914235,-0.52895408065854,0.01)); #127785=CARTESIAN_POINT('',(0.491462694914235,-0.546376172558847,0.)); #127786=CARTESIAN_POINT('Origin',(0.481762533035947,-0.546376172558847, 0.)); #127787=CARTESIAN_POINT('',(0.481762533035947,-0.546376172558847,0.)); #127788=CARTESIAN_POINT('',(0.240881266517973,-0.546376172558847,0.)); #127789=CARTESIAN_POINT('',(0.481762533035947,-0.546376172558847,0.01)); #127790=CARTESIAN_POINT('',(0.480440000348986,-0.546376172558847,0.01)); #127791=CARTESIAN_POINT('',(0.481762533035947,-0.546376172558847,0.)); #127792=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.54258362806508, 0.)); #127793=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.54258362806508, 0.01)); #127794=CARTESIAN_POINT('Ctrl Pts',(0.46484194990991,-0.544917501599706, 0.)); #127795=CARTESIAN_POINT('Ctrl Pts',(0.46484194990991,-0.544917501599706, 0.01)); #127796=CARTESIAN_POINT('Ctrl Pts',(0.473885709856585,-0.546376172558847, 0.)); #127797=CARTESIAN_POINT('Ctrl Pts',(0.473885709856585,-0.546376172558847, 0.01)); #127798=CARTESIAN_POINT('Ctrl Pts',(0.481762533035947,-0.546376172558847, 0.)); #127799=CARTESIAN_POINT('Ctrl Pts',(0.481762533035947,-0.546376172558847, 0.01)); #127800=CARTESIAN_POINT('',(0.461341139607971,-0.54258362806508,0.)); #127801=CARTESIAN_POINT('Ctrl Pts',(0.481762533035947,-0.546376172558847, 0.)); #127802=CARTESIAN_POINT('Ctrl Pts',(0.473885709856585,-0.546376172558847, 0.)); #127803=CARTESIAN_POINT('Ctrl Pts',(0.46484194990991,-0.544917501599706, 0.)); #127804=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.54258362806508, 0.)); #127805=CARTESIAN_POINT('',(0.461341139607971,-0.54258362806508,0.01)); #127806=CARTESIAN_POINT('Ctrl Pts',(0.481762533035947,-0.546376172558847, 0.01)); #127807=CARTESIAN_POINT('Ctrl Pts',(0.473885709856585,-0.546376172558847, 0.01)); #127808=CARTESIAN_POINT('Ctrl Pts',(0.46484194990991,-0.544917501599706, 0.01)); #127809=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.54258362806508, 0.01)); #127810=CARTESIAN_POINT('',(0.461341139607971,-0.54258362806508,0.)); #127811=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.52945558943281, 0.)); #127812=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.52945558943281, 0.01)); #127813=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.534196270050019, 0.)); #127814=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.534196270050019, 0.01)); #127815=CARTESIAN_POINT('Ctrl Pts',(0.457986196401946,-0.540176820982497, 0.)); #127816=CARTESIAN_POINT('Ctrl Pts',(0.457986196401946,-0.540176820982497, 0.01)); #127817=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.54258362806508, 0.)); #127818=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.54258362806508, 0.01)); #127819=CARTESIAN_POINT('',(0.454850053839793,-0.52945558943281,0.)); #127820=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.54258362806508, 0.)); #127821=CARTESIAN_POINT('Ctrl Pts',(0.457986196401946,-0.540176820982497, 0.)); #127822=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.534196270050019, 0.)); #127823=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.52945558943281, 0.)); #127824=CARTESIAN_POINT('',(0.454850053839793,-0.52945558943281,0.01)); #127825=CARTESIAN_POINT('Ctrl Pts',(0.461341139607971,-0.54258362806508, 0.01)); #127826=CARTESIAN_POINT('Ctrl Pts',(0.457986196401946,-0.540176820982497, 0.01)); #127827=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.534196270050019, 0.01)); #127828=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.52945558943281, 0.01)); #127829=CARTESIAN_POINT('',(0.454850053839793,-0.52945558943281,0.)); #127830=CARTESIAN_POINT('Ctrl Pts',(0.462143408635499,-0.515452348225055, 0.)); #127831=CARTESIAN_POINT('Ctrl Pts',(0.462143408635499,-0.515452348225055, 0.01)); #127832=CARTESIAN_POINT('Ctrl Pts',(0.457767395758075,-0.517786221759681, 0.)); #127833=CARTESIAN_POINT('Ctrl Pts',(0.457767395758075,-0.517786221759681, 0.01)); #127834=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.524350241075816, 0.)); #127835=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.524350241075816, 0.01)); #127836=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.52945558943281, 0.)); #127837=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.52945558943281, 0.01)); #127838=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.52945558943281, 0.)); #127839=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.524350241075816, 0.)); #127840=CARTESIAN_POINT('Ctrl Pts',(0.457767395758075,-0.517786221759681, 0.)); #127841=CARTESIAN_POINT('Ctrl Pts',(0.462143408635499,-0.515452348225055, 0.)); #127842=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.52945558943281, 0.01)); #127843=CARTESIAN_POINT('Ctrl Pts',(0.454850053839793,-0.524350241075816, 0.01)); #127844=CARTESIAN_POINT('Ctrl Pts',(0.457767395758075,-0.517786221759681, 0.01)); #127845=CARTESIAN_POINT('Ctrl Pts',(0.462143408635499,-0.515452348225055, 0.01)); #127846=CARTESIAN_POINT('Ctrl Pts',(0.459226066717216,-0.506554455374294, 0.)); #127847=CARTESIAN_POINT('Ctrl Pts',(0.459226066717216,-0.506554455374294, 0.01)); #127848=CARTESIAN_POINT('Ctrl Pts',(0.45120337644194,-0.508742461813006, 0.)); #127849=CARTESIAN_POINT('Ctrl Pts',(0.45120337644194,-0.508742461813006, 0.01)); #127850=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.520338895938178, 0.)); #127851=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.520338895938178, 0.01)); #127852=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.528945054597111, 0.)); #127853=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.528945054597111, 0.01)); #127854=CARTESIAN_POINT('',(0.459226066717216,-0.506554455374294,0.)); #127855=CARTESIAN_POINT('',(0.442378417139136,-0.528945054597111,0.)); #127856=CARTESIAN_POINT('Ctrl Pts',(0.459226066717216,-0.506554455374294, 0.)); #127857=CARTESIAN_POINT('Ctrl Pts',(0.45120337644194,-0.508742461813006, 0.)); #127858=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.520338895938178, 0.)); #127859=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.528945054597111, 0.)); #127860=CARTESIAN_POINT('',(0.459226066717216,-0.506554455374294,0.01)); #127861=CARTESIAN_POINT('',(0.459226066717216,-0.506554455374294,0.)); #127862=CARTESIAN_POINT('',(0.442378417139136,-0.528945054597111,0.01)); #127863=CARTESIAN_POINT('Ctrl Pts',(0.459226066717216,-0.506554455374294, 0.01)); #127864=CARTESIAN_POINT('Ctrl Pts',(0.45120337644194,-0.508742461813006, 0.01)); #127865=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.520338895938178, 0.01)); #127866=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.528945054597111, 0.01)); #127867=CARTESIAN_POINT('',(0.442378417139136,-0.528945054597111,0.)); #127868=CARTESIAN_POINT('Origin',(0.459226066717216,-0.506116854086552, 0.)); #127869=CARTESIAN_POINT('',(0.459226066717216,-0.506116854086552,0.)); #127870=CARTESIAN_POINT('',(0.459226066717216,0.00136093632040016,0.)); #127871=CARTESIAN_POINT('',(0.459226066717216,-0.506116854086552,0.01)); #127872=CARTESIAN_POINT('',(0.459226066717216,-0.506116854086552,0.)); #127873=CARTESIAN_POINT('',(0.459226066717216,-0.506116854086552,0.01)); #127874=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.486862397425889, 0.)); #127875=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.486862397425889, 0.01)); #127876=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.493207616098153, 0.)); #127877=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.493207616098153, 0.01)); #127878=CARTESIAN_POINT('Ctrl Pts',(0.453318449332695,-0.503272445716227, 0.)); #127879=CARTESIAN_POINT('Ctrl Pts',(0.453318449332695,-0.503272445716227, 0.01)); #127880=CARTESIAN_POINT('Ctrl Pts',(0.459226066717216,-0.506116854086552, 0.)); #127881=CARTESIAN_POINT('Ctrl Pts',(0.459226066717216,-0.506116854086552, 0.01)); #127882=CARTESIAN_POINT('',(0.446608562920646,-0.486862397425889,0.)); #127883=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.486862397425889, 0.)); #127884=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.493207616098153, 0.)); #127885=CARTESIAN_POINT('Ctrl Pts',(0.453318449332695,-0.503272445716227, 0.)); #127886=CARTESIAN_POINT('Ctrl Pts',(0.459226066717216,-0.506116854086552, 0.)); #127887=CARTESIAN_POINT('',(0.446608562920646,-0.486862397425889,0.01)); #127888=CARTESIAN_POINT('',(0.446608562920646,-0.486862397425889,0.)); #127889=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.486862397425889, 0.01)); #127890=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.493207616098153, 0.01)); #127891=CARTESIAN_POINT('Ctrl Pts',(0.453318449332695,-0.503272445716227, 0.01)); #127892=CARTESIAN_POINT('Ctrl Pts',(0.459226066717216,-0.506116854086552, 0.01)); #127893=CARTESIAN_POINT('Ctrl Pts',(0.455944057059149,-0.47023354849168, 0.)); #127894=CARTESIAN_POINT('Ctrl Pts',(0.455944057059149,-0.47023354849168, 0.01)); #127895=CARTESIAN_POINT('Ctrl Pts',(0.451057509346026,-0.472786222670177, 0.)); #127896=CARTESIAN_POINT('Ctrl Pts',(0.451057509346026,-0.472786222670177, 0.01)); #127897=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.481173580685239, 0.)); #127898=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.481173580685239, 0.01)); #127899=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.486862397425889, 0.)); #127900=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.486862397425889, 0.01)); #127901=CARTESIAN_POINT('',(0.455944057059149,-0.47023354849168,0.)); #127902=CARTESIAN_POINT('Ctrl Pts',(0.455944057059149,-0.47023354849168, 0.)); #127903=CARTESIAN_POINT('Ctrl Pts',(0.451057509346026,-0.472786222670177, 0.)); #127904=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.481173580685239, 0.)); #127905=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.486862397425889, 0.)); #127906=CARTESIAN_POINT('',(0.455944057059149,-0.47023354849168,0.01)); #127907=CARTESIAN_POINT('',(0.455944057059149,-0.47023354849168,0.)); #127908=CARTESIAN_POINT('Ctrl Pts',(0.455944057059149,-0.47023354849168, 0.01)); #127909=CARTESIAN_POINT('Ctrl Pts',(0.451057509346026,-0.472786222670177, 0.01)); #127910=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.481173580685239, 0.01)); #127911=CARTESIAN_POINT('Ctrl Pts',(0.446608562920646,-0.486862397425889, 0.01)); #127912=CARTESIAN_POINT('Ctrl Pts',(0.479574526597235,-0.466586871093827, 0.)); #127913=CARTESIAN_POINT('Ctrl Pts',(0.479574526597235,-0.466586871093827, 0.01)); #127914=CARTESIAN_POINT('Ctrl Pts',(0.469947298266904,-0.466586871093827, 0.)); #127915=CARTESIAN_POINT('Ctrl Pts',(0.469947298266904,-0.466586871093827, 0.01)); #127916=CARTESIAN_POINT('Ctrl Pts',(0.460320069936572,-0.467826741409097, 0.)); #127917=CARTESIAN_POINT('Ctrl Pts',(0.460320069936572,-0.467826741409097, 0.01)); #127918=CARTESIAN_POINT('Ctrl Pts',(0.455944057059149,-0.47023354849168, 0.)); #127919=CARTESIAN_POINT('Ctrl Pts',(0.455944057059149,-0.47023354849168, 0.01)); #127920=CARTESIAN_POINT('',(0.479574526597235,-0.466586871093827,0.)); #127921=CARTESIAN_POINT('Ctrl Pts',(0.479574526597235,-0.466586871093827, 0.)); #127922=CARTESIAN_POINT('Ctrl Pts',(0.469947298266904,-0.466586871093827, 0.)); #127923=CARTESIAN_POINT('Ctrl Pts',(0.460320069936572,-0.467826741409097, 0.)); #127924=CARTESIAN_POINT('Ctrl Pts',(0.455944057059149,-0.47023354849168, 0.)); #127925=CARTESIAN_POINT('',(0.479574526597235,-0.466586871093827,0.01)); #127926=CARTESIAN_POINT('',(0.479574526597235,-0.466586871093827,0.)); #127927=CARTESIAN_POINT('Ctrl Pts',(0.479574526597235,-0.466586871093827, 0.01)); #127928=CARTESIAN_POINT('Ctrl Pts',(0.469947298266904,-0.466586871093827, 0.01)); #127929=CARTESIAN_POINT('Ctrl Pts',(0.460320069936572,-0.467826741409097, 0.01)); #127930=CARTESIAN_POINT('Ctrl Pts',(0.455944057059149,-0.47023354849168, 0.01)); #127931=CARTESIAN_POINT('Origin',(0.503423796779193,-0.466586871093827, 0.)); #127932=CARTESIAN_POINT('',(0.503423796779193,-0.466586871093827,0.)); #127933=CARTESIAN_POINT('',(0.251711898389596,-0.466586871093827,0.)); #127934=CARTESIAN_POINT('',(0.503423796779193,-0.466586871093827,0.01)); #127935=CARTESIAN_POINT('',(0.503423796779193,-0.466586871093827,0.)); #127936=CARTESIAN_POINT('',(0.503423796779193,-0.466586871093827,0.01)); #127937=CARTESIAN_POINT('Origin',(0.503423796779193,-0.556586869272835, 0.)); #127938=CARTESIAN_POINT('',(0.503423796779193,-0.556586869272835,0.)); #127939=CARTESIAN_POINT('',(0.503423796779193,-0.0238740712727414,0.)); #127940=CARTESIAN_POINT('',(0.503423796779193,-0.556586869272835,0.01)); #127941=CARTESIAN_POINT('',(0.503423796779193,-0.556586869272835,0.)); #127942=CARTESIAN_POINT('',(0.503423796779193,-0.556586869272835,0.01)); #127943=CARTESIAN_POINT('Origin',(0.477605320802395,-0.556586869272835, 0.)); #127944=CARTESIAN_POINT('',(0.477605320802395,-0.556586869272835,0.)); #127945=CARTESIAN_POINT('',(0.238802660401197,-0.556586869272835,0.)); #127946=CARTESIAN_POINT('',(0.477605320802395,-0.556586869272835,0.01)); #127947=CARTESIAN_POINT('',(0.477605320802395,-0.556586869272835,0.)); #127948=CARTESIAN_POINT('',(0.477605320802395,-0.556586869272835,0.01)); #127949=CARTESIAN_POINT('Ctrl Pts',(0.45171391127764,-0.549293514477129, 0.)); #127950=CARTESIAN_POINT('Ctrl Pts',(0.45171391127764,-0.549293514477129, 0.01)); #127951=CARTESIAN_POINT('Ctrl Pts',(0.456819259634634,-0.55323192606681, 0.)); #127952=CARTESIAN_POINT('Ctrl Pts',(0.456819259634634,-0.55323192606681, 0.01)); #127953=CARTESIAN_POINT('Ctrl Pts',(0.468415693759806,-0.556586869272835, 0.)); #127954=CARTESIAN_POINT('Ctrl Pts',(0.468415693759806,-0.556586869272835, 0.01)); #127955=CARTESIAN_POINT('Ctrl Pts',(0.477605320802395,-0.556586869272835, 0.)); #127956=CARTESIAN_POINT('Ctrl Pts',(0.477605320802395,-0.556586869272835, 0.01)); #127957=CARTESIAN_POINT('',(0.45171391127764,-0.549293514477129,0.)); #127958=CARTESIAN_POINT('Ctrl Pts',(0.45171391127764,-0.549293514477129, 0.)); #127959=CARTESIAN_POINT('Ctrl Pts',(0.456819259634634,-0.55323192606681, 0.)); #127960=CARTESIAN_POINT('Ctrl Pts',(0.468415693759806,-0.556586869272835, 0.)); #127961=CARTESIAN_POINT('Ctrl Pts',(0.477605320802395,-0.556586869272835, 0.)); #127962=CARTESIAN_POINT('',(0.45171391127764,-0.549293514477129,0.01)); #127963=CARTESIAN_POINT('',(0.45171391127764,-0.549293514477129,0.)); #127964=CARTESIAN_POINT('Ctrl Pts',(0.45171391127764,-0.549293514477129, 0.01)); #127965=CARTESIAN_POINT('Ctrl Pts',(0.456819259634634,-0.55323192606681, 0.01)); #127966=CARTESIAN_POINT('Ctrl Pts',(0.468415693759806,-0.556586869272835, 0.01)); #127967=CARTESIAN_POINT('Ctrl Pts',(0.477605320802395,-0.556586869272835, 0.01)); #127968=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.528945054597111, 0.)); #127969=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.528945054597111, 0.01)); #127970=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.53565494100916, 0.)); #127971=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.53565494100916, 0.01)); #127972=CARTESIAN_POINT('Ctrl Pts',(0.44748376549613,-0.545938571271105, 0.)); #127973=CARTESIAN_POINT('Ctrl Pts',(0.44748376549613,-0.545938571271105, 0.01)); #127974=CARTESIAN_POINT('Ctrl Pts',(0.45171391127764,-0.549293514477129, 0.)); #127975=CARTESIAN_POINT('Ctrl Pts',(0.45171391127764,-0.549293514477129, 0.01)); #127976=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.528945054597111, 0.)); #127977=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.53565494100916, 0.)); #127978=CARTESIAN_POINT('Ctrl Pts',(0.44748376549613,-0.545938571271105, 0.)); #127979=CARTESIAN_POINT('Ctrl Pts',(0.45171391127764,-0.549293514477129, 0.)); #127980=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.528945054597111, 0.01)); #127981=CARTESIAN_POINT('Ctrl Pts',(0.442378417139136,-0.53565494100916, 0.01)); #127982=CARTESIAN_POINT('Ctrl Pts',(0.44748376549613,-0.545938571271105, 0.01)); #127983=CARTESIAN_POINT('Ctrl Pts',(0.45171391127764,-0.549293514477129, 0.01)); #127984=CARTESIAN_POINT('Origin',(0.479117467662025,-0.511531988758233, 0.01)); #127985=CARTESIAN_POINT('Origin',(0.924147906907878,0.0579859433678958, 0.)); #127986=CARTESIAN_POINT('',(0.924147906907878,0.0579859433678958,0.)); #127987=CARTESIAN_POINT('',(0.965556272230518,0.0579859433678958,0.)); #127988=CARTESIAN_POINT('',(0.462073953453939,0.0579859433678957,0.)); #127989=CARTESIAN_POINT('',(0.924147906907878,0.0579859433678958,0.01)); #127990=CARTESIAN_POINT('',(0.924147906907878,0.0579859433678958,0.)); #127991=CARTESIAN_POINT('',(0.965556272230518,0.0579859433678958,0.01)); #127992=CARTESIAN_POINT('',(0.924147906907878,0.0579859433678958,0.01)); #127993=CARTESIAN_POINT('',(0.965556272230518,0.0579859433678958,0.)); #127994=CARTESIAN_POINT('Ctrl Pts',(0.953752953143223,-0.015542929634923, 0.)); #127995=CARTESIAN_POINT('Ctrl Pts',(0.953752953143223,-0.015542929634923, 0.01)); #127996=CARTESIAN_POINT('Ctrl Pts',(0.953559456109005,-0.00554558286699587, 0.)); #127997=CARTESIAN_POINT('Ctrl Pts',(0.953559456109005,-0.00554558286699587, 0.01)); #127998=CARTESIAN_POINT('Ctrl Pts',(0.946077570785911,0.022704984128824, 0.)); #127999=CARTESIAN_POINT('Ctrl Pts',(0.946077570785911,0.022704984128824, 0.01)); #128000=CARTESIAN_POINT('Ctrl Pts',(0.932081285310813,0.0489560817710584, 0.)); #128001=CARTESIAN_POINT('Ctrl Pts',(0.932081285310813,0.0489560817710584, 0.01)); #128002=CARTESIAN_POINT('Ctrl Pts',(0.924147906907878,0.0579859433678958, 0.)); #128003=CARTESIAN_POINT('Ctrl Pts',(0.924147906907878,0.0579859433678958, 0.01)); #128004=CARTESIAN_POINT('',(0.953752953143223,-0.015542929634923,0.)); #128005=CARTESIAN_POINT('Ctrl Pts',(0.953752953143223,-0.015542929634923, 0.)); #128006=CARTESIAN_POINT('Ctrl Pts',(0.953559456109005,-0.00554558286699587, 0.)); #128007=CARTESIAN_POINT('Ctrl Pts',(0.946077570785911,0.022704984128824, 0.)); #128008=CARTESIAN_POINT('Ctrl Pts',(0.932081285310813,0.0489560817710584, 0.)); #128009=CARTESIAN_POINT('Ctrl Pts',(0.924147906907878,0.0579859433678958, 0.)); #128010=CARTESIAN_POINT('',(0.953752953143223,-0.015542929634923,0.01)); #128011=CARTESIAN_POINT('',(0.953752953143223,-0.015542929634923,0.)); #128012=CARTESIAN_POINT('Ctrl Pts',(0.953752953143223,-0.015542929634923, 0.01)); #128013=CARTESIAN_POINT('Ctrl Pts',(0.953559456109005,-0.00554558286699587, 0.01)); #128014=CARTESIAN_POINT('Ctrl Pts',(0.946077570785911,0.022704984128824, 0.01)); #128015=CARTESIAN_POINT('Ctrl Pts',(0.932081285310813,0.0489560817710584, 0.01)); #128016=CARTESIAN_POINT('Ctrl Pts',(0.924147906907878,0.0579859433678958, 0.01)); #128017=CARTESIAN_POINT('Origin',(0.94304611724983,-0.015542929634923,0.)); #128018=CARTESIAN_POINT('',(0.94304611724983,-0.015542929634923,0.)); #128019=CARTESIAN_POINT('',(0.471523058624915,-0.015542929634923,0.)); #128020=CARTESIAN_POINT('',(0.94304611724983,-0.015542929634923,0.01)); #128021=CARTESIAN_POINT('',(0.94304611724983,-0.015542929634923,0.)); #128022=CARTESIAN_POINT('',(0.94304611724983,-0.015542929634923,0.01)); #128023=CARTESIAN_POINT('Ctrl Pts',(0.939047178542659,0.00774121348263628, 0.)); #128024=CARTESIAN_POINT('Ctrl Pts',(0.939047178542659,0.00774121348263628, 0.01)); #128025=CARTESIAN_POINT('Ctrl Pts',(0.942207630101553,-0.00290112339935064, 0.)); #128026=CARTESIAN_POINT('Ctrl Pts',(0.942207630101553,-0.00290112339935064, 0.01)); #128027=CARTESIAN_POINT('Ctrl Pts',(0.94304611724983,-0.015542929634923, 0.)); #128028=CARTESIAN_POINT('Ctrl Pts',(0.94304611724983,-0.015542929634923, 0.01)); #128029=CARTESIAN_POINT('',(0.939047178542659,0.00774121348263628,0.)); #128030=CARTESIAN_POINT('Ctrl Pts',(0.939047178542659,0.00774121348263628, 0.)); #128031=CARTESIAN_POINT('Ctrl Pts',(0.942207630101553,-0.00290112339935064, 0.)); #128032=CARTESIAN_POINT('Ctrl Pts',(0.94304611724983,-0.015542929634923, 0.)); #128033=CARTESIAN_POINT('',(0.939047178542659,0.00774121348263628,0.01)); #128034=CARTESIAN_POINT('',(0.939047178542659,0.00774121348263628,0.)); #128035=CARTESIAN_POINT('Ctrl Pts',(0.939047178542659,0.00774121348263628, 0.01)); #128036=CARTESIAN_POINT('Ctrl Pts',(0.942207630101553,-0.00290112339935064, 0.01)); #128037=CARTESIAN_POINT('Ctrl Pts',(0.94304611724983,-0.015542929634923, 0.01)); #128038=CARTESIAN_POINT('Ctrl Pts',(0.91079661154684,0.0599209137100752, 0.)); #128039=CARTESIAN_POINT('Ctrl Pts',(0.91079661154684,0.0599209137100752, 0.01)); #128040=CARTESIAN_POINT('Ctrl Pts',(0.918858987972587,0.0512780461816737, 0.)); #128041=CARTESIAN_POINT('Ctrl Pts',(0.918858987972587,0.0512780461816737, 0.01)); #128042=CARTESIAN_POINT('Ctrl Pts',(0.934725744778459,0.0227694831402299, 0.)); #128043=CARTESIAN_POINT('Ctrl Pts',(0.934725744778459,0.0227694831402299, 0.01)); #128044=CARTESIAN_POINT('Ctrl Pts',(0.939047178542659,0.00774121348263628, 0.)); #128045=CARTESIAN_POINT('Ctrl Pts',(0.939047178542659,0.00774121348263628, 0.01)); #128046=CARTESIAN_POINT('',(0.91079661154684,0.0599209137100752,0.)); #128047=CARTESIAN_POINT('Ctrl Pts',(0.91079661154684,0.0599209137100752, 0.)); #128048=CARTESIAN_POINT('Ctrl Pts',(0.918858987972587,0.0512780461816737, 0.)); #128049=CARTESIAN_POINT('Ctrl Pts',(0.934725744778459,0.0227694831402299, 0.)); #128050=CARTESIAN_POINT('Ctrl Pts',(0.939047178542659,0.00774121348263628, 0.)); #128051=CARTESIAN_POINT('',(0.91079661154684,0.0599209137100752,0.01)); #128052=CARTESIAN_POINT('',(0.91079661154684,0.0599209137100752,0.)); #128053=CARTESIAN_POINT('Ctrl Pts',(0.91079661154684,0.0599209137100752, 0.01)); #128054=CARTESIAN_POINT('Ctrl Pts',(0.918858987972587,0.0512780461816737, 0.01)); #128055=CARTESIAN_POINT('Ctrl Pts',(0.934725744778459,0.0227694831402299, 0.01)); #128056=CARTESIAN_POINT('Ctrl Pts',(0.939047178542659,0.00774121348263628, 0.01)); #128057=CARTESIAN_POINT('Origin',(0.91079661154684,0.0679832901358229,0.)); #128058=CARTESIAN_POINT('',(0.91079661154684,0.0679832901358229,0.)); #128059=CARTESIAN_POINT('',(0.91079661154684,0.288411008431588,0.)); #128060=CARTESIAN_POINT('',(0.91079661154684,0.0679832901358229,0.01)); #128061=CARTESIAN_POINT('',(0.91079661154684,0.0679832901358229,0.)); #128062=CARTESIAN_POINT('',(0.91079661154684,0.0679832901358229,0.01)); #128063=CARTESIAN_POINT('Origin',(0.965556272230518,0.0679832901358229, 0.)); #128064=CARTESIAN_POINT('',(0.965556272230518,0.0679832901358229,0.)); #128065=CARTESIAN_POINT('',(0.482778136115259,0.0679832901358229,0.)); #128066=CARTESIAN_POINT('',(0.965556272230518,0.0679832901358229,0.01)); #128067=CARTESIAN_POINT('',(0.965556272230518,0.0679832901358229,0.)); #128068=CARTESIAN_POINT('',(0.965556272230518,0.0679832901358229,0.01)); #128069=CARTESIAN_POINT('Origin',(0.965556272230518,0.0579859433678958, 0.)); #128070=CARTESIAN_POINT('',(0.965556272230518,0.283412335047624,0.)); #128071=CARTESIAN_POINT('',(0.965556272230518,0.0579859433678958,0.01)); #128072=CARTESIAN_POINT('Origin',(0.939276475849778,0.0402287521685329, 0.01)); #128073=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.177595467375908, 0.)); #128074=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.177595467375908, 0.01)); #128075=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.18681802864585, 0.)); #128076=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.18681802864585, 0.01)); #128077=CARTESIAN_POINT('Ctrl Pts',(0.646079826492364,-0.204005529194377, 0.)); #128078=CARTESIAN_POINT('Ctrl Pts',(0.646079826492364,-0.204005529194377, 0.01)); #128079=CARTESIAN_POINT('Ctrl Pts',(0.651148424215101,-0.210407968423096, 0.)); #128080=CARTESIAN_POINT('Ctrl Pts',(0.651148424215101,-0.210407968423096, 0.01)); #128081=CARTESIAN_POINT('',(0.63902952138931,-0.177595467375908,0.)); #128082=CARTESIAN_POINT('',(0.651148424215101,-0.210407968423096,0.)); #128083=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.177595467375908, 0.)); #128084=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.18681802864585, 0.)); #128085=CARTESIAN_POINT('Ctrl Pts',(0.646079826492364,-0.204005529194377, 0.)); #128086=CARTESIAN_POINT('Ctrl Pts',(0.651148424215101,-0.210407968423096, 0.)); #128087=CARTESIAN_POINT('',(0.63902952138931,-0.177595467375908,0.01)); #128088=CARTESIAN_POINT('',(0.63902952138931,-0.177595467375908,0.)); #128089=CARTESIAN_POINT('',(0.651148424215101,-0.210407968423096,0.01)); #128090=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.177595467375908, 0.01)); #128091=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.18681802864585, 0.01)); #128092=CARTESIAN_POINT('Ctrl Pts',(0.646079826492364,-0.204005529194377, 0.01)); #128093=CARTESIAN_POINT('Ctrl Pts',(0.651148424215101,-0.210407968423096, 0.01)); #128094=CARTESIAN_POINT('',(0.651148424215101,-0.210407968423096,0.)); #128095=CARTESIAN_POINT('Ctrl Pts',(0.641659094643963,-0.162046686391875, 0.)); #128096=CARTESIAN_POINT('Ctrl Pts',(0.641659094643963,-0.162046686391875, 0.01)); #128097=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.169478089068067, 0.)); #128098=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.169478089068067, 0.01)); #128099=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.177595467375908, 0.)); #128100=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.177595467375908, 0.01)); #128101=CARTESIAN_POINT('',(0.641659094643963,-0.162046686391875,0.)); #128102=CARTESIAN_POINT('Ctrl Pts',(0.641659094643963,-0.162046686391875, 0.)); #128103=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.169478089068067, 0.)); #128104=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.177595467375908, 0.)); #128105=CARTESIAN_POINT('',(0.641659094643963,-0.162046686391875,0.01)); #128106=CARTESIAN_POINT('',(0.641659094643963,-0.162046686391875,0.)); #128107=CARTESIAN_POINT('Ctrl Pts',(0.641659094643963,-0.162046686391875, 0.01)); #128108=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.169478089068067, 0.01)); #128109=CARTESIAN_POINT('Ctrl Pts',(0.63902952138931,-0.177595467375908, 0.01)); #128110=CARTESIAN_POINT('Ctrl Pts',(0.651148424215101,-0.144859185843348, 0.)); #128111=CARTESIAN_POINT('Ctrl Pts',(0.651148424215101,-0.144859185843348, 0.01)); #128112=CARTESIAN_POINT('Ctrl Pts',(0.644745984986381,-0.153433881238954, 0.)); #128113=CARTESIAN_POINT('Ctrl Pts',(0.644745984986381,-0.153433881238954, 0.01)); #128114=CARTESIAN_POINT('Ctrl Pts',(0.641659094643963,-0.162046686391875, 0.)); #128115=CARTESIAN_POINT('Ctrl Pts',(0.641659094643963,-0.162046686391875, 0.01)); #128116=CARTESIAN_POINT('',(0.651148424215101,-0.144859185843348,0.)); #128117=CARTESIAN_POINT('Ctrl Pts',(0.651148424215101,-0.144859185843348, 0.)); #128118=CARTESIAN_POINT('Ctrl Pts',(0.644745984986381,-0.153433881238954, 0.)); #128119=CARTESIAN_POINT('Ctrl Pts',(0.641659094643963,-0.162046686391875, 0.)); #128120=CARTESIAN_POINT('',(0.651148424215101,-0.144859185843348,0.01)); #128121=CARTESIAN_POINT('',(0.651148424215101,-0.144859185843348,0.)); #128122=CARTESIAN_POINT('Ctrl Pts',(0.651148424215101,-0.144859185843348, 0.01)); #128123=CARTESIAN_POINT('Ctrl Pts',(0.644745984986381,-0.153433881238954, 0.01)); #128124=CARTESIAN_POINT('Ctrl Pts',(0.641659094643963,-0.162046686391875, 0.01)); #128125=CARTESIAN_POINT('Origin',(0.655531046306188,-0.144859185843348, 0.)); #128126=CARTESIAN_POINT('',(0.655531046306188,-0.144859185843348,0.)); #128127=CARTESIAN_POINT('',(0.327765523153094,-0.144859185843348,0.)); #128128=CARTESIAN_POINT('',(0.655531046306188,-0.144859185843348,0.01)); #128129=CARTESIAN_POINT('',(0.655531046306188,-0.144859185843348,0.)); #128130=CARTESIAN_POINT('',(0.655531046306188,-0.144859185843348,0.01)); #128131=CARTESIAN_POINT('Ctrl Pts',(0.650043241253,-0.155072600803448,0.)); #128132=CARTESIAN_POINT('Ctrl Pts',(0.650043241253,-0.155072600803448,0.01)); #128133=CARTESIAN_POINT('Ctrl Pts',(0.651377082758983,-0.152023820218344, 0.)); #128134=CARTESIAN_POINT('Ctrl Pts',(0.651377082758983,-0.152023820218344, 0.01)); #128135=CARTESIAN_POINT('Ctrl Pts',(0.655531046306188,-0.144859185843348, 0.)); #128136=CARTESIAN_POINT('Ctrl Pts',(0.655531046306188,-0.144859185843348, 0.01)); #128137=CARTESIAN_POINT('',(0.650043241253,-0.155072600803448,0.)); #128138=CARTESIAN_POINT('Ctrl Pts',(0.650043241253,-0.155072600803448,0.)); #128139=CARTESIAN_POINT('Ctrl Pts',(0.651377082758983,-0.152023820218344, 0.)); #128140=CARTESIAN_POINT('Ctrl Pts',(0.655531046306188,-0.144859185843348, 0.)); #128141=CARTESIAN_POINT('',(0.650043241253,-0.155072600803448,0.01)); #128142=CARTESIAN_POINT('',(0.650043241253,-0.155072600803448,0.)); #128143=CARTESIAN_POINT('Ctrl Pts',(0.650043241253,-0.155072600803448,0.01)); #128144=CARTESIAN_POINT('Ctrl Pts',(0.651377082758983,-0.152023820218344, 0.01)); #128145=CARTESIAN_POINT('Ctrl Pts',(0.655531046306188,-0.144859185843348, 0.01)); #128146=CARTESIAN_POINT('Ctrl Pts',(0.646803911881327,-0.16490491819041, 0.)); #128147=CARTESIAN_POINT('Ctrl Pts',(0.646803911881327,-0.16490491819041, 0.01)); #128148=CARTESIAN_POINT('Ctrl Pts',(0.647985314358055,-0.15979821071036, 0.)); #128149=CARTESIAN_POINT('Ctrl Pts',(0.647985314358055,-0.15979821071036, 0.01)); #128150=CARTESIAN_POINT('Ctrl Pts',(0.650043241253,-0.155072600803448,0.)); #128151=CARTESIAN_POINT('Ctrl Pts',(0.650043241253,-0.155072600803448,0.01)); #128152=CARTESIAN_POINT('',(0.646803911881327,-0.16490491819041,0.)); #128153=CARTESIAN_POINT('Ctrl Pts',(0.646803911881327,-0.16490491819041, 0.)); #128154=CARTESIAN_POINT('Ctrl Pts',(0.647985314358055,-0.15979821071036, 0.)); #128155=CARTESIAN_POINT('Ctrl Pts',(0.650043241253,-0.155072600803448,0.)); #128156=CARTESIAN_POINT('',(0.646803911881327,-0.16490491819041,0.01)); #128157=CARTESIAN_POINT('',(0.646803911881327,-0.16490491819041,0.)); #128158=CARTESIAN_POINT('Ctrl Pts',(0.646803911881327,-0.16490491819041, 0.01)); #128159=CARTESIAN_POINT('Ctrl Pts',(0.647985314358055,-0.15979821071036, 0.01)); #128160=CARTESIAN_POINT('Ctrl Pts',(0.650043241253,-0.155072600803448,0.01)); #128161=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.177633577133222, 0.)); #128162=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.177633577133222, 0.01)); #128163=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.171231137904502, 0.)); #128164=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.171231137904502, 0.01)); #128165=CARTESIAN_POINT('Ctrl Pts',(0.646803911881327,-0.16490491819041, 0.)); #128166=CARTESIAN_POINT('Ctrl Pts',(0.646803911881327,-0.16490491819041, 0.01)); #128167=CARTESIAN_POINT('',(0.645355741103402,-0.177633577133222,0.)); #128168=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.177633577133222, 0.)); #128169=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.171231137904502, 0.)); #128170=CARTESIAN_POINT('Ctrl Pts',(0.646803911881327,-0.16490491819041, 0.)); #128171=CARTESIAN_POINT('',(0.645355741103402,-0.177633577133222,0.01)); #128172=CARTESIAN_POINT('',(0.645355741103402,-0.177633577133222,0.)); #128173=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.177633577133222, 0.01)); #128174=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.171231137904502, 0.01)); #128175=CARTESIAN_POINT('Ctrl Pts',(0.646803911881327,-0.16490491819041, 0.01)); #128176=CARTESIAN_POINT('Ctrl Pts',(0.655531046306188,-0.210407968423096, 0.)); #128177=CARTESIAN_POINT('Ctrl Pts',(0.655531046306188,-0.210407968423096, 0.01)); #128178=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.194020772778159, 0.)); #128179=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.194020772778159, 0.01)); #128180=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.177633577133222, 0.)); #128181=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.177633577133222, 0.01)); #128182=CARTESIAN_POINT('',(0.655531046306188,-0.210407968423096,0.)); #128183=CARTESIAN_POINT('Ctrl Pts',(0.655531046306188,-0.210407968423096, 0.)); #128184=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.194020772778159, 0.)); #128185=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.177633577133222, 0.)); #128186=CARTESIAN_POINT('',(0.655531046306188,-0.210407968423096,0.01)); #128187=CARTESIAN_POINT('',(0.655531046306188,-0.210407968423096,0.)); #128188=CARTESIAN_POINT('Ctrl Pts',(0.655531046306188,-0.210407968423096, 0.01)); #128189=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.194020772778159, 0.01)); #128190=CARTESIAN_POINT('Ctrl Pts',(0.645355741103402,-0.177633577133222, 0.01)); #128191=CARTESIAN_POINT('Origin',(0.651148424215101,-0.210407968423096, 0.)); #128192=CARTESIAN_POINT('',(0.32557421210755,-0.210407968423096,0.)); #128193=CARTESIAN_POINT('',(0.651148424215101,-0.210407968423096,0.01)); #128194=CARTESIAN_POINT('Origin',(0.646601352366612,-0.177627131375237, 0.01)); #128195=CARTESIAN_POINT('Origin',(0.726796292483008,-0.189790589716326, 0.)); #128196=CARTESIAN_POINT('',(0.726796292483008,-0.189790589716326,0.)); #128197=CARTESIAN_POINT('',(0.70229171853023,-0.189790589716326,0.)); #128198=CARTESIAN_POINT('',(0.363398146241504,-0.189790589716326,0.)); #128199=CARTESIAN_POINT('',(0.726796292483008,-0.189790589716326,0.01)); #128200=CARTESIAN_POINT('',(0.726796292483008,-0.189790589716326,0.)); #128201=CARTESIAN_POINT('',(0.70229171853023,-0.189790589716326,0.01)); #128202=CARTESIAN_POINT('',(0.726796292483008,-0.189790589716326,0.01)); #128203=CARTESIAN_POINT('',(0.70229171853023,-0.189790589716326,0.)); #128204=CARTESIAN_POINT('Ctrl Pts',(0.717116414125301,-0.180186930873247, 0.)); #128205=CARTESIAN_POINT('Ctrl Pts',(0.717116414125301,-0.180186930873247, 0.01)); #128206=CARTESIAN_POINT('Ctrl Pts',(0.722642328935803,-0.184798211508218, 0.)); #128207=CARTESIAN_POINT('Ctrl Pts',(0.722642328935803,-0.184798211508218, 0.01)); #128208=CARTESIAN_POINT('Ctrl Pts',(0.725767329035535,-0.188113760394519, 0.)); #128209=CARTESIAN_POINT('Ctrl Pts',(0.725767329035535,-0.188113760394519, 0.01)); #128210=CARTESIAN_POINT('Ctrl Pts',(0.726796292483008,-0.189790589716326, 0.)); #128211=CARTESIAN_POINT('Ctrl Pts',(0.726796292483008,-0.189790589716326, 0.01)); #128212=CARTESIAN_POINT('',(0.717116414125301,-0.180186930873247,0.)); #128213=CARTESIAN_POINT('Ctrl Pts',(0.717116414125301,-0.180186930873247, 0.)); #128214=CARTESIAN_POINT('Ctrl Pts',(0.722642328935803,-0.184798211508218, 0.)); #128215=CARTESIAN_POINT('Ctrl Pts',(0.725767329035535,-0.188113760394519, 0.)); #128216=CARTESIAN_POINT('Ctrl Pts',(0.726796292483008,-0.189790589716326, 0.)); #128217=CARTESIAN_POINT('',(0.717116414125301,-0.180186930873247,0.01)); #128218=CARTESIAN_POINT('',(0.717116414125301,-0.180186930873247,0.)); #128219=CARTESIAN_POINT('Ctrl Pts',(0.717116414125301,-0.180186930873247, 0.01)); #128220=CARTESIAN_POINT('Ctrl Pts',(0.722642328935803,-0.184798211508218, 0.01)); #128221=CARTESIAN_POINT('Ctrl Pts',(0.725767329035535,-0.188113760394519, 0.01)); #128222=CARTESIAN_POINT('Ctrl Pts',(0.726796292483008,-0.189790589716326, 0.01)); #128223=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.159379003379908, 0.)); #128224=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.159379003379908, 0.01)); #128225=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.162389674207699, 0.)); #128226=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.162389674207699, 0.01)); #128227=CARTESIAN_POINT('Ctrl Pts',(0.704806962512941,-0.168182357319398, 0.)); #128228=CARTESIAN_POINT('Ctrl Pts',(0.704806962512941,-0.168182357319398, 0.01)); #128229=CARTESIAN_POINT('Ctrl Pts',(0.710523426110012,-0.174584796548117, 0.)); #128230=CARTESIAN_POINT('Ctrl Pts',(0.710523426110012,-0.174584796548117, 0.01)); #128231=CARTESIAN_POINT('Ctrl Pts',(0.717116414125301,-0.180186930873247, 0.)); #128232=CARTESIAN_POINT('Ctrl Pts',(0.717116414125301,-0.180186930873247, 0.01)); #128233=CARTESIAN_POINT('',(0.702367938044857,-0.159379003379908,0.)); #128234=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.159379003379908, 0.)); #128235=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.162389674207699, 0.)); #128236=CARTESIAN_POINT('Ctrl Pts',(0.704806962512941,-0.168182357319398, 0.)); #128237=CARTESIAN_POINT('Ctrl Pts',(0.710523426110012,-0.174584796548117, 0.)); #128238=CARTESIAN_POINT('Ctrl Pts',(0.717116414125301,-0.180186930873247, 0.)); #128239=CARTESIAN_POINT('',(0.702367938044857,-0.159379003379908,0.01)); #128240=CARTESIAN_POINT('',(0.702367938044857,-0.159379003379908,0.)); #128241=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.159379003379908, 0.01)); #128242=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.162389674207699, 0.01)); #128243=CARTESIAN_POINT('Ctrl Pts',(0.704806962512941,-0.168182357319398, 0.01)); #128244=CARTESIAN_POINT('Ctrl Pts',(0.710523426110012,-0.174584796548117, 0.01)); #128245=CARTESIAN_POINT('Ctrl Pts',(0.717116414125301,-0.180186930873247, 0.01)); #128246=CARTESIAN_POINT('Ctrl Pts',(0.717954828786204,-0.145507051717683, 0.)); #128247=CARTESIAN_POINT('Ctrl Pts',(0.717954828786204,-0.145507051717683, 0.01)); #128248=CARTESIAN_POINT('Ctrl Pts',(0.710752084653895,-0.145507051717683, 0.)); #128249=CARTESIAN_POINT('Ctrl Pts',(0.710752084653895,-0.145507051717683, 0.01)); #128250=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.153471990996268, 0.)); #128251=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.153471990996268, 0.01)); #128252=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.159379003379908, 0.)); #128253=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.159379003379908, 0.01)); #128254=CARTESIAN_POINT('',(0.717954828786204,-0.145507051717683,0.)); #128255=CARTESIAN_POINT('Ctrl Pts',(0.717954828786204,-0.145507051717683, 0.)); #128256=CARTESIAN_POINT('Ctrl Pts',(0.710752084653895,-0.145507051717683, 0.)); #128257=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.153471990996268, 0.)); #128258=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.159379003379908, 0.)); #128259=CARTESIAN_POINT('',(0.717954828786204,-0.145507051717683,0.01)); #128260=CARTESIAN_POINT('',(0.717954828786204,-0.145507051717683,0.)); #128261=CARTESIAN_POINT('Ctrl Pts',(0.717954828786204,-0.145507051717683, 0.01)); #128262=CARTESIAN_POINT('Ctrl Pts',(0.710752084653895,-0.145507051717683, 0.01)); #128263=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.153471990996268, 0.01)); #128264=CARTESIAN_POINT('Ctrl Pts',(0.702367938044857,-0.159379003379908, 0.01)); #128265=CARTESIAN_POINT('Ctrl Pts',(0.734189585401886,-0.159950649739616, 0.)); #128266=CARTESIAN_POINT('Ctrl Pts',(0.734189585401886,-0.159950649739616, 0.01)); #128267=CARTESIAN_POINT('Ctrl Pts',(0.733541719527552,-0.152900344636561, 0.)); #128268=CARTESIAN_POINT('Ctrl Pts',(0.733541719527552,-0.152900344636561, 0.01)); #128269=CARTESIAN_POINT('Ctrl Pts',(0.725081353403886,-0.145507051717683, 0.)); #128270=CARTESIAN_POINT('Ctrl Pts',(0.725081353403886,-0.145507051717683, 0.01)); #128271=CARTESIAN_POINT('Ctrl Pts',(0.717954828786204,-0.145507051717683, 0.)); #128272=CARTESIAN_POINT('Ctrl Pts',(0.717954828786204,-0.145507051717683, 0.01)); #128273=CARTESIAN_POINT('',(0.734189585401886,-0.159950649739616,0.)); #128274=CARTESIAN_POINT('Ctrl Pts',(0.734189585401886,-0.159950649739616, 0.)); #128275=CARTESIAN_POINT('Ctrl Pts',(0.733541719527552,-0.152900344636561, 0.)); #128276=CARTESIAN_POINT('Ctrl Pts',(0.725081353403886,-0.145507051717683, 0.)); #128277=CARTESIAN_POINT('Ctrl Pts',(0.717954828786204,-0.145507051717683, 0.)); #128278=CARTESIAN_POINT('',(0.734189585401886,-0.159950649739616,0.01)); #128279=CARTESIAN_POINT('',(0.734189585401886,-0.159950649739616,0.)); #128280=CARTESIAN_POINT('Ctrl Pts',(0.734189585401886,-0.159950649739616, 0.01)); #128281=CARTESIAN_POINT('Ctrl Pts',(0.733541719527552,-0.152900344636561, 0.01)); #128282=CARTESIAN_POINT('Ctrl Pts',(0.725081353403886,-0.145507051717683, 0.01)); #128283=CARTESIAN_POINT('Ctrl Pts',(0.717954828786204,-0.145507051717683, 0.01)); #128284=CARTESIAN_POINT('Origin',(0.727863365687794,-0.16059851561395,0.)); #128285=CARTESIAN_POINT('',(0.727863365687794,-0.16059851561395,0.)); #128286=CARTESIAN_POINT('',(0.401631528607427,-0.19400780013423,0.)); #128287=CARTESIAN_POINT('',(0.727863365687794,-0.16059851561395,0.01)); #128288=CARTESIAN_POINT('',(0.727863365687794,-0.16059851561395,0.)); #128289=CARTESIAN_POINT('',(0.727863365687794,-0.16059851561395,0.01)); #128290=CARTESIAN_POINT('Ctrl Pts',(0.71810726781546,-0.150575649440419, 0.)); #128291=CARTESIAN_POINT('Ctrl Pts',(0.71810726781546,-0.150575649440419, 0.01)); #128292=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.150575649440419, 0.)); #128293=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.150575649440419, 0.01)); #128294=CARTESIAN_POINT('Ctrl Pts',(0.72782525593048,-0.155911015464352, 0.)); #128295=CARTESIAN_POINT('Ctrl Pts',(0.72782525593048,-0.155911015464352, 0.01)); #128296=CARTESIAN_POINT('Ctrl Pts',(0.727863365687794,-0.16059851561395, 0.)); #128297=CARTESIAN_POINT('Ctrl Pts',(0.727863365687794,-0.16059851561395, 0.01)); #128298=CARTESIAN_POINT('',(0.71810726781546,-0.150575649440419,0.)); #128299=CARTESIAN_POINT('Ctrl Pts',(0.71810726781546,-0.150575649440419, 0.)); #128300=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.150575649440419, 0.)); #128301=CARTESIAN_POINT('Ctrl Pts',(0.72782525593048,-0.155911015464352, 0.)); #128302=CARTESIAN_POINT('Ctrl Pts',(0.727863365687794,-0.16059851561395, 0.)); #128303=CARTESIAN_POINT('',(0.71810726781546,-0.150575649440419,0.01)); #128304=CARTESIAN_POINT('',(0.71810726781546,-0.150575649440419,0.)); #128305=CARTESIAN_POINT('Ctrl Pts',(0.71810726781546,-0.150575649440419, 0.01)); #128306=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.150575649440419, 0.01)); #128307=CARTESIAN_POINT('Ctrl Pts',(0.72782525593048,-0.155911015464352, 0.01)); #128308=CARTESIAN_POINT('Ctrl Pts',(0.727863365687794,-0.16059851561395, 0.01)); #128309=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.159226564350653, 0.)); #128310=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.159226564350653, 0.01)); #128311=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.155568027648528, 0.)); #128312=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.155568027648528, 0.01)); #128313=CARTESIAN_POINT('Ctrl Pts',(0.713915194510941,-0.150575649440419, 0.)); #128314=CARTESIAN_POINT('Ctrl Pts',(0.713915194510941,-0.150575649440419, 0.01)); #128315=CARTESIAN_POINT('Ctrl Pts',(0.71810726781546,-0.150575649440419, 0.)); #128316=CARTESIAN_POINT('Ctrl Pts',(0.71810726781546,-0.150575649440419, 0.01)); #128317=CARTESIAN_POINT('',(0.708694157758949,-0.159226564350653,0.)); #128318=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.159226564350653, 0.)); #128319=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.155568027648528, 0.)); #128320=CARTESIAN_POINT('Ctrl Pts',(0.713915194510941,-0.150575649440419, 0.)); #128321=CARTESIAN_POINT('Ctrl Pts',(0.71810726781546,-0.150575649440419, 0.)); #128322=CARTESIAN_POINT('',(0.708694157758949,-0.159226564350653,0.01)); #128323=CARTESIAN_POINT('',(0.708694157758949,-0.159226564350653,0.)); #128324=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.159226564350653, 0.01)); #128325=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.155568027648528, 0.01)); #128326=CARTESIAN_POINT('Ctrl Pts',(0.713915194510941,-0.150575649440419, 0.01)); #128327=CARTESIAN_POINT('Ctrl Pts',(0.71810726781546,-0.150575649440419, 0.01)); #128328=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.17721436980277, 0.)); #128329=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.17721436980277, 0.01)); #128330=CARTESIAN_POINT('Ctrl Pts',(0.71441062135602,-0.17050705251554, 0.)); #128331=CARTESIAN_POINT('Ctrl Pts',(0.71441062135602,-0.17050705251554, 0.01)); #128332=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.162732662023523, 0.)); #128333=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.162732662023523, 0.01)); #128334=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.159226564350653, 0.)); #128335=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.159226564350653, 0.01)); #128336=CARTESIAN_POINT('',(0.722527999663861,-0.17721436980277,0.)); #128337=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.17721436980277, 0.)); #128338=CARTESIAN_POINT('Ctrl Pts',(0.71441062135602,-0.17050705251554, 0.)); #128339=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.162732662023523, 0.)); #128340=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.159226564350653, 0.)); #128341=CARTESIAN_POINT('',(0.722527999663861,-0.17721436980277,0.01)); #128342=CARTESIAN_POINT('',(0.722527999663861,-0.17721436980277,0.)); #128343=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.17721436980277, 0.01)); #128344=CARTESIAN_POINT('Ctrl Pts',(0.71441062135602,-0.17050705251554, 0.01)); #128345=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.162732662023523, 0.01)); #128346=CARTESIAN_POINT('Ctrl Pts',(0.708694157758949,-0.159226564350653, 0.01)); #128347=CARTESIAN_POINT('Ctrl Pts',(0.734608792732338,-0.19142930928082, 0.)); #128348=CARTESIAN_POINT('Ctrl Pts',(0.734608792732338,-0.19142930928082, 0.01)); #128349=CARTESIAN_POINT('Ctrl Pts',(0.733351170740982,-0.188037540879891, 0.)); #128350=CARTESIAN_POINT('Ctrl Pts',(0.733351170740982,-0.188037540879891, 0.01)); #128351=CARTESIAN_POINT('Ctrl Pts',(0.727787146173167,-0.18152077237923, 0.)); #128352=CARTESIAN_POINT('Ctrl Pts',(0.727787146173167,-0.18152077237923, 0.01)); #128353=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.17721436980277, 0.)); #128354=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.17721436980277, 0.01)); #128355=CARTESIAN_POINT('',(0.734608792732338,-0.19142930928082,0.)); #128356=CARTESIAN_POINT('Ctrl Pts',(0.734608792732338,-0.19142930928082, 0.)); #128357=CARTESIAN_POINT('Ctrl Pts',(0.733351170740982,-0.188037540879891, 0.)); #128358=CARTESIAN_POINT('Ctrl Pts',(0.727787146173167,-0.18152077237923, 0.)); #128359=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.17721436980277, 0.)); #128360=CARTESIAN_POINT('',(0.734608792732338,-0.19142930928082,0.01)); #128361=CARTESIAN_POINT('',(0.734608792732338,-0.19142930928082,0.)); #128362=CARTESIAN_POINT('Ctrl Pts',(0.734608792732338,-0.19142930928082, 0.01)); #128363=CARTESIAN_POINT('Ctrl Pts',(0.733351170740982,-0.188037540879891, 0.01)); #128364=CARTESIAN_POINT('Ctrl Pts',(0.727787146173167,-0.18152077237923, 0.01)); #128365=CARTESIAN_POINT('Ctrl Pts',(0.722527999663861,-0.17721436980277, 0.01)); #128366=CARTESIAN_POINT('Ctrl Pts',(0.7353328781213,-0.195697602099967, 0.)); #128367=CARTESIAN_POINT('Ctrl Pts',(0.7353328781213,-0.195697602099967, 0.01)); #128368=CARTESIAN_POINT('Ctrl Pts',(0.735409097635928,-0.193487236175766, 0.)); #128369=CARTESIAN_POINT('Ctrl Pts',(0.735409097635928,-0.193487236175766, 0.01)); #128370=CARTESIAN_POINT('Ctrl Pts',(0.734608792732338,-0.19142930928082, 0.)); #128371=CARTESIAN_POINT('Ctrl Pts',(0.734608792732338,-0.19142930928082, 0.01)); #128372=CARTESIAN_POINT('',(0.7353328781213,-0.195697602099967,0.)); #128373=CARTESIAN_POINT('Ctrl Pts',(0.7353328781213,-0.195697602099967, 0.)); #128374=CARTESIAN_POINT('Ctrl Pts',(0.735409097635928,-0.193487236175766, 0.)); #128375=CARTESIAN_POINT('Ctrl Pts',(0.734608792732338,-0.19142930928082, 0.)); #128376=CARTESIAN_POINT('',(0.7353328781213,-0.195697602099967,0.01)); #128377=CARTESIAN_POINT('',(0.7353328781213,-0.195697602099967,0.)); #128378=CARTESIAN_POINT('Ctrl Pts',(0.7353328781213,-0.195697602099967, 0.01)); #128379=CARTESIAN_POINT('Ctrl Pts',(0.735409097635928,-0.193487236175766, 0.01)); #128380=CARTESIAN_POINT('Ctrl Pts',(0.734608792732338,-0.19142930928082, 0.01)); #128381=CARTESIAN_POINT('Origin',(0.70229171853023,-0.195697602099967,0.)); #128382=CARTESIAN_POINT('',(0.70229171853023,-0.195697602099967,0.)); #128383=CARTESIAN_POINT('',(0.351145859265115,-0.195697602099967,0.)); #128384=CARTESIAN_POINT('',(0.70229171853023,-0.195697602099967,0.01)); #128385=CARTESIAN_POINT('',(0.70229171853023,-0.195697602099967,0.)); #128386=CARTESIAN_POINT('',(0.70229171853023,-0.195697602099967,0.01)); #128387=CARTESIAN_POINT('Origin',(0.70229171853023,-0.189790589716326,0.)); #128388=CARTESIAN_POINT('',(0.70229171853023,0.159524068505513,0.)); #128389=CARTESIAN_POINT('',(0.70229171853023,-0.189790589716326,0.01)); #128390=CARTESIAN_POINT('Origin',(0.717779858645603,-0.173924587900401, 0.01)); #128391=CARTESIAN_POINT('Ctrl Pts',(0.752977695757593,-0.186284492043456, 0.)); #128392=CARTESIAN_POINT('Ctrl Pts',(0.752977695757593,-0.186284492043456, 0.01)); #128393=CARTESIAN_POINT('Ctrl Pts',(0.754616415322087,-0.187961321365264, 0.)); #128394=CARTESIAN_POINT('Ctrl Pts',(0.754616415322087,-0.187961321365264, 0.01)); #128395=CARTESIAN_POINT('Ctrl Pts',(0.76025665940453,-0.189790589716326, 0.)); #128396=CARTESIAN_POINT('Ctrl Pts',(0.76025665940453,-0.189790589716326, 0.01)); #128397=CARTESIAN_POINT('Ctrl Pts',(0.765210927855325,-0.189790589716326, 0.)); #128398=CARTESIAN_POINT('Ctrl Pts',(0.765210927855325,-0.189790589716326, 0.01)); #128399=CARTESIAN_POINT('',(0.765210927855325,-0.189790589716326,0.)); #128400=CARTESIAN_POINT('',(0.752977695757593,-0.186284492043456,0.)); #128401=CARTESIAN_POINT('Ctrl Pts',(0.765210927855325,-0.189790589716326, 0.)); #128402=CARTESIAN_POINT('Ctrl Pts',(0.76025665940453,-0.189790589716326, 0.)); #128403=CARTESIAN_POINT('Ctrl Pts',(0.754616415322087,-0.187961321365264, 0.)); #128404=CARTESIAN_POINT('Ctrl Pts',(0.752977695757593,-0.186284492043456, 0.)); #128405=CARTESIAN_POINT('',(0.765210927855325,-0.189790589716326,0.01)); #128406=CARTESIAN_POINT('',(0.765210927855325,-0.189790589716326,0.)); #128407=CARTESIAN_POINT('',(0.752977695757593,-0.186284492043456,0.01)); #128408=CARTESIAN_POINT('Ctrl Pts',(0.765210927855325,-0.189790589716326, 0.01)); #128409=CARTESIAN_POINT('Ctrl Pts',(0.76025665940453,-0.189790589716326, 0.01)); #128410=CARTESIAN_POINT('Ctrl Pts',(0.754616415322087,-0.187961321365264, 0.01)); #128411=CARTESIAN_POINT('Ctrl Pts',(0.752977695757593,-0.186284492043456, 0.01)); #128412=CARTESIAN_POINT('',(0.752977695757593,-0.186284492043456,0.)); #128413=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.170316503728971, 0.)); #128414=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.170316503728971, 0.01)); #128415=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.175994857568728, 0.)); #128416=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.175994857568728, 0.01)); #128417=CARTESIAN_POINT('Ctrl Pts',(0.750614890804137,-0.18392168709,0.)); #128418=CARTESIAN_POINT('Ctrl Pts',(0.750614890804137,-0.18392168709,0.01)); #128419=CARTESIAN_POINT('Ctrl Pts',(0.752977695757593,-0.186284492043456, 0.)); #128420=CARTESIAN_POINT('Ctrl Pts',(0.752977695757593,-0.186284492043456, 0.01)); #128421=CARTESIAN_POINT('',(0.747985317549484,-0.170316503728971,0.)); #128422=CARTESIAN_POINT('Ctrl Pts',(0.752977695757593,-0.186284492043456, 0.)); #128423=CARTESIAN_POINT('Ctrl Pts',(0.750614890804137,-0.18392168709,0.)); #128424=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.175994857568728, 0.)); #128425=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.170316503728971, 0.)); #128426=CARTESIAN_POINT('',(0.747985317549484,-0.170316503728971,0.01)); #128427=CARTESIAN_POINT('Ctrl Pts',(0.752977695757593,-0.186284492043456, 0.01)); #128428=CARTESIAN_POINT('Ctrl Pts',(0.750614890804137,-0.18392168709,0.01)); #128429=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.175994857568728, 0.01)); #128430=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.170316503728971, 0.01)); #128431=CARTESIAN_POINT('',(0.747985317549484,-0.170316503728971,0.)); #128432=CARTESIAN_POINT('Ctrl Pts',(0.756826781246288,-0.152633576335365, 0.)); #128433=CARTESIAN_POINT('Ctrl Pts',(0.756826781246288,-0.152633576335365, 0.01)); #128434=CARTESIAN_POINT('Ctrl Pts',(0.753130134786848,-0.154043637355975, 0.)); #128435=CARTESIAN_POINT('Ctrl Pts',(0.753130134786848,-0.154043637355975, 0.01)); #128436=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.162465893722327, 0.)); #128437=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.162465893722327, 0.01)); #128438=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.170316503728971, 0.)); #128439=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.170316503728971, 0.01)); #128440=CARTESIAN_POINT('',(0.756826781246287,-0.152633576335365,0.)); #128441=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.170316503728971, 0.)); #128442=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.162465893722327, 0.)); #128443=CARTESIAN_POINT('Ctrl Pts',(0.753130134786848,-0.154043637355975, 0.)); #128444=CARTESIAN_POINT('Ctrl Pts',(0.756826781246288,-0.152633576335365, 0.)); #128445=CARTESIAN_POINT('',(0.756826781246287,-0.152633576335365,0.01)); #128446=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.170316503728971, 0.01)); #128447=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.162465893722327, 0.01)); #128448=CARTESIAN_POINT('Ctrl Pts',(0.753130134786848,-0.154043637355975, 0.01)); #128449=CARTESIAN_POINT('Ctrl Pts',(0.756826781246288,-0.152633576335365, 0.01)); #128450=CARTESIAN_POINT('',(0.756826781246287,-0.152633576335365,0.)); #128451=CARTESIAN_POINT('Ctrl Pts',(0.76536336688458,-0.151604612887892, 0.)); #128452=CARTESIAN_POINT('Ctrl Pts',(0.76536336688458,-0.151604612887892, 0.01)); #128453=CARTESIAN_POINT('Ctrl Pts',(0.759494464258254,-0.151604612887892, 0.)); #128454=CARTESIAN_POINT('Ctrl Pts',(0.759494464258254,-0.151604612887892, 0.01)); #128455=CARTESIAN_POINT('Ctrl Pts',(0.756826781246288,-0.152633576335365, 0.)); #128456=CARTESIAN_POINT('Ctrl Pts',(0.756826781246288,-0.152633576335365, 0.01)); #128457=CARTESIAN_POINT('',(0.76536336688458,-0.151604612887892,0.)); #128458=CARTESIAN_POINT('Ctrl Pts',(0.756826781246288,-0.152633576335365, 0.)); #128459=CARTESIAN_POINT('Ctrl Pts',(0.759494464258254,-0.151604612887892, 0.)); #128460=CARTESIAN_POINT('Ctrl Pts',(0.76536336688458,-0.151604612887892, 0.)); #128461=CARTESIAN_POINT('',(0.76536336688458,-0.151604612887892,0.01)); #128462=CARTESIAN_POINT('Ctrl Pts',(0.756826781246288,-0.152633576335365, 0.01)); #128463=CARTESIAN_POINT('Ctrl Pts',(0.759494464258254,-0.151604612887892, 0.01)); #128464=CARTESIAN_POINT('Ctrl Pts',(0.76536336688458,-0.151604612887892, 0.01)); #128465=CARTESIAN_POINT('',(0.76536336688458,-0.151604612887892,0.)); #128466=CARTESIAN_POINT('Origin',(0.775881659903191,-0.151604612887892, 0.)); #128467=CARTESIAN_POINT('',(0.775881659903191,-0.151604612887892,0.)); #128468=CARTESIAN_POINT('',(0.387940829951596,-0.151604612887892,0.)); #128469=CARTESIAN_POINT('',(0.775881659903191,-0.151604612887892,0.01)); #128470=CARTESIAN_POINT('',(0.771274574813117,-0.151604612887892,0.01)); #128471=CARTESIAN_POINT('',(0.775881659903191,-0.151604612887892,0.)); #128472=CARTESIAN_POINT('Origin',(0.775881659903191,-0.189790589716326, 0.)); #128473=CARTESIAN_POINT('',(0.775881659903191,-0.189790589716326,0.)); #128474=CARTESIAN_POINT('',(0.775881659903191,0.159524068505513,0.)); #128475=CARTESIAN_POINT('',(0.775881659903191,-0.189790589716326,0.01)); #128476=CARTESIAN_POINT('',(0.775881659903191,-0.180247172186745,0.01)); #128477=CARTESIAN_POINT('',(0.775881659903191,-0.189790589716326,0.)); #128478=CARTESIAN_POINT('Origin',(0.765210927855325,-0.189790589716326, 0.)); #128479=CARTESIAN_POINT('',(0.382605463927663,-0.189790589716326,0.)); #128480=CARTESIAN_POINT('',(0.765939208789184,-0.189790589716326,0.01)); #128481=CARTESIAN_POINT('Origin',(0.764448732709049,-0.195697602099967, 0.)); #128482=CARTESIAN_POINT('',(0.764448732709049,-0.195697602099967,0.)); #128483=CARTESIAN_POINT('',(0.782512757675794,-0.195697602099967,0.)); #128484=CARTESIAN_POINT('',(0.382224366354525,-0.195697602099967,0.)); #128485=CARTESIAN_POINT('',(0.764448732709049,-0.195697602099967,0.01)); #128486=CARTESIAN_POINT('',(0.764448732709049,-0.195697602099967,0.)); #128487=CARTESIAN_POINT('',(0.782512757675794,-0.195697602099967,0.01)); #128488=CARTESIAN_POINT('',(0.764448732709049,-0.195697602099967,0.01)); #128489=CARTESIAN_POINT('',(0.782512757675794,-0.195697602099967,0.)); #128490=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.170430833000912, 0.)); #128491=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.170430833000912, 0.01)); #128492=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.17610918684067, 0.)); #128493=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.17610918684067, 0.01)); #128494=CARTESIAN_POINT('Ctrl Pts',(0.743831354002279,-0.184912540780159, 0.)); #128495=CARTESIAN_POINT('Ctrl Pts',(0.743831354002279,-0.184912540780159, 0.01)); #128496=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.190667114134544, 0.)); #128497=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.190667114134544, 0.01)); #128498=CARTESIAN_POINT('Ctrl Pts',(0.752939586000279,-0.193982663020845, 0.)); #128499=CARTESIAN_POINT('Ctrl Pts',(0.752939586000279,-0.193982663020845, 0.01)); #128500=CARTESIAN_POINT('Ctrl Pts',(0.759913671588706,-0.195697602099967, 0.)); #128501=CARTESIAN_POINT('Ctrl Pts',(0.759913671588706,-0.195697602099967, 0.01)); #128502=CARTESIAN_POINT('Ctrl Pts',(0.764448732709049,-0.195697602099967, 0.)); #128503=CARTESIAN_POINT('Ctrl Pts',(0.764448732709049,-0.195697602099967, 0.01)); #128504=CARTESIAN_POINT('',(0.741163670990313,-0.170430833000912,0.)); #128505=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.170430833000912, 0.)); #128506=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.17610918684067, 0.)); #128507=CARTESIAN_POINT('Ctrl Pts',(0.743831354002279,-0.184912540780159, 0.)); #128508=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.190667114134544, 0.)); #128509=CARTESIAN_POINT('Ctrl Pts',(0.752939586000279,-0.193982663020845, 0.)); #128510=CARTESIAN_POINT('Ctrl Pts',(0.759913671588706,-0.195697602099967, 0.)); #128511=CARTESIAN_POINT('Ctrl Pts',(0.764448732709049,-0.195697602099967, 0.)); #128512=CARTESIAN_POINT('',(0.741163670990313,-0.170430833000912,0.01)); #128513=CARTESIAN_POINT('',(0.741163670990313,-0.170430833000912,0.)); #128514=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.170430833000912, 0.01)); #128515=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.17610918684067, 0.01)); #128516=CARTESIAN_POINT('Ctrl Pts',(0.743831354002279,-0.184912540780159, 0.01)); #128517=CARTESIAN_POINT('Ctrl Pts',(0.747985317549484,-0.190667114134544, 0.01)); #128518=CARTESIAN_POINT('Ctrl Pts',(0.752939586000279,-0.193982663020845, 0.01)); #128519=CARTESIAN_POINT('Ctrl Pts',(0.759913671588706,-0.195697602099967, 0.01)); #128520=CARTESIAN_POINT('Ctrl Pts',(0.764448732709049,-0.195697602099967, 0.01)); #128521=CARTESIAN_POINT('Ctrl Pts',(0.749052390754271,-0.150004003080712, 0.)); #128522=CARTESIAN_POINT('Ctrl Pts',(0.749052390754271,-0.150004003080712, 0.01)); #128523=CARTESIAN_POINT('Ctrl Pts',(0.745088975993635,-0.153319551967013, 0.)); #128524=CARTESIAN_POINT('Ctrl Pts',(0.745088975993635,-0.153319551967013, 0.01)); #128525=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.163723515713682, 0.)); #128526=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.163723515713682, 0.01)); #128527=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.170430833000912, 0.)); #128528=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.170430833000912, 0.01)); #128529=CARTESIAN_POINT('',(0.749052390754271,-0.150004003080712,0.)); #128530=CARTESIAN_POINT('Ctrl Pts',(0.749052390754271,-0.150004003080712, 0.)); #128531=CARTESIAN_POINT('Ctrl Pts',(0.745088975993635,-0.153319551967013, 0.)); #128532=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.163723515713682, 0.)); #128533=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.170430833000912, 0.)); #128534=CARTESIAN_POINT('',(0.749052390754271,-0.150004003080712,0.01)); #128535=CARTESIAN_POINT('',(0.749052390754271,-0.150004003080712,0.)); #128536=CARTESIAN_POINT('Ctrl Pts',(0.749052390754271,-0.150004003080712, 0.01)); #128537=CARTESIAN_POINT('Ctrl Pts',(0.745088975993635,-0.153319551967013, 0.01)); #128538=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.163723515713682, 0.01)); #128539=CARTESIAN_POINT('Ctrl Pts',(0.741163670990313,-0.170430833000912, 0.01)); #128540=CARTESIAN_POINT('Ctrl Pts',(0.756369464158522,-0.146421685893214, 0.)); #128541=CARTESIAN_POINT('Ctrl Pts',(0.756369464158522,-0.146421685893214, 0.01)); #128542=CARTESIAN_POINT('Ctrl Pts',(0.752063061582062,-0.147412539583373, 0.)); #128543=CARTESIAN_POINT('Ctrl Pts',(0.752063061582062,-0.147412539583373, 0.01)); #128544=CARTESIAN_POINT('Ctrl Pts',(0.749052390754271,-0.150004003080712, 0.)); #128545=CARTESIAN_POINT('Ctrl Pts',(0.749052390754271,-0.150004003080712, 0.01)); #128546=CARTESIAN_POINT('',(0.756369464158522,-0.146421685893214,0.)); #128547=CARTESIAN_POINT('Ctrl Pts',(0.756369464158522,-0.146421685893214, 0.)); #128548=CARTESIAN_POINT('Ctrl Pts',(0.752063061582062,-0.147412539583373, 0.)); #128549=CARTESIAN_POINT('Ctrl Pts',(0.749052390754271,-0.150004003080712, 0.)); #128550=CARTESIAN_POINT('',(0.756369464158522,-0.146421685893214,0.01)); #128551=CARTESIAN_POINT('',(0.756369464158522,-0.146421685893214,0.)); #128552=CARTESIAN_POINT('Ctrl Pts',(0.756369464158522,-0.146421685893214, 0.01)); #128553=CARTESIAN_POINT('Ctrl Pts',(0.752063061582062,-0.147412539583373, 0.01)); #128554=CARTESIAN_POINT('Ctrl Pts',(0.749052390754271,-0.150004003080712, 0.01)); #128555=CARTESIAN_POINT('Ctrl Pts',(0.765287147369953,-0.145697600504252, 0.)); #128556=CARTESIAN_POINT('Ctrl Pts',(0.765287147369953,-0.145697600504252, 0.01)); #128557=CARTESIAN_POINT('Ctrl Pts',(0.75945635450094,-0.145697600504252, 0.)); #128558=CARTESIAN_POINT('Ctrl Pts',(0.75945635450094,-0.145697600504252, 0.01)); #128559=CARTESIAN_POINT('Ctrl Pts',(0.756369464158522,-0.146421685893214, 0.)); #128560=CARTESIAN_POINT('Ctrl Pts',(0.756369464158522,-0.146421685893214, 0.01)); #128561=CARTESIAN_POINT('',(0.765287147369953,-0.145697600504252,0.)); #128562=CARTESIAN_POINT('Ctrl Pts',(0.765287147369953,-0.145697600504252, 0.)); #128563=CARTESIAN_POINT('Ctrl Pts',(0.75945635450094,-0.145697600504252, 0.)); #128564=CARTESIAN_POINT('Ctrl Pts',(0.756369464158522,-0.146421685893214, 0.)); #128565=CARTESIAN_POINT('',(0.765287147369953,-0.145697600504252,0.01)); #128566=CARTESIAN_POINT('',(0.765287147369953,-0.145697600504252,0.)); #128567=CARTESIAN_POINT('Ctrl Pts',(0.765287147369953,-0.145697600504252, 0.01)); #128568=CARTESIAN_POINT('Ctrl Pts',(0.75945635450094,-0.145697600504252, 0.01)); #128569=CARTESIAN_POINT('Ctrl Pts',(0.756369464158522,-0.146421685893214, 0.01)); #128570=CARTESIAN_POINT('Origin',(0.782512757675794,-0.145697600504252, 0.)); #128571=CARTESIAN_POINT('',(0.782512757675794,-0.145697600504252,0.)); #128572=CARTESIAN_POINT('',(0.391256378837897,-0.145697600504252,0.)); #128573=CARTESIAN_POINT('',(0.782512757675794,-0.145697600504252,0.01)); #128574=CARTESIAN_POINT('',(0.782512757675794,-0.145697600504252,0.)); #128575=CARTESIAN_POINT('',(0.782512757675794,-0.145697600504252,0.01)); #128576=CARTESIAN_POINT('Origin',(0.782512757675794,-0.195697602099967, 0.)); #128577=CARTESIAN_POINT('',(0.782512757675794,0.156570562313693,0.)); #128578=CARTESIAN_POINT('',(0.782512757675794,-0.195697602099967,0.01)); #128579=CARTESIAN_POINT('Origin',(0.766667489723044,-0.170703754657163, 0.01)); #128580=CARTESIAN_POINT('Origin',(0.863572211482261,-0.187618333549439, 0.)); #128581=CARTESIAN_POINT('',(0.863572211482261,-0.187618333549439,0.)); #128582=CARTESIAN_POINT('',(0.86936489459396,-0.187618333549439,0.)); #128583=CARTESIAN_POINT('',(0.431786105741131,-0.187618333549439,0.)); #128584=CARTESIAN_POINT('',(0.863572211482261,-0.187618333549439,0.01)); #128585=CARTESIAN_POINT('',(0.863572211482261,-0.187618333549439,0.)); #128586=CARTESIAN_POINT('',(0.86936489459396,-0.187618333549439,0.01)); #128587=CARTESIAN_POINT('',(0.863572211482261,-0.187618333549439,0.01)); #128588=CARTESIAN_POINT('',(0.86936489459396,-0.187618333549439,0.)); #128589=CARTESIAN_POINT('Origin',(0.863572211482261,-0.173898820916469, 0.)); #128590=CARTESIAN_POINT('',(0.863572211482261,-0.173898820916469,0.)); #128591=CARTESIAN_POINT('',(0.863572211482261,0.167469952905442,0.)); #128592=CARTESIAN_POINT('',(0.863572211482261,-0.173898820916469,0.01)); #128593=CARTESIAN_POINT('',(0.863572211482261,-0.173898820916469,0.)); #128594=CARTESIAN_POINT('',(0.863572211482261,-0.173898820916469,0.01)); #128595=CARTESIAN_POINT('Origin',(0.849967028121232,-0.173898820916469, 0.)); #128596=CARTESIAN_POINT('',(0.849967028121232,-0.173898820916469,0.)); #128597=CARTESIAN_POINT('',(0.424983514060616,-0.173898820916469,0.)); #128598=CARTESIAN_POINT('',(0.849967028121232,-0.173898820916469,0.01)); #128599=CARTESIAN_POINT('',(0.849967028121232,-0.173898820916469,0.)); #128600=CARTESIAN_POINT('',(0.849967028121232,-0.173898820916469,0.01)); #128601=CARTESIAN_POINT('Origin',(0.849967028121232,-0.168182357319398, 0.)); #128602=CARTESIAN_POINT('',(0.849967028121232,-0.168182357319398,0.)); #128603=CARTESIAN_POINT('',(0.849967028121232,0.170328184703977,0.)); #128604=CARTESIAN_POINT('',(0.849967028121232,-0.168182357319398,0.01)); #128605=CARTESIAN_POINT('',(0.849967028121232,-0.168182357319398,0.)); #128606=CARTESIAN_POINT('',(0.849967028121232,-0.168182357319398,0.01)); #128607=CARTESIAN_POINT('Origin',(0.863572211482261,-0.168182357319398, 0.)); #128608=CARTESIAN_POINT('',(0.863572211482261,-0.168182357319398,0.)); #128609=CARTESIAN_POINT('',(0.431786105741131,-0.168182357319398,0.)); #128610=CARTESIAN_POINT('',(0.863572211482261,-0.168182357319398,0.01)); #128611=CARTESIAN_POINT('',(0.863572211482261,-0.168182357319398,0.)); #128612=CARTESIAN_POINT('',(0.863572211482261,-0.168182357319398,0.01)); #128613=CARTESIAN_POINT('Origin',(0.863572211482261,-0.154577173958369, 0.)); #128614=CARTESIAN_POINT('',(0.863572211482261,-0.154577173958369,0.)); #128615=CARTESIAN_POINT('',(0.863572211482261,0.177130776384492,0.)); #128616=CARTESIAN_POINT('',(0.863572211482261,-0.154577173958369,0.01)); #128617=CARTESIAN_POINT('',(0.863572211482261,-0.154577173958369,0.)); #128618=CARTESIAN_POINT('',(0.863572211482261,-0.154577173958369,0.01)); #128619=CARTESIAN_POINT('Origin',(0.86936489459396,-0.154577173958369,0.)); #128620=CARTESIAN_POINT('',(0.86936489459396,-0.154577173958369,0.)); #128621=CARTESIAN_POINT('',(0.43468244729698,-0.154577173958369,0.)); #128622=CARTESIAN_POINT('',(0.86936489459396,-0.154577173958369,0.01)); #128623=CARTESIAN_POINT('',(0.86936489459396,-0.154577173958369,0.)); #128624=CARTESIAN_POINT('',(0.86936489459396,-0.154577173958369,0.01)); #128625=CARTESIAN_POINT('Origin',(0.86936489459396,-0.168182357319398,0.)); #128626=CARTESIAN_POINT('',(0.86936489459396,-0.168182357319398,0.)); #128627=CARTESIAN_POINT('',(0.86936489459396,0.170328184703977,0.)); #128628=CARTESIAN_POINT('',(0.86936489459396,-0.168182357319398,0.01)); #128629=CARTESIAN_POINT('',(0.86936489459396,-0.168182357319398,0.)); #128630=CARTESIAN_POINT('',(0.86936489459396,-0.168182357319398,0.01)); #128631=CARTESIAN_POINT('Origin',(0.882970077954989,-0.168182357319398, 0.)); #128632=CARTESIAN_POINT('',(0.882970077954989,-0.168182357319398,0.)); #128633=CARTESIAN_POINT('',(0.441485038977495,-0.168182357319398,0.)); #128634=CARTESIAN_POINT('',(0.882970077954989,-0.168182357319398,0.01)); #128635=CARTESIAN_POINT('',(0.882970077954989,-0.168182357319398,0.)); #128636=CARTESIAN_POINT('',(0.882970077954989,-0.168182357319398,0.01)); #128637=CARTESIAN_POINT('Origin',(0.882970077954989,-0.173898820916469, 0.)); #128638=CARTESIAN_POINT('',(0.882970077954989,-0.173898820916469,0.)); #128639=CARTESIAN_POINT('',(0.882970077954989,0.167469952905442,0.)); #128640=CARTESIAN_POINT('',(0.882970077954989,-0.173898820916469,0.01)); #128641=CARTESIAN_POINT('',(0.882970077954989,-0.173898820916469,0.)); #128642=CARTESIAN_POINT('',(0.882970077954989,-0.173898820916469,0.01)); #128643=CARTESIAN_POINT('Origin',(0.86936489459396,-0.173898820916469,0.)); #128644=CARTESIAN_POINT('',(0.86936489459396,-0.173898820916469,0.)); #128645=CARTESIAN_POINT('',(0.43468244729698,-0.173898820916469,0.)); #128646=CARTESIAN_POINT('',(0.86936489459396,-0.173898820916469,0.01)); #128647=CARTESIAN_POINT('',(0.86936489459396,-0.173898820916469,0.)); #128648=CARTESIAN_POINT('',(0.86936489459396,-0.173898820916469,0.01)); #128649=CARTESIAN_POINT('Origin',(0.86936489459396,-0.187618333549439,0.)); #128650=CARTESIAN_POINT('',(0.86936489459396,0.160610196588956,0.)); #128651=CARTESIAN_POINT('',(0.86936489459396,-0.187618333549439,0.01)); #128652=CARTESIAN_POINT('Origin',(0.866468553038111,-0.17107420179194,0.01)); #128653=CARTESIAN_POINT('Origin',(0.93853410411852,-0.145507051717683,0.)); #128654=CARTESIAN_POINT('',(0.93853410411852,-0.145507051717683,0.)); #128655=CARTESIAN_POINT('',(0.93853410411852,-0.195697602099967,0.)); #128656=CARTESIAN_POINT('',(0.93853410411852,0.181665837504835,0.)); #128657=CARTESIAN_POINT('',(0.93853410411852,-0.145507051717683,0.01)); #128658=CARTESIAN_POINT('',(0.93853410411852,-0.145507051717683,0.)); #128659=CARTESIAN_POINT('',(0.93853410411852,-0.195697602099967,0.01)); #128660=CARTESIAN_POINT('',(0.93853410411852,-0.145507051717683,0.01)); #128661=CARTESIAN_POINT('',(0.93853410411852,-0.195697602099967,0.)); #128662=CARTESIAN_POINT('Origin',(0.942497518879156,-0.145507051717683, 0.)); #128663=CARTESIAN_POINT('',(0.942497518879156,-0.145507051717683,0.)); #128664=CARTESIAN_POINT('',(0.471248759439578,-0.145507051717683,0.)); #128665=CARTESIAN_POINT('',(0.942497518879156,-0.145507051717683,0.01)); #128666=CARTESIAN_POINT('',(0.942497518879156,-0.145507051717683,0.)); #128667=CARTESIAN_POINT('',(0.942497518879156,-0.145507051717683,0.01)); #128668=CARTESIAN_POINT('Ctrl Pts',(0.956941116901089,-0.158045161873925, 0.)); #128669=CARTESIAN_POINT('Ctrl Pts',(0.956941116901089,-0.158045161873925, 0.01)); #128670=CARTESIAN_POINT('Ctrl Pts',(0.951796299663724,-0.155644247163155, 0.)); #128671=CARTESIAN_POINT('Ctrl Pts',(0.951796299663724,-0.155644247163155, 0.01)); #128672=CARTESIAN_POINT('Ctrl Pts',(0.944098128686336,-0.148746381089356, 0.)); #128673=CARTESIAN_POINT('Ctrl Pts',(0.944098128686336,-0.148746381089356, 0.01)); #128674=CARTESIAN_POINT('Ctrl Pts',(0.942497518879156,-0.145507051717683, 0.)); #128675=CARTESIAN_POINT('Ctrl Pts',(0.942497518879156,-0.145507051717683, 0.01)); #128676=CARTESIAN_POINT('',(0.956941116901089,-0.158045161873925,0.)); #128677=CARTESIAN_POINT('Ctrl Pts',(0.956941116901089,-0.158045161873925, 0.)); #128678=CARTESIAN_POINT('Ctrl Pts',(0.951796299663724,-0.155644247163155, 0.)); #128679=CARTESIAN_POINT('Ctrl Pts',(0.944098128686336,-0.148746381089356, 0.)); #128680=CARTESIAN_POINT('Ctrl Pts',(0.942497518879156,-0.145507051717683, 0.)); #128681=CARTESIAN_POINT('',(0.956941116901089,-0.158045161873925,0.01)); #128682=CARTESIAN_POINT('',(0.956941116901089,-0.158045161873925,0.)); #128683=CARTESIAN_POINT('Ctrl Pts',(0.956941116901089,-0.158045161873925, 0.01)); #128684=CARTESIAN_POINT('Ctrl Pts',(0.951796299663724,-0.155644247163155, 0.01)); #128685=CARTESIAN_POINT('Ctrl Pts',(0.944098128686336,-0.148746381089356, 0.01)); #128686=CARTESIAN_POINT('Ctrl Pts',(0.942497518879156,-0.145507051717683, 0.01)); #128687=CARTESIAN_POINT('Origin',(0.956941116901089,-0.163990284014879, 0.)); #128688=CARTESIAN_POINT('',(0.956941116901089,-0.163990284014879,0.)); #128689=CARTESIAN_POINT('',(0.956941116901089,0.172424221356237,0.)); #128690=CARTESIAN_POINT('',(0.956941116901089,-0.163990284014879,0.01)); #128691=CARTESIAN_POINT('',(0.956941116901089,-0.163990284014879,0.)); #128692=CARTESIAN_POINT('',(0.956941116901089,-0.163990284014879,0.01)); #128693=CARTESIAN_POINT('Ctrl Pts',(0.944669775046043,-0.156596991096001, 0.)); #128694=CARTESIAN_POINT('Ctrl Pts',(0.944669775046043,-0.156596991096001, 0.01)); #128695=CARTESIAN_POINT('Ctrl Pts',(0.946880140970243,-0.15869302774826, 0.)); #128696=CARTESIAN_POINT('Ctrl Pts',(0.946880140970243,-0.15869302774826, 0.01)); #128697=CARTESIAN_POINT('Ctrl Pts',(0.954082885102553,-0.162923210810092, 0.)); #128698=CARTESIAN_POINT('Ctrl Pts',(0.954082885102553,-0.162923210810092, 0.01)); #128699=CARTESIAN_POINT('Ctrl Pts',(0.956941116901089,-0.163990284014879, 0.)); #128700=CARTESIAN_POINT('Ctrl Pts',(0.956941116901089,-0.163990284014879, 0.01)); #128701=CARTESIAN_POINT('',(0.944669775046043,-0.156596991096001,0.)); #128702=CARTESIAN_POINT('Ctrl Pts',(0.944669775046043,-0.156596991096001, 0.)); #128703=CARTESIAN_POINT('Ctrl Pts',(0.946880140970243,-0.15869302774826, 0.)); #128704=CARTESIAN_POINT('Ctrl Pts',(0.954082885102553,-0.162923210810092, 0.)); #128705=CARTESIAN_POINT('Ctrl Pts',(0.956941116901089,-0.163990284014879, 0.)); #128706=CARTESIAN_POINT('',(0.944669775046043,-0.156596991096001,0.01)); #128707=CARTESIAN_POINT('',(0.944669775046043,-0.156596991096001,0.)); #128708=CARTESIAN_POINT('Ctrl Pts',(0.944669775046043,-0.156596991096001, 0.01)); #128709=CARTESIAN_POINT('Ctrl Pts',(0.946880140970243,-0.15869302774826, 0.01)); #128710=CARTESIAN_POINT('Ctrl Pts',(0.954082885102553,-0.162923210810092, 0.01)); #128711=CARTESIAN_POINT('Ctrl Pts',(0.956941116901089,-0.163990284014879, 0.01)); #128712=CARTESIAN_POINT('Origin',(0.944669775046043,-0.195697602099967, 0.)); #128713=CARTESIAN_POINT('',(0.944669775046043,-0.195697602099967,0.)); #128714=CARTESIAN_POINT('',(0.944669775046043,0.156570562313693,0.)); #128715=CARTESIAN_POINT('',(0.944669775046043,-0.195697602099967,0.01)); #128716=CARTESIAN_POINT('',(0.944669775046043,-0.195697602099967,0.)); #128717=CARTESIAN_POINT('',(0.944669775046043,-0.195697602099967,0.01)); #128718=CARTESIAN_POINT('Origin',(0.93853410411852,-0.195697602099967,0.)); #128719=CARTESIAN_POINT('',(0.46926705205926,-0.195697602099967,0.)); #128720=CARTESIAN_POINT('',(0.93853410411852,-0.195697602099967,0.01)); #128721=CARTESIAN_POINT('Origin',(0.943579374538954,-0.169402328405463, 0.01)); #128722=CARTESIAN_POINT('Origin',(1.01806916763244,-0.17610918684067,0.)); #128723=CARTESIAN_POINT('',(1.01806916763244,-0.17610918684067,0.)); #128724=CARTESIAN_POINT('',(1.03274142419825,-0.17610918684067,0.)); #128725=CARTESIAN_POINT('',(0.509034583816218,-0.17610918684067,0.)); #128726=CARTESIAN_POINT('',(1.01806916763244,-0.17610918684067,0.01)); #128727=CARTESIAN_POINT('',(1.01806916763244,-0.17610918684067,0.)); #128728=CARTESIAN_POINT('',(1.03274142419825,-0.17610918684067,0.01)); #128729=CARTESIAN_POINT('',(1.01806916763244,-0.17610918684067,0.01)); #128730=CARTESIAN_POINT('',(1.03274142419825,-0.17610918684067,0.)); #128731=CARTESIAN_POINT('Origin',(1.01806916763244,-0.185369857867925,0.)); #128732=CARTESIAN_POINT('',(1.01806916763243,-0.185369857867925,0.)); #128733=CARTESIAN_POINT('',(1.01806916763244,0.161734434429714,0.)); #128734=CARTESIAN_POINT('',(1.01806916763243,-0.185369857867925,0.01)); #128735=CARTESIAN_POINT('',(1.01806916763243,-0.185369857867925,0.)); #128736=CARTESIAN_POINT('',(1.01806916763244,-0.185369857867925,0.01)); #128737=CARTESIAN_POINT('Ctrl Pts',(1.03266520468362,-0.190552784862603, 0.)); #128738=CARTESIAN_POINT('Ctrl Pts',(1.03266520468362,-0.190552784862603, 0.01)); #128739=CARTESIAN_POINT('Ctrl Pts',(1.02835880210716,-0.190552784862603, 0.)); #128740=CARTESIAN_POINT('Ctrl Pts',(1.02835880210716,-0.190552784862603, 0.01)); #128741=CARTESIAN_POINT('Ctrl Pts',(1.02016520428469,-0.187237235976301, 0.)); #128742=CARTESIAN_POINT('Ctrl Pts',(1.02016520428469,-0.187237235976301, 0.01)); #128743=CARTESIAN_POINT('Ctrl Pts',(1.01806916763244,-0.185369857867925, 0.)); #128744=CARTESIAN_POINT('Ctrl Pts',(1.01806916763244,-0.185369857867925, 0.01)); #128745=CARTESIAN_POINT('',(1.03266520468362,-0.190552784862603,0.)); #128746=CARTESIAN_POINT('Ctrl Pts',(1.03266520468362,-0.190552784862603, 0.)); #128747=CARTESIAN_POINT('Ctrl Pts',(1.02835880210716,-0.190552784862603, 0.)); #128748=CARTESIAN_POINT('Ctrl Pts',(1.02016520428469,-0.187237235976301, 0.)); #128749=CARTESIAN_POINT('Ctrl Pts',(1.01806916763244,-0.185369857867925, 0.)); #128750=CARTESIAN_POINT('',(1.03266520468362,-0.190552784862603,0.01)); #128751=CARTESIAN_POINT('',(1.03266520468362,-0.190552784862603,0.)); #128752=CARTESIAN_POINT('Ctrl Pts',(1.03266520468362,-0.190552784862603, 0.01)); #128753=CARTESIAN_POINT('Ctrl Pts',(1.02835880210716,-0.190552784862603, 0.01)); #128754=CARTESIAN_POINT('Ctrl Pts',(1.02016520428469,-0.187237235976301, 0.01)); #128755=CARTESIAN_POINT('Ctrl Pts',(1.01806916763244,-0.185369857867925, 0.01)); #128756=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.17050705251554, 0.)); #128757=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.17050705251554, 0.01)); #128758=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.177176260045456, 0.)); #128759=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.177176260045456, 0.01)); #128760=CARTESIAN_POINT('Ctrl Pts',(1.04638471731659,-0.186208272528829, 0.)); #128761=CARTESIAN_POINT('Ctrl Pts',(1.04638471731659,-0.186208272528829, 0.01)); #128762=CARTESIAN_POINT('Ctrl Pts',(1.0375813633771,-0.190552784862603, 0.)); #128763=CARTESIAN_POINT('Ctrl Pts',(1.0375813633771,-0.190552784862603, 0.01)); #128764=CARTESIAN_POINT('Ctrl Pts',(1.03266520468362,-0.190552784862603, 0.)); #128765=CARTESIAN_POINT('Ctrl Pts',(1.03266520468362,-0.190552784862603, 0.01)); #128766=CARTESIAN_POINT('',(1.05099599795156,-0.17050705251554,0.)); #128767=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.17050705251554, 0.)); #128768=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.177176260045456, 0.)); #128769=CARTESIAN_POINT('Ctrl Pts',(1.04638471731659,-0.186208272528829, 0.)); #128770=CARTESIAN_POINT('Ctrl Pts',(1.0375813633771,-0.190552784862603, 0.)); #128771=CARTESIAN_POINT('Ctrl Pts',(1.03266520468362,-0.190552784862603, 0.)); #128772=CARTESIAN_POINT('',(1.05099599795156,-0.17050705251554,0.01)); #128773=CARTESIAN_POINT('',(1.05099599795156,-0.17050705251554,0.)); #128774=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.17050705251554, 0.01)); #128775=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.177176260045456, 0.01)); #128776=CARTESIAN_POINT('Ctrl Pts',(1.04638471731659,-0.186208272528829, 0.01)); #128777=CARTESIAN_POINT('Ctrl Pts',(1.0375813633771,-0.190552784862603, 0.01)); #128778=CARTESIAN_POINT('Ctrl Pts',(1.03266520468362,-0.190552784862603, 0.01)); #128779=CARTESIAN_POINT('Ctrl Pts',(1.04909051008587,-0.160446076584695, 0.)); #128780=CARTESIAN_POINT('Ctrl Pts',(1.04909051008587,-0.160446076584695, 0.01)); #128781=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.165095466976979, 0.)); #128782=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.165095466976979, 0.01)); #128783=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.17050705251554, 0.)); #128784=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.17050705251554, 0.01)); #128785=CARTESIAN_POINT('',(1.04909051008587,-0.160446076584695,0.)); #128786=CARTESIAN_POINT('Ctrl Pts',(1.04909051008587,-0.160446076584695, 0.)); #128787=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.165095466976979, 0.)); #128788=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.17050705251554, 0.)); #128789=CARTESIAN_POINT('',(1.04909051008587,-0.160446076584695,0.01)); #128790=CARTESIAN_POINT('',(1.04909051008587,-0.160446076584695,0.)); #128791=CARTESIAN_POINT('Ctrl Pts',(1.04909051008587,-0.160446076584695, 0.01)); #128792=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.165095466976979, 0.01)); #128793=CARTESIAN_POINT('Ctrl Pts',(1.05099599795156,-0.17050705251554, 0.01)); #128794=CARTESIAN_POINT('Ctrl Pts',(1.03274142419825,-0.150499429925791, 0.)); #128795=CARTESIAN_POINT('Ctrl Pts',(1.03274142419825,-0.150499429925791, 0.01)); #128796=CARTESIAN_POINT('Ctrl Pts',(1.03727648531859,-0.150499429925791, 0.)); #128797=CARTESIAN_POINT('Ctrl Pts',(1.03727648531859,-0.150499429925791, 0.01)); #128798=CARTESIAN_POINT('Ctrl Pts',(1.0439075830912,-0.153243332452385, 0.)); #128799=CARTESIAN_POINT('Ctrl Pts',(1.0439075830912,-0.153243332452385, 0.01)); #128800=CARTESIAN_POINT('Ctrl Pts',(1.04794721736646,-0.157778393572728, 0.)); #128801=CARTESIAN_POINT('Ctrl Pts',(1.04794721736646,-0.157778393572728, 0.01)); #128802=CARTESIAN_POINT('Ctrl Pts',(1.04909051008587,-0.160446076584695, 0.)); #128803=CARTESIAN_POINT('Ctrl Pts',(1.04909051008587,-0.160446076584695, 0.01)); #128804=CARTESIAN_POINT('',(1.03274142419825,-0.150499429925791,0.)); #128805=CARTESIAN_POINT('Ctrl Pts',(1.03274142419825,-0.150499429925791, 0.)); #128806=CARTESIAN_POINT('Ctrl Pts',(1.03727648531859,-0.150499429925791, 0.)); #128807=CARTESIAN_POINT('Ctrl Pts',(1.0439075830912,-0.153243332452385, 0.)); #128808=CARTESIAN_POINT('Ctrl Pts',(1.04794721736646,-0.157778393572728, 0.)); #128809=CARTESIAN_POINT('Ctrl Pts',(1.04909051008587,-0.160446076584695, 0.)); #128810=CARTESIAN_POINT('',(1.03274142419825,-0.150499429925791,0.01)); #128811=CARTESIAN_POINT('',(1.03274142419825,-0.150499429925791,0.)); #128812=CARTESIAN_POINT('Ctrl Pts',(1.03274142419825,-0.150499429925791, 0.01)); #128813=CARTESIAN_POINT('Ctrl Pts',(1.03727648531859,-0.150499429925791, 0.01)); #128814=CARTESIAN_POINT('Ctrl Pts',(1.0439075830912,-0.153243332452385, 0.01)); #128815=CARTESIAN_POINT('Ctrl Pts',(1.04794721736646,-0.157778393572728, 0.01)); #128816=CARTESIAN_POINT('Ctrl Pts',(1.04909051008587,-0.160446076584695, 0.01)); #128817=CARTESIAN_POINT('Ctrl Pts',(1.01829782617632,-0.161322601002913, 0.)); #128818=CARTESIAN_POINT('Ctrl Pts',(1.01829782617632,-0.161322601002913, 0.01)); #128819=CARTESIAN_POINT('Ctrl Pts',(1.01944111889573,-0.157511625271532, 0.)); #128820=CARTESIAN_POINT('Ctrl Pts',(1.01944111889573,-0.157511625271532, 0.01)); #128821=CARTESIAN_POINT('Ctrl Pts',(1.02275666778203,-0.153129003180444, 0.)); #128822=CARTESIAN_POINT('Ctrl Pts',(1.02275666778203,-0.153129003180444, 0.01)); #128823=CARTESIAN_POINT('Ctrl Pts',(1.02896855822418,-0.150499429925791, 0.)); #128824=CARTESIAN_POINT('Ctrl Pts',(1.02896855822418,-0.150499429925791, 0.01)); #128825=CARTESIAN_POINT('Ctrl Pts',(1.03274142419825,-0.150499429925791, 0.)); #128826=CARTESIAN_POINT('Ctrl Pts',(1.03274142419825,-0.150499429925791, 0.01)); #128827=CARTESIAN_POINT('',(1.01829782617632,-0.161322601002913,0.)); #128828=CARTESIAN_POINT('Ctrl Pts',(1.01829782617632,-0.161322601002913, 0.)); #128829=CARTESIAN_POINT('Ctrl Pts',(1.01944111889573,-0.157511625271532, 0.)); #128830=CARTESIAN_POINT('Ctrl Pts',(1.02275666778203,-0.153129003180444, 0.)); #128831=CARTESIAN_POINT('Ctrl Pts',(1.02896855822418,-0.150499429925791, 0.)); #128832=CARTESIAN_POINT('Ctrl Pts',(1.03274142419825,-0.150499429925791, 0.)); #128833=CARTESIAN_POINT('',(1.01829782617632,-0.161322601002913,0.01)); #128834=CARTESIAN_POINT('',(1.01829782617632,-0.161322601002913,0.)); #128835=CARTESIAN_POINT('Ctrl Pts',(1.01829782617632,-0.161322601002913, 0.01)); #128836=CARTESIAN_POINT('Ctrl Pts',(1.01944111889573,-0.157511625271532, 0.01)); #128837=CARTESIAN_POINT('Ctrl Pts',(1.02275666778203,-0.153129003180444, 0.01)); #128838=CARTESIAN_POINT('Ctrl Pts',(1.02896855822418,-0.150499429925791, 0.01)); #128839=CARTESIAN_POINT('Ctrl Pts',(1.03274142419825,-0.150499429925791, 0.01)); #128840=CARTESIAN_POINT('Origin',(1.01235270403536,-0.159683881438419,0.)); #128841=CARTESIAN_POINT('',(1.01235270403536,-0.159683881438419,0.)); #128842=CARTESIAN_POINT('',(0.456288830424888,-0.00640986499450295,0.)); #128843=CARTESIAN_POINT('',(1.01235270403536,-0.159683881438419,0.01)); #128844=CARTESIAN_POINT('',(1.01235270403536,-0.159683881438419,0.)); #128845=CARTESIAN_POINT('',(1.01235270403536,-0.159683881438419,0.01)); #128846=CARTESIAN_POINT('Ctrl Pts',(1.03277953395556,-0.144821076086034, 0.)); #128847=CARTESIAN_POINT('Ctrl Pts',(1.03277953395556,-0.144821076086034, 0.01)); #128848=CARTESIAN_POINT('Ctrl Pts',(1.027367948417,-0.144821076086034,0.)); #128849=CARTESIAN_POINT('Ctrl Pts',(1.027367948417,-0.144821076086034,0.01)); #128850=CARTESIAN_POINT('Ctrl Pts',(1.01860270423483,-0.148327173758904, 0.)); #128851=CARTESIAN_POINT('Ctrl Pts',(1.01860270423483,-0.148327173758904, 0.01)); #128852=CARTESIAN_POINT('Ctrl Pts',(1.01364843578403,-0.154615283715683, 0.)); #128853=CARTESIAN_POINT('Ctrl Pts',(1.01364843578403,-0.154615283715683, 0.01)); #128854=CARTESIAN_POINT('Ctrl Pts',(1.01235270403536,-0.159683881438419, 0.)); #128855=CARTESIAN_POINT('Ctrl Pts',(1.01235270403536,-0.159683881438419, 0.01)); #128856=CARTESIAN_POINT('',(1.03277953395556,-0.144821076086034,0.)); #128857=CARTESIAN_POINT('Ctrl Pts',(1.03277953395556,-0.144821076086034, 0.)); #128858=CARTESIAN_POINT('Ctrl Pts',(1.027367948417,-0.144821076086034,0.)); #128859=CARTESIAN_POINT('Ctrl Pts',(1.01860270423483,-0.148327173758904, 0.)); #128860=CARTESIAN_POINT('Ctrl Pts',(1.01364843578403,-0.154615283715683, 0.)); #128861=CARTESIAN_POINT('Ctrl Pts',(1.01235270403536,-0.159683881438419, 0.)); #128862=CARTESIAN_POINT('',(1.03277953395556,-0.144821076086034,0.01)); #128863=CARTESIAN_POINT('',(1.03277953395556,-0.144821076086034,0.)); #128864=CARTESIAN_POINT('Ctrl Pts',(1.03277953395556,-0.144821076086034, 0.01)); #128865=CARTESIAN_POINT('Ctrl Pts',(1.027367948417,-0.144821076086034,0.01)); #128866=CARTESIAN_POINT('Ctrl Pts',(1.01860270423483,-0.148327173758904, 0.01)); #128867=CARTESIAN_POINT('Ctrl Pts',(1.01364843578403,-0.154615283715683, 0.01)); #128868=CARTESIAN_POINT('Ctrl Pts',(1.01235270403536,-0.159683881438419, 0.01)); #128869=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.170926259845992, 0.)); #128870=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.170926259845992, 0.01)); #128871=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.163609186441741, 0.)); #128872=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.163609186441741, 0.01)); #128873=CARTESIAN_POINT('Ctrl Pts',(1.05172008334053,-0.150956747013557, 0.)); #128874=CARTESIAN_POINT('Ctrl Pts',(1.05172008334053,-0.150956747013557, 0.01)); #128875=CARTESIAN_POINT('Ctrl Pts',(1.04024904638907,-0.144821076086034, 0.)); #128876=CARTESIAN_POINT('Ctrl Pts',(1.04024904638907,-0.144821076086034, 0.01)); #128877=CARTESIAN_POINT('Ctrl Pts',(1.03277953395556,-0.144821076086034, 0.)); #128878=CARTESIAN_POINT('Ctrl Pts',(1.03277953395556,-0.144821076086034, 0.01)); #128879=CARTESIAN_POINT('',(1.05781764451074,-0.170926259845992,0.)); #128880=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.170926259845992, 0.)); #128881=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.163609186441741, 0.)); #128882=CARTESIAN_POINT('Ctrl Pts',(1.05172008334053,-0.150956747013557, 0.)); #128883=CARTESIAN_POINT('Ctrl Pts',(1.04024904638907,-0.144821076086034, 0.)); #128884=CARTESIAN_POINT('Ctrl Pts',(1.03277953395556,-0.144821076086034, 0.)); #128885=CARTESIAN_POINT('',(1.05781764451074,-0.170926259845992,0.01)); #128886=CARTESIAN_POINT('',(1.05781764451074,-0.170926259845992,0.)); #128887=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.170926259845992, 0.01)); #128888=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.163609186441741, 0.01)); #128889=CARTESIAN_POINT('Ctrl Pts',(1.05172008334053,-0.150956747013557, 0.01)); #128890=CARTESIAN_POINT('Ctrl Pts',(1.04024904638907,-0.144821076086034, 0.01)); #128891=CARTESIAN_POINT('Ctrl Pts',(1.03277953395556,-0.144821076086034, 0.01)); #128892=CARTESIAN_POINT('Ctrl Pts',(1.03228410711049,-0.19653601676087, 0.)); #128893=CARTESIAN_POINT('Ctrl Pts',(1.03228410711049,-0.19653601676087, 0.01)); #128894=CARTESIAN_POINT('Ctrl Pts',(1.03963929027205,-0.19653601676087, 0.)); #128895=CARTESIAN_POINT('Ctrl Pts',(1.03963929027205,-0.19653601676087, 0.01)); #128896=CARTESIAN_POINT('Ctrl Pts',(1.05168197358321,-0.190209797046778, 0.)); #128897=CARTESIAN_POINT('Ctrl Pts',(1.05168197358321,-0.190209797046778, 0.01)); #128898=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.178281443007557, 0.)); #128899=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.178281443007557, 0.01)); #128900=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.170926259845992, 0.)); #128901=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.170926259845992, 0.01)); #128902=CARTESIAN_POINT('',(1.03228410711049,-0.19653601676087,0.)); #128903=CARTESIAN_POINT('Ctrl Pts',(1.03228410711049,-0.19653601676087, 0.)); #128904=CARTESIAN_POINT('Ctrl Pts',(1.03963929027205,-0.19653601676087, 0.)); #128905=CARTESIAN_POINT('Ctrl Pts',(1.05168197358321,-0.190209797046778, 0.)); #128906=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.178281443007557, 0.)); #128907=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.170926259845992, 0.)); #128908=CARTESIAN_POINT('',(1.03228410711049,-0.19653601676087,0.01)); #128909=CARTESIAN_POINT('',(1.03228410711049,-0.19653601676087,0.)); #128910=CARTESIAN_POINT('Ctrl Pts',(1.03228410711049,-0.19653601676087, 0.01)); #128911=CARTESIAN_POINT('Ctrl Pts',(1.03963929027205,-0.19653601676087, 0.01)); #128912=CARTESIAN_POINT('Ctrl Pts',(1.05168197358321,-0.190209797046778, 0.01)); #128913=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.178281443007557, 0.01)); #128914=CARTESIAN_POINT('Ctrl Pts',(1.05781764451074,-0.170926259845992, 0.01)); #128915=CARTESIAN_POINT('Ctrl Pts',(1.01159050888909,-0.18872351651154, 0.)); #128916=CARTESIAN_POINT('Ctrl Pts',(1.01159050888909,-0.18872351651154, 0.01)); #128917=CARTESIAN_POINT('Ctrl Pts',(1.01646855782526,-0.192610711757548, 0.)); #128918=CARTESIAN_POINT('Ctrl Pts',(1.01646855782526,-0.192610711757548, 0.01)); #128919=CARTESIAN_POINT('Ctrl Pts',(1.02683441181461,-0.19653601676087, 0.)); #128920=CARTESIAN_POINT('Ctrl Pts',(1.02683441181461,-0.19653601676087, 0.01)); #128921=CARTESIAN_POINT('Ctrl Pts',(1.03228410711049,-0.19653601676087, 0.)); #128922=CARTESIAN_POINT('Ctrl Pts',(1.03228410711049,-0.19653601676087, 0.01)); #128923=CARTESIAN_POINT('',(1.01159050888909,-0.18872351651154,0.)); #128924=CARTESIAN_POINT('Ctrl Pts',(1.01159050888909,-0.18872351651154, 0.)); #128925=CARTESIAN_POINT('Ctrl Pts',(1.01646855782526,-0.192610711757548, 0.)); #128926=CARTESIAN_POINT('Ctrl Pts',(1.02683441181461,-0.19653601676087, 0.)); #128927=CARTESIAN_POINT('Ctrl Pts',(1.03228410711049,-0.19653601676087, 0.)); #128928=CARTESIAN_POINT('',(1.01159050888909,-0.18872351651154,0.01)); #128929=CARTESIAN_POINT('',(1.01159050888909,-0.18872351651154,0.)); #128930=CARTESIAN_POINT('Ctrl Pts',(1.01159050888909,-0.18872351651154, 0.01)); #128931=CARTESIAN_POINT('Ctrl Pts',(1.01646855782526,-0.192610711757548, 0.01)); #128932=CARTESIAN_POINT('Ctrl Pts',(1.02683441181461,-0.19653601676087, 0.01)); #128933=CARTESIAN_POINT('Ctrl Pts',(1.03228410711049,-0.19653601676087, 0.01)); #128934=CARTESIAN_POINT('Origin',(1.01159050888909,-0.17020217445703,0.)); #128935=CARTESIAN_POINT('',(1.01159050888909,-0.17020217445703,0.)); #128936=CARTESIAN_POINT('',(1.01159050888909,0.169318276135161,0.)); #128937=CARTESIAN_POINT('',(1.01159050888909,-0.17020217445703,0.01)); #128938=CARTESIAN_POINT('',(1.01159050888909,-0.17020217445703,0.)); #128939=CARTESIAN_POINT('',(1.01159050888909,-0.17020217445703,0.01)); #128940=CARTESIAN_POINT('Origin',(1.03274142419825,-0.17020217445703,0.)); #128941=CARTESIAN_POINT('',(1.03274142419825,-0.17020217445703,0.)); #128942=CARTESIAN_POINT('',(0.516370712099126,-0.17020217445703,0.)); #128943=CARTESIAN_POINT('',(1.03274142419825,-0.17020217445703,0.01)); #128944=CARTESIAN_POINT('',(1.03274142419825,-0.17020217445703,0.)); #128945=CARTESIAN_POINT('',(1.03274142419825,-0.17020217445703,0.01)); #128946=CARTESIAN_POINT('Origin',(1.03274142419825,-0.17610918684067,0.)); #128947=CARTESIAN_POINT('',(1.03274142419825,0.166364769943341,0.)); #128948=CARTESIAN_POINT('',(1.03274142419825,-0.17610918684067,0.01)); #128949=CARTESIAN_POINT('Origin',(1.03244285021856,-0.171498607902768,0.01)); #128950=CARTESIAN_POINT('Origin',(1.0869716088558,-0.180682357718327,0.)); #128951=CARTESIAN_POINT('',(1.0869716088558,-0.180682357718327,0.)); #128952=CARTESIAN_POINT('',(1.10587404848345,-0.180682357718327,0.)); #128953=CARTESIAN_POINT('',(0.543485804427899,-0.180682357718327,0.)); #128954=CARTESIAN_POINT('',(1.0869716088558,-0.180682357718327,0.01)); #128955=CARTESIAN_POINT('',(1.0869716088558,-0.180682357718327,0.)); #128956=CARTESIAN_POINT('',(1.10587404848345,-0.180682357718327,0.01)); #128957=CARTESIAN_POINT('',(1.0869716088558,-0.180682357718327,0.01)); #128958=CARTESIAN_POINT('',(1.10587404848345,-0.180682357718327,0.)); #128959=CARTESIAN_POINT('Origin',(1.0869716088558,-0.17450857703349,0.)); #128960=CARTESIAN_POINT('',(1.0869716088558,-0.17450857703349,0.)); #128961=CARTESIAN_POINT('',(1.0869716088558,0.167165074846931,0.)); #128962=CARTESIAN_POINT('',(1.0869716088558,-0.17450857703349,0.01)); #128963=CARTESIAN_POINT('',(1.0869716088558,-0.17450857703349,0.)); #128964=CARTESIAN_POINT('',(1.0869716088558,-0.17450857703349,0.01)); #128965=CARTESIAN_POINT('Origin',(1.10587404848345,-0.17450857703349,0.)); #128966=CARTESIAN_POINT('',(1.10587404848345,-0.17450857703349,0.)); #128967=CARTESIAN_POINT('',(0.552937024241723,-0.17450857703349,0.)); #128968=CARTESIAN_POINT('',(1.10587404848345,-0.17450857703349,0.01)); #128969=CARTESIAN_POINT('',(1.10587404848345,-0.17450857703349,0.)); #128970=CARTESIAN_POINT('',(1.10587404848345,-0.17450857703349,0.01)); #128971=CARTESIAN_POINT('Origin',(1.10587404848345,-0.180682357718327,0.)); #128972=CARTESIAN_POINT('',(1.10587404848345,0.164078184504513,0.)); #128973=CARTESIAN_POINT('',(1.10587404848345,-0.180682357718327,0.01)); #128974=CARTESIAN_POINT('Origin',(1.09642282866962,-0.177595467375908,0.01)); #128975=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.179843943057423, 0.)); #128976=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.179843943057423, 0.01)); #128977=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.18521741883867, 0.)); #128978=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.18521741883867, 0.01)); #128979=CARTESIAN_POINT('Ctrl Pts',(1.12309965878929,-0.191505528795448, 0.)); #128980=CARTESIAN_POINT('Ctrl Pts',(1.12309965878929,-0.191505528795448, 0.01)); #128981=CARTESIAN_POINT('Ctrl Pts',(1.12702496379261,-0.191505528795448, 0.)); #128982=CARTESIAN_POINT('Ctrl Pts',(1.12702496379261,-0.191505528795448, 0.01)); #128983=CARTESIAN_POINT('',(1.12702496379261,-0.191505528795448,0.)); #128984=CARTESIAN_POINT('',(1.11753563422147,-0.179843943057423,0.)); #128985=CARTESIAN_POINT('Ctrl Pts',(1.12702496379261,-0.191505528795448, 0.)); #128986=CARTESIAN_POINT('Ctrl Pts',(1.12309965878929,-0.191505528795448, 0.)); #128987=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.18521741883867, 0.)); #128988=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.179843943057423, 0.)); #128989=CARTESIAN_POINT('',(1.12702496379261,-0.191505528795448,0.01)); #128990=CARTESIAN_POINT('',(1.12702496379261,-0.191505528795448,0.)); #128991=CARTESIAN_POINT('',(1.11753563422147,-0.179843943057423,0.01)); #128992=CARTESIAN_POINT('Ctrl Pts',(1.12702496379261,-0.191505528795448, 0.01)); #128993=CARTESIAN_POINT('Ctrl Pts',(1.12309965878929,-0.191505528795448, 0.01)); #128994=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.18521741883867, 0.01)); #128995=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.179843943057423, 0.01)); #128996=CARTESIAN_POINT('',(1.11753563422147,-0.179843943057423,0.)); #128997=CARTESIAN_POINT('Ctrl Pts',(1.12725362233649,-0.168677784164477, 0.)); #128998=CARTESIAN_POINT('Ctrl Pts',(1.12725362233649,-0.168677784164477, 0.01)); #128999=CARTESIAN_POINT('Ctrl Pts',(1.12302343927466,-0.168677784164477, 0.)); #129000=CARTESIAN_POINT('Ctrl Pts',(1.12302343927466,-0.168677784164477, 0.01)); #129001=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.174661016062745, 0.)); #129002=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.174661016062745, 0.01)); #129003=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.179843943057423, 0.)); #129004=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.179843943057423, 0.01)); #129005=CARTESIAN_POINT('',(1.12725362233649,-0.168677784164477,0.)); #129006=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.179843943057423, 0.)); #129007=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.174661016062745, 0.)); #129008=CARTESIAN_POINT('Ctrl Pts',(1.12302343927466,-0.168677784164477, 0.)); #129009=CARTESIAN_POINT('Ctrl Pts',(1.12725362233649,-0.168677784164477, 0.)); #129010=CARTESIAN_POINT('',(1.12725362233649,-0.168677784164477,0.01)); #129011=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.179843943057423, 0.01)); #129012=CARTESIAN_POINT('Ctrl Pts',(1.11753563422147,-0.174661016062745, 0.01)); #129013=CARTESIAN_POINT('Ctrl Pts',(1.12302343927466,-0.168677784164477, 0.01)); #129014=CARTESIAN_POINT('Ctrl Pts',(1.12725362233649,-0.168677784164477, 0.01)); #129015=CARTESIAN_POINT('',(1.12725362233649,-0.168677784164477,0.)); #129016=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.179500955241599, 0.)); #129017=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.179500955241599, 0.01)); #129018=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.174661016062745, 0.)); #129019=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.174661016062745, 0.01)); #129020=CARTESIAN_POINT('Ctrl Pts',(1.1314075858837,-0.168677784164477, 0.)); #129021=CARTESIAN_POINT('Ctrl Pts',(1.1314075858837,-0.168677784164477, 0.01)); #129022=CARTESIAN_POINT('Ctrl Pts',(1.12725362233649,-0.168677784164477, 0.)); #129023=CARTESIAN_POINT('Ctrl Pts',(1.12725362233649,-0.168677784164477, 0.01)); #129024=CARTESIAN_POINT('',(1.1372002689954,-0.179500955241599,0.)); #129025=CARTESIAN_POINT('Ctrl Pts',(1.12725362233649,-0.168677784164477, 0.)); #129026=CARTESIAN_POINT('Ctrl Pts',(1.1314075858837,-0.168677784164477, 0.)); #129027=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.174661016062745, 0.)); #129028=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.179500955241599, 0.)); #129029=CARTESIAN_POINT('',(1.1372002689954,-0.179500955241599,0.01)); #129030=CARTESIAN_POINT('Ctrl Pts',(1.12725362233649,-0.168677784164477, 0.01)); #129031=CARTESIAN_POINT('Ctrl Pts',(1.1314075858837,-0.168677784164477, 0.01)); #129032=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.174661016062745, 0.01)); #129033=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.179500955241599, 0.01)); #129034=CARTESIAN_POINT('',(1.1372002689954,-0.179500955241599,0.)); #129035=CARTESIAN_POINT('Ctrl Pts',(1.12702496379261,-0.191505528795448, 0.)); #129036=CARTESIAN_POINT('Ctrl Pts',(1.12702496379261,-0.191505528795448, 0.01)); #129037=CARTESIAN_POINT('Ctrl Pts',(1.12969264680458,-0.191505528795448, 0.)); #129038=CARTESIAN_POINT('Ctrl Pts',(1.12969264680458,-0.191505528795448, 0.01)); #129039=CARTESIAN_POINT('Ctrl Pts',(1.13449447622612,-0.188456748210343, 0.)); #129040=CARTESIAN_POINT('Ctrl Pts',(1.13449447622612,-0.188456748210343, 0.01)); #129041=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.182664065098645, 0.)); #129042=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.182664065098645, 0.01)); #129043=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.179500955241599, 0.)); #129044=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.179500955241599, 0.01)); #129045=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.179500955241599, 0.)); #129046=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.182664065098645, 0.)); #129047=CARTESIAN_POINT('Ctrl Pts',(1.13449447622612,-0.188456748210343, 0.)); #129048=CARTESIAN_POINT('Ctrl Pts',(1.12969264680458,-0.191505528795448, 0.)); #129049=CARTESIAN_POINT('Ctrl Pts',(1.12702496379261,-0.191505528795448, 0.)); #129050=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.179500955241599, 0.01)); #129051=CARTESIAN_POINT('Ctrl Pts',(1.1372002689954,-0.182664065098645, 0.01)); #129052=CARTESIAN_POINT('Ctrl Pts',(1.13449447622612,-0.188456748210343, 0.01)); #129053=CARTESIAN_POINT('Ctrl Pts',(1.12969264680458,-0.191505528795448, 0.01)); #129054=CARTESIAN_POINT('Ctrl Pts',(1.12702496379261,-0.191505528795448, 0.01)); #129055=CARTESIAN_POINT('Ctrl Pts',(1.12630087840365,-0.145507051717683, 0.)); #129056=CARTESIAN_POINT('Ctrl Pts',(1.12630087840365,-0.145507051717683, 0.01)); #129057=CARTESIAN_POINT('Ctrl Pts',(1.12039386602001,-0.145507051717683, 0.)); #129058=CARTESIAN_POINT('Ctrl Pts',(1.12039386602001,-0.145507051717683, 0.01)); #129059=CARTESIAN_POINT('Ctrl Pts',(1.11284813407187,-0.152138149490285, 0.)); #129060=CARTESIAN_POINT('Ctrl Pts',(1.11284813407187,-0.152138149490285, 0.01)); #129061=CARTESIAN_POINT('Ctrl Pts',(1.1120859389256,-0.157968942359298, 0.)); #129062=CARTESIAN_POINT('Ctrl Pts',(1.1120859389256,-0.157968942359298, 0.01)); #129063=CARTESIAN_POINT('',(1.12630087840365,-0.145507051717683,0.)); #129064=CARTESIAN_POINT('',(1.1120859389256,-0.157968942359298,0.)); #129065=CARTESIAN_POINT('Ctrl Pts',(1.12630087840365,-0.145507051717683, 0.)); #129066=CARTESIAN_POINT('Ctrl Pts',(1.12039386602001,-0.145507051717683, 0.)); #129067=CARTESIAN_POINT('Ctrl Pts',(1.11284813407187,-0.152138149490285, 0.)); #129068=CARTESIAN_POINT('Ctrl Pts',(1.1120859389256,-0.157968942359298, 0.)); #129069=CARTESIAN_POINT('',(1.12630087840365,-0.145507051717683,0.01)); #129070=CARTESIAN_POINT('',(1.12630087840365,-0.145507051717683,0.)); #129071=CARTESIAN_POINT('',(1.1120859389256,-0.157968942359298,0.01)); #129072=CARTESIAN_POINT('Ctrl Pts',(1.12630087840365,-0.145507051717683, 0.01)); #129073=CARTESIAN_POINT('Ctrl Pts',(1.12039386602001,-0.145507051717683, 0.01)); #129074=CARTESIAN_POINT('Ctrl Pts',(1.11284813407187,-0.152138149490285, 0.01)); #129075=CARTESIAN_POINT('Ctrl Pts',(1.1120859389256,-0.157968942359298, 0.01)); #129076=CARTESIAN_POINT('',(1.1120859389256,-0.157968942359298,0.)); #129077=CARTESIAN_POINT('Ctrl Pts',(1.1388770983172,-0.151223515314754, 0.)); #129078=CARTESIAN_POINT('Ctrl Pts',(1.1388770983172,-0.151223515314754, 0.01)); #129079=CARTESIAN_POINT('Ctrl Pts',(1.13422770792492,-0.145507051717683, 0.)); #129080=CARTESIAN_POINT('Ctrl Pts',(1.13422770792492,-0.145507051717683, 0.01)); #129081=CARTESIAN_POINT('Ctrl Pts',(1.12630087840365,-0.145507051717683, 0.)); #129082=CARTESIAN_POINT('Ctrl Pts',(1.12630087840365,-0.145507051717683, 0.01)); #129083=CARTESIAN_POINT('',(1.1388770983172,-0.151223515314754,0.)); #129084=CARTESIAN_POINT('Ctrl Pts',(1.1388770983172,-0.151223515314754, 0.)); #129085=CARTESIAN_POINT('Ctrl Pts',(1.13422770792492,-0.145507051717683, 0.)); #129086=CARTESIAN_POINT('Ctrl Pts',(1.12630087840365,-0.145507051717683, 0.)); #129087=CARTESIAN_POINT('',(1.1388770983172,-0.151223515314754,0.01)); #129088=CARTESIAN_POINT('',(1.1388770983172,-0.151223515314754,0.)); #129089=CARTESIAN_POINT('Ctrl Pts',(1.1388770983172,-0.151223515314754, 0.01)); #129090=CARTESIAN_POINT('Ctrl Pts',(1.13422770792492,-0.145507051717683, 0.01)); #129091=CARTESIAN_POINT('Ctrl Pts',(1.12630087840365,-0.145507051717683, 0.01)); #129092=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.172298211109289, 0.)); #129093=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.172298211109289, 0.01)); #129094=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.157816503330042, 0.)); #129095=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.157816503330042, 0.01)); #129096=CARTESIAN_POINT('Ctrl Pts',(1.1388770983172,-0.151223515314754, 0.)); #129097=CARTESIAN_POINT('Ctrl Pts',(1.1388770983172,-0.151223515314754, 0.01)); #129098=CARTESIAN_POINT('',(1.14425057409845,-0.172298211109289,0.)); #129099=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.172298211109289, 0.)); #129100=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.157816503330042, 0.)); #129101=CARTESIAN_POINT('Ctrl Pts',(1.1388770983172,-0.151223515314754, 0.)); #129102=CARTESIAN_POINT('',(1.14425057409845,-0.172298211109289,0.01)); #129103=CARTESIAN_POINT('',(1.14425057409845,-0.172298211109289,0.)); #129104=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.172298211109289, 0.01)); #129105=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.157816503330042, 0.01)); #129106=CARTESIAN_POINT('Ctrl Pts',(1.1388770983172,-0.151223515314754, 0.01)); #129107=CARTESIAN_POINT('Ctrl Pts',(1.12687252476335,-0.19653601676087, 0.)); #129108=CARTESIAN_POINT('Ctrl Pts',(1.12687252476335,-0.19653601676087, 0.01)); #129109=CARTESIAN_POINT('Ctrl Pts',(1.13457069574074,-0.19653601676087, 0.)); #129110=CARTESIAN_POINT('Ctrl Pts',(1.13457069574074,-0.19653601676087, 0.01)); #129111=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.185255528595983, 0.)); #129112=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.185255528595983, 0.01)); #129113=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.172298211109289, 0.)); #129114=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.172298211109289, 0.01)); #129115=CARTESIAN_POINT('',(1.12687252476335,-0.19653601676087,0.)); #129116=CARTESIAN_POINT('Ctrl Pts',(1.12687252476335,-0.19653601676087, 0.)); #129117=CARTESIAN_POINT('Ctrl Pts',(1.13457069574074,-0.19653601676087, 0.)); #129118=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.185255528595983, 0.)); #129119=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.172298211109289, 0.)); #129120=CARTESIAN_POINT('',(1.12687252476335,-0.19653601676087,0.01)); #129121=CARTESIAN_POINT('',(1.12687252476335,-0.19653601676087,0.)); #129122=CARTESIAN_POINT('Ctrl Pts',(1.12687252476335,-0.19653601676087, 0.01)); #129123=CARTESIAN_POINT('Ctrl Pts',(1.13457069574074,-0.19653601676087, 0.01)); #129124=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.185255528595983, 0.01)); #129125=CARTESIAN_POINT('Ctrl Pts',(1.14425057409845,-0.172298211109289, 0.01)); #129126=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.179462845484285, 0.)); #129127=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.179462845484285, 0.01)); #129128=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.184150345633883, 0.)); #129129=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.184150345633883, 0.01)); #129130=CARTESIAN_POINT('Ctrl Pts',(1.11528715853996,-0.19222961418441, 0.)); #129131=CARTESIAN_POINT('Ctrl Pts',(1.11528715853996,-0.19222961418441, 0.01)); #129132=CARTESIAN_POINT('Ctrl Pts',(1.12237557340032,-0.19653601676087, 0.)); #129133=CARTESIAN_POINT('Ctrl Pts',(1.12237557340032,-0.19653601676087, 0.01)); #129134=CARTESIAN_POINT('Ctrl Pts',(1.12687252476335,-0.19653601676087, 0.)); #129135=CARTESIAN_POINT('Ctrl Pts',(1.12687252476335,-0.19653601676087, 0.01)); #129136=CARTESIAN_POINT('',(1.11120941450738,-0.179462845484285,0.)); #129137=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.179462845484285, 0.)); #129138=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.184150345633883, 0.)); #129139=CARTESIAN_POINT('Ctrl Pts',(1.11528715853996,-0.19222961418441, 0.)); #129140=CARTESIAN_POINT('Ctrl Pts',(1.12237557340032,-0.19653601676087, 0.)); #129141=CARTESIAN_POINT('Ctrl Pts',(1.12687252476335,-0.19653601676087, 0.)); #129142=CARTESIAN_POINT('',(1.11120941450738,-0.179462845484285,0.01)); #129143=CARTESIAN_POINT('',(1.11120941450738,-0.179462845484285,0.)); #129144=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.179462845484285, 0.01)); #129145=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.184150345633883, 0.01)); #129146=CARTESIAN_POINT('Ctrl Pts',(1.11528715853996,-0.19222961418441, 0.01)); #129147=CARTESIAN_POINT('Ctrl Pts',(1.12237557340032,-0.19653601676087, 0.01)); #129148=CARTESIAN_POINT('Ctrl Pts',(1.12687252476335,-0.19653601676087, 0.01)); #129149=CARTESIAN_POINT('Ctrl Pts',(1.12599600034514,-0.163266198625917, 0.)); #129150=CARTESIAN_POINT('Ctrl Pts',(1.12599600034514,-0.163266198625917, 0.01)); #129151=CARTESIAN_POINT('Ctrl Pts',(1.11986032941761,-0.163266198625917, 0.)); #129152=CARTESIAN_POINT('Ctrl Pts',(1.11986032941761,-0.163266198625917, 0.01)); #129153=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.172298211109289, 0.)); #129154=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.172298211109289, 0.01)); #129155=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.179462845484285, 0.)); #129156=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.179462845484285, 0.01)); #129157=CARTESIAN_POINT('',(1.12599600034514,-0.163266198625917,0.)); #129158=CARTESIAN_POINT('Ctrl Pts',(1.12599600034514,-0.163266198625917, 0.)); #129159=CARTESIAN_POINT('Ctrl Pts',(1.11986032941761,-0.163266198625917, 0.)); #129160=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.172298211109289, 0.)); #129161=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.179462845484285, 0.)); #129162=CARTESIAN_POINT('',(1.12599600034514,-0.163266198625917,0.01)); #129163=CARTESIAN_POINT('',(1.12599600034514,-0.163266198625917,0.)); #129164=CARTESIAN_POINT('Ctrl Pts',(1.12599600034514,-0.163266198625917, 0.01)); #129165=CARTESIAN_POINT('Ctrl Pts',(1.11986032941761,-0.163266198625917, 0.01)); #129166=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.172298211109289, 0.01)); #129167=CARTESIAN_POINT('Ctrl Pts',(1.11120941450738,-0.179462845484285, 0.01)); #129168=CARTESIAN_POINT('Ctrl Pts',(1.13815301292824,-0.169935406155833, 0.)); #129169=CARTESIAN_POINT('Ctrl Pts',(1.13815301292824,-0.169935406155833, 0.01)); #129170=CARTESIAN_POINT('Ctrl Pts',(1.13594264700404,-0.166543637754904, 0.)); #129171=CARTESIAN_POINT('Ctrl Pts',(1.13594264700404,-0.166543637754904, 0.01)); #129172=CARTESIAN_POINT('Ctrl Pts',(1.12950209801801,-0.163266198625917, 0.)); #129173=CARTESIAN_POINT('Ctrl Pts',(1.12950209801801,-0.163266198625917, 0.01)); #129174=CARTESIAN_POINT('Ctrl Pts',(1.12599600034514,-0.163266198625917, 0.)); #129175=CARTESIAN_POINT('Ctrl Pts',(1.12599600034514,-0.163266198625917, 0.01)); #129176=CARTESIAN_POINT('',(1.13815301292824,-0.169935406155833,0.)); #129177=CARTESIAN_POINT('Ctrl Pts',(1.13815301292824,-0.169935406155833, 0.)); #129178=CARTESIAN_POINT('Ctrl Pts',(1.13594264700404,-0.166543637754904, 0.)); #129179=CARTESIAN_POINT('Ctrl Pts',(1.12950209801801,-0.163266198625917, 0.)); #129180=CARTESIAN_POINT('Ctrl Pts',(1.12599600034514,-0.163266198625917, 0.)); #129181=CARTESIAN_POINT('',(1.13815301292824,-0.169935406155833,0.01)); #129182=CARTESIAN_POINT('',(1.13815301292824,-0.169935406155833,0.)); #129183=CARTESIAN_POINT('Ctrl Pts',(1.13815301292824,-0.169935406155833, 0.01)); #129184=CARTESIAN_POINT('Ctrl Pts',(1.13594264700404,-0.166543637754904, 0.01)); #129185=CARTESIAN_POINT('Ctrl Pts',(1.12950209801801,-0.163266198625917, 0.01)); #129186=CARTESIAN_POINT('Ctrl Pts',(1.12599600034514,-0.163266198625917, 0.01)); #129187=CARTESIAN_POINT('Ctrl Pts',(1.13182679321415,-0.152176259247599, 0.)); #129188=CARTESIAN_POINT('Ctrl Pts',(1.13182679321415,-0.152176259247599, 0.01)); #129189=CARTESIAN_POINT('Ctrl Pts',(1.13472313477,-0.154272295899858,0.)); #129190=CARTESIAN_POINT('Ctrl Pts',(1.13472313477,-0.154272295899858,0.01)); #129191=CARTESIAN_POINT('Ctrl Pts',(1.13807679341361,-0.162427783965013, 0.)); #129192=CARTESIAN_POINT('Ctrl Pts',(1.13807679341361,-0.162427783965013, 0.01)); #129193=CARTESIAN_POINT('Ctrl Pts',(1.13815301292824,-0.169935406155833, 0.)); #129194=CARTESIAN_POINT('Ctrl Pts',(1.13815301292824,-0.169935406155833, 0.01)); #129195=CARTESIAN_POINT('',(1.13182679321415,-0.152176259247599,0.)); #129196=CARTESIAN_POINT('Ctrl Pts',(1.13182679321415,-0.152176259247599, 0.)); #129197=CARTESIAN_POINT('Ctrl Pts',(1.13472313477,-0.154272295899858,0.)); #129198=CARTESIAN_POINT('Ctrl Pts',(1.13807679341361,-0.162427783965013, 0.)); #129199=CARTESIAN_POINT('Ctrl Pts',(1.13815301292824,-0.169935406155833, 0.)); #129200=CARTESIAN_POINT('',(1.13182679321415,-0.152176259247599,0.01)); #129201=CARTESIAN_POINT('',(1.13182679321415,-0.152176259247599,0.)); #129202=CARTESIAN_POINT('Ctrl Pts',(1.13182679321415,-0.152176259247599, 0.01)); #129203=CARTESIAN_POINT('Ctrl Pts',(1.13472313477,-0.154272295899858,0.01)); #129204=CARTESIAN_POINT('Ctrl Pts',(1.13807679341361,-0.162427783965013, 0.01)); #129205=CARTESIAN_POINT('Ctrl Pts',(1.13815301292824,-0.169935406155833, 0.01)); #129206=CARTESIAN_POINT('Ctrl Pts',(1.12668197597679,-0.150537539683105, 0.)); #129207=CARTESIAN_POINT('Ctrl Pts',(1.12668197597679,-0.150537539683105, 0.01)); #129208=CARTESIAN_POINT('Ctrl Pts',(1.12961642728995,-0.150537539683105, 0.)); #129209=CARTESIAN_POINT('Ctrl Pts',(1.12961642728995,-0.150537539683105, 0.01)); #129210=CARTESIAN_POINT('Ctrl Pts',(1.13182679321415,-0.152176259247599, 0.)); #129211=CARTESIAN_POINT('Ctrl Pts',(1.13182679321415,-0.152176259247599, 0.01)); #129212=CARTESIAN_POINT('',(1.12668197597679,-0.150537539683105,0.)); #129213=CARTESIAN_POINT('Ctrl Pts',(1.12668197597679,-0.150537539683105, 0.)); #129214=CARTESIAN_POINT('Ctrl Pts',(1.12961642728995,-0.150537539683105, 0.)); #129215=CARTESIAN_POINT('Ctrl Pts',(1.13182679321415,-0.152176259247599, 0.)); #129216=CARTESIAN_POINT('',(1.12668197597679,-0.150537539683105,0.01)); #129217=CARTESIAN_POINT('',(1.12668197597679,-0.150537539683105,0.)); #129218=CARTESIAN_POINT('Ctrl Pts',(1.12668197597679,-0.150537539683105, 0.01)); #129219=CARTESIAN_POINT('Ctrl Pts',(1.12961642728995,-0.150537539683105, 0.01)); #129220=CARTESIAN_POINT('Ctrl Pts',(1.13182679321415,-0.152176259247599, 0.01)); #129221=CARTESIAN_POINT('Ctrl Pts',(1.12050819529195,-0.153167112937758, 0.)); #129222=CARTESIAN_POINT('Ctrl Pts',(1.12050819529195,-0.153167112937758, 0.01)); #129223=CARTESIAN_POINT('Ctrl Pts',(1.12302343927466,-0.150537539683105, 0.)); #129224=CARTESIAN_POINT('Ctrl Pts',(1.12302343927466,-0.150537539683105, 0.01)); #129225=CARTESIAN_POINT('Ctrl Pts',(1.12668197597679,-0.150537539683105, 0.)); #129226=CARTESIAN_POINT('Ctrl Pts',(1.12668197597679,-0.150537539683105, 0.01)); #129227=CARTESIAN_POINT('',(1.12050819529195,-0.153167112937758,0.)); #129228=CARTESIAN_POINT('Ctrl Pts',(1.12050819529195,-0.153167112937758, 0.)); #129229=CARTESIAN_POINT('Ctrl Pts',(1.12302343927466,-0.150537539683105, 0.)); #129230=CARTESIAN_POINT('Ctrl Pts',(1.12668197597679,-0.150537539683105, 0.)); #129231=CARTESIAN_POINT('',(1.12050819529195,-0.153167112937758,0.01)); #129232=CARTESIAN_POINT('',(1.12050819529195,-0.153167112937758,0.)); #129233=CARTESIAN_POINT('Ctrl Pts',(1.12050819529195,-0.153167112937758, 0.01)); #129234=CARTESIAN_POINT('Ctrl Pts',(1.12302343927466,-0.150537539683105, 0.01)); #129235=CARTESIAN_POINT('Ctrl Pts',(1.12668197597679,-0.150537539683105, 0.01)); #129236=CARTESIAN_POINT('Ctrl Pts',(1.11818350009581,-0.158464369204377, 0.)); #129237=CARTESIAN_POINT('Ctrl Pts',(1.11818350009581,-0.158464369204377, 0.01)); #129238=CARTESIAN_POINT('Ctrl Pts',(1.11902191475671,-0.154843942259565, 0.)); #129239=CARTESIAN_POINT('Ctrl Pts',(1.11902191475671,-0.154843942259565, 0.01)); #129240=CARTESIAN_POINT('Ctrl Pts',(1.12050819529195,-0.153167112937758, 0.)); #129241=CARTESIAN_POINT('Ctrl Pts',(1.12050819529195,-0.153167112937758, 0.01)); #129242=CARTESIAN_POINT('',(1.11818350009581,-0.158464369204377,0.)); #129243=CARTESIAN_POINT('Ctrl Pts',(1.11818350009581,-0.158464369204377, 0.)); #129244=CARTESIAN_POINT('Ctrl Pts',(1.11902191475671,-0.154843942259565, 0.)); #129245=CARTESIAN_POINT('Ctrl Pts',(1.12050819529195,-0.153167112937758, 0.)); #129246=CARTESIAN_POINT('',(1.11818350009581,-0.158464369204377,0.01)); #129247=CARTESIAN_POINT('',(1.11818350009581,-0.158464369204377,0.)); #129248=CARTESIAN_POINT('Ctrl Pts',(1.11818350009581,-0.158464369204377, 0.01)); #129249=CARTESIAN_POINT('Ctrl Pts',(1.11902191475671,-0.154843942259565, 0.01)); #129250=CARTESIAN_POINT('Ctrl Pts',(1.12050819529195,-0.153167112937758, 0.01)); #129251=CARTESIAN_POINT('Origin',(1.1120859389256,-0.157968942359298,0.)); #129252=CARTESIAN_POINT('',(0.532778243861848,-0.11090019213537,0.)); #129253=CARTESIAN_POINT('',(1.1120859389256,-0.157968942359298,0.01)); #129254=CARTESIAN_POINT('Origin',(1.12809841038322,-0.167493570637088,0.01)); #129255=CARTESIAN_POINT('Origin',(1.16742130654524,-0.161322601002913,0.)); #129256=CARTESIAN_POINT('',(1.16216216003594,-0.175194552665138,0.)); #129257=CARTESIAN_POINT('',(1.16742130654524,-0.161322601002913,0.)); #129258=CARTESIAN_POINT('',(1.20513799010305,-0.0618380153866772,0.)); #129259=CARTESIAN_POINT('',(1.16216216003594,-0.175194552665138,0.01)); #129260=CARTESIAN_POINT('',(1.16216216003594,-0.175194552665138,0.)); #129261=CARTESIAN_POINT('',(1.16742130654524,-0.161322601002913,0.01)); #129262=CARTESIAN_POINT('',(1.16518716832267,-0.167215545300139,0.01)); #129263=CARTESIAN_POINT('',(1.16742130654524,-0.161322601002913,0.)); #129264=CARTESIAN_POINT('Ctrl Pts',(1.17092740421812,-0.150956747013557, 0.)); #129265=CARTESIAN_POINT('Ctrl Pts',(1.17092740421812,-0.150956747013557, 0.01)); #129266=CARTESIAN_POINT('Ctrl Pts',(1.1697841114987,-0.154996381288821, 0.)); #129267=CARTESIAN_POINT('Ctrl Pts',(1.1697841114987,-0.154996381288821, 0.01)); #129268=CARTESIAN_POINT('Ctrl Pts',(1.16742130654524,-0.161322601002913, 0.)); #129269=CARTESIAN_POINT('Ctrl Pts',(1.16742130654524,-0.161322601002913, 0.01)); #129270=CARTESIAN_POINT('',(1.17092740421812,-0.150956747013557,0.)); #129271=CARTESIAN_POINT('Ctrl Pts',(1.16742130654524,-0.161322601002913, 0.)); #129272=CARTESIAN_POINT('Ctrl Pts',(1.1697841114987,-0.154996381288821, 0.)); #129273=CARTESIAN_POINT('Ctrl Pts',(1.17092740421812,-0.150956747013557, 0.)); #129274=CARTESIAN_POINT('',(1.17092740421812,-0.150956747013557,0.01)); #129275=CARTESIAN_POINT('Ctrl Pts',(1.16742130654524,-0.161322601002913, 0.01)); #129276=CARTESIAN_POINT('Ctrl Pts',(1.1697841114987,-0.154996381288821, 0.01)); #129277=CARTESIAN_POINT('Ctrl Pts',(1.17092740421812,-0.150956747013557, 0.01)); #129278=CARTESIAN_POINT('',(1.17092740421812,-0.150956747013557,0.)); #129279=CARTESIAN_POINT('Ctrl Pts',(1.1736331969874,-0.160522296099323, 0.)); #129280=CARTESIAN_POINT('Ctrl Pts',(1.1736331969874,-0.160522296099323, 0.01)); #129281=CARTESIAN_POINT('Ctrl Pts',(1.17191825790827,-0.155758576435097, 0.)); #129282=CARTESIAN_POINT('Ctrl Pts',(1.17191825790827,-0.155758576435097, 0.01)); #129283=CARTESIAN_POINT('Ctrl Pts',(1.17092740421812,-0.150956747013557, 0.)); #129284=CARTESIAN_POINT('Ctrl Pts',(1.17092740421812,-0.150956747013557, 0.01)); #129285=CARTESIAN_POINT('',(1.1736331969874,-0.160522296099323,0.)); #129286=CARTESIAN_POINT('Ctrl Pts',(1.17092740421812,-0.150956747013557, 0.)); #129287=CARTESIAN_POINT('Ctrl Pts',(1.17191825790827,-0.155758576435097, 0.)); #129288=CARTESIAN_POINT('Ctrl Pts',(1.1736331969874,-0.160522296099323, 0.)); #129289=CARTESIAN_POINT('',(1.1736331969874,-0.160522296099323,0.01)); #129290=CARTESIAN_POINT('Ctrl Pts',(1.17092740421812,-0.150956747013557, 0.01)); #129291=CARTESIAN_POINT('Ctrl Pts',(1.17191825790827,-0.155758576435097, 0.01)); #129292=CARTESIAN_POINT('Ctrl Pts',(1.1736331969874,-0.160522296099323, 0.01)); #129293=CARTESIAN_POINT('',(1.1736331969874,-0.160522296099323,0.)); #129294=CARTESIAN_POINT('Origin',(1.1791591117979,-0.175194552665138,0.)); #129295=CARTESIAN_POINT('',(1.1791591117979,-0.175194552665138,0.)); #129296=CARTESIAN_POINT('',(0.993108954788629,0.318800691807741,0.)); #129297=CARTESIAN_POINT('',(1.1791591117979,-0.175194552665138,0.01)); #129298=CARTESIAN_POINT('',(1.17873534315819,-0.174069373863164,0.01)); #129299=CARTESIAN_POINT('',(1.1791591117979,-0.175194552665138,0.)); #129300=CARTESIAN_POINT('Origin',(1.16216216003594,-0.175194552665138,0.)); #129301=CARTESIAN_POINT('',(0.58108108001797,-0.175194552665138,0.)); #129302=CARTESIAN_POINT('',(1.16627584517709,-0.175194552665138,0.01)); #129303=CARTESIAN_POINT('Origin',(1.18655240471678,-0.195697602099967,0.)); #129304=CARTESIAN_POINT('',(1.18655240471678,-0.195697602099967,0.)); #129305=CARTESIAN_POINT('',(1.19356460006252,-0.195697602099967,0.)); #129306=CARTESIAN_POINT('',(0.593276202358388,-0.195697602099967,0.)); #129307=CARTESIAN_POINT('',(1.18655240471678,-0.195697602099967,0.01)); #129308=CARTESIAN_POINT('',(1.18655240471678,-0.195697602099967,0.)); #129309=CARTESIAN_POINT('',(1.19356460006252,-0.195697602099967,0.01)); #129310=CARTESIAN_POINT('',(1.18655240471678,-0.195697602099967,0.01)); #129311=CARTESIAN_POINT('',(1.19356460006252,-0.195697602099967,0.)); #129312=CARTESIAN_POINT('Origin',(1.18106459966359,-0.180568028446385,0.)); #129313=CARTESIAN_POINT('',(1.18106459966359,-0.180568028446385,0.)); #129314=CARTESIAN_POINT('',(1.00191026139115,0.313350529151937,0.)); #129315=CARTESIAN_POINT('',(1.18106459966359,-0.180568028446385,0.01)); #129316=CARTESIAN_POINT('',(1.18106459966359,-0.180568028446385,0.)); #129317=CARTESIAN_POINT('',(1.18106459966359,-0.180568028446385,0.01)); #129318=CARTESIAN_POINT('Origin',(1.16010423314099,-0.180568028446385,0.)); #129319=CARTESIAN_POINT('',(1.16010423314099,-0.180568028446385,0.)); #129320=CARTESIAN_POINT('',(0.580052116570497,-0.180568028446385,0.)); #129321=CARTESIAN_POINT('',(1.16010423314099,-0.180568028446385,0.01)); #129322=CARTESIAN_POINT('',(1.16010423314099,-0.180568028446385,0.)); #129323=CARTESIAN_POINT('',(1.16010423314099,-0.180568028446385,0.01)); #129324=CARTESIAN_POINT('Origin',(1.1543115500293,-0.195697602099967,0.)); #129325=CARTESIAN_POINT('',(1.1543115500293,-0.195697602099967,0.)); #129326=CARTESIAN_POINT('',(1.19815268535127,-0.0811914789234992,0.)); #129327=CARTESIAN_POINT('',(1.1543115500293,-0.195697602099967,0.01)); #129328=CARTESIAN_POINT('',(1.1543115500293,-0.195697602099967,0.)); #129329=CARTESIAN_POINT('',(1.1543115500293,-0.195697602099967,0.01)); #129330=CARTESIAN_POINT('Origin',(1.14676581808116,-0.195697602099967,0.)); #129331=CARTESIAN_POINT('',(1.14676581808116,-0.195697602099967,0.)); #129332=CARTESIAN_POINT('',(0.573382909040581,-0.195697602099967,0.)); #129333=CARTESIAN_POINT('',(1.14676581808116,-0.195697602099967,0.01)); #129334=CARTESIAN_POINT('',(1.14676581808116,-0.195697602099967,0.)); #129335=CARTESIAN_POINT('',(1.14676581808116,-0.195697602099967,0.01)); #129336=CARTESIAN_POINT('Origin',(1.16719264800136,-0.145697600504252,0.)); #129337=CARTESIAN_POINT('',(1.16719264800136,-0.145697600504252,0.)); #129338=CARTESIAN_POINT('',(1.19829854837961,-0.0695577846530141,0.)); #129339=CARTESIAN_POINT('',(1.16719264800136,-0.145697600504252,0.01)); #129340=CARTESIAN_POINT('',(1.16719264800136,-0.145697600504252,0.)); #129341=CARTESIAN_POINT('',(1.16719264800136,-0.145697600504252,0.01)); #129342=CARTESIAN_POINT('Origin',(1.17435728237636,-0.145697600504252,0.)); #129343=CARTESIAN_POINT('',(1.17435728237636,-0.145697600504252,0.)); #129344=CARTESIAN_POINT('',(0.587178641188179,-0.145697600504252,0.)); #129345=CARTESIAN_POINT('',(1.17435728237636,-0.145697600504252,0.01)); #129346=CARTESIAN_POINT('',(1.17435728237636,-0.145697600504252,0.)); #129347=CARTESIAN_POINT('',(1.17435728237636,-0.145697600504252,0.01)); #129348=CARTESIAN_POINT('Origin',(1.19356460006252,-0.195697602099967,0.)); #129349=CARTESIAN_POINT('',(0.99890191988931,0.311043343112823,0.)); #129350=CARTESIAN_POINT('',(1.19356460006252,-0.195697602099967,0.01)); #129351=CARTESIAN_POINT('Origin',(1.17038953031824,-0.175927822097649,0.01)); #129352=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.160369857070067, 0.)); #129353=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.160369857070067, 0.01)); #129354=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.164714369403841, 0.)); #129355=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.164714369403841, 0.01)); #129356=CARTESIAN_POINT('Ctrl Pts',(1.20873228347341,-0.169478089068067, 0.)); #129357=CARTESIAN_POINT('Ctrl Pts',(1.20873228347341,-0.169478089068067, 0.01)); #129358=CARTESIAN_POINT('Ctrl Pts',(1.21517283245945,-0.169478089068067, 0.)); #129359=CARTESIAN_POINT('Ctrl Pts',(1.21517283245945,-0.169478089068067, 0.01)); #129360=CARTESIAN_POINT('',(1.21517283245945,-0.169478089068067,0.)); #129361=CARTESIAN_POINT('',(1.20335880769216,-0.160369857070067,0.)); #129362=CARTESIAN_POINT('Ctrl Pts',(1.21517283245945,-0.169478089068067, 0.)); #129363=CARTESIAN_POINT('Ctrl Pts',(1.20873228347341,-0.169478089068067, 0.)); #129364=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.164714369403841, 0.)); #129365=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.160369857070067, 0.)); #129366=CARTESIAN_POINT('',(1.21517283245945,-0.169478089068067,0.01)); #129367=CARTESIAN_POINT('',(1.21517283245945,-0.169478089068067,0.)); #129368=CARTESIAN_POINT('',(1.20335880769216,-0.160369857070067,0.01)); #129369=CARTESIAN_POINT('Ctrl Pts',(1.21517283245945,-0.169478089068067, 0.01)); #129370=CARTESIAN_POINT('Ctrl Pts',(1.20873228347341,-0.169478089068067, 0.01)); #129371=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.164714369403841, 0.01)); #129372=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.160369857070067, 0.01)); #129373=CARTESIAN_POINT('',(1.20335880769216,-0.160369857070067,0.)); #129374=CARTESIAN_POINT('Ctrl Pts',(1.20911338104655,-0.152061929975657, 0.)); #129375=CARTESIAN_POINT('Ctrl Pts',(1.20911338104655,-0.152061929975657, 0.01)); #129376=CARTESIAN_POINT('Ctrl Pts',(1.20652191754921,-0.152747905607306, 0.)); #129377=CARTESIAN_POINT('Ctrl Pts',(1.20652191754921,-0.152747905607306, 0.01)); #129378=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.157244856970335, 0.)); #129379=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.157244856970335, 0.01)); #129380=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.160369857070067, 0.)); #129381=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.160369857070067, 0.01)); #129382=CARTESIAN_POINT('',(1.20911338104655,-0.152061929975657,0.)); #129383=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.160369857070067, 0.)); #129384=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.157244856970335, 0.)); #129385=CARTESIAN_POINT('Ctrl Pts',(1.20652191754921,-0.152747905607306, 0.)); #129386=CARTESIAN_POINT('Ctrl Pts',(1.20911338104655,-0.152061929975657, 0.)); #129387=CARTESIAN_POINT('',(1.20911338104655,-0.152061929975657,0.01)); #129388=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.160369857070067, 0.01)); #129389=CARTESIAN_POINT('Ctrl Pts',(1.20335880769216,-0.157244856970335, 0.01)); #129390=CARTESIAN_POINT('Ctrl Pts',(1.20652191754921,-0.152747905607306, 0.01)); #129391=CARTESIAN_POINT('Ctrl Pts',(1.20911338104655,-0.152061929975657, 0.01)); #129392=CARTESIAN_POINT('',(1.20911338104655,-0.152061929975657,0.)); #129393=CARTESIAN_POINT('Ctrl Pts',(1.21528716173139,-0.151604612887892, 0.)); #129394=CARTESIAN_POINT('Ctrl Pts',(1.21528716173139,-0.151604612887892, 0.01)); #129395=CARTESIAN_POINT('Ctrl Pts',(1.21079021036836,-0.151604612887892, 0.)); #129396=CARTESIAN_POINT('Ctrl Pts',(1.21079021036836,-0.151604612887892, 0.01)); #129397=CARTESIAN_POINT('Ctrl Pts',(1.20911338104655,-0.152061929975657, 0.)); #129398=CARTESIAN_POINT('Ctrl Pts',(1.20911338104655,-0.152061929975657, 0.01)); #129399=CARTESIAN_POINT('',(1.21528716173139,-0.151604612887892,0.)); #129400=CARTESIAN_POINT('Ctrl Pts',(1.20911338104655,-0.152061929975657, 0.)); #129401=CARTESIAN_POINT('Ctrl Pts',(1.21079021036836,-0.151604612887892, 0.)); #129402=CARTESIAN_POINT('Ctrl Pts',(1.21528716173139,-0.151604612887892, 0.)); #129403=CARTESIAN_POINT('',(1.21528716173139,-0.151604612887892,0.01)); #129404=CARTESIAN_POINT('Ctrl Pts',(1.20911338104655,-0.152061929975657, 0.01)); #129405=CARTESIAN_POINT('Ctrl Pts',(1.21079021036836,-0.151604612887892, 0.01)); #129406=CARTESIAN_POINT('Ctrl Pts',(1.21528716173139,-0.151604612887892, 0.01)); #129407=CARTESIAN_POINT('',(1.21528716173139,-0.151604612887892,0.)); #129408=CARTESIAN_POINT('Origin',(1.22809204018883,-0.151604612887892,0.)); #129409=CARTESIAN_POINT('',(1.22809204018883,-0.151604612887892,0.)); #129410=CARTESIAN_POINT('',(0.614046020094413,-0.151604612887892,0.)); #129411=CARTESIAN_POINT('',(1.22809204018883,-0.151604612887892,0.01)); #129412=CARTESIAN_POINT('',(1.22543647751435,-0.151604612887892,0.01)); #129413=CARTESIAN_POINT('',(1.22809204018883,-0.151604612887892,0.)); #129414=CARTESIAN_POINT('Origin',(1.22809204018883,-0.169478089068067,0.)); #129415=CARTESIAN_POINT('',(1.22809204018883,-0.169478089068067,0.)); #129416=CARTESIAN_POINT('',(1.22809204018883,0.169680318829642,0.)); #129417=CARTESIAN_POINT('',(1.22809204018883,-0.169478089068067,0.01)); #129418=CARTESIAN_POINT('',(1.22809204018883,-0.16883559551102,0.01)); #129419=CARTESIAN_POINT('',(1.22809204018883,-0.169478089068067,0.)); #129420=CARTESIAN_POINT('Origin',(1.21517283245945,-0.169478089068067,0.)); #129421=CARTESIAN_POINT('',(0.607586416229723,-0.169478089068067,0.)); #129422=CARTESIAN_POINT('',(1.21897687364966,-0.169478089068067,0.01)); #129423=CARTESIAN_POINT('Origin',(1.22809204018883,-0.195697602099967,0.)); #129424=CARTESIAN_POINT('',(1.22809204018883,-0.195697602099967,0.)); #129425=CARTESIAN_POINT('',(1.23472313796143,-0.195697602099967,0.)); #129426=CARTESIAN_POINT('',(0.614046020094413,-0.195697602099967,0.)); #129427=CARTESIAN_POINT('',(1.22809204018883,-0.195697602099967,0.01)); #129428=CARTESIAN_POINT('',(1.22809204018883,-0.195697602099967,0.)); #129429=CARTESIAN_POINT('',(1.23472313796143,-0.195697602099967,0.01)); #129430=CARTESIAN_POINT('',(1.22809204018883,-0.195697602099967,0.01)); #129431=CARTESIAN_POINT('',(1.23472313796143,-0.195697602099967,0.)); #129432=CARTESIAN_POINT('Origin',(1.22809204018883,-0.175385101451707,0.)); #129433=CARTESIAN_POINT('',(1.22809204018883,-0.175385101451707,0.)); #129434=CARTESIAN_POINT('',(1.22809204018883,0.166726812637822,0.)); #129435=CARTESIAN_POINT('',(1.22809204018883,-0.175385101451707,0.01)); #129436=CARTESIAN_POINT('',(1.22809204018883,-0.175385101451707,0.)); #129437=CARTESIAN_POINT('',(1.22809204018883,-0.175385101451707,0.01)); #129438=CARTESIAN_POINT('Origin',(1.21524905197407,-0.175385101451707,0.)); #129439=CARTESIAN_POINT('',(1.21524905197407,-0.175385101451707,0.)); #129440=CARTESIAN_POINT('',(0.607624525987036,-0.175385101451707,0.)); #129441=CARTESIAN_POINT('',(1.21524905197407,-0.175385101451707,0.01)); #129442=CARTESIAN_POINT('',(1.21524905197407,-0.175385101451707,0.)); #129443=CARTESIAN_POINT('',(1.21524905197407,-0.175385101451707,0.01)); #129444=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.160179308283498, 0.)); #129445=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.160179308283498, 0.01)); #129446=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.166543637754904, 0.)); #129447=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.166543637754904, 0.01)); #129448=CARTESIAN_POINT('Ctrl Pts',(1.20465453944083,-0.175385101451707, 0.)); #129449=CARTESIAN_POINT('Ctrl Pts',(1.20465453944083,-0.175385101451707, 0.01)); #129450=CARTESIAN_POINT('Ctrl Pts',(1.21524905197407,-0.175385101451707, 0.)); #129451=CARTESIAN_POINT('Ctrl Pts',(1.21524905197407,-0.175385101451707, 0.01)); #129452=CARTESIAN_POINT('',(1.19653716113299,-0.160179308283498,0.)); #129453=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.160179308283498, 0.)); #129454=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.166543637754904, 0.)); #129455=CARTESIAN_POINT('Ctrl Pts',(1.20465453944083,-0.175385101451707, 0.)); #129456=CARTESIAN_POINT('Ctrl Pts',(1.21524905197407,-0.175385101451707, 0.)); #129457=CARTESIAN_POINT('',(1.19653716113299,-0.160179308283498,0.01)); #129458=CARTESIAN_POINT('',(1.19653716113299,-0.160179308283498,0.)); #129459=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.160179308283498, 0.01)); #129460=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.166543637754904, 0.01)); #129461=CARTESIAN_POINT('Ctrl Pts',(1.20465453944083,-0.175385101451707, 0.01)); #129462=CARTESIAN_POINT('Ctrl Pts',(1.21524905197407,-0.175385101451707, 0.01)); #129463=CARTESIAN_POINT('Ctrl Pts',(1.20823685662833,-0.146193027349331, 0.)); #129464=CARTESIAN_POINT('Ctrl Pts',(1.20823685662833,-0.146193027349331, 0.01)); #129465=CARTESIAN_POINT('Ctrl Pts',(1.20454021016889,-0.146802783466352, 0.)); #129466=CARTESIAN_POINT('Ctrl Pts',(1.20454021016889,-0.146802783466352, 0.01)); #129467=CARTESIAN_POINT('Ctrl Pts',(1.1995859417181,-0.150232661624595, 0.)); #129468=CARTESIAN_POINT('Ctrl Pts',(1.1995859417181,-0.150232661624595, 0.01)); #129469=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.156444552066745, 0.)); #129470=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.156444552066745, 0.01)); #129471=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.160179308283498, 0.)); #129472=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.160179308283498, 0.01)); #129473=CARTESIAN_POINT('',(1.20823685662833,-0.146193027349331,0.)); #129474=CARTESIAN_POINT('Ctrl Pts',(1.20823685662833,-0.146193027349331, 0.)); #129475=CARTESIAN_POINT('Ctrl Pts',(1.20454021016889,-0.146802783466352, 0.)); #129476=CARTESIAN_POINT('Ctrl Pts',(1.1995859417181,-0.150232661624595, 0.)); #129477=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.156444552066745, 0.)); #129478=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.160179308283498, 0.)); #129479=CARTESIAN_POINT('',(1.20823685662833,-0.146193027349331,0.01)); #129480=CARTESIAN_POINT('',(1.20823685662833,-0.146193027349331,0.)); #129481=CARTESIAN_POINT('Ctrl Pts',(1.20823685662833,-0.146193027349331, 0.01)); #129482=CARTESIAN_POINT('Ctrl Pts',(1.20454021016889,-0.146802783466352, 0.01)); #129483=CARTESIAN_POINT('Ctrl Pts',(1.1995859417181,-0.150232661624595, 0.01)); #129484=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.156444552066745, 0.01)); #129485=CARTESIAN_POINT('Ctrl Pts',(1.19653716113299,-0.160179308283498, 0.01)); #129486=CARTESIAN_POINT('Ctrl Pts',(1.21585880809109,-0.145697600504252, 0.)); #129487=CARTESIAN_POINT('Ctrl Pts',(1.21585880809109,-0.145697600504252, 0.01)); #129488=CARTESIAN_POINT('Ctrl Pts',(1.21086642988298,-0.145697600504252, 0.)); #129489=CARTESIAN_POINT('Ctrl Pts',(1.21086642988298,-0.145697600504252, 0.01)); #129490=CARTESIAN_POINT('Ctrl Pts',(1.20823685662833,-0.146193027349331, 0.)); #129491=CARTESIAN_POINT('Ctrl Pts',(1.20823685662833,-0.146193027349331, 0.01)); #129492=CARTESIAN_POINT('',(1.21585880809109,-0.145697600504252,0.)); #129493=CARTESIAN_POINT('Ctrl Pts',(1.21585880809109,-0.145697600504252, 0.)); #129494=CARTESIAN_POINT('Ctrl Pts',(1.21086642988298,-0.145697600504252, 0.)); #129495=CARTESIAN_POINT('Ctrl Pts',(1.20823685662833,-0.146193027349331, 0.)); #129496=CARTESIAN_POINT('',(1.21585880809109,-0.145697600504252,0.01)); #129497=CARTESIAN_POINT('',(1.21585880809109,-0.145697600504252,0.)); #129498=CARTESIAN_POINT('Ctrl Pts',(1.21585880809109,-0.145697600504252, 0.01)); #129499=CARTESIAN_POINT('Ctrl Pts',(1.21086642988298,-0.145697600504252, 0.01)); #129500=CARTESIAN_POINT('Ctrl Pts',(1.20823685662833,-0.146193027349331, 0.01)); #129501=CARTESIAN_POINT('Origin',(1.23472313796143,-0.145697600504252,0.)); #129502=CARTESIAN_POINT('',(1.23472313796143,-0.145697600504252,0.)); #129503=CARTESIAN_POINT('',(0.617361568980714,-0.145697600504252,0.)); #129504=CARTESIAN_POINT('',(1.23472313796143,-0.145697600504252,0.01)); #129505=CARTESIAN_POINT('',(1.23472313796143,-0.145697600504252,0.)); #129506=CARTESIAN_POINT('',(1.23472313796143,-0.145697600504252,0.01)); #129507=CARTESIAN_POINT('Origin',(1.23472313796143,-0.195697602099967,0.)); #129508=CARTESIAN_POINT('',(1.23472313796143,0.156570562313693,0.)); #129509=CARTESIAN_POINT('',(1.23472313796143,-0.195697602099967,0.01)); #129510=CARTESIAN_POINT('Origin',(1.22278091483987,-0.168193101953972,0.01)); #129511=CARTESIAN_POINT('Origin',(-0.998590004020511,0.0719909999999802, 0.)); #129512=CARTESIAN_POINT('',(-0.998590004020511,0.0719909999999802,0.)); #129513=CARTESIAN_POINT('',(-1.03458550402098,0.0359955000001006,0.)); #129514=CARTESIAN_POINT('',(-0.639730571329452,0.430850432685162,0.)); #129515=CARTESIAN_POINT('',(-0.998590004020511,0.0719909999999802,0.01)); #129516=CARTESIAN_POINT('',(-0.998590004020511,0.0719909999999802,0.)); #129517=CARTESIAN_POINT('',(-1.03458550402098,0.0359955000001006,0.01)); #129518=CARTESIAN_POINT('',(-1.03458550402098,0.0359955000001006,0.01)); #129519=CARTESIAN_POINT('',(-1.03458550402098,0.0359955000001006,0.)); #129520=CARTESIAN_POINT('Origin',(-0.962594504021091,0.0359955000001279, 0.)); #129521=CARTESIAN_POINT('',(-0.962594504021091,0.0359955000001279,0.)); #129522=CARTESIAN_POINT('',(-0.840156684700514,-0.0864423193219196,0.)); #129523=CARTESIAN_POINT('',(-0.962594504021091,0.0359955000001279,0.01)); #129524=CARTESIAN_POINT('',(-0.962594504021091,0.0359955000001279,0.)); #129525=CARTESIAN_POINT('',(-0.998590004020511,0.0719909999999802,0.01)); #129526=CARTESIAN_POINT('Origin',(-0.98509173337357,0.0359954999999376, 0.)); #129527=CARTESIAN_POINT('',(-0.98509173337357,0.0359954999999376,0.)); #129528=CARTESIAN_POINT('',(-0.492545866684786,0.0359955000041023,0.)); #129529=CARTESIAN_POINT('',(-0.98509173337357,0.0359954999999376,0.01)); #129530=CARTESIAN_POINT('',(-0.98509173337357,0.0359954999999376,0.)); #129531=CARTESIAN_POINT('',(-0.962594504021091,0.0359955000001279,0.01)); #129532=CARTESIAN_POINT('Origin',(-0.98509173337379,-0.0539932500000061, 0.)); #129533=CARTESIAN_POINT('',(-0.98509173337379,-0.0539932500000061,0.)); #129534=CARTESIAN_POINT('',(-0.985091733373103,0.227422738364875,0.)); #129535=CARTESIAN_POINT('',(-0.98509173337379,-0.0539932500000061,0.01)); #129536=CARTESIAN_POINT('',(-0.98509173337379,-0.0539932500000061,0.)); #129537=CARTESIAN_POINT('',(-0.98509173337357,0.0359954999999376,0.01)); #129538=CARTESIAN_POINT('Origin',(-1.01208827466785,-0.0539932499998788, 0.)); #129539=CARTESIAN_POINT('',(-1.01208827466785,-0.0539932499998788,0.)); #129540=CARTESIAN_POINT('',(-0.506044137335251,-0.0539932500022647,0.)); #129541=CARTESIAN_POINT('',(-1.01208827466785,-0.0539932499998788,0.01)); #129542=CARTESIAN_POINT('',(-1.01208827466785,-0.0539932499998788,0.)); #129543=CARTESIAN_POINT('',(-0.98509173337379,-0.0539932500000061,0.01)); #129544=CARTESIAN_POINT('Origin',(-1.01208827466763,0.0359955000000572, 0.)); #129545=CARTESIAN_POINT('',(-1.01208827466763,0.0359955000000572,0.)); #129546=CARTESIAN_POINT('',(-1.01208827466706,0.272417113364924,0.)); #129547=CARTESIAN_POINT('',(-1.01208827466763,0.0359955000000572,0.01)); #129548=CARTESIAN_POINT('',(-1.01208827466763,0.0359955000000572,0.)); #129549=CARTESIAN_POINT('',(-1.01208827466785,-0.0539932499998788,0.01)); #129550=CARTESIAN_POINT('Origin',(-1.03458550402098,0.0359955000001006, 0.)); #129551=CARTESIAN_POINT('',(-0.517292752010946,0.0359954999991036,0.)); #129552=CARTESIAN_POINT('',(-1.01208827466763,0.0359955000000572,0.01)); #129553=CARTESIAN_POINT('Origin',(-0.99859000402085,0.0114180268311255, 0.01)); #129554=CARTESIAN_POINT('Origin',(-0.966194096054562,-0.035995500000073, 0.)); #129555=CARTESIAN_POINT('',(-0.966194096054562,-0.035995500000073,0.)); #129556=CARTESIAN_POINT('',(-0.958095108555084,-0.0359954999999261,0.)); #129557=CARTESIAN_POINT('',(-0.483097048022341,-0.0359954999913127,0.)); #129558=CARTESIAN_POINT('',(-0.966194096054562,-0.035995500000073,0.01)); #129559=CARTESIAN_POINT('',(-0.966194096054562,-0.035995500000073,0.)); #129560=CARTESIAN_POINT('',(-0.958095108555084,-0.0359954999999261,0.01)); #129561=CARTESIAN_POINT('',(-0.966194096054562,-0.035995500000073,0.01)); #129562=CARTESIAN_POINT('',(-0.958095108555084,-0.0359954999999261,0.)); #129563=CARTESIAN_POINT('Origin',(-0.9661940960553,0.0179977500001304,0.)); #129564=CARTESIAN_POINT('',(-0.9661940960553,0.0179977500001304,0.)); #129565=CARTESIAN_POINT('',(-0.966194096058657,0.263418238357134,0.)); #129566=CARTESIAN_POINT('',(-0.9661940960553,0.0179977500001304,0.01)); #129567=CARTESIAN_POINT('',(-0.9661940960553,0.0179977500001304,0.)); #129568=CARTESIAN_POINT('',(-0.9661940960553,0.0179977500001304,0.01)); #129569=CARTESIAN_POINT('Origin',(-0.958095108554953,0.0179977500000353, 0.)); #129570=CARTESIAN_POINT('',(-0.958095108554953,0.0179977500000353,0.)); #129571=CARTESIAN_POINT('',(-0.479047554280359,0.0179977499944095,0.)); #129572=CARTESIAN_POINT('',(-0.958095108554953,0.0179977500000353,0.01)); #129573=CARTESIAN_POINT('',(-0.958095108554953,0.0179977500000353,0.)); #129574=CARTESIAN_POINT('',(-0.958095108554953,0.0179977500000353,0.01)); #129575=CARTESIAN_POINT('Origin',(-0.949996121055155,0.00995620308637294, 0.)); #129576=CARTESIAN_POINT('',(-0.949996121055155,0.00995620308637294,0.)); #129577=CARTESIAN_POINT('',(-0.835524171136267,-0.103703875484454,0.)); #129578=CARTESIAN_POINT('',(-0.949996121055155,0.00995620308637294,0.01)); #129579=CARTESIAN_POINT('',(-0.949996121055155,0.00995620308637294,0.)); #129580=CARTESIAN_POINT('',(-0.949996121055155,0.00995620308637294,0.01)); #129581=CARTESIAN_POINT('Origin',(-0.949996121054695,0.000765860538043657, 0.)); #129582=CARTESIAN_POINT('',(-0.949996121054695,0.000765860538043657,0.)); #129583=CARTESIAN_POINT('',(-0.949996121067415,0.254802293608914,0.)); #129584=CARTESIAN_POINT('',(-0.949996121054695,0.000765860538043657,0.01)); #129585=CARTESIAN_POINT('',(-0.949996121054695,0.000765860538043657,0.)); #129586=CARTESIAN_POINT('',(-0.949996121054695,0.000765860538043657,0.01)); #129587=CARTESIAN_POINT('Origin',(-0.958095108554833,0.00880741067004635, 0.)); #129588=CARTESIAN_POINT('',(-0.958095108554833,0.00880741067004635,0.)); #129589=CARTESIAN_POINT('',(-0.841871287723821,-0.106592160168853,0.)); #129590=CARTESIAN_POINT('',(-0.958095108554833,0.00880741067004635,0.01)); #129591=CARTESIAN_POINT('',(-0.958095108554833,0.00880741067004635,0.)); #129592=CARTESIAN_POINT('',(-0.958095108554833,0.00880741067004635,0.01)); #129593=CARTESIAN_POINT('Origin',(-0.958095108555084,-0.0359954999999261, 0.)); #129594=CARTESIAN_POINT('',(-0.958095108553554,0.236421613366405,0.)); #129595=CARTESIAN_POINT('',(-0.958095108555084,-0.0359954999999261,0.01)); #129596=CARTESIAN_POINT('Origin',(-0.960380769312214,-0.00664762856236303, 0.01)); #129597=CARTESIAN_POINT('Origin',(-0.917316852517537,0.0985510054039815, 0.)); #129598=CARTESIAN_POINT('',(-0.917316852517537,0.0985510054039815,0.)); #129599=CARTESIAN_POINT('',(-0.894915397181891,0.137351464207582,0.)); #129600=CARTESIAN_POINT('',(-0.7138223485643,0.451013825300894,0.)); #129601=CARTESIAN_POINT('',(-0.917316852517537,0.0985510054039815,0.01)); #129602=CARTESIAN_POINT('',(-0.917316852517537,0.0985510054039815,0.)); #129603=CARTESIAN_POINT('',(-0.894915397181891,0.137351464207582,0.01)); #129604=CARTESIAN_POINT('',(-0.917316852517537,0.0985510054039815,0.01)); #129605=CARTESIAN_POINT('',(-0.894915397181891,0.137351464207582,0.)); #129606=CARTESIAN_POINT('Origin',(-0.922302586990869,0.101429520544409, 0.)); #129607=CARTESIAN_POINT('',(-0.922302586990869,0.101429520544409,0.)); #129608=CARTESIAN_POINT('',(-0.664645797452505,-0.0473286962614281,0.)); #129609=CARTESIAN_POINT('',(-0.922302586990869,0.101429520544409,0.01)); #129610=CARTESIAN_POINT('',(-0.922302586990869,0.101429520544409,0.)); #129611=CARTESIAN_POINT('',(-0.922302586990869,0.101429520544409,0.01)); #129612=CARTESIAN_POINT('Origin',(-0.895305961990989,0.148189046677378, 0.)); #129613=CARTESIAN_POINT('',(-0.895305961990989,0.148189046677378,0.)); #129614=CARTESIAN_POINT('',(-0.705309770545907,0.4772721035069,0.)); #129615=CARTESIAN_POINT('',(-0.895305961990989,0.148189046677378,0.01)); #129616=CARTESIAN_POINT('',(-0.895305961990989,0.148189046677378,0.)); #129617=CARTESIAN_POINT('',(-0.895305961990989,0.148189046677378,0.01)); #129618=CARTESIAN_POINT('Origin',(-0.890320227517677,0.145310531536863, 0.)); #129619=CARTESIAN_POINT('',(-0.890320227517677,0.145310531536863,0.)); #129620=CARTESIAN_POINT('',(-0.635156305222453,-0.00200842770003931,0.)); #129621=CARTESIAN_POINT('',(-0.890320227517677,0.145310531536863,0.01)); #129622=CARTESIAN_POINT('',(-0.890320227517677,0.145310531536863,0.)); #129623=CARTESIAN_POINT('',(-0.890320227517677,0.145310531536863,0.01)); #129624=CARTESIAN_POINT('Origin',(-0.889355266499797,0.135467832483011, 0.)); #129625=CARTESIAN_POINT('',(-0.889355266499797,0.135467832483011,0.)); #129626=CARTESIAN_POINT('',(-0.903250013576448,0.277195644664724,0.)); #129627=CARTESIAN_POINT('',(-0.889355266499797,0.135467832483011,0.01)); #129628=CARTESIAN_POINT('',(-0.889355266499797,0.135467832483011,0.)); #129629=CARTESIAN_POINT('',(-0.889355266499797,0.135467832483011,0.01)); #129630=CARTESIAN_POINT('Origin',(-0.89395043777434,0.127508762366986,0.)); #129631=CARTESIAN_POINT('',(-0.89395043777434,0.127508762366986,0.)); #129632=CARTESIAN_POINT('',(-0.699646273937163,0.464053446214385,0.)); #129633=CARTESIAN_POINT('',(-0.89395043777434,0.127508762366986,0.01)); #129634=CARTESIAN_POINT('',(-0.89395043777434,0.127508762366986,0.)); #129635=CARTESIAN_POINT('',(-0.89395043777434,0.127508762366986,0.01)); #129636=CARTESIAN_POINT('Origin',(-0.894915397181891,0.137351464207582, 0.)); #129637=CARTESIAN_POINT('',(-0.908692205016399,0.277876558599165,0.)); #129638=CARTESIAN_POINT('',(-0.894915397181891,0.137351464207582,0.01)); #129639=CARTESIAN_POINT('Origin',(-0.904234531502381,0.124572371412649, 0.01)); #129640=CARTESIAN_POINT('Origin',(-0.865712998718352,0.0168728894180651, 0.)); #129641=CARTESIAN_POINT('',(-0.866861791134851,0.011248594688636,0.)); #129642=CARTESIAN_POINT('',(-0.865712998718352,0.0168728894180651,0.)); #129643=CARTESIAN_POINT('',(-0.800146243350547,0.337876711644399,0.)); #129644=CARTESIAN_POINT('',(-0.866861791134851,0.011248594688636,0.01)); #129645=CARTESIAN_POINT('',(-0.866861791134851,0.011248594688636,0.)); #129646=CARTESIAN_POINT('',(-0.865712998718352,0.0168728894180651,0.01)); #129647=CARTESIAN_POINT('',(-0.865861816969827,0.0161443002531346,0.01)); #129648=CARTESIAN_POINT('',(-0.865712998718352,0.0168728894180651,0.)); #129649=CARTESIAN_POINT('Origin',(-0.863415413885781,0.0202474675430443, 0.)); #129650=CARTESIAN_POINT('',(-0.863415413885781,0.0202474675430443,0.)); #129651=CARTESIAN_POINT('',(-0.613032107118639,0.387997993181305,0.)); #129652=CARTESIAN_POINT('',(-0.863415413885781,0.0202474675430443,0.01)); #129653=CARTESIAN_POINT('',(-0.862688638853156,0.0213149184994177,0.01)); #129654=CARTESIAN_POINT('',(-0.863415413885781,0.0202474675430443,0.)); #129655=CARTESIAN_POINT('Origin',(-0.856522658584407,0.0247469039704719, 0.)); #129656=CARTESIAN_POINT('',(-0.856522658584407,0.0247469039704719,0.)); #129657=CARTESIAN_POINT('',(-0.445432393431547,0.293097430445864,0.)); #129658=CARTESIAN_POINT('',(-0.856522658584407,0.0247469039704719,0.01)); #129659=CARTESIAN_POINT('',(-0.855785645960236,0.0252280093200277,0.01)); #129660=CARTESIAN_POINT('',(-0.856522658584407,0.0247469039704719,0.)); #129661=CARTESIAN_POINT('Origin',(-0.849629902477718,0.0258717654909452, 0.)); #129662=CARTESIAN_POINT('',(-0.849629902477718,0.0258717654909452,0.)); #129663=CARTESIAN_POINT('',(-0.397448840293603,0.0996653350391288,0.)); #129664=CARTESIAN_POINT('',(-0.849629902477718,0.0258717654909452,0.01)); #129665=CARTESIAN_POINT('',(-0.848833926850307,0.0260016645241694,0.01)); #129666=CARTESIAN_POINT('',(-0.849629902477718,0.0258717654909452,0.)); #129667=CARTESIAN_POINT('Origin',(-0.841588355563699,0.0258717654908564, 0.)); #129668=CARTESIAN_POINT('',(-0.841588355563699,0.0258717654908564,0.)); #129669=CARTESIAN_POINT('',(-0.420794177784517,0.0258717654862088,0.)); #129670=CARTESIAN_POINT('',(-0.841588355563699,0.0258717654908564,0.01)); #129671=CARTESIAN_POINT('',(-0.843598742225655,0.0258717654908786,0.01)); #129672=CARTESIAN_POINT('',(-0.841588355563699,0.0258717654908564,0.)); #129673=CARTESIAN_POINT('Origin',(-0.834695601066734,0.0247469039704942, 0.)); #129674=CARTESIAN_POINT('',(-0.834695601066734,0.0247469039704942,0.)); #129675=CARTESIAN_POINT('',(-0.466650405050807,-0.0353161490418761,0.)); #129676=CARTESIAN_POINT('',(-0.834695601066734,0.0247469039704942,0.01)); #129677=CARTESIAN_POINT('',(-0.838937953532189,0.0254392337272416,0.01)); #129678=CARTESIAN_POINT('',(-0.834695601066734,0.0247469039704942,0.)); #129679=CARTESIAN_POINT('Origin',(-0.827802843350602,0.0202474675431387, 0.)); #129680=CARTESIAN_POINT('',(-0.827802843350602,0.0202474675431387,0.)); #129681=CARTESIAN_POINT('',(-0.649394956701283,-0.096213167978507,0.)); #129682=CARTESIAN_POINT('',(-0.827802843350602,0.0202474675431387,0.01)); #129683=CARTESIAN_POINT('',(-0.831986235411881,0.0229782913158028,0.01)); #129684=CARTESIAN_POINT('',(-0.827802843350602,0.0202474675431387,0.)); #129685=CARTESIAN_POINT('Origin',(-0.825505258518269,0.0168728894181798, 0.)); #129686=CARTESIAN_POINT('',(-0.825505258518269,0.0168728894181798,0.)); #129687=CARTESIAN_POINT('',(-0.809204506896688,-0.00706884238143562,0.)); #129688=CARTESIAN_POINT('',(-0.825505258518269,0.0168728894181798,0.01)); #129689=CARTESIAN_POINT('',(-0.827380826052123,0.019627629562072,0.01)); #129690=CARTESIAN_POINT('',(-0.825505258518269,0.0168728894181798,0.)); #129691=CARTESIAN_POINT('Origin',(-0.824356466102318,0.0112485946887549, 0.)); #129692=CARTESIAN_POINT('',(-0.824356466102318,0.0112485946887549,0.)); #129693=CARTESIAN_POINT('',(-0.856631490776032,0.169261694918136,0.)); #129694=CARTESIAN_POINT('',(-0.824356466102318,0.0112485946887549,0.01)); #129695=CARTESIAN_POINT('',(-0.824782044069473,0.0133321529403494,0.01)); #129696=CARTESIAN_POINT('',(-0.824356466102318,0.0112485946887549,0.)); #129697=CARTESIAN_POINT('Origin',(-0.825505258518482,0.00562429674098677, 0.)); #129698=CARTESIAN_POINT('',(-0.825505258518482,0.00562429674098677,0.)); #129699=CARTESIAN_POINT('',(-0.759640910667597,0.328085266396313,0.)); #129700=CARTESIAN_POINT('',(-0.825505258518482,0.00562429674098677,0.01)); #129701=CARTESIAN_POINT('',(-0.825356439983036,0.0063528877133185,0.01)); #129702=CARTESIAN_POINT('',(-0.825505258518482,0.00562429674098677,0.)); #129703=CARTESIAN_POINT('Origin',(-0.82780284335105,0.00224971861600652, 0.)); #129704=CARTESIAN_POINT('',(-0.82780284335105,0.00224971861600652,0.)); #129705=CARTESIAN_POINT('',(-0.57887308639635,0.36786534271339,0.)); #129706=CARTESIAN_POINT('',(-0.82780284335105,0.00224971861600652,0.01)); #129707=CARTESIAN_POINT('',(-0.828529618130523,0.0011822680314487,0.01)); #129708=CARTESIAN_POINT('',(-0.82780284335105,0.00224971861600652,0.)); #129709=CARTESIAN_POINT('Origin',(-0.834695601066271,-0.00224971781137981, 0.)); #129710=CARTESIAN_POINT('',(-0.834695601066271,-0.00224971781137981,0.)); #129711=CARTESIAN_POINT('',(-0.425079315409913,0.265138534188665,0.)); #129712=CARTESIAN_POINT('',(-0.834695601066271,-0.00224971781137981,0.01)); #129713=CARTESIAN_POINT('',(-0.835432613936145,-0.00273082315283704,0.01)); #129714=CARTESIAN_POINT('',(-0.834695601066271,-0.00224971781137981,0.)); #129715=CARTESIAN_POINT('Origin',(-0.841588355564018,-0.00337457933180002, 0.)); #129716=CARTESIAN_POINT('',(-0.841588355564018,-0.00337457933180002,0.)); #129717=CARTESIAN_POINT('',(-0.390999240344298,0.0701592100112743,0.)); #129718=CARTESIAN_POINT('',(-0.841588355564018,-0.00337457933180002,0.01)); #129719=CARTESIAN_POINT('',(-0.842384330665256,-0.00350447830947109,0.01)); #129720=CARTESIAN_POINT('',(-0.841588355564018,-0.00337457933180002,0.)); #129721=CARTESIAN_POINT('Origin',(-0.849629902477169,-0.00337457933195318, 0.)); #129722=CARTESIAN_POINT('',(-0.849629902477169,-0.00337457933195318,0.)); #129723=CARTESIAN_POINT('',(-0.424814951233707,-0.00337457932386219,0.)); #129724=CARTESIAN_POINT('',(-0.849629902477169,-0.00337457933195318,0.01)); #129725=CARTESIAN_POINT('',(-0.847619515682332,-0.00337457933191489,0.01)); #129726=CARTESIAN_POINT('',(-0.849629902477169,-0.00337457933195318,0.)); #129727=CARTESIAN_POINT('Origin',(-0.856522658583944,-0.00224971781140289, 0.)); #129728=CARTESIAN_POINT('',(-0.856522658583944,-0.00224971781140289,0.)); #129729=CARTESIAN_POINT('',(-0.479992742799792,-0.0636974184177418,0.)); #129730=CARTESIAN_POINT('',(-0.856522658583944,-0.00224971781140289,0.01)); #129731=CARTESIAN_POINT('',(-0.852280305019139,-0.00294204758598011,0.01)); #129732=CARTESIAN_POINT('',(-0.856522658583944,-0.00224971781140289,0.)); #129733=CARTESIAN_POINT('Origin',(-0.863415413886227,0.00224971861591036, 0.)); #129734=CARTESIAN_POINT('',(-0.863415413886227,0.00224971861591036,0.)); #129735=CARTESIAN_POINT('',(-0.676640823324269,-0.119672554787975,0.)); #129736=CARTESIAN_POINT('',(-0.863415413886227,0.00224971861591036,0.01)); #129737=CARTESIAN_POINT('',(-0.859232023943543,-0.000481104730094324,0.01)); #129738=CARTESIAN_POINT('',(-0.863415413886227,0.00224971861591036,0.)); #129739=CARTESIAN_POINT('Origin',(-0.865712998718563,0.00562429674087068, 0.)); #129740=CARTESIAN_POINT('',(-0.865712998718563,0.00562429674087068,0.)); #129741=CARTESIAN_POINT('',(-0.845661112452934,-0.0238269147242552,0.)); #129742=CARTESIAN_POINT('',(-0.865712998718563,0.00562429674087068,0.01)); #129743=CARTESIAN_POINT('',(-0.863837431608482,0.00286955721939679,0.01)); #129744=CARTESIAN_POINT('',(-0.865712998718563,0.00562429674087068,0.)); #129745=CARTESIAN_POINT('Origin',(-0.866861791134851,0.011248594688636, 0.)); #129746=CARTESIAN_POINT('',(-0.898285653107429,0.16509463327434,0.)); #129747=CARTESIAN_POINT('',(-0.866436213472919,0.00916503673971293,0.01)); #129748=CARTESIAN_POINT('Origin',(-0.868010583953705,-0.00112485950903528, 0.)); #129749=CARTESIAN_POINT('',(-0.868010583953705,-0.00112485950903528,0.)); #129750=CARTESIAN_POINT('',(-0.864564206302252,-0.00449943763414631,0.)); #129751=CARTESIAN_POINT('',(-0.773902614737671,-0.0932722462532594,0.)); #129752=CARTESIAN_POINT('',(-0.868010583953705,-0.00112485950903528,0.01)); #129753=CARTESIAN_POINT('',(-0.868010583953705,-0.00112485950903528,0.)); #129754=CARTESIAN_POINT('',(-0.864564206302252,-0.00449943763414631,0.01)); #129755=CARTESIAN_POINT('',(-0.868010583953705,-0.00112485950903528,0.01)); #129756=CARTESIAN_POINT('',(-0.864564206302252,-0.00449943763414631,0.)); #129757=CARTESIAN_POINT('Origin',(-0.871456961504283,0.00449943843875448, 0.)); #129758=CARTESIAN_POINT('',(-0.871456961504283,0.00449943843875448,0.)); #129759=CARTESIAN_POINT('',(-0.864850374450294,-0.00628214699822599,0.)); #129760=CARTESIAN_POINT('',(-0.871456961504283,0.00449943843875448,0.01)); #129761=CARTESIAN_POINT('',(-0.871456961504283,0.00449943843875448,0.)); #129762=CARTESIAN_POINT('',(-0.871456961504283,0.00449943843875448,0.01)); #129763=CARTESIAN_POINT('Origin',(-0.872605754020455,0.0112485946885814, 0.)); #129764=CARTESIAN_POINT('',(-0.872605754020455,0.0112485946885814,0.)); #129765=CARTESIAN_POINT('',(-0.901476655736131,0.18086514772959,0.)); #129766=CARTESIAN_POINT('',(-0.872605754020455,0.0112485946885814,0.01)); #129767=CARTESIAN_POINT('',(-0.872605754020455,0.0112485946885814,0.)); #129768=CARTESIAN_POINT('',(-0.872605754020455,0.0112485946885814,0.01)); #129769=CARTESIAN_POINT('Origin',(-0.871456961503816,0.0179977509386328, 0.)); #129770=CARTESIAN_POINT('',(-0.871456961503816,0.0179977509386328,0.)); #129771=CARTESIAN_POINT('',(-0.818590827883052,0.328586295845159,0.)); #129772=CARTESIAN_POINT('',(-0.871456961503816,0.0179977509386328,0.01)); #129773=CARTESIAN_POINT('',(-0.871456961503816,0.0179977509386328,0.)); #129774=CARTESIAN_POINT('',(-0.871456961503816,0.0179977509386328,0.01)); #129775=CARTESIAN_POINT('Origin',(-0.868010583953362,0.0236220488863757, 0.)); #129776=CARTESIAN_POINT('',(-0.868010583953362,0.0236220488863757,0.)); #129777=CARTESIAN_POINT('',(-0.641454901638717,0.393348418222817,0.)); #129778=CARTESIAN_POINT('',(-0.868010583953362,0.0236220488863757,0.01)); #129779=CARTESIAN_POINT('',(-0.868010583953362,0.0236220488863757,0.)); #129780=CARTESIAN_POINT('',(-0.868010583953362,0.0236220488863757,0.01)); #129781=CARTESIAN_POINT('Origin',(-0.864564206302549,0.0269966270114284, 0.)); #129782=CARTESIAN_POINT('',(-0.864564206302549,0.0269966270114284,0.)); #129783=CARTESIAN_POINT('',(-0.523439488448448,0.361014580764559,0.)); #129784=CARTESIAN_POINT('',(-0.864564206302549,0.0269966270114284,0.01)); #129785=CARTESIAN_POINT('',(-0.864564206302549,0.0269966270114284,0.)); #129786=CARTESIAN_POINT('',(-0.864564206302549,0.0269966270114284,0.01)); #129787=CARTESIAN_POINT('Origin',(-0.859969035833012,0.0292463436159307, 0.)); #129788=CARTESIAN_POINT('',(-0.859969035833012,0.0292463436159307,0.)); #129789=CARTESIAN_POINT('',(-0.4184199233149,0.245421211712893,0.)); #129790=CARTESIAN_POINT('',(-0.859969035833012,0.0292463436159307,0.01)); #129791=CARTESIAN_POINT('',(-0.859969035833012,0.0292463436159307,0.)); #129792=CARTESIAN_POINT('',(-0.859969035833012,0.0292463436159307,0.01)); #129793=CARTESIAN_POINT('Origin',(-0.856522658584375,0.03037120513646,0.)); #129794=CARTESIAN_POINT('',(-0.856522658584375,0.03037120513646,0.)); #129795=CARTESIAN_POINT('',(-0.398925787741413,0.179726042053843,0.)); #129796=CARTESIAN_POINT('',(-0.856522658584375,0.03037120513646,0.01)); #129797=CARTESIAN_POINT('',(-0.856522658584375,0.03037120513646,0.)); #129798=CARTESIAN_POINT('',(-0.856522658584375,0.03037120513646,0.01)); #129799=CARTESIAN_POINT('Origin',(-0.850778694893669,0.0314960602203603, 0.)); #129800=CARTESIAN_POINT('',(-0.850778694893669,0.0314960602203603,0.)); #129801=CARTESIAN_POINT('',(-0.396087321772118,0.120539433438213,0.)); #129802=CARTESIAN_POINT('',(-0.850778694893669,0.0314960602203603,0.01)); #129803=CARTESIAN_POINT('',(-0.850778694893669,0.0314960602203603,0.)); #129804=CARTESIAN_POINT('',(-0.850778694893669,0.0314960602203603,0.01)); #129805=CARTESIAN_POINT('Origin',(-0.840439563147197,0.0314960602202953, 0.)); #129806=CARTESIAN_POINT('',(-0.840439563147197,0.0314960602202953,0.)); #129807=CARTESIAN_POINT('',(-0.4202197815751,0.0314960602176518,0.)); #129808=CARTESIAN_POINT('',(-0.840439563147197,0.0314960602202953,0.01)); #129809=CARTESIAN_POINT('',(-0.840439563147197,0.0314960602202953,0.)); #129810=CARTESIAN_POINT('',(-0.840439563147197,0.0314960602202953,0.01)); #129811=CARTESIAN_POINT('Origin',(-0.834695601066702,0.0303712051364838, 0.)); #129812=CARTESIAN_POINT('',(-0.834695601066702,0.0303712051364838,0.)); #129813=CARTESIAN_POINT('',(-0.477881538180017,-0.039504623949582,0.)); #129814=CARTESIAN_POINT('',(-0.834695601066702,0.0303712051364838,0.01)); #129815=CARTESIAN_POINT('',(-0.834695601066702,0.0303712051364838,0.)); #129816=CARTESIAN_POINT('',(-0.834695601066702,0.0303712051364838,0.01)); #129817=CARTESIAN_POINT('Origin',(-0.83124922059923,0.0292463436158538, 0.)); #129818=CARTESIAN_POINT('',(-0.83124922059923,0.0292463436158538,0.)); #129819=CARTESIAN_POINT('',(-0.526370246990959,-0.070262874561873,0.)); #129820=CARTESIAN_POINT('',(-0.83124922059923,0.0292463436158538,0.01)); #129821=CARTESIAN_POINT('',(-0.83124922059923,0.0292463436158538,0.)); #129822=CARTESIAN_POINT('',(-0.83124922059923,0.0292463436158538,0.01)); #129823=CARTESIAN_POINT('Origin',(-0.826654050934048,0.0269966270113046, 0.)); #129824=CARTESIAN_POINT('',(-0.826654050934048,0.0269966270113046,0.)); #129825=CARTESIAN_POINT('',(-0.588388153753005,-0.0896542878063943,0.)); #129826=CARTESIAN_POINT('',(-0.826654050934048,0.0269966270113046,0.01)); #129827=CARTESIAN_POINT('',(-0.826654050934048,0.0269966270113046,0.)); #129828=CARTESIAN_POINT('',(-0.826654050934048,0.0269966270113046,0.01)); #129829=CARTESIAN_POINT('Origin',(-0.823207673685922,0.0236220488864639, 0.)); #129830=CARTESIAN_POINT('',(-0.823207673685922,0.0236220488864639,0.)); #129831=CARTESIAN_POINT('',(-0.734350878800918,-0.0633835731549004,0.)); #129832=CARTESIAN_POINT('',(-0.823207673685922,0.0236220488864639,0.01)); #129833=CARTESIAN_POINT('',(-0.823207673685922,0.0236220488864639,0.)); #129834=CARTESIAN_POINT('',(-0.823207673685922,0.0236220488864639,0.01)); #129835=CARTESIAN_POINT('Origin',(-0.81976129643718,0.0179977509387133, 0.)); #129836=CARTESIAN_POINT('',(-0.81976129643718,0.0179977509387133,0.)); #129837=CARTESIAN_POINT('',(-0.817204021658061,0.0138244192765219,0.)); #129838=CARTESIAN_POINT('',(-0.81976129643718,0.0179977509387133,0.01)); #129839=CARTESIAN_POINT('',(-0.81976129643718,0.0179977509387133,0.)); #129840=CARTESIAN_POINT('',(-0.81976129643718,0.0179977509387133,0.01)); #129841=CARTESIAN_POINT('Origin',(-0.818612504020755,0.0112485946886604, 0.)); #129842=CARTESIAN_POINT('',(-0.818612504020755,0.0112485946886604,0.)); #129843=CARTESIAN_POINT('',(-0.848243538004992,0.185330940072308,0.)); #129844=CARTESIAN_POINT('',(-0.818612504020755,0.0112485946886604,0.01)); #129845=CARTESIAN_POINT('',(-0.818612504020755,0.0112485946886604,0.)); #129846=CARTESIAN_POINT('',(-0.818612504020755,0.0112485946886604,0.01)); #129847=CARTESIAN_POINT('Origin',(-0.81976129643678,0.00449943843860203, 0.)); #129848=CARTESIAN_POINT('',(-0.81976129643678,0.00449943843860203,0.)); #129849=CARTESIAN_POINT('',(-0.76650650941012,0.317371349579975,0.)); #129850=CARTESIAN_POINT('',(-0.81976129643678,0.00449943843860203,0.01)); #129851=CARTESIAN_POINT('',(-0.81976129643678,0.00449943843860203,0.)); #129852=CARTESIAN_POINT('',(-0.81976129643678,0.00449943843860203,0.01)); #129853=CARTESIAN_POINT('Origin',(-0.823207673685396,-0.00112485950918074, 0.)); #129854=CARTESIAN_POINT('',(-0.823207673685396,-0.00112485950918074,0.)); #129855=CARTESIAN_POINT('',(-0.597254935062923,0.367617571297056,0.)); #129856=CARTESIAN_POINT('',(-0.823207673685396,-0.00112485950918074,0.01)); #129857=CARTESIAN_POINT('',(-0.823207673685396,-0.00112485950918074,0.)); #129858=CARTESIAN_POINT('',(-0.823207673685396,-0.00112485950918074,0.01)); #129859=CARTESIAN_POINT('Origin',(-0.826654050934623,-0.00449943763404665, 0.)); #129860=CARTESIAN_POINT('',(-0.826654050934623,-0.00449943763404665,0.)); #129861=CARTESIAN_POINT('',(-0.487334129249835,0.32775135856235,0.)); #129862=CARTESIAN_POINT('',(-0.826654050934623,-0.00449943763404665,0.01)); #129863=CARTESIAN_POINT('',(-0.826654050934623,-0.00449943763404665,0.)); #129864=CARTESIAN_POINT('',(-0.826654050934623,-0.00449943763404665,0.01)); #129865=CARTESIAN_POINT('Origin',(-0.831249220599083,-0.00674915584780556, 0.)); #129866=CARTESIAN_POINT('',(-0.831249220599083,-0.00674915584780556,0.)); #129867=CARTESIAN_POINT('',(-0.394175893421006,0.20723463511114,0.)); #129868=CARTESIAN_POINT('',(-0.831249220599083,-0.00674915584780556,0.01)); #129869=CARTESIAN_POINT('',(-0.831249220599083,-0.00674915584780556,0.)); #129870=CARTESIAN_POINT('',(-0.831249220599083,-0.00674915584780556,0.01)); #129871=CARTESIAN_POINT('Origin',(-0.834695601066642,-0.00787401575903075, 0.)); #129872=CARTESIAN_POINT('',(-0.834695601066642,-0.00787401575903075,0.)); #129873=CARTESIAN_POINT('',(-0.381321009719813,0.140102363336287,0.)); #129874=CARTESIAN_POINT('',(-0.834695601066642,-0.00787401575903075,0.01)); #129875=CARTESIAN_POINT('',(-0.834695601066642,-0.00787401575903075,0.)); #129876=CARTESIAN_POINT('',(-0.834695601066642,-0.00787401575903075,0.01)); #129877=CARTESIAN_POINT('Origin',(-0.840439563147899,-0.00899887567039442, 0.)); #129878=CARTESIAN_POINT('',(-0.840439563147899,-0.00899887567039442,0.)); #129879=CARTESIAN_POINT('',(-0.386908087238182,0.0798177579660099,0.)); #129880=CARTESIAN_POINT('',(-0.840439563147899,-0.00899887567039442,0.01)); #129881=CARTESIAN_POINT('',(-0.840439563147899,-0.00899887567039442,0.)); #129882=CARTESIAN_POINT('',(-0.840439563147899,-0.00899887567039442,0.01)); #129883=CARTESIAN_POINT('Origin',(-0.850778694893499,-0.00899887567055317, 0.)); #129884=CARTESIAN_POINT('',(-0.850778694893499,-0.00899887567055317,0.)); #129885=CARTESIAN_POINT('',(-0.425389347442774,-0.00899887566402148,0.)); #129886=CARTESIAN_POINT('',(-0.850778694893499,-0.00899887567055317,0.01)); #129887=CARTESIAN_POINT('',(-0.850778694893499,-0.00899887567055317,0.)); #129888=CARTESIAN_POINT('',(-0.850778694893499,-0.00899887567055317,0.01)); #129889=CARTESIAN_POINT('Origin',(-0.856522658584314,-0.00787401575905348, 0.)); #129890=CARTESIAN_POINT('',(-0.856522658584314,-0.00787401575905348,0.)); #129891=CARTESIAN_POINT('',(-0.492804969420255,-0.0791020857237422,0.)); #129892=CARTESIAN_POINT('',(-0.856522658584314,-0.00787401575905348,0.01)); #129893=CARTESIAN_POINT('',(-0.856522658584314,-0.00787401575905348,0.)); #129894=CARTESIAN_POINT('',(-0.856522658584314,-0.00787401575905348,0.01)); #129895=CARTESIAN_POINT('Origin',(-0.859969035832866,-0.00674915584772653, 0.)); #129896=CARTESIAN_POINT('',(-0.859969035832866,-0.00674915584772653,0.)); #129897=CARTESIAN_POINT('',(-0.547421304045785,-0.108761323555461,0.)); #129898=CARTESIAN_POINT('',(-0.859969035832866,-0.00674915584772653,0.01)); #129899=CARTESIAN_POINT('',(-0.859969035832866,-0.00674915584772653,0.)); #129900=CARTESIAN_POINT('',(-0.859969035832866,-0.00674915584772653,0.01)); #129901=CARTESIAN_POINT('Origin',(-0.864564206302252,-0.00449943763414631, 0.)); #129902=CARTESIAN_POINT('',(-0.61722752129365,-0.125591320700834,0.)); #129903=CARTESIAN_POINT('',(-0.864564206302252,-0.00449943763414631,0.01)); #129904=CARTESIAN_POINT('Origin',(-0.845609128887772,0.011248593807083, 0.01)); #129905=CARTESIAN_POINT('Origin',(-0.845059687566129,0.0756520127389977, 0.)); #129906=CARTESIAN_POINT('',(-0.845059687566129,0.0756520127389977,0.)); #129907=CARTESIAN_POINT('',(-0.848649296141266,0.0657862969064504,0.)); #129908=CARTESIAN_POINT('',(-0.726069030908212,0.402687114406168,0.)); #129909=CARTESIAN_POINT('',(-0.845059687566129,0.0756520127389977,0.01)); #129910=CARTESIAN_POINT('',(-0.845059687566129,0.0756520127389977,0.)); #129911=CARTESIAN_POINT('',(-0.848649296141266,0.0657862969064504,0.01)); #129912=CARTESIAN_POINT('',(-0.845059687566129,0.0756520127389977,0.01)); #129913=CARTESIAN_POINT('',(-0.848649296141266,0.0657862969064504,0.)); #129914=CARTESIAN_POINT('Origin',(-0.883860146369978,0.0532505574039899, 0.)); #129915=CARTESIAN_POINT('',(-0.883860146369978,0.0532505574039899,0.)); #129916=CARTESIAN_POINT('',(-0.453774859406426,0.301560413606061,0.)); #129917=CARTESIAN_POINT('',(-0.883860146369978,0.0532505574039899,0.01)); #129918=CARTESIAN_POINT('',(-0.883860146369978,0.0532505574039899,0.)); #129919=CARTESIAN_POINT('',(-0.883860146369978,0.0532505574039899,0.01)); #129920=CARTESIAN_POINT('Origin',(-0.887234724495064,0.0590954981706243, 0.)); #129921=CARTESIAN_POINT('',(-0.887234724495064,0.0590954981706243,0.)); #129922=CARTESIAN_POINT('',(-0.873702649208195,0.0356572562424233,0.)); #129923=CARTESIAN_POINT('',(-0.887234724495064,0.0590954981706243,0.01)); #129924=CARTESIAN_POINT('',(-0.887234724495064,0.0590954981706243,0.)); #129925=CARTESIAN_POINT('',(-0.887234724495064,0.0590954981706243,0.01)); #129926=CARTESIAN_POINT('Origin',(-0.840475198362347,0.0860921231706755, 0.)); #129927=CARTESIAN_POINT('',(-0.840475198362347,0.0860921231706755,0.)); #129928=CARTESIAN_POINT('',(-0.43376967446616,0.32090366687433,0.)); #129929=CARTESIAN_POINT('',(-0.840475198362347,0.0860921231706755,0.01)); #129930=CARTESIAN_POINT('',(-0.840475198362347,0.0860921231706755,0.)); #129931=CARTESIAN_POINT('',(-0.840475198362347,0.0860921231706755,0.01)); #129932=CARTESIAN_POINT('Origin',(-0.837100620237258,0.0802471824040418, 0.)); #129933=CARTESIAN_POINT('',(-0.837100620237258,0.0802471824040418,0.)); #129934=CARTESIAN_POINT('',(-0.825255834012921,0.059731410859158,0.)); #129935=CARTESIAN_POINT('',(-0.837100620237258,0.0802471824040418,0.01)); #129936=CARTESIAN_POINT('',(-0.837100620237258,0.0802471824040418,0.)); #129937=CARTESIAN_POINT('',(-0.837100620237258,0.0802471824040418,0.01)); #129938=CARTESIAN_POINT('Origin',(-0.840690226025147,0.0703814681805633, 0.)); #129939=CARTESIAN_POINT('',(-0.840690226025147,0.0703814681805633,0.)); #129940=CARTESIAN_POINT('',(-0.72110832751822,0.399041746406878,0.)); #129941=CARTESIAN_POINT('',(-0.840690226025147,0.0703814681805633,0.01)); #129942=CARTESIAN_POINT('',(-0.840690226025147,0.0703814681805633,0.)); #129943=CARTESIAN_POINT('',(-0.840690226025147,0.0703814681805633,0.01)); #129944=CARTESIAN_POINT('Origin',(-0.848649296141266,0.0657862969064504, 0.)); #129945=CARTESIAN_POINT('',(-0.434482145231706,0.30490581297734,0.)); #129946=CARTESIAN_POINT('',(-0.848649296141266,0.0657862969064504,0.01)); #129947=CARTESIAN_POINT('Origin',(-0.859556124658065,0.0695279801249557, 0.01)); #129948=CARTESIAN_POINT('Origin',(-1.08198893206643,0.103956244781425,0.)); #129949=CARTESIAN_POINT('',(-1.08198893206643,0.103956244781425,0.)); #129950=CARTESIAN_POINT('',(-1.0791169506241,0.098981827004096,0.)); #129951=CARTESIAN_POINT('',(-1.03439994431226,0.021529700096946,0.)); #129952=CARTESIAN_POINT('',(-1.08198893206643,0.103956244781425,0.01)); #129953=CARTESIAN_POINT('',(-1.08198893206643,0.103956244781425,0.)); #129954=CARTESIAN_POINT('',(-1.0791169506241,0.098981827004096,0.01)); #129955=CARTESIAN_POINT('',(-1.08198893206643,0.103956244781425,0.01)); #129956=CARTESIAN_POINT('',(-1.0791169506241,0.098981827004096,0.)); #129957=CARTESIAN_POINT('Origin',(-1.05471254205432,0.119704275897305,0.)); #129958=CARTESIAN_POINT('',(-1.05471254205432,0.119704275897305,0.)); #129959=CARTESIAN_POINT('',(-0.574945258805999,0.396698046026752,0.)); #129960=CARTESIAN_POINT('',(-1.05471254205432,0.119704275897305,0.01)); #129961=CARTESIAN_POINT('',(-1.05471254205432,0.119704275897305,0.)); #129962=CARTESIAN_POINT('',(-1.05471254205432,0.119704275897305,0.01)); #129963=CARTESIAN_POINT('Origin',(-1.09170317946908,0.127530971089503,0.)); #129964=CARTESIAN_POINT('',(-1.09170317946908,0.127530971089503,0.)); #129965=CARTESIAN_POINT('',(-0.607852551317037,0.0251550281545937,0.)); #129966=CARTESIAN_POINT('',(-1.09170317946908,0.127530971089503,0.01)); #129967=CARTESIAN_POINT('',(-1.09170317946908,0.127530971089503,0.)); #129968=CARTESIAN_POINT('',(-1.09170317946908,0.127530971089503,0.01)); #129969=CARTESIAN_POINT('Origin',(-1.09537468261154,0.129390761426644,0.)); #129970=CARTESIAN_POINT('',(-1.09537468261154,0.129390761426644,0.)); #129971=CARTESIAN_POINT('',(-0.736002843111764,-0.052648106200479,0.)); #129972=CARTESIAN_POINT('',(-1.09537468261154,0.129390761426644,0.01)); #129973=CARTESIAN_POINT('',(-1.09537468261154,0.129390761426644,0.)); #129974=CARTESIAN_POINT('',(-1.09537468261154,0.129390761426644,0.01)); #129975=CARTESIAN_POINT('Origin',(-1.09864642330883,0.132807865939919,0.)); #129976=CARTESIAN_POINT('',(-1.09864642330883,0.132807865939919,0.)); #129977=CARTESIAN_POINT('',(-0.929836183366264,-0.0435026324050948,0.)); #129978=CARTESIAN_POINT('',(-1.09864642330883,0.132807865939919,0.01)); #129979=CARTESIAN_POINT('',(-1.09864642330883,0.132807865939919,0.)); #129980=CARTESIAN_POINT('',(-1.09864642330883,0.132807865939919,0.01)); #129981=CARTESIAN_POINT('Origin',(-1.09979521733473,0.134797635559443,0.)); #129982=CARTESIAN_POINT('',(-1.09979521733473,0.134797635559443,0.)); #129983=CARTESIAN_POINT('',(-1.0433030868568,0.0369503953815015,0.)); #129984=CARTESIAN_POINT('',(-1.09979521733473,0.134797635559443,0.01)); #129985=CARTESIAN_POINT('',(-1.09979521733473,0.134797635559443,0.)); #129986=CARTESIAN_POINT('',(-1.09979521733473,0.134797635559443,0.01)); #129987=CARTESIAN_POINT('Origin',(-1.10111864630128,0.139339598374996,0.)); #129988=CARTESIAN_POINT('',(-1.10111864630128,0.139339598374996,0.)); #129989=CARTESIAN_POINT('',(-1.1076527130448,0.161764291300317,0.)); #129990=CARTESIAN_POINT('',(-1.10111864630128,0.139339598374996,0.01)); #129991=CARTESIAN_POINT('',(-1.10111864630128,0.139339598374996,0.)); #129992=CARTESIAN_POINT('',(-1.10111864630128,0.139339598374996,0.01)); #129993=CARTESIAN_POINT('Origin',(-1.09951960209855,0.145568851862415,0.)); #129994=CARTESIAN_POINT('',(-1.09951960209855,0.145568851862415,0.)); #129995=CARTESIAN_POINT('',(-1.02178989429779,0.448373522657919,0.)); #129996=CARTESIAN_POINT('',(-1.09951960209855,0.145568851862415,0.01)); #129997=CARTESIAN_POINT('',(-1.09951960209855,0.145568851862415,0.)); #129998=CARTESIAN_POINT('',(-1.09951960209855,0.145568851862415,0.01)); #129999=CARTESIAN_POINT('Origin',(-1.09677176826557,0.149808336908214,0.)); #130000=CARTESIAN_POINT('',(-1.09677176826557,0.149808336908214,0.)); #130001=CARTESIAN_POINT('',(-0.852612361241838,0.526508755414024,0.)); #130002=CARTESIAN_POINT('',(-1.09677176826557,0.149808336908214,0.01)); #130003=CARTESIAN_POINT('',(-1.09677176826557,0.149808336908214,0.)); #130004=CARTESIAN_POINT('',(-1.09677176826557,0.149808336908214,0.01)); #130005=CARTESIAN_POINT('Origin',(-1.09150122370761,0.154177798449509,0.)); #130006=CARTESIAN_POINT('',(-1.09150122370761,0.154177798449509,0.)); #130007=CARTESIAN_POINT('',(-0.680925399604697,0.494559186648627,0.)); #130008=CARTESIAN_POINT('',(-1.09150122370761,0.154177798449509,0.01)); #130009=CARTESIAN_POINT('',(-1.09150122370761,0.154177798449509,0.)); #130010=CARTESIAN_POINT('',(-1.09150122370761,0.154177798449509,0.01)); #130011=CARTESIAN_POINT('Origin',(-1.08760459606484,0.156427517467557,0.)); #130012=CARTESIAN_POINT('',(-1.08760459606484,0.156427517467557,0.)); #130013=CARTESIAN_POINT('',(-0.603453607558757,0.435952220955296,0.)); #130014=CARTESIAN_POINT('',(-1.08760459606484,0.156427517467557,0.01)); #130015=CARTESIAN_POINT('',(-1.08760459606484,0.156427517467557,0.)); #130016=CARTESIAN_POINT('',(-1.08760459606484,0.156427517467557,0.01)); #130017=CARTESIAN_POINT('Origin',(-1.08021110252789,0.159369641729754,0.)); #130018=CARTESIAN_POINT('',(-1.08021110252789,0.159369641729754,0.)); #130019=CARTESIAN_POINT('',(-0.553912890154057,0.368801723064095,0.)); #130020=CARTESIAN_POINT('',(-1.08021110252789,0.159369641729754,0.01)); #130021=CARTESIAN_POINT('',(-1.08021110252789,0.159369641729754,0.)); #130022=CARTESIAN_POINT('',(-1.08021110252789,0.159369641729754,0.01)); #130023=CARTESIAN_POINT('Origin',(-1.07516568316594,0.159629593513392,0.)); #130024=CARTESIAN_POINT('',(-1.07516568316594,0.159629593513392,0.)); #130025=CARTESIAN_POINT('',(-0.530033886835999,0.187716056224983,0.)); #130026=CARTESIAN_POINT('',(-1.07516568316594,0.159629593513392,0.01)); #130027=CARTESIAN_POINT('',(-1.07516568316594,0.159629593513392,0.)); #130028=CARTESIAN_POINT('',(-1.07516568316594,0.159629593513392,0.01)); #130029=CARTESIAN_POINT('Origin',(-1.06897147121413,0.157899778565395,0.)); #130030=CARTESIAN_POINT('',(-1.06897147121413,0.157899778565395,0.)); #130031=CARTESIAN_POINT('',(-0.618610583348891,0.0321305924473145,0.)); #130032=CARTESIAN_POINT('',(-1.06897147121413,0.157899778565395,0.01)); #130033=CARTESIAN_POINT('',(-1.06897147121413,0.157899778565395,0.)); #130034=CARTESIAN_POINT('',(-1.06897147121413,0.157899778565395,0.01)); #130035=CARTESIAN_POINT('Origin',(-1.06569972955817,0.15448267460502,0.)); #130036=CARTESIAN_POINT('',(-1.06569972955817,0.15448267460502,0.)); #130037=CARTESIAN_POINT('',(-0.899354623405956,-0.0192530847531516,0.)); #130038=CARTESIAN_POINT('',(-1.06569972955817,0.15448267460502,0.01)); #130039=CARTESIAN_POINT('',(-1.06569972955817,0.15448267460502,0.)); #130040=CARTESIAN_POINT('',(-1.06569972955817,0.15448267460502,0.01)); #130041=CARTESIAN_POINT('Origin',(-1.07057051350123,0.151670526184328,0.)); #130042=CARTESIAN_POINT('',(-1.07057051350123,0.151670526184328,0.)); #130043=CARTESIAN_POINT('',(-0.591777387164792,0.428101866560952,0.)); #130044=CARTESIAN_POINT('',(-1.07057051350123,0.151670526184328,0.01)); #130045=CARTESIAN_POINT('',(-1.07057051350123,0.151670526184328,0.)); #130046=CARTESIAN_POINT('',(-1.07057051350123,0.151670526184328,0.01)); #130047=CARTESIAN_POINT('Origin',(-1.07424201594712,0.153530316923706,0.)); #130048=CARTESIAN_POINT('',(-1.07424201594712,0.153530316923706,0.)); #130049=CARTESIAN_POINT('',(-0.718413518876119,-0.0267137530212938,0.)); #130050=CARTESIAN_POINT('',(-1.07424201594712,0.153530316923706,0.01)); #130051=CARTESIAN_POINT('',(-1.07424201594712,0.153530316923706,0.)); #130052=CARTESIAN_POINT('',(-1.07424201594712,0.153530316923706,0.01)); #130053=CARTESIAN_POINT('Origin',(-1.07831327874665,0.153832794693463,0.)); #130054=CARTESIAN_POINT('',(-1.07831327874665,0.153832794693463,0.)); #130055=CARTESIAN_POINT('',(-0.555231707064247,0.114970025328342,0.)); #130056=CARTESIAN_POINT('',(-1.07831327874665,0.153832794693463,0.01)); #130057=CARTESIAN_POINT('',(-1.07831327874665,0.153832794693463,0.)); #130058=CARTESIAN_POINT('',(-1.07831327874665,0.153832794693463,0.01)); #130059=CARTESIAN_POINT('Origin',(-1.08375845915881,0.152015529537963,0.)); #130060=CARTESIAN_POINT('',(-1.08375845915881,0.152015529537963,0.)); #130061=CARTESIAN_POINT('',(-0.542610212382767,0.332617415681199,0.)); #130062=CARTESIAN_POINT('',(-1.08375845915881,0.152015529537963,0.01)); #130063=CARTESIAN_POINT('',(-1.08375845915881,0.152015529537963,0.)); #130064=CARTESIAN_POINT('',(-1.08375845915881,0.152015529537963,0.01)); #130065=CARTESIAN_POINT('Origin',(-1.08765508540753,0.149765811324335,0.)); #130066=CARTESIAN_POINT('',(-1.08765508540753,0.149765811324335,0.)); #130067=CARTESIAN_POINT('',(-0.602042861742173,0.430134159379226,0.)); #130068=CARTESIAN_POINT('',(-1.08765508540753,0.149765811324335,0.01)); #130069=CARTESIAN_POINT('',(-1.08765508540753,0.149765811324335,0.)); #130070=CARTESIAN_POINT('',(-1.08765508540753,0.149765811324335,0.01)); #130071=CARTESIAN_POINT('Origin',(-1.09195147270736,0.14595877973899,0.)); #130072=CARTESIAN_POINT('',(-1.09195147270736,0.14595877973899,0.)); #130073=CARTESIAN_POINT('',(-0.696051873704613,0.496765672332007,0.)); #130074=CARTESIAN_POINT('',(-1.09195147270736,0.14595877973899,0.01)); #130075=CARTESIAN_POINT('',(-1.09195147270736,0.14595877973899,0.)); #130076=CARTESIAN_POINT('',(-1.09195147270736,0.14595877973899,0.01)); #130077=CARTESIAN_POINT('Origin',(-1.09372515067454,0.142281723844171,0.)); #130078=CARTESIAN_POINT('',(-1.09372515067454,0.142281723844171,0.)); #130079=CARTESIAN_POINT('',(-0.918782037784362,0.504960640993343,0.)); #130080=CARTESIAN_POINT('',(-1.09372515067454,0.142281723844171,0.01)); #130081=CARTESIAN_POINT('',(-1.09372515067454,0.142281723844171,0.)); #130082=CARTESIAN_POINT('',(-1.09372515067454,0.142281723844171,0.01)); #130083=CARTESIAN_POINT('Origin',(-1.09395027656803,0.138172213684419,0.)); #130084=CARTESIAN_POINT('',(-1.09395027656803,0.138172213684419,0.)); #130085=CARTESIAN_POINT('',(-1.08219120725508,0.352825508616754,0.)); #130086=CARTESIAN_POINT('',(-1.09395027656803,0.138172213684419,0.01)); #130087=CARTESIAN_POINT('',(-1.09395027656803,0.138172213684419,0.)); #130088=CARTESIAN_POINT('',(-1.09395027656803,0.138172213684419,0.01)); #130089=CARTESIAN_POINT('Origin',(-1.09280148254213,0.136182444064896,0.)); #130090=CARTESIAN_POINT('',(-1.09280148254213,0.136182444064896,0.)); #130091=CARTESIAN_POINT('',(-1.03688374907759,0.0393300886973938,0.)); #130092=CARTESIAN_POINT('',(-1.09280148254213,0.136182444064896,0.01)); #130093=CARTESIAN_POINT('',(-1.09280148254213,0.136182444064896,0.)); #130094=CARTESIAN_POINT('',(-1.09280148254213,0.136182444064896,0.01)); #130095=CARTESIAN_POINT('Origin',(-1.0885555831918,0.133327770311671,0.)); #130096=CARTESIAN_POINT('',(-1.0885555831918,0.133327770311671,0.)); #130097=CARTESIAN_POINT('',(-0.800654500208061,-0.060238679881239,0.)); #130098=CARTESIAN_POINT('',(-1.0885555831918,0.133327770311671,0.01)); #130099=CARTESIAN_POINT('',(-1.0885555831918,0.133327770311671,0.)); #130100=CARTESIAN_POINT('',(-1.0885555831918,0.133327770311671,0.01)); #130101=CARTESIAN_POINT('Origin',(-1.0469192874671,0.124203713531539,0.)); #130102=CARTESIAN_POINT('',(-1.0469192874671,0.124203713531539,0.)); #130103=CARTESIAN_POINT('',(-0.587657770210118,0.0235624800248715,0.)); #130104=CARTESIAN_POINT('',(-1.0469192874671,0.124203713531539,0.01)); #130105=CARTESIAN_POINT('',(-1.0469192874671,0.124203713531539,0.)); #130106=CARTESIAN_POINT('',(-1.0469192874671,0.124203713531539,0.01)); #130107=CARTESIAN_POINT('Origin',(-1.04404730602392,0.119229295753924,0.)); #130108=CARTESIAN_POINT('',(-1.04404730602392,0.119229295753924,0.)); #130109=CARTESIAN_POINT('',(-0.99789430895333,0.0392899599122572,0.)); #130110=CARTESIAN_POINT('',(-1.04404730602392,0.119229295753924,0.01)); #130111=CARTESIAN_POINT('',(-1.04404730602392,0.119229295753924,0.)); #130112=CARTESIAN_POINT('',(-1.04404730602392,0.119229295753924,0.01)); #130113=CARTESIAN_POINT('Origin',(-1.0791169506241,0.098981827004096,0.)); #130114=CARTESIAN_POINT('',(-0.585711472367225,0.383849612688724,0.)); #130115=CARTESIAN_POINT('',(-1.0791169506241,0.098981827004096,0.01)); #130116=CARTESIAN_POINT('Origin',(-1.07496655584388,0.130070697739298,0.01)); #130117=CARTESIAN_POINT('Origin',(-1.0188374735756,-0.179977499999909,0.)); #130118=CARTESIAN_POINT('',(-1.0188374735756,-0.179977499999909,0.)); #130119=CARTESIAN_POINT('',(-0.978342536075613,-0.179977499999918,0.)); #130120=CARTESIAN_POINT('',(-0.509418736787879,-0.179977500000023,0.)); #130121=CARTESIAN_POINT('',(-1.0188374735756,-0.179977499999909,0.01)); #130122=CARTESIAN_POINT('',(-1.0188374735756,-0.179977499999909,0.)); #130123=CARTESIAN_POINT('',(-0.978342536075613,-0.179977499999918,0.01)); #130124=CARTESIAN_POINT('',(-0.978342536075613,-0.179977499999918,0.01)); #130125=CARTESIAN_POINT('',(-0.978342536075613,-0.179977499999918,0.)); #130126=CARTESIAN_POINT('Origin',(-1.01883747357546,-0.174233537918729, 0.)); #130127=CARTESIAN_POINT('',(-1.01883747357546,-0.174233537918729,0.)); #130128=CARTESIAN_POINT('',(-1.01883747356706,0.167302594416841,0.)); #130129=CARTESIAN_POINT('',(-1.01883747357546,-0.174233537918729,0.01)); #130130=CARTESIAN_POINT('',(-1.01883747357546,-0.174233537918729,0.)); #130131=CARTESIAN_POINT('',(-1.0188374735756,-0.179977499999909,0.01)); #130132=CARTESIAN_POINT('Origin',(-0.985091692325334,-0.174233537918805, 0.)); #130133=CARTESIAN_POINT('',(-0.985091692325334,-0.174233537918805,0.)); #130134=CARTESIAN_POINT('',(-0.492545846163439,-0.174233537919918,0.)); #130135=CARTESIAN_POINT('',(-0.985091692325334,-0.174233537918805,0.01)); #130136=CARTESIAN_POINT('',(-0.985091692325334,-0.174233537918805,0.)); #130137=CARTESIAN_POINT('',(-1.01883747357546,-0.174233537918729,0.01)); #130138=CARTESIAN_POINT('Origin',(-1.00758888009344,-0.125984249999996, 0.)); #130139=CARTESIAN_POINT('',(-1.00758888009344,-0.125984249999996,0.)); #130140=CARTESIAN_POINT('',(-1.03918937543274,-0.0582112726074688,0.)); #130141=CARTESIAN_POINT('',(-1.00758888009344,-0.125984249999996,0.01)); #130142=CARTESIAN_POINT('',(-1.00758888009344,-0.125984249999996,0.)); #130143=CARTESIAN_POINT('',(-0.985091692325334,-0.174233537918805,0.01)); #130144=CARTESIAN_POINT('Origin',(-1.00083972303867,-0.125984250000024, 0.)); #130145=CARTESIAN_POINT('',(-1.00083972303867,-0.125984250000024,0.)); #130146=CARTESIAN_POINT('',(-0.500419861520633,-0.125984250002072,0.)); #130147=CARTESIAN_POINT('',(-1.00083972303867,-0.125984250000024,0.01)); #130148=CARTESIAN_POINT('',(-1.00083972303867,-0.125984250000024,0.)); #130149=CARTESIAN_POINT('',(-1.00758888009344,-0.125984249999996,0.01)); #130150=CARTESIAN_POINT('Origin',(-0.978342536075473,-0.174233537918738, 0.)); #130151=CARTESIAN_POINT('',(-0.978342536075473,-0.174233537918738,0.)); #130152=CARTESIAN_POINT('',(-1.02179426768355,-0.0810434376473233,0.)); #130153=CARTESIAN_POINT('',(-0.978342536075473,-0.174233537918738,0.01)); #130154=CARTESIAN_POINT('',(-0.978342536075473,-0.174233537918738,0.)); #130155=CARTESIAN_POINT('',(-1.00083972303867,-0.125984250000024,0.01)); #130156=CARTESIAN_POINT('Origin',(-0.978342536075613,-0.179977499999918, 0.)); #130157=CARTESIAN_POINT('',(-0.978342536067226,0.164430613375629,0.)); #130158=CARTESIAN_POINT('',(-0.978342536075473,-0.174233537918738,0.01)); #130159=CARTESIAN_POINT('Origin',(-0.996343227024263,-0.161020878443022, 0.01)); #130160=CARTESIAN_POINT('Origin',(-1.11898668541702,-0.0526256708931372, 0.)); #130161=CARTESIAN_POINT('',(-1.11898668541702,-0.0526256708931372,0.)); #130162=CARTESIAN_POINT('',(-1.1246535083922,-0.0520007857175681,0.)); #130163=CARTESIAN_POINT('',(-0.596799524692073,-0.110207673549373,0.)); #130164=CARTESIAN_POINT('',(-1.11898668541702,-0.0526256708931372,0.01)); #130165=CARTESIAN_POINT('',(-1.11898668541702,-0.0526256708931372,0.)); #130166=CARTESIAN_POINT('',(-1.1246535083922,-0.0520007857175681,0.01)); #130167=CARTESIAN_POINT('',(-1.11898668541702,-0.0526256708931372,0.01)); #130168=CARTESIAN_POINT('',(-1.1246535083922,-0.0520007857175681,0.)); #130169=CARTESIAN_POINT('Origin',(-1.11318988653684,-0.055773265973958, 0.)); #130170=CARTESIAN_POINT('',(-1.11318988653684,-0.055773265973958,0.)); #130171=CARTESIAN_POINT('',(-0.801717329629051,-0.224899279865139,0.)); #130172=CARTESIAN_POINT('',(-1.11318988653684,-0.055773265973958,0.01)); #130173=CARTESIAN_POINT('',(-1.11318988653684,-0.055773265973958,0.)); #130174=CARTESIAN_POINT('',(-1.11318988653684,-0.055773265973958,0.01)); #130175=CARTESIAN_POINT('Origin',(-1.10938285446241,-0.0600696539198788, 0.)); #130176=CARTESIAN_POINT('',(-1.10938285446241,-0.0600696539198788,0.)); #130177=CARTESIAN_POINT('',(-1.00660609542514,-0.176057336717314,0.)); #130178=CARTESIAN_POINT('',(-1.10938285446241,-0.0600696539198788,0.01)); #130179=CARTESIAN_POINT('',(-1.10938285446241,-0.0600696539198788,0.)); #130180=CARTESIAN_POINT('',(-1.10938285446241,-0.0600696539198788,0.01)); #130181=CARTESIAN_POINT('Origin',(-1.10600827633731,-0.0659145946864692, 0.)); #130182=CARTESIAN_POINT('',(-1.10600827633731,-0.0659145946864692,0.)); #130183=CARTESIAN_POINT('',(-1.09219498610392,-0.0898399151896253,0.)); #130184=CARTESIAN_POINT('',(-1.10600827633731,-0.0659145946864692,0.01)); #130185=CARTESIAN_POINT('',(-1.10600827633731,-0.0659145946864692,0.)); #130186=CARTESIAN_POINT('',(-1.10600827633731,-0.0659145946864692,0.01)); #130187=CARTESIAN_POINT('Origin',(-1.10419101086672,-0.0713597758461008, 0.)); #130188=CARTESIAN_POINT('',(-1.10419101086672,-0.0713597758461008,0.)); #130189=CARTESIAN_POINT('',(-1.13597501150187,0.0238765251988508,0.)); #130190=CARTESIAN_POINT('',(-1.10419101086672,-0.0713597758461008,0.01)); #130191=CARTESIAN_POINT('',(-1.10419101086672,-0.0713597758461008,0.)); #130192=CARTESIAN_POINT('',(-1.10419101086672,-0.0713597758461008,0.01)); #130193=CARTESIAN_POINT('Origin',(-1.10436351401134,-0.077953746735548, 0.)); #130194=CARTESIAN_POINT('',(-1.10436351401134,-0.077953746735548,0.)); #130195=CARTESIAN_POINT('',(-1.09631565529203,0.22967743432967,0.)); #130196=CARTESIAN_POINT('',(-1.10436351401134,-0.077953746735548,0.01)); #130197=CARTESIAN_POINT('',(-1.10436351401134,-0.077953746735548,0.)); #130198=CARTESIAN_POINT('',(-1.10436351401134,-0.077953746735548,0.01)); #130199=CARTESIAN_POINT('Origin',(-1.10665575896196,-0.0831738021524334, 0.)); #130200=CARTESIAN_POINT('',(-1.10665575896196,-0.0831738021524334,0.)); #130201=CARTESIAN_POINT('',(-0.908236156787518,0.36868075155258,0.)); #130202=CARTESIAN_POINT('',(-1.10665575896196,-0.0831738021524334,0.01)); #130203=CARTESIAN_POINT('',(-1.10665575896196,-0.0831738021524334,0.)); #130204=CARTESIAN_POINT('',(-1.10665575896196,-0.0831738021524334,0.01)); #130205=CARTESIAN_POINT('Origin',(-1.11050531682831,-0.0879940981132085, 0.)); #130206=CARTESIAN_POINT('',(-1.11050531682831,-0.0879940981132085,0.)); #130207=CARTESIAN_POINT('',(-0.748765854250133,0.364964743098638,0.)); #130208=CARTESIAN_POINT('',(-1.11050531682831,-0.0879940981132085,0.01)); #130209=CARTESIAN_POINT('',(-1.11050531682831,-0.0879940981132085,0.)); #130210=CARTESIAN_POINT('',(-1.11050531682831,-0.0879940981132085,0.01)); #130211=CARTESIAN_POINT('Origin',(-1.11448485049273,-0.0902916829456869, 0.)); #130212=CARTESIAN_POINT('',(-1.11448485049273,-0.0902916829456869,0.)); #130213=CARTESIAN_POINT('',(-0.566837492823494,0.225892666435933,0.)); #130214=CARTESIAN_POINT('',(-1.11448485049273,-0.0902916829456869,0.01)); #130215=CARTESIAN_POINT('',(-1.11448485049273,-0.0902916829456869,0.)); #130216=CARTESIAN_POINT('',(-1.11448485049273,-0.0902916829456869,0.01)); #130217=CARTESIAN_POINT('Origin',(-1.12058412887859,-0.0912153502729508, 0.)); #130218=CARTESIAN_POINT('',(-1.12058412887859,-0.0912153502729508,0.)); #130219=CARTESIAN_POINT('',(-0.52843647387604,-0.00154122700128518,0.)); #130220=CARTESIAN_POINT('',(-1.12058412887859,-0.0912153502729508,0.01)); #130221=CARTESIAN_POINT('',(-1.12058412887859,-0.0912153502729508,0.)); #130222=CARTESIAN_POINT('',(-1.12058412887859,-0.0912153502729508,0.01)); #130223=CARTESIAN_POINT('Origin',(-1.12625095160514,-0.0905904647222714, 0.)); #130224=CARTESIAN_POINT('',(-1.12625095160514,-0.0905904647222714,0.)); #130225=CARTESIAN_POINT('',(-0.602543379292837,-0.148340161600291,0.)); #130226=CARTESIAN_POINT('',(-1.12625095160514,-0.0905904647222714,0.01)); #130227=CARTESIAN_POINT('',(-1.12625095160514,-0.0905904647222714,0.)); #130228=CARTESIAN_POINT('',(-1.12625095160514,-0.0905904647222714,0.01)); #130229=CARTESIAN_POINT('Origin',(-1.13204774921882,-0.0874428712323621, 0.)); #130230=CARTESIAN_POINT('',(-1.13204774921882,-0.0874428712323621,0.)); #130231=CARTESIAN_POINT('',(-0.81993347807875,-0.256917279934915,0.)); #130232=CARTESIAN_POINT('',(-1.13204774921882,-0.0874428712323621,0.01)); #130233=CARTESIAN_POINT('',(-1.13204774921882,-0.0874428712323621,0.)); #130234=CARTESIAN_POINT('',(-1.13204774921882,-0.0874428712323621,0.01)); #130235=CARTESIAN_POINT('Origin',(-1.13585478160828,-0.0831464825393979, 0.)); #130236=CARTESIAN_POINT('',(-1.13585478160828,-0.0831464825393979,0.)); #130237=CARTESIAN_POINT('',(-1.03298374608174,-0.199240570704862,0.)); #130238=CARTESIAN_POINT('',(-1.13585478160828,-0.0831464825393979,0.01)); #130239=CARTESIAN_POINT('',(-1.13585478160828,-0.0831464825393979,0.)); #130240=CARTESIAN_POINT('',(-1.13585478160828,-0.0831464825393979,0.01)); #130241=CARTESIAN_POINT('Origin',(-1.13922935973336,-0.0773015417727632, 0.)); #130242=CARTESIAN_POINT('',(-1.13922935973336,-0.0773015417727632,0.)); #130243=CARTESIAN_POINT('',(-1.12372878043889,-0.104149332656948,0.)); #130244=CARTESIAN_POINT('',(-1.13922935973336,-0.0773015417727632,0.01)); #130245=CARTESIAN_POINT('',(-1.13922935973336,-0.0773015417727632,0.)); #130246=CARTESIAN_POINT('',(-1.13922935973336,-0.0773015417727632,0.01)); #130247=CARTESIAN_POINT('Origin',(-1.14104662488894,-0.0718563613602102, 0.)); #130248=CARTESIAN_POINT('',(-1.14104662488894,-0.0718563613602102,0.)); #130249=CARTESIAN_POINT('',(-1.17105837497853,0.0180696426863375,0.)); #130250=CARTESIAN_POINT('',(-1.14104662488894,-0.0718563613602102,0.01)); #130251=CARTESIAN_POINT('',(-1.14104662488894,-0.0718563613602102,0.)); #130252=CARTESIAN_POINT('',(-1.14104662488894,-0.0718563613602102,0.01)); #130253=CARTESIAN_POINT('Origin',(-1.14087412301082,-0.0652623888798512, 0.)); #130254=CARTESIAN_POINT('',(-1.14087412301082,-0.0652623888798512,0.)); #130255=CARTESIAN_POINT('',(-1.1329797360434,0.236504588832118,0.)); #130256=CARTESIAN_POINT('',(-1.14087412301082,-0.0652623888798512,0.01)); #130257=CARTESIAN_POINT('',(-1.14087412301082,-0.0652623888798512,0.)); #130258=CARTESIAN_POINT('',(-1.14087412301082,-0.0652623888798512,0.01)); #130259=CARTESIAN_POINT('Origin',(-1.15679226045667,-0.0744527298187746, 0.)); #130260=CARTESIAN_POINT('',(-1.15679226045667,-0.0744527298187746,0.)); #130261=CARTESIAN_POINT('',(-0.596708857983223,0.248911573352447,0.)); #130262=CARTESIAN_POINT('',(-1.15679226045667,-0.0744527298187746,0.01)); #130263=CARTESIAN_POINT('',(-1.15679226045667,-0.0744527298187746,0.)); #130264=CARTESIAN_POINT('',(-1.15679226045667,-0.0744527298187746,0.01)); #130265=CARTESIAN_POINT('Origin',(-1.14048179998801,-0.102703276044586, 0.)); #130266=CARTESIAN_POINT('',(-1.14048179998801,-0.102703276044586,0.)); #130267=CARTESIAN_POINT('',(-1.13032430245594,-0.120296577847409,0.)); #130268=CARTESIAN_POINT('',(-1.14048179998801,-0.102703276044586,0.01)); #130269=CARTESIAN_POINT('',(-1.14048179998801,-0.102703276044586,0.)); #130270=CARTESIAN_POINT('',(-1.14048179998801,-0.102703276044586,0.01)); #130271=CARTESIAN_POINT('Origin',(-1.14545621706922,-0.105575257085117, 0.)); #130272=CARTESIAN_POINT('',(-1.14545621706922,-0.105575257085117,0.)); #130273=CARTESIAN_POINT('',(-0.582885606043817,0.219225036587072,0.)); #130274=CARTESIAN_POINT('',(-1.14545621706922,-0.105575257085117,0.01)); #130275=CARTESIAN_POINT('',(-1.14545621706922,-0.105575257085117,0.)); #130276=CARTESIAN_POINT('',(-1.14545621706922,-0.105575257085117,0.01)); #130277=CARTESIAN_POINT('Origin',(-1.16457882590741,-0.0724539270034583, 0.)); #130278=CARTESIAN_POINT('',(-1.16457882590741,-0.0724539270034583,0.)); #130279=CARTESIAN_POINT('',(-1.144860023963,-0.106607893836223,0.)); #130280=CARTESIAN_POINT('',(-1.16457882590741,-0.0724539270034583,0.01)); #130281=CARTESIAN_POINT('',(-1.16457882590741,-0.0724539270034583,0.)); #130282=CARTESIAN_POINT('',(-1.16457882590741,-0.0724539270034583,0.01)); #130283=CARTESIAN_POINT('Origin',(-1.1387118543009,-0.0575196239830946, 0.)); #130284=CARTESIAN_POINT('',(-1.1387118543009,-0.0575196239830946,0.)); #130285=CARTESIAN_POINT('',(-0.589074729100131,0.259813518212864,0.)); #130286=CARTESIAN_POINT('',(-1.1387118543009,-0.0575196239830946,0.01)); #130287=CARTESIAN_POINT('',(-1.1387118543009,-0.0575196239830946,0.)); #130288=CARTESIAN_POINT('',(-1.1387118543009,-0.0575196239830946,0.01)); #130289=CARTESIAN_POINT('Origin',(-1.13477484662217,-0.0643387213119241, 0.)); #130290=CARTESIAN_POINT('',(-1.13477484662217,-0.0643387213119241,0.)); #130291=CARTESIAN_POINT('',(-1.1170245485027,-0.0950831395020412,0.)); #130292=CARTESIAN_POINT('',(-1.13477484662217,-0.0643387213119241,0.01)); #130293=CARTESIAN_POINT('',(-1.13477484662217,-0.0643387213119241,0.)); #130294=CARTESIAN_POINT('',(-1.13477484662217,-0.0643387213119241,0.01)); #130295=CARTESIAN_POINT('Origin',(-1.13550977785288,-0.069958537578448, 0.)); #130296=CARTESIAN_POINT('',(-1.13550977785288,-0.069958537578448,0.)); #130297=CARTESIAN_POINT('',(-1.08875351903084,0.287573668033632,0.)); #130298=CARTESIAN_POINT('',(-1.13550977785288,-0.069958537578448,0.01)); #130299=CARTESIAN_POINT('',(-1.13550977785288,-0.069958537578448,0.)); #130300=CARTESIAN_POINT('',(-1.13550977785288,-0.069958537578448,0.01)); #130301=CARTESIAN_POINT('Origin',(-1.13425494265305,-0.0744295607320852, 0.)); #130302=CARTESIAN_POINT('',(-1.13425494265305,-0.0744295607320852,0.)); #130303=CARTESIAN_POINT('',(-1.16871771847895,0.0483625546599081,0.)); #130304=CARTESIAN_POINT('',(-1.13425494265305,-0.0744295607320852,0.01)); #130305=CARTESIAN_POINT('',(-1.13425494265305,-0.0744295607320852,0.)); #130306=CARTESIAN_POINT('',(-1.13425494265305,-0.0744295607320852,0.01)); #130307=CARTESIAN_POINT('Origin',(-1.13088036452796,-0.0802745014987206, 0.)); #130308=CARTESIAN_POINT('',(-1.13088036452796,-0.0802745014987206,0.)); #130309=CARTESIAN_POINT('',(-1.117067074296,-0.104199821999647,0.)); #130310=CARTESIAN_POINT('',(-1.13088036452796,-0.0802745014987206,0.01)); #130311=CARTESIAN_POINT('',(-1.13088036452796,-0.0802745014987206,0.)); #130312=CARTESIAN_POINT('',(-1.13088036452796,-0.0802745014987206,0.01)); #130313=CARTESIAN_POINT('Origin',(-1.12763576289843,-0.0835967315392381, 0.)); #130314=CARTESIAN_POINT('',(-1.12763576289843,-0.0835967315392381,0.)); #130315=CARTESIAN_POINT('',(-1.00045842072549,-0.213816843245885,0.)); #130316=CARTESIAN_POINT('',(-1.12763576289843,-0.0835967315392381,0.01)); #130317=CARTESIAN_POINT('',(-1.12763576289843,-0.0835967315392381,0.)); #130318=CARTESIAN_POINT('',(-1.12763576289843,-0.0835967315392381,0.01)); #130319=CARTESIAN_POINT('Origin',(-1.12395870700368,-0.0853704095063164, 0.)); #130320=CARTESIAN_POINT('',(-1.12395870700368,-0.0853704095063164,0.)); #130321=CARTESIAN_POINT('',(-0.784317651874873,-0.249200896025812,0.)); #130322=CARTESIAN_POINT('',(-1.12395870700368,-0.0853704095063164,0.01)); #130323=CARTESIAN_POINT('',(-1.12395870700368,-0.0853704095063164,0.)); #130324=CARTESIAN_POINT('',(-1.12395870700368,-0.0853704095063164,0.01)); #130325=CARTESIAN_POINT('Origin',(-1.11984919684367,-0.0855955353998697, 0.)); #130326=CARTESIAN_POINT('',(-1.11984919684367,-0.0855955353998697,0.)); #130327=CARTESIAN_POINT('',(-0.577833261600503,-0.115288081954226,0.)); #130328=CARTESIAN_POINT('',(-1.11984919684367,-0.0855955353998697,0.01)); #130329=CARTESIAN_POINT('',(-1.11984919684367,-0.0855955353998697,0.)); #130330=CARTESIAN_POINT('',(-1.11984919684367,-0.0855955353998697,0.01)); #130331=CARTESIAN_POINT('Origin',(-1.11630211605042,-0.0848465018364118, 0.)); #130332=CARTESIAN_POINT('',(-1.11630211605042,-0.0848465018364118,0.)); #130333=CARTESIAN_POINT('',(-0.521969773053353,0.0406580746594848,0.)); #130334=CARTESIAN_POINT('',(-1.11630211605042,-0.0848465018364118,0.01)); #130335=CARTESIAN_POINT('',(-1.11630211605042,-0.0848465018364118,0.)); #130336=CARTESIAN_POINT('',(-1.11630211605042,-0.0848465018364118,0.01)); #130337=CARTESIAN_POINT('Origin',(-1.11431234921776,-0.0836977094202457, 0.)); #130338=CARTESIAN_POINT('',(-1.11431234921776,-0.0836977094202457,0.)); #130339=CARTESIAN_POINT('',(-0.568157316631208,0.231625045582371,0.)); #130340=CARTESIAN_POINT('',(-1.11431234921776,-0.0836977094202457,0.01)); #130341=CARTESIAN_POINT('',(-1.11431234921776,-0.0836977094202457,0.)); #130342=CARTESIAN_POINT('',(-1.11431234921776,-0.0836977094202457,0.01)); #130343=CARTESIAN_POINT('Origin',(-1.11189012812074,-0.081000364930408, 0.)); #130344=CARTESIAN_POINT('',(-1.11189012812074,-0.081000364930408,0.)); #130345=CARTESIAN_POINT('',(-0.717097313105621,0.358634243783713,0.)); #130346=CARTESIAN_POINT('',(-1.11189012812074,-0.081000364930408,0.01)); #130347=CARTESIAN_POINT('',(-1.11189012812074,-0.081000364930408,0.)); #130348=CARTESIAN_POINT('',(-1.11189012812074,-0.081000364930408,0.01)); #130349=CARTESIAN_POINT('Origin',(-1.11003033708705,-0.0773288613857987, 0.)); #130350=CARTESIAN_POINT('',(-1.11003033708705,-0.0773288613857987,0.)); #130351=CARTESIAN_POINT('',(-0.878552814234521,0.379642126352011,0.)); #130352=CARTESIAN_POINT('',(-1.11003033708705,-0.0773288613857987,0.01)); #130353=CARTESIAN_POINT('',(-1.11003033708705,-0.0773288613857987,0.)); #130354=CARTESIAN_POINT('',(-1.11003033708705,-0.0773288613857987,0.01)); #130355=CARTESIAN_POINT('Origin',(-1.10972785931738,-0.0732575985862423, 0.)); #130356=CARTESIAN_POINT('',(-1.10972785931738,-0.0732575985862423,0.)); #130357=CARTESIAN_POINT('',(-1.08517694261601,0.257190599214949,0.)); #130358=CARTESIAN_POINT('',(-1.10972785931738,-0.0732575985862423,0.01)); #130359=CARTESIAN_POINT('',(-1.10972785931738,-0.0732575985862423,0.)); #130360=CARTESIAN_POINT('',(-1.10972785931738,-0.0732575985862423,0.01)); #130361=CARTESIAN_POINT('Origin',(-1.11098269411512,-0.0687865761293262, 0.)); #130362=CARTESIAN_POINT('',(-1.11098269411512,-0.0687865761293262,0.)); #130363=CARTESIAN_POINT('',(-1.14556106278177,0.0544174210116283,0.)); #130364=CARTESIAN_POINT('',(-1.11098269411512,-0.0687865761293262,0.01)); #130365=CARTESIAN_POINT('',(-1.11098269411512,-0.0687865761293262,0.)); #130366=CARTESIAN_POINT('',(-1.11098269411512,-0.0687865761293262,0.01)); #130367=CARTESIAN_POINT('Origin',(-1.11435727223933,-0.0629416353629258, 0.)); #130368=CARTESIAN_POINT('',(-1.11435727223933,-0.0629416353629258,0.)); #130369=CARTESIAN_POINT('',(-1.09885669297698,-0.0897894261973281,0.)); #130370=CARTESIAN_POINT('',(-1.11435727223933,-0.0629416353629258,0.01)); #130371=CARTESIAN_POINT('',(-1.11435727223933,-0.0629416353629258,0.)); #130372=CARTESIAN_POINT('',(-1.11435727223933,-0.0629416353629258,0.01)); #130373=CARTESIAN_POINT('Origin',(-1.11760187427183,-0.0596194046254449, 0.)); #130374=CARTESIAN_POINT('',(-1.11760187427183,-0.0596194046254449,0.)); #130375=CARTESIAN_POINT('',(-0.98688107277722,-0.193467765812461,0.)); #130376=CARTESIAN_POINT('',(-1.11760187427183,-0.0596194046254449,0.01)); #130377=CARTESIAN_POINT('',(-1.11760187427183,-0.0596194046254449,0.)); #130378=CARTESIAN_POINT('',(-1.11760187427183,-0.0596194046254449,0.01)); #130379=CARTESIAN_POINT('Origin',(-1.12127893016659,-0.0578457266583834, 0.)); #130380=CARTESIAN_POINT('',(-1.12127893016659,-0.0578457266583834,0.)); #130381=CARTESIAN_POINT('',(-0.777339446173085,-0.223749618854082,0.)); #130382=CARTESIAN_POINT('',(-1.12127893016659,-0.0578457266583834,0.01)); #130383=CARTESIAN_POINT('',(-1.12127893016659,-0.0578457266583834,0.)); #130384=CARTESIAN_POINT('',(-1.12127893016659,-0.0578457266583834,0.01)); #130385=CARTESIAN_POINT('Origin',(-1.12638332403698,-0.0581949980720421, 0.)); #130386=CARTESIAN_POINT('',(-1.12638332403698,-0.0581949980720421,0.)); #130387=CARTESIAN_POINT('',(-0.546506860562389,-0.0185165803621197,0.)); #130388=CARTESIAN_POINT('',(-1.12638332403698,-0.0581949980720421,0.01)); #130389=CARTESIAN_POINT('',(-1.12638332403698,-0.0581949980720421,0.)); #130390=CARTESIAN_POINT('',(-1.12638332403698,-0.0581949980720421,0.01)); #130391=CARTESIAN_POINT('Origin',(-1.12975790216206,-0.0523500573054074, 0.)); #130392=CARTESIAN_POINT('',(-1.12975790216206,-0.0523500573054074,0.)); #130393=CARTESIAN_POINT('',(-1.11003910021145,-0.0865040241475372,0.)); #130394=CARTESIAN_POINT('',(-1.12975790216206,-0.0523500573054074,0.01)); #130395=CARTESIAN_POINT('',(-1.12975790216206,-0.0523500573054074,0.)); #130396=CARTESIAN_POINT('',(-1.12975790216206,-0.0523500573054074,0.01)); #130397=CARTESIAN_POINT('Origin',(-1.1246535083922,-0.0520007857175681, 0.)); #130398=CARTESIAN_POINT('',(-0.54584884881406,-0.0123956863434966,0.)); #130399=CARTESIAN_POINT('',(-1.1246535083922,-0.0520007857175681,0.01)); #130400=CARTESIAN_POINT('Origin',(-1.1333371730594,-0.0758841225921361, 0.01)); #130401=CARTESIAN_POINT('Origin',(-1.14755010556403,0.0146231724784614, 0.)); #130402=CARTESIAN_POINT('',(-1.16937716469113,-0.00449943716466953,0.)); #130403=CARTESIAN_POINT('',(-1.14755010556403,0.0146231724784614,0.)); #130404=CARTESIAN_POINT('',(-0.700452414549862,0.406323885241563,0.)); #130405=CARTESIAN_POINT('',(-1.16937716469113,-0.00449943716466953,0.01)); #130406=CARTESIAN_POINT('',(-1.16937716469113,-0.00449943716466953,0.)); #130407=CARTESIAN_POINT('',(-1.14755010556403,0.0146231724784614,0.01)); #130408=CARTESIAN_POINT('',(-1.15242035745976,0.0103563619418976,0.01)); #130409=CARTESIAN_POINT('',(-1.14755010556403,0.0146231724784614,0.)); #130410=CARTESIAN_POINT('Origin',(-1.14755010556365,-0.00449943716482619, 0.)); #130411=CARTESIAN_POINT('',(-1.14755010556365,-0.00449943716482619,0.)); #130412=CARTESIAN_POINT('',(-1.14755010556876,0.252169644769848,0.)); #130413=CARTESIAN_POINT('',(-1.14755010556365,-0.00449943716482619,0.01)); #130414=CARTESIAN_POINT('',(-1.14755010556367,-0.00356150177629395,0.01)); #130415=CARTESIAN_POINT('',(-1.14755010556365,-0.00449943716482619,0.)); #130416=CARTESIAN_POINT('Origin',(-1.16937716469113,-0.00449943716466953, 0.)); #130417=CARTESIAN_POINT('',(-0.584688582347409,-0.00449943716886592,0.)); #130418=CARTESIAN_POINT('',(-1.15951712111448,-0.0044994371647403,0.01)); #130419=CARTESIAN_POINT('Origin',(-1.1245742540206,-0.011248593414793,0.)); #130420=CARTESIAN_POINT('',(-1.1245742540206,-0.011248593414793,0.)); #130421=CARTESIAN_POINT('',(-1.12457425402037,-0.00449943716486221,0.)); #130422=CARTESIAN_POINT('',(-1.12457425401166,0.248795066675623,0.)); #130423=CARTESIAN_POINT('',(-1.1245742540206,-0.011248593414793,0.01)); #130424=CARTESIAN_POINT('',(-1.1245742540206,-0.011248593414793,0.)); #130425=CARTESIAN_POINT('',(-1.12457425402037,-0.00449943716486221,0.01)); #130426=CARTESIAN_POINT('',(-1.1245742540206,-0.011248593414793,0.01)); #130427=CARTESIAN_POINT('',(-1.12457425402037,-0.00449943716486221,0.)); #130428=CARTESIAN_POINT('Origin',(-1.14065734945758,-0.011248593414687, 0.)); #130429=CARTESIAN_POINT('',(-1.14065734945758,-0.011248593414687,0.)); #130430=CARTESIAN_POINT('',(-0.570328674730505,-0.0112485934184442,0.)); #130431=CARTESIAN_POINT('',(-1.14065734945758,-0.011248593414687,0.01)); #130432=CARTESIAN_POINT('',(-1.14065734945758,-0.011248593414687,0.)); #130433=CARTESIAN_POINT('',(-1.14065734945758,-0.011248593414687,0.01)); #130434=CARTESIAN_POINT('Origin',(-1.14065734945693,-0.0224971860921122, 0.)); #130435=CARTESIAN_POINT('',(-1.14065734945693,-0.0224971860921122,0.)); #130436=CARTESIAN_POINT('',(-1.14065734947242,0.24317077028436,0.)); #130437=CARTESIAN_POINT('',(-1.14065734945693,-0.0224971860921122,0.01)); #130438=CARTESIAN_POINT('',(-1.14065734945693,-0.0224971860921122,0.)); #130439=CARTESIAN_POINT('',(-1.14065734945693,-0.0224971860921122,0.01)); #130440=CARTESIAN_POINT('Origin',(-1.1475501055641,-0.0224971860919584, 0.)); #130441=CARTESIAN_POINT('',(-1.1475501055641,-0.0224971860919584,0.)); #130442=CARTESIAN_POINT('',(-0.573775052787978,-0.022497186104766,0.)); #130443=CARTESIAN_POINT('',(-1.1475501055641,-0.0224971860919584,0.01)); #130444=CARTESIAN_POINT('',(-1.1475501055641,-0.0224971860919584,0.)); #130445=CARTESIAN_POINT('',(-1.1475501055641,-0.0224971860919584,0.01)); #130446=CARTESIAN_POINT('Origin',(-1.14755010556388,-0.0112485934147657, 0.)); #130447=CARTESIAN_POINT('',(-1.14755010556388,-0.0112485934147657,0.)); #130448=CARTESIAN_POINT('',(-1.14755010555894,0.248795066667209,0.)); #130449=CARTESIAN_POINT('',(-1.14755010556388,-0.0112485934147657,0.01)); #130450=CARTESIAN_POINT('',(-1.14755010556388,-0.0112485934147657,0.)); #130451=CARTESIAN_POINT('',(-1.14755010556388,-0.0112485934147657,0.01)); #130452=CARTESIAN_POINT('Origin',(-1.17856750402031,-0.0112485934148808, 0.)); #130453=CARTESIAN_POINT('',(-1.17856750402031,-0.0112485934148808,0.)); #130454=CARTESIAN_POINT('',(-0.58928375200919,-0.0112485934126951,0.)); #130455=CARTESIAN_POINT('',(-1.17856750402031,-0.0112485934148808,0.01)); #130456=CARTESIAN_POINT('',(-1.17856750402031,-0.0112485934148808,0.)); #130457=CARTESIAN_POINT('',(-1.17856750402031,-0.0112485934148808,0.01)); #130458=CARTESIAN_POINT('Origin',(-1.17856750402094,-0.00449943716470975, 0.)); #130459=CARTESIAN_POINT('',(-1.17856750402094,-0.00449943716470975,0.)); #130460=CARTESIAN_POINT('',(-1.17856750404509,0.252169644725877,0.)); #130461=CARTESIAN_POINT('',(-1.17856750402094,-0.00449943716470975,0.01)); #130462=CARTESIAN_POINT('',(-1.17856750402094,-0.00449943716470975,0.)); #130463=CARTESIAN_POINT('',(-1.17856750402094,-0.00449943716470975,0.01)); #130464=CARTESIAN_POINT('Origin',(-1.14755010556358,0.0224971878351543, 0.)); #130465=CARTESIAN_POINT('',(-1.14755010556358,0.0224971878351543,0.)); #130466=CARTESIAN_POINT('',(-0.70066318930559,0.411454324654598,0.)); #130467=CARTESIAN_POINT('',(-1.14755010556358,0.0224971878351543,0.01)); #130468=CARTESIAN_POINT('',(-1.14755010556358,0.0224971878351543,0.)); #130469=CARTESIAN_POINT('',(-1.14755010556358,0.0224971878351543,0.01)); #130470=CARTESIAN_POINT('Origin',(-1.14065734945729,0.0224971878352333, 0.)); #130471=CARTESIAN_POINT('',(-1.14065734945729,0.0224971878352333,0.)); #130472=CARTESIAN_POINT('',(-0.570328674725854,0.0224971878417723,0.)); #130473=CARTESIAN_POINT('',(-1.14065734945729,0.0224971878352333,0.01)); #130474=CARTESIAN_POINT('',(-1.14065734945729,0.0224971878352333,0.)); #130475=CARTESIAN_POINT('',(-1.14065734945729,0.0224971878352333,0.01)); #130476=CARTESIAN_POINT('Origin',(-1.14065734945735,-0.00449943716474821, 0.)); #130477=CARTESIAN_POINT('',(-1.14065734945735,-0.00449943716474821,0.)); #130478=CARTESIAN_POINT('',(-1.14065734945673,0.252169644782691,0.)); #130479=CARTESIAN_POINT('',(-1.14065734945735,-0.00449943716474821,0.01)); #130480=CARTESIAN_POINT('',(-1.14065734945735,-0.00449943716474821,0.)); #130481=CARTESIAN_POINT('',(-1.14065734945735,-0.00449943716474821,0.01)); #130482=CARTESIAN_POINT('Origin',(-1.12457425402037,-0.00449943716486221, 0.)); #130483=CARTESIAN_POINT('',(-0.562287127012005,-0.0044994371688476,0.)); #130484=CARTESIAN_POINT('',(-1.12457425402037,-0.00449943716486221,0.01)); #130485=CARTESIAN_POINT('Origin',(-1.14965707753782,-0.00262356638780363, 0.01)); #130486=CARTESIAN_POINT('Origin',(0.856689363398859,0.105498818068951,0.)); #130487=CARTESIAN_POINT('',(0.856689363398859,0.105498818068951,0.)); #130488=CARTESIAN_POINT('',(0.846658058781603,0.110961349728025,0.)); #130489=CARTESIAN_POINT('',(0.44161027955059,0.331529501320241,0.)); #130490=CARTESIAN_POINT('',(0.856689363398859,0.105498818068951,0.01)); #130491=CARTESIAN_POINT('',(0.856689363398859,0.105498818068951,0.)); #130492=CARTESIAN_POINT('',(0.846658058781603,0.110961349728025,0.01)); #130493=CARTESIAN_POINT('',(0.846658058781603,0.110961349728025,0.01)); #130494=CARTESIAN_POINT('',(0.846658058781603,0.110961349728025,0.)); #130495=CARTESIAN_POINT('Origin',(0.902178542227443,0.189034408908318,0.)); #130496=CARTESIAN_POINT('',(0.902178542227443,0.189034408908318,0.)); #130497=CARTESIAN_POINT('',(0.866168354962233,0.122905884566933,0.)); #130498=CARTESIAN_POINT('',(0.902178542227443,0.189034408908318,0.01)); #130499=CARTESIAN_POINT('',(0.902178542227443,0.189034408908318,0.)); #130500=CARTESIAN_POINT('',(0.856689363398859,0.105498818068951,0.01)); #130501=CARTESIAN_POINT('Origin',(0.938305439717,0.169361561874956,0.)); #130502=CARTESIAN_POINT('',(0.973930293744279,0.189929580937421,0.)); #130503=CARTESIAN_POINT('Origin',(0.938305439717,0.169361561874956,0.)); #130504=CARTESIAN_POINT('',(0.973930293744279,0.189929580937421,0.01)); #130505=CARTESIAN_POINT('',(0.973930293744279,0.189929580937421,0.)); #130506=CARTESIAN_POINT('Origin',(0.938305439717,0.169361561874956,0.01)); #130507=CARTESIAN_POINT('Origin',(1.05042676877833,0.057433799578414,0.)); #130508=CARTESIAN_POINT('',(1.05042676877833,0.0574337995784141,0.)); #130509=CARTESIAN_POINT('',(0.821391389105132,0.45413471390355,0.)); #130510=CARTESIAN_POINT('',(1.05042676877833,0.0574337995784141,0.01)); #130511=CARTESIAN_POINT('',(1.05042676877833,0.0574337995784141,0.)); #130512=CARTESIAN_POINT('',(0.973930293744279,0.189929580937421,0.01)); #130513=CARTESIAN_POINT('Origin',(1.03009254112381,0.0456411000087091,0.)); #130514=CARTESIAN_POINT('',(1.03009254112381,0.0456411000087091,0.)); #130515=CARTESIAN_POINT('',(0.745184717704199,-0.119589290037603,0.)); #130516=CARTESIAN_POINT('',(1.03009254112381,0.0456411000087091,0.01)); #130517=CARTESIAN_POINT('',(1.03009254112381,0.0456411000087091,0.)); #130518=CARTESIAN_POINT('',(1.05042676877833,0.0574337995784141,0.01)); #130519=CARTESIAN_POINT('Origin',(0.953573234300104,0.178176427187436,0.)); #130520=CARTESIAN_POINT('',(0.953573234300104,0.178176427187436,0.)); #130521=CARTESIAN_POINT('',(0.762786092143935,0.508629450833065,0.)); #130522=CARTESIAN_POINT('',(0.953573234300104,0.178176427187436,0.01)); #130523=CARTESIAN_POINT('',(0.953573234300104,0.178176427187436,0.)); #130524=CARTESIAN_POINT('',(1.03009254112381,0.0456411000087091,0.01)); #130525=CARTESIAN_POINT('Origin',(0.938305439717,0.169361561874956,0.)); #130526=CARTESIAN_POINT('',(0.922822483650062,0.177792782032103,0.)); #130527=CARTESIAN_POINT('Origin',(0.938305439717,0.169361561874956,0.)); #130528=CARTESIAN_POINT('',(0.922822483650062,0.177792782032103,0.01)); #130529=CARTESIAN_POINT('',(0.922822483650062,0.177792782032103,0.)); #130530=CARTESIAN_POINT('Origin',(0.938305439717,0.169361561874956,0.01)); #130531=CARTESIAN_POINT('Origin',(0.877333295866553,0.0942571747480597, 0.)); #130532=CARTESIAN_POINT('',(0.877333295866553,0.0942571747480597,0.)); #130533=CARTESIAN_POINT('',(0.864067702493094,0.0698964540486929,0.)); #130534=CARTESIAN_POINT('',(0.877333295866553,0.0942571747480597,0.01)); #130535=CARTESIAN_POINT('',(0.877333295866553,0.0942571747480597,0.)); #130536=CARTESIAN_POINT('',(0.922822483650062,0.177792782032103,0.01)); #130537=CARTESIAN_POINT('Origin',(0.886623075611847,0.089198439329231,0.)); #130538=CARTESIAN_POINT('',(0.886623075611847,0.089198439329231,0.)); #130539=CARTESIAN_POINT('',(0.456577131179386,0.323379303727663,0.)); #130540=CARTESIAN_POINT('',(0.886623075611847,0.089198439329231,0.01)); #130541=CARTESIAN_POINT('',(0.886623075611847,0.089198439329231,0.)); #130542=CARTESIAN_POINT('',(0.877333295866553,0.0942571747480597,0.01)); #130543=CARTESIAN_POINT('Origin',(0.851903056523512,0.0721334327303438, 0.)); #130544=CARTESIAN_POINT('',(0.851903056523512,0.0721334327303438,0.)); #130545=CARTESIAN_POINT('',(0.595269088259995,-0.0540030290836071,0.)); #130546=CARTESIAN_POINT('',(0.851903056523512,0.0721334327303438,0.01)); #130547=CARTESIAN_POINT('',(0.851903056523512,0.0721334327303438,0.)); #130548=CARTESIAN_POINT('',(0.886623075611847,0.089198439329231,0.01)); #130549=CARTESIAN_POINT('Origin',(0.846658058781603,0.110961349728025,0.)); #130550=CARTESIAN_POINT('',(0.812680123395795,0.362494814532269,0.)); #130551=CARTESIAN_POINT('',(0.851903056523512,0.0721334327303438,0.01)); #130552=CARTESIAN_POINT('Origin',(0.945145609292723,0.128406958689115,0.01)); #130553=CARTESIAN_POINT('Origin',(0.812935148452278,-0.102002447476931, 0.)); #130554=CARTESIAN_POINT('',(0.812935148452278,-0.102002447476931,0.)); #130555=CARTESIAN_POINT('',(0.879815584897167,-0.102002447476964,0.)); #130556=CARTESIAN_POINT('',(0.406467574225985,-0.102002447476725,0.)); #130557=CARTESIAN_POINT('',(0.812935148452278,-0.102002447476931,0.01)); #130558=CARTESIAN_POINT('',(0.812935148452278,-0.102002447476931,0.)); #130559=CARTESIAN_POINT('',(0.879815584897167,-0.102002447476964,0.01)); #130560=CARTESIAN_POINT('',(0.879815584897167,-0.102002447476964,0.01)); #130561=CARTESIAN_POINT('',(0.879815584897167,-0.102002447476964,0.)); #130562=CARTESIAN_POINT('Origin',(0.812935148452502,-0.0608664093519603, 0.)); #130563=CARTESIAN_POINT('',(0.776808250962906,-0.0411935623186695,0.)); #130564=CARTESIAN_POINT('Origin',(0.812935148452502,-0.0608664093519603, 0.)); #130565=CARTESIAN_POINT('',(0.776808250962906,-0.0411935623186695,0.01)); #130566=CARTESIAN_POINT('',(0.776808250962906,-0.0411935623186695,0.)); #130567=CARTESIAN_POINT('Origin',(0.812935148452502,-0.0608664093519603, 0.01)); #130568=CARTESIAN_POINT('Origin',(0.834836119861743,0.0653678757739443, 0.)); #130569=CARTESIAN_POINT('',(0.834836119861743,0.0653678757739443,0.)); #130570=CARTESIAN_POINT('',(0.832497143779572,0.0610726180002202,0.)); #130571=CARTESIAN_POINT('',(0.834836119861743,0.0653678757739443,0.01)); #130572=CARTESIAN_POINT('',(0.834836119861743,0.0653678757739443,0.)); #130573=CARTESIAN_POINT('',(0.776808250962906,-0.0411935623186695,0.01)); #130574=CARTESIAN_POINT('Origin',(0.855480061284362,0.0541262488977293, 0.)); #130575=CARTESIAN_POINT('',(0.855480061284362,0.0541262488977293,0.)); #130576=CARTESIAN_POINT('',(0.430079006724598,0.285777745586705,0.)); #130577=CARTESIAN_POINT('',(0.855480061284362,0.0541262488977293,0.01)); #130578=CARTESIAN_POINT('',(0.855480061284362,0.0541262488977293,0.)); #130579=CARTESIAN_POINT('',(0.834836119861743,0.0653678757739443,0.01)); #130580=CARTESIAN_POINT('Origin',(0.797452192385516,-0.0524351891948991, 0.)); #130581=CARTESIAN_POINT('',(0.797452192385516,-0.0524351891948991,0.)); #130582=CARTESIAN_POINT('',(0.824127150752772,-0.00344972792230143,0.)); #130583=CARTESIAN_POINT('',(0.797452192385516,-0.0524351891948991,0.01)); #130584=CARTESIAN_POINT('',(0.797452192385516,-0.0524351891948991,0.)); #130585=CARTESIAN_POINT('',(0.855480061284362,0.0541262488977293,0.01)); #130586=CARTESIAN_POINT('Origin',(0.812935148452502,-0.0608664093519603, 0.)); #130587=CARTESIAN_POINT('',(0.812935148452278,-0.0784961399769311,0.)); #130588=CARTESIAN_POINT('Origin',(0.812935148452502,-0.0608664093519603, 0.)); #130589=CARTESIAN_POINT('',(0.812935148452278,-0.0784961399769311,0.01)); #130590=CARTESIAN_POINT('',(0.812935148452278,-0.0784961399769311,0.)); #130591=CARTESIAN_POINT('Origin',(0.812935148452502,-0.0608664093519603, 0.01)); #130592=CARTESIAN_POINT('Origin',(0.879815584897167,-0.0784961399769641, 0.)); #130593=CARTESIAN_POINT('',(0.879815584897167,-0.0784961399769641,0.)); #130594=CARTESIAN_POINT('',(0.439907792448439,-0.0784961399767475,0.)); #130595=CARTESIAN_POINT('',(0.879815584897167,-0.0784961399769641,0.01)); #130596=CARTESIAN_POINT('',(0.879815584897167,-0.0784961399769641,0.)); #130597=CARTESIAN_POINT('',(0.812935148452278,-0.0784961399769311,0.01)); #130598=CARTESIAN_POINT('Origin',(0.879815584897167,-0.0675164634386448, 0.)); #130599=CARTESIAN_POINT('',(0.879815584897167,-0.0675164634386448,0.)); #130600=CARTESIAN_POINT('',(0.879815584897167,0.220661131644354,0.)); #130601=CARTESIAN_POINT('',(0.879815584897167,-0.0675164634386448,0.01)); #130602=CARTESIAN_POINT('',(0.879815584897167,-0.0675164634386448,0.)); #130603=CARTESIAN_POINT('',(0.879815584897167,-0.0784961399769641,0.01)); #130604=CARTESIAN_POINT('Origin',(0.91154910002217,-0.0902492937269639, 0.)); #130605=CARTESIAN_POINT('',(0.91154910002217,-0.0902492937269639,0.)); #130606=CARTESIAN_POINT('',(0.468536778368045,0.227109936226812,0.)); #130607=CARTESIAN_POINT('',(0.91154910002217,-0.0902492937269639,0.01)); #130608=CARTESIAN_POINT('',(0.91154910002217,-0.0902492937269639,0.)); #130609=CARTESIAN_POINT('',(0.879815584897167,-0.0675164634386448,0.01)); #130610=CARTESIAN_POINT('Origin',(0.879815584897167,-0.112580285851965, 0.)); #130611=CARTESIAN_POINT('',(0.879815584897167,-0.112580285851965,0.)); #130612=CARTESIAN_POINT('',(0.731835030797687,-0.216714749847891,0.)); #130613=CARTESIAN_POINT('',(0.879815584897167,-0.112580285851965,0.01)); #130614=CARTESIAN_POINT('',(0.879815584897167,-0.112580285851965,0.)); #130615=CARTESIAN_POINT('',(0.91154910002217,-0.0902492937269639,0.01)); #130616=CARTESIAN_POINT('Origin',(0.879815584897167,-0.102002447476964, 0.)); #130617=CARTESIAN_POINT('',(0.879815584897167,0.203418139625194,0.)); #130618=CARTESIAN_POINT('',(0.879815584897167,-0.112580285851965,0.01)); #130619=CARTESIAN_POINT('Origin',(0.832698629955121,-0.0421983039140457, 0.01)); #130620=CARTESIAN_POINT('Origin',(0.925652874346887,-0.0784961399769623, 0.)); #130621=CARTESIAN_POINT('',(0.925652874346887,-0.0784961399769623,0.)); #130622=CARTESIAN_POINT('',(0.925652874346887,-0.10200244747698,0.)); #130623=CARTESIAN_POINT('',(0.925652874346887,0.215171293375195,0.)); #130624=CARTESIAN_POINT('',(0.925652874346887,-0.0784961399769623,0.01)); #130625=CARTESIAN_POINT('',(0.925652874346887,-0.0784961399769623,0.)); #130626=CARTESIAN_POINT('',(0.925652874346887,-0.10200244747698,0.01)); #130627=CARTESIAN_POINT('',(0.925652874346887,-0.0784961399769623,0.01)); #130628=CARTESIAN_POINT('',(0.925652874346887,-0.10200244747698,0.)); #130629=CARTESIAN_POINT('Origin',(1.07109874673433,-0.0784961399770025, 0.)); #130630=CARTESIAN_POINT('',(1.07109874673433,-0.0784961399770025,0.)); #130631=CARTESIAN_POINT('',(0.535549373367082,-0.0784961399768544,0.)); #130632=CARTESIAN_POINT('',(1.07109874673433,-0.0784961399770025,0.01)); #130633=CARTESIAN_POINT('',(1.07109874673433,-0.0784961399770025,0.)); #130634=CARTESIAN_POINT('',(1.07109874673433,-0.0784961399770025,0.01)); #130635=CARTESIAN_POINT('Origin',(1.07109874673433,-0.0608664093520317, 0.)); #130636=CARTESIAN_POINT('',(1.08636654131744,-0.0520515440395522,0.)); #130637=CARTESIAN_POINT('Origin',(1.07109874673433,-0.0608664093520317, 0.)); #130638=CARTESIAN_POINT('',(1.08636654131744,-0.0520515440395522,0.01)); #130639=CARTESIAN_POINT('',(1.08636654131744,-0.0520515440395522,0.)); #130640=CARTESIAN_POINT('Origin',(1.07109874673433,-0.0608664093520317, 0.01)); #130641=CARTESIAN_POINT('Origin',(1.05293241230353,0.00585806611940235, 0.)); #130642=CARTESIAN_POINT('',(1.05293241230353,0.00585806611940235,0.)); #130643=CARTESIAN_POINT('',(0.812417353341108,0.422442368228088,0.)); #130644=CARTESIAN_POINT('',(1.05293241230353,0.00585806611940235,0.01)); #130645=CARTESIAN_POINT('',(1.05293241230353,0.00585806611940235,0.)); #130646=CARTESIAN_POINT('',(1.05293241230353,0.00585806611940235,0.01)); #130647=CARTESIAN_POINT('Origin',(1.04377173555367,0.000569146931916417, 0.)); #130648=CARTESIAN_POINT('',(1.04377173555367,0.000569146931916417,0.)); #130649=CARTESIAN_POINT('',(0.762400926739411,-0.161880365279063,0.)); #130650=CARTESIAN_POINT('',(1.04377173555367,0.000569146931916417,0.01)); #130651=CARTESIAN_POINT('',(1.04377173555367,0.000569146931916417,0.)); #130652=CARTESIAN_POINT('',(1.04377173555367,0.000569146931916417,0.01)); #130653=CARTESIAN_POINT('Origin',(1.04731156050704,0.0393231855395141,0.)); #130654=CARTESIAN_POINT('',(1.04731156050704,0.0393231855395141,0.)); #130655=CARTESIAN_POINT('',(1.06424431651935,0.224703165871193,0.)); #130656=CARTESIAN_POINT('',(1.04731156050704,0.0393231855395141,0.01)); #130657=CARTESIAN_POINT('',(1.04731156050704,0.0393231855395141,0.)); #130658=CARTESIAN_POINT('',(1.04731156050704,0.0393231855395141,0.01)); #130659=CARTESIAN_POINT('Origin',(1.08245014849759,0.0229001390569165,0.)); #130660=CARTESIAN_POINT('',(1.08245014849759,0.0229001390569165,0.)); #130661=CARTESIAN_POINT('',(0.545056369377974,0.274066834096412,0.)); #130662=CARTESIAN_POINT('',(1.08245014849759,0.0229001390569165,0.01)); #130663=CARTESIAN_POINT('',(1.08245014849759,0.0229001390569165,0.)); #130664=CARTESIAN_POINT('',(1.08245014849759,0.0229001390569165,0.01)); #130665=CARTESIAN_POINT('Origin',(1.07328947174773,0.0176112198694274,0.)); #130666=CARTESIAN_POINT('',(1.07328947174773,0.0176112198694274,0.)); #130667=CARTESIAN_POINT('',(0.777159794836277,-0.15335932881029,0.)); #130668=CARTESIAN_POINT('',(1.07328947174773,0.0176112198694274,0.01)); #130669=CARTESIAN_POINT('',(1.07328947174773,0.0176112198694274,0.)); #130670=CARTESIAN_POINT('',(1.07328947174773,0.0176112198694274,0.01)); #130671=CARTESIAN_POINT('Origin',(1.10672360076164,-0.0402983902895394, 0.)); #130672=CARTESIAN_POINT('',(1.10672360076164,-0.0402983902895394,0.)); #130673=CARTESIAN_POINT('',(0.849491477292272,0.405240716898623,0.)); #130674=CARTESIAN_POINT('',(1.10672360076164,-0.0402983902895394,0.01)); #130675=CARTESIAN_POINT('',(1.10672360076164,-0.0402983902895394,0.)); #130676=CARTESIAN_POINT('',(1.10672360076164,-0.0402983902895394,0.01)); #130677=CARTESIAN_POINT('Origin',(1.07109874673433,-0.0608664093520317, 0.)); #130678=CARTESIAN_POINT('',(1.07109874673431,-0.102002447477043,0.)); #130679=CARTESIAN_POINT('Origin',(1.07109874673433,-0.0608664093520317, 0.)); #130680=CARTESIAN_POINT('',(1.07109874673431,-0.102002447477043,0.01)); #130681=CARTESIAN_POINT('',(1.07109874673431,-0.102002447477043,0.)); #130682=CARTESIAN_POINT('Origin',(1.07109874673433,-0.0608664093520317, 0.01)); #130683=CARTESIAN_POINT('Origin',(0.925652874346887,-0.10200244747698,0.)); #130684=CARTESIAN_POINT('',(0.462826437173311,-0.102002447476779,0.)); #130685=CARTESIAN_POINT('',(0.925652874346887,-0.10200244747698,0.01)); #130686=CARTESIAN_POINT('Origin',(1.03581439454347,-0.0553188936894137, 0.01)); #130687=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130688=CARTESIAN_POINT('',(-1.08295445714569,1.12492564716315E-15,0.01)); #130689=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130690=CARTESIAN_POINT('',(-1.08295445714569,1.12492564716315E-15,0.)); #130691=CARTESIAN_POINT('',(-1.08295445714569,1.10426231566688E-15,0.)); #130692=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130693=CARTESIAN_POINT('Origin',(-0.937364675568148,0.064406911219873, 0.)); #130694=CARTESIAN_POINT('',(-0.864177435273976,0.137594151514502,0.)); #130695=CARTESIAN_POINT('',(-0.937364675568148,0.064406911219873,0.)); #130696=CARTESIAN_POINT('',(-0.591915552800707,0.409856033989475,0.)); #130697=CARTESIAN_POINT('',(-0.864177435273976,0.137594151514502,0.01)); #130698=CARTESIAN_POINT('',(-0.864177435273976,0.137594151514502,0.)); #130699=CARTESIAN_POINT('',(-0.937364675568148,0.064406911219873,0.01)); #130700=CARTESIAN_POINT('',(-0.968772735486157,0.0329988513016676,0.01)); #130701=CARTESIAN_POINT('',(-0.937364675568148,0.064406911219873,0.)); #130702=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130703=CARTESIAN_POINT('',(-0.973424646950964,0.0852261454047981,0.)); #130704=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130705=CARTESIAN_POINT('',(-0.973424646950964,0.0852261454047981,0.01)); #130706=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130707=CARTESIAN_POINT('',(-0.973424646950964,0.0852261454047981,0.)); #130708=CARTESIAN_POINT('Origin',(-0.946636257770338,0.185201774879834, 0.)); #130709=CARTESIAN_POINT('',(-0.946636257770338,0.185201774879834,0.)); #130710=CARTESIAN_POINT('',(-0.874475336189962,0.454510000538824,0.)); #130711=CARTESIAN_POINT('',(-0.946636257770338,0.185201774879834,0.01)); #130712=CARTESIAN_POINT('',(-0.971526600174259,0.0923097524106052,0.01)); #130713=CARTESIAN_POINT('',(-0.946636257770338,0.185201774879834,0.)); #130714=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130715=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130716=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130717=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130718=CARTESIAN_POINT('',(-0.912199318498768,-0.0208192341846964,0.)); #130719=CARTESIAN_POINT('',(-0.912199318498742,0.0208192341845924,0.)); #130720=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130721=CARTESIAN_POINT('',(-0.912199318498768,-0.0208192341846964,0.01)); #130722=CARTESIAN_POINT('',(-0.912199318498768,-0.0208192341846964,0.)); #130723=CARTESIAN_POINT('',(-0.912199318498742,0.0208192341845924,0.01)); #130724=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130725=CARTESIAN_POINT('',(-0.912199318498742,0.0208192341845924,0.)); #130726=CARTESIAN_POINT('Origin',(-0.812223689023516,0.0476076233652333, 0.)); #130727=CARTESIAN_POINT('',(-0.812223689023516,0.0476076233652333,0.)); #130728=CARTESIAN_POINT('',(-0.375662291784902,0.164583897201752,0.)); #130729=CARTESIAN_POINT('',(-0.812223689023516,0.0476076233652333,0.01)); #130730=CARTESIAN_POINT('',(-0.905115711492787,0.0227172809613349,0.01)); #130731=CARTESIAN_POINT('',(-0.812223689023516,0.0476076233652333,0.)); #130732=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130733=CARTESIAN_POINT('',(-0.812223689023553,-0.0476076233653736,0.)); #130734=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130735=CARTESIAN_POINT('',(-0.812223689023553,-0.0476076233653736,0.01)); #130736=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130737=CARTESIAN_POINT('',(-0.812223689023553,-0.0476076233653736,0.)); #130738=CARTESIAN_POINT('Origin',(-0.912199318498768,-0.0208192341846964, 0.)); #130739=CARTESIAN_POINT('',(-0.552859788204386,-0.117103971135655,0.)); #130740=CARTESIAN_POINT('',(-0.955103526230422,-0.00932308637110253,0.01)); #130741=CARTESIAN_POINT('Origin',(-0.860995852506044,0.134412568746797, 0.)); #130742=CARTESIAN_POINT('',(-0.934183092800326,0.0612253284520774,0.)); #130743=CARTESIAN_POINT('',(-0.860995852506044,0.134412568746797,0.)); #130744=CARTESIAN_POINT('',(-0.552140349885683,0.443268071369007,0.)); #130745=CARTESIAN_POINT('',(-0.934183092800326,0.0612253284520774,0.01)); #130746=CARTESIAN_POINT('',(-0.934183092800326,0.0612253284520774,0.)); #130747=CARTESIAN_POINT('',(-0.860995852506044,0.134412568746797,0.01)); #130748=CARTESIAN_POINT('',(-0.928997532571199,0.0664108886812344,0.01)); #130749=CARTESIAN_POINT('',(-0.860995852506044,0.134412568746797,0.)); #130750=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130751=CARTESIAN_POINT('',(-0.813388229140667,0.0519537462502641,0.)); #130752=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130753=CARTESIAN_POINT('',(-0.813388229140667,0.0519537462502641,0.01)); #130754=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130755=CARTESIAN_POINT('',(-0.813388229140667,0.0519537462502641,0.)); #130756=CARTESIAN_POINT('Origin',(-0.913363858615834,0.0251653570696458, 0.)); #130757=CARTESIAN_POINT('',(-0.913363858615834,0.0251653570696458,0.)); #130758=CARTESIAN_POINT('',(-0.426814646639681,0.155535825496431,0.)); #130759=CARTESIAN_POINT('',(-0.913363858615834,0.0251653570696458,0.01)); #130760=CARTESIAN_POINT('',(-0.956268066347568,0.0136692092560503,0.01)); #130761=CARTESIAN_POINT('',(-0.913363858615834,0.0251653570696458,0.)); #130762=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130763=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130764=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130765=CARTESIAN_POINT('Origin',(-0.813388229140681,-0.051953746250434, 0.)); #130766=CARTESIAN_POINT('',(-0.913363858615843,-0.0251653570697731,0.)); #130767=CARTESIAN_POINT('',(-0.813388229140681,-0.051953746250434,0.)); #130768=CARTESIAN_POINT('',(-0.504036513583959,-0.134844288611034,0.)); #130769=CARTESIAN_POINT('',(-0.913363858615843,-0.0251653570697731,0.01)); #130770=CARTESIAN_POINT('',(-0.913363858615843,-0.0251653570697731,0.)); #130771=CARTESIAN_POINT('',(-0.813388229140681,-0.051953746250434,0.01)); #130772=CARTESIAN_POINT('',(-0.906280251610004,-0.0270634038464869,0.01)); #130773=CARTESIAN_POINT('',(-0.813388229140681,-0.051953746250434,0.)); #130774=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130775=CARTESIAN_POINT('',(-0.860995852505998,-0.134412568746797,0.)); #130776=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130777=CARTESIAN_POINT('',(-0.860995852505998,-0.134412568746797,0.01)); #130778=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130779=CARTESIAN_POINT('',(-0.860995852505998,-0.134412568746797,0.)); #130780=CARTESIAN_POINT('Origin',(-0.934183092800523,-0.0612253284523242, 0.)); #130781=CARTESIAN_POINT('',(-0.934183092800523,-0.0612253284523242,0.)); #130782=CARTESIAN_POINT('',(-0.843153333395143,-0.152255087857639,0.)); #130783=CARTESIAN_POINT('',(-0.934183092800523,-0.0612253284523242,0.01)); #130784=CARTESIAN_POINT('',(-0.965591152718659,-0.0298172685342111,0.01)); #130785=CARTESIAN_POINT('',(-0.934183092800523,-0.0612253284523242,0.)); #130786=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130787=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130788=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130789=CARTESIAN_POINT('Origin',(-1.02375536109077,0.0852261454046785, 0.)); #130790=CARTESIAN_POINT('',(-1.05054375027112,0.185201774879863,0.)); #130791=CARTESIAN_POINT('',(-1.02375536109077,0.0852261454046785,0.)); #130792=CARTESIAN_POINT('',(-1.04241763097491,0.154874684797674,0.)); #130793=CARTESIAN_POINT('',(-1.05054375027112,0.185201774879863,0.01)); #130794=CARTESIAN_POINT('',(-1.05054375027112,0.185201774879863,0.)); #130795=CARTESIAN_POINT('',(-1.02375536109077,0.0852261454046785,0.01)); #130796=CARTESIAN_POINT('',(-1.0122592132773,0.0423219376729492,0.01)); #130797=CARTESIAN_POINT('',(-1.02375536109077,0.0852261454046785,0.)); #130798=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130799=CARTESIAN_POINT('',(-1.05981533247307,0.0644069112200105,0.)); #130800=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130801=CARTESIAN_POINT('',(-1.05981533247307,0.0644069112200105,0.01)); #130802=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130803=CARTESIAN_POINT('',(-1.05981533247307,0.0644069112200105,0.)); #130804=CARTESIAN_POINT('Origin',(-1.13300257276755,0.13759415151443,0.)); #130805=CARTESIAN_POINT('',(-1.13300257276755,0.13759415151443,0.)); #130806=CARTESIAN_POINT('',(-0.942563073378658,-0.0528453478743061,0.)); #130807=CARTESIAN_POINT('',(-1.13300257276755,0.13759415151443,0.01)); #130808=CARTESIAN_POINT('',(-1.0650008927022,0.0695924714491371,0.01)); #130809=CARTESIAN_POINT('',(-1.13300257276755,0.13759415151443,0.)); #130810=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130811=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130812=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130813=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130814=CARTESIAN_POINT('',(-1.04619762738603,0.186366314997087,0.)); #130815=CARTESIAN_POINT('',(-0.950982380655359,0.186366314997086,0.)); #130816=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130817=CARTESIAN_POINT('',(-1.04619762738603,0.186366314997087,0.01)); #130818=CARTESIAN_POINT('',(-1.04619762738603,0.186366314997087,0.)); #130819=CARTESIAN_POINT('',(-0.950982380655359,0.186366314997086,0.01)); #130820=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130821=CARTESIAN_POINT('',(-0.950982380655359,0.186366314997086,0.)); #130822=CARTESIAN_POINT('Origin',(-0.97777076983595,0.0863906855222125, 0.)); #130823=CARTESIAN_POINT('',(-0.97777076983595,0.0863906855222125,0.)); #130824=CARTESIAN_POINT('',(-0.892215653665248,0.405686725918664,0.)); #130825=CARTESIAN_POINT('',(-0.97777076983595,0.0863906855222125,0.01)); #130826=CARTESIAN_POINT('',(-0.989266917649583,0.0434864777904203,0.01)); #130827=CARTESIAN_POINT('',(-0.97777076983595,0.0863906855222125,0.)); #130828=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130829=CARTESIAN_POINT('',(-1.01940923820575,0.086390685522138,0.)); #130830=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130831=CARTESIAN_POINT('',(-1.01940923820575,0.086390685522138,0.01)); #130832=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130833=CARTESIAN_POINT('',(-1.01940923820575,0.086390685522138,0.)); #130834=CARTESIAN_POINT('Origin',(-1.04619762738603,0.186366314997087,0.)); #130835=CARTESIAN_POINT('',(-1.05146570268,0.206027039652537,0.)); #130836=CARTESIAN_POINT('',(-1.02130728498239,0.0934742925277773,0.01)); #130837=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130838=CARTESIAN_POINT('',(-0.950982380655373,-0.186366314997141,0.)); #130839=CARTESIAN_POINT('',(-1.04619762738605,-0.186366314997134,0.)); #130840=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130841=CARTESIAN_POINT('',(-0.950982380655373,-0.186366314997141,0.01)); #130842=CARTESIAN_POINT('',(-0.950982380655373,-0.186366314997141,0.)); #130843=CARTESIAN_POINT('',(-1.04619762738605,-0.186366314997134,0.01)); #130844=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130845=CARTESIAN_POINT('',(-1.04619762738605,-0.186366314997134,0.)); #130846=CARTESIAN_POINT('Origin',(-1.01940923820545,-0.0863906855222468, 0.)); #130847=CARTESIAN_POINT('',(-1.01940923820545,-0.0863906855222468,0.)); #130848=CARTESIAN_POINT('',(-0.910861826407491,0.318713770337802,0.)); #130849=CARTESIAN_POINT('',(-1.01940923820545,-0.0863906855222468,0.01)); #130850=CARTESIAN_POINT('',(-1.00791309039182,-0.0434864777904356,0.01)); #130851=CARTESIAN_POINT('',(-1.01940923820545,-0.0863906855222468,0.)); #130852=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130853=CARTESIAN_POINT('',(-0.977770769835655,-0.086390685522179,0.)); #130854=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130855=CARTESIAN_POINT('',(-0.977770769835655,-0.086390685522179,0.01)); #130856=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130857=CARTESIAN_POINT('',(-0.977770769835655,-0.086390685522179,0.)); #130858=CARTESIAN_POINT('Origin',(-0.950982380655373,-0.186366314997141, 0.)); #130859=CARTESIAN_POINT('',(-1.00603114075664,0.0190784545969579,0.)); #130860=CARTESIAN_POINT('',(-0.975872723059021,-0.0934742925278066,0.01)); #130861=CARTESIAN_POINT('Origin',(-0.864177435273873,-0.137594151514448, 0.)); #130862=CARTESIAN_POINT('',(-0.937364675568312,-0.0644069112200732,0.)); #130863=CARTESIAN_POINT('',(-0.864177435273873,-0.137594151514448,0.)); #130864=CARTESIAN_POINT('',(-0.809741296015666,-0.192030290772607,0.)); #130865=CARTESIAN_POINT('',(-0.937364675568312,-0.0644069112200732,0.01)); #130866=CARTESIAN_POINT('',(-0.937364675568312,-0.0644069112200732,0.)); #130867=CARTESIAN_POINT('',(-0.864177435273873,-0.137594151514448,0.01)); #130868=CARTESIAN_POINT('',(-0.93217911533922,-0.0695924714491604,0.01)); #130869=CARTESIAN_POINT('',(-0.864177435273873,-0.137594151514448,0.)); #130870=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130871=CARTESIAN_POINT('',(-0.946636257770296,-0.185201774879856,0.)); #130872=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130873=CARTESIAN_POINT('',(-0.946636257770296,-0.185201774879856,0.01)); #130874=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130875=CARTESIAN_POINT('',(-0.946636257770296,-0.185201774879856,0.)); #130876=CARTESIAN_POINT('Origin',(-0.973424646950631,-0.0852261454047329, 0.)); #130877=CARTESIAN_POINT('',(-0.973424646950631,-0.0852261454047329,0.)); #130878=CARTESIAN_POINT('',(-1.01507921246173,0.0702308094517326,0.)); #130879=CARTESIAN_POINT('',(-0.973424646950631,-0.0852261454047329,0.01)); #130880=CARTESIAN_POINT('',(-0.984920794764115,-0.0423219376729791,0.01)); #130881=CARTESIAN_POINT('',(-0.973424646950631,-0.0852261454047329,0.)); #130882=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130883=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130884=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130885=CARTESIAN_POINT('Origin',(-1.13618415553525,-0.13441256874673,0.)); #130886=CARTESIAN_POINT('',(-1.06299691524104,-0.061225328452087,0.)); #130887=CARTESIAN_POINT('',(-1.13618415553525,-0.13441256874673,0.)); #130888=CARTESIAN_POINT('',(-0.691325292784619,0.310446294006567,0.)); #130889=CARTESIAN_POINT('',(-1.06299691524104,-0.061225328452087,0.01)); #130890=CARTESIAN_POINT('',(-1.06299691524104,-0.061225328452087,0.)); #130891=CARTESIAN_POINT('',(-1.13618415553525,-0.13441256874673,0.01)); #130892=CARTESIAN_POINT('',(-1.06818247547013,-0.0664108886812079,0.01)); #130893=CARTESIAN_POINT('',(-1.13618415553525,-0.13441256874673,0.)); #130894=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130895=CARTESIAN_POINT('',(-1.1837917789006,-0.0519537462502689,0.)); #130896=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130897=CARTESIAN_POINT('',(-1.1837917789006,-0.0519537462502689,0.01)); #130898=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130899=CARTESIAN_POINT('',(-1.1837917789006,-0.0519537462502689,0.)); #130900=CARTESIAN_POINT('Origin',(-1.08381614942554,-0.0251653570696792, 0.)); #130901=CARTESIAN_POINT('',(-1.08381614942554,-0.0251653570696792,0.)); #130902=CARTESIAN_POINT('',(-0.51145852198592,0.128197406984294,0.)); #130903=CARTESIAN_POINT('',(-1.08381614942554,-0.0251653570696792,0.01)); #130904=CARTESIAN_POINT('',(-1.04091194169381,-0.0136692092560849,0.01)); #130905=CARTESIAN_POINT('',(-1.08381614942554,-0.0251653570696792,0.)); #130906=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130907=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130908=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130909=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130910=CARTESIAN_POINT('',(-1.18495631901783,-0.0476076233652615,0.)); #130911=CARTESIAN_POINT('',(-1.18495631901782,0.0476076233653229,0.)); #130912=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130913=CARTESIAN_POINT('',(-1.18495631901783,-0.0476076233652615,0.01)); #130914=CARTESIAN_POINT('',(-1.18495631901783,-0.0476076233652615,0.)); #130915=CARTESIAN_POINT('',(-1.18495631901782,0.0476076233653229,0.01)); #130916=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130917=CARTESIAN_POINT('',(-1.18495631901782,0.0476076233653229,0.)); #130918=CARTESIAN_POINT('Origin',(-1.08498068954291,0.020819234184721,0.)); #130919=CARTESIAN_POINT('',(-1.08498068954291,0.020819234184721,0.)); #130920=CARTESIAN_POINT('',(-0.639832743785117,-0.0984577983934871,0.)); #130921=CARTESIAN_POINT('',(-1.08498068954291,0.020819234184721,0.01)); #130922=CARTESIAN_POINT('',(-1.04207648181112,0.00932308637108721,0.01)); #130923=CARTESIAN_POINT('',(-1.08498068954291,0.020819234184721,0.)); #130924=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130925=CARTESIAN_POINT('',(-1.08498068954292,-0.0208192341846882,0.)); #130926=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130927=CARTESIAN_POINT('',(-1.08498068954292,-0.0208192341846882,0.01)); #130928=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130929=CARTESIAN_POINT('',(-1.08498068954292,-0.0208192341846882,0.)); #130930=CARTESIAN_POINT('Origin',(-1.18495631901783,-0.0476076233652614, 0.)); #130931=CARTESIAN_POINT('',(-0.5626108768407,0.119149335279147,0.)); #130932=CARTESIAN_POINT('',(-1.09206429654858,-0.0227172809613534,0.01)); #130933=CARTESIAN_POINT('Origin',(-1.18379177890056,0.0519537462503431, 0.)); #130934=CARTESIAN_POINT('',(-1.08381614942556,0.0251653570697304,0.)); #130935=CARTESIAN_POINT('',(-1.18379177890056,0.0519537462503431,0.)); #130936=CARTESIAN_POINT('',(-0.688656018405252,-0.0807174809181124,0.)); #130937=CARTESIAN_POINT('',(-1.08381614942556,0.0251653570697304,0.01)); #130938=CARTESIAN_POINT('',(-1.08381614942556,0.0251653570697304,0.)); #130939=CARTESIAN_POINT('',(-1.18379177890056,0.0519537462503431,0.01)); #130940=CARTESIAN_POINT('',(-1.09089975643132,0.0270634038464218,0.01)); #130941=CARTESIAN_POINT('',(-1.18379177890056,0.0519537462503431,0.)); #130942=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130943=CARTESIAN_POINT('',(-1.1361841555353,0.134412568746653,0.)); #130944=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130945=CARTESIAN_POINT('',(-1.1361841555353,0.134412568746653,0.01)); #130946=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130947=CARTESIAN_POINT('',(-1.1361841555353,0.134412568746653,0.)); #130948=CARTESIAN_POINT('Origin',(-1.0629969152409,0.061225328452296,0.)); #130949=CARTESIAN_POINT('',(-1.0629969152409,0.061225328452296,0.)); #130950=CARTESIAN_POINT('',(-0.909151035999274,-0.0926205507892323,0.)); #130951=CARTESIAN_POINT('',(-1.0629969152409,0.061225328452296,0.01)); #130952=CARTESIAN_POINT('',(-1.03158885532276,0.0298172685341814,0.01)); #130953=CARTESIAN_POINT('',(-1.0629969152409,0.061225328452296,0.)); #130954=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130955=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130956=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130957=CARTESIAN_POINT('Origin',(-1.05054375027107,-0.18520177487988,0.)); #130958=CARTESIAN_POINT('',(-1.02375536109044,-0.0852261454048425,0.)); #130959=CARTESIAN_POINT('',(-1.05054375027107,-0.18520177487988,0.)); #130960=CARTESIAN_POINT('',(-0.928602143882831,0.269890495717599,0.)); #130961=CARTESIAN_POINT('',(-1.02375536109044,-0.0852261454048425,0.01)); #130962=CARTESIAN_POINT('',(-1.02375536109044,-0.0852261454048425,0.)); #130963=CARTESIAN_POINT('',(-1.05054375027107,-0.18520177487988,0.01)); #130964=CARTESIAN_POINT('',(-1.02565340786714,-0.0923097524106272,0.01)); #130965=CARTESIAN_POINT('',(-1.05054375027107,-0.18520177487988,0.)); #130966=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130967=CARTESIAN_POINT('',(-1.13300257276744,-0.13759415151455,0.)); #130968=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130969=CARTESIAN_POINT('',(-1.13300257276744,-0.13759415151455,0.01)); #130970=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130971=CARTESIAN_POINT('',(-1.13300257276744,-0.13759415151455,0.)); #130972=CARTESIAN_POINT('Origin',(-1.05981533247326,-0.0644069112199239, 0.)); #130973=CARTESIAN_POINT('',(-1.05981533247326,-0.0644069112199239,0.)); #130974=CARTESIAN_POINT('',(-0.651550089869741,0.343858331386084,0.)); #130975=CARTESIAN_POINT('',(-1.05981533247326,-0.0644069112199239,0.01)); #130976=CARTESIAN_POINT('',(-1.02840727255523,-0.032998851301704,0.01)); #130977=CARTESIAN_POINT('',(-1.05981533247326,-0.0644069112199239,0.)); #130978=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130979=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130980=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130981=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130982=CARTESIAN_POINT('',(-1.19544039464569,1.138701201494E-15,0.)); #130983=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.)); #130984=CARTESIAN_POINT('',(-1.19544039464569,1.138701201494E-15,0.01)); #130985=CARTESIAN_POINT('',(-1.19544039464569,1.09048676133604E-15,0.)); #130986=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459398141502E-15, 0.01)); #130987=CARTESIAN_POINT('Origin',(-0.998590004020695,1.11459399592796E-15, 0.01)); #130988=CARTESIAN_POINT('Origin',(-1.05621060727816,-0.126798435795536, 0.)); #130989=CARTESIAN_POINT('',(-1.05643573276934,-0.122688924939038,0.)); #130990=CARTESIAN_POINT('',(-1.05621060727816,-0.126798435795536,0.)); #130991=CARTESIAN_POINT('',(-1.07198900421756,0.161225337880916,0.)); #130992=CARTESIAN_POINT('',(-1.05643573276934,-0.122688924939038,0.01)); #130993=CARTESIAN_POINT('',(-1.05643573276934,-0.122688924939038,0.)); #130994=CARTESIAN_POINT('',(-1.05621060727816,-0.126798435795536,0.01)); #130995=CARTESIAN_POINT('',(-1.05601980636883,-0.130281375040712,0.01)); #130996=CARTESIAN_POINT('',(-1.05621060727816,-0.126798435795536,0.)); #130997=CARTESIAN_POINT('Origin',(-1.05695964003685,-0.130345515195157, 0.)); #130998=CARTESIAN_POINT('',(-1.05695964003685,-0.130345515195157,0.)); #130999=CARTESIAN_POINT('',(-0.969792584069473,0.28243812472028,0.)); #131000=CARTESIAN_POINT('',(-1.05695964003685,-0.130345515195157,0.01)); #131001=CARTESIAN_POINT('',(-1.05787632490506,-0.134686518942008,0.01)); #131002=CARTESIAN_POINT('',(-1.05695964003685,-0.130345515195157,0.)); #131003=CARTESIAN_POINT('Origin',(-1.05868282866149,-0.133330165443546, 0.)); #131004=CARTESIAN_POINT('',(-1.05868282866149,-0.133330165443546,0.)); #131005=CARTESIAN_POINT('',(-0.787313831498006,0.336694725162355,0.)); #131006=CARTESIAN_POINT('',(-1.05868282866149,-0.133330165443546,0.01)); #131007=CARTESIAN_POINT('',(-1.06145068914874,-0.138124240434907,0.01)); #131008=CARTESIAN_POINT('',(-1.05868282866149,-0.133330165443546,0.)); #131009=CARTESIAN_POINT('Origin',(-1.06138017395591,-0.135752387934338, 0.)); #131010=CARTESIAN_POINT('',(-1.06138017395591,-0.135752387934338,0.)); #131011=CARTESIAN_POINT('',(-0.607378563850453,0.271942137911916,0.)); #131012=CARTESIAN_POINT('',(-1.06138017395591,-0.135752387934338,0.01)); #131013=CARTESIAN_POINT('',(-1.0657802096216,-0.139703630428857,0.01)); #131014=CARTESIAN_POINT('',(-1.06138017395591,-0.135752387934338,0.)); #131015=CARTESIAN_POINT('Origin',(-1.06447728089036,-0.136617294855215, 0.)); #131016=CARTESIAN_POINT('',(-1.06447728089036,-0.136617294855215,0.)); #131017=CARTESIAN_POINT('',(-0.487138075839013,0.0246120991278833,0.)); #131018=CARTESIAN_POINT('',(-1.06447728089036,-0.136617294855215,0.01)); #131019=CARTESIAN_POINT('',(-1.0705243846102,-0.138306026296926,0.01)); #131020=CARTESIAN_POINT('',(-1.06447728089036,-0.136617294855215,0.)); #131021=CARTESIAN_POINT('Origin',(-1.06854854368987,-0.136919772625004, 0.)); #131022=CARTESIAN_POINT('',(-1.06854854368987,-0.136919772625004,0.)); #131023=CARTESIAN_POINT('',(-0.513350316901157,-0.0956708703310085,0.)); #131024=CARTESIAN_POINT('',(-1.06854854368987,-0.136919772625004,0.01)); #131025=CARTESIAN_POINT('',(-1.07316297293017,-0.137262605385795,0.01)); #131026=CARTESIAN_POINT('',(-1.06854854368987,-0.136919772625004,0.)); #131027=CARTESIAN_POINT('Origin',(-1.07204540888723,-0.136227367783358, 0.)); #131028=CARTESIAN_POINT('',(-1.07204540888723,-0.136227367783358,0.)); #131029=CARTESIAN_POINT('',(-0.617700071141576,-0.2261910620864,0.)); #131030=CARTESIAN_POINT('',(-1.07204540888723,-0.136227367783358,0.01)); #131031=CARTESIAN_POINT('',(-1.07501630630142,-0.135639108403166,0.01)); #131032=CARTESIAN_POINT('',(-1.07204540888723,-0.136227367783358,0.)); #131033=CARTESIAN_POINT('Origin',(-1.07886450691299,-0.132290359702629, 0.)); #131034=CARTESIAN_POINT('',(-1.07886450691299,-0.132290359702629,0.)); #131035=CARTESIAN_POINT('',(-0.813098835803728,-0.285730241455954,0.)); #131036=CARTESIAN_POINT('',(-1.07886450691299,-0.132290359702629,0.01)); #131037=CARTESIAN_POINT('',(-1.07794830620397,-0.132819328428608,0.01)); #131038=CARTESIAN_POINT('',(-1.07886450691299,-0.132290359702629,0.)); #131039=CARTESIAN_POINT('Origin',(-1.08121258108786,-0.12960818722403,0.)); #131040=CARTESIAN_POINT('',(-1.08121258108786,-0.12960818722403,0.)); #131041=CARTESIAN_POINT('',(-1.00486737241522,-0.216816257465803,0.)); #131042=CARTESIAN_POINT('',(-1.08121258108786,-0.12960818722403,0.01)); #131043=CARTESIAN_POINT('',(-1.07917921457697,-0.13193087351427,0.01)); #131044=CARTESIAN_POINT('',(-1.08121258108786,-0.12960818722403,0.)); #131045=CARTESIAN_POINT('Origin',(-1.08298625905481,-0.125931131329252, 0.)); #131046=CARTESIAN_POINT('',(-1.08298625905481,-0.125931131329252,0.)); #131047=CARTESIAN_POINT('',(-1.10497358049979,-0.0803486685394661,0.)); #131048=CARTESIAN_POINT('',(-1.08298625905481,-0.125931131329252,0.01)); #131049=CARTESIAN_POINT('',(-1.08074312102652,-0.130581436550049,0.01)); #131050=CARTESIAN_POINT('',(-1.08298625905481,-0.125931131329252,0.)); #131051=CARTESIAN_POINT('Origin',(-1.08378578046046,-0.122816504987698, 0.)); #131052=CARTESIAN_POINT('',(-1.08378578046046,-0.122816504987698,0.)); #131053=CARTESIAN_POINT('',(-1.12634622515437,0.0429825358071176,0.)); #131054=CARTESIAN_POINT('',(-1.08378578046046,-0.122816504987698,0.01)); #131055=CARTESIAN_POINT('',(-1.08214174514563,-0.129221031072722,0.01)); #131056=CARTESIAN_POINT('',(-1.08378578046046,-0.122816504987698,0.)); #131057=CARTESIAN_POINT('Origin',(-1.08303674759329,-0.11926942379245,0.)); #131058=CARTESIAN_POINT('',(-1.08303674759329,-0.11926942379245,0.)); #131059=CARTESIAN_POINT('',(-0.996432669634865,0.290848355841259,0.)); #131060=CARTESIAN_POINT('',(-1.08303674759329,-0.11926942379245,0.01)); #131061=CARTESIAN_POINT('',(-1.08451637304026,-0.126276261314514,0.01)); #131062=CARTESIAN_POINT('',(-1.08303674759329,-0.11926942379245,0.)); #131063=CARTESIAN_POINT('Origin',(-1.08131355896865,-0.116284773544061, 0.)); #131064=CARTESIAN_POINT('',(-1.08131355896865,-0.116284773544061,0.)); #131065=CARTESIAN_POINT('',(-0.810806156116967,0.352247791938546,0.)); #131066=CARTESIAN_POINT('',(-1.08131355896865,-0.116284773544061,0.01)); #131067=CARTESIAN_POINT('',(-1.0849430137677,-0.122571173658715,0.01)); #131068=CARTESIAN_POINT('',(-1.08131355896865,-0.116284773544061,0.)); #131069=CARTESIAN_POINT('Origin',(-1.07861621378271,-0.113862552848895, 0.)); #131070=CARTESIAN_POINT('',(-1.07861621378271,-0.113862552848895,0.)); #131071=CARTESIAN_POINT('',(-0.625284566009092,0.29323005981896,0.)); #131072=CARTESIAN_POINT('',(-1.07861621378271,-0.113862552848895,0.01)); #131073=CARTESIAN_POINT('',(-1.08368638652669,-0.118415576841725,0.01)); #131074=CARTESIAN_POINT('',(-1.07861621378271,-0.113862552848895,0.)); #131075=CARTESIAN_POINT('Origin',(-1.07494471093466,-0.112002761412842, 0.)); #131076=CARTESIAN_POINT('',(-1.07494471093466,-0.112002761412842,0.)); #131077=CARTESIAN_POINT('',(-0.522087418346357,0.168045845566132,0.)); #131078=CARTESIAN_POINT('',(-1.07494471093466,-0.112002761412842,0.01)); #131079=CARTESIAN_POINT('',(-1.08078021739529,-0.114958723968141,0.01)); #131080=CARTESIAN_POINT('',(-1.07494471093466,-0.112002761412842,0.)); #131081=CARTESIAN_POINT('Origin',(-1.07087344813516,-0.111700283643052, 0.)); #131082=CARTESIAN_POINT('',(-1.07087344813516,-0.111700283643052,0.)); #131083=CARTESIAN_POINT('',(-0.515450858763706,-0.0704347121490321,0.)); #131084=CARTESIAN_POINT('',(-1.07087344813516,-0.111700283643052,0.01)); #131085=CARTESIAN_POINT('',(-1.07526351479276,-0.112026447203896,0.01)); #131086=CARTESIAN_POINT('',(-1.07087344813516,-0.111700283643052,0.)); #131087=CARTESIAN_POINT('Origin',(-1.06737658154357,-0.11239268928944,0.)); #131088=CARTESIAN_POINT('',(-1.06737658154357,-0.11239268928944,0.)); #131089=CARTESIAN_POINT('',(-0.613006963406636,-0.202361259981166,0.)); #131090=CARTESIAN_POINT('',(-1.06737658154357,-0.11239268928944,0.01)); #131091=CARTESIAN_POINT('',(-1.07032312538766,-0.111809251648472,0.01)); #131092=CARTESIAN_POINT('',(-1.06737658154357,-0.11239268928944,0.)); #131093=CARTESIAN_POINT('Origin',(-1.0605574835178,-0.11632969737017,0.)); #131094=CARTESIAN_POINT('',(-1.0605574835178,-0.11632969737017,0.)); #131095=CARTESIAN_POINT('',(-0.798201361421574,-0.267801075083055,0.)); #131096=CARTESIAN_POINT('',(-1.0605574835178,-0.11632969737017,0.01)); #131097=CARTESIAN_POINT('',(-1.06305083182179,-0.114890162055709,0.01)); #131098=CARTESIAN_POINT('',(-1.0605574835178,-0.11632969737017,0.)); #131099=CARTESIAN_POINT('Origin',(-1.05820941073629,-0.119011869044261, 0.)); #131100=CARTESIAN_POINT('',(-1.05820941073629,-0.119011869044261,0.)); #131101=CARTESIAN_POINT('',(-0.98422869884425,-0.203519032292624,0.)); #131102=CARTESIAN_POINT('',(-1.05820941073629,-0.119011869044261,0.01)); #131103=CARTESIAN_POINT('',(-1.05854046949601,-0.118633705115625,0.01)); #131104=CARTESIAN_POINT('',(-1.05820941073629,-0.119011869044261,0.)); #131105=CARTESIAN_POINT('Origin',(-1.05643573276934,-0.122688924939038, 0.)); #131106=CARTESIAN_POINT('',(-1.08029447752186,-0.0732267679585531,0.)); #131107=CARTESIAN_POINT('',(-1.05606401804867,-0.123459535968933,0.01)); #131108=CARTESIAN_POINT('Origin',(-1.09060487778911,-0.11887949730934,0.)); #131109=CARTESIAN_POINT('',(-1.09060487778911,-0.11887949730934,0.)); #131110=CARTESIAN_POINT('',(-1.09462565124541,-0.125843681222443,0.)); #131111=CARTESIAN_POINT('',(-0.818374285998774,0.352637719118513,0.)); #131112=CARTESIAN_POINT('',(-1.09060487778911,-0.11887949730934,0.01)); #131113=CARTESIAN_POINT('',(-1.09060487778911,-0.11887949730934,0.)); #131114=CARTESIAN_POINT('',(-1.09462565124541,-0.125843681222443,0.01)); #131115=CARTESIAN_POINT('',(-1.09060487778911,-0.11887949730934,0.01)); #131116=CARTESIAN_POINT('',(-1.09462565124541,-0.125843681222443,0.)); #131117=CARTESIAN_POINT('Origin',(-1.08560994670361,-0.112477741707262, 0.)); #131118=CARTESIAN_POINT('',(-1.08560994670361,-0.112477741707262,0.)); #131119=CARTESIAN_POINT('',(-0.729540045153406,0.343879402757849,0.)); #131120=CARTESIAN_POINT('',(-1.08560994670361,-0.112477741707262,0.01)); #131121=CARTESIAN_POINT('',(-1.08560994670361,-0.112477741707262,0.)); #131122=CARTESIAN_POINT('',(-1.08560994670361,-0.112477741707262,0.01)); #131123=CARTESIAN_POINT('Origin',(-1.08078965170115,-0.108628183287941, 0.)); #131124=CARTESIAN_POINT('',(-1.08078965170115,-0.108628183287941,0.)); #131125=CARTESIAN_POINT('',(-0.600290872497067,0.275105202326931,0.)); #131126=CARTESIAN_POINT('',(-1.08078965170115,-0.108628183287941,0.01)); #131127=CARTESIAN_POINT('',(-1.08078965170115,-0.108628183287941,0.)); #131128=CARTESIAN_POINT('',(-1.08078965170115,-0.108628183287941,0.01)); #131129=CARTESIAN_POINT('Origin',(-1.07556959628439,-0.106335938337395, 0.)); #131130=CARTESIAN_POINT('',(-1.07556959628439,-0.106335938337395,0.)); #131131=CARTESIAN_POINT('',(-0.51148747120275,0.141365340610768,0.)); #131132=CARTESIAN_POINT('',(-1.07556959628439,-0.106335938337395,0.01)); #131133=CARTESIAN_POINT('',(-1.07556959628439,-0.106335938337395,0.)); #131134=CARTESIAN_POINT('',(-1.07556959628439,-0.106335938337395,0.01)); #131135=CARTESIAN_POINT('Origin',(-1.06897562330442,-0.106163436399344, 0.)); #131136=CARTESIAN_POINT('',(-1.06897562330442,-0.106163436399344,0.)); #131137=CARTESIAN_POINT('',(-0.526814456365832,-0.0919802038752261,0.)); #131138=CARTESIAN_POINT('',(-1.06897562330442,-0.106163436399344,0.01)); #131139=CARTESIAN_POINT('',(-1.06897562330442,-0.106163436399344,0.)); #131140=CARTESIAN_POINT('',(-1.06897562330442,-0.106163436399344,0.01)); #131141=CARTESIAN_POINT('Origin',(-1.06353044284188,-0.107980701467662, 0.)); #131142=CARTESIAN_POINT('',(-1.06353044284188,-0.107980701467662,0.)); #131143=CARTESIAN_POINT('',(-0.677670858057497,-0.236756808986685,0.)); #131144=CARTESIAN_POINT('',(-1.06353044284188,-0.107980701467662,0.01)); #131145=CARTESIAN_POINT('',(-1.06353044284188,-0.107980701467662,0.)); #131146=CARTESIAN_POINT('',(-1.06353044284188,-0.107980701467662,0.01)); #131147=CARTESIAN_POINT('Origin',(-1.05865965933384,-0.110792849637055, 0.)); #131148=CARTESIAN_POINT('',(-1.05865965933384,-0.110792849637055,0.)); #131149=CARTESIAN_POINT('',(-0.795816458600164,-0.262545442327804,0.)); #131150=CARTESIAN_POINT('',(-1.05865965933384,-0.110792849637055,0.01)); #131151=CARTESIAN_POINT('',(-1.05865965933384,-0.110792849637055,0.)); #131152=CARTESIAN_POINT('',(-1.05865965933384,-0.110792849637055,0.01)); #131153=CARTESIAN_POINT('Origin',(-1.05436327069159,-0.114599882114025, 0.)); #131154=CARTESIAN_POINT('',(-1.05436327069159,-0.114599882114025,0.)); #131155=CARTESIAN_POINT('',(-0.913779246801732,-0.239171467521412,0.)); #131156=CARTESIAN_POINT('',(-1.05436327069159,-0.114599882114025,0.01)); #131157=CARTESIAN_POINT('',(-1.05436327069159,-0.114599882114025,0.)); #131158=CARTESIAN_POINT('',(-1.05436327069159,-0.114599882114025,0.01)); #131159=CARTESIAN_POINT('Origin',(-1.05121567735258,-0.12039667998849,0.)); #131160=CARTESIAN_POINT('',(-1.05121567735258,-0.12039667998849,0.)); #131161=CARTESIAN_POINT('',(-1.06346855338774,-0.0978310439272936,0.)); #131162=CARTESIAN_POINT('',(-1.05121567735258,-0.12039667998849,0.01)); #131163=CARTESIAN_POINT('',(-1.05121567735258,-0.12039667998849,0.)); #131164=CARTESIAN_POINT('',(-1.05121567735258,-0.12039667998849,0.01)); #131165=CARTESIAN_POINT('Origin',(-1.05059079200286,-0.126063503063938, 0.)); #131166=CARTESIAN_POINT('',(-1.05059079200286,-0.126063503063938,0.)); #131167=CARTESIAN_POINT('',(-1.07886517414687,0.130345006511998,0.)); #131168=CARTESIAN_POINT('',(-1.05059079200286,-0.126063503063938,0.01)); #131169=CARTESIAN_POINT('',(-1.05059079200286,-0.126063503063938,0.)); #131170=CARTESIAN_POINT('',(-1.05059079200286,-0.126063503063938,0.01)); #131171=CARTESIAN_POINT('Origin',(-1.05151445892753,-0.132162780752881, 0.)); #131172=CARTESIAN_POINT('',(-1.05151445892753,-0.132162780752881,0.)); #131173=CARTESIAN_POINT('',(-0.992279158650946,0.258987465861643,0.)); #131174=CARTESIAN_POINT('',(-1.05151445892753,-0.132162780752881,0.01)); #131175=CARTESIAN_POINT('',(-1.05151445892753,-0.132162780752881,0.)); #131176=CARTESIAN_POINT('',(-1.05151445892753,-0.132162780752881,0.01)); #131177=CARTESIAN_POINT('Origin',(-1.05438644077276,-0.137137199227202, 0.)); #131178=CARTESIAN_POINT('',(-1.05438644077276,-0.137137199227202,0.)); #131179=CARTESIAN_POINT('',(-0.782730245147724,0.333385133784496,0.)); #131180=CARTESIAN_POINT('',(-1.05438644077276,-0.137137199227202,0.01)); #131181=CARTESIAN_POINT('',(-1.05438644077276,-0.137137199227202,0.)); #131182=CARTESIAN_POINT('',(-1.05438644077276,-0.137137199227202,0.01)); #131183=CARTESIAN_POINT('Origin',(-1.05920673633182,-0.14098675639638,0.)); #131184=CARTESIAN_POINT('',(-1.05920673633182,-0.14098675639638,0.)); #131185=CARTESIAN_POINT('',(-0.577407594713061,0.24378494836644,0.)); #131186=CARTESIAN_POINT('',(-1.05920673633182,-0.14098675639638,0.01)); #131187=CARTESIAN_POINT('',(-1.05920673633182,-0.14098675639638,0.)); #131188=CARTESIAN_POINT('',(-1.05920673633182,-0.14098675639638,0.01)); #131189=CARTESIAN_POINT('Origin',(-1.06385239533924,-0.142284117582348, 0.)); #131190=CARTESIAN_POINT('',(-1.06385239533924,-0.142284117582348,0.)); #131191=CARTESIAN_POINT('',(-0.486069006664206,0.0190694688876599,0.)); #131192=CARTESIAN_POINT('',(-1.06385239533924,-0.142284117582348,0.01)); #131193=CARTESIAN_POINT('',(-1.06385239533924,-0.142284117582348,0.)); #131194=CARTESIAN_POINT('',(-1.06385239533924,-0.142284117582348,0.01)); #131195=CARTESIAN_POINT('Origin',(-1.07044636607769,-0.142456620466086, 0.)); #131196=CARTESIAN_POINT('',(-1.07044636607769,-0.142456620466086,0.)); #131197=CARTESIAN_POINT('',(-0.527075885338153,-0.128241668871089,0.)); #131198=CARTESIAN_POINT('',(-1.07044636607769,-0.142456620466086,0.01)); #131199=CARTESIAN_POINT('',(-1.07044636607769,-0.142456620466086,0.)); #131200=CARTESIAN_POINT('',(-1.07044636607769,-0.142456620466086,0.01)); #131201=CARTESIAN_POINT('Origin',(-1.07589154718698,-0.140639354908276, 0.)); #131202=CARTESIAN_POINT('',(-1.07589154718698,-0.140639354908276,0.)); #131203=CARTESIAN_POINT('',(-0.689374400679822,-0.269634935358624,0.)); #131204=CARTESIAN_POINT('',(-1.07589154718698,-0.140639354908276,0.01)); #131205=CARTESIAN_POINT('',(-1.07589154718698,-0.140639354908276,0.)); #131206=CARTESIAN_POINT('',(-1.07589154718698,-0.140639354908276,0.01)); #131207=CARTESIAN_POINT('Origin',(-1.08076233069502,-0.137827206738883, 0.)); #131208=CARTESIAN_POINT('',(-1.08076233069502,-0.137827206738883,0.)); #131209=CARTESIAN_POINT('',(-0.815483738206923,-0.290985873514605,0.)); #131210=CARTESIAN_POINT('',(-1.08076233069502,-0.137827206738883,0.01)); #131211=CARTESIAN_POINT('',(-1.08076233069502,-0.137827206738883,0.)); #131212=CARTESIAN_POINT('',(-1.08076233069502,-0.137827206738883,0.01)); #131213=CARTESIAN_POINT('Origin',(-1.08505871869052,-0.134020174751406, 0.)); #131214=CARTESIAN_POINT('',(-1.08505871869052,-0.134020174751406,0.)); #131215=CARTESIAN_POINT('',(-0.94069716636414,-0.261939033114261,0.)); #131216=CARTESIAN_POINT('',(-1.08505871869052,-0.134020174751406,0.01)); #131217=CARTESIAN_POINT('',(-1.08505871869052,-0.134020174751406,0.)); #131218=CARTESIAN_POINT('',(-1.08505871869052,-0.134020174751406,0.01)); #131219=CARTESIAN_POINT('Origin',(-1.08860607497385,-0.126666062760433, 0.)); #131220=CARTESIAN_POINT('',(-1.08860607497385,-0.126666062760433,0.)); #131221=CARTESIAN_POINT('',(-1.1102067979752,-0.0818850700013072,0.)); #131222=CARTESIAN_POINT('',(-1.08860607497385,-0.126666062760433,0.01)); #131223=CARTESIAN_POINT('',(-1.08860607497385,-0.126666062760433,0.)); #131224=CARTESIAN_POINT('',(-1.08860607497385,-0.126666062760433,0.01)); #131225=CARTESIAN_POINT('Origin',(-1.08975486738971,-0.128655829592876, 0.)); #131226=CARTESIAN_POINT('',(-1.08975486738971,-0.128655829592876,0.)); #131227=CARTESIAN_POINT('',(-0.815513888943844,0.346343478792172,0.)); #131228=CARTESIAN_POINT('',(-1.08975486738971,-0.128655829592876,0.01)); #131229=CARTESIAN_POINT('',(-1.08975486738971,-0.128655829592876,0.)); #131230=CARTESIAN_POINT('',(-1.08975486738971,-0.128655829592876,0.01)); #131231=CARTESIAN_POINT('Origin',(-1.09222708772821,-0.135187559844123, 0.)); #131232=CARTESIAN_POINT('',(-1.09222708772821,-0.135187559844123,0.)); #131233=CARTESIAN_POINT('',(-0.917187827896784,0.327274952413202,0.)); #131234=CARTESIAN_POINT('',(-1.09222708772821,-0.135187559844123,0.01)); #131235=CARTESIAN_POINT('',(-1.09222708772821,-0.135187559844123,0.)); #131236=CARTESIAN_POINT('',(-1.09222708772821,-0.135187559844123,0.01)); #131237=CARTESIAN_POINT('Origin',(-1.09297612139927,-0.138734642433179, 0.)); #131238=CARTESIAN_POINT('',(-1.09297612139927,-0.138734642433179,0.)); #131239=CARTESIAN_POINT('',(-1.0041923343436,0.281704968527656,0.)); #131240=CARTESIAN_POINT('',(-1.09297612139927,-0.138734642433179,0.01)); #131241=CARTESIAN_POINT('',(-1.09297612139927,-0.138734642433179,0.)); #131242=CARTESIAN_POINT('',(-1.09297612139927,-0.138734642433179,0.01)); #131243=CARTESIAN_POINT('Origin',(-1.0927509962024,-0.142844152190678,0.)); #131244=CARTESIAN_POINT('',(-1.0927509962024,-0.142844152190678,0.)); #131245=CARTESIAN_POINT('',(-1.10891290276185,0.152180632377347,0.)); #131246=CARTESIAN_POINT('',(-1.0927509962024,-0.142844152190678,0.01)); #131247=CARTESIAN_POINT('',(-1.0927509962024,-0.142844152190678,0.)); #131248=CARTESIAN_POINT('',(-1.0927509962024,-0.142844152190678,0.01)); #131249=CARTESIAN_POINT('Origin',(-1.09097731823545,-0.146521208085455, 0.)); #131250=CARTESIAN_POINT('',(-1.09097731823545,-0.146521208085455,0.)); #131251=CARTESIAN_POINT('',(-1.1162390587835,-0.0941504656365606,0.)); #131252=CARTESIAN_POINT('',(-1.09097731823545,-0.146521208085455,0.01)); #131253=CARTESIAN_POINT('',(-1.09097731823545,-0.146521208085455,0.)); #131254=CARTESIAN_POINT('',(-1.09097731823545,-0.146521208085455,0.01)); #131255=CARTESIAN_POINT('Origin',(-1.08862924406058,-0.149203380564055, 0.)); #131256=CARTESIAN_POINT('',(-1.08862924406058,-0.149203380564055,0.)); #131257=CARTESIAN_POINT('',(-1.01553085509433,-0.232702653804141,0.)); #131258=CARTESIAN_POINT('',(-1.08862924406058,-0.149203380564055,0.01)); #131259=CARTESIAN_POINT('',(-1.08862924406058,-0.149203380564055,0.)); #131260=CARTESIAN_POINT('',(-1.08862924406058,-0.149203380564055,0.01)); #131261=CARTESIAN_POINT('Origin',(-1.08278430329323,-0.152577958689188, 0.)); #131262=CARTESIAN_POINT('',(-1.08278430329323,-0.152577958689188,0.)); #131263=CARTESIAN_POINT('',(-0.819941102548833,-0.304330551380455,0.)); #131264=CARTESIAN_POINT('',(-1.08278430329323,-0.152577958689188,0.01)); #131265=CARTESIAN_POINT('',(-1.08278430329323,-0.152577958689188,0.)); #131266=CARTESIAN_POINT('',(-1.08278430329323,-0.152577958689188,0.01)); #131267=CARTESIAN_POINT('Origin',(-1.07928743670251,-0.153270364335345, 0.)); #131268=CARTESIAN_POINT('',(-1.07928743670251,-0.153270364335345,0.)); #131269=CARTESIAN_POINT('',(-0.623081432241665,-0.243602553197598,0.)); #131270=CARTESIAN_POINT('',(-1.07928743670251,-0.153270364335345,0.01)); #131271=CARTESIAN_POINT('',(-1.07928743670251,-0.153270364335345,0.)); #131272=CARTESIAN_POINT('',(-1.07928743670251,-0.153270364335345,0.01)); #131273=CARTESIAN_POINT('Origin',(-1.07521617390214,-0.152967886565788, 0.)); #131274=CARTESIAN_POINT('',(-1.07521617390214,-0.152967886565788,0.)); #131275=CARTESIAN_POINT('',(-0.516109552031444,-0.111428606931848,0.)); #131276=CARTESIAN_POINT('',(-1.07521617390214,-0.152967886565788,0.01)); #131277=CARTESIAN_POINT('',(-1.07521617390214,-0.152967886565788,0.)); #131278=CARTESIAN_POINT('',(-1.07521617390214,-0.152967886565788,0.01)); #131279=CARTESIAN_POINT('Origin',(-1.07154467076066,-0.151108096228589, 0.)); #131280=CARTESIAN_POINT('',(-1.07154467076066,-0.151108096228589,0.)); #131281=CARTESIAN_POINT('',(-0.512158267073468,0.132247627095245,0.)); #131282=CARTESIAN_POINT('',(-1.07154467076066,-0.151108096228589,0.01)); #131283=CARTESIAN_POINT('',(-1.07154467076066,-0.151108096228589,0.)); #131284=CARTESIAN_POINT('',(-1.07154467076066,-0.151108096228589,0.01)); #131285=CARTESIAN_POINT('Origin',(-1.06667388864599,-0.153920243593472, 0.)); #131286=CARTESIAN_POINT('',(-1.06667388864599,-0.153920243593472,0.)); #131287=CARTESIAN_POINT('',(-0.810162706606384,-0.302017043584201,0.)); #131288=CARTESIAN_POINT('',(-1.06667388864599,-0.153920243593472,0.01)); #131289=CARTESIAN_POINT('',(-1.06667388864599,-0.153920243593472,0.)); #131290=CARTESIAN_POINT('',(-1.06667388864599,-0.153920243593472,0.01)); #131291=CARTESIAN_POINT('Origin',(-1.06937123313568,-0.156342464690775, 0.)); #131292=CARTESIAN_POINT('',(-1.06937123313568,-0.156342464690775,0.)); #131293=CARTESIAN_POINT('',(-0.60803981719732,0.257934129494653,0.)); #131294=CARTESIAN_POINT('',(-1.06937123313568,-0.156342464690775,0.01)); #131295=CARTESIAN_POINT('',(-1.06937123313568,-0.156342464690775,0.)); #131296=CARTESIAN_POINT('',(-1.06937123313568,-0.156342464690775,0.01)); #131297=CARTESIAN_POINT('Origin',(-1.07459128835188,-0.158634709292689, 0.)); #131298=CARTESIAN_POINT('',(-1.07459128835188,-0.158634709292689,0.)); #131299=CARTESIAN_POINT('',(-0.501292715625896,0.0931136926277666,0.)); #131300=CARTESIAN_POINT('',(-1.07459128835188,-0.158634709292689,0.01)); #131301=CARTESIAN_POINT('',(-1.07459128835188,-0.158634709292689,0.)); #131302=CARTESIAN_POINT('',(-1.07459128835188,-0.158634709292689,0.01)); #131303=CARTESIAN_POINT('Origin',(-1.08118526187706,-0.158807210567409, 0.)); #131304=CARTESIAN_POINT('',(-1.08118526187706,-0.158807210567409,0.)); #131305=CARTESIAN_POINT('',(-0.5322353580844,-0.144446437385606,0.)); #131306=CARTESIAN_POINT('',(-1.08118526187706,-0.158807210567409,0.01)); #131307=CARTESIAN_POINT('',(-1.08118526187706,-0.158807210567409,0.)); #131308=CARTESIAN_POINT('',(-1.08118526187706,-0.158807210567409,0.01)); #131309=CARTESIAN_POINT('Origin',(-1.08663044159301,-0.156989945814195, 0.)); #131310=CARTESIAN_POINT('',(-1.08663044159301,-0.156989945814195,0.)); #131311=CARTESIAN_POINT('',(-0.69773690551443,-0.286778594258246,0.)); #131312=CARTESIAN_POINT('',(-1.08663044159301,-0.156989945814195,0.01)); #131313=CARTESIAN_POINT('',(-1.08663044159301,-0.156989945814195,0.)); #131314=CARTESIAN_POINT('',(-1.08663044159301,-0.156989945814195,0.01)); #131315=CARTESIAN_POINT('Origin',(-1.09052706784174,-0.154740227600542, 0.)); #131316=CARTESIAN_POINT('',(-1.09052706784174,-0.154740227600542,0.)); #131317=CARTESIAN_POINT('',(-0.825248475369196,-0.307898894375887,0.)); #131318=CARTESIAN_POINT('',(-1.09052706784174,-0.154740227600542,0.01)); #131319=CARTESIAN_POINT('',(-1.09052706784174,-0.154740227600542,0.)); #131320=CARTESIAN_POINT('',(-1.09052706784174,-0.154740227600542,0.01)); #131321=CARTESIAN_POINT('Origin',(-1.09482345583811,-0.150933195612833, 0.)); #131322=CARTESIAN_POINT('',(-1.09482345583811,-0.150933195612833,0.)); #131323=CARTESIAN_POINT('',(-0.951924477988718,-0.277556065833879,0.)); #131324=CARTESIAN_POINT('',(-1.09482345583811,-0.150933195612833,0.01)); #131325=CARTESIAN_POINT('',(-1.09482345583811,-0.150933195612833,0.)); #131326=CARTESIAN_POINT('',(-1.09482345583811,-0.150933195612833,0.01)); #131327=CARTESIAN_POINT('Origin',(-1.09797105141863,-0.145136396792679, 0.)); #131328=CARTESIAN_POINT('',(-1.09797105141863,-0.145136396792679,0.)); #131329=CARTESIAN_POINT('',(-1.11008801359012,-0.122821080157261,0.)); #131330=CARTESIAN_POINT('',(-1.09797105141863,-0.145136396792679,0.01)); #131331=CARTESIAN_POINT('',(-1.09797105141863,-0.145136396792679,0.)); #131332=CARTESIAN_POINT('',(-1.09797105141863,-0.145136396792679,0.01)); #131333=CARTESIAN_POINT('Origin',(-1.09859593696888,-0.139469574065777, 0.)); #131334=CARTESIAN_POINT('',(-1.09859593696888,-0.139469574065777,0.)); #131335=CARTESIAN_POINT('',(-1.12731224007988,0.120946431771467,0.)); #131336=CARTESIAN_POINT('',(-1.09859593696888,-0.139469574065777,0.01)); #131337=CARTESIAN_POINT('',(-1.09859593696888,-0.139469574065777,0.)); #131338=CARTESIAN_POINT('',(-1.09859593696888,-0.139469574065777,0.01)); #131339=CARTESIAN_POINT('Origin',(-1.097672267879,-0.133370294839681,0.)); #131340=CARTESIAN_POINT('',(-1.097672267879,-0.133370294839681,0.)); #131341=CARTESIAN_POINT('',(-1.03783002176412,0.261786988834193,0.)); #131342=CARTESIAN_POINT('',(-1.097672267879,-0.133370294839681,0.01)); #131343=CARTESIAN_POINT('',(-1.097672267879,-0.133370294839681,0.)); #131344=CARTESIAN_POINT('',(-1.097672267879,-0.133370294839681,0.01)); #131345=CARTESIAN_POINT('Origin',(-1.09462565124541,-0.125843681222443, 0.)); #131346=CARTESIAN_POINT('',(-0.907205487636763,0.337174586324126,0.)); #131347=CARTESIAN_POINT('',(-1.09462565124541,-0.125843681222443,0.01)); #131348=CARTESIAN_POINT('Origin',(-1.07797265599858,-0.134977378414009, 0.01)); #131349=CARTESIAN_POINT('Origin',(-1.12876301794142,0.0517756624908806, 0.)); #131350=CARTESIAN_POINT('',(-1.12876301794142,0.0517756624908806,0.)); #131351=CARTESIAN_POINT('',(-1.1252159378451,0.051026629329642,0.)); #131352=CARTESIAN_POINT('',(-0.634672897833115,-0.0525608268333409,0.)); #131353=CARTESIAN_POINT('',(-1.12876301794142,0.0517756624908806,0.01)); #131354=CARTESIAN_POINT('',(-1.12876301794142,0.0517756624908806,0.)); #131355=CARTESIAN_POINT('',(-1.1252159378451,0.051026629329642,0.01)); #131356=CARTESIAN_POINT('',(-1.1252159378451,0.051026629329642,0.01)); #131357=CARTESIAN_POINT('',(-1.1252159378451,0.051026629329642,0.)); #131358=CARTESIAN_POINT('Origin',(-1.13274255160592,0.0540732473233485, 0.)); #131359=CARTESIAN_POINT('',(-1.13274255160592,0.0540732473233485,0.)); #131360=CARTESIAN_POINT('',(-0.806423709238077,-0.134327024161071,0.)); #131361=CARTESIAN_POINT('',(-1.13274255160592,0.0540732473233485,0.01)); #131362=CARTESIAN_POINT('',(-1.13274255160592,0.0540732473233485,0.)); #131363=CARTESIAN_POINT('',(-1.12876301794142,0.0517756624908806,0.01)); #131364=CARTESIAN_POINT('Origin',(-1.13615965751251,0.057344988825708,0.)); #131365=CARTESIAN_POINT('',(-1.13615965751251,0.057344988825708,0.)); #131366=CARTESIAN_POINT('',(-0.952546724518802,-0.118457011365951,0.)); #131367=CARTESIAN_POINT('',(-1.13615965751251,0.057344988825708,0.01)); #131368=CARTESIAN_POINT('',(-1.13615965751251,0.057344988825708,0.)); #131369=CARTESIAN_POINT('',(-1.13274255160592,0.0540732473233485,0.01)); #131370=CARTESIAN_POINT('Origin',(-1.1380194478502,0.0610164919681403,0.)); #131371=CARTESIAN_POINT('',(-1.1380194478502,0.0610164919681403,0.)); #131372=CARTESIAN_POINT('',(-1.11209153694844,0.00983093388482183,0.)); #131373=CARTESIAN_POINT('',(-1.1380194478502,0.0610164919681403,0.01)); #131374=CARTESIAN_POINT('',(-1.1380194478502,0.0610164919681403,0.)); #131375=CARTESIAN_POINT('',(-1.13615965751251,0.057344988825708,0.01)); #131376=CARTESIAN_POINT('Origin',(-1.13719706570807,0.0670360692854497, 0.)); #131377=CARTESIAN_POINT('',(-1.13719706570807,0.0670360692854497,0.)); #131378=CARTESIAN_POINT('',(-1.09715280703259,0.360147384408233,0.)); #131379=CARTESIAN_POINT('',(-1.13719706570807,0.0670360692854497,0.01)); #131380=CARTESIAN_POINT('',(-1.13719706570807,0.0670360692854497,0.)); #131381=CARTESIAN_POINT('',(-1.1380194478502,0.0610164919681403,0.01)); #131382=CARTESIAN_POINT('Origin',(-1.14243143577912,0.0648626288739787, 0.)); #131383=CARTESIAN_POINT('',(-1.14243143577912,0.0648626288739787,0.)); #131384=CARTESIAN_POINT('',(-0.576597188940489,0.299811056589306,0.)); #131385=CARTESIAN_POINT('',(-1.14243143577912,0.0648626288739787,0.01)); #131386=CARTESIAN_POINT('',(-1.14243143577912,0.0648626288739787,0.)); #131387=CARTESIAN_POINT('',(-1.13719706570807,0.0670360692854497,0.01)); #131388=CARTESIAN_POINT('Origin',(-1.14654094433046,0.0646375057677062, 0.)); #131389=CARTESIAN_POINT('',(-1.14654094433046,0.0646375057677062,0.)); #131390=CARTESIAN_POINT('',(-0.562855190478765,0.0966124118550579,0.)); #131391=CARTESIAN_POINT('',(-1.14654094433046,0.0646375057677062,0.01)); #131392=CARTESIAN_POINT('',(-1.14654094433046,0.0646375057677062,0.)); #131393=CARTESIAN_POINT('',(-1.14243143577912,0.0648626288739787,0.01)); #131394=CARTESIAN_POINT('Origin',(-1.15108290875481,0.0659609319475857, 0.)); #131395=CARTESIAN_POINT('',(-1.15108290875481,0.0659609319475857,0.)); #131396=CARTESIAN_POINT('',(-0.680054473585591,-0.0712861497839698,0.)); #131397=CARTESIAN_POINT('',(-1.15108290875481,0.0659609319475857,0.01)); #131398=CARTESIAN_POINT('',(-1.15108290875481,0.0659609319475857,0.)); #131399=CARTESIAN_POINT('',(-1.14654094433046,0.0646375057677062,0.01)); #131400=CARTESIAN_POINT('Origin',(-1.15307267837465,0.0671097259730762, 0.)); #131401=CARTESIAN_POINT('',(-1.15307267837465,0.0671097259730762,0.)); #131402=CARTESIAN_POINT('',(-0.816307558010226,-0.127321706897734,0.)); #131403=CARTESIAN_POINT('',(-1.15307267837465,0.0671097259730762,0.01)); #131404=CARTESIAN_POINT('',(-1.15307267837465,0.0671097259730762,0.)); #131405=CARTESIAN_POINT('',(-1.15108290875481,0.0659609319475857,0.01)); #131406=CARTESIAN_POINT('Origin',(-1.15648978127842,0.0703814694579114, 0.)); #131407=CARTESIAN_POINT('',(-1.15648978127842,0.0703814694579114,0.)); #131408=CARTESIAN_POINT('',(-0.964317903335117,-0.113615649544797,0.)); #131409=CARTESIAN_POINT('',(-1.15648978127842,0.0703814694579114,0.01)); #131410=CARTESIAN_POINT('',(-1.15648978127842,0.0703814694579114,0.)); #131411=CARTESIAN_POINT('',(-1.15307267837465,0.0671097259730762,0.01)); #131412=CARTESIAN_POINT('Origin',(-1.15821959672927,0.0765756805390848, 0.)); #131413=CARTESIAN_POINT('',(-1.15821959672927,0.0765756805390848,0.)); #131414=CARTESIAN_POINT('',(-1.17231437322229,0.127046972547054,0.)); #131415=CARTESIAN_POINT('',(-1.15821959672927,0.0765756805390848,0.01)); #131416=CARTESIAN_POINT('',(-1.15821959672927,0.0765756805390848,0.)); #131417=CARTESIAN_POINT('',(-1.15648978127842,0.0703814694579114,0.01)); #131418=CARTESIAN_POINT('Origin',(-1.15795964534787,0.0816210992040281, 0.)); #131419=CARTESIAN_POINT('',(-1.15795964534787,0.0816210992040281,0.)); #131420=CARTESIAN_POINT('',(-1.14545031557696,0.324415754008676,0.)); #131421=CARTESIAN_POINT('',(-1.15795964534787,0.0816210992040281,0.01)); #131422=CARTESIAN_POINT('',(-1.15795964534787,0.0816210992040281,0.)); #131423=CARTESIAN_POINT('',(-1.15821959672927,0.0765756805390848,0.01)); #131424=CARTESIAN_POINT('Origin',(-1.15501752068367,0.0890145934378039, 0.)); #131425=CARTESIAN_POINT('',(-1.15501752068367,0.0890145934378039,0.)); #131426=CARTESIAN_POINT('',(-1.00395745241222,0.468625205220469,0.)); #131427=CARTESIAN_POINT('',(-1.15501752068367,0.0890145934378039,0.01)); #131428=CARTESIAN_POINT('',(-1.15501752068367,0.0890145934378039,0.)); #131429=CARTESIAN_POINT('',(-1.15795964534787,0.0816210992040281,0.01)); #131430=CARTESIAN_POINT('Origin',(-1.15389266077255,0.0909629079557649, 0.)); #131431=CARTESIAN_POINT('',(-1.15389266077255,0.0909629079557649,0.)); #131432=CARTESIAN_POINT('',(-0.91918330957294,0.497491429350402,0.)); #131433=CARTESIAN_POINT('',(-1.15389266077255,0.0909629079557649,0.01)); #131434=CARTESIAN_POINT('',(-1.15389266077255,0.0909629079557649,0.)); #131435=CARTESIAN_POINT('',(-1.15501752068367,0.0890145934378039,0.01)); #131436=CARTESIAN_POINT('Origin',(-1.14896076967803,0.0972076090764409, 0.)); #131437=CARTESIAN_POINT('',(-1.14896076967803,0.0972076090764409,0.)); #131438=CARTESIAN_POINT('',(-0.828174307378088,0.503383565161175,0.)); #131439=CARTESIAN_POINT('',(-1.14896076967803,0.0972076090764409,0.01)); #131440=CARTESIAN_POINT('',(-1.14896076967803,0.0972076090764409,0.)); #131441=CARTESIAN_POINT('',(-1.15389266077255,0.0909629079557649,0.01)); #131442=CARTESIAN_POINT('Origin',(-1.14472128422933,0.0999554436055427, 0.)); #131443=CARTESIAN_POINT('',(-1.14472128422933,0.0999554436055427,0.)); #131444=CARTESIAN_POINT('',(-0.64836959853118,0.421667197789914,0.)); #131445=CARTESIAN_POINT('',(-1.14472128422933,0.0999554436055427,0.01)); #131446=CARTESIAN_POINT('',(-1.14472128422933,0.0999554436055427,0.)); #131447=CARTESIAN_POINT('',(-1.14896076967803,0.0972076090764409,0.01)); #131448=CARTESIAN_POINT('Origin',(-1.13849203184856,0.101554485892805,0.)); #131449=CARTESIAN_POINT('',(-1.13849203184856,0.101554485892805,0.)); #131450=CARTESIAN_POINT('',(-0.555394149928781,0.251235075762726,0.)); #131451=CARTESIAN_POINT('',(-1.13849203184856,0.101554485892805,0.01)); #131452=CARTESIAN_POINT('',(-1.13849203184856,0.101554485892805,0.)); #131453=CARTESIAN_POINT('',(-1.14472128422933,0.0999554436055427,0.01)); #131454=CARTESIAN_POINT('Origin',(-1.13395006847982,0.100231057883812,0.)); #131455=CARTESIAN_POINT('',(-1.13395006847982,0.100231057883812,0.)); #131456=CARTESIAN_POINT('',(-0.666215826437836,-0.0360563901707785,0.)); #131457=CARTESIAN_POINT('',(-1.13395006847982,0.100231057883812,0.01)); #131458=CARTESIAN_POINT('',(-1.13395006847982,0.100231057883812,0.)); #131459=CARTESIAN_POINT('',(-1.13849203184856,0.101554485892805,0.01)); #131460=CARTESIAN_POINT('Origin',(-1.13676221690034,0.0953602739406049, 0.)); #131461=CARTESIAN_POINT('',(-1.13676221690034,0.0953602739406049,0.)); #131462=CARTESIAN_POINT('',(-0.905146228803238,0.496530933206052,0.)); #131463=CARTESIAN_POINT('',(-1.13676221690034,0.0953602739406049,0.01)); #131464=CARTESIAN_POINT('',(-1.13676221690034,0.0953602739406049,0.)); #131465=CARTESIAN_POINT('',(-1.13395006847982,0.100231057883812,0.01)); #131466=CARTESIAN_POINT('Origin',(-1.14087172665834,0.0951351487439737, 0.)); #131467=CARTESIAN_POINT('',(-1.14087172665834,0.0951351487439737,0.)); #131468=CARTESIAN_POINT('',(-0.560844876954844,0.126909902006636,0.)); #131469=CARTESIAN_POINT('',(-1.14087172665834,0.0951351487439737,0.01)); #131470=CARTESIAN_POINT('',(-1.14087172665834,0.0951351487439737,0.)); #131471=CARTESIAN_POINT('',(-1.13676221690034,0.0953602739406049,0.01)); #131472=CARTESIAN_POINT('Origin',(-1.14454878255297,0.0933614707768709, 0.)); #131473=CARTESIAN_POINT('',(-1.14454878255297,0.0933614707768709,0.)); #131474=CARTESIAN_POINT('',(-0.599003416166354,0.356512704846338,0.)); #131475=CARTESIAN_POINT('',(-1.14454878255297,0.0933614707768709,0.01)); #131476=CARTESIAN_POINT('',(-1.14454878255297,0.0933614707768709,0.)); #131477=CARTESIAN_POINT('',(-1.14087172665834,0.0951351487439737,0.01)); #131478=CARTESIAN_POINT('Origin',(-1.14835581454023,0.0890650827804361, 0.)); #131479=CARTESIAN_POINT('',(-1.14835581454023,0.0890650827804361,0.)); #131480=CARTESIAN_POINT('',(-0.791634025357552,0.491639901757652,0.)); #131481=CARTESIAN_POINT('',(-1.14835581454023,0.0890650827804361,0.01)); #131482=CARTESIAN_POINT('',(-1.14835581454023,0.0890650827804361,0.)); #131483=CARTESIAN_POINT('',(-1.14454878255297,0.0933614707768709,0.01)); #131484=CARTESIAN_POINT('Origin',(-1.15060553275387,0.085168456531732,0.)); #131485=CARTESIAN_POINT('',(-1.15060553275387,0.085168456531732,0.)); #131486=CARTESIAN_POINT('',(-0.915052536952378,0.493158213130528,0.)); #131487=CARTESIAN_POINT('',(-1.15060553275387,0.085168456531732,0.01)); #131488=CARTESIAN_POINT('',(-1.15060553275387,0.085168456531732,0.)); #131489=CARTESIAN_POINT('',(-1.14835581454023,0.0890650827804361,0.01)); #131490=CARTESIAN_POINT('Origin',(-1.15242279750696,0.0797232768159179, 0.)); #131491=CARTESIAN_POINT('',(-1.15242279750696,0.0797232768159179,0.)); #131492=CARTESIAN_POINT('',(-1.03024577497164,0.44580964024682,0.)); #131493=CARTESIAN_POINT('',(-1.15242279750696,0.0797232768159179,0.01)); #131494=CARTESIAN_POINT('',(-1.15242279750696,0.0797232768159179,0.)); #131495=CARTESIAN_POINT('',(-1.15060553275387,0.085168456531732,0.01)); #131496=CARTESIAN_POINT('Origin',(-1.15212031973709,0.0756520140163465, 0.)); #131497=CARTESIAN_POINT('',(-1.15212031973709,0.0756520140163465,0.)); #131498=CARTESIAN_POINT('',(-1.16496164059021,0.248492457497285,0.)); #131499=CARTESIAN_POINT('',(-1.15212031973709,0.0756520140163465,0.01)); #131500=CARTESIAN_POINT('',(-1.15212031973709,0.0756520140163465,0.)); #131501=CARTESIAN_POINT('',(-1.15242279750696,0.0797232768159179,0.01)); #131502=CARTESIAN_POINT('Origin',(-1.15026052940027,0.071980510874147,0.)); #131503=CARTESIAN_POINT('',(-1.15026052940027,0.071980510874147,0.)); #131504=CARTESIAN_POINT('',(-1.1208729635875,0.013965078657347,0.)); #131505=CARTESIAN_POINT('',(-1.15026052940027,0.071980510874147,0.01)); #131506=CARTESIAN_POINT('',(-1.15026052940027,0.071980510874147,0.)); #131507=CARTESIAN_POINT('',(-1.15212031973709,0.0756520140163465,0.01)); #131508=CARTESIAN_POINT('Origin',(-1.1482707597813,0.0708317168488887,0.)); #131509=CARTESIAN_POINT('',(-1.1482707597813,0.0708317168488887,0.)); #131510=CARTESIAN_POINT('',(-0.81250052428855,-0.123025319019107,0.)); #131511=CARTESIAN_POINT('',(-1.1482707597813,0.0708317168488887,0.01)); #131512=CARTESIAN_POINT('',(-1.1482707597813,0.0708317168488887,0.)); #131513=CARTESIAN_POINT('',(-1.15026052940027,0.071980510874147,0.01)); #131514=CARTESIAN_POINT('Origin',(-1.14316636620482,0.0704824465341497, 0.)); #131515=CARTESIAN_POINT('',(-1.14316636620482,0.0704824465341497,0.)); #131516=CARTESIAN_POINT('',(-0.589174353441505,0.0325753059969949,0.)); #131517=CARTESIAN_POINT('',(-1.14316636620482,0.0704824465341497,0.01)); #131518=CARTESIAN_POINT('',(-1.14316636620482,0.0704824465341497,0.)); #131519=CARTESIAN_POINT('',(-1.1482707597813,0.0708317168488887,0.01)); #131520=CARTESIAN_POINT('Origin',(-1.14005174107091,0.0712819658488858, 0.)); #131521=CARTESIAN_POINT('',(-1.14005174107091,0.0712819658488858,0.)); #131522=CARTESIAN_POINT('',(-0.552576917334601,0.222085818095947,0.)); #131523=CARTESIAN_POINT('',(-1.14005174107091,0.0712819658488858,0.01)); #131524=CARTESIAN_POINT('',(-1.14005174107091,0.0712819658488858,0.)); #131525=CARTESIAN_POINT('',(-1.14316636620482,0.0704824465341497,0.01)); #131526=CARTESIAN_POINT('Origin',(-1.13468739530917,0.075978115592819,0.)); #131527=CARTESIAN_POINT('',(-1.13468739530917,0.075978115592819,0.)); #131528=CARTESIAN_POINT('',(-0.706234718645912,0.45106167977093,0.)); #131529=CARTESIAN_POINT('',(-1.13468739530917,0.075978115592819,0.01)); #131530=CARTESIAN_POINT('',(-1.13468739530917,0.075978115592819,0.)); #131531=CARTESIAN_POINT('',(-1.14005174107091,0.0712819658488858,0.01)); #131532=CARTESIAN_POINT('Origin',(-1.13243767709552,0.0798747418415323, 0.)); #131533=CARTESIAN_POINT('',(-1.13243767709552,0.0798747418415323,0.)); #131534=CARTESIAN_POINT('',(-0.898009540401268,0.485916185315943,0.)); #131535=CARTESIAN_POINT('',(-1.13243767709552,0.0798747418415323,0.01)); #131536=CARTESIAN_POINT('',(-1.13243767709552,0.0798747418415323,0.)); #131537=CARTESIAN_POINT('',(-1.13468739530917,0.075978115592819,0.01)); #131538=CARTESIAN_POINT('Origin',(-1.12845814343015,0.0775771570088301, 0.)); #131539=CARTESIAN_POINT('',(-1.12845814343015,0.0775771570088301,0.)); #131540=CARTESIAN_POINT('',(-0.798657208379097,-0.112833501601394,0.)); #131541=CARTESIAN_POINT('',(-1.12845814343015,0.0775771570088301,0.01)); #131542=CARTESIAN_POINT('',(-1.12845814343015,0.0775771570088301,0.)); #131543=CARTESIAN_POINT('',(-1.13243767709552,0.0798747418415323,0.01)); #131544=CARTESIAN_POINT('Origin',(-1.1307078616438,0.0736805307601169,0.)); #131545=CARTESIAN_POINT('',(-1.1307078616438,0.0736805307601169,0.)); #131546=CARTESIAN_POINT('',(-0.895154865842622,0.481670287358809,0.)); #131547=CARTESIAN_POINT('',(-1.1307078616438,0.0736805307601169,0.01)); #131548=CARTESIAN_POINT('',(-1.1307078616438,0.0736805307601169,0.)); #131549=CARTESIAN_POINT('',(-1.12845814343015,0.0775771570088301,0.01)); #131550=CARTESIAN_POINT('Origin',(-1.13308755715761,0.0672611923919473, 0.)); #131551=CARTESIAN_POINT('',(-1.13308755715761,0.0672611923919473,0.)); #131552=CARTESIAN_POINT('',(-0.992678464678529,0.446021193140105,0.)); #131553=CARTESIAN_POINT('',(-1.13308755715761,0.0672611923919473,0.01)); #131554=CARTESIAN_POINT('',(-1.13308755715761,0.0672611923919473,0.)); #131555=CARTESIAN_POINT('',(-1.1307078616438,0.0736805307601169,0.01)); #131556=CARTESIAN_POINT('Origin',(-1.13278507938774,0.0631899295923738, 0.)); #131557=CARTESIAN_POINT('',(-1.13278507938774,0.0631899295923738,0.)); #131558=CARTESIAN_POINT('',(-1.14613987026853,0.242941530290859,0.)); #131559=CARTESIAN_POINT('',(-1.13278507938774,0.0631899295923738,0.01)); #131560=CARTESIAN_POINT('',(-1.13278507938774,0.0631899295923738,0.)); #131561=CARTESIAN_POINT('',(-1.13308755715761,0.0672611923919473,0.01)); #131562=CARTESIAN_POINT('Origin',(-1.12993040263154,0.05894403222442,0.)); #131563=CARTESIAN_POINT('',(-1.12993040263154,0.05894403222442,0.)); #131564=CARTESIAN_POINT('',(-1.0582069785158,-0.0477336492371218,0.)); #131565=CARTESIAN_POINT('',(-1.12993040263154,0.05894403222442,0.01)); #131566=CARTESIAN_POINT('',(-1.12993040263154,0.05894403222442,0.)); #131567=CARTESIAN_POINT('',(-1.13278507938774,0.0631899295923738,0.01)); #131568=CARTESIAN_POINT('Origin',(-1.12595086896705,0.0566464473919515, 0.)); #131569=CARTESIAN_POINT('',(-1.12595086896705,0.0566464473919515,0.)); #131570=CARTESIAN_POINT('',(-0.801621793431833,-0.130605031676139,0.)); #131571=CARTESIAN_POINT('',(-1.12595086896705,0.0566464473919515,0.01)); #131572=CARTESIAN_POINT('',(-1.12595086896705,0.0566464473919515,0.)); #131573=CARTESIAN_POINT('',(-1.12993040263154,0.05894403222442,0.01)); #131574=CARTESIAN_POINT('Origin',(-1.1233986729844,0.0564718108413248,0.)); #131575=CARTESIAN_POINT('',(-1.1233986729844,0.0564718108413248,0.)); #131576=CARTESIAN_POINT('',(-0.579721723754866,0.0192701744018349,0.)); #131577=CARTESIAN_POINT('',(-1.1233986729844,0.0564718108413248,0.01)); #131578=CARTESIAN_POINT('',(-1.1233986729844,0.0564718108413248,0.)); #131579=CARTESIAN_POINT('',(-1.12595086896705,0.0566464473919515,0.01)); #131580=CARTESIAN_POINT('Origin',(-1.12028404554392,0.0572713325406759, 0.)); #131581=CARTESIAN_POINT('',(-1.12028404554392,0.0572713325406759,0.)); #131582=CARTESIAN_POINT('',(-0.540394999541329,0.206128261452647,0.)); #131583=CARTESIAN_POINT('',(-1.12028404554392,0.0572713325406759,0.01)); #131584=CARTESIAN_POINT('',(-1.12028404554392,0.0572713325406759,0.)); #131585=CARTESIAN_POINT('',(-1.1233986729844,0.0564718108413248,0.01)); #131586=CARTESIAN_POINT('Origin',(-1.11816430382483,0.0586452480631883, 0.)); #131587=CARTESIAN_POINT('',(-1.11816430382483,0.0586452480631883,0.)); #131588=CARTESIAN_POINT('',(-0.621735591756412,0.380406671726571,0.)); #131589=CARTESIAN_POINT('',(-1.11816430382483,0.0586452480631883,0.01)); #131590=CARTESIAN_POINT('',(-1.11816430382483,0.0586452480631883,0.)); #131591=CARTESIAN_POINT('',(-1.12028404554392,0.0572713325406759,0.01)); #131592=CARTESIAN_POINT('Origin',(-1.11435727143477,0.0629416367562515, 0.)); #131593=CARTESIAN_POINT('',(-1.11435727143477,0.0629416367562515,0.)); #131594=CARTESIAN_POINT('',(-0.758628897343086,0.464395369653119,0.)); #131595=CARTESIAN_POINT('',(-1.11435727143477,0.0629416367562515,0.01)); #131596=CARTESIAN_POINT('',(-1.11435727143477,0.0629416367562515,0.)); #131597=CARTESIAN_POINT('',(-1.11816430382483,0.0586452480631883,0.01)); #131598=CARTESIAN_POINT('Origin',(-1.11098269331001,0.0687865775229264, 0.)); #131599=CARTESIAN_POINT('',(-1.11098269331001,0.0687865775229264,0.)); #131600=CARTESIAN_POINT('',(-0.876835771616484,0.474340942364397,0.)); #131601=CARTESIAN_POINT('',(-1.11098269331001,0.0687865775229264,0.01)); #131602=CARTESIAN_POINT('',(-1.11098269331001,0.0687865775229264,0.)); #131603=CARTESIAN_POINT('',(-1.11435727143477,0.0629416367562515,0.01)); #131604=CARTESIAN_POINT('Origin',(-1.10916542815499,0.0742317579356004, 0.)); #131605=CARTESIAN_POINT('',(-1.10916542815499,0.0742317579356004,0.)); #131606=CARTESIAN_POINT('',(-0.988331462838091,0.4362938044754,0.)); #131607=CARTESIAN_POINT('',(-1.10916542815499,0.0742317579356004,0.01)); #131608=CARTESIAN_POINT('',(-1.10916542815499,0.0742317579356004,0.)); #131609=CARTESIAN_POINT('',(-1.11098269331001,0.0687865775229264,0.01)); #131610=CARTESIAN_POINT('Origin',(-1.10903545246429,0.0767544672680717, 0.)); #131611=CARTESIAN_POINT('',(-1.10903545246429,0.0767544672680717,0.)); #131612=CARTESIAN_POINT('',(-1.09646584844964,0.32071899003834,0.)); #131613=CARTESIAN_POINT('',(-1.10903545246429,0.0767544672680717,0.01)); #131614=CARTESIAN_POINT('',(-1.10903545246429,0.0767544672680717,0.)); #131615=CARTESIAN_POINT('',(-1.10916542815499,0.0742317579356004,0.01)); #131616=CARTESIAN_POINT('Origin',(-1.1099003604836,0.0798515739075498,0.)); #131617=CARTESIAN_POINT('',(-1.1099003604836,0.0798515739075498,0.)); #131618=CARTESIAN_POINT('',(-1.12531865954939,0.135062203639617,0.)); #131619=CARTESIAN_POINT('',(-1.1099003604836,0.0798515739075498,0.01)); #131620=CARTESIAN_POINT('',(-1.1099003604836,0.0798515739075498,0.)); #131621=CARTESIAN_POINT('',(-1.10903545246429,0.0767544672680717,0.01)); #131622=CARTESIAN_POINT('Origin',(-1.11132769756212,0.0819745232345672, 0.)); #131623=CARTESIAN_POINT('',(-1.11132769756212,0.0819745232345672,0.)); #131624=CARTESIAN_POINT('',(-1.0371682386395,-0.028326523225006,0.)); #131625=CARTESIAN_POINT('',(-1.11132769756212,0.0819745232345672,0.01)); #131626=CARTESIAN_POINT('',(-1.11132769756212,0.0819745232345672,0.)); #131627=CARTESIAN_POINT('',(-1.1099003604836,0.0798515739075498,0.01)); #131628=CARTESIAN_POINT('Origin',(-1.10851554919108,0.0868453070904549, 0.)); #131629=CARTESIAN_POINT('',(-1.10851554919108,0.0868453070904549,0.)); #131630=CARTESIAN_POINT('',(-0.878586850072186,0.485093495986536,0.)); #131631=CARTESIAN_POINT('',(-1.10851554919108,0.0868453070904549,0.01)); #131632=CARTESIAN_POINT('',(-1.10851554919108,0.0868453070904549,0.)); #131633=CARTESIAN_POINT('',(-1.11132769756212,0.0819745232345672,0.01)); #131634=CARTESIAN_POINT('Origin',(-1.10609332795027,0.0841479612403789, 0.)); #131635=CARTESIAN_POINT('',(-1.10609332795027,0.0841479612403789,0.)); #131636=CARTESIAN_POINT('',(-0.964766249177305,-0.0732315559602221,0.)); #131637=CARTESIAN_POINT('',(-1.10609332795027,0.0841479612403789,0.01)); #131638=CARTESIAN_POINT('',(-1.10609332795027,0.0841479612403789,0.)); #131639=CARTESIAN_POINT('',(-1.10851554919108,0.0868453070904549,0.01)); #131640=CARTESIAN_POINT('Origin',(-1.10423353706047,0.080476459056275,0.)); #131641=CARTESIAN_POINT('',(-1.10423353706047,0.080476459056275,0.)); #131642=CARTESIAN_POINT('',(-1.07783274332927,0.0283573889082058,0.)); #131643=CARTESIAN_POINT('',(-1.10423353706047,0.080476459056275,0.01)); #131644=CARTESIAN_POINT('',(-1.10423353706047,0.080476459056275,0.)); #131645=CARTESIAN_POINT('',(-1.10609332795027,0.0841479612403789,0.01)); #131646=CARTESIAN_POINT('Origin',(-1.10393105894205,0.0764051960555172, 0.)); #131647=CARTESIAN_POINT('',(-1.10393105894205,0.0764051960555172,0.)); #131648=CARTESIAN_POINT('',(-1.1168768340919,0.250651371806198,0.)); #131649=CARTESIAN_POINT('',(-1.10393105894205,0.0764051960555172,0.01)); #131650=CARTESIAN_POINT('',(-1.10393105894205,0.0764051960555172,0.)); #131651=CARTESIAN_POINT('',(-1.10423353706047,0.080476459056275,0.01)); #131652=CARTESIAN_POINT('Origin',(-1.10419101046396,0.0713597765428201, 0.)); #131653=CARTESIAN_POINT('',(-1.10419101046396,0.0713597765428201,0.)); #131654=CARTESIAN_POINT('',(-1.0914892086661,0.317890045594717,0.)); #131655=CARTESIAN_POINT('',(-1.10419101046396,0.0713597765428201,0.01)); #131656=CARTESIAN_POINT('',(-1.10419101046396,0.0713597765428201,0.)); #131657=CARTESIAN_POINT('',(-1.10393105894205,0.0764051960555172,0.01)); #131658=CARTESIAN_POINT('Origin',(-1.10544584557671,0.0668887533389551, 0.)); #131659=CARTESIAN_POINT('',(-1.10544584557671,0.0668887533389551,0.)); #131660=CARTESIAN_POINT('',(-1.00759681393567,0.415528416429858,0.)); #131661=CARTESIAN_POINT('',(-1.10544584557671,0.0668887533389551,0.01)); #131662=CARTESIAN_POINT('',(-1.10544584557671,0.0668887533389551,0.)); #131663=CARTESIAN_POINT('',(-1.10419101046396,0.0713597765428201,0.01)); #131664=CARTESIAN_POINT('Origin',(-1.10994528361347,0.0590954980545429, 0.)); #131665=CARTESIAN_POINT('',(-1.10994528361347,0.0590954980545429,0.)); #131666=CARTESIAN_POINT('',(-0.873829857833973,0.468059411915351,0.)); #131667=CARTESIAN_POINT('',(-1.10994528361347,0.0590954980545429,0.01)); #131668=CARTESIAN_POINT('',(-1.10994528361347,0.0590954980545429,0.)); #131669=CARTESIAN_POINT('',(-1.10544584557671,0.0668887533389551,0.01)); #131670=CARTESIAN_POINT('Origin',(-1.11318988613467,0.0557732666706402, 0.)); #131671=CARTESIAN_POINT('',(-1.11318988613467,0.0557732666706402,0.)); #131672=CARTESIAN_POINT('',(-0.728236487879351,0.449936882295612,0.)); #131673=CARTESIAN_POINT('',(-1.11318988613467,0.0557732666706402,0.01)); #131674=CARTESIAN_POINT('',(-1.11318988613467,0.0557732666706402,0.)); #131675=CARTESIAN_POINT('',(-1.10994528361347,0.0590954980545429,0.01)); #131676=CARTESIAN_POINT('Origin',(-1.11742937144199,0.0530254329890593, 0.)); #131677=CARTESIAN_POINT('',(-1.11742937144199,0.0530254329890593,0.)); #131678=CARTESIAN_POINT('',(-0.619977041115258,0.375450484420419,0.)); #131679=CARTESIAN_POINT('',(-1.11742937144199,0.0530254329890593,0.01)); #131680=CARTESIAN_POINT('',(-1.11742937144199,0.0530254329890593,0.)); #131681=CARTESIAN_POINT('',(-1.11318988613467,0.0557732666706402,0.01)); #131682=CARTESIAN_POINT('Origin',(-1.12110642768518,0.0512517552231414, 0.)); #131683=CARTESIAN_POINT('',(-1.12110642768518,0.0512517552231414,0.)); #131684=CARTESIAN_POINT('',(-0.576830699152543,0.313790507523952,0.)); #131685=CARTESIAN_POINT('',(-1.12110642768518,0.0512517552231414,0.01)); #131686=CARTESIAN_POINT('',(-1.12110642768518,0.0512517552231414,0.)); #131687=CARTESIAN_POINT('',(-1.11742937144199,0.0530254329890593,0.01)); #131688=CARTESIAN_POINT('Origin',(-1.1252159378451,0.051026629329642,0.)); #131689=CARTESIAN_POINT('',(-0.551788982991739,0.0824399244777789,0.)); #131690=CARTESIAN_POINT('',(-1.12110642768518,0.0512517552231414,0.01)); #131691=CARTESIAN_POINT('Origin',(-1.13233978821829,0.0737548307479059, 0.01)); #131692=CARTESIAN_POINT('Origin',(-0.940744277362586,-0.12268892614575, 0.)); #131693=CARTESIAN_POINT('',(-0.941369162859338,-0.12835574977077,0.)); #131694=CARTESIAN_POINT('',(-0.940744277362586,-0.12268892614575,0.)); #131695=CARTESIAN_POINT('',(-0.900692183418935,0.240526660343939,0.)); #131696=CARTESIAN_POINT('',(-0.941369162859338,-0.12835574977077,0.01)); #131697=CARTESIAN_POINT('',(-0.941369162859338,-0.12835574977077,0.)); #131698=CARTESIAN_POINT('',(-0.940744277362586,-0.12268892614575,0.01)); #131699=CARTESIAN_POINT('',(-0.941151448896858,-0.126381393456705,0.01)); #131700=CARTESIAN_POINT('',(-0.940744277362586,-0.12268892614575,0.)); #131701=CARTESIAN_POINT('Origin',(-0.937996442833013,-0.118449440697625, 0.)); #131702=CARTESIAN_POINT('',(-0.937996442833013,-0.118449440697625,0.)); #131703=CARTESIAN_POINT('',(-0.656103724291858,0.316467605916893,0.)); #131704=CARTESIAN_POINT('',(-0.937996442833013,-0.118449440697625,0.01)); #131705=CARTESIAN_POINT('',(-0.938807648875315,-0.119701006676128,0.01)); #131706=CARTESIAN_POINT('',(-0.937996442833013,-0.118449440697625,0.)); #131707=CARTESIAN_POINT('Origin',(-0.934674211398976,-0.115204838263259, 0.)); #131708=CARTESIAN_POINT('',(-0.934674211398976,-0.115204838263259,0.)); #131709=CARTESIAN_POINT('',(-0.539514569831954,0.27072129615824,0.)); #131710=CARTESIAN_POINT('',(-0.934674211398976,-0.115204838263259,0.01)); #131711=CARTESIAN_POINT('',(-0.935746155169202,-0.116251734433931,0.01)); #131712=CARTESIAN_POINT('',(-0.934674211398976,-0.115204838263259,0.)); #131713=CARTESIAN_POINT('Origin',(-0.930777585150284,-0.112955120049718, 0.)); #131714=CARTESIAN_POINT('',(-0.930777585150284,-0.112955120049718,0.)); #131715=CARTESIAN_POINT('',(-0.447113673909028,0.166288369293738,0.)); #131716=CARTESIAN_POINT('',(-0.930777585150284,-0.112955120049718,0.01)); #131717=CARTESIAN_POINT('',(-0.931751741674326,-0.113517549581088,0.01)); #131718=CARTESIAN_POINT('',(-0.930777585150284,-0.112955120049718,0.)); #131719=CARTESIAN_POINT('Origin',(-0.926306561299625,-0.111700284447704, 0.)); #131720=CARTESIAN_POINT('',(-0.926306561299625,-0.111700284447704,0.)); #131721=CARTESIAN_POINT('',(-0.416250208724321,0.0314519087910616,0.)); #131722=CARTESIAN_POINT('',(-0.926306561299625,-0.111700284447704,0.01)); #131723=CARTESIAN_POINT('',(-0.927099462579015,-0.111922819774621,0.01)); #131724=CARTESIAN_POINT('',(-0.926306561299625,-0.111700284447704,0.)); #131725=CARTESIAN_POINT('Origin',(-0.921261142635166,-0.11144033306623, 0.)); #131726=CARTESIAN_POINT('',(-0.921261142635166,-0.11144033306623,0.)); #131727=CARTESIAN_POINT('',(-0.445913312729344,-0.0869493377133107,0.)); #131728=CARTESIAN_POINT('',(-0.921261142635166,-0.11144033306623,0.01)); #131729=CARTESIAN_POINT('',(-0.922294360182613,-0.111493566771237,0.01)); #131730=CARTESIAN_POINT('',(-0.921261142635166,-0.11144033306623,0.)); #131731=CARTESIAN_POINT('Origin',(-0.916041087016923,-0.113732578365119, 0.)); #131732=CARTESIAN_POINT('',(-0.916041087016923,-0.113732578365119,0.)); #131733=CARTESIAN_POINT('',(-0.64665798537314,-0.232024832988807,0.)); #131734=CARTESIAN_POINT('',(-0.916041087016923,-0.113732578365119,0.01)); #131735=CARTESIAN_POINT('',(-0.915249757879082,-0.114080069010573,0.01)); #131736=CARTESIAN_POINT('',(-0.916041087016923,-0.113732578365119,0.)); #131737=CARTESIAN_POINT('Origin',(-0.914317898392441,-0.116717228613582, 0.)); #131738=CARTESIAN_POINT('',(-0.914317898392441,-0.116717228613582,0.)); #131739=CARTESIAN_POINT('',(-0.935464998291177,-0.0800893771582451,0.)); #131740=CARTESIAN_POINT('',(-0.914317898392441,-0.116717228613582,0.01)); #131741=CARTESIAN_POINT('',(-0.911958629396936,-0.120803602382297,0.01)); #131742=CARTESIAN_POINT('',(-0.914317898392441,-0.116717228613582,0.)); #131743=CARTESIAN_POINT('Origin',(-0.914942783138787,-0.122384052734161, 0.)); #131744=CARTESIAN_POINT('',(-0.914942783138787,-0.122384052734161,0.)); #131745=CARTESIAN_POINT('',(-0.875062337973507,0.239275389144932,0.)); #131746=CARTESIAN_POINT('',(-0.914942783138787,-0.122384052734161,0.01)); #131747=CARTESIAN_POINT('',(-0.91552154544234,-0.127632611290018,0.01)); #131748=CARTESIAN_POINT('',(-0.914942783138787,-0.122384052734161,0.)); #131749=CARTESIAN_POINT('Origin',(-0.917690616970899,-0.126623537780193, 0.)); #131750=CARTESIAN_POINT('',(-0.917690616970899,-0.126623537780193,0.)); #131751=CARTESIAN_POINT('',(-0.636936045103384,0.306537592681144,0.)); #131752=CARTESIAN_POINT('',(-0.917690616970899,-0.126623537780193,0.01)); #131753=CARTESIAN_POINT('',(-0.919639929647295,-0.129631027478095,0.01)); #131754=CARTESIAN_POINT('',(-0.917690616970899,-0.126623537780193,0.)); #131755=CARTESIAN_POINT('Origin',(-0.921012848404937,-0.129868140214559, 0.)); #131756=CARTESIAN_POINT('',(-0.921012848404937,-0.129868140214559,0.)); #131757=CARTESIAN_POINT('',(-0.525684483274734,0.256222775286855,0.)); #131758=CARTESIAN_POINT('',(-0.921012848404937,-0.129868140214559,0.01)); #131759=CARTESIAN_POINT('',(-0.921916068612053,-0.130750255305264,0.01)); #131760=CARTESIAN_POINT('',(-0.921012848404937,-0.129868140214559,0.)); #131761=CARTESIAN_POINT('Origin',(-0.924909474653653,-0.132117858428187, 0.)); #131762=CARTESIAN_POINT('',(-0.924909474653653,-0.132117858428187,0.)); #131763=CARTESIAN_POINT('',(-0.439297250291669,0.148250490029089,0.)); #131764=CARTESIAN_POINT('',(-0.924909474653653,-0.132117858428187,0.01)); #131765=CARTESIAN_POINT('',(-0.923935318053593,-0.13155542885291,0.01)); #131766=CARTESIAN_POINT('',(-0.924909474653653,-0.132117858428187,0.)); #131767=CARTESIAN_POINT('Origin',(-0.929380498504296,-0.133372694030148, 0.)); #131768=CARTESIAN_POINT('',(-0.929380498504296,-0.133372694030148,0.)); #131769=CARTESIAN_POINT('',(-0.415080188461828,0.0109706064530328,0.)); #131770=CARTESIAN_POINT('',(-0.929380498504296,-0.133372694030148,0.01)); #131771=CARTESIAN_POINT('',(-0.925929442316185,-0.132404122107056,0.01)); #131772=CARTESIAN_POINT('',(-0.929380498504296,-0.133372694030148,0.)); #131773=CARTESIAN_POINT('Origin',(-0.934425917866215,-0.133632645813698, 0.)); #131774=CARTESIAN_POINT('',(-0.934425917866215,-0.133632645813698,0.)); #131775=CARTESIAN_POINT('',(-0.451942922174801,-0.108773995567749,0.)); #131776=CARTESIAN_POINT('',(-0.934425917866215,-0.133632645813698,0.01)); #131777=CARTESIAN_POINT('',(-0.928323989273394,-0.133318260208258,0.01)); #131778=CARTESIAN_POINT('',(-0.934425917866215,-0.133632645813698,0.)); #131779=CARTESIAN_POINT('Origin',(-0.939645974234861,-0.131340400019259, 0.)); #131780=CARTESIAN_POINT('',(-0.939645974234861,-0.131340400019259,0.)); #131781=CARTESIAN_POINT('',(-0.663609421638936,-0.252554345255525,0.)); #131782=CARTESIAN_POINT('',(-0.939645974234861,-0.131340400019259,0.01)); #131783=CARTESIAN_POINT('',(-0.932201179308443,-0.134609579249399,0.01)); #131784=CARTESIAN_POINT('',(-0.939645974234861,-0.131340400019259,0.)); #131785=CARTESIAN_POINT('Origin',(-0.941369162859338,-0.12835574977077, 0.)); #131786=CARTESIAN_POINT('',(-0.961654668446935,-0.0932202234373348,0.)); #131787=CARTESIAN_POINT('',(-0.93814829955146,-0.133934448664009,0.01)); #131788=CARTESIAN_POINT('Origin',(-0.908624424849601,-0.133327770311712, 0.)); #131789=CARTESIAN_POINT('',(-0.914244239722794,-0.132592838276851,0.)); #131790=CARTESIAN_POINT('',(-0.908624424849601,-0.133327770311712,0.)); #131791=CARTESIAN_POINT('',(-0.503234942575291,-0.186342633584208,0.)); #131792=CARTESIAN_POINT('',(-0.914244239722794,-0.132592838276851,0.01)); #131793=CARTESIAN_POINT('',(-0.914244239722794,-0.132592838276851,0.)); #131794=CARTESIAN_POINT('',(-0.908624424849601,-0.133327770311712,0.01)); #131795=CARTESIAN_POINT('',(-0.91540164638009,-0.132441478267847,0.01)); #131796=CARTESIAN_POINT('',(-0.908624424849601,-0.133327770311712,0.)); #131797=CARTESIAN_POINT('Origin',(-0.904952921707121,-0.135187560648767, 0.)); #131798=CARTESIAN_POINT('',(-0.904952921707121,-0.135187560648767,0.)); #131799=CARTESIAN_POINT('',(-0.674677745669341,-0.251832850231491,0.)); #131800=CARTESIAN_POINT('',(-0.904952921707121,-0.135187560648767,0.01)); #131801=CARTESIAN_POINT('',(-0.912442644770741,-0.131393660973245,0.01)); #131802=CARTESIAN_POINT('',(-0.904952921707121,-0.135187560648767,0.)); #131803=CARTESIAN_POINT('Origin',(-0.903804129290514,-0.13717732748117, 0.)); #131804=CARTESIAN_POINT('',(-0.903804129290514,-0.13717732748117,0.)); #131805=CARTESIAN_POINT('',(-0.930695191652982,-0.0906006412118087,0.)); #131806=CARTESIAN_POINT('',(-0.903804129290514,-0.13717732748117,0.01)); #131807=CARTESIAN_POINT('',(-0.907188822779602,-0.131314866391524,0.01)); #131808=CARTESIAN_POINT('',(-0.903804129290514,-0.13717732748117,0.)); #131809=CARTESIAN_POINT('Origin',(-0.904029253575598,-0.141286840427894, 0.)); #131810=CARTESIAN_POINT('',(-0.904029253575598,-0.141286840427894,0.)); #131811=CARTESIAN_POINT('',(-0.884922754387183,0.20749119456676,0.)); #131812=CARTESIAN_POINT('',(-0.904029253575598,-0.141286840427894,0.01)); #131813=CARTESIAN_POINT('',(-0.903808424985996,-0.137255742904863,0.01)); #131814=CARTESIAN_POINT('',(-0.904029253575598,-0.141286840427894,0.)); #131815=CARTESIAN_POINT('Origin',(-0.906202691199838,-0.146521208890012, 0.)); #131816=CARTESIAN_POINT('',(-0.906202691199838,-0.146521208890012,0.)); #131817=CARTESIAN_POINT('',(-0.723518915149364,0.293442738192933,0.)); #131818=CARTESIAN_POINT('',(-0.906202691199838,-0.146521208890012,0.01)); #131819=CARTESIAN_POINT('',(-0.904847868183364,-0.143258339604005,0.01)); #131820=CARTESIAN_POINT('',(-0.906202691199838,-0.146521208890012,0.)); #131821=CARTESIAN_POINT('Origin',(-0.909524922633876,-0.149765811324376, 0.)); #131822=CARTESIAN_POINT('',(-0.909524922633876,-0.149765811324376,0.)); #131823=CARTESIAN_POINT('',(-0.512163403319933,0.238310750615152,0.)); #131824=CARTESIAN_POINT('',(-0.909524922633876,-0.149765811324376,0.01)); #131825=CARTESIAN_POINT('',(-0.908394988657325,-0.148662279976913,0.01)); #131826=CARTESIAN_POINT('',(-0.909524922633876,-0.149765811324376,0.)); #131827=CARTESIAN_POINT('Origin',(-0.913421548882592,-0.152015529538004, 0.)); #131828=CARTESIAN_POINT('',(-0.913421548882592,-0.152015529538004,0.)); #131829=CARTESIAN_POINT('',(-0.427809324520396,0.128352818919265,0.)); #131830=CARTESIAN_POINT('',(-0.913421548882592,-0.152015529538004,0.01)); #131831=CARTESIAN_POINT('',(-0.912447392282368,-0.151453099962631,0.01)); #131832=CARTESIAN_POINT('',(-0.913421548882592,-0.152015529538004,0.)); #131833=CARTESIAN_POINT('Origin',(-0.917892572733234,-0.153270365139965, 0.)); #131834=CARTESIAN_POINT('',(-0.917892572733234,-0.153270365139965,0.)); #131835=CARTESIAN_POINT('',(-0.40632846004078,-0.00969500461038402,0.)); #131836=CARTESIAN_POINT('',(-0.917892572733234,-0.153270365139965,0.01)); #131837=CARTESIAN_POINT('',(-0.917177713895142,-0.153069733170559,0.01)); #131838=CARTESIAN_POINT('',(-0.917892572733234,-0.153270365139965,0.)); #131839=CARTESIAN_POINT('Origin',(-0.923512388303021,-0.152535433507431, 0.)); #131840=CARTESIAN_POINT('',(-0.923512388303021,-0.152535433507431,0.)); #131841=CARTESIAN_POINT('',(-0.512038878038109,-0.20634590021909,0.)); #131842=CARTESIAN_POINT('',(-0.923512388303021,-0.152535433507431,0.01)); #131843=CARTESIAN_POINT('',(-0.924205618817558,-0.152444776255881,0.01)); #131844=CARTESIAN_POINT('',(-0.923512388303021,-0.152535433507431,0.)); #131845=CARTESIAN_POINT('Origin',(-0.927183892357306,-0.150675639981079, 0.)); #131846=CARTESIAN_POINT('',(-0.927183892357306,-0.150675639981079,0.)); #131847=CARTESIAN_POINT('',(-0.691185021096833,-0.270220427759348,0.)); #131848=CARTESIAN_POINT('',(-0.927183892357306,-0.150675639981079,0.01)); #131849=CARTESIAN_POINT('',(-0.928949588314231,-0.149781229989522,0.01)); #131850=CARTESIAN_POINT('',(-0.927183892357306,-0.150675639981079,0.)); #131851=CARTESIAN_POINT('Origin',(-0.928332684773914,-0.148685873148674, 0.)); #131852=CARTESIAN_POINT('',(-0.928332684773914,-0.148685873148674,0.)); #131853=CARTESIAN_POINT('',(-0.954649350927248,-0.103104070296961,0.)); #131854=CARTESIAN_POINT('',(-0.928332684773914,-0.148685873148674,0.01)); #131855=CARTESIAN_POINT('',(-0.931142982053886,-0.143818295476638,0.01)); #131856=CARTESIAN_POINT('',(-0.928332684773914,-0.148685873148674,0.)); #131857=CARTESIAN_POINT('Origin',(-0.928107559577026,-0.144576363391249, 0.)); #131858=CARTESIAN_POINT('',(-0.928107559577026,-0.144576363391249,0.)); #131859=CARTESIAN_POINT('',(-0.90887510767445,0.206499170779067,0.)); #131860=CARTESIAN_POINT('',(-0.928107559577026,-0.144576363391249,0.01)); #131861=CARTESIAN_POINT('',(-0.927760875534781,-0.138247878290335,0.01)); #131862=CARTESIAN_POINT('',(-0.928107559577026,-0.144576363391249,0.)); #131863=CARTESIAN_POINT('Origin',(-0.92593412125532,-0.139341994527039, 0.)); #131864=CARTESIAN_POINT('',(-0.92593412125532,-0.139341994527039,0.)); #131865=CARTESIAN_POINT('',(-0.743070779541735,0.3010542995438,0.)); #131866=CARTESIAN_POINT('',(-0.92593412125532,-0.139341994527039,0.01)); #131867=CARTESIAN_POINT('',(-0.924399780370452,-0.135646786765849,0.01)); #131868=CARTESIAN_POINT('',(-0.92593412125532,-0.139341994527039,0.)); #131869=CARTESIAN_POINT('Origin',(-0.922611889821283,-0.136097392092674, 0.)); #131870=CARTESIAN_POINT('',(-0.922611889821283,-0.136097392092674,0.)); #131871=CARTESIAN_POINT('',(-0.525317436446973,0.251913671005302,0.)); #131872=CARTESIAN_POINT('',(-0.922611889821283,-0.136097392092674,0.01)); #131873=CARTESIAN_POINT('',(-0.921549021784242,-0.135059359586853,0.01)); #131874=CARTESIAN_POINT('',(-0.922611889821283,-0.136097392092674,0.)); #131875=CARTESIAN_POINT('Origin',(-0.918715263572566,-0.133847673879046, 0.)); #131876=CARTESIAN_POINT('',(-0.918715263572566,-0.133847673879046,0.)); #131877=CARTESIAN_POINT('',(-0.43505135233486,0.145395815471316,0.)); #131878=CARTESIAN_POINT('',(-0.918715263572566,-0.133847673879046,0.01)); #131879=CARTESIAN_POINT('',(-0.919689420096838,-0.134410103410567,0.01)); #131880=CARTESIAN_POINT('',(-0.918715263572566,-0.133847673879046,0.)); #131881=CARTESIAN_POINT('Origin',(-0.914244239722794,-0.132592838276851, 0.)); #131882=CARTESIAN_POINT('',(-0.407060894246684,0.00975301809250181,0.)); #131883=CARTESIAN_POINT('',(-0.917910148104389,-0.133621710523159,0.01)); #131884=CARTESIAN_POINT('Origin',(-0.946239946715353,-0.131167898141279, 0.)); #131885=CARTESIAN_POINT('',(-0.946239946715353,-0.131167898141279,0.)); #131886=CARTESIAN_POINT('',(-0.944516758090853,-0.134152548389681,0.)); #131887=CARTESIAN_POINT('',(-0.966525452298243,-0.0960323718175244,0.)); #131888=CARTESIAN_POINT('',(-0.946239946715353,-0.131167898141279,0.01)); #131889=CARTESIAN_POINT('',(-0.946239946715353,-0.131167898141279,0.)); #131890=CARTESIAN_POINT('',(-0.944516758090853,-0.134152548389681,0.01)); #131891=CARTESIAN_POINT('',(-0.946239946715353,-0.131167898141279,0.01)); #131892=CARTESIAN_POINT('',(-0.944516758090853,-0.134152548389681,0.)); #131893=CARTESIAN_POINT('Origin',(-0.947163614511315,-0.125068620955266, 0.)); #131894=CARTESIAN_POINT('',(-0.947163614511315,-0.125068620955266,0.)); #131895=CARTESIAN_POINT('',(-0.983469106410243,0.114668283843485,0.)); #131896=CARTESIAN_POINT('',(-0.947163614511315,-0.125068620955266,0.01)); #131897=CARTESIAN_POINT('',(-0.947163614511315,-0.125068620955266,0.)); #131898=CARTESIAN_POINT('',(-0.947163614511315,-0.125068620955266,0.01)); #131899=CARTESIAN_POINT('Origin',(-0.945964333127207,-0.120396681396626, 0.)); #131900=CARTESIAN_POINT('',(-0.945964333127207,-0.120396681396626,0.)); #131901=CARTESIAN_POINT('',(-0.840955029982253,0.288679223465264,0.)); #131902=CARTESIAN_POINT('',(-0.945964333127207,-0.120396681396626,0.01)); #131903=CARTESIAN_POINT('',(-0.945964333127207,-0.120396681396626,0.)); #131904=CARTESIAN_POINT('',(-0.945964333127207,-0.120396681396626,0.01)); #131905=CARTESIAN_POINT('Origin',(-0.942816738046473,-0.114599882516422, 0.)); #131906=CARTESIAN_POINT('',(-0.942816738046473,-0.114599882516422,0.)); #131907=CARTESIAN_POINT('',(-0.704757037731836,0.323825063771112,0.)); #131908=CARTESIAN_POINT('',(-0.942816738046473,-0.114599882516422,0.01)); #131909=CARTESIAN_POINT('',(-0.942816738046473,-0.114599882516422,0.)); #131910=CARTESIAN_POINT('',(-0.942816738046473,-0.114599882516422,0.01)); #131911=CARTESIAN_POINT('Origin',(-0.93852035010119,-0.11079285044145,0.)); #131912=CARTESIAN_POINT('',(-0.93852035010119,-0.11079285044145,0.)); #131913=CARTESIAN_POINT('',(-0.521873007333052,0.258398623436391,0.)); #131914=CARTESIAN_POINT('',(-0.93852035010119,-0.11079285044145,0.01)); #131915=CARTESIAN_POINT('',(-0.93852035010119,-0.11079285044145,0.)); #131916=CARTESIAN_POINT('',(-0.93852035010119,-0.11079285044145,0.01)); #131917=CARTESIAN_POINT('Origin',(-0.93267540933448,-0.107418272316429, 0.)); #131918=CARTESIAN_POINT('',(-0.93267540933448,-0.107418272316429,0.)); #131919=CARTESIAN_POINT('',(-0.44949857672491,0.171544002053877,0.)); #131920=CARTESIAN_POINT('',(-0.93267540933448,-0.107418272316429,0.01)); #131921=CARTESIAN_POINT('',(-0.93267540933448,-0.107418272316429,0.)); #131922=CARTESIAN_POINT('',(-0.93267540933448,-0.107418272316429,0.01)); #131923=CARTESIAN_POINT('Origin',(-0.92723022817458,-0.10560100684588,0.)); #131924=CARTESIAN_POINT('',(-0.92723022817458,-0.10560100684588,0.)); #131925=CARTESIAN_POINT('',(-0.417822543923357,0.0644078446207552,0.)); #131926=CARTESIAN_POINT('',(-0.92723022817458,-0.10560100684588,0.01)); #131927=CARTESIAN_POINT('',(-0.92723022817458,-0.10560100684588,0.)); #131928=CARTESIAN_POINT('',(-0.92723022817458,-0.10560100684588,0.01)); #131929=CARTESIAN_POINT('Origin',(-0.920636257284791,-0.105773509990967, 0.)); #131930=CARTESIAN_POINT('',(-0.920636257284791,-0.105773509990967,0.)); #131931=CARTESIAN_POINT('',(-0.468666804261922,-0.11759736445334,0.)); #131932=CARTESIAN_POINT('',(-0.920636257284791,-0.105773509990967,0.01)); #131933=CARTESIAN_POINT('',(-0.920636257284791,-0.105773509990967,0.)); #131934=CARTESIAN_POINT('',(-0.920636257284791,-0.105773509990967,0.01)); #131935=CARTESIAN_POINT('Origin',(-0.915990598076337,-0.107070871525176, 0.)); #131936=CARTESIAN_POINT('',(-0.915990598076337,-0.107070871525176,0.)); #131937=CARTESIAN_POINT('',(-0.57090800712899,-0.203439731041517,0.)); #131938=CARTESIAN_POINT('',(-0.915990598076337,-0.107070871525176,0.01)); #131939=CARTESIAN_POINT('',(-0.915990598076337,-0.107070871525176,0.)); #131940=CARTESIAN_POINT('',(-0.915990598076337,-0.107070871525176,0.01)); #131941=CARTESIAN_POINT('Origin',(-0.911170302116027,-0.110920429391165, 0.)); #131942=CARTESIAN_POINT('',(-0.911170302116027,-0.110920429391165,0.)); #131943=CARTESIAN_POINT('',(-0.784101821069539,-0.212399150772467,0.)); #131944=CARTESIAN_POINT('',(-0.911170302116027,-0.110920429391165,0.01)); #131945=CARTESIAN_POINT('',(-0.911170302116027,-0.110920429391165,0.)); #131946=CARTESIAN_POINT('',(-0.911170302116027,-0.110920429391165,0.01)); #131947=CARTESIAN_POINT('Origin',(-0.909447113491542,-0.113905079639619, 0.)); #131948=CARTESIAN_POINT('',(-0.909447113491542,-0.113905079639619,0.)); #131949=CARTESIAN_POINT('',(-0.930594213389748,-0.0772772281853785,0.)); #131950=CARTESIAN_POINT('',(-0.909447113491542,-0.113905079639619,0.01)); #131951=CARTESIAN_POINT('',(-0.909447113491542,-0.113905079639619,0.)); #131952=CARTESIAN_POINT('',(-0.909447113491542,-0.113905079639619,0.01)); #131953=CARTESIAN_POINT('Origin',(-0.908523446164221,-0.12000435802527, 0.)); #131954=CARTESIAN_POINT('',(-0.908523446164221,-0.12000435802527,0.)); #131955=CARTESIAN_POINT('',(-0.944887202730849,0.120117456276834,0.)); #131956=CARTESIAN_POINT('',(-0.908523446164221,-0.12000435802527,0.01)); #131957=CARTESIAN_POINT('',(-0.908523446164221,-0.12000435802527,0.)); #131958=CARTESIAN_POINT('',(-0.908523446164221,-0.12000435802527,0.01)); #131959=CARTESIAN_POINT('Origin',(-0.910696883788462,-0.125238726487386, 0.)); #131960=CARTESIAN_POINT('',(-0.910696883788462,-0.125238726487386,0.)); #131961=CARTESIAN_POINT('',(-0.731451387735866,0.306444686484575,0.)); #131962=CARTESIAN_POINT('',(-0.910696883788462,-0.125238726487386,0.01)); #131963=CARTESIAN_POINT('',(-0.910696883788462,-0.125238726487386,0.)); #131964=CARTESIAN_POINT('',(-0.910696883788462,-0.125238726487386,0.01)); #131965=CARTESIAN_POINT('Origin',(-0.912070802097683,-0.127358469814968, 0.)); #131966=CARTESIAN_POINT('',(-0.912070802097683,-0.127358469814968,0.)); #131967=CARTESIAN_POINT('',(-0.631979597343506,0.304778913032072,0.)); #131968=CARTESIAN_POINT('',(-0.912070802097683,-0.127358469814968,0.01)); #131969=CARTESIAN_POINT('',(-0.912070802097683,-0.127358469814968,0.)); #131970=CARTESIAN_POINT('',(-0.912070802097683,-0.127358469814968,0.01)); #131971=CARTESIAN_POINT('Origin',(-0.909548091371833,-0.127228493319704, 0.)); #131972=CARTESIAN_POINT('',(-0.909548091371833,-0.127228493319704,0.)); #131973=CARTESIAN_POINT('',(-0.439635559690021,-0.103017400338212,0.)); #131974=CARTESIAN_POINT('',(-0.909548091371833,-0.127228493319704,0.01)); #131975=CARTESIAN_POINT('',(-0.909548091371833,-0.127228493319704,0.)); #131976=CARTESIAN_POINT('',(-0.909548091371833,-0.127228493319704,0.01)); #131977=CARTESIAN_POINT('Origin',(-0.904902432364454,-0.128525854505631, 0.)); #131978=CARTESIAN_POINT('',(-0.904902432364454,-0.128525854505631,0.)); #131979=CARTESIAN_POINT('',(-0.567741863671808,-0.222682363744077,0.)); #131980=CARTESIAN_POINT('',(-0.904902432364454,-0.128525854505631,0.01)); #131981=CARTESIAN_POINT('',(-0.904902432364454,-0.128525854505631,0.)); #131982=CARTESIAN_POINT('',(-0.904902432364454,-0.128525854505631,0.01)); #131983=CARTESIAN_POINT('Origin',(-0.900656533014105,-0.13138052825877, 0.)); #131984=CARTESIAN_POINT('',(-0.900656533014105,-0.13138052825877,0.)); #131985=CARTESIAN_POINT('',(-0.738741306043803,-0.240242069837132,0.)); #131986=CARTESIAN_POINT('',(-0.900656533014105,-0.13138052825877,0.01)); #131987=CARTESIAN_POINT('',(-0.900656533014105,-0.13138052825877,0.)); #131988=CARTESIAN_POINT('',(-0.900656533014105,-0.13138052825877,0.01)); #131989=CARTESIAN_POINT('Origin',(-0.898933344389628,-0.134365178507258, 0.)); #131990=CARTESIAN_POINT('',(-0.898933344389628,-0.134365178507258,0.)); #131991=CARTESIAN_POINT('',(-0.925824406773293,-0.0877884921912544,0.)); #131992=CARTESIAN_POINT('',(-0.898933344389628,-0.134365178507258,0.01)); #131993=CARTESIAN_POINT('',(-0.898933344389628,-0.134365178507258,0.)); #131994=CARTESIAN_POINT('',(-0.898933344389628,-0.134365178507258,0.01)); #131995=CARTESIAN_POINT('Origin',(-0.898009676258083,-0.140464458286299, 0.)); #131996=CARTESIAN_POINT('',(-0.898009676258083,-0.140464458286299,0.)); #131997=CARTESIAN_POINT('',(-0.936005800074072,0.110436251349717,0.)); #131998=CARTESIAN_POINT('',(-0.898009676258083,-0.140464458286299,0.01)); #131999=CARTESIAN_POINT('',(-0.898009676258083,-0.140464458286299,0.)); #132000=CARTESIAN_POINT('',(-0.898009676258083,-0.140464458286299,0.01)); #132001=CARTESIAN_POINT('Origin',(-0.899208958016511,-0.14513639759735, 0.)); #132002=CARTESIAN_POINT('',(-0.899208958016511,-0.14513639759735,0.)); #132003=CARTESIAN_POINT('',(-0.792665807502521,0.269914635833093,0.)); #132004=CARTESIAN_POINT('',(-0.899208958016511,-0.14513639759735,0.01)); #132005=CARTESIAN_POINT('',(-0.899208958016511,-0.14513639759735,0.)); #132006=CARTESIAN_POINT('',(-0.899208958016511,-0.14513639759735,0.01)); #132007=CARTESIAN_POINT('Origin',(-0.902356554293812,-0.150933196819604, 0.)); #132008=CARTESIAN_POINT('',(-0.902356554293812,-0.150933196819604,0.)); #132009=CARTESIAN_POINT('',(-0.661285034690453,0.293038352969605,0.)); #132010=CARTESIAN_POINT('',(-0.902356554293812,-0.150933196819604,0.01)); #132011=CARTESIAN_POINT('',(-0.902356554293812,-0.150933196819604,0.)); #132012=CARTESIAN_POINT('',(-0.902356554293812,-0.150933196819604,0.01)); #132013=CARTESIAN_POINT('Origin',(-0.90665294159277,-0.154740228405182, 0.)); #132014=CARTESIAN_POINT('',(-0.90665294159277,-0.154740228405182,0.)); #132015=CARTESIAN_POINT('',(-0.488024174695042,0.216206994764758,0.)); #132016=CARTESIAN_POINT('',(-0.90665294159277,-0.154740228405182,0.01)); #132017=CARTESIAN_POINT('',(-0.90665294159277,-0.154740228405182,0.)); #132018=CARTESIAN_POINT('',(-0.90665294159277,-0.154740228405182,0.01)); #132019=CARTESIAN_POINT('Origin',(-0.910549567841488,-0.156989946618809, 0.)); #132020=CARTESIAN_POINT('',(-0.910549567841488,-0.156989946618809,0.)); #132021=CARTESIAN_POINT('',(-0.424937343479437,0.123378401838319,0.)); #132022=CARTESIAN_POINT('',(-0.910549567841488,-0.156989946618809,0.01)); #132023=CARTESIAN_POINT('',(-0.910549567841488,-0.156989946618809,0.)); #132024=CARTESIAN_POINT('',(-0.910549567841488,-0.156989946618809,0.01)); #132025=CARTESIAN_POINT('Origin',(-0.915994748254539,-0.158807211774164, 0.)); #132026=CARTESIAN_POINT('',(-0.915994748254539,-0.158807211774164,0.)); #132027=CARTESIAN_POINT('',(-0.403653116564276,0.0121808041006969,0.)); #132028=CARTESIAN_POINT('',(-0.915994748254539,-0.158807211774164,0.01)); #132029=CARTESIAN_POINT('',(-0.915994748254539,-0.158807211774164,0.)); #132030=CARTESIAN_POINT('',(-0.915994748254539,-0.158807211774164,0.01)); #132031=CARTESIAN_POINT('Origin',(-0.922588721083306,-0.158634710097259, 0.)); #132032=CARTESIAN_POINT('',(-0.922588721083306,-0.158634710097259,0.)); #132033=CARTESIAN_POINT('',(-0.470334592666583,-0.170465907702248,0.)); #132034=CARTESIAN_POINT('',(-0.922588721083306,-0.158634710097259,0.01)); #132035=CARTESIAN_POINT('',(-0.922588721083306,-0.158634710097259,0.)); #132036=CARTESIAN_POINT('',(-0.922588721083306,-0.158634710097259,0.01)); #132037=CARTESIAN_POINT('Origin',(-0.927234380090706,-0.157337348911419, 0.)); #132038=CARTESIAN_POINT('',(-0.927234380090706,-0.157337348911419,0.)); #132039=CARTESIAN_POINT('',(-0.583447595838377,-0.253344315712745,0.)); #132040=CARTESIAN_POINT('',(-0.927234380090706,-0.157337348911419,0.01)); #132041=CARTESIAN_POINT('',(-0.927234380090706,-0.157337348911419,0.)); #132042=CARTESIAN_POINT('',(-0.927234380090706,-0.157337348911419,0.01)); #132043=CARTESIAN_POINT('Origin',(-0.932054676300391,-0.153487788401856, 0.)); #132044=CARTESIAN_POINT('',(-0.932054676300391,-0.153487788401856,0.)); #132045=CARTESIAN_POINT('',(-0.808988878103342,-0.251769971945957,0.)); #132046=CARTESIAN_POINT('',(-0.932054676300391,-0.153487788401856,0.01)); #132047=CARTESIAN_POINT('',(-0.932054676300391,-0.153487788401856,0.)); #132048=CARTESIAN_POINT('',(-0.932054676300391,-0.153487788401856,0.01)); #132049=CARTESIAN_POINT('Origin',(-0.933777864924869,-0.150503138153367, 0.)); #132050=CARTESIAN_POINT('',(-0.933777864924869,-0.150503138153367,0.)); #132051=CARTESIAN_POINT('',(-0.959807332996057,-0.105418776962047,0.)); #132052=CARTESIAN_POINT('',(-0.933777864924869,-0.150503138153367,0.01)); #132053=CARTESIAN_POINT('',(-0.933777864924869,-0.150503138153367,0.)); #132054=CARTESIAN_POINT('',(-0.933777864924869,-0.150503138153367,0.01)); #132055=CARTESIAN_POINT('Origin',(-0.934127136197054,-0.145398745130663, 0.)); #132056=CARTESIAN_POINT('',(-0.934127136197054,-0.145398745130663,0.)); #132057=CARTESIAN_POINT('',(-0.954229496503291,0.148385358683957,0.)); #132058=CARTESIAN_POINT('',(-0.934127136197054,-0.145398745130663,0.01)); #132059=CARTESIAN_POINT('',(-0.934127136197054,-0.145398745130663,0.)); #132060=CARTESIAN_POINT('',(-0.934127136197054,-0.145398745130663,0.01)); #132061=CARTESIAN_POINT('Origin',(-0.932927854438625,-0.140726805819613, 0.)); #132062=CARTESIAN_POINT('',(-0.932927854438625,-0.140726805819613,0.)); #132063=CARTESIAN_POINT('',(-0.825873421170596,0.276315988079307,0.)); #132064=CARTESIAN_POINT('',(-0.932927854438625,-0.140726805819613,0.01)); #132065=CARTESIAN_POINT('',(-0.932927854438625,-0.140726805819613,0.)); #132066=CARTESIAN_POINT('',(-0.932927854438625,-0.140726805819613,0.01)); #132067=CARTESIAN_POINT('Origin',(-0.931553936825108,-0.138607062894504, 0.)); #132068=CARTESIAN_POINT('',(-0.931553936825108,-0.138607062894504,0.)); #132069=CARTESIAN_POINT('',(-0.646014007080863,0.301936998814134,0.)); #132070=CARTESIAN_POINT('',(-0.931553936825108,-0.138607062894504,0.01)); #132071=CARTESIAN_POINT('',(-0.931553936825108,-0.138607062894504,0.)); #132072=CARTESIAN_POINT('',(-0.931553936825108,-0.138607062894504,0.01)); #132073=CARTESIAN_POINT('Origin',(-0.934076646854386,-0.13873703898753, 0.)); #132074=CARTESIAN_POINT('',(-0.934076646854386,-0.13873703898753,0.)); #132075=CARTESIAN_POINT('',(-0.451636657465628,-0.113880569471639,0.)); #132076=CARTESIAN_POINT('',(-0.934076646854386,-0.13873703898753,0.01)); #132077=CARTESIAN_POINT('',(-0.934076646854386,-0.13873703898753,0.)); #132078=CARTESIAN_POINT('',(-0.934076646854386,-0.13873703898753,0.01)); #132079=CARTESIAN_POINT('Origin',(-0.93969646259918,-0.138002107455298, 0.)); #132080=CARTESIAN_POINT('',(-0.93969646259918,-0.138002107455298,0.)); #132081=CARTESIAN_POINT('',(-0.51933265044572,-0.192975194579488,0.)); #132082=CARTESIAN_POINT('',(-0.93969646259918,-0.138002107455298,0.01)); #132083=CARTESIAN_POINT('',(-0.93969646259918,-0.138002107455298,0.)); #132084=CARTESIAN_POINT('',(-0.93969646259918,-0.138002107455298,0.01)); #132085=CARTESIAN_POINT('Origin',(-0.944516758090853,-0.134152548389681, 0.)); #132086=CARTESIAN_POINT('',(-0.812932237518263,-0.239237887025091,0.)); #132087=CARTESIAN_POINT('',(-0.944516758090853,-0.134152548389681,0.01)); #132088=CARTESIAN_POINT('Origin',(-0.922263397724102,-0.132201561508684, 0.01)); #132089=CARTESIAN_POINT('Origin',(-0.998590004171191,0.179977499999857, 0.)); #132090=CARTESIAN_POINT('',(-0.998590004171191,0.179977499999857,0.)); #132091=CARTESIAN_POINT('',(-1.0053391604219,0.179977500000109,0.)); #132092=CARTESIAN_POINT('',(-0.499295002091746,0.17997749998118,0.)); #132093=CARTESIAN_POINT('',(-0.998590004171191,0.179977499999857,0.01)); #132094=CARTESIAN_POINT('',(-0.998590004171191,0.179977499999857,0.)); #132095=CARTESIAN_POINT('',(-1.0053391604219,0.179977500000109,0.01)); #132096=CARTESIAN_POINT('',(-1.0053391604219,0.179977500000109,0.01)); #132097=CARTESIAN_POINT('',(-1.0053391604219,0.179977500000109,0.)); #132098=CARTESIAN_POINT('Origin',(-0.991840847921864,0.171935953086399, 0.)); #132099=CARTESIAN_POINT('',(-0.991840847921864,0.171935953086399,0.)); #132100=CARTESIAN_POINT('',(-0.869834428761698,0.0265666199171967,0.)); #132101=CARTESIAN_POINT('',(-0.991840847921864,0.171935953086399,0.01)); #132102=CARTESIAN_POINT('',(-0.991840847921864,0.171935953086399,0.)); #132103=CARTESIAN_POINT('',(-0.998590004171191,0.179977499999857,0.01)); #132104=CARTESIAN_POINT('Origin',(-0.991840847921405,0.162745610538062, 0.)); #132105=CARTESIAN_POINT('',(-0.991840847921405,0.162745610538062,0.)); #132106=CARTESIAN_POINT('',(-0.991840847930043,0.335792168607951,0.)); #132107=CARTESIAN_POINT('',(-0.991840847921405,0.162745610538062,0.01)); #132108=CARTESIAN_POINT('',(-0.991840847921405,0.162745610538062,0.)); #132109=CARTESIAN_POINT('',(-0.991840847921864,0.171935953086399,0.01)); #132110=CARTESIAN_POINT('Origin',(-0.998590004171921,0.17078716067016,0.)); #132111=CARTESIAN_POINT('',(-0.998590004171921,0.17078716067016,0.)); #132112=CARTESIAN_POINT('',(-0.8754718644601,0.0240931657596777,0.)); #132113=CARTESIAN_POINT('',(-0.998590004171921,0.17078716067016,0.01)); #132114=CARTESIAN_POINT('',(-0.998590004171921,0.17078716067016,0.)); #132115=CARTESIAN_POINT('',(-0.991840847921405,0.162745610538062,0.01)); #132116=CARTESIAN_POINT('Origin',(-0.998590004171311,0.12598424999993,0.)); #132117=CARTESIAN_POINT('',(-0.998590004171311,0.12598424999993,0.)); #132118=CARTESIAN_POINT('',(-0.998590004173917,0.317411488356845,0.)); #132119=CARTESIAN_POINT('',(-0.998590004171311,0.12598424999993,0.01)); #132120=CARTESIAN_POINT('',(-0.998590004171311,0.12598424999993,0.)); #132121=CARTESIAN_POINT('',(-0.998590004171921,0.17078716067016,0.01)); #132122=CARTESIAN_POINT('Origin',(-1.00533916042117,0.125984249999864,0.)); #132123=CARTESIAN_POINT('',(-1.00533916042117,0.125984249999864,0.)); #132124=CARTESIAN_POINT('',(-0.502669580208702,0.125984250004812,0.)); #132125=CARTESIAN_POINT('',(-1.00533916042117,0.125984249999864,0.01)); #132126=CARTESIAN_POINT('',(-1.00533916042117,0.125984249999864,0.)); #132127=CARTESIAN_POINT('',(-0.998590004171311,0.12598424999993,0.01)); #132128=CARTESIAN_POINT('Origin',(-1.0053391604219,0.179977500000109,0.)); #132129=CARTESIAN_POINT('',(-1.0053391604241,0.344408113356985,0.)); #132130=CARTESIAN_POINT('',(-1.00533916042117,0.125984249999864,0.01)); #132131=CARTESIAN_POINT('Origin',(-1.00053465490005,0.155170861577281,0.01)); #132132=CARTESIAN_POINT('Origin',(-0.846012045331527,-0.0841942992728791, 0.)); #132133=CARTESIAN_POINT('',(-0.845319639684833,-0.0806974326815378,0.)); #132134=CARTESIAN_POINT('',(-0.846012045331527,-0.0841942992728791,0.)); #132135=CARTESIAN_POINT('',(-0.773555586171984,0.281733639893417,0.)); #132136=CARTESIAN_POINT('',(-0.845319639684833,-0.0806974326815378,0.01)); #132137=CARTESIAN_POINT('',(-0.845319639684833,-0.0806974326815378,0.)); #132138=CARTESIAN_POINT('',(-0.846012045331527,-0.0841942992728791,0.01)); #132139=CARTESIAN_POINT('',(-0.845424891267467,-0.0812289863231873,0.01)); #132140=CARTESIAN_POINT('',(-0.846012045331527,-0.0841942992728791,0.)); #132141=CARTESIAN_POINT('Origin',(-0.849949053412286,-0.0910133972984129, 0.)); #132142=CARTESIAN_POINT('',(-0.849949053412286,-0.0910133972984129,0.)); #132143=CARTESIAN_POINT('',(-0.613833627250717,0.317950517255192,0.)); #132144=CARTESIAN_POINT('',(-0.849949053412286,-0.0910133972984129,0.01)); #132145=CARTESIAN_POINT('',(-0.848499239709406,-0.088502246303571,0.01)); #132146=CARTESIAN_POINT('',(-0.849949053412286,-0.0910133972984129,0.)); #132147=CARTESIAN_POINT('Origin',(-0.852631225488431,-0.0933614707768877, 0.)); #132148=CARTESIAN_POINT('',(-0.852631225488431,-0.0933614707768877,0.)); #132149=CARTESIAN_POINT('',(-0.462055141830646,0.248563401053657,0.)); #132150=CARTESIAN_POINT('',(-0.852631225488431,-0.0933614707768877,0.01)); #132151=CARTESIAN_POINT('',(-0.852238172869883,-0.0930173778540411,0.01)); #132152=CARTESIAN_POINT('',(-0.852631225488431,-0.0933614707768877,0.)); #132153=CARTESIAN_POINT('Origin',(-0.856308281383062,-0.0951351487439898, 0.)); #132154=CARTESIAN_POINT('',(-0.856308281383062,-0.0951351487439898,0.)); #132155=CARTESIAN_POINT('',(-0.390798871711813,0.129409652062043,0.)); #132156=CARTESIAN_POINT('',(-0.856308281383062,-0.0951351487439898,0.01)); #132157=CARTESIAN_POINT('',(-0.857063073716156,-0.0954992331009618,0.01)); #132158=CARTESIAN_POINT('',(-0.856308281383062,-0.0951351487439898,0.)); #132159=CARTESIAN_POINT('Origin',(-0.860417791141058,-0.095360273940621, 0.)); #132160=CARTESIAN_POINT('',(-0.860417791141058,-0.095360273940621,0.)); #132161=CARTESIAN_POINT('',(-0.414996142423281,-0.0709593983723686,0.)); #132162=CARTESIAN_POINT('',(-0.860417791141058,-0.095360273940621,0.01)); #132163=CARTESIAN_POINT('',(-0.863888664109284,-0.0955504136423052,0.01)); #132164=CARTESIAN_POINT('',(-0.860417791141058,-0.095360273940621,0.)); #132165=CARTESIAN_POINT('Origin',(-0.863964873931178,-0.0946112406176772, 0.)); #132166=CARTESIAN_POINT('',(-0.863964873931178,-0.0946112406176772,0.)); #132167=CARTESIAN_POINT('',(-0.511418206635147,-0.169058107894934,0.)); #132168=CARTESIAN_POINT('',(-0.863964873931178,-0.0946112406176772,0.01)); #132169=CARTESIAN_POINT('',(-0.868298692750775,-0.0936960731678165,0.01)); #132170=CARTESIAN_POINT('',(-0.863964873931178,-0.0946112406176772,0.)); #132171=CARTESIAN_POINT('Origin',(-0.865954640763425,-0.0934624482014395, 0.)); #132172=CARTESIAN_POINT('',(-0.865954640763425,-0.0934624482014395,0.)); #132173=CARTESIAN_POINT('',(-0.671623680034503,-0.205659480691628,0.)); #132174=CARTESIAN_POINT('',(-0.865954640763425,-0.0934624482014395,0.01)); #132175=CARTESIAN_POINT('',(-0.871245278840669,-0.090407896883318,0.01)); #132176=CARTESIAN_POINT('',(-0.865954640763425,-0.0934624482014395,0.)); #132177=CARTESIAN_POINT('Origin',(-0.868376861256772,-0.0907651026666546, 0.)); #132178=CARTESIAN_POINT('',(-0.868376861256772,-0.0907651026666546,0.)); #132179=CARTESIAN_POINT('',(-0.823586332437947,-0.140643110569724,0.)); #132180=CARTESIAN_POINT('',(-0.868376861256772,-0.0907651026666546,0.01)); #132181=CARTESIAN_POINT('',(-0.872696558661098,-0.085954757556791,0.01)); #132182=CARTESIAN_POINT('',(-0.868376861256772,-0.0907651026666546,0.)); #132183=CARTESIAN_POINT('Origin',(-0.870236651996391,-0.0870936002210828, 0.)); #132184=CARTESIAN_POINT('',(-0.870236651996391,-0.0870936002210828,0.)); #132185=CARTESIAN_POINT('',(-0.901501276593225,-0.0253725994537091,0.)); #132186=CARTESIAN_POINT('',(-0.870236651996391,-0.0870936002210828,0.01)); #132187=CARTESIAN_POINT('',(-0.872793992840814,-0.082045030527492,0.01)); #132188=CARTESIAN_POINT('',(-0.870236651996391,-0.0870936002210828,0.)); #132189=CARTESIAN_POINT('Origin',(-0.870539129766255,-0.0830223374215114, 0.)); #132190=CARTESIAN_POINT('',(-0.870539129766255,-0.0830223374215114,0.)); #132191=CARTESIAN_POINT('',(-0.890015391829735,0.179122484822487,0.)); #132192=CARTESIAN_POINT('',(-0.870539129766255,-0.0830223374215114,0.01)); #132193=CARTESIAN_POINT('',(-0.87088317926136,-0.0783915312923111,0.01)); #132194=CARTESIAN_POINT('',(-0.870539129766255,-0.0830223374215114,0.)); #132195=CARTESIAN_POINT('Origin',(-0.869846724522364,-0.0795254715268062, 0.)); #132196=CARTESIAN_POINT('',(-0.869846724522364,-0.0795254715268062,0.)); #132197=CARTESIAN_POINT('',(-0.79738547511902,0.286426799866364,0.)); #132198=CARTESIAN_POINT('',(-0.869846724522364,-0.0795254715268062,0.01)); #132199=CARTESIAN_POINT('',(-0.869254748529311,-0.0765358051733838,0.01)); #132200=CARTESIAN_POINT('',(-0.869846724522364,-0.0795254715268062,0.)); #132201=CARTESIAN_POINT('Origin',(-0.865909716441601,-0.072706373501264, 0.)); #132202=CARTESIAN_POINT('',(-0.865909716441601,-0.072706373501264,0.)); #132203=CARTESIAN_POINT('',(-0.631762794320386,0.332847992039684,0.)); #132204=CARTESIAN_POINT('',(-0.865909716441601,-0.072706373501264,0.01)); #132205=CARTESIAN_POINT('',(-0.866428406779039,-0.0736047715190818,0.01)); #132206=CARTESIAN_POINT('',(-0.865909716441601,-0.072706373501264,0.)); #132207=CARTESIAN_POINT('Origin',(-0.86322754396266,-0.0703582993261612, 0.)); #132208=CARTESIAN_POINT('',(-0.86322754396266,-0.0703582993261612,0.)); #132209=CARTESIAN_POINT('',(-0.475352339844792,0.269202171561873,0.)); #132210=CARTESIAN_POINT('',(-0.86322754396266,-0.0703582993261612,0.01)); #132211=CARTESIAN_POINT('',(-0.865535342424028,-0.0723786324743933,0.01)); #132212=CARTESIAN_POINT('',(-0.86322754396266,-0.0703582993261612,0.)); #132213=CARTESIAN_POINT('Origin',(-0.859550488068028,-0.068584621359059, 0.)); #132214=CARTESIAN_POINT('',(-0.859550488068028,-0.068584621359059,0.)); #132215=CARTESIAN_POINT('',(-0.397920772683679,0.154088756092728,0.)); #132216=CARTESIAN_POINT('',(-0.859550488068028,-0.068584621359059,0.01)); #132217=CARTESIAN_POINT('',(-0.864184974688046,-0.0708201290702022,0.01)); #132218=CARTESIAN_POINT('',(-0.859550488068028,-0.068584621359059,0.)); #132219=CARTESIAN_POINT('Origin',(-0.855440977908101,-0.0683594954655693, 0.)); #132220=CARTESIAN_POINT('',(-0.855440977908101,-0.0683594954655693,0.)); #132221=CARTESIAN_POINT('',(-0.413237610122678,-0.0441348496068972,0.)); #132222=CARTESIAN_POINT('',(-0.855440977908101,-0.0683594954655693,0.01)); #132223=CARTESIAN_POINT('',(-0.862130171432747,-0.0687259407620541,0.01)); #132224=CARTESIAN_POINT('',(-0.855440977908101,-0.0683594954655693,0.)); #132225=CARTESIAN_POINT('Origin',(-0.8518938985089,-0.0691085282244348, 0.)); #132226=CARTESIAN_POINT('',(-0.8518938985089,-0.0691085282244348,0.)); #132227=CARTESIAN_POINT('',(-0.502547348162729,-0.142879645517395,0.)); #132228=CARTESIAN_POINT('',(-0.8518938985089,-0.0691085282244348,0.01)); #132229=CARTESIAN_POINT('',(-0.859427816151947,-0.0675175993271265,0.01)); #132230=CARTESIAN_POINT('',(-0.8518938985089,-0.0691085282244348,0.)); #132231=CARTESIAN_POINT('Origin',(-0.849904131676649,-0.0702573206406646, 0.)); #132232=CARTESIAN_POINT('',(-0.849904131676649,-0.0702573206406646,0.)); #132233=CARTESIAN_POINT('',(-0.656568054361907,-0.181879956922918,0.)); #132234=CARTESIAN_POINT('',(-0.849904131676649,-0.0702573206406646,0.01)); #132235=CARTESIAN_POINT('',(-0.856189653169972,-0.0666283731144807,0.01)); #132236=CARTESIAN_POINT('',(-0.849904131676649,-0.0702573206406646,0.)); #132237=CARTESIAN_POINT('Origin',(-0.847481907792386,-0.0729546667395333, 0.)); #132238=CARTESIAN_POINT('',(-0.847481907792386,-0.0729546667395333,0.)); #132239=CARTESIAN_POINT('',(-0.802928091702405,-0.122569016098726,0.)); #132240=CARTESIAN_POINT('',(-0.847481907792386,-0.0729546667395333,0.01)); #132241=CARTESIAN_POINT('',(-0.852038555055012,-0.0678804641451261,0.01)); #132242=CARTESIAN_POINT('',(-0.847481907792386,-0.0729546667395333,0.)); #132243=CARTESIAN_POINT('Origin',(-0.845622117454698,-0.0766261698819663, 0.)); #132244=CARTESIAN_POINT('',(-0.845622117454698,-0.0766261698819663,0.)); #132245=CARTESIAN_POINT('',(-0.877290084364089,-0.0141088862580282,0.)); #132246=CARTESIAN_POINT('',(-0.845622117454698,-0.0766261698819663,0.01)); #132247=CARTESIAN_POINT('',(-0.848582771508874,-0.0707813977894965,0.01)); #132248=CARTESIAN_POINT('',(-0.845622117454698,-0.0766261698819663,0.)); #132249=CARTESIAN_POINT('Origin',(-0.845319639684833,-0.0806974326815378, 0.)); #132250=CARTESIAN_POINT('',(-0.864779232540588,0.181223026873894,0.)); #132251=CARTESIAN_POINT('',(-0.845647019972136,-0.0762909892407428,0.01)); #132252=CARTESIAN_POINT('Origin',(-0.865782138885659,-0.100056420681797, 0.)); #132253=CARTESIAN_POINT('',(-0.865782138885659,-0.100056420681797,0.)); #132254=CARTESIAN_POINT('',(-0.859682859609397,-0.100980089684589,0.)); #132255=CARTESIAN_POINT('',(-0.487667907709332,-0.157317677140623,0.)); #132256=CARTESIAN_POINT('',(-0.865782138885659,-0.100056420681797,0.01)); #132257=CARTESIAN_POINT('',(-0.865782138885659,-0.100056420681797,0.)); #132258=CARTESIAN_POINT('',(-0.859682859609397,-0.100980089684589,0.01)); #132259=CARTESIAN_POINT('',(-0.865782138885659,-0.100056420681797,0.01)); #132260=CARTESIAN_POINT('',(-0.859682859609397,-0.100980089684589,0.)); #132261=CARTESIAN_POINT('Origin',(-0.869761672550157,-0.0977588358493278, 0.)); #132262=CARTESIAN_POINT('',(-0.869761672550157,-0.0977588358493278,0.)); #132263=CARTESIAN_POINT('',(-0.674933270112289,-0.210243066443678,0.)); #132264=CARTESIAN_POINT('',(-0.869761672550157,-0.0977588358493278,0.01)); #132265=CARTESIAN_POINT('',(-0.869761672550157,-0.0977588358493278,0.)); #132266=CARTESIAN_POINT('',(-0.869761672550157,-0.0977588358493278,0.01)); #132267=CARTESIAN_POINT('Origin',(-0.873611230221855,-0.0929385411619166, 0.)); #132268=CARTESIAN_POINT('',(-0.873611230221855,-0.0929385411619166,0.)); #132269=CARTESIAN_POINT('',(-0.85022957417754,-0.122216313317903,0.)); #132270=CARTESIAN_POINT('',(-0.873611230221855,-0.0929385411619166,0.01)); #132271=CARTESIAN_POINT('',(-0.873611230221855,-0.0929385411619166,0.)); #132272=CARTESIAN_POINT('',(-0.873611230221855,-0.0929385411619166,0.01)); #132273=CARTESIAN_POINT('Origin',(-0.875903474924671,-0.0877184861202702, 0.)); #132274=CARTESIAN_POINT('',(-0.875903474924671,-0.0877184861202702,0.)); #132275=CARTESIAN_POINT('',(-0.914912613567689,0.00111576905050185,0.)); #132276=CARTESIAN_POINT('',(-0.875903474924671,-0.0877184861202702,0.01)); #132277=CARTESIAN_POINT('',(-0.875903474924671,-0.0877184861202702,0.)); #132278=CARTESIAN_POINT('',(-0.875903474924671,-0.0877184861202702,0.01)); #132279=CARTESIAN_POINT('Origin',(-0.876075976802437,-0.0811245136399122, 0.)); #132280=CARTESIAN_POINT('',(-0.876075976802437,-0.0811245136399122,0.)); #132281=CARTESIAN_POINT('',(-0.883488000808878,0.202203893883193,0.)); #132282=CARTESIAN_POINT('',(-0.876075976802437,-0.0811245136399122,0.01)); #132283=CARTESIAN_POINT('',(-0.876075976802437,-0.0811245136399122,0.)); #132284=CARTESIAN_POINT('',(-0.876075976802437,-0.0811245136399122,0.01)); #132285=CARTESIAN_POINT('Origin',(-0.874258713040785,-0.075679332422728, 0.)); #132286=CARTESIAN_POINT('',(-0.874258713040785,-0.075679332422728,0.)); #132287=CARTESIAN_POINT('',(-0.742687403700274,0.318556021552471,0.)); #132288=CARTESIAN_POINT('',(-0.874258713040785,-0.075679332422728,0.01)); #132289=CARTESIAN_POINT('',(-0.874258713040785,-0.075679332422728,0.)); #132290=CARTESIAN_POINT('',(-0.874258713040785,-0.075679332422728,0.01)); #132291=CARTESIAN_POINT('Origin',(-0.871446564871146,-0.0708085489151556, 0.)); #132292=CARTESIAN_POINT('',(-0.871446564871146,-0.0708085489151556,0.)); #132293=CARTESIAN_POINT('',(-0.63701842774671,0.335232895253635,0.)); #132294=CARTESIAN_POINT('',(-0.871446564871146,-0.0708085489151556,0.01)); #132295=CARTESIAN_POINT('',(-0.871446564871146,-0.0708085489151556,0.)); #132296=CARTESIAN_POINT('',(-0.871446564871146,-0.0708085489151556,0.01)); #132297=CARTESIAN_POINT('Origin',(-0.867639531892453,-0.0665121624200899, 0.)); #132298=CARTESIAN_POINT('',(-0.867639531892453,-0.0665121624200899,0.)); #132299=CARTESIAN_POINT('',(-0.534039745870838,0.309968320791354,0.)); #132300=CARTESIAN_POINT('',(-0.867639531892453,-0.0665121624200899,0.01)); #132301=CARTESIAN_POINT('',(-0.867639531892453,-0.0665121624200899,0.)); #132302=CARTESIAN_POINT('',(-0.867639531892453,-0.0665121624200899,0.01)); #132303=CARTESIAN_POINT('Origin',(-0.86028542010319,-0.0629648064858835, 0.)); #132304=CARTESIAN_POINT('',(-0.86028542010319,-0.0629648064858835,0.)); #132305=CARTESIAN_POINT('',(-0.39945715795062,0.159321979089423,0.)); #132306=CARTESIAN_POINT('',(-0.86028542010319,-0.0629648064858835,0.01)); #132307=CARTESIAN_POINT('',(-0.86028542010319,-0.0629648064858835,0.)); #132308=CARTESIAN_POINT('',(-0.86028542010319,-0.0629648064858835,0.01)); #132309=CARTESIAN_POINT('Origin',(-0.862275186935437,-0.0618160140696548, 0.)); #132310=CARTESIAN_POINT('',(-0.862275186935437,-0.0618160140696548,0.)); #132311=CARTESIAN_POINT('',(-0.662472367415859,-0.177172225704725,0.)); #132312=CARTESIAN_POINT('',(-0.862275186935437,-0.0618160140696548,0.01)); #132313=CARTESIAN_POINT('',(-0.862275186935437,-0.0618160140696548,0.)); #132314=CARTESIAN_POINT('',(-0.862275186935437,-0.0618160140696548,0.01)); #132315=CARTESIAN_POINT('Origin',(-0.868806917976808,-0.0593437918818087, 0.)); #132316=CARTESIAN_POINT('',(-0.868806917976808,-0.0593437918818087,0.)); #132317=CARTESIAN_POINT('',(-0.582890165140522,-0.16756161894201,0.)); #132318=CARTESIAN_POINT('',(-0.868806917976808,-0.0593437918818087,0.01)); #132319=CARTESIAN_POINT('',(-0.868806917976808,-0.0593437918818087,0.)); #132320=CARTESIAN_POINT('',(-0.868806917976808,-0.0593437918818087,0.01)); #132321=CARTESIAN_POINT('Origin',(-0.87235399737601,-0.0585947591229432, 0.)); #132322=CARTESIAN_POINT('',(-0.87235399737601,-0.0585947591229432,0.)); #132323=CARTESIAN_POINT('',(-0.5121514031011,-0.134658334976608,0.)); #132324=CARTESIAN_POINT('',(-0.87235399737601,-0.0585947591229432,0.01)); #132325=CARTESIAN_POINT('',(-0.87235399737601,-0.0585947591229432,0.)); #132326=CARTESIAN_POINT('',(-0.87235399737601,-0.0585947591229432,0.01)); #132327=CARTESIAN_POINT('Origin',(-0.876463508233049,-0.0588198846140633, 0.)); #132328=CARTESIAN_POINT('',(-0.876463508233049,-0.0588198846140633,0.)); #132329=CARTESIAN_POINT('',(-0.424040866758439,-0.03403545821905,0.)); #132330=CARTESIAN_POINT('',(-0.876463508233049,-0.0588198846140633,0.01)); #132331=CARTESIAN_POINT('',(-0.876463508233049,-0.0588198846140633,0.)); #132332=CARTESIAN_POINT('',(-0.876463508233049,-0.0588198846140633,0.01)); #132333=CARTESIAN_POINT('Origin',(-0.880140564127682,-0.0605935625811651, 0.)); #132334=CARTESIAN_POINT('',(-0.880140564127682,-0.0605935625811651,0.)); #132335=CARTESIAN_POINT('',(-0.411722569288256,0.165354233875624,0.)); #132336=CARTESIAN_POINT('',(-0.880140564127682,-0.0605935625811651,0.01)); #132337=CARTESIAN_POINT('',(-0.880140564127682,-0.0605935625811651,0.)); #132338=CARTESIAN_POINT('',(-0.880140564127682,-0.0605935625811651,0.01)); #132339=CARTESIAN_POINT('Origin',(-0.882822736606624,-0.0629416367562665, 0.)); #132340=CARTESIAN_POINT('',(-0.882822736606624,-0.0629416367562665,0.)); #132341=CARTESIAN_POINT('',(-0.491238735583905,0.279865653752925,0.)); #132342=CARTESIAN_POINT('',(-0.882822736606624,-0.0629416367562665,0.01)); #132343=CARTESIAN_POINT('',(-0.882822736606624,-0.0629416367562665,0.)); #132344=CARTESIAN_POINT('',(-0.882822736606624,-0.0629416367562665,0.01)); #132345=CARTESIAN_POINT('Origin',(-0.88619731473139,-0.0687865775229415, 0.)); #132346=CARTESIAN_POINT('',(-0.88619731473139,-0.0687865775229415,0.)); #132347=CARTESIAN_POINT('',(-0.650363103570985,0.339690258402648,0.)); #132348=CARTESIAN_POINT('',(-0.88619731473139,-0.0687865775229415,0.01)); #132349=CARTESIAN_POINT('',(-0.88619731473139,-0.0687865775229415,0.)); #132350=CARTESIAN_POINT('',(-0.88619731473139,-0.0687865775229415,0.01)); #132351=CARTESIAN_POINT('Origin',(-0.886889720377215,-0.0722834441145143, 0.)); #132352=CARTESIAN_POINT('',(-0.886889720377215,-0.0722834441145143,0.)); #132353=CARTESIAN_POINT('',(-0.81479687950412,0.291808108663232,0.)); #132354=CARTESIAN_POINT('',(-0.886889720377215,-0.0722834441145143,0.01)); #132355=CARTESIAN_POINT('',(-0.886889720377215,-0.0722834441145143,0.)); #132356=CARTESIAN_POINT('',(-0.886889720377215,-0.0722834441145143,0.01)); #132357=CARTESIAN_POINT('Origin',(-0.886587242608218,-0.0763547069138535, 0.)); #132358=CARTESIAN_POINT('',(-0.886587242608218,-0.0763547069138535,0.)); #132359=CARTESIAN_POINT('',(-0.905773127235211,0.181881720223785,0.)); #132360=CARTESIAN_POINT('',(-0.886587242608218,-0.0763547069138535,0.01)); #132361=CARTESIAN_POINT('',(-0.886587242608218,-0.0763547069138535,0.)); #132362=CARTESIAN_POINT('',(-0.886587242608218,-0.0763547069138535,0.01)); #132363=CARTESIAN_POINT('Origin',(-0.884727451171486,-0.0800262097617967, 0.)); #132364=CARTESIAN_POINT('',(-0.884727451171486,-0.0800262097617967,0.)); #132365=CARTESIAN_POINT('',(-0.913088098774925,-0.0240381046501369,0.)); #132366=CARTESIAN_POINT('',(-0.884727451171486,-0.0800262097617967,0.01)); #132367=CARTESIAN_POINT('',(-0.884727451171486,-0.0800262097617967,0.)); #132368=CARTESIAN_POINT('',(-0.884727451171486,-0.0800262097617967,0.01)); #132369=CARTESIAN_POINT('Origin',(-0.887539599441826,-0.0848969934435259, 0.)); #132370=CARTESIAN_POINT('',(-0.887539599441826,-0.0848969934435259,0.)); #132371=CARTESIAN_POINT('',(-0.648049595267648,0.329911861613007,0.)); #132372=CARTESIAN_POINT('',(-0.887539599441826,-0.0848969934435259,0.01)); #132373=CARTESIAN_POINT('',(-0.887539599441826,-0.0848969934435259,0.)); #132374=CARTESIAN_POINT('',(-0.887539599441826,-0.0848969934435259,0.01)); #132375=CARTESIAN_POINT('Origin',(-0.889961820732986,-0.0821996476805292, 0.)); #132376=CARTESIAN_POINT('',(-0.889961820732986,-0.0821996476805292,0.)); #132377=CARTESIAN_POINT('',(-0.8382242879009,-0.139813712704991,0.)); #132378=CARTESIAN_POINT('',(-0.889961820732986,-0.0821996476805292,0.01)); #132379=CARTESIAN_POINT('',(-0.889961820732986,-0.0821996476805292,0.)); #132380=CARTESIAN_POINT('',(-0.889961820732986,-0.0821996476805292,0.01)); #132381=CARTESIAN_POINT('Origin',(-0.892254065683655,-0.0769795922635322, 0.)); #132382=CARTESIAN_POINT('',(-0.892254065683655,-0.0769795922635322,0.)); #132383=CARTESIAN_POINT('',(-0.927964926896624,0.00434359898876611,0.)); #132384=CARTESIAN_POINT('',(-0.892254065683655,-0.0769795922635322,0.01)); #132385=CARTESIAN_POINT('',(-0.892254065683655,-0.0769795922635322,0.)); #132386=CARTESIAN_POINT('',(-0.892254065683655,-0.0769795922635322,0.01)); #132387=CARTESIAN_POINT('Origin',(-0.892426567621439,-0.0703856192839672, 0.)); #132388=CARTESIAN_POINT('',(-0.892426567621439,-0.0703856192839672,0.)); #132389=CARTESIAN_POINT('',(-0.89969263081974,0.207363286106433,0.)); #132390=CARTESIAN_POINT('',(-0.892426567621439,-0.0703856192839672,0.01)); #132391=CARTESIAN_POINT('',(-0.892426567621439,-0.0703856192839672,0.)); #132392=CARTESIAN_POINT('',(-0.892426567621439,-0.0703856192839672,0.01)); #132393=CARTESIAN_POINT('Origin',(-0.890609302552696,-0.064940438821234, 0.)); #132394=CARTESIAN_POINT('',(-0.890609302552696,-0.064940438821234,0.)); #132395=CARTESIAN_POINT('',(-0.759830946518367,0.326918603359665,0.)); #132396=CARTESIAN_POINT('',(-0.890609302552696,-0.064940438821234,0.01)); #132397=CARTESIAN_POINT('',(-0.890609302552696,-0.064940438821234,0.)); #132398=CARTESIAN_POINT('',(-0.890609302552696,-0.064940438821234,0.01)); #132399=CARTESIAN_POINT('Origin',(-0.888359584339052,-0.0610438125725298, 0.)); #132400=CARTESIAN_POINT('',(-0.888359584339052,-0.0610438125725298,0.)); #132401=CARTESIAN_POINT('',(-0.653931447242601,0.344997631598067,0.)); #132402=CARTESIAN_POINT('',(-0.888359584339052,-0.0610438125725298,0.01)); #132403=CARTESIAN_POINT('',(-0.888359584339052,-0.0610438125725298,0.)); #132404=CARTESIAN_POINT('',(-0.888359584339052,-0.0610438125725298,0.01)); #132405=CARTESIAN_POINT('Origin',(-0.884552552666579,-0.0567474253232461, 0.)); #132406=CARTESIAN_POINT('',(-0.884552552666579,-0.0567474253232461,0.)); #132407=CARTESIAN_POINT('',(-0.549656897923267,0.321195692745176,0.)); #132408=CARTESIAN_POINT('',(-0.884552552666579,-0.0567474253232461,0.01)); #132409=CARTESIAN_POINT('',(-0.884552552666579,-0.0567474253232461,0.)); #132410=CARTESIAN_POINT('',(-0.884552552666579,-0.0567474253232461,0.01)); #132411=CARTESIAN_POINT('Origin',(-0.878755753183721,-0.053599829197075, 0.)); #132412=CARTESIAN_POINT('',(-0.878755753183721,-0.053599829197075,0.)); #132413=CARTESIAN_POINT('',(-0.421495952030447,0.194687037205652,0.)); #132414=CARTESIAN_POINT('',(-0.878755753183721,-0.053599829197075,0.01)); #132415=CARTESIAN_POINT('',(-0.878755753183721,-0.053599829197075,0.)); #132416=CARTESIAN_POINT('',(-0.878755753183721,-0.053599829197075,0.01)); #132417=CARTESIAN_POINT('Origin',(-0.873088930107416,-0.0529749438476299, 0.)); #132418=CARTESIAN_POINT('',(-0.873088930107416,-0.0529749438476299,0.)); #132419=CARTESIAN_POINT('',(-0.411185223265679,-0.00204043338679063,0.)); #132420=CARTESIAN_POINT('',(-0.873088930107416,-0.0529749438476299,0.01)); #132421=CARTESIAN_POINT('',(-0.873088930107416,-0.0529749438476299,0.)); #132422=CARTESIAN_POINT('',(-0.873088930107416,-0.0529749438476299,0.01)); #132423=CARTESIAN_POINT('Origin',(-0.866989652418991,-0.0538986107724991, 0.)); #132424=CARTESIAN_POINT('',(-0.866989652418991,-0.0538986107724991,0.)); #132425=CARTESIAN_POINT('',(-0.484868393912523,-0.111766572488955,0.)); #132426=CARTESIAN_POINT('',(-0.866989652418991,-0.0538986107724991,0.01)); #132427=CARTESIAN_POINT('',(-0.866989652418991,-0.0538986107724991,0.)); #132428=CARTESIAN_POINT('',(-0.866989652418991,-0.0538986107724991,0.01)); #132429=CARTESIAN_POINT('Origin',(-0.859463037961066,-0.0569452291685836, 0.)); #132430=CARTESIAN_POINT('',(-0.859463037961066,-0.0569452291685836,0.)); #132431=CARTESIAN_POINT('',(-0.588617426478007,-0.166577950102514,0.)); #132432=CARTESIAN_POINT('',(-0.859463037961066,-0.0569452291685836,0.01)); #132433=CARTESIAN_POINT('',(-0.859463037961066,-0.0569452291685836,0.)); #132434=CARTESIAN_POINT('',(-0.859463037961066,-0.0569452291685836,0.01)); #132435=CARTESIAN_POINT('Origin',(-0.852498854048632,-0.0609660026252903, 0.)); #132436=CARTESIAN_POINT('',(-0.852498854048632,-0.0609660026252903,0.)); #132437=CARTESIAN_POINT('',(-0.656178126494121,-0.174311827531379,0.)); #132438=CARTESIAN_POINT('',(-0.852498854048632,-0.0609660026252903,0.01)); #132439=CARTESIAN_POINT('',(-0.852498854048632,-0.0609660026252903,0.)); #132440=CARTESIAN_POINT('',(-0.852498854048632,-0.0609660026252903,0.01)); #132441=CARTESIAN_POINT('Origin',(-0.846097099286587,-0.065960931947593, 0.)); #132442=CARTESIAN_POINT('',(-0.846097099286587,-0.065960931947593,0.)); #132443=CARTESIAN_POINT('',(-0.722521111706761,-0.162380327385012,0.)); #132444=CARTESIAN_POINT('',(-0.846097099286587,-0.065960931947593,0.01)); #132445=CARTESIAN_POINT('',(-0.846097099286587,-0.065960931947593,0.)); #132446=CARTESIAN_POINT('',(-0.846097099286587,-0.065960931947593,0.01)); #132447=CARTESIAN_POINT('Origin',(-0.842247539329931,-0.0707812291152914, 0.)); #132448=CARTESIAN_POINT('',(-0.842247539329931,-0.0707812291152914,0.)); #132449=CARTESIAN_POINT('',(-0.819570524951119,-0.0991766689610946,0.)); #132450=CARTESIAN_POINT('',(-0.842247539329931,-0.0707812291152914,0.01)); #132451=CARTESIAN_POINT('',(-0.842247539329931,-0.0707812291152914,0.)); #132452=CARTESIAN_POINT('',(-0.842247539329931,-0.0707812291152914,0.01)); #132453=CARTESIAN_POINT('Origin',(-0.839955294727819,-0.0760012843311027, 0.)); #132454=CARTESIAN_POINT('',(-0.839955294727819,-0.0760012843311027,0.)); #132455=CARTESIAN_POINT('',(-0.879713309063399,0.0145383683357893,0.)); #132456=CARTESIAN_POINT('',(-0.839955294727819,-0.0760012843311027,0.01)); #132457=CARTESIAN_POINT('',(-0.839955294727819,-0.0760012843311027,0.)); #132458=CARTESIAN_POINT('',(-0.839955294727819,-0.0760012843311027,0.01)); #132459=CARTESIAN_POINT('Origin',(-0.839782793453382,-0.0825952578566354, 0.)); #132460=CARTESIAN_POINT('',(-0.839782793453382,-0.0825952578566354,0.)); #132461=CARTESIAN_POINT('',(-0.847226426465999,0.201942460366971,0.)); #132462=CARTESIAN_POINT('',(-0.839782793453382,-0.0825952578566354,0.01)); #132463=CARTESIAN_POINT('',(-0.839782793453382,-0.0825952578566354,0.)); #132464=CARTESIAN_POINT('',(-0.839782793453382,-0.0825952578566354,0.01)); #132465=CARTESIAN_POINT('Origin',(-0.841600058206468,-0.0880404375724481, 0.)); #132466=CARTESIAN_POINT('',(-0.841600058206468,-0.0880404375724481,0.)); #132467=CARTESIAN_POINT('',(-0.7098091640822,0.306852547720778,0.)); #132468=CARTESIAN_POINT('',(-0.841600058206468,-0.0880404375724481,0.01)); #132469=CARTESIAN_POINT('',(-0.841600058206468,-0.0880404375724481,0.)); #132470=CARTESIAN_POINT('',(-0.841600058206468,-0.0880404375724481,0.01)); #132471=CARTESIAN_POINT('Origin',(-0.844412206376107,-0.0929112210800212, 0.)); #132472=CARTESIAN_POINT('',(-0.844412206376107,-0.0929112210800212,0.)); #132473=CARTESIAN_POINT('',(-0.608577995167368,0.315565614841719,0.)); #132474=CARTESIAN_POINT('',(-0.844412206376107,-0.0929112210800212,0.01)); #132475=CARTESIAN_POINT('',(-0.844412206376107,-0.0929112210800212,0.)); #132476=CARTESIAN_POINT('',(-0.844412206376107,-0.0929112210800212,0.01)); #132477=CARTESIAN_POINT('Origin',(-0.848219238363368,-0.0972076090764577, 0.)); #132478=CARTESIAN_POINT('',(-0.848219238363368,-0.0972076090764577,0.)); #132479=CARTESIAN_POINT('',(-0.511272318084094,0.283050478737883,0.)); #132480=CARTESIAN_POINT('',(-0.848219238363368,-0.0972076090764577,0.01)); #132481=CARTESIAN_POINT('',(-0.848219238363368,-0.0972076090764577,0.)); #132482=CARTESIAN_POINT('',(-0.848219238363368,-0.0972076090764577,0.01)); #132483=CARTESIAN_POINT('Origin',(-0.854016036982346,-0.100355204308106, 0.)); #132484=CARTESIAN_POINT('',(-0.854016036982346,-0.100355204308106,0.)); #132485=CARTESIAN_POINT('',(-0.396506031130034,0.148067486819672,0.)); #132486=CARTESIAN_POINT('',(-0.854016036982346,-0.100355204308106,0.01)); #132487=CARTESIAN_POINT('',(-0.854016036982346,-0.100355204308106,0.)); #132488=CARTESIAN_POINT('',(-0.854016036982346,-0.100355204308106,0.01)); #132489=CARTESIAN_POINT('Origin',(-0.859682859609397,-0.100980089684589, 0.)); #132490=CARTESIAN_POINT('',(-0.401786671289691,-0.0504874854760103,0.)); #132491=CARTESIAN_POINT('',(-0.859682859609397,-0.100980089684589,0.01)); #132492=CARTESIAN_POINT('Origin',(-0.868574422525663,-0.073563632773826, 0.01)); #132493=CARTESIAN_POINT('Origin',(-0.898483318781786,0.078578634988448, 0.)); #132494=CARTESIAN_POINT('',(-0.898483318781786,0.078578634988448,0.)); #132495=CARTESIAN_POINT('',(-0.8951087406567,0.0727336942218129,0.)); #132496=CARTESIAN_POINT('',(-0.87932694635159,0.0453988246513453,0.)); #132497=CARTESIAN_POINT('',(-0.898483318781786,0.078578634988448,0.01)); #132498=CARTESIAN_POINT('',(-0.898483318781786,0.078578634988448,0.)); #132499=CARTESIAN_POINT('',(-0.8951087406567,0.0727336942218129,0.01)); #132500=CARTESIAN_POINT('',(-0.898483318781786,0.078578634988448,0.01)); #132501=CARTESIAN_POINT('',(-0.8951087406567,0.0727336942218129,0.)); #132502=CARTESIAN_POINT('Origin',(-0.851723792648199,0.105575259988266, 0.)); #132503=CARTESIAN_POINT('',(-0.851723792648199,0.105575259988266,0.)); #132504=CARTESIAN_POINT('',(-0.445018268749041,0.340386803687242,0.)); #132505=CARTESIAN_POINT('',(-0.851723792648199,0.105575259988266,0.01)); #132506=CARTESIAN_POINT('',(-0.851723792648199,0.105575259988266,0.)); #132507=CARTESIAN_POINT('',(-0.851723792648199,0.105575259988266,0.01)); #132508=CARTESIAN_POINT('Origin',(-0.848349214523981,0.099730319221864, 0.)); #132509=CARTESIAN_POINT('',(-0.848349214523981,0.099730319221864,0.)); #132510=CARTESIAN_POINT('',(-0.830880131181392,0.0694729793049067,0.)); #132511=CARTESIAN_POINT('',(-0.848349214523981,0.099730319221864,0.01)); #132512=CARTESIAN_POINT('',(-0.848349214523981,0.099730319221864,0.)); #132513=CARTESIAN_POINT('',(-0.848349214523981,0.099730319221864,0.01)); #132514=CARTESIAN_POINT('Origin',(-0.85193881950679,0.0898646036047091, 0.)); #132515=CARTESIAN_POINT('',(-0.85193881950679,0.0898646036047091,0.)); #132516=CARTESIAN_POINT('',(-0.734829513351964,0.411729297959388,0.)); #132517=CARTESIAN_POINT('',(-0.85193881950679,0.0898646036047091,0.01)); #132518=CARTESIAN_POINT('',(-0.85193881950679,0.0898646036047091,0.)); #132519=CARTESIAN_POINT('',(-0.85193881950679,0.0898646036047091,0.01)); #132520=CARTESIAN_POINT('Origin',(-0.859897889622932,0.0852694323305088, 0.)); #132521=CARTESIAN_POINT('',(-0.859897889622932,0.0852694323305088,0.)); #132522=CARTESIAN_POINT('',(-0.445730738715336,0.324388948404142,0.)); #132523=CARTESIAN_POINT('',(-0.859897889622932,0.0852694323305088,0.01)); #132524=CARTESIAN_POINT('',(-0.859897889622932,0.0852694323305088,0.)); #132525=CARTESIAN_POINT('',(-0.859897889622932,0.0852694323305088,0.01)); #132526=CARTESIAN_POINT('Origin',(-0.856308281852853,0.095135149556822, 0.)); #132527=CARTESIAN_POINT('',(-0.856308281852853,0.095135149556822,0.)); #132528=CARTESIAN_POINT('',(-0.739790218410717,0.415374668553724,0.)); #132529=CARTESIAN_POINT('',(-0.856308281852853,0.095135149556822,0.01)); #132530=CARTESIAN_POINT('',(-0.856308281852853,0.095135149556822,0.)); #132531=CARTESIAN_POINT('',(-0.856308281852853,0.095135149556822,0.01)); #132532=CARTESIAN_POINT('Origin',(-0.8951087406567,0.0727336942218129,0.)); #132533=CARTESIAN_POINT('',(-0.465023453693036,0.321043550423974,0.)); #132534=CARTESIAN_POINT('',(-0.8951087406567,0.0727336942218129,0.01)); #132535=CARTESIAN_POINT('Origin',(-0.870804718591243,0.0890111168007478, 0.01)); #132536=CARTESIAN_POINT('Origin',(-0.82665405093469,-0.0314960626340268, 0.)); #132537=CARTESIAN_POINT('',(-0.82665405093469,-0.0314960626340268,0.)); #132538=CARTESIAN_POINT('',(-0.818612504020437,-0.0247469063841677,0.)); #132539=CARTESIAN_POINT('',(-0.451111670816753,0.283691329653331,0.)); #132540=CARTESIAN_POINT('',(-0.82665405093469,-0.0314960626340268,0.01)); #132541=CARTESIAN_POINT('',(-0.82665405093469,-0.0314960626340268,0.)); #132542=CARTESIAN_POINT('',(-0.818612504020437,-0.0247469063841677,0.01)); #132543=CARTESIAN_POINT('',(-0.82665405093469,-0.0314960626340268,0.01)); #132544=CARTESIAN_POINT('',(-0.818612504020437,-0.0247469063841677,0.)); #132545=CARTESIAN_POINT('Origin',(-0.835844393482383,-0.0314960626341646, 0.)); #132546=CARTESIAN_POINT('',(-0.835844393482383,-0.0314960626341646,0.)); #132547=CARTESIAN_POINT('',(-0.417922196737141,-0.0314960626278995,0.)); #132548=CARTESIAN_POINT('',(-0.835844393482383,-0.0314960626341646,0.01)); #132549=CARTESIAN_POINT('',(-0.835844393482383,-0.0314960626341646,0.)); #132550=CARTESIAN_POINT('',(-0.835844393482383,-0.0314960626341646,0.01)); #132551=CARTESIAN_POINT('Origin',(-0.827802843350248,-0.0247469063842153, 0.)); #132552=CARTESIAN_POINT('',(-0.827802843350248,-0.0247469063842153,0.)); #132553=CARTESIAN_POINT('',(-0.453585103625848,0.289328608336519,0.)); #132554=CARTESIAN_POINT('',(-0.827802843350248,-0.0247469063842153,0.01)); #132555=CARTESIAN_POINT('',(-0.827802843350248,-0.0247469063842153,0.)); #132556=CARTESIAN_POINT('',(-0.827802843350248,-0.0247469063842153,0.01)); #132557=CARTESIAN_POINT('Origin',(-0.872605754021008,-0.0247469063840187, 0.)); #132558=CARTESIAN_POINT('',(-0.872605754021008,-0.0247469063840187,0.)); #132559=CARTESIAN_POINT('',(-0.436302877011674,-0.0247469063859325,0.)); #132560=CARTESIAN_POINT('',(-0.872605754021008,-0.0247469063840187,0.01)); #132561=CARTESIAN_POINT('',(-0.872605754021008,-0.0247469063840187,0.)); #132562=CARTESIAN_POINT('',(-0.872605754021008,-0.0247469063840187,0.01)); #132563=CARTESIAN_POINT('Origin',(-0.872605754020774,-0.0179977501340841, 0.)); #132564=CARTESIAN_POINT('',(-0.872605754020774,-0.0179977501340841,0.)); #132565=CARTESIAN_POINT('',(-0.872605754011658,0.245420488311734,0.)); #132566=CARTESIAN_POINT('',(-0.872605754020774,-0.0179977501340841,0.01)); #132567=CARTESIAN_POINT('',(-0.872605754020774,-0.0179977501340841,0.)); #132568=CARTESIAN_POINT('',(-0.872605754020774,-0.0179977501340841,0.01)); #132569=CARTESIAN_POINT('Origin',(-0.818612504021075,-0.0179977501340047, 0.)); #132570=CARTESIAN_POINT('',(-0.818612504021075,-0.0179977501340047,0.)); #132571=CARTESIAN_POINT('',(-0.40930625201015,-0.017997750133403,0.)); #132572=CARTESIAN_POINT('',(-0.818612504021075,-0.0179977501340047,0.01)); #132573=CARTESIAN_POINT('',(-0.818612504021075,-0.0179977501340047,0.)); #132574=CARTESIAN_POINT('',(-0.818612504021075,-0.0179977501340047,0.01)); #132575=CARTESIAN_POINT('Origin',(-0.818612504020437,-0.0247469063841677, 0.)); #132576=CARTESIAN_POINT('',(-0.818612504045649,0.242045910132912,0.)); #132577=CARTESIAN_POINT('',(-0.818612504020437,-0.0247469063841677,0.01)); #132578=CARTESIAN_POINT('Origin',(-0.84341914244355,-0.0228022556556099, 0.01)); #132579=CARTESIAN_POINT('Origin',(-0.955992656772322,0.127513048438293, 0.)); #132580=CARTESIAN_POINT('',(-0.955992656772322,0.127513048438293,0.)); #132581=CARTESIAN_POINT('',(-0.95886463821472,0.122538630660687,0.)); #132582=CARTESIAN_POINT('',(-0.75393414359078,0.477488659439445,0.)); #132583=CARTESIAN_POINT('',(-0.955992656772322,0.127513048438293,0.01)); #132584=CARTESIAN_POINT('',(-0.955992656772322,0.127513048438293,0.)); #132585=CARTESIAN_POINT('',(-0.95886463821472,0.122538630660687,0.01)); #132586=CARTESIAN_POINT('',(-0.955992656772322,0.127513048438293,0.01)); #132587=CARTESIAN_POINT('',(-0.95886463821472,0.122538630660687,0.)); #132588=CARTESIAN_POINT('Origin',(-0.932725896686206,0.11407997823945,0.)); #132589=CARTESIAN_POINT('',(-0.932725896686206,0.11407997823945,0.)); #132590=CARTESIAN_POINT('',(-0.668421461567285,-0.0385162585239362,0.)); #132591=CARTESIAN_POINT('',(-0.932725896686206,0.11407997823945,0.01)); #132592=CARTESIAN_POINT('',(-0.932725896686206,0.11407997823945,0.)); #132593=CARTESIAN_POINT('',(-0.932725896686206,0.11407997823945,0.01)); #132594=CARTESIAN_POINT('Origin',(-0.940863071749986,0.147961228113421, 0.)); #132595=CARTESIAN_POINT('',(-0.940863071749986,0.147961228113421,0.)); #132596=CARTESIAN_POINT('',(-0.956180393086307,0.211738888975823,0.)); #132597=CARTESIAN_POINT('',(-0.940863071749986,0.147961228113421,0.01)); #132598=CARTESIAN_POINT('',(-0.940863071749986,0.147961228113421,0.)); #132599=CARTESIAN_POINT('',(-0.940863071749986,0.147961228113421,0.01)); #132600=CARTESIAN_POINT('Origin',(-0.940801797786163,0.151905385238699, 0.)); #132601=CARTESIAN_POINT('',(-0.940801797786163,0.151905385238699,0.)); #132602=CARTESIAN_POINT('',(-0.93791641706658,0.337635085329357,0.)); #132603=CARTESIAN_POINT('',(-0.940801797786163,0.151905385238699,0.01)); #132604=CARTESIAN_POINT('',(-0.940801797786163,0.151905385238699,0.)); #132605=CARTESIAN_POINT('',(-0.940801797786163,0.151905385238699,0.01)); #132606=CARTESIAN_POINT('Origin',(-0.939335165529897,0.156364669596708, 0.)); #132607=CARTESIAN_POINT('',(-0.939335165529897,0.156364669596708,0.)); #132608=CARTESIAN_POINT('',(-0.841184385882584,0.454791377817353,0.)); #132609=CARTESIAN_POINT('',(-0.939335165529897,0.156364669596708,0.01)); #132610=CARTESIAN_POINT('',(-0.939335165529897,0.156364669596708,0.)); #132611=CARTESIAN_POINT('',(-0.939335165529897,0.156364669596708,0.01)); #132612=CARTESIAN_POINT('Origin',(-0.938186371504583,0.158354439216129, 0.)); #132613=CARTESIAN_POINT('',(-0.938186371504583,0.158354439216129,0.)); #132614=CARTESIAN_POINT('',(-0.745031000929211,0.492909354832034,0.)); #132615=CARTESIAN_POINT('',(-0.938186371504583,0.158354439216129,0.01)); #132616=CARTESIAN_POINT('',(-0.938186371504583,0.158354439216129,0.)); #132617=CARTESIAN_POINT('',(-0.938186371504583,0.158354439216129,0.01)); #132618=CARTESIAN_POINT('Origin',(-0.935057834095767,0.161854222187168, 0.)); #132619=CARTESIAN_POINT('',(-0.935057834095767,0.161854222187168,0.)); #132620=CARTESIAN_POINT('',(-0.641193730445158,0.490589490896995,0.)); #132621=CARTESIAN_POINT('',(-0.935057834095767,0.161854222187168,0.01)); #132622=CARTESIAN_POINT('',(-0.935057834095767,0.161854222187168,0.)); #132623=CARTESIAN_POINT('',(-0.935057834095767,0.161854222187168,0.01)); #132624=CARTESIAN_POINT('Origin',(-0.92943642469614,0.163914744843079,0.)); #132625=CARTESIAN_POINT('',(-0.92943642469614,0.163914744843079,0.)); #132626=CARTESIAN_POINT('',(-0.464033188716066,0.33450789038676,0.)); #132627=CARTESIAN_POINT('',(-0.92943642469614,0.163914744843079,0.01)); #132628=CARTESIAN_POINT('',(-0.92943642469614,0.163914744843079,0.)); #132629=CARTESIAN_POINT('',(-0.92943642469614,0.163914744843079,0.01)); #132630=CARTESIAN_POINT('Origin',(-0.924963810089197,0.163985502039031, 0.)); #132631=CARTESIAN_POINT('',(-0.924963810089197,0.163985502039031,0.)); #132632=CARTESIAN_POINT('',(-0.459870500792416,0.171343323204921,0.)); #132633=CARTESIAN_POINT('',(-0.924963810089197,0.163985502039031,0.01)); #132634=CARTESIAN_POINT('',(-0.924963810089197,0.163985502039031,0.)); #132635=CARTESIAN_POINT('',(-0.924963810089197,0.163985502039031,0.01)); #132636=CARTESIAN_POINT('Origin',(-0.919403679407972,0.162101870314694, 0.)); #132637=CARTESIAN_POINT('',(-0.919403679407972,0.162101870314694,0.)); #132638=CARTESIAN_POINT('',(-0.559715487400962,0.0402486031564927,0.)); #132639=CARTESIAN_POINT('',(-0.919403679407972,0.162101870314694,0.01)); #132640=CARTESIAN_POINT('',(-0.919403679407972,0.162101870314694,0.)); #132641=CARTESIAN_POINT('',(-0.919403679407972,0.162101870314694,0.01)); #132642=CARTESIAN_POINT('Origin',(-0.916079854840028,0.160182859305803, 0.)); #132643=CARTESIAN_POINT('',(-0.916079854840028,0.160182859305803,0.)); #132644=CARTESIAN_POINT('',(-0.648036118849948,0.0054277361894956,0.)); #132645=CARTESIAN_POINT('',(-0.916079854840028,0.160182859305803,0.01)); #132646=CARTESIAN_POINT('',(-0.916079854840028,0.160182859305803,0.)); #132647=CARTESIAN_POINT('',(-0.916079854840028,0.160182859305803,0.01)); #132648=CARTESIAN_POINT('Origin',(-0.91083756162149,0.155829708683269,0.)); #132649=CARTESIAN_POINT('',(-0.91083756162149,0.155829708683269,0.)); #132650=CARTESIAN_POINT('',(-0.728036581140663,0.0040335063712695,0.)); #132651=CARTESIAN_POINT('',(-0.91083756162149,0.155829708683269,0.01)); #132652=CARTESIAN_POINT('',(-0.91083756162149,0.155829708683269,0.)); #132653=CARTESIAN_POINT('',(-0.91083756162149,0.155829708683269,0.01)); #132654=CARTESIAN_POINT('Origin',(-0.9086625318471,0.151920932214653,0.)); #132655=CARTESIAN_POINT('',(-0.9086625318471,0.151920932214653,0.)); #132656=CARTESIAN_POINT('',(-0.877070965237407,0.0951472854238479,0.)); #132657=CARTESIAN_POINT('',(-0.9086625318471,0.151920932214653,0.01)); #132658=CARTESIAN_POINT('',(-0.9086625318471,0.151920932214653,0.)); #132659=CARTESIAN_POINT('',(-0.9086625318471,0.151920932214653,0.01)); #132660=CARTESIAN_POINT('Origin',(-0.907636293301017,0.146022388227583, 0.)); #132661=CARTESIAN_POINT('',(-0.907636293301017,0.146022388227583,0.)); #132662=CARTESIAN_POINT('',(-0.924937388447543,0.245464444010597,0.)); #132663=CARTESIAN_POINT('',(-0.907636293301017,0.146022388227583,0.01)); #132664=CARTESIAN_POINT('',(-0.907636293301017,0.146022388227583,0.)); #132665=CARTESIAN_POINT('',(-0.907636293301017,0.146022388227583,0.01)); #132666=CARTESIAN_POINT('Origin',(-0.909102921991146,0.141563101810697, 0.)); #132667=CARTESIAN_POINT('',(-0.909102921991146,0.141563101810697,0.)); #132668=CARTESIAN_POINT('',(-0.81023154452332,0.442181652046693,0.)); #132669=CARTESIAN_POINT('',(-0.909102921991146,0.141563101810697,0.01)); #132670=CARTESIAN_POINT('',(-0.909102921991146,0.141563101810697,0.)); #132671=CARTESIAN_POINT('',(-0.909102921991146,0.141563101810697,0.01)); #132672=CARTESIAN_POINT('Origin',(-0.913257701512208,0.143961864885517, 0.)); #132673=CARTESIAN_POINT('',(-0.913257701512208,0.143961864885517,0.)); #132674=CARTESIAN_POINT('',(-0.649784221339445,-0.00815461981097615,0.)); #132675=CARTESIAN_POINT('',(-0.913257701512208,0.143961864885517,0.01)); #132676=CARTESIAN_POINT('',(-0.913257701512208,0.143961864885517,0.)); #132677=CARTESIAN_POINT('',(-0.913257701512208,0.143961864885517,0.01)); #132678=CARTESIAN_POINT('Origin',(-0.91319642279383,0.147906019265675,0.)); #132679=CARTESIAN_POINT('',(-0.91319642279383,0.147906019265675,0.)); #132680=CARTESIAN_POINT('',(-0.910283077602504,0.335421089773042,0.)); #132681=CARTESIAN_POINT('',(-0.91319642279383,0.147906019265675,0.01)); #132682=CARTESIAN_POINT('',(-0.91319642279383,0.147906019265675,0.)); #132683=CARTESIAN_POINT('',(-0.91319642279383,0.147906019265675,0.01)); #132684=CARTESIAN_POINT('Origin',(-0.914540497615099,0.151335043668363, 0.)); #132685=CARTESIAN_POINT('',(-0.914540497615099,0.151335043668363,0.)); #132686=CARTESIAN_POINT('',(-0.914375915829227,0.150915160079249,0.)); #132687=CARTESIAN_POINT('',(-0.914540497615099,0.151335043668363,0.01)); #132688=CARTESIAN_POINT('',(-0.914540497615099,0.151335043668363,0.)); #132689=CARTESIAN_POINT('',(-0.914540497615099,0.151335043668363,0.01)); #132690=CARTESIAN_POINT('Origin',(-0.918120880927377,0.154728690159128, 0.)); #132691=CARTESIAN_POINT('',(-0.918120880927377,0.154728690159128,0.)); #132692=CARTESIAN_POINT('',(-0.764708311064441,0.00931743556825227,0.)); #132693=CARTESIAN_POINT('',(-0.918120880927377,0.154728690159128,0.01)); #132694=CARTESIAN_POINT('',(-0.918120880927377,0.154728690159128,0.)); #132695=CARTESIAN_POINT('',(-0.918120880927377,0.154728690159128,0.01)); #132696=CARTESIAN_POINT('Origin',(-0.921444703117597,0.156647699795387, 0.)); #132697=CARTESIAN_POINT('',(-0.921444703117597,0.156647699795387,0.)); #132698=CARTESIAN_POINT('',(-0.652154533525104,0.00117294789133334,0.)); #132699=CARTESIAN_POINT('',(-0.921444703117597,0.156647699795387,0.01)); #132700=CARTESIAN_POINT('',(-0.921444703117597,0.156647699795387,0.)); #132701=CARTESIAN_POINT('',(-0.921444703117597,0.156647699795387,0.01)); #132702=CARTESIAN_POINT('Origin',(-0.926173878846576,0.158051579454027, 0.)); #132703=CARTESIAN_POINT('',(-0.926173878846576,0.158051579454027,0.)); #132704=CARTESIAN_POINT('',(-0.54844038310698,0.0459194867921552,0.)); #132705=CARTESIAN_POINT('',(-0.926173878846576,0.158051579454027,0.01)); #132706=CARTESIAN_POINT('',(-0.926173878846576,0.158051579454027,0.)); #132707=CARTESIAN_POINT('',(-0.926173878846576,0.158051579454027,0.01)); #132708=CARTESIAN_POINT('Origin',(-0.929815538499507,0.157501070192002, 0.)); #132709=CARTESIAN_POINT('',(-0.929815538499507,0.157501070192002,0.)); #132710=CARTESIAN_POINT('',(-0.449332100819123,0.230135695034031,0.)); #132711=CARTESIAN_POINT('',(-0.929815538499507,0.157501070192002,0.01)); #132712=CARTESIAN_POINT('',(-0.929815538499507,0.157501070192002,0.)); #132713=CARTESIAN_POINT('',(-0.929815538499507,0.157501070192002,0.01)); #132714=CARTESIAN_POINT('Origin',(-0.933200637030404,0.155475924075382, 0.)); #132715=CARTESIAN_POINT('',(-0.933200637030404,0.155475924075382,0.)); #132716=CARTESIAN_POINT('',(-0.511742783501434,0.407614444594844,0.)); #132717=CARTESIAN_POINT('',(-0.933200637030404,0.155475924075382,0.01)); #132718=CARTESIAN_POINT('',(-0.933200637030404,0.155475924075382,0.)); #132719=CARTESIAN_POINT('',(-0.933200637030404,0.155475924075382,0.01)); #132720=CARTESIAN_POINT('Origin',(-0.934349431055694,0.153486154456048, 0.)); #132721=CARTESIAN_POINT('',(-0.934349431055694,0.153486154456048,0.)); #132722=CARTESIAN_POINT('',(-0.740619663462757,0.489035954882484,0.)); #132723=CARTESIAN_POINT('',(-0.934349431055694,0.153486154456048,0.01)); #132724=CARTESIAN_POINT('',(-0.934349431055694,0.153486154456048,0.)); #132725=CARTESIAN_POINT('',(-0.934349431055694,0.153486154456048,0.01)); #132726=CARTESIAN_POINT('Origin',(-0.934985105981986,0.14854711665971,0.)); #132727=CARTESIAN_POINT('',(-0.934985105981986,0.14854711665971,0.)); #132728=CARTESIAN_POINT('',(-0.904559666508755,0.384945296473112,0.)); #132729=CARTESIAN_POINT('',(-0.934985105981986,0.14854711665971,0.01)); #132730=CARTESIAN_POINT('',(-0.934985105981986,0.14854711665971,0.)); #132731=CARTESIAN_POINT('',(-0.934985105981986,0.14854711665971,0.01)); #132732=CARTESIAN_POINT('Origin',(-0.926078251117326,0.110241958280777, 0.)); #132733=CARTESIAN_POINT('',(-0.926078251117326,0.110241958280777,0.)); #132734=CARTESIAN_POINT('',(-0.946291702284985,0.197172694228229,0.)); #132735=CARTESIAN_POINT('',(-0.926078251117326,0.110241958280777,0.01)); #132736=CARTESIAN_POINT('',(-0.926078251117326,0.110241958280777,0.)); #132737=CARTESIAN_POINT('',(-0.926078251117326,0.110241958280777,0.01)); #132738=CARTESIAN_POINT('Origin',(-0.928950232560595,0.105267540503403, 0.)); #132739=CARTESIAN_POINT('',(-0.928950232560595,0.105267540503403,0.)); #132740=CARTESIAN_POINT('',(-0.725455728580765,0.457730360403436,0.)); #132741=CARTESIAN_POINT('',(-0.928950232560595,0.105267540503403,0.01)); #132742=CARTESIAN_POINT('',(-0.928950232560595,0.105267540503403,0.)); #132743=CARTESIAN_POINT('',(-0.928950232560595,0.105267540503403,0.01)); #132744=CARTESIAN_POINT('Origin',(-0.95886463821472,0.122538630660687,0.)); #132745=CARTESIAN_POINT('',(-0.682926823056635,-0.0367741412025228,0.)); #132746=CARTESIAN_POINT('',(-0.95886463821472,0.122538630660687,0.01)); #132747=CARTESIAN_POINT('Origin',(-0.932269811397,0.136091090603989,0.01)); #132748=CARTESIAN_POINT('Origin',(-1.03894437784131,-0.030251537114256, 0.)); #132749=CARTESIAN_POINT('',(-1.034444939805,-0.029102744697935,0.)); #132750=CARTESIAN_POINT('',(-1.03894437784131,-0.030251537114256,0.)); #132751=CARTESIAN_POINT('',(-0.486654738484749,0.110758549964519,0.)); #132752=CARTESIAN_POINT('',(-1.034444939805,-0.029102744697935,0.01)); #132753=CARTESIAN_POINT('',(-1.034444939805,-0.029102744697935,0.)); #132754=CARTESIAN_POINT('',(-1.03894437784131,-0.030251537114256,0.01)); #132755=CARTESIAN_POINT('',(-1.03749259921954,-0.0298808703204455,0.01)); #132756=CARTESIAN_POINT('',(-1.03894437784131,-0.030251537114256,0.)); #132757=CARTESIAN_POINT('Origin',(-1.0434438142685,-0.0302515371141938, 0.)); #132758=CARTESIAN_POINT('',(-1.0434438142685,-0.0302515371141938,0.)); #132759=CARTESIAN_POINT('',(-0.52172190713798,-0.0302515371214109,0.)); #132760=CARTESIAN_POINT('',(-1.0434438142685,-0.0302515371141938,0.01)); #132761=CARTESIAN_POINT('',(-1.04231895520573,-0.0302515371142093,0.01)); #132762=CARTESIAN_POINT('',(-1.0434438142685,-0.0302515371141938,0.)); #132763=CARTESIAN_POINT('Origin',(-1.04794325230511,-0.0291027446977825, 0.)); #132764=CARTESIAN_POINT('',(-1.04794325230511,-0.0291027446977825,0.)); #132765=CARTESIAN_POINT('',(-0.620508533766701,-0.138234987251874,0.)); #132766=CARTESIAN_POINT('',(-1.04794325230511,-0.0291027446977825,0.01)); #132767=CARTESIAN_POINT('',(-1.047145311991,-0.0293064740911292,0.01)); #132768=CARTESIAN_POINT('',(-1.04794325230511,-0.0291027446977825,0.)); #132769=CARTESIAN_POINT('Origin',(-1.05244268873199,-0.0268051598656581, 0.)); #132770=CARTESIAN_POINT('',(-1.05244268873199,-0.0268051598656581,0.)); #132771=CARTESIAN_POINT('',(-0.743530760803455,-0.184547439727653,0.)); #132772=CARTESIAN_POINT('',(-1.05244268873199,-0.0268051598656581,0.01)); #132773=CARTESIAN_POINT('',(-1.05152127923576,-0.0272756668985094,0.01)); #132774=CARTESIAN_POINT('',(-1.05244268873199,-0.0268051598656581,0.)); #132775=CARTESIAN_POINT('Origin',(-1.05581726685711,-0.0222099893959956, 0.)); #132776=CARTESIAN_POINT('',(-1.05581726685711,-0.0222099893959956,0.)); #132777=CARTESIAN_POINT('',(-0.997537674327715,-0.101569438976178,0.)); #132778=CARTESIAN_POINT('',(-1.05581726685711,-0.0222099893959956,0.01)); #132779=CARTESIAN_POINT('',(-1.0563288326705,-0.0215133891005469,0.01)); #132780=CARTESIAN_POINT('',(-1.05581726685711,-0.0222099893959956,0.)); #132781=CARTESIAN_POINT('Origin',(-1.0558172668572,-0.0187636121471725, 0.)); #132782=CARTESIAN_POINT('',(-1.0558172668572,-0.0187636121471725,0.)); #132783=CARTESIAN_POINT('',(-1.05581726686351,0.245037557277449,0.)); #132784=CARTESIAN_POINT('',(-1.0558172668572,-0.0187636121471725,0.01)); #132785=CARTESIAN_POINT('',(-1.05581726685731,-0.0140450741563721,0.01)); #132786=CARTESIAN_POINT('',(-1.0558172668572,-0.0187636121471725,0.)); #132787=CARTESIAN_POINT('Origin',(-1.05244268873213,-0.014168440873145, 0.)); #132788=CARTESIAN_POINT('',(-1.05244268873213,-0.014168440873145,0.)); #132789=CARTESIAN_POINT('',(-0.743318925587503,0.406766142318711,0.)); #132790=CARTESIAN_POINT('',(-1.05244268873213,-0.014168440873145,0.01)); #132791=CARTESIAN_POINT('',(-1.04931718659658,-0.00991243698231027,0.01)); #132792=CARTESIAN_POINT('',(-1.05244268873213,-0.014168440873145,0.)); #132793=CARTESIAN_POINT('Origin',(-1.04794325230513,-0.0118708560403736, 0.)); #132794=CARTESIAN_POINT('',(-1.04794325230513,-0.0118708560403736,0.)); #132795=CARTESIAN_POINT('',(-0.526889934441178,0.254198955059723,0.)); #132796=CARTESIAN_POINT('',(-1.04794325230513,-0.0118708560403736,0.01)); #132797=CARTESIAN_POINT('',(-1.04475135315851,-0.0102409498985724,0.01)); #132798=CARTESIAN_POINT('',(-1.04794325230513,-0.0118708560403736,0.)); #132799=CARTESIAN_POINT('Origin',(-1.04344381426882,-0.0107220636240512, 0.)); #132800=CARTESIAN_POINT('',(-1.04344381426882,-0.0107220636240512,0.)); #132801=CARTESIAN_POINT('',(-0.491382684344109,0.130229680635048,0.)); #132802=CARTESIAN_POINT('',(-1.04344381426882,-0.0107220636240512,0.01)); #132803=CARTESIAN_POINT('',(-1.0422205450789,-0.0104097396499987,0.01)); #132804=CARTESIAN_POINT('',(-1.04344381426882,-0.0107220636240512,0.)); #132805=CARTESIAN_POINT('Origin',(-1.03894437784156,-0.0107220636240491, 0.)); #132806=CARTESIAN_POINT('',(-1.03894437784156,-0.0107220636240491,0.)); #132807=CARTESIAN_POINT('',(-0.519472188920661,-0.0107220636238069,0.)); #132808=CARTESIAN_POINT('',(-1.03894437784156,-0.0107220636240491,0.01)); #132809=CARTESIAN_POINT('',(-1.04006923699212,-0.0107220636240496,0.01)); #132810=CARTESIAN_POINT('',(-1.03894437784156,-0.0107220636240491,0.)); #132811=CARTESIAN_POINT('Origin',(-1.034444939805,-0.0118708560405016,0.)); #132812=CARTESIAN_POINT('',(-1.034444939805,-0.0118708560405016,0.)); #132813=CARTESIAN_POINT('',(-0.611281149876213,-0.119912649001588,0.)); #132814=CARTESIAN_POINT('',(-1.034444939805,-0.0118708560405016,0.01)); #132815=CARTESIAN_POINT('',(-1.03791792809534,-0.0109841358372353,0.01)); #132816=CARTESIAN_POINT('',(-1.034444939805,-0.0118708560405016,0.)); #132817=CARTESIAN_POINT('Origin',(-1.02994550257306,-0.0141684408731464, 0.)); #132818=CARTESIAN_POINT('',(-1.02994550257306,-0.0141684408731464,0.)); #132819=CARTESIAN_POINT('',(-0.727396560599321,-0.168661508423126,0.)); #132820=CARTESIAN_POINT('',(-1.02994550257306,-0.0141684408731464,0.01)); #132821=CARTESIAN_POINT('',(-1.03538712054923,-0.0113897424976364,0.01)); #132822=CARTESIAN_POINT('',(-1.02994550257306,-0.0141684408731464,0.)); #132823=CARTESIAN_POINT('Origin',(-1.02657092404584,-0.0187636121471711, 0.)); #132824=CARTESIAN_POINT('',(-1.02657092404584,-0.0187636121471711,0.)); #132825=CARTESIAN_POINT('',(-0.972592568798066,-0.0922660615503722,0.)); #132826=CARTESIAN_POINT('',(-1.02657092404584,-0.0187636121471711,0.01)); #132827=CARTESIAN_POINT('',(-1.03138371596301,-0.0122100224211466,0.01)); #132828=CARTESIAN_POINT('',(-1.02657092404584,-0.0187636121471711,0.)); #132829=CARTESIAN_POINT('Origin',(-1.02657092404578,-0.0222099893960124, 0.)); #132830=CARTESIAN_POINT('',(-1.02657092404578,-0.0222099893960124,0.)); #132831=CARTESIAN_POINT('',(-1.02657092405074,0.243314368656087,0.)); #132832=CARTESIAN_POINT('',(-1.02657092404578,-0.0222099893960124,0.01)); #132833=CARTESIAN_POINT('',(-1.0265709240459,-0.0157682627804804,0.01)); #132834=CARTESIAN_POINT('',(-1.02657092404578,-0.0222099893960124,0.)); #132835=CARTESIAN_POINT('Origin',(-1.02994550257293,-0.0268051598656714, 0.)); #132836=CARTESIAN_POINT('',(-1.02994550257293,-0.0268051598656714,0.)); #132837=CARTESIAN_POINT('',(-0.721748306412515,0.392867591333563,0.)); #132838=CARTESIAN_POINT('',(-1.02994550257293,-0.0268051598656714,0.01)); #132839=CARTESIAN_POINT('',(-1.02774664802508,-0.0238109751388846,0.01)); #132840=CARTESIAN_POINT('',(-1.02994550257293,-0.0268051598656714,0.)); #132841=CARTESIAN_POINT('Origin',(-1.034444939805,-0.029102744697935,0.)); #132842=CARTESIAN_POINT('',(-0.515255193481758,0.236015407793856,0.)); #132843=CARTESIAN_POINT('',(-1.03311663177542,-0.0284244597871938,0.01)); #132844=CARTESIAN_POINT('Origin',(-1.05244268873201,-0.00153172429455008, 0.)); #132845=CARTESIAN_POINT('',(-1.04794325230469,-0.00497810154338893,0.)); #132846=CARTESIAN_POINT('',(-1.05244268873201,-0.00153172429455008,0.)); #132847=CARTESIAN_POINT('',(-0.843983506922556,-0.161202605993746,0.)); #132848=CARTESIAN_POINT('',(-1.04794325230469,-0.00497810154338893,0.01)); #132849=CARTESIAN_POINT('',(-1.04794325230469,-0.00497810154338893,0.)); #132850=CARTESIAN_POINT('',(-1.05244268873201,-0.00153172429455008,0.01)); #132851=CARTESIAN_POINT('',(-1.04701658874145,-0.00568788648495779,0.01)); #132852=CARTESIAN_POINT('',(-1.05244268873201,-0.00153172429455008,0.)); #132853=CARTESIAN_POINT('Origin',(-1.05469240855494,0.00191465295429192, 0.)); #132854=CARTESIAN_POINT('',(-1.05469240855494,0.00191465295429192,0.)); #132855=CARTESIAN_POINT('',(-1.01314053509201,-0.0617392429173119,0.)); #132856=CARTESIAN_POINT('',(-1.05469240855494,0.00191465295429192,0.01)); #132857=CARTESIAN_POINT('',(-1.05010306795229,-0.00511582207594075,0.01)); #132858=CARTESIAN_POINT('',(-1.05469240855494,0.00191465295429192,0.)); #132859=CARTESIAN_POINT('Origin',(-1.0546924085552,0.00421223778706539, 0.)); #132860=CARTESIAN_POINT('',(-1.0546924085552,0.00421223778706539,0.)); #132861=CARTESIAN_POINT('',(-1.05469240858331,0.25652548219846,0.)); #132862=CARTESIAN_POINT('',(-1.0546924085552,0.00421223778706539,0.01)); #132863=CARTESIAN_POINT('',(-1.05469240855444,-0.00255714918982993,0.01)); #132864=CARTESIAN_POINT('',(-1.0546924085552,0.00421223778706539,0.)); #132865=CARTESIAN_POINT('Origin',(-1.05244268873194,0.00765861825356381, 0.)); #132866=CARTESIAN_POINT('',(-1.05244268873194,0.00765861825356381,0.)); #132867=CARTESIAN_POINT('',(-0.780507205424363,0.424240775787706,0.)); #132868=CARTESIAN_POINT('',(-1.05244268873194,0.00765861825356381,0.01)); #132869=CARTESIAN_POINT('',(-1.05464948066194,0.00427799968828331,0.01)); #132870=CARTESIAN_POINT('',(-1.05244268873194,0.00765861825356381,0.)); #132871=CARTESIAN_POINT('Origin',(-1.04794325230475,0.0111049955024086, 0.)); #132872=CARTESIAN_POINT('',(-1.04794325230475,0.0111049955024086,0.)); #132873=CARTESIAN_POINT('',(-0.597576356501397,0.35606691427741,0.)); #132874=CARTESIAN_POINT('',(-1.04794325230475,0.0111049955024086,0.01)); #132875=CARTESIAN_POINT('',(-1.05074799809766,0.00895667931972451,0.01)); #132876=CARTESIAN_POINT('',(-1.04794325230475,0.0111049955024086,0.)); #132877=CARTESIAN_POINT('Origin',(-1.04344381426844,0.012253787918731,0.)); #132878=CARTESIAN_POINT('',(-1.04344381426844,0.012253787918731,0.)); #132879=CARTESIAN_POINT('',(-0.494136270735681,0.152502489011276,0.)); #132880=CARTESIAN_POINT('',(-1.04344381426844,0.012253787918731,0.01)); #132881=CARTESIAN_POINT('',(-1.04497413147047,0.0118630687262292,0.01)); #132882=CARTESIAN_POINT('',(-1.04344381426844,0.012253787918731,0.)); #132883=CARTESIAN_POINT('Origin',(-1.03894437784119,0.0122537879187296, 0.)); #132884=CARTESIAN_POINT('',(-1.03894437784119,0.0122537879187296,0.)); #132885=CARTESIAN_POINT('',(-0.51947218892067,0.0122537879185681,0.)); #132886=CARTESIAN_POINT('',(-1.03894437784119,0.0122537879187296,0.01)); #132887=CARTESIAN_POINT('',(-1.04006923699193,0.01225378791873,0.01)); #132888=CARTESIAN_POINT('',(-1.03894437784119,0.0122537879187296,0.)); #132889=CARTESIAN_POINT('Origin',(-1.03444493980462,0.0111049955022806, 0.)); #132890=CARTESIAN_POINT('',(-1.03444493980462,0.0111049955022806,0.)); #132891=CARTESIAN_POINT('',(-0.608527563483688,-0.0976398406250505,0.)); #132892=CARTESIAN_POINT('',(-1.03444493980462,0.0111049955022806,0.01)); #132893=CARTESIAN_POINT('',(-1.03516434170323,0.0112886725390097,0.01)); #132894=CARTESIAN_POINT('',(-1.03444493980462,0.0111049955022806,0.)); #132895=CARTESIAN_POINT('Origin',(-1.02994550257287,0.0076586182535687, 0.)); #132896=CARTESIAN_POINT('',(-1.02994550257287,0.0076586182535687,0.)); #132897=CARTESIAN_POINT('',(-0.826357344929111,-0.148281237882135,0.)); #132898=CARTESIAN_POINT('',(-1.02994550257287,0.0076586182535687,0.01)); #132899=CARTESIAN_POINT('',(-1.02939047596237,0.00723349151341443,0.01)); #132900=CARTESIAN_POINT('',(-1.02994550257287,0.0076586182535687,0.)); #132901=CARTESIAN_POINT('Origin',(-1.02769578355494,0.00421223778681293, 0.)); #132902=CARTESIAN_POINT('',(-1.02769578355494,0.00421223778681293,0.)); #132903=CARTESIAN_POINT('',(-0.989651538247316,-0.0540683487466129,0.)); #132904=CARTESIAN_POINT('',(-1.02769578355494,0.00421223778681293,0.01)); #132905=CARTESIAN_POINT('',(-1.02661385099665,0.00255480803425706,0.01)); #132906=CARTESIAN_POINT('',(-1.02769578355494,0.00421223778681293,0.)); #132907=CARTESIAN_POINT('Origin',(-1.02769578355468,0.00191465295403666, 0.)); #132908=CARTESIAN_POINT('',(-1.02769578355468,0.00191465295403666,0.)); #132909=CARTESIAN_POINT('',(-1.02769578358246,0.255376689784387,0.)); #132910=CARTESIAN_POINT('',(-1.02769578355468,0.00191465295403666,0.01)); #132911=CARTESIAN_POINT('',(-1.02769578355407,-0.00370594160485283,0.01)); #132912=CARTESIAN_POINT('',(-1.02769578355468,0.00191465295403666,0.)); #132913=CARTESIAN_POINT('Origin',(-1.02994550257293,-0.00153172429454938, 0.)); #132914=CARTESIAN_POINT('',(-1.02994550257293,-0.00153172429454938,0.)); #132915=CARTESIAN_POINT('',(-0.759267553963916,0.413123757662683,0.)); #132916=CARTESIAN_POINT('',(-1.02994550257293,-0.00153172429454938,0.01)); #132917=CARTESIAN_POINT('',(-1.03340998231107,-0.00683901113830251,0.01)); #132918=CARTESIAN_POINT('',(-1.02994550257293,-0.00153172429454938,0.)); #132919=CARTESIAN_POINT('Origin',(-1.03444493980519,-0.0049781015428826, 0.)); #132920=CARTESIAN_POINT('',(-1.03444493980519,-0.0049781015428826,0.)); #132921=CARTESIAN_POINT('',(-0.584449642657631,0.339699126717258,0.)); #132922=CARTESIAN_POINT('',(-1.03444493980519,-0.0049781015428826,0.01)); #132923=CARTESIAN_POINT('',(-1.03762132187016,-0.0074110748939079,0.01)); #132924=CARTESIAN_POINT('',(-1.03444493980519,-0.0049781015428826,0.)); #132925=CARTESIAN_POINT('Origin',(-1.0389443778415,-0.006126893959205,0.)); #132926=CARTESIAN_POINT('',(-1.0389443778415,-0.006126893959205,0.)); #132927=CARTESIAN_POINT('',(-0.489546004080582,0.134144997824496,0.)); #132928=CARTESIAN_POINT('',(-1.0389443778415,-0.006126893959205,0.01)); #132929=CARTESIAN_POINT('',(-1.04038386481536,-0.00649442246068129,0.01)); #132930=CARTESIAN_POINT('',(-1.0389443778415,-0.006126893959205,0.)); #132931=CARTESIAN_POINT('Origin',(-1.04344381426876,-0.006126893959205, 0.)); #132932=CARTESIAN_POINT('',(-1.04344381426876,-0.006126893959205,0.)); #132933=CARTESIAN_POINT('',(-0.521721907134379,-0.006126893959205,0.)); #132934=CARTESIAN_POINT('',(-1.04344381426876,-0.006126893959205,0.01)); #132935=CARTESIAN_POINT('',(-1.04231895520572,-0.006126893959205,0.01)); #132936=CARTESIAN_POINT('',(-1.04344381426876,-0.006126893959205,0.)); #132937=CARTESIAN_POINT('Origin',(-1.04794325230469,-0.00497810154338893, 0.)); #132938=CARTESIAN_POINT('',(-0.6176172681288,-0.114848539363071,0.)); #132939=CARTESIAN_POINT('',(-1.04425404639512,-0.00592002623163915,0.01)); #132940=CARTESIAN_POINT('Origin',(-1.0445686741808,-0.0359955000002359, 0.)); #132941=CARTESIAN_POINT('',(-1.0445686741808,-0.0359955000002359,0.)); #132942=CARTESIAN_POINT('',(-1.03781951792962,-0.035995500000238,0.)); #132943=CARTESIAN_POINT('',(-0.522284337090483,-0.0359955000003983,0.)); #132944=CARTESIAN_POINT('',(-1.0445686741808,-0.0359955000002359,0.01)); #132945=CARTESIAN_POINT('',(-1.0445686741808,-0.0359955000002359,0.)); #132946=CARTESIAN_POINT('',(-1.03781951792962,-0.035995500000238,0.01)); #132947=CARTESIAN_POINT('',(-1.0445686741808,-0.0359955000002359,0.01)); #132948=CARTESIAN_POINT('',(-1.03781951792962,-0.035995500000238,0.)); #132949=CARTESIAN_POINT('Origin',(-1.05019297212767,-0.0348467074831738, 0.)); #132950=CARTESIAN_POINT('',(-1.05019297212767,-0.0348467074831738,0.)); #132951=CARTESIAN_POINT('',(-0.599427814799375,-0.12691786811196,0.)); #132952=CARTESIAN_POINT('',(-1.05019297212767,-0.0348467074831738,0.01)); #132953=CARTESIAN_POINT('',(-1.05019297212767,-0.0348467074831738,0.)); #132954=CARTESIAN_POINT('',(-1.05019297212767,-0.0348467074831738,0.01)); #132955=CARTESIAN_POINT('Origin',(-1.0558172668575,-0.0314003299323994, 0.)); #132956=CARTESIAN_POINT('',(-1.0558172668575,-0.0314003299323994,0.)); #132957=CARTESIAN_POINT('',(-0.792354405361926,-0.19284145898738,0.)); #132958=CARTESIAN_POINT('',(-1.0558172668575,-0.0314003299323994,0.01)); #132959=CARTESIAN_POINT('',(-1.0558172668575,-0.0314003299323994,0.)); #132960=CARTESIAN_POINT('',(-1.0558172668575,-0.0314003299323994,0.01)); #132961=CARTESIAN_POINT('Origin',(-1.05919184498224,-0.027953952281656, 0.)); #132962=CARTESIAN_POINT('',(-1.05919184498224,-0.027953952281656,0.)); #132963=CARTESIAN_POINT('',(-0.934136393221091,-0.155670158018149,0.)); #132964=CARTESIAN_POINT('',(-1.05919184498224,-0.027953952281656,0.01)); #132965=CARTESIAN_POINT('',(-1.05919184498224,-0.027953952281656,0.)); #132966=CARTESIAN_POINT('',(-1.05919184498224,-0.027953952281656,0.01)); #132967=CARTESIAN_POINT('Origin',(-1.06144156480464,-0.0222099893962159, 0.)); #132968=CARTESIAN_POINT('',(-1.06144156480464,-0.0222099893962159,0.)); #132969=CARTESIAN_POINT('',(-1.08102099436103,0.0277800207243692,0.)); #132970=CARTESIAN_POINT('',(-1.06144156480464,-0.0222099893962159,0.01)); #132971=CARTESIAN_POINT('',(-1.06144156480464,-0.0222099893962159,0.)); #132972=CARTESIAN_POINT('',(-1.06144156480464,-0.0222099893962159,0.01)); #132973=CARTESIAN_POINT('Origin',(-1.06144156480473,-0.0187636121473991, 0.)); #132974=CARTESIAN_POINT('',(-1.06144156480473,-0.0187636121473991,0.)); #132975=CARTESIAN_POINT('',(-1.06144156481158,0.245037557276192,0.)); #132976=CARTESIAN_POINT('',(-1.06144156480473,-0.0187636121473991,0.01)); #132977=CARTESIAN_POINT('',(-1.06144156480473,-0.0187636121473991,0.)); #132978=CARTESIAN_POINT('',(-1.06144156480473,-0.0187636121473991,0.01)); #132979=CARTESIAN_POINT('Origin',(-1.05919184498201,-0.0130196484570128, 0.)); #132980=CARTESIAN_POINT('',(-1.05919184498201,-0.0130196484570128,0.)); #132981=CARTESIAN_POINT('',(-0.900150422090376,0.39304343065705,0.)); #132982=CARTESIAN_POINT('',(-1.05919184498201,-0.0130196484570128,0.01)); #132983=CARTESIAN_POINT('',(-1.05919184498201,-0.0130196484570128,0.)); #132984=CARTESIAN_POINT('',(-1.05919184498201,-0.0130196484570128,0.01)); #132985=CARTESIAN_POINT('Origin',(-1.05469240855481,-0.00957327120817358, 0.)); #132986=CARTESIAN_POINT('',(-1.05469240855481,-0.00957327120817358,0.)); #132987=CARTESIAN_POINT('',(-0.597207607871782,0.340840660464376,0.)); #132988=CARTESIAN_POINT('',(-1.05469240855481,-0.00957327120817358,0.01)); #132989=CARTESIAN_POINT('',(-1.05469240855481,-0.00957327120817358,0.)); #132990=CARTESIAN_POINT('',(-1.05469240855481,-0.00957327120817358,0.01)); #132991=CARTESIAN_POINT('Origin',(-1.05244268873251,-0.00842447879159452, 0.)); #132992=CARTESIAN_POINT('',(-1.05244268873251,-0.00842447879159452,0.)); #132993=CARTESIAN_POINT('',(-0.530302802878994,0.258199984982181,0.)); #132994=CARTESIAN_POINT('',(-1.05244268873251,-0.00842447879159452,0.01)); #132995=CARTESIAN_POINT('',(-1.05244268873251,-0.00842447879159452,0.)); #132996=CARTESIAN_POINT('',(-1.05244268873251,-0.00842447879159452,0.01)); #132997=CARTESIAN_POINT('Origin',(-1.05469240855513,-0.00727568637546165, 0.)); #132998=CARTESIAN_POINT('',(-1.05469240855513,-0.00727568637546165,0.)); #132999=CARTESIAN_POINT('',(-0.740933117629361,-0.167493101132094,0.)); #133000=CARTESIAN_POINT('',(-1.05469240855513,-0.00727568637546165,0.01)); #133001=CARTESIAN_POINT('',(-1.05469240855513,-0.00727568637546165,0.)); #133002=CARTESIAN_POINT('',(-1.05469240855513,-0.00727568637546165,0.01)); #133003=CARTESIAN_POINT('Origin',(-1.05806698667988,-0.00382930912687841, 0.)); #133004=CARTESIAN_POINT('',(-1.05806698667988,-0.00382930912687841,0.)); #133005=CARTESIAN_POINT('',(-0.927256974913782,-0.137422496711373,0.)); #133006=CARTESIAN_POINT('',(-1.05806698667988,-0.00382930912687841,0.01)); #133007=CARTESIAN_POINT('',(-1.05806698667988,-0.00382930912687841,0.)); #133008=CARTESIAN_POINT('',(-1.05806698667988,-0.00382930912687841,0.01)); #133009=CARTESIAN_POINT('Origin',(-1.06031670650263,0.000765860538033167, 0.)); #133010=CARTESIAN_POINT('',(-1.06031670650263,0.000765860538033167,0.)); #133011=CARTESIAN_POINT('',(-1.05813656441311,-0.0036871929905138,0.)); #133012=CARTESIAN_POINT('',(-1.06031670650263,0.000765860538033167,0.01)); #133013=CARTESIAN_POINT('',(-1.06031670650263,0.000765860538033167,0.)); #133014=CARTESIAN_POINT('',(-1.06031670650263,0.000765860538033167,0.01)); #133015=CARTESIAN_POINT('Origin',(-1.06031670650269,0.00421223778687447, 0.)); #133016=CARTESIAN_POINT('',(-1.06031670650269,0.00421223778687447,0.)); #133017=CARTESIAN_POINT('',(-1.06031670650719,0.256525482247646,0.)); #133018=CARTESIAN_POINT('',(-1.06031670650269,0.00421223778687447,0.01)); #133019=CARTESIAN_POINT('',(-1.06031670650269,0.00421223778687447,0.)); #133020=CARTESIAN_POINT('',(-1.06031670650269,0.00421223778687447,0.01)); #133021=CARTESIAN_POINT('Origin',(-1.05806698667975,0.00995620308608271, 0.)); #133022=CARTESIAN_POINT('',(-1.05806698667975,0.00995620308608271,0.)); #133023=CARTESIAN_POINT('',(-0.903001431062275,0.405868245771761,0.)); #133024=CARTESIAN_POINT('',(-1.05806698667975,0.00995620308608271,0.01)); #133025=CARTESIAN_POINT('',(-1.05806698667975,0.00995620308608271,0.)); #133026=CARTESIAN_POINT('',(-1.05806698667975,0.00995620308608271,0.01)); #133027=CARTESIAN_POINT('Origin',(-1.05469240855513,0.0134025803351793, 0.)); #133028=CARTESIAN_POINT('',(-1.05469240855513,0.0134025803351793,0.)); #133029=CARTESIAN_POINT('',(-0.67273808076629,0.403483549533082,0.)); #133030=CARTESIAN_POINT('',(-1.05469240855513,0.0134025803351793,0.01)); #133031=CARTESIAN_POINT('',(-1.05469240855513,0.0134025803351793,0.)); #133032=CARTESIAN_POINT('',(-1.05469240855513,0.0134025803351793,0.01)); #133033=CARTESIAN_POINT('Origin',(-1.049068110607,0.0168489575836394,0.)); #133034=CARTESIAN_POINT('',(-1.049068110607,0.0168489575836394,0.)); #133035=CARTESIAN_POINT('',(-0.558133764953745,0.317676718657344,0.)); #133036=CARTESIAN_POINT('',(-1.049068110607,0.0168489575836394,0.01)); #133037=CARTESIAN_POINT('',(-1.049068110607,0.0168489575836394,0.)); #133038=CARTESIAN_POINT('',(-1.049068110607,0.0168489575836394,0.01)); #133039=CARTESIAN_POINT('Origin',(-1.04344381426882,0.0179977500000828, 0.)); #133040=CARTESIAN_POINT('',(-1.04344381426882,0.0179977500000828,0.)); #133041=CARTESIAN_POINT('',(-0.494495711145066,0.13012331735469,0.)); #133042=CARTESIAN_POINT('',(-1.04344381426882,0.0179977500000828,0.01)); #133043=CARTESIAN_POINT('',(-1.04344381426882,0.0179977500000828,0.)); #133044=CARTESIAN_POINT('',(-1.04344381426882,0.0179977500000828,0.01)); #133045=CARTESIAN_POINT('Origin',(-1.03894437784157,0.0179977500000814, 0.)); #133046=CARTESIAN_POINT('',(-1.03894437784157,0.0179977500000814,0.)); #133047=CARTESIAN_POINT('',(-0.519472188920859,0.01799774999992,0.)); #133048=CARTESIAN_POINT('',(-1.03894437784157,0.0179977500000814,0.01)); #133049=CARTESIAN_POINT('',(-1.03894437784157,0.0179977500000814,0.)); #133050=CARTESIAN_POINT('',(-1.03894437784157,0.0179977500000814,0.01)); #133051=CARTESIAN_POINT('Origin',(-1.033320080698,0.0168489575837031,0.)); #133052=CARTESIAN_POINT('',(-1.033320080698,0.0168489575837031,0.)); #133053=CARTESIAN_POINT('',(-0.58558538623964,-0.0746032200686333,0.)); #133054=CARTESIAN_POINT('',(-1.033320080698,0.0168489575837031,0.01)); #133055=CARTESIAN_POINT('',(-1.033320080698,0.0168489575837031,0.)); #133056=CARTESIAN_POINT('',(-1.033320080698,0.0168489575837031,0.01)); #133057=CARTESIAN_POINT('Origin',(-1.02769578355487,0.0134025803349254, 0.)); #133058=CARTESIAN_POINT('',(-1.02769578355487,0.0134025803349254,0.)); #133059=CARTESIAN_POINT('',(-0.764475533072892,-0.147889801556354,0.)); #133060=CARTESIAN_POINT('',(-1.02769578355487,0.0134025803349254,0.01)); #133061=CARTESIAN_POINT('',(-1.02769578355487,0.0134025803349254,0.)); #133062=CARTESIAN_POINT('',(-1.02769578355487,0.0134025803349254,0.01)); #133063=CARTESIAN_POINT('Origin',(-1.02432120543006,0.00995620308640232, 0.)); #133064=CARTESIAN_POINT('',(-1.02432120543006,0.00995620308640232,0.)); #133065=CARTESIAN_POINT('',(-0.898324435868398,-0.118721333466193,0.)); #133066=CARTESIAN_POINT('',(-1.02432120543006,0.00995620308640232,0.01)); #133067=CARTESIAN_POINT('',(-1.02432120543006,0.00995620308640232,0.)); #133068=CARTESIAN_POINT('',(-1.02432120543006,0.00995620308640232,0.01)); #133069=CARTESIAN_POINT('Origin',(-1.02207148671349,0.00421223778687307, 0.)); #133070=CARTESIAN_POINT('',(-1.02207148671349,0.00421223778687307,0.)); #133071=CARTESIAN_POINT('',(-1.0397829177445,0.0494329333777381,0.)); #133072=CARTESIAN_POINT('',(-1.02207148671349,0.00421223778687307,0.01)); #133073=CARTESIAN_POINT('',(-1.02207148671349,0.00421223778687307,0.)); #133074=CARTESIAN_POINT('',(-1.02207148671349,0.00421223778687307,0.01)); #133075=CARTESIAN_POINT('Origin',(-1.02207148671343,0.000765860538031768, 0.)); #133076=CARTESIAN_POINT('',(-1.02207148671343,0.000765860538031768,0.)); #133077=CARTESIAN_POINT('',(-1.02207148671827,0.254802293622944,0.)); #133078=CARTESIAN_POINT('',(-1.02207148671343,0.000765860538031768,0.01)); #133079=CARTESIAN_POINT('',(-1.02207148671343,0.000765860538031768,0.)); #133080=CARTESIAN_POINT('',(-1.02207148671343,0.000765860538031768,0.01)); #133081=CARTESIAN_POINT('Origin',(-1.02432120543025,-0.00382930912662175, 0.)); #133082=CARTESIAN_POINT('',(-1.02432120543025,-0.00382930912662175,0.)); #133083=CARTESIAN_POINT('',(-0.824063688998344,0.405207277422578,0.)); #133084=CARTESIAN_POINT('',(-1.02432120543025,-0.00382930912662175,0.01)); #133085=CARTESIAN_POINT('',(-1.02432120543025,-0.00382930912662175,0.)); #133086=CARTESIAN_POINT('',(-1.02432120543025,-0.00382930912662175,0.01)); #133087=CARTESIAN_POINT('Origin',(-1.02769578355487,-0.00727568637571902, 0.)); #133088=CARTESIAN_POINT('',(-1.02769578355487,-0.00727568637571902,0.)); #133089=CARTESIAN_POINT('',(-0.647180119853762,0.381336009035316,0.)); #133090=CARTESIAN_POINT('',(-1.02769578355487,-0.00727568637571902,0.01)); #133091=CARTESIAN_POINT('',(-1.02769578355487,-0.00727568637571902,0.)); #133092=CARTESIAN_POINT('',(-1.02769578355487,-0.00727568637571902,0.01)); #133093=CARTESIAN_POINT('Origin',(-1.02994550257344,-0.00842447879159313, 0.)); #133094=CARTESIAN_POINT('',(-1.02994550257344,-0.00842447879159313,0.)); #133095=CARTESIAN_POINT('',(-0.516727790883446,0.253644077332593,0.)); #133096=CARTESIAN_POINT('',(-1.02994550257344,-0.00842447879159313,0.01)); #133097=CARTESIAN_POINT('',(-1.02994550257344,-0.00842447879159313,0.)); #133098=CARTESIAN_POINT('',(-1.02994550257344,-0.00842447879159313,0.01)); #133099=CARTESIAN_POINT('Origin',(-1.02769578355519,-0.00957327120779034, 0.)); #133100=CARTESIAN_POINT('',(-1.02769578355519,-0.00957327120779034,0.)); #133101=CARTESIAN_POINT('',(-0.725108430246943,-0.164085925409453,0.)); #133102=CARTESIAN_POINT('',(-1.02769578355519,-0.00957327120779034,0.01)); #133103=CARTESIAN_POINT('',(-1.02769578355519,-0.00957327120779034,0.)); #133104=CARTESIAN_POINT('',(-1.02769578355519,-0.00957327120779034,0.01)); #133105=CARTESIAN_POINT('Origin',(-1.02319634612173,-0.0130196484571415, 0.)); #133106=CARTESIAN_POINT('',(-1.02319634612173,-0.0130196484571415,0.)); #133107=CARTESIAN_POINT('',(-0.826726081358927,-0.163507495141352,0.)); #133108=CARTESIAN_POINT('',(-1.02319634612173,-0.0130196484571415,0.01)); #133109=CARTESIAN_POINT('',(-1.02319634612173,-0.0130196484571415,0.)); #133110=CARTESIAN_POINT('',(-1.02319634612173,-0.0130196484571415,0.01)); #133111=CARTESIAN_POINT('Origin',(-1.020946627305,-0.0187636121471711,0.)); #133112=CARTESIAN_POINT('',(-1.020946627305,-0.0187636121471711,0.)); #133113=CARTESIAN_POINT('',(-1.04263385465409,0.0366080394141067,0.)); #133114=CARTESIAN_POINT('',(-1.020946627305,-0.0187636121471711,0.01)); #133115=CARTESIAN_POINT('',(-1.020946627305,-0.0187636121471711,0.)); #133116=CARTESIAN_POINT('',(-1.020946627305,-0.0187636121471711,0.01)); #133117=CARTESIAN_POINT('Origin',(-1.02094662730487,-0.0222099893959495, 0.)); #133118=CARTESIAN_POINT('',(-1.02094662730487,-0.0222099893959495,0.)); #133119=CARTESIAN_POINT('',(-1.02094662731479,0.243314368646641,0.)); #133120=CARTESIAN_POINT('',(-1.02094662730487,-0.0222099893959495,0.01)); #133121=CARTESIAN_POINT('',(-1.02094662730487,-0.0222099893959495,0.)); #133122=CARTESIAN_POINT('',(-1.02094662730487,-0.0222099893959495,0.01)); #133123=CARTESIAN_POINT('Origin',(-1.02319634612192,-0.0279539522817378, 0.)); #133124=CARTESIAN_POINT('',(-1.02319634612192,-0.0279539522817378,0.)); #133125=CARTESIAN_POINT('',(-0.864013027045095,0.378471539600456,0.)); #133126=CARTESIAN_POINT('',(-1.02319634612192,-0.0279539522817378,0.01)); #133127=CARTESIAN_POINT('',(-1.02319634612192,-0.0279539522817378,0.)); #133128=CARTESIAN_POINT('',(-1.02319634612192,-0.0279539522817378,0.01)); #133129=CARTESIAN_POINT('Origin',(-1.02657092404616,-0.0314003299324134, 0.)); #133130=CARTESIAN_POINT('',(-1.02657092404616,-0.0314003299324134,0.)); #133131=CARTESIAN_POINT('',(-0.640300776714581,0.36308835362191,0.)); #133132=CARTESIAN_POINT('',(-1.02657092404616,-0.0314003299324134,0.01)); #133133=CARTESIAN_POINT('',(-1.02657092404616,-0.0314003299324134,0.)); #133134=CARTESIAN_POINT('',(-1.02657092404616,-0.0314003299324134,0.01)); #133135=CARTESIAN_POINT('Origin',(-1.03219522078701,-0.0348467074829339, 0.)); #133136=CARTESIAN_POINT('',(-1.03219522078701,-0.0348467074829339,0.)); #133137=CARTESIAN_POINT('',(-0.535879372265983,0.269278746262821,0.)); #133138=CARTESIAN_POINT('',(-1.03219522078701,-0.0348467074829339,0.01)); #133139=CARTESIAN_POINT('',(-1.03219522078701,-0.0348467074829339,0.)); #133140=CARTESIAN_POINT('',(-1.03219522078701,-0.0348467074829339,0.01)); #133141=CARTESIAN_POINT('Origin',(-1.03781951792962,-0.035995500000238, 0.)); #133142=CARTESIAN_POINT('',(-0.486277574984446,0.0766598667004418,0.)); #133143=CARTESIAN_POINT('',(-1.03781951792962,-0.035995500000238,0.01)); #133144=CARTESIAN_POINT('Origin',(-1.04119409614268,-0.00932653616522146, 0.01)); #133145=CARTESIAN_POINT('Origin',(1.24398380898868,-0.168068028047456,0.)); #133146=CARTESIAN_POINT('',(1.24398380898868,-0.168068028047456,0.)); #133147=CARTESIAN_POINT('',(1.24398380898868,-0.173822601401841,0.)); #133148=CARTESIAN_POINT('',(1.24398380898868,0.170385349339948,0.)); #133149=CARTESIAN_POINT('',(1.24398380898868,-0.168068028047456,0.01)); #133150=CARTESIAN_POINT('',(1.24398380898868,-0.168068028047456,0.)); #133151=CARTESIAN_POINT('',(1.24398380898868,-0.173822601401841,0.01)); #133152=CARTESIAN_POINT('',(1.24398380898868,-0.168068028047456,0.01)); #133153=CARTESIAN_POINT('',(1.24398380898868,-0.173822601401841,0.)); #133154=CARTESIAN_POINT('Origin',(1.27706307833707,-0.154081747113289,0.)); #133155=CARTESIAN_POINT('',(1.27706307833707,-0.154081747113289,0.)); #133156=CARTESIAN_POINT('',(0.854260643135552,-0.332847292872455,0.)); #133157=CARTESIAN_POINT('',(1.27706307833707,-0.154081747113289,0.01)); #133158=CARTESIAN_POINT('',(1.27706307833707,-0.154081747113289,0.)); #133159=CARTESIAN_POINT('',(1.27706307833707,-0.154081747113289,0.01)); #133160=CARTESIAN_POINT('Origin',(1.27706307833707,-0.160217418040812,0.)); #133161=CARTESIAN_POINT('',(1.27706307833707,-0.160217418040812,0.)); #133162=CARTESIAN_POINT('',(1.27706307833707,0.17431065434327,0.)); #133163=CARTESIAN_POINT('',(1.27706307833707,-0.160217418040812,0.01)); #133164=CARTESIAN_POINT('',(1.27706307833707,-0.160217418040812,0.)); #133165=CARTESIAN_POINT('',(1.27706307833707,-0.160217418040812,0.01)); #133166=CARTESIAN_POINT('Origin',(1.25088167506248,-0.170964369603306,0.)); #133167=CARTESIAN_POINT('',(1.25088167506248,-0.170964369603306,0.)); #133168=CARTESIAN_POINT('',(0.835031972316445,-0.341662500861505,0.)); #133169=CARTESIAN_POINT('',(1.25088167506248,-0.170964369603306,0.01)); #133170=CARTESIAN_POINT('',(1.25088167506248,-0.170964369603306,0.)); #133171=CARTESIAN_POINT('',(1.25088167506248,-0.170964369603306,0.01)); #133172=CARTESIAN_POINT('Origin',(1.27706307833707,-0.181825650437741,0.)); #133173=CARTESIAN_POINT('',(1.27706307833707,-0.181825650437741,0.)); #133174=CARTESIAN_POINT('',(0.61006125280506,0.0948781636475898,0.)); #133175=CARTESIAN_POINT('',(1.27706307833707,-0.181825650437741,0.01)); #133176=CARTESIAN_POINT('',(1.27706307833707,-0.181825650437741,0.)); #133177=CARTESIAN_POINT('',(1.27706307833707,-0.181825650437741,0.01)); #133178=CARTESIAN_POINT('Origin',(1.27706307833707,-0.187961321365264,0.)); #133179=CARTESIAN_POINT('',(1.27706307833707,-0.187961321365264,0.)); #133180=CARTESIAN_POINT('',(1.27706307833707,0.160438702681044,0.)); #133181=CARTESIAN_POINT('',(1.27706307833707,-0.187961321365264,0.01)); #133182=CARTESIAN_POINT('',(1.27706307833707,-0.187961321365264,0.)); #133183=CARTESIAN_POINT('',(1.27706307833707,-0.187961321365264,0.01)); #133184=CARTESIAN_POINT('Origin',(1.24398380898868,-0.173822601401841,0.)); #133185=CARTESIAN_POINT('',(0.594713935590964,0.103687909002022,0.)); #133186=CARTESIAN_POINT('',(1.24398380898868,-0.173822601401841,0.01)); #133187=CARTESIAN_POINT('Origin',(1.26259189467673,-0.170994440878858,0.01)); #133188=CARTESIAN_POINT('Origin',(1.0640676447102,-0.210407968423096,0.)); #133189=CARTESIAN_POINT('',(1.0640676447102,-0.210407968423096,0.)); #133190=CARTESIAN_POINT('',(1.06845026680129,-0.210407968423096,0.)); #133191=CARTESIAN_POINT('',(0.5320338223551,-0.210407968423096,0.)); #133192=CARTESIAN_POINT('',(1.0640676447102,-0.210407968423096,0.01)); #133193=CARTESIAN_POINT('',(1.0640676447102,-0.210407968423096,0.)); #133194=CARTESIAN_POINT('',(1.06845026680129,-0.210407968423096,0.01)); #133195=CARTESIAN_POINT('',(1.0640676447102,-0.210407968423096,0.01)); #133196=CARTESIAN_POINT('',(1.06845026680129,-0.210407968423096,0.)); #133197=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.177633577133222, 0.)); #133198=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.177633577133222, 0.01)); #133199=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.194020772778159, 0.)); #133200=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.194020772778159, 0.01)); #133201=CARTESIAN_POINT('Ctrl Pts',(1.0640676447102,-0.210407968423096, 0.)); #133202=CARTESIAN_POINT('Ctrl Pts',(1.0640676447102,-0.210407968423096, 0.01)); #133203=CARTESIAN_POINT('',(1.07424294991299,-0.177633577133222,0.)); #133204=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.177633577133222, 0.)); #133205=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.194020772778159, 0.)); #133206=CARTESIAN_POINT('Ctrl Pts',(1.0640676447102,-0.210407968423096, 0.)); #133207=CARTESIAN_POINT('',(1.07424294991299,-0.177633577133222,0.01)); #133208=CARTESIAN_POINT('',(1.07424294991299,-0.177633577133222,0.)); #133209=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.177633577133222, 0.01)); #133210=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.194020772778159, 0.01)); #133211=CARTESIAN_POINT('Ctrl Pts',(1.0640676447102,-0.210407968423096, 0.01)); #133212=CARTESIAN_POINT('Ctrl Pts',(1.07279477913506,-0.164828698675783, 0.)); #133213=CARTESIAN_POINT('Ctrl Pts',(1.07279477913506,-0.164828698675783, 0.01)); #133214=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.171193028147189, 0.)); #133215=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.171193028147189, 0.01)); #133216=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.177633577133222, 0.)); #133217=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.177633577133222, 0.01)); #133218=CARTESIAN_POINT('',(1.07279477913506,-0.164828698675783,0.)); #133219=CARTESIAN_POINT('Ctrl Pts',(1.07279477913506,-0.164828698675783, 0.)); #133220=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.171193028147189, 0.)); #133221=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.177633577133222, 0.)); #133222=CARTESIAN_POINT('',(1.07279477913506,-0.164828698675783,0.01)); #133223=CARTESIAN_POINT('',(1.07279477913506,-0.164828698675783,0.)); #133224=CARTESIAN_POINT('Ctrl Pts',(1.07279477913506,-0.164828698675783, 0.01)); #133225=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.171193028147189, 0.01)); #133226=CARTESIAN_POINT('Ctrl Pts',(1.07424294991299,-0.177633577133222, 0.01)); #133227=CARTESIAN_POINT('Ctrl Pts',(1.06951734000607,-0.154996381288821, 0.)); #133228=CARTESIAN_POINT('Ctrl Pts',(1.06951734000607,-0.154996381288821, 0.01)); #133229=CARTESIAN_POINT('Ctrl Pts',(1.07157526690102,-0.159683881438419, 0.)); #133230=CARTESIAN_POINT('Ctrl Pts',(1.07157526690102,-0.159683881438419, 0.01)); #133231=CARTESIAN_POINT('Ctrl Pts',(1.07279477913506,-0.164828698675783, 0.)); #133232=CARTESIAN_POINT('Ctrl Pts',(1.07279477913506,-0.164828698675783, 0.01)); #133233=CARTESIAN_POINT('',(1.06951734000607,-0.154996381288821,0.)); #133234=CARTESIAN_POINT('Ctrl Pts',(1.06951734000607,-0.154996381288821, 0.)); #133235=CARTESIAN_POINT('Ctrl Pts',(1.07157526690102,-0.159683881438419, 0.)); #133236=CARTESIAN_POINT('Ctrl Pts',(1.07279477913506,-0.164828698675783, 0.)); #133237=CARTESIAN_POINT('',(1.06951734000607,-0.154996381288821,0.01)); #133238=CARTESIAN_POINT('',(1.06951734000607,-0.154996381288821,0.)); #133239=CARTESIAN_POINT('Ctrl Pts',(1.06951734000607,-0.154996381288821, 0.01)); #133240=CARTESIAN_POINT('Ctrl Pts',(1.07157526690102,-0.159683881438419, 0.01)); #133241=CARTESIAN_POINT('Ctrl Pts',(1.07279477913506,-0.164828698675783, 0.01)); #133242=CARTESIAN_POINT('Ctrl Pts',(1.0640676447102,-0.144859185843348, 0.)); #133243=CARTESIAN_POINT('Ctrl Pts',(1.0640676447102,-0.144859185843348, 0.01)); #133244=CARTESIAN_POINT('Ctrl Pts',(1.06818349850009,-0.151947600703716, 0.)); #133245=CARTESIAN_POINT('Ctrl Pts',(1.06818349850009,-0.151947600703716, 0.01)); #133246=CARTESIAN_POINT('Ctrl Pts',(1.06951734000607,-0.154996381288821, 0.)); #133247=CARTESIAN_POINT('Ctrl Pts',(1.06951734000607,-0.154996381288821, 0.01)); #133248=CARTESIAN_POINT('',(1.0640676447102,-0.144859185843348,0.)); #133249=CARTESIAN_POINT('Ctrl Pts',(1.0640676447102,-0.144859185843348, 0.)); #133250=CARTESIAN_POINT('Ctrl Pts',(1.06818349850009,-0.151947600703716, 0.)); #133251=CARTESIAN_POINT('Ctrl Pts',(1.06951734000607,-0.154996381288821, 0.)); #133252=CARTESIAN_POINT('',(1.0640676447102,-0.144859185843348,0.01)); #133253=CARTESIAN_POINT('',(1.0640676447102,-0.144859185843348,0.)); #133254=CARTESIAN_POINT('Ctrl Pts',(1.0640676447102,-0.144859185843348, 0.01)); #133255=CARTESIAN_POINT('Ctrl Pts',(1.06818349850009,-0.151947600703716, 0.01)); #133256=CARTESIAN_POINT('Ctrl Pts',(1.06951734000607,-0.154996381288821, 0.01)); #133257=CARTESIAN_POINT('Origin',(1.06845026680129,-0.144859185843348,0.)); #133258=CARTESIAN_POINT('',(1.06845026680129,-0.144859185843348,0.)); #133259=CARTESIAN_POINT('',(0.534225133400644,-0.144859185843348,0.)); #133260=CARTESIAN_POINT('',(1.06845026680129,-0.144859185843348,0.01)); #133261=CARTESIAN_POINT('',(1.06845026680129,-0.144859185843348,0.)); #133262=CARTESIAN_POINT('',(1.06845026680129,-0.144859185843348,0.01)); #133263=CARTESIAN_POINT('Ctrl Pts',(1.07793959637243,-0.162046686391875, 0.)); #133264=CARTESIAN_POINT('Ctrl Pts',(1.07793959637243,-0.162046686391875, 0.01)); #133265=CARTESIAN_POINT('Ctrl Pts',(1.07485270603001,-0.153433881238954, 0.)); #133266=CARTESIAN_POINT('Ctrl Pts',(1.07485270603001,-0.153433881238954, 0.01)); #133267=CARTESIAN_POINT('Ctrl Pts',(1.06845026680129,-0.144859185843348, 0.)); #133268=CARTESIAN_POINT('Ctrl Pts',(1.06845026680129,-0.144859185843348, 0.01)); #133269=CARTESIAN_POINT('',(1.07793959637243,-0.162046686391875,0.)); #133270=CARTESIAN_POINT('Ctrl Pts',(1.07793959637243,-0.162046686391875, 0.)); #133271=CARTESIAN_POINT('Ctrl Pts',(1.07485270603001,-0.153433881238954, 0.)); #133272=CARTESIAN_POINT('Ctrl Pts',(1.06845026680129,-0.144859185843348, 0.)); #133273=CARTESIAN_POINT('',(1.07793959637243,-0.162046686391875,0.01)); #133274=CARTESIAN_POINT('',(1.07793959637243,-0.162046686391875,0.)); #133275=CARTESIAN_POINT('Ctrl Pts',(1.07793959637243,-0.162046686391875, 0.01)); #133276=CARTESIAN_POINT('Ctrl Pts',(1.07485270603001,-0.153433881238954, 0.01)); #133277=CARTESIAN_POINT('Ctrl Pts',(1.06845026680129,-0.144859185843348, 0.01)); #133278=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.177595467375908, 0.)); #133279=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.177595467375908, 0.01)); #133280=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.169478089068067, 0.)); #133281=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.169478089068067, 0.01)); #133282=CARTESIAN_POINT('Ctrl Pts',(1.07793959637243,-0.162046686391875, 0.)); #133283=CARTESIAN_POINT('Ctrl Pts',(1.07793959637243,-0.162046686391875, 0.01)); #133284=CARTESIAN_POINT('',(1.08056916962708,-0.177595467375908,0.)); #133285=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.177595467375908, 0.)); #133286=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.169478089068067, 0.)); #133287=CARTESIAN_POINT('Ctrl Pts',(1.07793959637243,-0.162046686391875, 0.)); #133288=CARTESIAN_POINT('',(1.08056916962708,-0.177595467375908,0.01)); #133289=CARTESIAN_POINT('',(1.08056916962708,-0.177595467375908,0.)); #133290=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.177595467375908, 0.01)); #133291=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.169478089068067, 0.01)); #133292=CARTESIAN_POINT('Ctrl Pts',(1.07793959637243,-0.162046686391875, 0.01)); #133293=CARTESIAN_POINT('Ctrl Pts',(1.06845026680129,-0.210407968423096, 0.)); #133294=CARTESIAN_POINT('Ctrl Pts',(1.06845026680129,-0.210407968423096, 0.01)); #133295=CARTESIAN_POINT('Ctrl Pts',(1.07351886452402,-0.204005529194377, 0.)); #133296=CARTESIAN_POINT('Ctrl Pts',(1.07351886452402,-0.204005529194377, 0.01)); #133297=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.18681802864585, 0.)); #133298=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.18681802864585, 0.01)); #133299=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.177595467375908, 0.)); #133300=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.177595467375908, 0.01)); #133301=CARTESIAN_POINT('Ctrl Pts',(1.06845026680129,-0.210407968423096, 0.)); #133302=CARTESIAN_POINT('Ctrl Pts',(1.07351886452402,-0.204005529194377, 0.)); #133303=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.18681802864585, 0.)); #133304=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.177595467375908, 0.)); #133305=CARTESIAN_POINT('Ctrl Pts',(1.06845026680129,-0.210407968423096, 0.01)); #133306=CARTESIAN_POINT('Ctrl Pts',(1.07351886452402,-0.204005529194377, 0.01)); #133307=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.18681802864585, 0.01)); #133308=CARTESIAN_POINT('Ctrl Pts',(1.08056916962708,-0.177595467375908, 0.01)); #133309=CARTESIAN_POINT('Origin',(1.0729981411867,-0.177626799715426,0.01)); #133310=CARTESIAN_POINT('Origin',(0.994860325428326,-0.195697602099967, 0.)); #133311=CARTESIAN_POINT('',(0.994860325428326,-0.195697602099967,0.)); #133312=CARTESIAN_POINT('',(1.00149142320093,-0.195697602099967,0.)); #133313=CARTESIAN_POINT('',(0.497430162714163,-0.195697602099967,0.)); #133314=CARTESIAN_POINT('',(0.994860325428326,-0.195697602099967,0.01)); #133315=CARTESIAN_POINT('',(0.994860325428326,-0.195697602099967,0.)); #133316=CARTESIAN_POINT('',(1.00149142320093,-0.195697602099967,0.01)); #133317=CARTESIAN_POINT('',(0.994860325428326,-0.195697602099967,0.01)); #133318=CARTESIAN_POINT('',(1.00149142320093,-0.195697602099967,0.)); #133319=CARTESIAN_POINT('Origin',(0.994860325428326,-0.172984186740938, 0.)); #133320=CARTESIAN_POINT('',(0.994860325428326,-0.172984186740938,0.)); #133321=CARTESIAN_POINT('',(0.994860325428326,0.167927269993207,0.)); #133322=CARTESIAN_POINT('',(0.994860325428326,-0.172984186740938,0.01)); #133323=CARTESIAN_POINT('',(0.994860325428326,-0.172984186740938,0.)); #133324=CARTESIAN_POINT('',(0.994860325428326,-0.172984186740938,0.01)); #133325=CARTESIAN_POINT('Origin',(0.971384714923021,-0.172984186740938, 0.)); #133326=CARTESIAN_POINT('',(0.971384714923021,-0.172984186740938,0.)); #133327=CARTESIAN_POINT('',(0.485692357461511,-0.172984186740938,0.)); #133328=CARTESIAN_POINT('',(0.971384714923021,-0.172984186740938,0.01)); #133329=CARTESIAN_POINT('',(0.971384714923021,-0.172984186740938,0.)); #133330=CARTESIAN_POINT('',(0.971384714923021,-0.172984186740938,0.01)); #133331=CARTESIAN_POINT('Origin',(0.971384714923021,-0.167077174357297, 0.)); #133332=CARTESIAN_POINT('',(0.971384714923021,-0.167077174357297,0.)); #133333=CARTESIAN_POINT('',(0.971384714923021,0.170880776185027,0.)); #133334=CARTESIAN_POINT('',(0.971384714923021,-0.167077174357297,0.01)); #133335=CARTESIAN_POINT('',(0.971384714923021,-0.167077174357297,0.)); #133336=CARTESIAN_POINT('',(0.971384714923021,-0.167077174357297,0.01)); #133337=CARTESIAN_POINT('Origin',(0.994860325428326,-0.167077174357297, 0.)); #133338=CARTESIAN_POINT('',(0.994860325428326,-0.167077174357297,0.)); #133339=CARTESIAN_POINT('',(0.497430162714163,-0.167077174357297,0.)); #133340=CARTESIAN_POINT('',(0.994860325428326,-0.167077174357297,0.01)); #133341=CARTESIAN_POINT('',(0.994860325428326,-0.167077174357297,0.)); #133342=CARTESIAN_POINT('',(0.994860325428326,-0.167077174357297,0.01)); #133343=CARTESIAN_POINT('Origin',(0.994860325428326,-0.151604612887892, 0.)); #133344=CARTESIAN_POINT('',(0.994860325428326,-0.151604612887892,0.)); #133345=CARTESIAN_POINT('',(0.994860325428326,0.17861705691973,0.)); #133346=CARTESIAN_POINT('',(0.994860325428326,-0.151604612887892,0.01)); #133347=CARTESIAN_POINT('',(0.994860325428326,-0.151604612887892,0.)); #133348=CARTESIAN_POINT('',(0.994860325428326,-0.151604612887892,0.01)); #133349=CARTESIAN_POINT('Origin',(0.967726178220896,-0.151604612887892, 0.)); #133350=CARTESIAN_POINT('',(0.967726178220896,-0.151604612887892,0.)); #133351=CARTESIAN_POINT('',(0.483863089110448,-0.151604612887892,0.)); #133352=CARTESIAN_POINT('',(0.967726178220896,-0.151604612887892,0.01)); #133353=CARTESIAN_POINT('',(0.967726178220896,-0.151604612887892,0.)); #133354=CARTESIAN_POINT('',(0.967726178220896,-0.151604612887892,0.01)); #133355=CARTESIAN_POINT('Origin',(0.967726178220896,-0.145697600504252, 0.)); #133356=CARTESIAN_POINT('',(0.967726178220896,-0.145697600504252,0.)); #133357=CARTESIAN_POINT('',(0.967726178220896,0.18157056311155,0.)); #133358=CARTESIAN_POINT('',(0.967726178220896,-0.145697600504252,0.01)); #133359=CARTESIAN_POINT('',(0.967726178220896,-0.145697600504252,0.)); #133360=CARTESIAN_POINT('',(0.967726178220896,-0.145697600504252,0.01)); #133361=CARTESIAN_POINT('Origin',(1.00149142320093,-0.145697600504252,0.)); #133362=CARTESIAN_POINT('',(1.00149142320093,-0.145697600504252,0.)); #133363=CARTESIAN_POINT('',(0.500745711600465,-0.145697600504252,0.)); #133364=CARTESIAN_POINT('',(1.00149142320093,-0.145697600504252,0.01)); #133365=CARTESIAN_POINT('',(1.00149142320093,-0.145697600504252,0.)); #133366=CARTESIAN_POINT('',(1.00149142320093,-0.145697600504252,0.01)); #133367=CARTESIAN_POINT('Origin',(1.00149142320093,-0.195697602099967,0.)); #133368=CARTESIAN_POINT('',(1.00149142320093,0.156570562313693,0.)); #133369=CARTESIAN_POINT('',(1.00149142320093,-0.195697602099967,0.01)); #133370=CARTESIAN_POINT('Origin',(0.989215124639708,-0.164973391158775, 0.01)); #133371=CARTESIAN_POINT('Ctrl Pts',(0.906712456761491,-0.19653601676087, 0.)); #133372=CARTESIAN_POINT('Ctrl Pts',(0.906712456761491,-0.19653601676087, 0.01)); #133373=CARTESIAN_POINT('Ctrl Pts',(0.913534103320662,-0.19653601676087, 0.)); #133374=CARTESIAN_POINT('Ctrl Pts',(0.913534103320662,-0.19653601676087, 0.01)); #133375=CARTESIAN_POINT('Ctrl Pts',(0.922185018230896,-0.188914065298109, 0.)); #133376=CARTESIAN_POINT('Ctrl Pts',(0.922185018230896,-0.188914065298109, 0.01)); #133377=CARTESIAN_POINT('Ctrl Pts',(0.922794774347917,-0.182587845584017, 0.)); #133378=CARTESIAN_POINT('Ctrl Pts',(0.922794774347917,-0.182587845584017, 0.01)); #133379=CARTESIAN_POINT('',(0.906712456761491,-0.19653601676087,0.)); #133380=CARTESIAN_POINT('',(0.922794774347917,-0.182587845584017,0.)); #133381=CARTESIAN_POINT('Ctrl Pts',(0.906712456761491,-0.19653601676087, 0.)); #133382=CARTESIAN_POINT('Ctrl Pts',(0.913534103320662,-0.19653601676087, 0.)); #133383=CARTESIAN_POINT('Ctrl Pts',(0.922185018230896,-0.188914065298109, 0.)); #133384=CARTESIAN_POINT('Ctrl Pts',(0.922794774347917,-0.182587845584017, 0.)); #133385=CARTESIAN_POINT('',(0.906712456761491,-0.19653601676087,0.01)); #133386=CARTESIAN_POINT('',(0.906712456761491,-0.19653601676087,0.)); #133387=CARTESIAN_POINT('',(0.922794774347917,-0.182587845584017,0.01)); #133388=CARTESIAN_POINT('Ctrl Pts',(0.906712456761491,-0.19653601676087, 0.01)); #133389=CARTESIAN_POINT('Ctrl Pts',(0.913534103320662,-0.19653601676087, 0.01)); #133390=CARTESIAN_POINT('Ctrl Pts',(0.922185018230896,-0.188914065298109, 0.01)); #133391=CARTESIAN_POINT('Ctrl Pts',(0.922794774347917,-0.182587845584017, 0.01)); #133392=CARTESIAN_POINT('',(0.922794774347917,-0.182587845584017,0.)); #133393=CARTESIAN_POINT('Ctrl Pts',(0.893602700245541,-0.190476565347975, 0.)); #133394=CARTESIAN_POINT('Ctrl Pts',(0.893602700245541,-0.190476565347975, 0.01)); #133395=CARTESIAN_POINT('Ctrl Pts',(0.898404529667081,-0.19653601676087, 0.)); #133396=CARTESIAN_POINT('Ctrl Pts',(0.898404529667081,-0.19653601676087, 0.01)); #133397=CARTESIAN_POINT('Ctrl Pts',(0.906712456761491,-0.19653601676087, 0.)); #133398=CARTESIAN_POINT('Ctrl Pts',(0.906712456761491,-0.19653601676087, 0.01)); #133399=CARTESIAN_POINT('',(0.893602700245541,-0.190476565347975,0.)); #133400=CARTESIAN_POINT('Ctrl Pts',(0.893602700245541,-0.190476565347975, 0.)); #133401=CARTESIAN_POINT('Ctrl Pts',(0.898404529667081,-0.19653601676087, 0.)); #133402=CARTESIAN_POINT('Ctrl Pts',(0.906712456761491,-0.19653601676087, 0.)); #133403=CARTESIAN_POINT('',(0.893602700245541,-0.190476565347975,0.01)); #133404=CARTESIAN_POINT('',(0.893602700245541,-0.190476565347975,0.)); #133405=CARTESIAN_POINT('Ctrl Pts',(0.893602700245541,-0.190476565347975, 0.01)); #133406=CARTESIAN_POINT('Ctrl Pts',(0.898404529667081,-0.19653601676087, 0.01)); #133407=CARTESIAN_POINT('Ctrl Pts',(0.906712456761491,-0.19653601676087, 0.01)); #133408=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.178700650338009, 0.)); #133409=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.178700650338009, 0.01)); #133410=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.18552229689718, 0.)); #133411=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.18552229689718, 0.01)); #133412=CARTESIAN_POINT('Ctrl Pts',(0.893602700245541,-0.190476565347975, 0.)); #133413=CARTESIAN_POINT('Ctrl Pts',(0.893602700245541,-0.190476565347975, 0.01)); #133414=CARTESIAN_POINT('',(0.889639285484905,-0.178700650338009,0.)); #133415=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.178700650338009, 0.)); #133416=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.18552229689718, 0.)); #133417=CARTESIAN_POINT('Ctrl Pts',(0.893602700245541,-0.190476565347975, 0.)); #133418=CARTESIAN_POINT('',(0.889639285484905,-0.178700650338009,0.01)); #133419=CARTESIAN_POINT('',(0.889639285484905,-0.178700650338009,0.)); #133420=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.178700650338009, 0.01)); #133421=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.18552229689718, 0.01)); #133422=CARTESIAN_POINT('Ctrl Pts',(0.893602700245541,-0.190476565347975, 0.01)); #133423=CARTESIAN_POINT('Ctrl Pts',(0.905188066468938,-0.162504003479641, 0.)); #133424=CARTESIAN_POINT('Ctrl Pts',(0.905188066468938,-0.162504003479641, 0.01)); #133425=CARTESIAN_POINT('Ctrl Pts',(0.898633188210964,-0.162504003479641, 0.)); #133426=CARTESIAN_POINT('Ctrl Pts',(0.898633188210964,-0.162504003479641, 0.01)); #133427=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.171574125720327, 0.)); #133428=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.171574125720327, 0.01)); #133429=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.178700650338009, 0.)); #133430=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.178700650338009, 0.01)); #133431=CARTESIAN_POINT('',(0.905188066468938,-0.162504003479641,0.)); #133432=CARTESIAN_POINT('Ctrl Pts',(0.905188066468938,-0.162504003479641, 0.)); #133433=CARTESIAN_POINT('Ctrl Pts',(0.898633188210964,-0.162504003479641, 0.)); #133434=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.171574125720327, 0.)); #133435=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.178700650338009, 0.)); #133436=CARTESIAN_POINT('',(0.905188066468938,-0.162504003479641,0.01)); #133437=CARTESIAN_POINT('',(0.905188066468938,-0.162504003479641,0.)); #133438=CARTESIAN_POINT('Ctrl Pts',(0.905188066468938,-0.162504003479641, 0.01)); #133439=CARTESIAN_POINT('Ctrl Pts',(0.898633188210964,-0.162504003479641, 0.01)); #133440=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.171574125720327, 0.01)); #133441=CARTESIAN_POINT('Ctrl Pts',(0.889639285484905,-0.178700650338009, 0.01)); #133442=CARTESIAN_POINT('Ctrl Pts',(0.914639286282763,-0.165629003579373, 0.)); #133443=CARTESIAN_POINT('Ctrl Pts',(0.914639286282763,-0.165629003579373, 0.01)); #133444=CARTESIAN_POINT('Ctrl Pts',(0.910142334919734,-0.162504003479641, 0.)); #133445=CARTESIAN_POINT('Ctrl Pts',(0.910142334919734,-0.162504003479641, 0.01)); #133446=CARTESIAN_POINT('Ctrl Pts',(0.905188066468938,-0.162504003479641, 0.)); #133447=CARTESIAN_POINT('Ctrl Pts',(0.905188066468938,-0.162504003479641, 0.01)); #133448=CARTESIAN_POINT('',(0.914639286282763,-0.165629003579373,0.)); #133449=CARTESIAN_POINT('Ctrl Pts',(0.914639286282763,-0.165629003579373, 0.)); #133450=CARTESIAN_POINT('Ctrl Pts',(0.910142334919734,-0.162504003479641, 0.)); #133451=CARTESIAN_POINT('Ctrl Pts',(0.905188066468938,-0.162504003479641, 0.)); #133452=CARTESIAN_POINT('',(0.914639286282763,-0.165629003579373,0.01)); #133453=CARTESIAN_POINT('',(0.914639286282763,-0.165629003579373,0.)); #133454=CARTESIAN_POINT('Ctrl Pts',(0.914639286282763,-0.165629003579373, 0.01)); #133455=CARTESIAN_POINT('Ctrl Pts',(0.910142334919734,-0.162504003479641, 0.01)); #133456=CARTESIAN_POINT('Ctrl Pts',(0.905188066468938,-0.162504003479641, 0.01)); #133457=CARTESIAN_POINT('Origin',(0.911933493513482,-0.152214369004913, 0.)); #133458=CARTESIAN_POINT('',(0.911933493513482,-0.152214369004913,0.)); #133459=CARTESIAN_POINT('',(0.830045495881377,0.253765562917614,0.)); #133460=CARTESIAN_POINT('',(0.911933493513482,-0.152214369004913,0.01)); #133461=CARTESIAN_POINT('',(0.911933493513482,-0.152214369004913,0.)); #133462=CARTESIAN_POINT('',(0.911933493513482,-0.152214369004913,0.01)); #133463=CARTESIAN_POINT('Origin',(0.892002090438361,-0.152214369004913, 0.)); #133464=CARTESIAN_POINT('',(0.892002090438361,-0.152214369004913,0.)); #133465=CARTESIAN_POINT('',(0.446001045219181,-0.152214369004913,0.)); #133466=CARTESIAN_POINT('',(0.892002090438361,-0.152214369004913,0.01)); #133467=CARTESIAN_POINT('',(0.892002090438361,-0.152214369004913,0.)); #133468=CARTESIAN_POINT('',(0.892002090438361,-0.152214369004913,0.01)); #133469=CARTESIAN_POINT('Origin',(0.892002090438361,-0.146345466378586, 0.)); #133470=CARTESIAN_POINT('',(0.892002090438361,-0.146345466378586,0.)); #133471=CARTESIAN_POINT('',(0.892002090438361,0.181246630174383,0.)); #133472=CARTESIAN_POINT('',(0.892002090438361,-0.146345466378586,0.01)); #133473=CARTESIAN_POINT('',(0.892002090438361,-0.146345466378586,0.)); #133474=CARTESIAN_POINT('',(0.892002090438361,-0.146345466378586,0.01)); #133475=CARTESIAN_POINT('Origin',(0.916849652206963,-0.146345466378586, 0.)); #133476=CARTESIAN_POINT('',(0.916849652206963,-0.146345466378586,0.)); #133477=CARTESIAN_POINT('',(0.458424826103482,-0.146345466378586,0.)); #133478=CARTESIAN_POINT('',(0.916849652206963,-0.146345466378586,0.01)); #133479=CARTESIAN_POINT('',(0.916849652206963,-0.146345466378586,0.)); #133480=CARTESIAN_POINT('',(0.916849652206963,-0.146345466378586,0.01)); #133481=CARTESIAN_POINT('Origin',(0.921689591385817,-0.172031442808092, 0.)); #133482=CARTESIAN_POINT('',(0.921689591385817,-0.172031442808092,0.)); #133483=CARTESIAN_POINT('',(0.843940570657555,0.240589344363947,0.)); #133484=CARTESIAN_POINT('',(0.921689591385817,-0.172031442808092,0.01)); #133485=CARTESIAN_POINT('',(0.921689591385817,-0.172031442808092,0.)); #133486=CARTESIAN_POINT('',(0.921689591385817,-0.172031442808092,0.01)); #133487=CARTESIAN_POINT('Origin',(0.915935018031432,-0.172793637954369, 0.)); #133488=CARTESIAN_POINT('',(0.915935018031432,-0.172793637954369,0.)); #133489=CARTESIAN_POINT('',(0.510226113139492,-0.226529916748004,0.)); #133490=CARTESIAN_POINT('',(0.915935018031432,-0.172793637954369,0.01)); #133491=CARTESIAN_POINT('',(0.915935018031432,-0.172793637954369,0.)); #133492=CARTESIAN_POINT('',(0.915935018031432,-0.172793637954369,0.01)); #133493=CARTESIAN_POINT('Ctrl Pts',(0.906826786033432,-0.167915589018201, 0.)); #133494=CARTESIAN_POINT('Ctrl Pts',(0.906826786033432,-0.167915589018201, 0.01)); #133495=CARTESIAN_POINT('Ctrl Pts',(0.909799347103909,-0.167915589018201, 0.)); #133496=CARTESIAN_POINT('Ctrl Pts',(0.909799347103909,-0.167915589018201, 0.01)); #133497=CARTESIAN_POINT('Ctrl Pts',(0.914563066768135,-0.170621381787481, 0.)); #133498=CARTESIAN_POINT('Ctrl Pts',(0.914563066768135,-0.170621381787481, 0.01)); #133499=CARTESIAN_POINT('Ctrl Pts',(0.915935018031432,-0.172793637954369, 0.)); #133500=CARTESIAN_POINT('Ctrl Pts',(0.915935018031432,-0.172793637954369, 0.01)); #133501=CARTESIAN_POINT('',(0.906826786033432,-0.167915589018201,0.)); #133502=CARTESIAN_POINT('Ctrl Pts',(0.906826786033432,-0.167915589018201, 0.)); #133503=CARTESIAN_POINT('Ctrl Pts',(0.909799347103909,-0.167915589018201, 0.)); #133504=CARTESIAN_POINT('Ctrl Pts',(0.914563066768135,-0.170621381787481, 0.)); #133505=CARTESIAN_POINT('Ctrl Pts',(0.915935018031432,-0.172793637954369, 0.)); #133506=CARTESIAN_POINT('',(0.906826786033432,-0.167915589018201,0.01)); #133507=CARTESIAN_POINT('',(0.906826786033432,-0.167915589018201,0.)); #133508=CARTESIAN_POINT('Ctrl Pts',(0.906826786033432,-0.167915589018201, 0.01)); #133509=CARTESIAN_POINT('Ctrl Pts',(0.909799347103909,-0.167915589018201, 0.01)); #133510=CARTESIAN_POINT('Ctrl Pts',(0.914563066768135,-0.170621381787481, 0.01)); #133511=CARTESIAN_POINT('Ctrl Pts',(0.915935018031432,-0.172793637954369, 0.01)); #133512=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.179272296697716, 0.)); #133513=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.179272296697716, 0.01)); #133514=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.17401315018841, 0.)); #133515=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.17401315018841, 0.01)); #133516=CARTESIAN_POINT('Ctrl Pts',(0.902024956611892,-0.167915589018201, 0.)); #133517=CARTESIAN_POINT('Ctrl Pts',(0.902024956611892,-0.167915589018201, 0.01)); #133518=CARTESIAN_POINT('Ctrl Pts',(0.906826786033432,-0.167915589018201, 0.)); #133519=CARTESIAN_POINT('Ctrl Pts',(0.906826786033432,-0.167915589018201, 0.01)); #133520=CARTESIAN_POINT('',(0.896156053985566,-0.179272296697716,0.)); #133521=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.179272296697716, 0.)); #133522=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.17401315018841, 0.)); #133523=CARTESIAN_POINT('Ctrl Pts',(0.902024956611892,-0.167915589018201, 0.)); #133524=CARTESIAN_POINT('Ctrl Pts',(0.906826786033432,-0.167915589018201, 0.)); #133525=CARTESIAN_POINT('',(0.896156053985566,-0.179272296697716,0.01)); #133526=CARTESIAN_POINT('',(0.896156053985566,-0.179272296697716,0.)); #133527=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.179272296697716, 0.01)); #133528=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.17401315018841, 0.01)); #133529=CARTESIAN_POINT('Ctrl Pts',(0.902024956611892,-0.167915589018201, 0.01)); #133530=CARTESIAN_POINT('Ctrl Pts',(0.906826786033432,-0.167915589018201, 0.01)); #133531=CARTESIAN_POINT('Ctrl Pts',(0.906750566518805,-0.191505528795448, 0.)); #133532=CARTESIAN_POINT('Ctrl Pts',(0.906750566518805,-0.191505528795448, 0.01)); #133533=CARTESIAN_POINT('Ctrl Pts',(0.902253615155775,-0.191505528795448, 0.)); #133534=CARTESIAN_POINT('Ctrl Pts',(0.902253615155775,-0.191505528795448, 0.01)); #133535=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.184798211508218, 0.)); #133536=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.184798211508218, 0.01)); #133537=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.179272296697716, 0.)); #133538=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.179272296697716, 0.01)); #133539=CARTESIAN_POINT('',(0.906750566518805,-0.191505528795448,0.)); #133540=CARTESIAN_POINT('Ctrl Pts',(0.906750566518805,-0.191505528795448, 0.)); #133541=CARTESIAN_POINT('Ctrl Pts',(0.902253615155775,-0.191505528795448, 0.)); #133542=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.184798211508218, 0.)); #133543=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.179272296697716, 0.)); #133544=CARTESIAN_POINT('',(0.906750566518805,-0.191505528795448,0.01)); #133545=CARTESIAN_POINT('',(0.906750566518805,-0.191505528795448,0.)); #133546=CARTESIAN_POINT('Ctrl Pts',(0.906750566518805,-0.191505528795448, 0.01)); #133547=CARTESIAN_POINT('Ctrl Pts',(0.902253615155775,-0.191505528795448, 0.01)); #133548=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.184798211508218, 0.01)); #133549=CARTESIAN_POINT('Ctrl Pts',(0.896156053985566,-0.179272296697716, 0.01)); #133550=CARTESIAN_POINT('Ctrl Pts',(0.916354225361884,-0.182054308981624, 0.)); #133551=CARTESIAN_POINT('Ctrl Pts',(0.916354225361884,-0.182054308981624, 0.01)); #133552=CARTESIAN_POINT('Ctrl Pts',(0.915630139972922,-0.186779918888536, 0.)); #133553=CARTESIAN_POINT('Ctrl Pts',(0.915630139972922,-0.186779918888536, 0.01)); #133554=CARTESIAN_POINT('Ctrl Pts',(0.910447212978244,-0.191505528795448, 0.)); #133555=CARTESIAN_POINT('Ctrl Pts',(0.910447212978244,-0.191505528795448, 0.01)); #133556=CARTESIAN_POINT('Ctrl Pts',(0.906750566518805,-0.191505528795448, 0.)); #133557=CARTESIAN_POINT('Ctrl Pts',(0.906750566518805,-0.191505528795448, 0.01)); #133558=CARTESIAN_POINT('',(0.916354225361884,-0.182054308981624,0.)); #133559=CARTESIAN_POINT('Ctrl Pts',(0.916354225361884,-0.182054308981624, 0.)); #133560=CARTESIAN_POINT('Ctrl Pts',(0.915630139972922,-0.186779918888536, 0.)); #133561=CARTESIAN_POINT('Ctrl Pts',(0.910447212978244,-0.191505528795448, 0.)); #133562=CARTESIAN_POINT('Ctrl Pts',(0.906750566518805,-0.191505528795448, 0.)); #133563=CARTESIAN_POINT('',(0.916354225361884,-0.182054308981624,0.01)); #133564=CARTESIAN_POINT('',(0.916354225361884,-0.182054308981624,0.)); #133565=CARTESIAN_POINT('Ctrl Pts',(0.916354225361884,-0.182054308981624, 0.01)); #133566=CARTESIAN_POINT('Ctrl Pts',(0.915630139972922,-0.186779918888536, 0.01)); #133567=CARTESIAN_POINT('Ctrl Pts',(0.910447212978244,-0.191505528795448, 0.01)); #133568=CARTESIAN_POINT('Ctrl Pts',(0.906750566518805,-0.191505528795448, 0.01)); #133569=CARTESIAN_POINT('Origin',(0.922794774347917,-0.182587845584017, 0.)); #133570=CARTESIAN_POINT('',(0.436098373119702,-0.142269800511857,0.)); #133571=CARTESIAN_POINT('',(0.922794774347917,-0.182587845584017,0.01)); #133572=CARTESIAN_POINT('Origin',(0.906766605034869,-0.168936071989066, 0.01)); #133573=CARTESIAN_POINT('Origin',(0.83453257640914,-0.195697602099967,0.)); #133574=CARTESIAN_POINT('',(0.83453257640914,-0.195697602099967,0.)); #133575=CARTESIAN_POINT('',(0.840896905880546,-0.195697602099967,0.)); #133576=CARTESIAN_POINT('',(0.41726628820457,-0.195697602099967,0.)); #133577=CARTESIAN_POINT('',(0.83453257640914,-0.195697602099967,0.01)); #133578=CARTESIAN_POINT('',(0.83453257640914,-0.195697602099967,0.)); #133579=CARTESIAN_POINT('',(0.840896905880546,-0.195697602099967,0.01)); #133580=CARTESIAN_POINT('',(0.83453257640914,-0.195697602099967,0.01)); #133581=CARTESIAN_POINT('',(0.840896905880546,-0.195697602099967,0.)); #133582=CARTESIAN_POINT('Origin',(0.83453257640914,-0.153129003180444,0.)); #133583=CARTESIAN_POINT('',(0.83453257640914,-0.153129003180444,0.)); #133584=CARTESIAN_POINT('',(0.83453257640914,0.177854861773454,0.)); #133585=CARTESIAN_POINT('',(0.83453257640914,-0.153129003180444,0.01)); #133586=CARTESIAN_POINT('',(0.83453257640914,-0.153129003180444,0.)); #133587=CARTESIAN_POINT('',(0.83453257640914,-0.153129003180444,0.01)); #133588=CARTESIAN_POINT('Origin',(0.820050868629894,-0.195697602099967, 0.)); #133589=CARTESIAN_POINT('',(0.820050868629894,-0.195697602099967,0.)); #133590=CARTESIAN_POINT('',(0.88492895641878,-0.00499017036263394,0.)); #133591=CARTESIAN_POINT('',(0.820050868629894,-0.195697602099967,0.01)); #133592=CARTESIAN_POINT('',(0.820050868629894,-0.195697602099967,0.)); #133593=CARTESIAN_POINT('',(0.820050868629894,-0.195697602099967,0.01)); #133594=CARTESIAN_POINT('Origin',(0.81410574648894,-0.195697602099967,0.)); #133595=CARTESIAN_POINT('',(0.81410574648894,-0.195697602099967,0.)); #133596=CARTESIAN_POINT('',(0.40705287324447,-0.195697602099967,0.)); #133597=CARTESIAN_POINT('',(0.81410574648894,-0.195697602099967,0.01)); #133598=CARTESIAN_POINT('',(0.81410574648894,-0.195697602099967,0.)); #133599=CARTESIAN_POINT('',(0.81410574648894,-0.195697602099967,0.01)); #133600=CARTESIAN_POINT('Origin',(0.799509709437751,-0.153853088569406, 0.)); #133601=CARTESIAN_POINT('',(0.799509709437751,-0.153853088569406,0.)); #133602=CARTESIAN_POINT('',(0.653105150802262,0.265865463341211,0.)); #133603=CARTESIAN_POINT('',(0.799509709437751,-0.153853088569406,0.01)); #133604=CARTESIAN_POINT('',(0.799509709437751,-0.153853088569406,0.)); #133605=CARTESIAN_POINT('',(0.799509709437751,-0.153853088569406,0.01)); #133606=CARTESIAN_POINT('Origin',(0.799509709437751,-0.195697602099967, 0.)); #133607=CARTESIAN_POINT('',(0.799509709437751,-0.195697602099967,0.)); #133608=CARTESIAN_POINT('',(0.799509709437751,0.156570562313693,0.)); #133609=CARTESIAN_POINT('',(0.799509709437751,-0.195697602099967,0.01)); #133610=CARTESIAN_POINT('',(0.799509709437751,-0.195697602099967,0.)); #133611=CARTESIAN_POINT('',(0.799509709437751,-0.195697602099967,0.01)); #133612=CARTESIAN_POINT('Origin',(0.793145379966346,-0.195697602099967, 0.)); #133613=CARTESIAN_POINT('',(0.793145379966346,-0.195697602099967,0.)); #133614=CARTESIAN_POINT('',(0.396572689983173,-0.195697602099967,0.)); #133615=CARTESIAN_POINT('',(0.793145379966346,-0.195697602099967,0.01)); #133616=CARTESIAN_POINT('',(0.793145379966346,-0.195697602099967,0.)); #133617=CARTESIAN_POINT('',(0.793145379966346,-0.195697602099967,0.01)); #133618=CARTESIAN_POINT('Origin',(0.793145379966346,-0.145697600504252, 0.)); #133619=CARTESIAN_POINT('',(0.793145379966346,-0.145697600504252,0.)); #133620=CARTESIAN_POINT('',(0.793145379966346,0.18157056311155,0.)); #133621=CARTESIAN_POINT('',(0.793145379966346,-0.145697600504252,0.01)); #133622=CARTESIAN_POINT('',(0.793145379966346,-0.145697600504252,0.)); #133623=CARTESIAN_POINT('',(0.793145379966346,-0.145697600504252,0.01)); #133624=CARTESIAN_POINT('Origin',(0.802024953420463,-0.145697600504252, 0.)); #133625=CARTESIAN_POINT('',(0.802024953420463,-0.145697600504252,0.)); #133626=CARTESIAN_POINT('',(0.401012476710231,-0.145697600504252,0.)); #133627=CARTESIAN_POINT('',(0.802024953420463,-0.145697600504252,0.01)); #133628=CARTESIAN_POINT('',(0.802024953420463,-0.145697600504252,0.)); #133629=CARTESIAN_POINT('',(0.802024953420463,-0.145697600504252,0.01)); #133630=CARTESIAN_POINT('Origin',(0.814029526974312,-0.180491808931758, 0.)); #133631=CARTESIAN_POINT('',(0.814029526974312,-0.180491808931758,0.)); #133632=CARTESIAN_POINT('',(0.664468050797951,0.25299907281116,0.)); #133633=CARTESIAN_POINT('',(0.814029526974312,-0.180491808931758,0.01)); #133634=CARTESIAN_POINT('',(0.814029526974312,-0.180491808931758,0.)); #133635=CARTESIAN_POINT('',(0.814029526974312,-0.180491808931758,0.01)); #133636=CARTESIAN_POINT('Ctrl Pts',(0.816697209986278,-0.188494857967657, 0.)); #133637=CARTESIAN_POINT('Ctrl Pts',(0.816697209986278,-0.188494857967657, 0.01)); #133638=CARTESIAN_POINT('Ctrl Pts',(0.815858795325375,-0.185789065198377, 0.)); #133639=CARTESIAN_POINT('Ctrl Pts',(0.815858795325375,-0.185789065198377, 0.01)); #133640=CARTESIAN_POINT('Ctrl Pts',(0.814029526974312,-0.180491808931758, 0.)); #133641=CARTESIAN_POINT('Ctrl Pts',(0.814029526974312,-0.180491808931758, 0.01)); #133642=CARTESIAN_POINT('',(0.816697209986278,-0.188494857967657,0.)); #133643=CARTESIAN_POINT('Ctrl Pts',(0.816697209986278,-0.188494857967657, 0.)); #133644=CARTESIAN_POINT('Ctrl Pts',(0.815858795325375,-0.185789065198377, 0.)); #133645=CARTESIAN_POINT('Ctrl Pts',(0.814029526974312,-0.180491808931758, 0.)); #133646=CARTESIAN_POINT('',(0.816697209986278,-0.188494857967657,0.01)); #133647=CARTESIAN_POINT('',(0.816697209986278,-0.188494857967657,0.)); #133648=CARTESIAN_POINT('Ctrl Pts',(0.816697209986278,-0.188494857967657, 0.01)); #133649=CARTESIAN_POINT('Ctrl Pts',(0.815858795325375,-0.185789065198377, 0.01)); #133650=CARTESIAN_POINT('Ctrl Pts',(0.814029526974312,-0.180491808931758, 0.01)); #133651=CARTESIAN_POINT('Ctrl Pts',(0.819098124697048,-0.181101565048778, 0.)); #133652=CARTESIAN_POINT('Ctrl Pts',(0.819098124697048,-0.181101565048778, 0.01)); #133653=CARTESIAN_POINT('Ctrl Pts',(0.817459405132555,-0.186055833499573, 0.)); #133654=CARTESIAN_POINT('Ctrl Pts',(0.817459405132555,-0.186055833499573, 0.01)); #133655=CARTESIAN_POINT('Ctrl Pts',(0.816697209986278,-0.188494857967657, 0.)); #133656=CARTESIAN_POINT('Ctrl Pts',(0.816697209986278,-0.188494857967657, 0.01)); #133657=CARTESIAN_POINT('',(0.819098124697048,-0.181101565048778,0.)); #133658=CARTESIAN_POINT('Ctrl Pts',(0.819098124697048,-0.181101565048778, 0.)); #133659=CARTESIAN_POINT('Ctrl Pts',(0.817459405132555,-0.186055833499573, 0.)); #133660=CARTESIAN_POINT('Ctrl Pts',(0.816697209986278,-0.188494857967657, 0.)); #133661=CARTESIAN_POINT('',(0.819098124697048,-0.181101565048778,0.01)); #133662=CARTESIAN_POINT('',(0.819098124697048,-0.181101565048778,0.)); #133663=CARTESIAN_POINT('Ctrl Pts',(0.819098124697048,-0.181101565048778, 0.01)); #133664=CARTESIAN_POINT('Ctrl Pts',(0.817459405132555,-0.186055833499573, 0.01)); #133665=CARTESIAN_POINT('Ctrl Pts',(0.816697209986278,-0.188494857967657, 0.01)); #133666=CARTESIAN_POINT('Origin',(0.830950259221642,-0.145697600504252, 0.)); #133667=CARTESIAN_POINT('',(0.830950259221642,-0.145697600504252,0.)); #133668=CARTESIAN_POINT('',(0.887598491338173,0.023518501220048,0.)); #133669=CARTESIAN_POINT('',(0.830950259221642,-0.145697600504252,0.01)); #133670=CARTESIAN_POINT('',(0.830950259221642,-0.145697600504252,0.)); #133671=CARTESIAN_POINT('',(0.830950259221642,-0.145697600504252,0.01)); #133672=CARTESIAN_POINT('Origin',(0.840896905880546,-0.145697600504252, 0.)); #133673=CARTESIAN_POINT('',(0.840896905880546,-0.145697600504252,0.)); #133674=CARTESIAN_POINT('',(0.420448452940273,-0.145697600504252,0.)); #133675=CARTESIAN_POINT('',(0.840896905880546,-0.145697600504252,0.01)); #133676=CARTESIAN_POINT('',(0.840896905880546,-0.145697600504252,0.)); #133677=CARTESIAN_POINT('',(0.840896905880546,-0.145697600504252,0.01)); #133678=CARTESIAN_POINT('Origin',(0.840896905880546,-0.195697602099967, 0.)); #133679=CARTESIAN_POINT('',(0.840896905880546,0.156570562313693,0.)); #133680=CARTESIAN_POINT('',(0.840896905880546,-0.195697602099967,0.01)); #133681=CARTESIAN_POINT('Origin',(0.817021347561823,-0.171426941523241, 0.01)); #133682=CARTESIAN_POINT('Ctrl Pts',(0.679616412928514,-0.19653601676087, 0.)); #133683=CARTESIAN_POINT('Ctrl Pts',(0.679616412928514,-0.19653601676087, 0.01)); #133684=CARTESIAN_POINT('Ctrl Pts',(0.686438059487686,-0.19653601676087, 0.)); #133685=CARTESIAN_POINT('Ctrl Pts',(0.686438059487686,-0.19653601676087, 0.01)); #133686=CARTESIAN_POINT('Ctrl Pts',(0.69508897439792,-0.188914065298109, 0.)); #133687=CARTESIAN_POINT('Ctrl Pts',(0.69508897439792,-0.188914065298109, 0.01)); #133688=CARTESIAN_POINT('Ctrl Pts',(0.695698730514941,-0.182587845584017, 0.)); #133689=CARTESIAN_POINT('Ctrl Pts',(0.695698730514941,-0.182587845584017, 0.01)); #133690=CARTESIAN_POINT('',(0.679616412928514,-0.19653601676087,0.)); #133691=CARTESIAN_POINT('',(0.695698730514941,-0.182587845584017,0.)); #133692=CARTESIAN_POINT('Ctrl Pts',(0.679616412928514,-0.19653601676087, 0.)); #133693=CARTESIAN_POINT('Ctrl Pts',(0.686438059487686,-0.19653601676087, 0.)); #133694=CARTESIAN_POINT('Ctrl Pts',(0.69508897439792,-0.188914065298109, 0.)); #133695=CARTESIAN_POINT('Ctrl Pts',(0.695698730514941,-0.182587845584017, 0.)); #133696=CARTESIAN_POINT('',(0.679616412928514,-0.19653601676087,0.01)); #133697=CARTESIAN_POINT('',(0.679616412928514,-0.19653601676087,0.)); #133698=CARTESIAN_POINT('',(0.695698730514941,-0.182587845584017,0.01)); #133699=CARTESIAN_POINT('Ctrl Pts',(0.679616412928514,-0.19653601676087, 0.01)); #133700=CARTESIAN_POINT('Ctrl Pts',(0.686438059487686,-0.19653601676087, 0.01)); #133701=CARTESIAN_POINT('Ctrl Pts',(0.69508897439792,-0.188914065298109, 0.01)); #133702=CARTESIAN_POINT('Ctrl Pts',(0.695698730514941,-0.182587845584017, 0.01)); #133703=CARTESIAN_POINT('',(0.695698730514941,-0.182587845584017,0.)); #133704=CARTESIAN_POINT('Ctrl Pts',(0.666506656412565,-0.190476565347975, 0.)); #133705=CARTESIAN_POINT('Ctrl Pts',(0.666506656412565,-0.190476565347975, 0.01)); #133706=CARTESIAN_POINT('Ctrl Pts',(0.671308485834105,-0.19653601676087, 0.)); #133707=CARTESIAN_POINT('Ctrl Pts',(0.671308485834105,-0.19653601676087, 0.01)); #133708=CARTESIAN_POINT('Ctrl Pts',(0.679616412928514,-0.19653601676087, 0.)); #133709=CARTESIAN_POINT('Ctrl Pts',(0.679616412928514,-0.19653601676087, 0.01)); #133710=CARTESIAN_POINT('',(0.666506656412565,-0.190476565347975,0.)); #133711=CARTESIAN_POINT('Ctrl Pts',(0.666506656412565,-0.190476565347975, 0.)); #133712=CARTESIAN_POINT('Ctrl Pts',(0.671308485834105,-0.19653601676087, 0.)); #133713=CARTESIAN_POINT('Ctrl Pts',(0.679616412928514,-0.19653601676087, 0.)); #133714=CARTESIAN_POINT('',(0.666506656412565,-0.190476565347975,0.01)); #133715=CARTESIAN_POINT('',(0.666506656412565,-0.190476565347975,0.)); #133716=CARTESIAN_POINT('Ctrl Pts',(0.666506656412565,-0.190476565347975, 0.01)); #133717=CARTESIAN_POINT('Ctrl Pts',(0.671308485834105,-0.19653601676087, 0.01)); #133718=CARTESIAN_POINT('Ctrl Pts',(0.679616412928514,-0.19653601676087, 0.01)); #133719=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.178700650338009, 0.)); #133720=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.178700650338009, 0.01)); #133721=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.18552229689718, 0.)); #133722=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.18552229689718, 0.01)); #133723=CARTESIAN_POINT('Ctrl Pts',(0.666506656412565,-0.190476565347975, 0.)); #133724=CARTESIAN_POINT('Ctrl Pts',(0.666506656412565,-0.190476565347975, 0.01)); #133725=CARTESIAN_POINT('',(0.662543241651929,-0.178700650338009,0.)); #133726=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.178700650338009, 0.)); #133727=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.18552229689718, 0.)); #133728=CARTESIAN_POINT('Ctrl Pts',(0.666506656412565,-0.190476565347975, 0.)); #133729=CARTESIAN_POINT('',(0.662543241651929,-0.178700650338009,0.01)); #133730=CARTESIAN_POINT('',(0.662543241651929,-0.178700650338009,0.)); #133731=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.178700650338009, 0.01)); #133732=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.18552229689718, 0.01)); #133733=CARTESIAN_POINT('Ctrl Pts',(0.666506656412565,-0.190476565347975, 0.01)); #133734=CARTESIAN_POINT('Ctrl Pts',(0.678092022635962,-0.162504003479641, 0.)); #133735=CARTESIAN_POINT('Ctrl Pts',(0.678092022635962,-0.162504003479641, 0.01)); #133736=CARTESIAN_POINT('Ctrl Pts',(0.671537144377987,-0.162504003479641, 0.)); #133737=CARTESIAN_POINT('Ctrl Pts',(0.671537144377987,-0.162504003479641, 0.01)); #133738=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.171574125720327, 0.)); #133739=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.171574125720327, 0.01)); #133740=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.178700650338009, 0.)); #133741=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.178700650338009, 0.01)); #133742=CARTESIAN_POINT('',(0.678092022635962,-0.162504003479641,0.)); #133743=CARTESIAN_POINT('Ctrl Pts',(0.678092022635962,-0.162504003479641, 0.)); #133744=CARTESIAN_POINT('Ctrl Pts',(0.671537144377987,-0.162504003479641, 0.)); #133745=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.171574125720327, 0.)); #133746=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.178700650338009, 0.)); #133747=CARTESIAN_POINT('',(0.678092022635962,-0.162504003479641,0.01)); #133748=CARTESIAN_POINT('',(0.678092022635962,-0.162504003479641,0.)); #133749=CARTESIAN_POINT('Ctrl Pts',(0.678092022635962,-0.162504003479641, 0.01)); #133750=CARTESIAN_POINT('Ctrl Pts',(0.671537144377987,-0.162504003479641, 0.01)); #133751=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.171574125720327, 0.01)); #133752=CARTESIAN_POINT('Ctrl Pts',(0.662543241651929,-0.178700650338009, 0.01)); #133753=CARTESIAN_POINT('Ctrl Pts',(0.687543242449786,-0.165629003579373, 0.)); #133754=CARTESIAN_POINT('Ctrl Pts',(0.687543242449786,-0.165629003579373, 0.01)); #133755=CARTESIAN_POINT('Ctrl Pts',(0.683046291086757,-0.162504003479641, 0.)); #133756=CARTESIAN_POINT('Ctrl Pts',(0.683046291086757,-0.162504003479641, 0.01)); #133757=CARTESIAN_POINT('Ctrl Pts',(0.678092022635962,-0.162504003479641, 0.)); #133758=CARTESIAN_POINT('Ctrl Pts',(0.678092022635962,-0.162504003479641, 0.01)); #133759=CARTESIAN_POINT('',(0.687543242449786,-0.165629003579373,0.)); #133760=CARTESIAN_POINT('Ctrl Pts',(0.687543242449786,-0.165629003579373, 0.)); #133761=CARTESIAN_POINT('Ctrl Pts',(0.683046291086757,-0.162504003479641, 0.)); #133762=CARTESIAN_POINT('Ctrl Pts',(0.678092022635962,-0.162504003479641, 0.)); #133763=CARTESIAN_POINT('',(0.687543242449786,-0.165629003579373,0.01)); #133764=CARTESIAN_POINT('',(0.687543242449786,-0.165629003579373,0.)); #133765=CARTESIAN_POINT('Ctrl Pts',(0.687543242449786,-0.165629003579373, 0.01)); #133766=CARTESIAN_POINT('Ctrl Pts',(0.683046291086757,-0.162504003479641, 0.01)); #133767=CARTESIAN_POINT('Ctrl Pts',(0.678092022635962,-0.162504003479641, 0.01)); #133768=CARTESIAN_POINT('Origin',(0.684837449680506,-0.152214369004913, 0.)); #133769=CARTESIAN_POINT('',(0.684837449680506,-0.152214369004913,0.)); #133770=CARTESIAN_POINT('',(0.607388519701135,0.231757790892818,0.)); #133771=CARTESIAN_POINT('',(0.684837449680506,-0.152214369004913,0.01)); #133772=CARTESIAN_POINT('',(0.684837449680506,-0.152214369004913,0.)); #133773=CARTESIAN_POINT('',(0.684837449680506,-0.152214369004913,0.01)); #133774=CARTESIAN_POINT('Origin',(0.664906046605385,-0.152214369004913, 0.)); #133775=CARTESIAN_POINT('',(0.664906046605385,-0.152214369004913,0.)); #133776=CARTESIAN_POINT('',(0.332453023302692,-0.152214369004913,0.)); #133777=CARTESIAN_POINT('',(0.664906046605385,-0.152214369004913,0.01)); #133778=CARTESIAN_POINT('',(0.664906046605385,-0.152214369004913,0.)); #133779=CARTESIAN_POINT('',(0.664906046605385,-0.152214369004913,0.01)); #133780=CARTESIAN_POINT('Origin',(0.664906046605385,-0.146345466378586, 0.)); #133781=CARTESIAN_POINT('',(0.664906046605385,-0.146345466378586,0.)); #133782=CARTESIAN_POINT('',(0.664906046605385,0.181246630174383,0.)); #133783=CARTESIAN_POINT('',(0.664906046605385,-0.146345466378586,0.01)); #133784=CARTESIAN_POINT('',(0.664906046605385,-0.146345466378586,0.)); #133785=CARTESIAN_POINT('',(0.664906046605385,-0.146345466378586,0.01)); #133786=CARTESIAN_POINT('Origin',(0.689753608373987,-0.146345466378586, 0.)); #133787=CARTESIAN_POINT('',(0.689753608373987,-0.146345466378586,0.)); #133788=CARTESIAN_POINT('',(0.344876804186994,-0.146345466378586,0.)); #133789=CARTESIAN_POINT('',(0.689753608373987,-0.146345466378586,0.01)); #133790=CARTESIAN_POINT('',(0.689753608373987,-0.146345466378586,0.)); #133791=CARTESIAN_POINT('',(0.689753608373987,-0.146345466378586,0.01)); #133792=CARTESIAN_POINT('Origin',(0.694593547552841,-0.172031442808092, 0.)); #133793=CARTESIAN_POINT('',(0.694593547552841,-0.172031442808092,0.)); #133794=CARTESIAN_POINT('',(0.620737801865216,0.219927396510486,0.)); #133795=CARTESIAN_POINT('',(0.694593547552841,-0.172031442808092,0.01)); #133796=CARTESIAN_POINT('',(0.694593547552841,-0.172031442808092,0.)); #133797=CARTESIAN_POINT('',(0.694593547552841,-0.172031442808092,0.01)); #133798=CARTESIAN_POINT('Origin',(0.688838974198456,-0.172793637954369, 0.)); #133799=CARTESIAN_POINT('',(0.688838974198456,-0.172793637954369,0.)); #133800=CARTESIAN_POINT('',(0.394720451088241,-0.211749733730556,0.)); #133801=CARTESIAN_POINT('',(0.688838974198456,-0.172793637954369,0.01)); #133802=CARTESIAN_POINT('',(0.688838974198456,-0.172793637954369,0.)); #133803=CARTESIAN_POINT('',(0.688838974198456,-0.172793637954369,0.01)); #133804=CARTESIAN_POINT('Ctrl Pts',(0.679730742200456,-0.167915589018201, 0.)); #133805=CARTESIAN_POINT('Ctrl Pts',(0.679730742200456,-0.167915589018201, 0.01)); #133806=CARTESIAN_POINT('Ctrl Pts',(0.682703303270933,-0.167915589018201, 0.)); #133807=CARTESIAN_POINT('Ctrl Pts',(0.682703303270933,-0.167915589018201, 0.01)); #133808=CARTESIAN_POINT('Ctrl Pts',(0.687467022935159,-0.170621381787481, 0.)); #133809=CARTESIAN_POINT('Ctrl Pts',(0.687467022935159,-0.170621381787481, 0.01)); #133810=CARTESIAN_POINT('Ctrl Pts',(0.688838974198456,-0.172793637954369, 0.)); #133811=CARTESIAN_POINT('Ctrl Pts',(0.688838974198456,-0.172793637954369, 0.01)); #133812=CARTESIAN_POINT('',(0.679730742200456,-0.167915589018201,0.)); #133813=CARTESIAN_POINT('Ctrl Pts',(0.679730742200456,-0.167915589018201, 0.)); #133814=CARTESIAN_POINT('Ctrl Pts',(0.682703303270933,-0.167915589018201, 0.)); #133815=CARTESIAN_POINT('Ctrl Pts',(0.687467022935159,-0.170621381787481, 0.)); #133816=CARTESIAN_POINT('Ctrl Pts',(0.688838974198456,-0.172793637954369, 0.)); #133817=CARTESIAN_POINT('',(0.679730742200456,-0.167915589018201,0.01)); #133818=CARTESIAN_POINT('',(0.679730742200456,-0.167915589018201,0.)); #133819=CARTESIAN_POINT('Ctrl Pts',(0.679730742200456,-0.167915589018201, 0.01)); #133820=CARTESIAN_POINT('Ctrl Pts',(0.682703303270933,-0.167915589018201, 0.01)); #133821=CARTESIAN_POINT('Ctrl Pts',(0.687467022935159,-0.170621381787481, 0.01)); #133822=CARTESIAN_POINT('Ctrl Pts',(0.688838974198456,-0.172793637954369, 0.01)); #133823=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.179272296697716, 0.)); #133824=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.179272296697716, 0.01)); #133825=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.17401315018841, 0.)); #133826=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.17401315018841, 0.01)); #133827=CARTESIAN_POINT('Ctrl Pts',(0.674928912778916,-0.167915589018201, 0.)); #133828=CARTESIAN_POINT('Ctrl Pts',(0.674928912778916,-0.167915589018201, 0.01)); #133829=CARTESIAN_POINT('Ctrl Pts',(0.679730742200456,-0.167915589018201, 0.)); #133830=CARTESIAN_POINT('Ctrl Pts',(0.679730742200456,-0.167915589018201, 0.01)); #133831=CARTESIAN_POINT('',(0.66906001015259,-0.179272296697716,0.)); #133832=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.179272296697716, 0.)); #133833=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.17401315018841, 0.)); #133834=CARTESIAN_POINT('Ctrl Pts',(0.674928912778916,-0.167915589018201, 0.)); #133835=CARTESIAN_POINT('Ctrl Pts',(0.679730742200456,-0.167915589018201, 0.)); #133836=CARTESIAN_POINT('',(0.66906001015259,-0.179272296697716,0.01)); #133837=CARTESIAN_POINT('',(0.66906001015259,-0.179272296697716,0.)); #133838=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.179272296697716, 0.01)); #133839=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.17401315018841, 0.01)); #133840=CARTESIAN_POINT('Ctrl Pts',(0.674928912778916,-0.167915589018201, 0.01)); #133841=CARTESIAN_POINT('Ctrl Pts',(0.679730742200456,-0.167915589018201, 0.01)); #133842=CARTESIAN_POINT('Ctrl Pts',(0.679654522685828,-0.191505528795448, 0.)); #133843=CARTESIAN_POINT('Ctrl Pts',(0.679654522685828,-0.191505528795448, 0.01)); #133844=CARTESIAN_POINT('Ctrl Pts',(0.675157571322799,-0.191505528795448, 0.)); #133845=CARTESIAN_POINT('Ctrl Pts',(0.675157571322799,-0.191505528795448, 0.01)); #133846=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.184798211508218, 0.)); #133847=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.184798211508218, 0.01)); #133848=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.179272296697716, 0.)); #133849=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.179272296697716, 0.01)); #133850=CARTESIAN_POINT('',(0.679654522685828,-0.191505528795448,0.)); #133851=CARTESIAN_POINT('Ctrl Pts',(0.679654522685828,-0.191505528795448, 0.)); #133852=CARTESIAN_POINT('Ctrl Pts',(0.675157571322799,-0.191505528795448, 0.)); #133853=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.184798211508218, 0.)); #133854=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.179272296697716, 0.)); #133855=CARTESIAN_POINT('',(0.679654522685828,-0.191505528795448,0.01)); #133856=CARTESIAN_POINT('',(0.679654522685828,-0.191505528795448,0.)); #133857=CARTESIAN_POINT('Ctrl Pts',(0.679654522685828,-0.191505528795448, 0.01)); #133858=CARTESIAN_POINT('Ctrl Pts',(0.675157571322799,-0.191505528795448, 0.01)); #133859=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.184798211508218, 0.01)); #133860=CARTESIAN_POINT('Ctrl Pts',(0.66906001015259,-0.179272296697716, 0.01)); #133861=CARTESIAN_POINT('Ctrl Pts',(0.689258181528908,-0.182054308981624, 0.)); #133862=CARTESIAN_POINT('Ctrl Pts',(0.689258181528908,-0.182054308981624, 0.01)); #133863=CARTESIAN_POINT('Ctrl Pts',(0.688534096139945,-0.186779918888536, 0.)); #133864=CARTESIAN_POINT('Ctrl Pts',(0.688534096139945,-0.186779918888536, 0.01)); #133865=CARTESIAN_POINT('Ctrl Pts',(0.683351169145268,-0.191505528795448, 0.)); #133866=CARTESIAN_POINT('Ctrl Pts',(0.683351169145268,-0.191505528795448, 0.01)); #133867=CARTESIAN_POINT('Ctrl Pts',(0.679654522685828,-0.191505528795448, 0.)); #133868=CARTESIAN_POINT('Ctrl Pts',(0.679654522685828,-0.191505528795448, 0.01)); #133869=CARTESIAN_POINT('',(0.689258181528908,-0.182054308981624,0.)); #133870=CARTESIAN_POINT('Ctrl Pts',(0.689258181528908,-0.182054308981624, 0.)); #133871=CARTESIAN_POINT('Ctrl Pts',(0.688534096139945,-0.186779918888536, 0.)); #133872=CARTESIAN_POINT('Ctrl Pts',(0.683351169145268,-0.191505528795448, 0.)); #133873=CARTESIAN_POINT('Ctrl Pts',(0.679654522685828,-0.191505528795448, 0.)); #133874=CARTESIAN_POINT('',(0.689258181528908,-0.182054308981624,0.01)); #133875=CARTESIAN_POINT('',(0.689258181528908,-0.182054308981624,0.)); #133876=CARTESIAN_POINT('Ctrl Pts',(0.689258181528908,-0.182054308981624, 0.01)); #133877=CARTESIAN_POINT('Ctrl Pts',(0.688534096139945,-0.186779918888536, 0.01)); #133878=CARTESIAN_POINT('Ctrl Pts',(0.683351169145268,-0.191505528795448, 0.01)); #133879=CARTESIAN_POINT('Ctrl Pts',(0.679654522685828,-0.191505528795448, 0.01)); #133880=CARTESIAN_POINT('Origin',(0.695698730514941,-0.182587845584017, 0.)); #133881=CARTESIAN_POINT('',(0.321776438336934,-0.151612034397673,0.)); #133882=CARTESIAN_POINT('',(0.695698730514941,-0.182587845584017,0.01)); #133883=CARTESIAN_POINT('Origin',(0.679670561201892,-0.168936071989066, 0.01)); #133884=CARTESIAN_POINT('Origin',(0.599624032326833,-0.187961321365264, 0.)); #133885=CARTESIAN_POINT('',(0.599624032326833,-0.187961321365264,0.)); #133886=CARTESIAN_POINT('',(0.632703301675218,-0.173822601401841,0.)); #133887=CARTESIAN_POINT('',(0.472034007443888,-0.242495767484587,0.)); #133888=CARTESIAN_POINT('',(0.599624032326833,-0.187961321365264,0.01)); #133889=CARTESIAN_POINT('',(0.599624032326833,-0.187961321365264,0.)); #133890=CARTESIAN_POINT('',(0.632703301675218,-0.173822601401841,0.01)); #133891=CARTESIAN_POINT('',(0.599624032326833,-0.187961321365264,0.01)); #133892=CARTESIAN_POINT('',(0.632703301675218,-0.173822601401841,0.)); #133893=CARTESIAN_POINT('Origin',(0.599624032326833,-0.181825650437741, 0.)); #133894=CARTESIAN_POINT('',(0.599624032326833,-0.181825650437741,0.)); #133895=CARTESIAN_POINT('',(0.599624032326833,0.163506538144806,0.)); #133896=CARTESIAN_POINT('',(0.599624032326833,-0.181825650437741,0.01)); #133897=CARTESIAN_POINT('',(0.599624032326833,-0.181825650437741,0.)); #133898=CARTESIAN_POINT('',(0.599624032326833,-0.181825650437741,0.01)); #133899=CARTESIAN_POINT('Origin',(0.625843545358733,-0.170964369603306, 0.)); #133900=CARTESIAN_POINT('',(0.625843545358733,-0.170964369603306,0.)); #133901=CARTESIAN_POINT('',(0.478933516123626,-0.231820995085872,0.)); #133902=CARTESIAN_POINT('',(0.625843545358733,-0.170964369603306,0.01)); #133903=CARTESIAN_POINT('',(0.625843545358733,-0.170964369603306,0.)); #133904=CARTESIAN_POINT('',(0.625843545358733,-0.170964369603306,0.01)); #133905=CARTESIAN_POINT('Origin',(0.599624032326833,-0.160217418040812, 0.)); #133906=CARTESIAN_POINT('',(0.599624032326833,-0.160217418040812,0.)); #133907=CARTESIAN_POINT('',(0.225541941356608,-0.00688725866057421,0.)); #133908=CARTESIAN_POINT('',(0.599624032326833,-0.160217418040812,0.01)); #133909=CARTESIAN_POINT('',(0.599624032326833,-0.160217418040812,0.)); #133910=CARTESIAN_POINT('',(0.599624032326833,-0.160217418040812,0.01)); #133911=CARTESIAN_POINT('Origin',(0.599624032326833,-0.154081747113289, 0.)); #133912=CARTESIAN_POINT('',(0.599624032326833,-0.154081747113289,0.)); #133913=CARTESIAN_POINT('',(0.599624032326833,0.177378489807032,0.)); #133914=CARTESIAN_POINT('',(0.599624032326833,-0.154081747113289,0.01)); #133915=CARTESIAN_POINT('',(0.599624032326833,-0.154081747113289,0.)); #133916=CARTESIAN_POINT('',(0.599624032326833,-0.154081747113289,0.01)); #133917=CARTESIAN_POINT('Origin',(0.632703301675218,-0.168068028047456, 0.)); #133918=CARTESIAN_POINT('',(0.632703301675218,-0.168068028047456,0.)); #133919=CARTESIAN_POINT('',(0.242929387468696,-0.00326730625737171,0.)); #133920=CARTESIAN_POINT('',(0.632703301675218,-0.168068028047456,0.01)); #133921=CARTESIAN_POINT('',(0.632703301675218,-0.168068028047456,0.)); #133922=CARTESIAN_POINT('',(0.632703301675218,-0.168068028047456,0.01)); #133923=CARTESIAN_POINT('Origin',(0.632703301675218,-0.173822601401841, 0.)); #133924=CARTESIAN_POINT('',(0.632703301675218,0.167508062662755,0.)); #133925=CARTESIAN_POINT('',(0.632703301675218,-0.173822601401841,0.01)); #133926=CARTESIAN_POINT('Origin',(0.614101922981616,-0.170994438167193, 0.01)); #133927=CARTESIAN_POINT('Origin',(0.516551835411463,-0.556586869272835, 0.)); #133928=CARTESIAN_POINT('',(0.516551835411463,-0.556586869272835,0.)); #133929=CARTESIAN_POINT('',(0.572856534434311,-0.556586869272835,0.)); #133930=CARTESIAN_POINT('',(0.258275917705731,-0.556586869272835,0.)); #133931=CARTESIAN_POINT('',(0.516551835411463,-0.556586869272835,0.01)); #133932=CARTESIAN_POINT('',(0.516551835411463,-0.556586869272835,0.)); #133933=CARTESIAN_POINT('',(0.572856534434311,-0.556586869272835,0.01)); #133934=CARTESIAN_POINT('',(0.516551835411463,-0.556586869272835,0.01)); #133935=CARTESIAN_POINT('',(0.572856534434311,-0.556586869272835,0.)); #133936=CARTESIAN_POINT('Origin',(0.516551835411463,-0.545938571271105, 0.)); #133937=CARTESIAN_POINT('',(0.516551835411463,-0.545938571271105,0.)); #133938=CARTESIAN_POINT('',(0.516551835411463,-0.0185499222718763,0.)); #133939=CARTESIAN_POINT('',(0.516551835411463,-0.545938571271105,0.01)); #133940=CARTESIAN_POINT('',(0.516551835411463,-0.545938571271105,0.)); #133941=CARTESIAN_POINT('',(0.516551835411463,-0.545938571271105,0.01)); #133942=CARTESIAN_POINT('Origin',(0.560895432569353,-0.545938571271105, 0.)); #133943=CARTESIAN_POINT('',(0.560895432569353,-0.545938571271105,0.)); #133944=CARTESIAN_POINT('',(0.280447716284677,-0.545938571271105,0.)); #133945=CARTESIAN_POINT('',(0.560895432569353,-0.545938571271105,0.01)); #133946=CARTESIAN_POINT('',(0.560895432569353,-0.545938571271105,0.)); #133947=CARTESIAN_POINT('',(0.560895432569353,-0.545938571271105,0.01)); #133948=CARTESIAN_POINT('Origin',(0.560895432569353,-0.512535006306773, 0.)); #133949=CARTESIAN_POINT('',(0.560895432569353,-0.512535006306773,0.)); #133950=CARTESIAN_POINT('',(0.560895432569353,-0.00184813978971035,0.)); #133951=CARTESIAN_POINT('',(0.560895432569353,-0.512535006306773,0.01)); #133952=CARTESIAN_POINT('',(0.560895432569353,-0.512535006306773,0.)); #133953=CARTESIAN_POINT('',(0.560895432569353,-0.512535006306773,0.01)); #133954=CARTESIAN_POINT('Origin',(0.519542110877702,-0.512535006306773, 0.)); #133955=CARTESIAN_POINT('',(0.519542110877702,-0.512535006306773,0.)); #133956=CARTESIAN_POINT('',(0.259771055438851,-0.512535006306773,0.)); #133957=CARTESIAN_POINT('',(0.519542110877702,-0.512535006306773,0.01)); #133958=CARTESIAN_POINT('',(0.519542110877702,-0.512535006306773,0.)); #133959=CARTESIAN_POINT('',(0.519542110877702,-0.512535006306773,0.01)); #133960=CARTESIAN_POINT('Origin',(0.519542110877702,-0.501886708305043, 0.)); #133961=CARTESIAN_POINT('',(0.519542110877702,-0.501886708305043,0.)); #133962=CARTESIAN_POINT('',(0.519542110877702,0.00347600921115479,0.)); #133963=CARTESIAN_POINT('',(0.519542110877702,-0.501886708305043,0.01)); #133964=CARTESIAN_POINT('',(0.519542110877702,-0.501886708305043,0.)); #133965=CARTESIAN_POINT('',(0.519542110877702,-0.501886708305043,0.01)); #133966=CARTESIAN_POINT('Origin',(0.560895432569353,-0.501886708305043, 0.)); #133967=CARTESIAN_POINT('',(0.560895432569353,-0.501886708305043,0.)); #133968=CARTESIAN_POINT('',(0.280447716284677,-0.501886708305043,0.)); #133969=CARTESIAN_POINT('',(0.560895432569353,-0.501886708305043,0.01)); #133970=CARTESIAN_POINT('',(0.560895432569353,-0.501886708305043,0.)); #133971=CARTESIAN_POINT('',(0.560895432569353,-0.501886708305043,0.01)); #133972=CARTESIAN_POINT('Origin',(0.560895432569353,-0.477235169095558, 0.)); #133973=CARTESIAN_POINT('',(0.560895432569353,-0.477235169095558,0.)); #133974=CARTESIAN_POINT('',(0.560895432569353,0.0158017788158973,0.)); #133975=CARTESIAN_POINT('',(0.560895432569353,-0.477235169095558,0.01)); #133976=CARTESIAN_POINT('',(0.560895432569353,-0.477235169095558,0.)); #133977=CARTESIAN_POINT('',(0.560895432569353,-0.477235169095558,0.01)); #133978=CARTESIAN_POINT('Origin',(0.516551835411463,-0.477235169095558, 0.)); #133979=CARTESIAN_POINT('',(0.516551835411463,-0.477235169095558,0.)); #133980=CARTESIAN_POINT('',(0.258275917705731,-0.477235169095558,0.)); #133981=CARTESIAN_POINT('',(0.516551835411463,-0.477235169095558,0.01)); #133982=CARTESIAN_POINT('',(0.516551835411463,-0.477235169095558,0.)); #133983=CARTESIAN_POINT('',(0.516551835411463,-0.477235169095558,0.01)); #133984=CARTESIAN_POINT('Origin',(0.516551835411463,-0.466586871093827, 0.)); #133985=CARTESIAN_POINT('',(0.516551835411463,-0.466586871093827,0.)); #133986=CARTESIAN_POINT('',(0.516551835411463,0.0211259278167624,0.)); #133987=CARTESIAN_POINT('',(0.516551835411463,-0.466586871093827,0.01)); #133988=CARTESIAN_POINT('',(0.516551835411463,-0.466586871093827,0.)); #133989=CARTESIAN_POINT('',(0.516551835411463,-0.466586871093827,0.01)); #133990=CARTESIAN_POINT('Origin',(0.572856534434311,-0.466586871093827, 0.)); #133991=CARTESIAN_POINT('',(0.572856534434311,-0.466586871093827,0.)); #133992=CARTESIAN_POINT('',(0.286428267217155,-0.466586871093827,0.)); #133993=CARTESIAN_POINT('',(0.572856534434311,-0.466586871093827,0.01)); #133994=CARTESIAN_POINT('',(0.572856534434311,-0.466586871093827,0.)); #133995=CARTESIAN_POINT('',(0.572856534434311,-0.466586871093827,0.01)); #133996=CARTESIAN_POINT('Origin',(0.572856534434311,-0.556586869272835, 0.)); #133997=CARTESIAN_POINT('',(0.572856534434311,-0.0238740712727414,0.)); #133998=CARTESIAN_POINT('',(0.572856534434311,-0.556586869272835,0.01)); #133999=CARTESIAN_POINT('Origin',(0.548378387226216,-0.510806864115586, 0.01)); #134000=CARTESIAN_POINT('Origin',(0.374185549799289,-0.556586869272835, 0.)); #134001=CARTESIAN_POINT('',(0.374185549799289,-0.556586869272835,0.)); #134002=CARTESIAN_POINT('',(0.430490248822136,-0.556586869272835,0.)); #134003=CARTESIAN_POINT('',(0.187092774899644,-0.556586869272835,0.)); #134004=CARTESIAN_POINT('',(0.374185549799289,-0.556586869272835,0.01)); #134005=CARTESIAN_POINT('',(0.374185549799289,-0.556586869272835,0.)); #134006=CARTESIAN_POINT('',(0.430490248822136,-0.556586869272835,0.01)); #134007=CARTESIAN_POINT('',(0.374185549799289,-0.556586869272835,0.01)); #134008=CARTESIAN_POINT('',(0.430490248822136,-0.556586869272835,0.)); #134009=CARTESIAN_POINT('Origin',(0.374185549799289,-0.545938571271105, 0.)); #134010=CARTESIAN_POINT('',(0.374185549799289,-0.545938571271105,0.)); #134011=CARTESIAN_POINT('',(0.374185549799289,-0.0185499222718763,0.)); #134012=CARTESIAN_POINT('',(0.374185549799289,-0.545938571271105,0.01)); #134013=CARTESIAN_POINT('',(0.374185549799289,-0.545938571271105,0.)); #134014=CARTESIAN_POINT('',(0.374185549799289,-0.545938571271105,0.01)); #134015=CARTESIAN_POINT('Origin',(0.418529146957179,-0.545938571271105, 0.)); #134016=CARTESIAN_POINT('',(0.418529146957179,-0.545938571271105,0.)); #134017=CARTESIAN_POINT('',(0.209264573478589,-0.545938571271105,0.)); #134018=CARTESIAN_POINT('',(0.418529146957179,-0.545938571271105,0.01)); #134019=CARTESIAN_POINT('',(0.418529146957179,-0.545938571271105,0.)); #134020=CARTESIAN_POINT('',(0.418529146957179,-0.545938571271105,0.01)); #134021=CARTESIAN_POINT('Origin',(0.418529146957179,-0.512535006306773, 0.)); #134022=CARTESIAN_POINT('',(0.418529146957179,-0.512535006306773,0.)); #134023=CARTESIAN_POINT('',(0.418529146957179,-0.00184813978971035,0.)); #134024=CARTESIAN_POINT('',(0.418529146957179,-0.512535006306773,0.01)); #134025=CARTESIAN_POINT('',(0.418529146957179,-0.512535006306773,0.)); #134026=CARTESIAN_POINT('',(0.418529146957179,-0.512535006306773,0.01)); #134027=CARTESIAN_POINT('Origin',(0.377175825265528,-0.512535006306773, 0.)); #134028=CARTESIAN_POINT('',(0.377175825265528,-0.512535006306773,0.)); #134029=CARTESIAN_POINT('',(0.188587912632764,-0.512535006306773,0.)); #134030=CARTESIAN_POINT('',(0.377175825265528,-0.512535006306773,0.01)); #134031=CARTESIAN_POINT('',(0.377175825265528,-0.512535006306773,0.)); #134032=CARTESIAN_POINT('',(0.377175825265528,-0.512535006306773,0.01)); #134033=CARTESIAN_POINT('Origin',(0.377175825265528,-0.501886708305043, 0.)); #134034=CARTESIAN_POINT('',(0.377175825265528,-0.501886708305043,0.)); #134035=CARTESIAN_POINT('',(0.377175825265528,0.00347600921115479,0.)); #134036=CARTESIAN_POINT('',(0.377175825265528,-0.501886708305043,0.01)); #134037=CARTESIAN_POINT('',(0.377175825265528,-0.501886708305043,0.)); #134038=CARTESIAN_POINT('',(0.377175825265528,-0.501886708305043,0.01)); #134039=CARTESIAN_POINT('Origin',(0.418529146957179,-0.501886708305043, 0.)); #134040=CARTESIAN_POINT('',(0.418529146957179,-0.501886708305043,0.)); #134041=CARTESIAN_POINT('',(0.209264573478589,-0.501886708305043,0.)); #134042=CARTESIAN_POINT('',(0.418529146957179,-0.501886708305043,0.01)); #134043=CARTESIAN_POINT('',(0.418529146957179,-0.501886708305043,0.)); #134044=CARTESIAN_POINT('',(0.418529146957179,-0.501886708305043,0.01)); #134045=CARTESIAN_POINT('Origin',(0.418529146957179,-0.477235169095558, 0.)); #134046=CARTESIAN_POINT('',(0.418529146957179,-0.477235169095558,0.)); #134047=CARTESIAN_POINT('',(0.418529146957179,0.0158017788158973,0.)); #134048=CARTESIAN_POINT('',(0.418529146957179,-0.477235169095558,0.01)); #134049=CARTESIAN_POINT('',(0.418529146957179,-0.477235169095558,0.)); #134050=CARTESIAN_POINT('',(0.418529146957179,-0.477235169095558,0.01)); #134051=CARTESIAN_POINT('Origin',(0.374185549799289,-0.477235169095558, 0.)); #134052=CARTESIAN_POINT('',(0.374185549799289,-0.477235169095558,0.)); #134053=CARTESIAN_POINT('',(0.187092774899644,-0.477235169095558,0.)); #134054=CARTESIAN_POINT('',(0.374185549799289,-0.477235169095558,0.01)); #134055=CARTESIAN_POINT('',(0.374185549799289,-0.477235169095558,0.)); #134056=CARTESIAN_POINT('',(0.374185549799289,-0.477235169095558,0.01)); #134057=CARTESIAN_POINT('Origin',(0.374185549799289,-0.466586871093827, 0.)); #134058=CARTESIAN_POINT('',(0.374185549799289,-0.466586871093827,0.)); #134059=CARTESIAN_POINT('',(0.374185549799289,0.0211259278167624,0.)); #134060=CARTESIAN_POINT('',(0.374185549799289,-0.466586871093827,0.01)); #134061=CARTESIAN_POINT('',(0.374185549799289,-0.466586871093827,0.)); #134062=CARTESIAN_POINT('',(0.374185549799289,-0.466586871093827,0.01)); #134063=CARTESIAN_POINT('Origin',(0.430490248822136,-0.466586871093827, 0.)); #134064=CARTESIAN_POINT('',(0.430490248822136,-0.466586871093827,0.)); #134065=CARTESIAN_POINT('',(0.215245124411068,-0.466586871093827,0.)); #134066=CARTESIAN_POINT('',(0.430490248822136,-0.466586871093827,0.01)); #134067=CARTESIAN_POINT('',(0.430490248822136,-0.466586871093827,0.)); #134068=CARTESIAN_POINT('',(0.430490248822136,-0.466586871093827,0.01)); #134069=CARTESIAN_POINT('Origin',(0.430490248822136,-0.556586869272835, 0.)); #134070=CARTESIAN_POINT('',(0.430490248822136,-0.0238740712727414,0.)); #134071=CARTESIAN_POINT('',(0.430490248822136,-0.556586869272835,0.01)); #134072=CARTESIAN_POINT('Origin',(0.406012101614042,-0.510806864115586, 0.01)); #134073=CARTESIAN_POINT('Origin',(0.18681926509761,-0.521214098513663,0.)); #134074=CARTESIAN_POINT('',(0.17296189098577,-0.478839707150613,0.)); #134075=CARTESIAN_POINT('',(0.18681926509761,-0.521214098513663,0.)); #134076=CARTESIAN_POINT('',(0.0256414771449215,-0.0283493890372824,0.)); #134077=CARTESIAN_POINT('',(0.17296189098577,-0.478839707150613,0.01)); #134078=CARTESIAN_POINT('',(0.17296189098577,-0.478839707150613,0.)); #134079=CARTESIAN_POINT('',(0.18681926509761,-0.521214098513663,0.01)); #134080=CARTESIAN_POINT('',(0.186042843890962,-0.518839884192281,0.01)); #134081=CARTESIAN_POINT('',(0.18681926509761,-0.521214098513663,0.)); #134082=CARTESIAN_POINT('Origin',(0.159031583325972,-0.521214098513663, 0.)); #134083=CARTESIAN_POINT('',(0.159031583325972,-0.521214098513663,0.)); #134084=CARTESIAN_POINT('',(0.079515791662986,-0.521214098513663,0.)); #134085=CARTESIAN_POINT('',(0.159031583325972,-0.521214098513663,0.01)); #134086=CARTESIAN_POINT('',(0.16586561050568,-0.521214098513663,0.01)); #134087=CARTESIAN_POINT('',(0.159031583325972,-0.521214098513663,0.)); #134088=CARTESIAN_POINT('Origin',(0.17296189098577,-0.478839707150613,0.)); #134089=CARTESIAN_POINT('',(0.31103975488985,-0.0588227494109767,0.)); #134090=CARTESIAN_POINT('',(0.166758033398527,-0.497711127350551,0.01)); #134091=CARTESIAN_POINT('Origin',(0.198269832126868,-0.556586869272835, 0.)); #134092=CARTESIAN_POINT('',(0.198269832126868,-0.556586869272835,0.)); #134093=CARTESIAN_POINT('',(0.21037680108774,-0.556586869272835,0.)); #134094=CARTESIAN_POINT('',(0.0991349160634342,-0.556586869272835,0.)); #134095=CARTESIAN_POINT('',(0.198269832126868,-0.556586869272835,0.01)); #134096=CARTESIAN_POINT('',(0.198269832126868,-0.556586869272835,0.)); #134097=CARTESIAN_POINT('',(0.21037680108774,-0.556586869272835,0.01)); #134098=CARTESIAN_POINT('',(0.198269832126868,-0.556586869272835,0.01)); #134099=CARTESIAN_POINT('',(0.21037680108774,-0.556586869272835,0.)); #134100=CARTESIAN_POINT('Origin',(0.190101274755678,-0.531424795227651, 0.)); #134101=CARTESIAN_POINT('',(0.190101274755678,-0.531424795227651,0.)); #134102=CARTESIAN_POINT('',(0.0282834723900886,-0.0329681718693608,0.)); #134103=CARTESIAN_POINT('',(0.190101274755678,-0.531424795227651,0.01)); #134104=CARTESIAN_POINT('',(0.190101274755678,-0.531424795227651,0.)); #134105=CARTESIAN_POINT('',(0.190101274755678,-0.531424795227651,0.01)); #134106=CARTESIAN_POINT('Origin',(0.155749573667904,-0.531424795227651, 0.)); #134107=CARTESIAN_POINT('',(0.155749573667904,-0.531424795227651,0.)); #134108=CARTESIAN_POINT('',(0.0778747868339523,-0.531424795227651,0.)); #134109=CARTESIAN_POINT('',(0.155749573667904,-0.531424795227651,0.01)); #134110=CARTESIAN_POINT('',(0.155749573667904,-0.531424795227651,0.)); #134111=CARTESIAN_POINT('',(0.155749573667904,-0.531424795227651,0.01)); #134112=CARTESIAN_POINT('Origin',(0.147581016296714,-0.556586869272835, 0.)); #134113=CARTESIAN_POINT('',(0.147581016296714,-0.556586869272835,0.)); #134114=CARTESIAN_POINT('',(0.296996109369631,-0.0963350200750099,0.)); #134115=CARTESIAN_POINT('',(0.147581016296714,-0.556586869272835,0.01)); #134116=CARTESIAN_POINT('',(0.147581016296714,-0.556586869272835,0.)); #134117=CARTESIAN_POINT('',(0.147581016296714,-0.556586869272835,0.01)); #134118=CARTESIAN_POINT('Origin',(0.134963512500143,-0.556586869272835, 0.)); #134119=CARTESIAN_POINT('',(0.134963512500143,-0.556586869272835,0.)); #134120=CARTESIAN_POINT('',(0.0674817562500717,-0.556586869272835,0.)); #134121=CARTESIAN_POINT('',(0.134963512500143,-0.556586869272835,0.01)); #134122=CARTESIAN_POINT('',(0.134963512500143,-0.556586869272835,0.)); #134123=CARTESIAN_POINT('',(0.134963512500143,-0.556586869272835,0.01)); #134124=CARTESIAN_POINT('Origin',(0.165303868450279,-0.466586871093827, 0.)); #134125=CARTESIAN_POINT('',(0.165303868450279,-0.466586871093827,0.)); #134126=CARTESIAN_POINT('',(0.304506224557378,-0.053664497449211,0.)); #134127=CARTESIAN_POINT('',(0.165303868450279,-0.466586871093827,0.01)); #134128=CARTESIAN_POINT('',(0.165303868450279,-0.466586871093827,0.)); #134129=CARTESIAN_POINT('',(0.165303868450279,-0.466586871093827,0.01)); #134130=CARTESIAN_POINT('Origin',(0.180036445137604,-0.466586871093827, 0.)); #134131=CARTESIAN_POINT('',(0.180036445137604,-0.466586871093827,0.)); #134132=CARTESIAN_POINT('',(0.0900182225688022,-0.466586871093827,0.)); #134133=CARTESIAN_POINT('',(0.180036445137604,-0.466586871093827,0.01)); #134134=CARTESIAN_POINT('',(0.180036445137604,-0.466586871093827,0.)); #134135=CARTESIAN_POINT('',(0.180036445137604,-0.466586871093827,0.01)); #134136=CARTESIAN_POINT('Origin',(0.21037680108774,-0.556586869272835,0.)); #134137=CARTESIAN_POINT('',(0.0383834419057784,-0.0463950297763444,0.)); #134138=CARTESIAN_POINT('',(0.21037680108774,-0.556586869272835,0.01)); #134139=CARTESIAN_POINT('Origin',(0.172699637685388,-0.520575289750066, 0.01)); #134140=CARTESIAN_POINT('Ctrl Pts',(0.10258101720721,-0.476943434903729, 0.)); #134141=CARTESIAN_POINT('Ctrl Pts',(0.10258101720721,-0.476943434903729, 0.01)); #134142=CARTESIAN_POINT('Ctrl Pts',(0.0976215359461304,-0.476943434903729, 0.)); #134143=CARTESIAN_POINT('Ctrl Pts',(0.0976215359461304,-0.476943434903729, 0.01)); #134144=CARTESIAN_POINT('Ctrl Pts',(0.0908387159861242,-0.478329172314913, 0.)); #134145=CARTESIAN_POINT('Ctrl Pts',(0.0908387159861242,-0.478329172314913, 0.01)); #134146=CARTESIAN_POINT('Ctrl Pts',(0.0882860418076272,-0.480006643917926, 0.)); #134147=CARTESIAN_POINT('Ctrl Pts',(0.0882860418076272,-0.480006643917926, 0.01)); #134148=CARTESIAN_POINT('',(0.0882860418076272,-0.480006643917926,0.)); #134149=CARTESIAN_POINT('',(0.10258101720721,-0.476943434903729,0.)); #134150=CARTESIAN_POINT('Ctrl Pts',(0.0882860418076272,-0.480006643917926, 0.)); #134151=CARTESIAN_POINT('Ctrl Pts',(0.0908387159861242,-0.478329172314913, 0.)); #134152=CARTESIAN_POINT('Ctrl Pts',(0.0976215359461304,-0.476943434903729, 0.)); #134153=CARTESIAN_POINT('Ctrl Pts',(0.10258101720721,-0.476943434903729, 0.)); #134154=CARTESIAN_POINT('',(0.0882860418076272,-0.480006643917926,0.01)); #134155=CARTESIAN_POINT('',(0.0882860418076272,-0.480006643917926,0.)); #134156=CARTESIAN_POINT('',(0.10258101720721,-0.476943434903729,0.01)); #134157=CARTESIAN_POINT('Ctrl Pts',(0.0882860418076272,-0.480006643917926, 0.01)); #134158=CARTESIAN_POINT('Ctrl Pts',(0.0908387159861242,-0.478329172314913, 0.01)); #134159=CARTESIAN_POINT('Ctrl Pts',(0.0976215359461304,-0.476943434903729, 0.01)); #134160=CARTESIAN_POINT('Ctrl Pts',(0.10258101720721,-0.476943434903729, 0.01)); #134161=CARTESIAN_POINT('',(0.10258101720721,-0.476943434903729,0.)); #134162=CARTESIAN_POINT('Origin',(0.11446918552421,-0.476943434903729,0.)); #134163=CARTESIAN_POINT('',(0.11446918552421,-0.476943434903729,0.)); #134164=CARTESIAN_POINT('',(0.0572345927621053,-0.476943434903729,0.)); #134165=CARTESIAN_POINT('',(0.11446918552421,-0.476943434903729,0.01)); #134166=CARTESIAN_POINT('',(0.10698065596762,-0.476943434903729,0.01)); #134167=CARTESIAN_POINT('',(0.11446918552421,-0.476943434903729,0.)); #134168=CARTESIAN_POINT('Origin',(0.11446918552421,-0.510784601155804,0.)); #134169=CARTESIAN_POINT('',(0.11446918552421,-0.510784601155804,0.)); #134170=CARTESIAN_POINT('',(0.11446918552421,-0.000972937214225652,0.)); #134171=CARTESIAN_POINT('',(0.11446918552421,-0.510784601155804,0.01)); #134172=CARTESIAN_POINT('',(0.11446918552421,-0.514564847640056,0.01)); #134173=CARTESIAN_POINT('',(0.11446918552421,-0.510784601155804,0.)); #134174=CARTESIAN_POINT('Origin',(0.103966754618394,-0.510784601155804, 0.)); #134175=CARTESIAN_POINT('',(0.103966754618394,-0.510784601155804,0.)); #134176=CARTESIAN_POINT('',(0.0519833773091972,-0.510784601155804,0.)); #134177=CARTESIAN_POINT('',(0.103966754618394,-0.510784601155804,0.01)); #134178=CARTESIAN_POINT('',(0.101729440514712,-0.510784601155804,0.01)); #134179=CARTESIAN_POINT('',(0.103966754618394,-0.510784601155804,0.)); #134180=CARTESIAN_POINT('Ctrl Pts',(0.0877025734239707,-0.506116854086552, 0.)); #134181=CARTESIAN_POINT('Ctrl Pts',(0.0877025734239707,-0.506116854086552, 0.01)); #134182=CARTESIAN_POINT('Ctrl Pts',(0.0905469817942959,-0.508961262456877, 0.)); #134183=CARTESIAN_POINT('Ctrl Pts',(0.0905469817942959,-0.508961262456877, 0.01)); #134184=CARTESIAN_POINT('Ctrl Pts',(0.0987884727134433,-0.510784601155804, 0.)); #134185=CARTESIAN_POINT('Ctrl Pts',(0.0987884727134433,-0.510784601155804, 0.01)); #134186=CARTESIAN_POINT('Ctrl Pts',(0.103966754618394,-0.510784601155804, 0.)); #134187=CARTESIAN_POINT('Ctrl Pts',(0.103966754618394,-0.510784601155804, 0.01)); #134188=CARTESIAN_POINT('',(0.0877025734239707,-0.506116854086552,0.)); #134189=CARTESIAN_POINT('Ctrl Pts',(0.103966754618394,-0.510784601155804, 0.)); #134190=CARTESIAN_POINT('Ctrl Pts',(0.0987884727134433,-0.510784601155804, 0.)); #134191=CARTESIAN_POINT('Ctrl Pts',(0.0905469817942959,-0.508961262456877, 0.)); #134192=CARTESIAN_POINT('Ctrl Pts',(0.0877025734239707,-0.506116854086552, 0.)); #134193=CARTESIAN_POINT('',(0.0877025734239707,-0.506116854086552,0.01)); #134194=CARTESIAN_POINT('Ctrl Pts',(0.103966754618394,-0.510784601155804, 0.01)); #134195=CARTESIAN_POINT('Ctrl Pts',(0.0987884727134433,-0.510784601155804, 0.01)); #134196=CARTESIAN_POINT('Ctrl Pts',(0.0905469817942959,-0.508961262456877, 0.01)); #134197=CARTESIAN_POINT('Ctrl Pts',(0.0877025734239707,-0.506116854086552, 0.01)); #134198=CARTESIAN_POINT('',(0.0877025734239707,-0.506116854086552,0.)); #134199=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.491967745782883, 0.)); #134200=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.491967745782883, 0.01)); #134201=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.496635492852135, 0.)); #134202=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.496635492852135, 0.01)); #134203=CARTESIAN_POINT('Ctrl Pts',(0.0850769656975167,-0.503637113456012, 0.)); #134204=CARTESIAN_POINT('Ctrl Pts',(0.0850769656975167,-0.503637113456012, 0.01)); #134205=CARTESIAN_POINT('Ctrl Pts',(0.0877025734239707,-0.506116854086552, 0.)); #134206=CARTESIAN_POINT('Ctrl Pts',(0.0877025734239707,-0.506116854086552, 0.01)); #134207=CARTESIAN_POINT('',(0.0825972250669768,-0.491967745782883,0.)); #134208=CARTESIAN_POINT('Ctrl Pts',(0.0877025734239707,-0.506116854086552, 0.)); #134209=CARTESIAN_POINT('Ctrl Pts',(0.0850769656975167,-0.503637113456012, 0.)); #134210=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.496635492852135, 0.)); #134211=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.491967745782883, 0.)); #134212=CARTESIAN_POINT('',(0.0825972250669768,-0.491967745782883,0.01)); #134213=CARTESIAN_POINT('Ctrl Pts',(0.0877025734239707,-0.506116854086552, 0.01)); #134214=CARTESIAN_POINT('Ctrl Pts',(0.0850769656975167,-0.503637113456012, 0.01)); #134215=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.496635492852135, 0.01)); #134216=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.491967745782883, 0.01)); #134217=CARTESIAN_POINT('',(0.0825972250669768,-0.491967745782883,0.)); #134218=CARTESIAN_POINT('Ctrl Pts',(0.0882860418076272,-0.480006643917926, 0.)); #134219=CARTESIAN_POINT('Ctrl Pts',(0.0882860418076272,-0.480006643917926, 0.01)); #134220=CARTESIAN_POINT('Ctrl Pts',(0.0853686998893449,-0.481975849712766, 0.)); #134221=CARTESIAN_POINT('Ctrl Pts',(0.0853686998893449,-0.481975849712766, 0.01)); #134222=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.488029334193202, 0.)); #134223=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.488029334193202, 0.01)); #134224=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.491967745782883, 0.)); #134225=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.491967745782883, 0.01)); #134226=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.491967745782883, 0.)); #134227=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.488029334193202, 0.)); #134228=CARTESIAN_POINT('Ctrl Pts',(0.0853686998893449,-0.481975849712766, 0.)); #134229=CARTESIAN_POINT('Ctrl Pts',(0.0882860418076272,-0.480006643917926, 0.)); #134230=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.491967745782883, 0.01)); #134231=CARTESIAN_POINT('Ctrl Pts',(0.0825972250669768,-0.488029334193202, 0.01)); #134232=CARTESIAN_POINT('Ctrl Pts',(0.0853686998893449,-0.481975849712766, 0.01)); #134233=CARTESIAN_POINT('Ctrl Pts',(0.0882860418076272,-0.480006643917926, 0.01)); #134234=CARTESIAN_POINT('Origin',(0.0887965766433266,-0.517421554019896, 0.)); #134235=CARTESIAN_POINT('',(0.0887965766433266,-0.517421554019896,0.)); #134236=CARTESIAN_POINT('',(0.0567787490901788,-0.556586869272835,0.)); #134237=CARTESIAN_POINT('',(0.32245422107256,-0.231603433157713,0.)); #134238=CARTESIAN_POINT('',(0.0887965766433266,-0.517421554019896,0.01)); #134239=CARTESIAN_POINT('',(0.0887965766433266,-0.517421554019896,0.)); #134240=CARTESIAN_POINT('',(0.0567787490901788,-0.556586869272835,0.01)); #134241=CARTESIAN_POINT('',(0.0887965766433266,-0.517421554019896,0.01)); #134242=CARTESIAN_POINT('',(0.0567787490901788,-0.556586869272835,0.)); #134243=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.491019609659441, 0.)); #134244=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.491019609659441, 0.01)); #134245=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.500865638633644, 0.)); #134246=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.500865638633644, 0.01)); #134247=CARTESIAN_POINT('Ctrl Pts',(0.0801904179843939,-0.514212477909785, 0.)); #134248=CARTESIAN_POINT('Ctrl Pts',(0.0801904179843939,-0.514212477909785, 0.01)); #134249=CARTESIAN_POINT('Ctrl Pts',(0.0887965766433266,-0.517421554019896, 0.)); #134250=CARTESIAN_POINT('Ctrl Pts',(0.0887965766433266,-0.517421554019896, 0.01)); #134251=CARTESIAN_POINT('',(0.0701255883663201,-0.491019609659441,0.)); #134252=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.491019609659441, 0.)); #134253=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.500865638633644, 0.)); #134254=CARTESIAN_POINT('Ctrl Pts',(0.0801904179843939,-0.514212477909785, 0.)); #134255=CARTESIAN_POINT('Ctrl Pts',(0.0887965766433266,-0.517421554019896, 0.)); #134256=CARTESIAN_POINT('',(0.0701255883663201,-0.491019609659441,0.01)); #134257=CARTESIAN_POINT('',(0.0701255883663201,-0.491019609659441,0.)); #134258=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.491019609659441, 0.01)); #134259=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.500865638633644, 0.01)); #134260=CARTESIAN_POINT('Ctrl Pts',(0.0801904179843939,-0.514212477909785, 0.01)); #134261=CARTESIAN_POINT('Ctrl Pts',(0.0887965766433266,-0.517421554019896, 0.01)); #134262=CARTESIAN_POINT('Ctrl Pts',(0.080336285080308,-0.471327551711036, 0.)); #134263=CARTESIAN_POINT('Ctrl Pts',(0.080336285080308,-0.471327551711036, 0.01)); #134264=CARTESIAN_POINT('Ctrl Pts',(0.0755956044630993,-0.474390760725232, 0.)); #134265=CARTESIAN_POINT('Ctrl Pts',(0.0755956044630993,-0.474390760725232, 0.01)); #134266=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.483945055507607, 0.)); #134267=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.483945055507607, 0.01)); #134268=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.491019609659441, 0.)); #134269=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.491019609659441, 0.01)); #134270=CARTESIAN_POINT('',(0.080336285080308,-0.471327551711036,0.)); #134271=CARTESIAN_POINT('Ctrl Pts',(0.080336285080308,-0.471327551711036, 0.)); #134272=CARTESIAN_POINT('Ctrl Pts',(0.0755956044630993,-0.474390760725232, 0.)); #134273=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.483945055507607, 0.)); #134274=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.491019609659441, 0.)); #134275=CARTESIAN_POINT('',(0.080336285080308,-0.471327551711036,0.01)); #134276=CARTESIAN_POINT('',(0.080336285080308,-0.471327551711036,0.)); #134277=CARTESIAN_POINT('Ctrl Pts',(0.080336285080308,-0.471327551711036, 0.01)); #134278=CARTESIAN_POINT('Ctrl Pts',(0.0755956044630993,-0.474390760725232, 0.01)); #134279=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.483945055507607, 0.01)); #134280=CARTESIAN_POINT('Ctrl Pts',(0.0701255883663201,-0.491019609659441, 0.01)); #134281=CARTESIAN_POINT('Ctrl Pts',(0.103237419138824,-0.466586871093827, 0.)); #134282=CARTESIAN_POINT('Ctrl Pts',(0.103237419138824,-0.466586871093827, 0.01)); #134283=CARTESIAN_POINT('Ctrl Pts',(0.0955064630553758,-0.466586871093827, 0.)); #134284=CARTESIAN_POINT('Ctrl Pts',(0.0955064630553758,-0.466586871093827, 0.01)); #134285=CARTESIAN_POINT('Ctrl Pts',(0.0850769656975167,-0.46826434269684, 0.)); #134286=CARTESIAN_POINT('Ctrl Pts',(0.0850769656975167,-0.46826434269684, 0.01)); #134287=CARTESIAN_POINT('Ctrl Pts',(0.080336285080308,-0.471327551711036, 0.)); #134288=CARTESIAN_POINT('Ctrl Pts',(0.080336285080308,-0.471327551711036, 0.01)); #134289=CARTESIAN_POINT('',(0.103237419138824,-0.466586871093827,0.)); #134290=CARTESIAN_POINT('Ctrl Pts',(0.103237419138824,-0.466586871093827, 0.)); #134291=CARTESIAN_POINT('Ctrl Pts',(0.0955064630553758,-0.466586871093827, 0.)); #134292=CARTESIAN_POINT('Ctrl Pts',(0.0850769656975167,-0.46826434269684, 0.)); #134293=CARTESIAN_POINT('Ctrl Pts',(0.080336285080308,-0.471327551711036, 0.)); #134294=CARTESIAN_POINT('',(0.103237419138824,-0.466586871093827,0.01)); #134295=CARTESIAN_POINT('',(0.103237419138824,-0.466586871093827,0.)); #134296=CARTESIAN_POINT('Ctrl Pts',(0.103237419138824,-0.466586871093827, 0.01)); #134297=CARTESIAN_POINT('Ctrl Pts',(0.0955064630553758,-0.466586871093827, 0.01)); #134298=CARTESIAN_POINT('Ctrl Pts',(0.0850769656975167,-0.46826434269684, 0.01)); #134299=CARTESIAN_POINT('Ctrl Pts',(0.080336285080308,-0.471327551711036, 0.01)); #134300=CARTESIAN_POINT('Origin',(0.126430287389168,-0.466586871093827, 0.)); #134301=CARTESIAN_POINT('',(0.126430287389168,-0.466586871093827,0.)); #134302=CARTESIAN_POINT('',(0.0632151436945839,-0.466586871093827,0.)); #134303=CARTESIAN_POINT('',(0.126430287389168,-0.466586871093827,0.01)); #134304=CARTESIAN_POINT('',(0.126430287389168,-0.466586871093827,0.)); #134305=CARTESIAN_POINT('',(0.126430287389168,-0.466586871093827,0.01)); #134306=CARTESIAN_POINT('Origin',(0.126430287389168,-0.556586869272835, 0.)); #134307=CARTESIAN_POINT('',(0.126430287389168,-0.556586869272835,0.)); #134308=CARTESIAN_POINT('',(0.126430287389168,-0.0238740712727414,0.)); #134309=CARTESIAN_POINT('',(0.126430287389168,-0.556586869272835,0.01)); #134310=CARTESIAN_POINT('',(0.126430287389168,-0.556586869272835,0.)); #134311=CARTESIAN_POINT('',(0.126430287389168,-0.556586869272835,0.01)); #134312=CARTESIAN_POINT('Origin',(0.11446918552421,-0.556586869272835,0.)); #134313=CARTESIAN_POINT('',(0.11446918552421,-0.556586869272835,0.)); #134314=CARTESIAN_POINT('',(0.0572345927621053,-0.556586869272835,0.)); #134315=CARTESIAN_POINT('',(0.11446918552421,-0.556586869272835,0.01)); #134316=CARTESIAN_POINT('',(0.11446918552421,-0.556586869272835,0.)); #134317=CARTESIAN_POINT('',(0.11446918552421,-0.556586869272835,0.01)); #134318=CARTESIAN_POINT('Origin',(0.11446918552421,-0.52077649722592,0.)); #134319=CARTESIAN_POINT('',(0.11446918552421,-0.52077649722592,0.)); #134320=CARTESIAN_POINT('',(0.11446918552421,-0.00596888524928405,0.)); #134321=CARTESIAN_POINT('',(0.11446918552421,-0.52077649722592,0.01)); #134322=CARTESIAN_POINT('',(0.11446918552421,-0.52077649722592,0.)); #134323=CARTESIAN_POINT('',(0.11446918552421,-0.52077649722592,0.01)); #134324=CARTESIAN_POINT('Origin',(0.100757678508284,-0.52077649722592,0.)); #134325=CARTESIAN_POINT('',(0.100757678508284,-0.52077649722592,0.)); #134326=CARTESIAN_POINT('',(0.050378839254142,-0.52077649722592,0.)); #134327=CARTESIAN_POINT('',(0.100757678508284,-0.52077649722592,0.01)); #134328=CARTESIAN_POINT('',(0.100757678508284,-0.52077649722592,0.)); #134329=CARTESIAN_POINT('',(0.100757678508284,-0.52077649722592,0.01)); #134330=CARTESIAN_POINT('Origin',(0.0723135948050318,-0.556586869272835, 0.)); #134331=CARTESIAN_POINT('',(0.0723135948050318,-0.556586869272835,0.)); #134332=CARTESIAN_POINT('',(0.317772365203691,-0.247560571155549,0.)); #134333=CARTESIAN_POINT('',(0.0723135948050318,-0.556586869272835,0.01)); #134334=CARTESIAN_POINT('',(0.0723135948050318,-0.556586869272835,0.)); #134335=CARTESIAN_POINT('',(0.0723135948050318,-0.556586869272835,0.01)); #134336=CARTESIAN_POINT('Origin',(0.0567787490901788,-0.556586869272835, 0.)); #134337=CARTESIAN_POINT('',(0.0283893745450895,-0.556586869272835,0.)); #134338=CARTESIAN_POINT('',(0.0567787490901788,-0.556586869272835,0.01)); #134339=CARTESIAN_POINT('Origin',(0.0994921264110294,-0.518345094124309, 0.01)); #134340=CARTESIAN_POINT('Ctrl Pts',(0.0285534660307979,-0.476943434903729, 0.)); #134341=CARTESIAN_POINT('Ctrl Pts',(0.0285534660307979,-0.476943434903729, 0.01)); #134342=CARTESIAN_POINT('Ctrl Pts',(0.0204578422075647,-0.476943434903729, 0.)); #134343=CARTESIAN_POINT('Ctrl Pts',(0.0204578422075647,-0.476943434903729, 0.01)); #134344=CARTESIAN_POINT('Ctrl Pts',(0.00959074356196324,-0.479496109082226, 0.)); #134345=CARTESIAN_POINT('Ctrl Pts',(0.00959074356196324,-0.479496109082226, 0.01)); #134346=CARTESIAN_POINT('Ctrl Pts',(0.00477712939679751,-0.482340517452552, 0.)); #134347=CARTESIAN_POINT('Ctrl Pts',(0.00477712939679751,-0.482340517452552, 0.01)); #134348=CARTESIAN_POINT('',(0.00477712939679751,-0.482340517452552,0.)); #134349=CARTESIAN_POINT('',(0.0285534660307979,-0.476943434903729,0.)); #134350=CARTESIAN_POINT('Ctrl Pts',(0.00477712939679751,-0.482340517452552, 0.)); #134351=CARTESIAN_POINT('Ctrl Pts',(0.00959074356196324,-0.479496109082226, 0.)); #134352=CARTESIAN_POINT('Ctrl Pts',(0.0204578422075647,-0.476943434903729, 0.)); #134353=CARTESIAN_POINT('Ctrl Pts',(0.0285534660307979,-0.476943434903729, 0.)); #134354=CARTESIAN_POINT('',(0.00477712939679751,-0.482340517452552,0.01)); #134355=CARTESIAN_POINT('',(0.00477712939679751,-0.482340517452552,0.)); #134356=CARTESIAN_POINT('',(0.0285534660307979,-0.476943434903729,0.01)); #134357=CARTESIAN_POINT('Ctrl Pts',(0.00477712939679751,-0.482340517452552, 0.01)); #134358=CARTESIAN_POINT('Ctrl Pts',(0.00959074356196324,-0.479496109082226, 0.01)); #134359=CARTESIAN_POINT('Ctrl Pts',(0.0204578422075647,-0.476943434903729, 0.01)); #134360=CARTESIAN_POINT('Ctrl Pts',(0.0285534660307979,-0.476943434903729, 0.01)); #134361=CARTESIAN_POINT('',(0.0285534660307979,-0.476943434903729,0.)); #134362=CARTESIAN_POINT('Origin',(0.03767015952543,-0.476943434903729,0.)); #134363=CARTESIAN_POINT('',(0.03767015952543,-0.476943434903729,0.)); #134364=CARTESIAN_POINT('',(0.0188350797627151,-0.476943434903729,0.)); #134365=CARTESIAN_POINT('',(0.03767015952543,-0.476943434903729,0.01)); #134366=CARTESIAN_POINT('',(0.0303912646467999,-0.476943434903729,0.01)); #134367=CARTESIAN_POINT('',(0.03767015952543,-0.476943434903729,0.)); #134368=CARTESIAN_POINT('Origin',(0.03767015952543,-0.546230305462933,0.)); #134369=CARTESIAN_POINT('',(0.03767015952543,-0.546230305462933,0.)); #134370=CARTESIAN_POINT('',(0.03767015952543,-0.0186957893677904,0.)); #134371=CARTESIAN_POINT('',(0.03767015952543,-0.546230305462933,0.01)); #134372=CARTESIAN_POINT('',(0.03767015952543,-0.528898361091395,0.01)); #134373=CARTESIAN_POINT('',(0.03767015952543,-0.546230305462933,0.)); #134374=CARTESIAN_POINT('Origin',(0.0285534660307979,-0.546230305462933, 0.)); #134375=CARTESIAN_POINT('',(0.0285534660307979,-0.546230305462933,0.)); #134376=CARTESIAN_POINT('',(0.014276733015399,-0.546230305462933,0.)); #134377=CARTESIAN_POINT('',(0.0285534660307979,-0.546230305462933,0.01)); #134378=CARTESIAN_POINT('',(0.0258329178994838,-0.546230305462933,0.01)); #134379=CARTESIAN_POINT('',(0.0285534660307979,-0.546230305462933,0.)); #134380=CARTESIAN_POINT('Ctrl Pts',(0.00368312617744166,-0.540249754530454, 0.)); #134381=CARTESIAN_POINT('Ctrl Pts',(0.00368312617744166,-0.540249754530454, 0.01)); #134382=CARTESIAN_POINT('Ctrl Pts',(0.00856967389056445,-0.543677631284436, 0.)); #134383=CARTESIAN_POINT('Ctrl Pts',(0.00856967389056445,-0.543677631284436, 0.01)); #134384=CARTESIAN_POINT('Ctrl Pts',(0.0206037093034788,-0.546230305462933, 0.)); #134385=CARTESIAN_POINT('Ctrl Pts',(0.0206037093034788,-0.546230305462933, 0.01)); #134386=CARTESIAN_POINT('Ctrl Pts',(0.0285534660307979,-0.546230305462933, 0.)); #134387=CARTESIAN_POINT('Ctrl Pts',(0.0285534660307979,-0.546230305462933, 0.01)); #134388=CARTESIAN_POINT('',(0.00368312617744166,-0.540249754530454,0.)); #134389=CARTESIAN_POINT('Ctrl Pts',(0.0285534660307979,-0.546230305462933, 0.)); #134390=CARTESIAN_POINT('Ctrl Pts',(0.0206037093034788,-0.546230305462933, 0.)); #134391=CARTESIAN_POINT('Ctrl Pts',(0.00856967389056445,-0.543677631284436, 0.)); #134392=CARTESIAN_POINT('Ctrl Pts',(0.00368312617744166,-0.540249754530454, 0.)); #134393=CARTESIAN_POINT('',(0.00368312617744166,-0.540249754530454,0.01)); #134394=CARTESIAN_POINT('Ctrl Pts',(0.0285534660307979,-0.546230305462933, 0.01)); #134395=CARTESIAN_POINT('Ctrl Pts',(0.0206037093034788,-0.546230305462933, 0.01)); #134396=CARTESIAN_POINT('Ctrl Pts',(0.00856967389056445,-0.543677631284436, 0.01)); #134397=CARTESIAN_POINT('Ctrl Pts',(0.00368312617744166,-0.540249754530454, 0.01)); #134398=CARTESIAN_POINT('',(0.00368312617744166,-0.540249754530454,0.)); #134399=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.511513936635374, 0.)); #134400=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.511513936635374, 0.01)); #134401=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.52201636754119, 0.)); #134402=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.52201636754119, 0.01)); #134403=CARTESIAN_POINT('Ctrl Pts',(-0.00258915894686519,-0.536019608748945, 0.)); #134404=CARTESIAN_POINT('Ctrl Pts',(-0.00258915894686519,-0.536019608748945, 0.01)); #134405=CARTESIAN_POINT('Ctrl Pts',(0.00368312617744166,-0.540249754530454, 0.)); #134406=CARTESIAN_POINT('Ctrl Pts',(0.00368312617744166,-0.540249754530454, 0.01)); #134407=CARTESIAN_POINT('',(-0.00886144407117205,-0.511513936635374,0.)); #134408=CARTESIAN_POINT('Ctrl Pts',(0.00368312617744166,-0.540249754530454, 0.)); #134409=CARTESIAN_POINT('Ctrl Pts',(-0.00258915894686519,-0.536019608748945, 0.)); #134410=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.52201636754119, 0.)); #134411=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.511513936635374, 0.)); #134412=CARTESIAN_POINT('',(-0.00886144407117205,-0.511513936635374,0.01)); #134413=CARTESIAN_POINT('Ctrl Pts',(0.00368312617744166,-0.540249754530454, 0.01)); #134414=CARTESIAN_POINT('Ctrl Pts',(-0.00258915894686519,-0.536019608748945, 0.01)); #134415=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.52201636754119, 0.01)); #134416=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.511513936635374, 0.01)); #134417=CARTESIAN_POINT('',(-0.00886144407117205,-0.511513936635374,0.)); #134418=CARTESIAN_POINT('Ctrl Pts',(0.00477712939679751,-0.482340517452552, 0.)); #134419=CARTESIAN_POINT('Ctrl Pts',(0.00477712939679751,-0.482340517452552, 0.01)); #134420=CARTESIAN_POINT('Ctrl Pts',(-0.00200569056320874,-0.486497729686104, 0.)); #134421=CARTESIAN_POINT('Ctrl Pts',(-0.00200569056320874,-0.486497729686104, 0.01)); #134422=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.501157372825472, 0.)); #134423=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.501157372825472, 0.01)); #134424=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.511513936635374, 0.)); #134425=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.511513936635374, 0.01)); #134426=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.511513936635374, 0.)); #134427=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.501157372825472, 0.)); #134428=CARTESIAN_POINT('Ctrl Pts',(-0.00200569056320874,-0.486497729686104, 0.)); #134429=CARTESIAN_POINT('Ctrl Pts',(0.00477712939679751,-0.482340517452552, 0.)); #134430=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.511513936635374, 0.01)); #134431=CARTESIAN_POINT('Ctrl Pts',(-0.00886144407117205,-0.501157372825472, 0.01)); #134432=CARTESIAN_POINT('Ctrl Pts',(-0.00200569056320874,-0.486497729686104, 0.01)); #134433=CARTESIAN_POINT('Ctrl Pts',(0.00477712939679751,-0.482340517452552, 0.01)); #134434=CARTESIAN_POINT('Ctrl Pts',(-0.00309969378256459,-0.473734358793619, 0.)); #134435=CARTESIAN_POINT('Ctrl Pts',(-0.00309969378256459,-0.473734358793619, 0.01)); #134436=CARTESIAN_POINT('Ctrl Pts',(-0.0117787859894543,-0.479496109082226, 0.)); #134437=CARTESIAN_POINT('Ctrl Pts',(-0.0117787859894543,-0.479496109082226, 0.01)); #134438=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.498531765099018, 0.)); #134439=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.498531765099018, 0.01)); #134440=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.511659803731288, 0.)); #134441=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.511659803731288, 0.01)); #134442=CARTESIAN_POINT('',(-0.00309969378256459,-0.473734358793619,0.)); #134443=CARTESIAN_POINT('',(-0.0213330807718287,-0.511659803731288,0.)); #134444=CARTESIAN_POINT('Ctrl Pts',(-0.00309969378256459,-0.473734358793619, 0.)); #134445=CARTESIAN_POINT('Ctrl Pts',(-0.0117787859894543,-0.479496109082226, 0.)); #134446=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.498531765099018, 0.)); #134447=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.511659803731288, 0.)); #134448=CARTESIAN_POINT('',(-0.00309969378256459,-0.473734358793619,0.01)); #134449=CARTESIAN_POINT('',(-0.00309969378256459,-0.473734358793619,0.)); #134450=CARTESIAN_POINT('',(-0.0213330807718287,-0.511659803731288,0.01)); #134451=CARTESIAN_POINT('Ctrl Pts',(-0.00309969378256459,-0.473734358793619, 0.01)); #134452=CARTESIAN_POINT('Ctrl Pts',(-0.0117787859894543,-0.479496109082226, 0.01)); #134453=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.498531765099018, 0.01)); #134454=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.511659803731288, 0.01)); #134455=CARTESIAN_POINT('',(-0.0213330807718287,-0.511659803731288,0.)); #134456=CARTESIAN_POINT('Ctrl Pts',(0.0291369344144544,-0.466586871093827, 0.)); #134457=CARTESIAN_POINT('Ctrl Pts',(0.0291369344144544,-0.466586871093827, 0.01)); #134458=CARTESIAN_POINT('Ctrl Pts',(0.0164464970699265,-0.466586871093827, 0.)); #134459=CARTESIAN_POINT('Ctrl Pts',(0.0164464970699265,-0.466586871093827, 0.01)); #134460=CARTESIAN_POINT('Ctrl Pts',(0.00200565457442936,-0.470379415587594, 0.)); #134461=CARTESIAN_POINT('Ctrl Pts',(0.00200565457442936,-0.470379415587594, 0.01)); #134462=CARTESIAN_POINT('Ctrl Pts',(-0.00309969378256459,-0.473734358793619, 0.)); #134463=CARTESIAN_POINT('Ctrl Pts',(-0.00309969378256459,-0.473734358793619, 0.01)); #134464=CARTESIAN_POINT('',(0.0291369344144544,-0.466586871093827,0.)); #134465=CARTESIAN_POINT('Ctrl Pts',(0.0291369344144544,-0.466586871093827, 0.)); #134466=CARTESIAN_POINT('Ctrl Pts',(0.0164464970699265,-0.466586871093827, 0.)); #134467=CARTESIAN_POINT('Ctrl Pts',(0.00200565457442936,-0.470379415587594, 0.)); #134468=CARTESIAN_POINT('Ctrl Pts',(-0.00309969378256459,-0.473734358793619, 0.)); #134469=CARTESIAN_POINT('',(0.0291369344144544,-0.466586871093827,0.01)); #134470=CARTESIAN_POINT('',(0.0291369344144544,-0.466586871093827,0.)); #134471=CARTESIAN_POINT('Ctrl Pts',(0.0291369344144544,-0.466586871093827, 0.01)); #134472=CARTESIAN_POINT('Ctrl Pts',(0.0164464970699265,-0.466586871093827, 0.01)); #134473=CARTESIAN_POINT('Ctrl Pts',(0.00200565457442936,-0.470379415587594, 0.01)); #134474=CARTESIAN_POINT('Ctrl Pts',(-0.00309969378256459,-0.473734358793619, 0.01)); #134475=CARTESIAN_POINT('Origin',(0.0496312613903872,-0.466586871093827, 0.)); #134476=CARTESIAN_POINT('',(0.0496312613903872,-0.466586871093827,0.)); #134477=CARTESIAN_POINT('',(0.0248156306951937,-0.466586871093827,0.)); #134478=CARTESIAN_POINT('',(0.0496312613903872,-0.466586871093827,0.01)); #134479=CARTESIAN_POINT('',(0.0496312613903872,-0.466586871093827,0.)); #134480=CARTESIAN_POINT('',(0.0496312613903872,-0.466586871093827,0.01)); #134481=CARTESIAN_POINT('Origin',(0.0496312613903872,-0.556586869272835, 0.)); #134482=CARTESIAN_POINT('',(0.0496312613903872,-0.556586869272835,0.)); #134483=CARTESIAN_POINT('',(0.0496312613903872,-0.0238740712727414,0.)); #134484=CARTESIAN_POINT('',(0.0496312613903872,-0.556586869272835,0.01)); #134485=CARTESIAN_POINT('',(0.0496312613903872,-0.556586869272835,0.)); #134486=CARTESIAN_POINT('',(0.0496312613903872,-0.556586869272835,0.01)); #134487=CARTESIAN_POINT('Origin',(0.0289181337705832,-0.556586869272835, 0.)); #134488=CARTESIAN_POINT('',(0.0289181337705832,-0.556586869272835,0.)); #134489=CARTESIAN_POINT('',(0.0144590668852917,-0.556586869272835,0.)); #134490=CARTESIAN_POINT('',(0.0289181337705832,-0.556586869272835,0.01)); #134491=CARTESIAN_POINT('',(0.0289181337705832,-0.556586869272835,0.)); #134492=CARTESIAN_POINT('',(0.0289181337705832,-0.556586869272835,0.01)); #134493=CARTESIAN_POINT('Ctrl Pts',(-0.00295382668665048,-0.549366448025086, 0.)); #134494=CARTESIAN_POINT('Ctrl Pts',(-0.00295382668665048,-0.549366448025086, 0.01)); #134495=CARTESIAN_POINT('Ctrl Pts',(0.00390192682131284,-0.553669527354553, 0.)); #134496=CARTESIAN_POINT('Ctrl Pts',(0.00390192682131284,-0.553669527354553, 0.01)); #134497=CARTESIAN_POINT('Ctrl Pts',(0.0179781015770247,-0.556586869272835, 0.)); #134498=CARTESIAN_POINT('Ctrl Pts',(0.0179781015770247,-0.556586869272835, 0.01)); #134499=CARTESIAN_POINT('Ctrl Pts',(0.0289181337705832,-0.556586869272835, 0.)); #134500=CARTESIAN_POINT('Ctrl Pts',(0.0289181337705832,-0.556586869272835, 0.01)); #134501=CARTESIAN_POINT('',(-0.00295382668665048,-0.549366448025086,0.)); #134502=CARTESIAN_POINT('Ctrl Pts',(-0.00295382668665048,-0.549366448025086, 0.)); #134503=CARTESIAN_POINT('Ctrl Pts',(0.00390192682131284,-0.553669527354553, 0.)); #134504=CARTESIAN_POINT('Ctrl Pts',(0.0179781015770247,-0.556586869272835, 0.)); #134505=CARTESIAN_POINT('Ctrl Pts',(0.0289181337705832,-0.556586869272835, 0.)); #134506=CARTESIAN_POINT('',(-0.00295382668665048,-0.549366448025086,0.01)); #134507=CARTESIAN_POINT('',(-0.00295382668665048,-0.549366448025086,0.)); #134508=CARTESIAN_POINT('Ctrl Pts',(-0.00295382668665048,-0.549366448025086, 0.01)); #134509=CARTESIAN_POINT('Ctrl Pts',(0.00390192682131284,-0.553669527354553, 0.01)); #134510=CARTESIAN_POINT('Ctrl Pts',(0.0179781015770247,-0.556586869272835, 0.01)); #134511=CARTESIAN_POINT('Ctrl Pts',(0.0289181337705832,-0.556586869272835, 0.01)); #134512=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.511659803731288, 0.)); #134513=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.511659803731288, 0.01)); #134514=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.523985573336031, 0.)); #134515=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.523985573336031, 0.01)); #134516=CARTESIAN_POINT('Ctrl Pts',(-0.011341184701712,-0.54382349838035, 0.)); #134517=CARTESIAN_POINT('Ctrl Pts',(-0.011341184701712,-0.54382349838035, 0.01)); #134518=CARTESIAN_POINT('Ctrl Pts',(-0.00295382668665048,-0.549366448025086, 0.)); #134519=CARTESIAN_POINT('Ctrl Pts',(-0.00295382668665048,-0.549366448025086, 0.01)); #134520=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.511659803731288, 0.)); #134521=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.523985573336031, 0.)); #134522=CARTESIAN_POINT('Ctrl Pts',(-0.011341184701712,-0.54382349838035, 0.)); #134523=CARTESIAN_POINT('Ctrl Pts',(-0.00295382668665048,-0.549366448025086, 0.)); #134524=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.511659803731288, 0.01)); #134525=CARTESIAN_POINT('Ctrl Pts',(-0.0213330807718287,-0.523985573336031, 0.01)); #134526=CARTESIAN_POINT('Ctrl Pts',(-0.011341184701712,-0.54382349838035, 0.01)); #134527=CARTESIAN_POINT('Ctrl Pts',(-0.00295382668665048,-0.549366448025086, 0.01)); #134528=CARTESIAN_POINT('Origin',(0.0231123697681698,-0.511566416719857, 0.01)); #134529=CARTESIAN_POINT('Origin',(-0.15013372646399,-0.466586871093827, 0.)); #134530=CARTESIAN_POINT('',(-0.15013372646399,-0.466586871093827,0.)); #134531=CARTESIAN_POINT('',(-0.15013372646399,-0.556586869272835,0.)); #134532=CARTESIAN_POINT('',(-0.15013372646399,0.0211259278167624,0.)); #134533=CARTESIAN_POINT('',(-0.15013372646399,-0.466586871093827,0.01)); #134534=CARTESIAN_POINT('',(-0.15013372646399,-0.466586871093827,0.)); #134535=CARTESIAN_POINT('',(-0.15013372646399,-0.556586869272835,0.01)); #134536=CARTESIAN_POINT('',(-0.15013372646399,-0.466586871093827,0.01)); #134537=CARTESIAN_POINT('',(-0.15013372646399,-0.556586869272835,0.)); #134538=CARTESIAN_POINT('Origin',(-0.133504877529782,-0.466586871093827, 0.)); #134539=CARTESIAN_POINT('',(-0.133504877529782,-0.466586871093827,0.)); #134540=CARTESIAN_POINT('',(-0.0667524387648907,-0.466586871093827,0.)); #134541=CARTESIAN_POINT('',(-0.133504877529782,-0.466586871093827,0.01)); #134542=CARTESIAN_POINT('',(-0.133504877529782,-0.466586871093827,0.)); #134543=CARTESIAN_POINT('',(-0.133504877529782,-0.466586871093827,0.01)); #134544=CARTESIAN_POINT('Origin',(-0.111770680238579,-0.516692218540325, 0.)); #134545=CARTESIAN_POINT('',(-0.111770680238579,-0.516692218540325,0.)); #134546=CARTESIAN_POINT('',(-0.290120177105371,-0.105530794555471,0.)); #134547=CARTESIAN_POINT('',(-0.111770680238579,-0.516692218540325,0.01)); #134548=CARTESIAN_POINT('',(-0.111770680238579,-0.516692218540325,0.)); #134549=CARTESIAN_POINT('',(-0.111770680238579,-0.516692218540325,0.01)); #134550=CARTESIAN_POINT('Origin',(-0.0893071474678054,-0.466586871093827, 0.)); #134551=CARTESIAN_POINT('',(-0.0893071474678054,-0.466586871093827,0.)); #134552=CARTESIAN_POINT('',(0.100226796422155,-0.0438277170275837,0.)); #134553=CARTESIAN_POINT('',(-0.0893071474678054,-0.466586871093827,0.01)); #134554=CARTESIAN_POINT('',(-0.0893071474678054,-0.466586871093827,0.)); #134555=CARTESIAN_POINT('',(-0.0893071474678054,-0.466586871093827,0.01)); #134556=CARTESIAN_POINT('Origin',(-0.0729700327254247,-0.466586871093827, 0.)); #134557=CARTESIAN_POINT('',(-0.0729700327254247,-0.466586871093827,0.)); #134558=CARTESIAN_POINT('',(-0.0364850163627123,-0.466586871093827,0.)); #134559=CARTESIAN_POINT('',(-0.0729700327254247,-0.466586871093827,0.01)); #134560=CARTESIAN_POINT('',(-0.0729700327254247,-0.466586871093827,0.)); #134561=CARTESIAN_POINT('',(-0.0729700327254247,-0.466586871093827,0.01)); #134562=CARTESIAN_POINT('Origin',(-0.0729700327254247,-0.556586869272835, 0.)); #134563=CARTESIAN_POINT('',(-0.0729700327254247,-0.556586869272835,0.)); #134564=CARTESIAN_POINT('',(-0.0729700327254247,-0.0238740712727414,0.)); #134565=CARTESIAN_POINT('',(-0.0729700327254247,-0.556586869272835,0.01)); #134566=CARTESIAN_POINT('',(-0.0729700327254247,-0.556586869272835,0.)); #134567=CARTESIAN_POINT('',(-0.0729700327254247,-0.556586869272835,0.01)); #134568=CARTESIAN_POINT('Origin',(-0.0841288655628543,-0.556586869272835, 0.)); #134569=CARTESIAN_POINT('',(-0.0841288655628543,-0.556586869272835,0.)); #134570=CARTESIAN_POINT('',(-0.0420644327814271,-0.556586869272835,0.)); #134571=CARTESIAN_POINT('',(-0.0841288655628543,-0.556586869272835,0.01)); #134572=CARTESIAN_POINT('',(-0.0841288655628543,-0.556586869272835,0.)); #134573=CARTESIAN_POINT('',(-0.0841288655628543,-0.556586869272835,0.01)); #134574=CARTESIAN_POINT('Origin',(-0.0841288655628543,-0.479058507794484, 0.)); #134575=CARTESIAN_POINT('',(-0.0841288655628543,-0.479058507794484,0.)); #134576=CARTESIAN_POINT('',(-0.0841288655628543,0.0148901094664341,0.)); #134577=CARTESIAN_POINT('',(-0.0841288655628543,-0.479058507794484,0.01)); #134578=CARTESIAN_POINT('',(-0.0841288655628543,-0.479058507794484,0.)); #134579=CARTESIAN_POINT('',(-0.0841288655628543,-0.479058507794484,0.01)); #134580=CARTESIAN_POINT('Origin',(-0.107394667361155,-0.531789462967436, 0.)); #134581=CARTESIAN_POINT('',(-0.107394667361155,-0.531789462967436,0.)); #134582=CARTESIAN_POINT('',(0.0935180394331693,-0.0764293155934655,0.)); #134583=CARTESIAN_POINT('',(-0.107394667361155,-0.531789462967436,0.01)); #134584=CARTESIAN_POINT('',(-0.107394667361155,-0.531789462967436,0.)); #134585=CARTESIAN_POINT('',(-0.107394667361155,-0.531789462967436,0.01)); #134586=CARTESIAN_POINT('Origin',(-0.114688022156861,-0.531789462967436, 0.)); #134587=CARTESIAN_POINT('',(-0.114688022156861,-0.531789462967436,0.)); #134588=CARTESIAN_POINT('',(-0.0573440110784304,-0.531789462967436,0.)); #134589=CARTESIAN_POINT('',(-0.114688022156861,-0.531789462967436,0.01)); #134590=CARTESIAN_POINT('',(-0.114688022156861,-0.531789462967436,0.)); #134591=CARTESIAN_POINT('',(-0.114688022156861,-0.531789462967436,0.01)); #134592=CARTESIAN_POINT('Origin',(-0.138172624599033,-0.479058507794484, 0.)); #134593=CARTESIAN_POINT('',(-0.138172624599033,-0.479058507794484,0.)); #134594=CARTESIAN_POINT('',(-0.310313139859065,-0.0925442452696306,0.)); #134595=CARTESIAN_POINT('',(-0.138172624599033,-0.479058507794484,0.01)); #134596=CARTESIAN_POINT('',(-0.138172624599033,-0.479058507794484,0.)); #134597=CARTESIAN_POINT('',(-0.138172624599033,-0.479058507794484,0.01)); #134598=CARTESIAN_POINT('Origin',(-0.138172624599033,-0.556586869272835, 0.)); #134599=CARTESIAN_POINT('',(-0.138172624599033,-0.556586869272835,0.)); #134600=CARTESIAN_POINT('',(-0.138172624599033,-0.0238740712727414,0.)); #134601=CARTESIAN_POINT('',(-0.138172624599033,-0.556586869272835,0.01)); #134602=CARTESIAN_POINT('',(-0.138172624599033,-0.556586869272835,0.)); #134603=CARTESIAN_POINT('',(-0.138172624599033,-0.556586869272835,0.01)); #134604=CARTESIAN_POINT('Origin',(-0.15013372646399,-0.556586869272835, 0.)); #134605=CARTESIAN_POINT('',(-0.0750668632319952,-0.556586869272835,0.)); #134606=CARTESIAN_POINT('',(-0.15013372646399,-0.556586869272835,0.01)); #134607=CARTESIAN_POINT('Origin',(-0.111410252293904,-0.508018039484619, 0.01)); #134608=CARTESIAN_POINT('Ctrl Pts',(-0.287248796623257,-0.55571166669735, 0.)); #134609=CARTESIAN_POINT('Ctrl Pts',(-0.287248796623257,-0.55571166669735, 0.01)); #134610=CARTESIAN_POINT('Ctrl Pts',(-0.282799850197876,-0.557024470560577, 0.)); #134611=CARTESIAN_POINT('Ctrl Pts',(-0.282799850197876,-0.557024470560577, 0.01)); #134612=CARTESIAN_POINT('Ctrl Pts',(-0.275652362498085,-0.558337274423804, 0.)); #134613=CARTESIAN_POINT('Ctrl Pts',(-0.275652362498085,-0.558337274423804, 0.01)); #134614=CARTESIAN_POINT('Ctrl Pts',(-0.270619947689048,-0.558337274423804, 0.)); #134615=CARTESIAN_POINT('Ctrl Pts',(-0.270619947689048,-0.558337274423804, 0.01)); #134616=CARTESIAN_POINT('',(-0.287248796623257,-0.55571166669735,0.)); #134617=CARTESIAN_POINT('',(-0.270619947689048,-0.558337274423804,0.)); #134618=CARTESIAN_POINT('Ctrl Pts',(-0.287248796623257,-0.55571166669735, 0.)); #134619=CARTESIAN_POINT('Ctrl Pts',(-0.282799850197876,-0.557024470560577, 0.)); #134620=CARTESIAN_POINT('Ctrl Pts',(-0.275652362498085,-0.558337274423804, 0.)); #134621=CARTESIAN_POINT('Ctrl Pts',(-0.270619947689048,-0.558337274423804, 0.)); #134622=CARTESIAN_POINT('',(-0.287248796623257,-0.55571166669735,0.01)); #134623=CARTESIAN_POINT('',(-0.287248796623257,-0.55571166669735,0.)); #134624=CARTESIAN_POINT('',(-0.270619947689048,-0.558337274423804,0.01)); #134625=CARTESIAN_POINT('Ctrl Pts',(-0.287248796623257,-0.55571166669735, 0.01)); #134626=CARTESIAN_POINT('Ctrl Pts',(-0.282799850197876,-0.557024470560577, 0.01)); #134627=CARTESIAN_POINT('Ctrl Pts',(-0.275652362498085,-0.558337274423804, 0.01)); #134628=CARTESIAN_POINT('Ctrl Pts',(-0.270619947689048,-0.558337274423804, 0.01)); #134629=CARTESIAN_POINT('',(-0.270619947689048,-0.558337274423804,0.)); #134630=CARTESIAN_POINT('Ctrl Pts',(-0.300522702351441,-0.550606318340356, 0.)); #134631=CARTESIAN_POINT('Ctrl Pts',(-0.300522702351441,-0.550606318340356, 0.01)); #134632=CARTESIAN_POINT('Ctrl Pts',(-0.298261762364772,-0.551627388011755, 0.)); #134633=CARTESIAN_POINT('Ctrl Pts',(-0.298261762364772,-0.551627388011755, 0.01)); #134634=CARTESIAN_POINT('Ctrl Pts',(-0.290530806281324,-0.554763530573909, 0.)); #134635=CARTESIAN_POINT('Ctrl Pts',(-0.290530806281324,-0.554763530573909, 0.01)); #134636=CARTESIAN_POINT('Ctrl Pts',(-0.287248796623257,-0.55571166669735, 0.)); #134637=CARTESIAN_POINT('Ctrl Pts',(-0.287248796623257,-0.55571166669735, 0.01)); #134638=CARTESIAN_POINT('',(-0.300522702351441,-0.550606318340356,0.)); #134639=CARTESIAN_POINT('Ctrl Pts',(-0.300522702351441,-0.550606318340356, 0.)); #134640=CARTESIAN_POINT('Ctrl Pts',(-0.298261762364772,-0.551627388011755, 0.)); #134641=CARTESIAN_POINT('Ctrl Pts',(-0.290530806281324,-0.554763530573909, 0.)); #134642=CARTESIAN_POINT('Ctrl Pts',(-0.287248796623257,-0.55571166669735, 0.)); #134643=CARTESIAN_POINT('',(-0.300522702351441,-0.550606318340356,0.01)); #134644=CARTESIAN_POINT('',(-0.300522702351441,-0.550606318340356,0.)); #134645=CARTESIAN_POINT('Ctrl Pts',(-0.300522702351441,-0.550606318340356, 0.01)); #134646=CARTESIAN_POINT('Ctrl Pts',(-0.298261762364772,-0.551627388011755, 0.01)); #134647=CARTESIAN_POINT('Ctrl Pts',(-0.290530806281324,-0.554763530573909, 0.01)); #134648=CARTESIAN_POINT('Ctrl Pts',(-0.287248796623257,-0.55571166669735, 0.01)); #134649=CARTESIAN_POINT('Origin',(-0.300522702351441,-0.511295135991503, 0.)); #134650=CARTESIAN_POINT('',(-0.300522702351441,-0.511295135991503,0.)); #134651=CARTESIAN_POINT('',(-0.300522702351441,-0.00122820463207538,0.)); #134652=CARTESIAN_POINT('',(-0.300522702351441,-0.511295135991503,0.01)); #134653=CARTESIAN_POINT('',(-0.300522702351441,-0.511295135991503,0.)); #134654=CARTESIAN_POINT('',(-0.300522702351441,-0.511295135991503,0.01)); #134655=CARTESIAN_POINT('Origin',(-0.26784847286668,-0.511295135991503, 0.)); #134656=CARTESIAN_POINT('',(-0.26784847286668,-0.511295135991503,0.)); #134657=CARTESIAN_POINT('',(-0.13392423643334,-0.511295135991503,0.)); #134658=CARTESIAN_POINT('',(-0.26784847286668,-0.511295135991503,0.01)); #134659=CARTESIAN_POINT('',(-0.26784847286668,-0.511295135991503,0.)); #134660=CARTESIAN_POINT('',(-0.26784847286668,-0.511295135991503,0.01)); #134661=CARTESIAN_POINT('Origin',(-0.26784847286668,-0.521797566897319, 0.)); #134662=CARTESIAN_POINT('',(-0.26784847286668,-0.521797566897319,0.)); #134663=CARTESIAN_POINT('',(-0.26784847286668,-0.00647942008498343,0.)); #134664=CARTESIAN_POINT('',(-0.26784847286668,-0.521797566897319,0.01)); #134665=CARTESIAN_POINT('',(-0.26784847286668,-0.521797566897319,0.)); #134666=CARTESIAN_POINT('',(-0.26784847286668,-0.521797566897319,0.01)); #134667=CARTESIAN_POINT('Origin',(-0.288707467582398,-0.521797566897319, 0.)); #134668=CARTESIAN_POINT('',(-0.288707467582398,-0.521797566897319,0.)); #134669=CARTESIAN_POINT('',(-0.144353733791199,-0.521797566897319,0.)); #134670=CARTESIAN_POINT('',(-0.288707467582398,-0.521797566897319,0.01)); #134671=CARTESIAN_POINT('',(-0.288707467582398,-0.521797566897319,0.)); #134672=CARTESIAN_POINT('',(-0.288707467582398,-0.521797566897319,0.01)); #134673=CARTESIAN_POINT('Origin',(-0.288707467582398,-0.54506336869562, 0.)); #134674=CARTESIAN_POINT('',(-0.288707467582398,-0.54506336869562,0.)); #134675=CARTESIAN_POINT('',(-0.288707467582398,-0.0181123209841339,0.)); #134676=CARTESIAN_POINT('',(-0.288707467582398,-0.54506336869562,0.01)); #134677=CARTESIAN_POINT('',(-0.288707467582398,-0.54506336869562,0.)); #134678=CARTESIAN_POINT('',(-0.288707467582398,-0.54506336869562,0.01)); #134679=CARTESIAN_POINT('Ctrl Pts',(-0.270182346401305,-0.547980710613902, 0.)); #134680=CARTESIAN_POINT('Ctrl Pts',(-0.270182346401305,-0.547980710613902, 0.01)); #134681=CARTESIAN_POINT('Ctrl Pts',(-0.275214761210342,-0.547980710613902, 0.)); #134682=CARTESIAN_POINT('Ctrl Pts',(-0.275214761210342,-0.547980710613902, 0.01)); #134683=CARTESIAN_POINT('Ctrl Pts',(-0.285279590828416,-0.546522039654761, 0.)); #134684=CARTESIAN_POINT('Ctrl Pts',(-0.285279590828416,-0.546522039654761, 0.01)); #134685=CARTESIAN_POINT('Ctrl Pts',(-0.288707467582398,-0.54506336869562, 0.)); #134686=CARTESIAN_POINT('Ctrl Pts',(-0.288707467582398,-0.54506336869562, 0.01)); #134687=CARTESIAN_POINT('',(-0.270182346401305,-0.547980710613902,0.)); #134688=CARTESIAN_POINT('Ctrl Pts',(-0.270182346401305,-0.547980710613902, 0.)); #134689=CARTESIAN_POINT('Ctrl Pts',(-0.275214761210342,-0.547980710613902, 0.)); #134690=CARTESIAN_POINT('Ctrl Pts',(-0.285279590828416,-0.546522039654761, 0.)); #134691=CARTESIAN_POINT('Ctrl Pts',(-0.288707467582398,-0.54506336869562, 0.)); #134692=CARTESIAN_POINT('',(-0.270182346401305,-0.547980710613902,0.01)); #134693=CARTESIAN_POINT('',(-0.270182346401305,-0.547980710613902,0.)); #134694=CARTESIAN_POINT('Ctrl Pts',(-0.270182346401305,-0.547980710613902, 0.01)); #134695=CARTESIAN_POINT('Ctrl Pts',(-0.275214761210342,-0.547980710613902, 0.01)); #134696=CARTESIAN_POINT('Ctrl Pts',(-0.285279590828416,-0.546522039654761, 0.01)); #134697=CARTESIAN_POINT('Ctrl Pts',(-0.288707467582398,-0.54506336869562, 0.01)); #134698=CARTESIAN_POINT('Ctrl Pts',(-0.24852108265806,-0.538426415831528, 0.)); #134699=CARTESIAN_POINT('Ctrl Pts',(-0.24852108265806,-0.538426415831528, 0.01)); #134700=CARTESIAN_POINT('Ctrl Pts',(-0.252386560699784,-0.54309416290078, 0.)); #134701=CARTESIAN_POINT('Ctrl Pts',(-0.252386560699784,-0.54309416290078, 0.01)); #134702=CARTESIAN_POINT('Ctrl Pts',(-0.263326592893342,-0.547980710613902, 0.)); #134703=CARTESIAN_POINT('Ctrl Pts',(-0.263326592893342,-0.547980710613902, 0.01)); #134704=CARTESIAN_POINT('Ctrl Pts',(-0.270182346401305,-0.547980710613902, 0.)); #134705=CARTESIAN_POINT('Ctrl Pts',(-0.270182346401305,-0.547980710613902, 0.01)); #134706=CARTESIAN_POINT('',(-0.24852108265806,-0.538426415831528,0.)); #134707=CARTESIAN_POINT('Ctrl Pts',(-0.24852108265806,-0.538426415831528, 0.)); #134708=CARTESIAN_POINT('Ctrl Pts',(-0.252386560699784,-0.54309416290078, 0.)); #134709=CARTESIAN_POINT('Ctrl Pts',(-0.263326592893342,-0.547980710613902, 0.)); #134710=CARTESIAN_POINT('Ctrl Pts',(-0.270182346401305,-0.547980710613902, 0.)); #134711=CARTESIAN_POINT('',(-0.24852108265806,-0.538426415831528,0.01)); #134712=CARTESIAN_POINT('',(-0.24852108265806,-0.538426415831528,0.)); #134713=CARTESIAN_POINT('Ctrl Pts',(-0.24852108265806,-0.538426415831528, 0.01)); #134714=CARTESIAN_POINT('Ctrl Pts',(-0.252386560699784,-0.54309416290078, 0.01)); #134715=CARTESIAN_POINT('Ctrl Pts',(-0.263326592893342,-0.547980710613902, 0.01)); #134716=CARTESIAN_POINT('Ctrl Pts',(-0.270182346401305,-0.547980710613902, 0.01)); #134717=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.511149268895589, 0.)); #134718=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.511149268895589, 0.01)); #134719=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.520193028842264, 0.)); #134720=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.520193028842264, 0.01)); #134721=CARTESIAN_POINT('Ctrl Pts',(-0.244509737520422,-0.533758668762276, 0.)); #134722=CARTESIAN_POINT('Ctrl Pts',(-0.244509737520422,-0.533758668762276, 0.01)); #134723=CARTESIAN_POINT('Ctrl Pts',(-0.24852108265806,-0.538426415831528, 0.)); #134724=CARTESIAN_POINT('Ctrl Pts',(-0.24852108265806,-0.538426415831528, 0.01)); #134725=CARTESIAN_POINT('',(-0.240279591738912,-0.511149268895589,0.)); #134726=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.511149268895589, 0.)); #134727=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.520193028842264, 0.)); #134728=CARTESIAN_POINT('Ctrl Pts',(-0.244509737520422,-0.533758668762276, 0.)); #134729=CARTESIAN_POINT('Ctrl Pts',(-0.24852108265806,-0.538426415831528, 0.)); #134730=CARTESIAN_POINT('',(-0.240279591738912,-0.511149268895589,0.01)); #134731=CARTESIAN_POINT('',(-0.240279591738912,-0.511149268895589,0.)); #134732=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.511149268895589, 0.01)); #134733=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.520193028842264, 0.01)); #134734=CARTESIAN_POINT('Ctrl Pts',(-0.244509737520422,-0.533758668762276, 0.01)); #134735=CARTESIAN_POINT('Ctrl Pts',(-0.24852108265806,-0.538426415831528, 0.01)); #134736=CARTESIAN_POINT('Ctrl Pts',(-0.269307143825821,-0.475338896848674, 0.)); #134737=CARTESIAN_POINT('Ctrl Pts',(-0.269307143825821,-0.475338896848674, 0.01)); #134738=CARTESIAN_POINT('Ctrl Pts',(-0.256324972289465,-0.475338896848674, 0.)); #134739=CARTESIAN_POINT('Ctrl Pts',(-0.256324972289465,-0.475338896848674, 0.01)); #134740=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.494885087701165, 0.)); #134741=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.494885087701165, 0.01)); #134742=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.511149268895589, 0.)); #134743=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.511149268895589, 0.01)); #134744=CARTESIAN_POINT('',(-0.269307143825821,-0.475338896848674,0.)); #134745=CARTESIAN_POINT('Ctrl Pts',(-0.269307143825821,-0.475338896848674, 0.)); #134746=CARTESIAN_POINT('Ctrl Pts',(-0.256324972289465,-0.475338896848674, 0.)); #134747=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.494885087701165, 0.)); #134748=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.511149268895589, 0.)); #134749=CARTESIAN_POINT('',(-0.269307143825821,-0.475338896848674,0.01)); #134750=CARTESIAN_POINT('',(-0.269307143825821,-0.475338896848674,0.)); #134751=CARTESIAN_POINT('Ctrl Pts',(-0.269307143825821,-0.475338896848674, 0.01)); #134752=CARTESIAN_POINT('Ctrl Pts',(-0.256324972289465,-0.475338896848674, 0.01)); #134753=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.494885087701165, 0.01)); #134754=CARTESIAN_POINT('Ctrl Pts',(-0.240279591738912,-0.511149268895589, 0.01)); #134755=CARTESIAN_POINT('Ctrl Pts',(-0.287759331458956,-0.479569042630183, 0.)); #134756=CARTESIAN_POINT('Ctrl Pts',(-0.287759331458956,-0.479569042630183, 0.01)); #134757=CARTESIAN_POINT('Ctrl Pts',(-0.284112654061103,-0.477745703931257, 0.)); #134758=CARTESIAN_POINT('Ctrl Pts',(-0.284112654061103,-0.477745703931257, 0.01)); #134759=CARTESIAN_POINT('Ctrl Pts',(-0.274704226374643,-0.475338896848674, 0.)); #134760=CARTESIAN_POINT('Ctrl Pts',(-0.274704226374643,-0.475338896848674, 0.01)); #134761=CARTESIAN_POINT('Ctrl Pts',(-0.269307143825821,-0.475338896848674, 0.)); #134762=CARTESIAN_POINT('Ctrl Pts',(-0.269307143825821,-0.475338896848674, 0.01)); #134763=CARTESIAN_POINT('',(-0.287759331458956,-0.479569042630184,0.)); #134764=CARTESIAN_POINT('Ctrl Pts',(-0.287759331458956,-0.479569042630183, 0.)); #134765=CARTESIAN_POINT('Ctrl Pts',(-0.284112654061103,-0.477745703931257, 0.)); #134766=CARTESIAN_POINT('Ctrl Pts',(-0.274704226374643,-0.475338896848674, 0.)); #134767=CARTESIAN_POINT('Ctrl Pts',(-0.269307143825821,-0.475338896848674, 0.)); #134768=CARTESIAN_POINT('',(-0.287759331458956,-0.479569042630184,0.01)); #134769=CARTESIAN_POINT('',(-0.287759331458956,-0.479569042630184,0.)); #134770=CARTESIAN_POINT('Ctrl Pts',(-0.287759331458956,-0.479569042630183, 0.01)); #134771=CARTESIAN_POINT('Ctrl Pts',(-0.284112654061103,-0.477745703931257, 0.01)); #134772=CARTESIAN_POINT('Ctrl Pts',(-0.274704226374643,-0.475338896848674, 0.01)); #134773=CARTESIAN_POINT('Ctrl Pts',(-0.269307143825821,-0.475338896848674, 0.01)); #134774=CARTESIAN_POINT('Ctrl Pts',(-0.299136964940257,-0.487154131617717, 0.)); #134775=CARTESIAN_POINT('Ctrl Pts',(-0.299136964940257,-0.487154131617717, 0.01)); #134776=CARTESIAN_POINT('Ctrl Pts',(-0.296876024953588,-0.485184925822877, 0.)); #134777=CARTESIAN_POINT('Ctrl Pts',(-0.296876024953588,-0.485184925822877, 0.01)); #134778=CARTESIAN_POINT('Ctrl Pts',(-0.292135344336379,-0.481611181972981, 0.)); #134779=CARTESIAN_POINT('Ctrl Pts',(-0.292135344336379,-0.481611181972981, 0.01)); #134780=CARTESIAN_POINT('Ctrl Pts',(-0.287759331458956,-0.479569042630183, 0.)); #134781=CARTESIAN_POINT('Ctrl Pts',(-0.287759331458956,-0.479569042630183, 0.01)); #134782=CARTESIAN_POINT('',(-0.299136964940257,-0.487154131617717,0.)); #134783=CARTESIAN_POINT('Ctrl Pts',(-0.299136964940257,-0.487154131617717, 0.)); #134784=CARTESIAN_POINT('Ctrl Pts',(-0.296876024953588,-0.485184925822877, 0.)); #134785=CARTESIAN_POINT('Ctrl Pts',(-0.292135344336379,-0.481611181972981, 0.)); #134786=CARTESIAN_POINT('Ctrl Pts',(-0.287759331458956,-0.479569042630183, 0.)); #134787=CARTESIAN_POINT('',(-0.299136964940257,-0.487154131617717,0.01)); #134788=CARTESIAN_POINT('',(-0.299136964940257,-0.487154131617717,0.)); #134789=CARTESIAN_POINT('Ctrl Pts',(-0.299136964940257,-0.487154131617717, 0.01)); #134790=CARTESIAN_POINT('Ctrl Pts',(-0.296876024953588,-0.485184925822877, 0.01)); #134791=CARTESIAN_POINT('Ctrl Pts',(-0.292135344336379,-0.481611181972981, 0.01)); #134792=CARTESIAN_POINT('Ctrl Pts',(-0.287759331458956,-0.479569042630183, 0.01)); #134793=CARTESIAN_POINT('Origin',(-0.300158034611656,-0.487154131617717, 0.)); #134794=CARTESIAN_POINT('',(-0.300158034611656,-0.487154131617717,0.)); #134795=CARTESIAN_POINT('',(-0.150079017305828,-0.487154131617717,0.)); #134796=CARTESIAN_POINT('',(-0.300158034611656,-0.487154131617717,0.01)); #134797=CARTESIAN_POINT('',(-0.300158034611656,-0.487154131617717,0.)); #134798=CARTESIAN_POINT('',(-0.300158034611656,-0.487154131617717,0.01)); #134799=CARTESIAN_POINT('Origin',(-0.300158034611656,-0.47271328912222, 0.)); #134800=CARTESIAN_POINT('',(-0.300158034611656,-0.47271328912222,0.)); #134801=CARTESIAN_POINT('',(-0.300158034611656,0.0180627188025661,0.)); #134802=CARTESIAN_POINT('',(-0.300158034611656,-0.47271328912222,0.01)); #134803=CARTESIAN_POINT('',(-0.300158034611656,-0.47271328912222,0.)); #134804=CARTESIAN_POINT('',(-0.300158034611656,-0.47271328912222,0.01)); #134805=CARTESIAN_POINT('Ctrl Pts',(-0.287394663719171,-0.467462073669312, 0.)); #134806=CARTESIAN_POINT('Ctrl Pts',(-0.287394663719171,-0.467462073669312, 0.01)); #134807=CARTESIAN_POINT('Ctrl Pts',(-0.290457872733367,-0.468337276244797, 0.)); #134808=CARTESIAN_POINT('Ctrl Pts',(-0.290457872733367,-0.468337276244797, 0.01)); #134809=CARTESIAN_POINT('Ctrl Pts',(-0.297897094624987,-0.471546352354907, 0.)); #134810=CARTESIAN_POINT('Ctrl Pts',(-0.297897094624987,-0.471546352354907, 0.01)); #134811=CARTESIAN_POINT('Ctrl Pts',(-0.300158034611656,-0.47271328912222, 0.)); #134812=CARTESIAN_POINT('Ctrl Pts',(-0.300158034611656,-0.47271328912222, 0.01)); #134813=CARTESIAN_POINT('',(-0.287394663719171,-0.467462073669312,0.)); #134814=CARTESIAN_POINT('Ctrl Pts',(-0.287394663719171,-0.467462073669312, 0.)); #134815=CARTESIAN_POINT('Ctrl Pts',(-0.290457872733367,-0.468337276244797, 0.)); #134816=CARTESIAN_POINT('Ctrl Pts',(-0.297897094624987,-0.471546352354907, 0.)); #134817=CARTESIAN_POINT('Ctrl Pts',(-0.300158034611656,-0.47271328912222, 0.)); #134818=CARTESIAN_POINT('',(-0.287394663719171,-0.467462073669312,0.01)); #134819=CARTESIAN_POINT('',(-0.287394663719171,-0.467462073669312,0.)); #134820=CARTESIAN_POINT('Ctrl Pts',(-0.287394663719171,-0.467462073669312, 0.01)); #134821=CARTESIAN_POINT('Ctrl Pts',(-0.290457872733367,-0.468337276244797, 0.01)); #134822=CARTESIAN_POINT('Ctrl Pts',(-0.297897094624987,-0.471546352354907, 0.01)); #134823=CARTESIAN_POINT('Ctrl Pts',(-0.300158034611656,-0.47271328912222, 0.01)); #134824=CARTESIAN_POINT('Ctrl Pts',(-0.270692881237005,-0.464982333038772, 0.)); #134825=CARTESIAN_POINT('Ctrl Pts',(-0.270692881237005,-0.464982333038772, 0.01)); #134826=CARTESIAN_POINT('Ctrl Pts',(-0.275287694758299,-0.464982333038772, 0.)); #134827=CARTESIAN_POINT('Ctrl Pts',(-0.275287694758299,-0.464982333038772, 0.01)); #134828=CARTESIAN_POINT('Ctrl Pts',(-0.283602119225404,-0.466295136901999, 0.)); #134829=CARTESIAN_POINT('Ctrl Pts',(-0.283602119225404,-0.466295136901999, 0.01)); #134830=CARTESIAN_POINT('Ctrl Pts',(-0.287394663719171,-0.467462073669312, 0.)); #134831=CARTESIAN_POINT('Ctrl Pts',(-0.287394663719171,-0.467462073669312, 0.01)); #134832=CARTESIAN_POINT('',(-0.270692881237005,-0.464982333038772,0.)); #134833=CARTESIAN_POINT('Ctrl Pts',(-0.270692881237005,-0.464982333038772, 0.)); #134834=CARTESIAN_POINT('Ctrl Pts',(-0.275287694758299,-0.464982333038772, 0.)); #134835=CARTESIAN_POINT('Ctrl Pts',(-0.283602119225404,-0.466295136901999, 0.)); #134836=CARTESIAN_POINT('Ctrl Pts',(-0.287394663719171,-0.467462073669312, 0.)); #134837=CARTESIAN_POINT('',(-0.270692881237005,-0.464982333038772,0.01)); #134838=CARTESIAN_POINT('',(-0.270692881237005,-0.464982333038772,0.)); #134839=CARTESIAN_POINT('Ctrl Pts',(-0.270692881237005,-0.464982333038772, 0.01)); #134840=CARTESIAN_POINT('Ctrl Pts',(-0.275287694758299,-0.464982333038772, 0.01)); #134841=CARTESIAN_POINT('Ctrl Pts',(-0.283602119225404,-0.466295136901999, 0.01)); #134842=CARTESIAN_POINT('Ctrl Pts',(-0.287394663719171,-0.467462073669312, 0.01)); #134843=CARTESIAN_POINT('Ctrl Pts',(-0.239623189807299,-0.477308102643515, 0.)); #134844=CARTESIAN_POINT('Ctrl Pts',(-0.239623189807299,-0.477308102643515, 0.01)); #134845=CARTESIAN_POINT('Ctrl Pts',(-0.245093205904078,-0.471400485258993, 0.)); #134846=CARTESIAN_POINT('Ctrl Pts',(-0.245093205904078,-0.471400485258993, 0.01)); #134847=CARTESIAN_POINT('Ctrl Pts',(-0.26113858645463,-0.464982333038772, 0.)); #134848=CARTESIAN_POINT('Ctrl Pts',(-0.26113858645463,-0.464982333038772, 0.01)); #134849=CARTESIAN_POINT('Ctrl Pts',(-0.270692881237005,-0.464982333038772, 0.)); #134850=CARTESIAN_POINT('Ctrl Pts',(-0.270692881237005,-0.464982333038772, 0.01)); #134851=CARTESIAN_POINT('',(-0.239623189807299,-0.477308102643515,0.)); #134852=CARTESIAN_POINT('Ctrl Pts',(-0.239623189807299,-0.477308102643515, 0.)); #134853=CARTESIAN_POINT('Ctrl Pts',(-0.245093205904078,-0.471400485258993, 0.)); #134854=CARTESIAN_POINT('Ctrl Pts',(-0.26113858645463,-0.464982333038772, 0.)); #134855=CARTESIAN_POINT('Ctrl Pts',(-0.270692881237005,-0.464982333038772, 0.)); #134856=CARTESIAN_POINT('',(-0.239623189807299,-0.477308102643515,0.01)); #134857=CARTESIAN_POINT('',(-0.239623189807299,-0.477308102643515,0.)); #134858=CARTESIAN_POINT('Ctrl Pts',(-0.239623189807299,-0.477308102643515, 0.01)); #134859=CARTESIAN_POINT('Ctrl Pts',(-0.245093205904078,-0.471400485258993, 0.01)); #134860=CARTESIAN_POINT('Ctrl Pts',(-0.26113858645463,-0.464982333038772, 0.01)); #134861=CARTESIAN_POINT('Ctrl Pts',(-0.270692881237005,-0.464982333038772, 0.01)); #134862=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.511513936635374, 0.)); #134863=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.511513936635374, 0.01)); #134864=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.500646837989773, 0.)); #134865=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.500646837989773, 0.01)); #134866=CARTESIAN_POINT('Ctrl Pts',(-0.233934373066648,-0.483434520671907, 0.)); #134867=CARTESIAN_POINT('Ctrl Pts',(-0.233934373066648,-0.483434520671907, 0.01)); #134868=CARTESIAN_POINT('Ctrl Pts',(-0.239623189807299,-0.477308102643515, 0.)); #134869=CARTESIAN_POINT('Ctrl Pts',(-0.239623189807299,-0.477308102643515, 0.01)); #134870=CARTESIAN_POINT('',(-0.227807955038256,-0.511513936635374,0.)); #134871=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.511513936635374, 0.)); #134872=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.500646837989773, 0.)); #134873=CARTESIAN_POINT('Ctrl Pts',(-0.233934373066648,-0.483434520671907, 0.)); #134874=CARTESIAN_POINT('Ctrl Pts',(-0.239623189807299,-0.477308102643515, 0.)); #134875=CARTESIAN_POINT('',(-0.227807955038256,-0.511513936635374,0.01)); #134876=CARTESIAN_POINT('',(-0.227807955038256,-0.511513936635374,0.)); #134877=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.511513936635374, 0.01)); #134878=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.500646837989773, 0.01)); #134879=CARTESIAN_POINT('Ctrl Pts',(-0.233934373066648,-0.483434520671907, 0.01)); #134880=CARTESIAN_POINT('Ctrl Pts',(-0.239623189807299,-0.477308102643515, 0.01)); #134881=CARTESIAN_POINT('Ctrl Pts',(-0.239550256259342,-0.546594973202718, 0.)); #134882=CARTESIAN_POINT('Ctrl Pts',(-0.239550256259342,-0.546594973202718, 0.01)); #134883=CARTESIAN_POINT('Ctrl Pts',(-0.233861439518691,-0.54061442227024, 0.)); #134884=CARTESIAN_POINT('Ctrl Pts',(-0.233861439518691,-0.54061442227024, 0.01)); #134885=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.522964503664632, 0.)); #134886=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.522964503664632, 0.01)); #134887=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.511513936635374, 0.)); #134888=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.511513936635374, 0.01)); #134889=CARTESIAN_POINT('',(-0.239550256259342,-0.546594973202718,0.)); #134890=CARTESIAN_POINT('Ctrl Pts',(-0.239550256259342,-0.546594973202718, 0.)); #134891=CARTESIAN_POINT('Ctrl Pts',(-0.233861439518691,-0.54061442227024, 0.)); #134892=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.522964503664632, 0.)); #134893=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.511513936635374, 0.)); #134894=CARTESIAN_POINT('',(-0.239550256259342,-0.546594973202718,0.01)); #134895=CARTESIAN_POINT('',(-0.239550256259342,-0.546594973202718,0.)); #134896=CARTESIAN_POINT('Ctrl Pts',(-0.239550256259342,-0.546594973202718, 0.01)); #134897=CARTESIAN_POINT('Ctrl Pts',(-0.233861439518691,-0.54061442227024, 0.01)); #134898=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.522964503664632, 0.01)); #134899=CARTESIAN_POINT('Ctrl Pts',(-0.227807955038256,-0.511513936635374, 0.01)); #134900=CARTESIAN_POINT('Ctrl Pts',(-0.270619947689048,-0.558337274423804, 0.)); #134901=CARTESIAN_POINT('Ctrl Pts',(-0.270619947689048,-0.558337274423804, 0.01)); #134902=CARTESIAN_POINT('Ctrl Pts',(-0.261065652906673,-0.558337274423804, 0.)); #134903=CARTESIAN_POINT('Ctrl Pts',(-0.261065652906673,-0.558337274423804, 0.01)); #134904=CARTESIAN_POINT('Ctrl Pts',(-0.245239072999992,-0.55250259058724, 0.)); #134905=CARTESIAN_POINT('Ctrl Pts',(-0.245239072999992,-0.55250259058724, 0.01)); #134906=CARTESIAN_POINT('Ctrl Pts',(-0.239550256259342,-0.546594973202718, 0.)); #134907=CARTESIAN_POINT('Ctrl Pts',(-0.239550256259342,-0.546594973202718, 0.01)); #134908=CARTESIAN_POINT('Ctrl Pts',(-0.270619947689048,-0.558337274423804, 0.)); #134909=CARTESIAN_POINT('Ctrl Pts',(-0.261065652906673,-0.558337274423804, 0.)); #134910=CARTESIAN_POINT('Ctrl Pts',(-0.245239072999992,-0.55250259058724, 0.)); #134911=CARTESIAN_POINT('Ctrl Pts',(-0.239550256259342,-0.546594973202718, 0.)); #134912=CARTESIAN_POINT('Ctrl Pts',(-0.270619947689048,-0.558337274423804, 0.01)); #134913=CARTESIAN_POINT('Ctrl Pts',(-0.261065652906673,-0.558337274423804, 0.01)); #134914=CARTESIAN_POINT('Ctrl Pts',(-0.245239072999992,-0.55250259058724, 0.01)); #134915=CARTESIAN_POINT('Ctrl Pts',(-0.239550256259342,-0.546594973202718, 0.01)); #134916=CARTESIAN_POINT('Origin',(-0.26852743263943,-0.513553393494261, 0.01)); #134917=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.555565799601436, 0.)); #134918=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.555565799601436, 0.01)); #134919=CARTESIAN_POINT('Ctrl Pts',(-0.438950576373934,-0.556878603464663, 0.)); #134920=CARTESIAN_POINT('Ctrl Pts',(-0.438950576373934,-0.556878603464663, 0.01)); #134921=CARTESIAN_POINT('Ctrl Pts',(-0.432240689961885,-0.55819140732789, 0.)); #134922=CARTESIAN_POINT('Ctrl Pts',(-0.432240689961885,-0.55819140732789, 0.01)); #134923=CARTESIAN_POINT('Ctrl Pts',(-0.426843607413063,-0.55819140732789, 0.)); #134924=CARTESIAN_POINT('Ctrl Pts',(-0.426843607413063,-0.55819140732789, 0.01)); #134925=CARTESIAN_POINT('',(-0.442888987963615,-0.555565799601436,0.)); #134926=CARTESIAN_POINT('',(-0.426843607413063,-0.55819140732789,0.)); #134927=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.555565799601436, 0.)); #134928=CARTESIAN_POINT('Ctrl Pts',(-0.438950576373934,-0.556878603464663, 0.)); #134929=CARTESIAN_POINT('Ctrl Pts',(-0.432240689961885,-0.55819140732789, 0.)); #134930=CARTESIAN_POINT('Ctrl Pts',(-0.426843607413063,-0.55819140732789, 0.)); #134931=CARTESIAN_POINT('',(-0.442888987963615,-0.555565799601436,0.01)); #134932=CARTESIAN_POINT('',(-0.442888987963615,-0.555565799601436,0.)); #134933=CARTESIAN_POINT('',(-0.426843607413063,-0.55819140732789,0.01)); #134934=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.555565799601436, 0.01)); #134935=CARTESIAN_POINT('Ctrl Pts',(-0.438950576373934,-0.556878603464663, 0.01)); #134936=CARTESIAN_POINT('Ctrl Pts',(-0.432240689961885,-0.55819140732789, 0.01)); #134937=CARTESIAN_POINT('Ctrl Pts',(-0.426843607413063,-0.55819140732789, 0.01)); #134938=CARTESIAN_POINT('',(-0.426843607413063,-0.55819140732789,0.)); #134939=CARTESIAN_POINT('Ctrl Pts',(-0.454850089828572,-0.550460451244442, 0.)); #134940=CARTESIAN_POINT('Ctrl Pts',(-0.454850089828572,-0.550460451244442, 0.01)); #134941=CARTESIAN_POINT('Ctrl Pts',(-0.451859814362333,-0.551846188655626, 0.)); #134942=CARTESIAN_POINT('Ctrl Pts',(-0.451859814362333,-0.551846188655626, 0.01)); #134943=CARTESIAN_POINT('Ctrl Pts',(-0.446608598909425,-0.554398862834123, 0.)); #134944=CARTESIAN_POINT('Ctrl Pts',(-0.446608598909425,-0.554398862834123, 0.01)); #134945=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.555565799601436, 0.)); #134946=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.555565799601436, 0.01)); #134947=CARTESIAN_POINT('',(-0.454850089828572,-0.550460451244442,0.)); #134948=CARTESIAN_POINT('Ctrl Pts',(-0.454850089828572,-0.550460451244442, 0.)); #134949=CARTESIAN_POINT('Ctrl Pts',(-0.451859814362333,-0.551846188655626, 0.)); #134950=CARTESIAN_POINT('Ctrl Pts',(-0.446608598909425,-0.554398862834123, 0.)); #134951=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.555565799601436, 0.)); #134952=CARTESIAN_POINT('',(-0.454850089828572,-0.550460451244442,0.01)); #134953=CARTESIAN_POINT('',(-0.454850089828572,-0.550460451244442,0.)); #134954=CARTESIAN_POINT('Ctrl Pts',(-0.454850089828572,-0.550460451244442, 0.01)); #134955=CARTESIAN_POINT('Ctrl Pts',(-0.451859814362333,-0.551846188655626, 0.01)); #134956=CARTESIAN_POINT('Ctrl Pts',(-0.446608598909425,-0.554398862834123, 0.01)); #134957=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.555565799601436, 0.01)); #134958=CARTESIAN_POINT('Origin',(-0.454850089828572,-0.536165475844859, 0.)); #134959=CARTESIAN_POINT('',(-0.454850089828572,-0.536165475844859,0.)); #134960=CARTESIAN_POINT('',(-0.454850089828572,-0.0136633745587535,0.)); #134961=CARTESIAN_POINT('',(-0.454850089828572,-0.536165475844859,0.01)); #134962=CARTESIAN_POINT('',(-0.454850089828572,-0.536165475844859,0.)); #134963=CARTESIAN_POINT('',(-0.454850089828572,-0.536165475844859,0.01)); #134964=CARTESIAN_POINT('Origin',(-0.453974887253088,-0.536165475844859, 0.)); #134965=CARTESIAN_POINT('',(-0.453974887253088,-0.536165475844859,0.)); #134966=CARTESIAN_POINT('',(-0.226987443626544,-0.536165475844859,0.)); #134967=CARTESIAN_POINT('',(-0.453974887253088,-0.536165475844859,0.01)); #134968=CARTESIAN_POINT('',(-0.453974887253088,-0.536165475844859,0.)); #134969=CARTESIAN_POINT('',(-0.453974887253088,-0.536165475844859,0.01)); #134970=CARTESIAN_POINT('Ctrl Pts',(-0.444420592470713,-0.543385897092608, 0.)); #134971=CARTESIAN_POINT('Ctrl Pts',(-0.444420592470713,-0.543385897092608, 0.01)); #134972=CARTESIAN_POINT('Ctrl Pts',(-0.447702602128781,-0.541489624845724, 0.)); #134973=CARTESIAN_POINT('Ctrl Pts',(-0.447702602128781,-0.541489624845724, 0.01)); #134974=CARTESIAN_POINT('Ctrl Pts',(-0.452589149841904,-0.537551213256043, 0.)); #134975=CARTESIAN_POINT('Ctrl Pts',(-0.452589149841904,-0.537551213256043, 0.01)); #134976=CARTESIAN_POINT('Ctrl Pts',(-0.453974887253088,-0.536165475844859, 0.)); #134977=CARTESIAN_POINT('Ctrl Pts',(-0.453974887253088,-0.536165475844859, 0.01)); #134978=CARTESIAN_POINT('',(-0.444420592470713,-0.543385897092608,0.)); #134979=CARTESIAN_POINT('Ctrl Pts',(-0.444420592470713,-0.543385897092608, 0.)); #134980=CARTESIAN_POINT('Ctrl Pts',(-0.447702602128781,-0.541489624845724, 0.)); #134981=CARTESIAN_POINT('Ctrl Pts',(-0.452589149841904,-0.537551213256043, 0.)); #134982=CARTESIAN_POINT('Ctrl Pts',(-0.453974887253088,-0.536165475844859, 0.)); #134983=CARTESIAN_POINT('',(-0.444420592470713,-0.543385897092608,0.01)); #134984=CARTESIAN_POINT('',(-0.444420592470713,-0.543385897092608,0.)); #134985=CARTESIAN_POINT('Ctrl Pts',(-0.444420592470713,-0.543385897092608, 0.01)); #134986=CARTESIAN_POINT('Ctrl Pts',(-0.447702602128781,-0.541489624845724, 0.01)); #134987=CARTESIAN_POINT('Ctrl Pts',(-0.452589149841904,-0.537551213256043, 0.01)); #134988=CARTESIAN_POINT('Ctrl Pts',(-0.453974887253088,-0.536165475844859, 0.01)); #134989=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.547834843517988, 0.)); #134990=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.547834843517988, 0.01)); #134991=CARTESIAN_POINT('Ctrl Pts',(-0.432167756413928,-0.547834843517988, 0.)); #134992=CARTESIAN_POINT('Ctrl Pts',(-0.432167756413928,-0.547834843517988, 0.01)); #134993=CARTESIAN_POINT('Ctrl Pts',(-0.440992715716732,-0.545282169339491, 0.)); #134994=CARTESIAN_POINT('Ctrl Pts',(-0.440992715716732,-0.545282169339491, 0.01)); #134995=CARTESIAN_POINT('Ctrl Pts',(-0.444420592470713,-0.543385897092608, 0.)); #134996=CARTESIAN_POINT('Ctrl Pts',(-0.444420592470713,-0.543385897092608, 0.01)); #134997=CARTESIAN_POINT('',(-0.426989474508977,-0.547834843517988,0.)); #134998=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.547834843517988, 0.)); #134999=CARTESIAN_POINT('Ctrl Pts',(-0.432167756413928,-0.547834843517988, 0.)); #135000=CARTESIAN_POINT('Ctrl Pts',(-0.440992715716732,-0.545282169339491, 0.)); #135001=CARTESIAN_POINT('Ctrl Pts',(-0.444420592470713,-0.543385897092608, 0.)); #135002=CARTESIAN_POINT('',(-0.426989474508977,-0.547834843517988,0.01)); #135003=CARTESIAN_POINT('',(-0.426989474508977,-0.547834843517988,0.)); #135004=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.547834843517988, 0.01)); #135005=CARTESIAN_POINT('Ctrl Pts',(-0.432167756413928,-0.547834843517988, 0.01)); #135006=CARTESIAN_POINT('Ctrl Pts',(-0.440992715716732,-0.545282169339491, 0.01)); #135007=CARTESIAN_POINT('Ctrl Pts',(-0.444420592470713,-0.543385897092608, 0.01)); #135008=CARTESIAN_POINT('Ctrl Pts',(-0.407370350108529,-0.53886401711927, 0.)); #135009=CARTESIAN_POINT('Ctrl Pts',(-0.407370350108529,-0.53886401711927, 0.01)); #135010=CARTESIAN_POINT('Ctrl Pts',(-0.411162894602296,-0.543312963544651, 0.)); #135011=CARTESIAN_POINT('Ctrl Pts',(-0.411162894602296,-0.543312963544651, 0.01)); #135012=CARTESIAN_POINT('Ctrl Pts',(-0.421300657768326,-0.547834843517988, 0.)); #135013=CARTESIAN_POINT('Ctrl Pts',(-0.421300657768326,-0.547834843517988, 0.01)); #135014=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.547834843517988, 0.)); #135015=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.547834843517988, 0.01)); #135016=CARTESIAN_POINT('',(-0.407370350108529,-0.53886401711927,0.)); #135017=CARTESIAN_POINT('Ctrl Pts',(-0.407370350108529,-0.53886401711927, 0.)); #135018=CARTESIAN_POINT('Ctrl Pts',(-0.411162894602296,-0.543312963544651, 0.)); #135019=CARTESIAN_POINT('Ctrl Pts',(-0.421300657768326,-0.547834843517988, 0.)); #135020=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.547834843517988, 0.)); #135021=CARTESIAN_POINT('',(-0.407370350108529,-0.53886401711927,0.01)); #135022=CARTESIAN_POINT('',(-0.407370350108529,-0.53886401711927,0.)); #135023=CARTESIAN_POINT('Ctrl Pts',(-0.407370350108529,-0.53886401711927, 0.01)); #135024=CARTESIAN_POINT('Ctrl Pts',(-0.411162894602296,-0.543312963544651, 0.01)); #135025=CARTESIAN_POINT('Ctrl Pts',(-0.421300657768326,-0.547834843517988, 0.01)); #135026=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.547834843517988, 0.01)); #135027=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.511659803731288, 0.)); #135028=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.511659803731288, 0.01)); #135029=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.520922364321834, 0.)); #135030=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.520922364321834, 0.01)); #135031=CARTESIAN_POINT('Ctrl Pts',(-0.403504872066805,-0.534269203597976, 0.)); #135032=CARTESIAN_POINT('Ctrl Pts',(-0.403504872066805,-0.534269203597976, 0.01)); #135033=CARTESIAN_POINT('Ctrl Pts',(-0.407370350108529,-0.53886401711927, 0.)); #135034=CARTESIAN_POINT('Ctrl Pts',(-0.407370350108529,-0.53886401711927, 0.01)); #135035=CARTESIAN_POINT('',(-0.399055925641424,-0.511659803731288,0.)); #135036=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.511659803731288, 0.)); #135037=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.520922364321834, 0.)); #135038=CARTESIAN_POINT('Ctrl Pts',(-0.403504872066805,-0.534269203597976, 0.)); #135039=CARTESIAN_POINT('Ctrl Pts',(-0.407370350108529,-0.53886401711927, 0.)); #135040=CARTESIAN_POINT('',(-0.399055925641424,-0.511659803731288,0.01)); #135041=CARTESIAN_POINT('',(-0.399055925641424,-0.511659803731288,0.)); #135042=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.511659803731288, 0.01)); #135043=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.520922364321834, 0.01)); #135044=CARTESIAN_POINT('Ctrl Pts',(-0.403504872066805,-0.534269203597976, 0.01)); #135045=CARTESIAN_POINT('Ctrl Pts',(-0.407370350108529,-0.53886401711927, 0.01)); #135046=CARTESIAN_POINT('Ctrl Pts',(-0.4070786159167,-0.484455590343306, 0.)); #135047=CARTESIAN_POINT('Ctrl Pts',(-0.4070786159167,-0.484455590343306, 0.01)); #135048=CARTESIAN_POINT('Ctrl Pts',(-0.403286071422933,-0.489050403864601, 0.)); #135049=CARTESIAN_POINT('Ctrl Pts',(-0.403286071422933,-0.489050403864601, 0.01)); #135050=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.502980711524398, 0.)); #135051=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.502980711524398, 0.01)); #135052=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.511659803731288, 0.)); #135053=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.511659803731288, 0.01)); #135054=CARTESIAN_POINT('',(-0.407078615916701,-0.484455590343306,0.)); #135055=CARTESIAN_POINT('Ctrl Pts',(-0.4070786159167,-0.484455590343306, 0.)); #135056=CARTESIAN_POINT('Ctrl Pts',(-0.403286071422933,-0.489050403864601, 0.)); #135057=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.502980711524398, 0.)); #135058=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.511659803731288, 0.)); #135059=CARTESIAN_POINT('',(-0.407078615916701,-0.484455590343306,0.01)); #135060=CARTESIAN_POINT('',(-0.407078615916701,-0.484455590343306,0.)); #135061=CARTESIAN_POINT('Ctrl Pts',(-0.4070786159167,-0.484455590343306, 0.01)); #135062=CARTESIAN_POINT('Ctrl Pts',(-0.403286071422933,-0.489050403864601, 0.01)); #135063=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.502980711524398, 0.01)); #135064=CARTESIAN_POINT('Ctrl Pts',(-0.399055925641424,-0.511659803731288, 0.01)); #135065=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.47519302975276, 0.)); #135066=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.47519302975276, 0.01)); #135067=CARTESIAN_POINT('Ctrl Pts',(-0.421227724220369,-0.47519302975276, 0.)); #135068=CARTESIAN_POINT('Ctrl Pts',(-0.421227724220369,-0.47519302975276, 0.01)); #135069=CARTESIAN_POINT('Ctrl Pts',(-0.410944093958424,-0.479933710369969, 0.)); #135070=CARTESIAN_POINT('Ctrl Pts',(-0.410944093958424,-0.479933710369969, 0.01)); #135071=CARTESIAN_POINT('Ctrl Pts',(-0.4070786159167,-0.484455590343306, 0.)); #135072=CARTESIAN_POINT('Ctrl Pts',(-0.4070786159167,-0.484455590343306, 0.01)); #135073=CARTESIAN_POINT('',(-0.426989474508977,-0.47519302975276,0.)); #135074=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.47519302975276, 0.)); #135075=CARTESIAN_POINT('Ctrl Pts',(-0.421227724220369,-0.47519302975276, 0.)); #135076=CARTESIAN_POINT('Ctrl Pts',(-0.410944093958424,-0.479933710369969, 0.)); #135077=CARTESIAN_POINT('Ctrl Pts',(-0.4070786159167,-0.484455590343306, 0.)); #135078=CARTESIAN_POINT('',(-0.426989474508977,-0.47519302975276,0.01)); #135079=CARTESIAN_POINT('',(-0.426989474508977,-0.47519302975276,0.)); #135080=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.47519302975276, 0.01)); #135081=CARTESIAN_POINT('Ctrl Pts',(-0.421227724220369,-0.47519302975276, 0.01)); #135082=CARTESIAN_POINT('Ctrl Pts',(-0.410944093958424,-0.479933710369969, 0.01)); #135083=CARTESIAN_POINT('Ctrl Pts',(-0.4070786159167,-0.484455590343306, 0.01)); #135084=CARTESIAN_POINT('Ctrl Pts',(-0.4437641905391,-0.479496109082226, 0.)); #135085=CARTESIAN_POINT('Ctrl Pts',(-0.4437641905391,-0.479496109082226, 0.01)); #135086=CARTESIAN_POINT('Ctrl Pts',(-0.440336313785118,-0.477599836835343, 0.)); #135087=CARTESIAN_POINT('Ctrl Pts',(-0.440336313785118,-0.477599836835343, 0.01)); #135088=CARTESIAN_POINT('Ctrl Pts',(-0.432240689961885,-0.47519302975276, 0.)); #135089=CARTESIAN_POINT('Ctrl Pts',(-0.432240689961885,-0.47519302975276, 0.01)); #135090=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.47519302975276, 0.)); #135091=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.47519302975276, 0.01)); #135092=CARTESIAN_POINT('',(-0.4437641905391,-0.479496109082226,0.)); #135093=CARTESIAN_POINT('Ctrl Pts',(-0.4437641905391,-0.479496109082226, 0.)); #135094=CARTESIAN_POINT('Ctrl Pts',(-0.440336313785118,-0.477599836835343, 0.)); #135095=CARTESIAN_POINT('Ctrl Pts',(-0.432240689961885,-0.47519302975276, 0.)); #135096=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.47519302975276, 0.)); #135097=CARTESIAN_POINT('',(-0.4437641905391,-0.479496109082226,0.01)); #135098=CARTESIAN_POINT('',(-0.4437641905391,-0.479496109082226,0.)); #135099=CARTESIAN_POINT('Ctrl Pts',(-0.4437641905391,-0.479496109082226, 0.01)); #135100=CARTESIAN_POINT('Ctrl Pts',(-0.440336313785118,-0.477599836835343, 0.01)); #135101=CARTESIAN_POINT('Ctrl Pts',(-0.432240689961885,-0.47519302975276, 0.01)); #135102=CARTESIAN_POINT('Ctrl Pts',(-0.426989474508977,-0.47519302975276, 0.01)); #135103=CARTESIAN_POINT('Ctrl Pts',(-0.453901953705131,-0.487008264521803, 0.)); #135104=CARTESIAN_POINT('Ctrl Pts',(-0.453901953705131,-0.487008264521803, 0.01)); #135105=CARTESIAN_POINT('Ctrl Pts',(-0.452297415650075,-0.485549593562662, 0.)); #135106=CARTESIAN_POINT('Ctrl Pts',(-0.452297415650075,-0.485549593562662, 0.01)); #135107=CARTESIAN_POINT('Ctrl Pts',(-0.447337934388996,-0.481465314877067, 0.)); #135108=CARTESIAN_POINT('Ctrl Pts',(-0.447337934388996,-0.481465314877067, 0.01)); #135109=CARTESIAN_POINT('Ctrl Pts',(-0.4437641905391,-0.479496109082226, 0.)); #135110=CARTESIAN_POINT('Ctrl Pts',(-0.4437641905391,-0.479496109082226, 0.01)); #135111=CARTESIAN_POINT('',(-0.453901953705131,-0.487008264521803,0.)); #135112=CARTESIAN_POINT('Ctrl Pts',(-0.453901953705131,-0.487008264521803, 0.)); #135113=CARTESIAN_POINT('Ctrl Pts',(-0.452297415650075,-0.485549593562662, 0.)); #135114=CARTESIAN_POINT('Ctrl Pts',(-0.447337934388996,-0.481465314877067, 0.)); #135115=CARTESIAN_POINT('Ctrl Pts',(-0.4437641905391,-0.479496109082226, 0.)); #135116=CARTESIAN_POINT('',(-0.453901953705131,-0.487008264521803,0.01)); #135117=CARTESIAN_POINT('',(-0.453901953705131,-0.487008264521803,0.)); #135118=CARTESIAN_POINT('Ctrl Pts',(-0.453901953705131,-0.487008264521803, 0.01)); #135119=CARTESIAN_POINT('Ctrl Pts',(-0.452297415650075,-0.485549593562662, 0.01)); #135120=CARTESIAN_POINT('Ctrl Pts',(-0.447337934388996,-0.481465314877067, 0.01)); #135121=CARTESIAN_POINT('Ctrl Pts',(-0.4437641905391,-0.479496109082226, 0.01)); #135122=CARTESIAN_POINT('Origin',(-0.454850089828572,-0.487008264521803, 0.)); #135123=CARTESIAN_POINT('',(-0.454850089828572,-0.487008264521803,0.)); #135124=CARTESIAN_POINT('',(-0.227425044914286,-0.487008264521803,0.)); #135125=CARTESIAN_POINT('',(-0.454850089828572,-0.487008264521803,0.01)); #135126=CARTESIAN_POINT('',(-0.454850089828572,-0.487008264521803,0.)); #135127=CARTESIAN_POINT('',(-0.454850089828572,-0.487008264521803,0.01)); #135128=CARTESIAN_POINT('Origin',(-0.454850089828572,-0.472567422026306, 0.)); #135129=CARTESIAN_POINT('',(-0.454850089828573,-0.472567422026306,0.)); #135130=CARTESIAN_POINT('',(-0.454850089828572,0.0181356523505231,0.)); #135131=CARTESIAN_POINT('',(-0.454850089828573,-0.472567422026306,0.01)); #135132=CARTESIAN_POINT('',(-0.454850089828573,-0.472567422026306,0.)); #135133=CARTESIAN_POINT('',(-0.454850089828572,-0.472567422026306,0.01)); #135134=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.467316206573398, 0.)); #135135=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.467316206573398, 0.01)); #135136=CARTESIAN_POINT('Ctrl Pts',(-0.445806329881898,-0.46826434269684, 0.)); #135137=CARTESIAN_POINT('Ctrl Pts',(-0.445806329881898,-0.46826434269684, 0.01)); #135138=CARTESIAN_POINT('Ctrl Pts',(-0.451859814362333,-0.470962883971251, 0.)); #135139=CARTESIAN_POINT('Ctrl Pts',(-0.451859814362333,-0.470962883971251, 0.01)); #135140=CARTESIAN_POINT('Ctrl Pts',(-0.454850089828572,-0.472567422026306, 0.)); #135141=CARTESIAN_POINT('Ctrl Pts',(-0.454850089828572,-0.472567422026306, 0.01)); #135142=CARTESIAN_POINT('',(-0.442888987963615,-0.467316206573398,0.)); #135143=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.467316206573398, 0.)); #135144=CARTESIAN_POINT('Ctrl Pts',(-0.445806329881898,-0.46826434269684, 0.)); #135145=CARTESIAN_POINT('Ctrl Pts',(-0.451859814362333,-0.470962883971251, 0.)); #135146=CARTESIAN_POINT('Ctrl Pts',(-0.454850089828572,-0.472567422026306, 0.)); #135147=CARTESIAN_POINT('',(-0.442888987963615,-0.467316206573398,0.01)); #135148=CARTESIAN_POINT('',(-0.442888987963615,-0.467316206573398,0.)); #135149=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.467316206573398, 0.01)); #135150=CARTESIAN_POINT('Ctrl Pts',(-0.445806329881898,-0.46826434269684, 0.01)); #135151=CARTESIAN_POINT('Ctrl Pts',(-0.451859814362333,-0.470962883971251, 0.01)); #135152=CARTESIAN_POINT('Ctrl Pts',(-0.454850089828572,-0.472567422026306, 0.01)); #135153=CARTESIAN_POINT('Ctrl Pts',(-0.427062408056934,-0.464982333038772, 0.)); #135154=CARTESIAN_POINT('Ctrl Pts',(-0.427062408056934,-0.464982333038772, 0.01)); #135155=CARTESIAN_POINT('Ctrl Pts',(-0.431584288030271,-0.464982333038772, 0.)); #135156=CARTESIAN_POINT('Ctrl Pts',(-0.431584288030271,-0.464982333038772, 0.01)); #135157=CARTESIAN_POINT('Ctrl Pts',(-0.439534044757591,-0.466295136901999, 0.)); #135158=CARTESIAN_POINT('Ctrl Pts',(-0.439534044757591,-0.466295136901999, 0.01)); #135159=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.467316206573398, 0.)); #135160=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.467316206573398, 0.01)); #135161=CARTESIAN_POINT('',(-0.427062408056934,-0.464982333038772,0.)); #135162=CARTESIAN_POINT('Ctrl Pts',(-0.427062408056934,-0.464982333038772, 0.)); #135163=CARTESIAN_POINT('Ctrl Pts',(-0.431584288030271,-0.464982333038772, 0.)); #135164=CARTESIAN_POINT('Ctrl Pts',(-0.439534044757591,-0.466295136901999, 0.)); #135165=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.467316206573398, 0.)); #135166=CARTESIAN_POINT('',(-0.427062408056934,-0.464982333038772,0.01)); #135167=CARTESIAN_POINT('',(-0.427062408056934,-0.464982333038772,0.)); #135168=CARTESIAN_POINT('Ctrl Pts',(-0.427062408056934,-0.464982333038772, 0.01)); #135169=CARTESIAN_POINT('Ctrl Pts',(-0.431584288030271,-0.464982333038772, 0.01)); #135170=CARTESIAN_POINT('Ctrl Pts',(-0.439534044757591,-0.466295136901999, 0.01)); #135171=CARTESIAN_POINT('Ctrl Pts',(-0.442888987963615,-0.467316206573398, 0.01)); #135172=CARTESIAN_POINT('Ctrl Pts',(-0.397816055326154,-0.477381036191472, 0.)); #135173=CARTESIAN_POINT('Ctrl Pts',(-0.397816055326154,-0.477381036191472, 0.01)); #135174=CARTESIAN_POINT('Ctrl Pts',(-0.403213137874976,-0.471327551711036, 0.)); #135175=CARTESIAN_POINT('Ctrl Pts',(-0.403213137874976,-0.471327551711036, 0.01)); #135176=CARTESIAN_POINT('Ctrl Pts',(-0.417945714562302,-0.464982333038772, 0.)); #135177=CARTESIAN_POINT('Ctrl Pts',(-0.417945714562302,-0.464982333038772, 0.01)); #135178=CARTESIAN_POINT('Ctrl Pts',(-0.427062408056934,-0.464982333038772, 0.)); #135179=CARTESIAN_POINT('Ctrl Pts',(-0.427062408056934,-0.464982333038772, 0.01)); #135180=CARTESIAN_POINT('',(-0.397816055326154,-0.477381036191472,0.)); #135181=CARTESIAN_POINT('Ctrl Pts',(-0.397816055326154,-0.477381036191472, 0.)); #135182=CARTESIAN_POINT('Ctrl Pts',(-0.403213137874976,-0.471327551711036, 0.)); #135183=CARTESIAN_POINT('Ctrl Pts',(-0.417945714562302,-0.464982333038772, 0.)); #135184=CARTESIAN_POINT('Ctrl Pts',(-0.427062408056934,-0.464982333038772, 0.)); #135185=CARTESIAN_POINT('',(-0.397816055326154,-0.477381036191472,0.01)); #135186=CARTESIAN_POINT('',(-0.397816055326154,-0.477381036191472,0.)); #135187=CARTESIAN_POINT('Ctrl Pts',(-0.397816055326154,-0.477381036191472, 0.01)); #135188=CARTESIAN_POINT('Ctrl Pts',(-0.403213137874976,-0.471327551711036, 0.01)); #135189=CARTESIAN_POINT('Ctrl Pts',(-0.417945714562302,-0.464982333038772, 0.01)); #135190=CARTESIAN_POINT('Ctrl Pts',(-0.427062408056934,-0.464982333038772, 0.01)); #135191=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.511659803731288, 0.)); #135192=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.511659803731288, 0.01)); #135193=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.500355103797944, 0.)); #135194=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.500355103797944, 0.01)); #135195=CARTESIAN_POINT('Ctrl Pts',(-0.392346039229375,-0.483580387767822, 0.)); #135196=CARTESIAN_POINT('Ctrl Pts',(-0.392346039229375,-0.483580387767822, 0.01)); #135197=CARTESIAN_POINT('Ctrl Pts',(-0.397816055326154,-0.477381036191472, 0.)); #135198=CARTESIAN_POINT('Ctrl Pts',(-0.397816055326154,-0.477381036191472, 0.01)); #135199=CARTESIAN_POINT('',(-0.386584288940768,-0.511659803731288,0.)); #135200=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.511659803731288, 0.)); #135201=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.500355103797944, 0.)); #135202=CARTESIAN_POINT('Ctrl Pts',(-0.392346039229375,-0.483580387767822, 0.)); #135203=CARTESIAN_POINT('Ctrl Pts',(-0.397816055326154,-0.477381036191472, 0.)); #135204=CARTESIAN_POINT('',(-0.386584288940768,-0.511659803731288,0.01)); #135205=CARTESIAN_POINT('',(-0.386584288940768,-0.511659803731288,0.)); #135206=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.511659803731288, 0.01)); #135207=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.500355103797944, 0.01)); #135208=CARTESIAN_POINT('Ctrl Pts',(-0.392346039229375,-0.483580387767822, 0.01)); #135209=CARTESIAN_POINT('Ctrl Pts',(-0.397816055326154,-0.477381036191472, 0.01)); #135210=CARTESIAN_POINT('Ctrl Pts',(-0.397888988874111,-0.546376172558847, 0.)); #135211=CARTESIAN_POINT('Ctrl Pts',(-0.397888988874111,-0.546376172558847, 0.01)); #135212=CARTESIAN_POINT('Ctrl Pts',(-0.392491906325289,-0.540541488722283, 0.)); #135213=CARTESIAN_POINT('Ctrl Pts',(-0.392491906325289,-0.540541488722283, 0.01)); #135214=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.523037437212589, 0.)); #135215=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.523037437212589, 0.01)); #135216=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.511659803731288, 0.)); #135217=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.511659803731288, 0.01)); #135218=CARTESIAN_POINT('',(-0.397888988874111,-0.546376172558847,0.)); #135219=CARTESIAN_POINT('Ctrl Pts',(-0.397888988874111,-0.546376172558847, 0.)); #135220=CARTESIAN_POINT('Ctrl Pts',(-0.392491906325289,-0.540541488722283, 0.)); #135221=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.523037437212589, 0.)); #135222=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.511659803731288, 0.)); #135223=CARTESIAN_POINT('',(-0.397888988874111,-0.546376172558847,0.01)); #135224=CARTESIAN_POINT('',(-0.397888988874111,-0.546376172558847,0.)); #135225=CARTESIAN_POINT('Ctrl Pts',(-0.397888988874111,-0.546376172558847, 0.01)); #135226=CARTESIAN_POINT('Ctrl Pts',(-0.392491906325289,-0.540541488722283, 0.01)); #135227=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.523037437212589, 0.01)); #135228=CARTESIAN_POINT('Ctrl Pts',(-0.386584288940768,-0.511659803731288, 0.01)); #135229=CARTESIAN_POINT('Ctrl Pts',(-0.426843607413063,-0.55819140732789, 0.)); #135230=CARTESIAN_POINT('Ctrl Pts',(-0.426843607413063,-0.55819140732789, 0.01)); #135231=CARTESIAN_POINT('Ctrl Pts',(-0.418091581658216,-0.55819140732789, 0.)); #135232=CARTESIAN_POINT('Ctrl Pts',(-0.418091581658216,-0.55819140732789, 0.01)); #135233=CARTESIAN_POINT('Ctrl Pts',(-0.403286071422933,-0.552283789943369, 0.)); #135234=CARTESIAN_POINT('Ctrl Pts',(-0.403286071422933,-0.552283789943369, 0.01)); #135235=CARTESIAN_POINT('Ctrl Pts',(-0.397888988874111,-0.546376172558847, 0.)); #135236=CARTESIAN_POINT('Ctrl Pts',(-0.397888988874111,-0.546376172558847, 0.01)); #135237=CARTESIAN_POINT('Ctrl Pts',(-0.426843607413063,-0.55819140732789, 0.)); #135238=CARTESIAN_POINT('Ctrl Pts',(-0.418091581658216,-0.55819140732789, 0.)); #135239=CARTESIAN_POINT('Ctrl Pts',(-0.403286071422933,-0.552283789943369, 0.)); #135240=CARTESIAN_POINT('Ctrl Pts',(-0.397888988874111,-0.546376172558847, 0.)); #135241=CARTESIAN_POINT('Ctrl Pts',(-0.426843607413063,-0.55819140732789, 0.01)); #135242=CARTESIAN_POINT('Ctrl Pts',(-0.418091581658216,-0.55819140732789, 0.01)); #135243=CARTESIAN_POINT('Ctrl Pts',(-0.403286071422933,-0.552283789943369, 0.01)); #135244=CARTESIAN_POINT('Ctrl Pts',(-0.397888988874111,-0.546376172558847, 0.01)); #135245=CARTESIAN_POINT('Origin',(-0.41984822930651,-0.511575809565015, 0.01)); #135246=CARTESIAN_POINT('Ctrl Pts',(-1.48568035697872,-0.00881698390016172, 0.)); #135247=CARTESIAN_POINT('Ctrl Pts',(-1.48568035697872,-0.00881698390016172, 0.01)); #135248=CARTESIAN_POINT('Ctrl Pts',(-1.48929017711243,-0.00451496778719369, 0.)); #135249=CARTESIAN_POINT('Ctrl Pts',(-1.48929017711243,-0.00451496778719369, 0.01)); #135250=CARTESIAN_POINT('Ctrl Pts',(-1.49533905545371,0.000311051082166751, 0.)); #135251=CARTESIAN_POINT('Ctrl Pts',(-1.49533905545371,0.000311051082166751, 0.01)); #135252=CARTESIAN_POINT('Ctrl Pts',(-1.49862003472841,0.0012710940033261, 0.)); #135253=CARTESIAN_POINT('Ctrl Pts',(-1.49862003472841,0.0012710940033261, 0.01)); #135254=CARTESIAN_POINT('',(-1.49862003472841,0.0012710940033261,0.)); #135255=CARTESIAN_POINT('',(-1.48568035697872,-0.00881698390016172,0.)); #135256=CARTESIAN_POINT('Ctrl Pts',(-1.49862003472841,0.0012710940033261, 0.)); #135257=CARTESIAN_POINT('Ctrl Pts',(-1.49533905545371,0.000311051082166751, 0.)); #135258=CARTESIAN_POINT('Ctrl Pts',(-1.48929017711243,-0.00451496778719369, 0.)); #135259=CARTESIAN_POINT('Ctrl Pts',(-1.48568035697872,-0.00881698390016172, 0.)); #135260=CARTESIAN_POINT('',(-1.49862003472841,0.0012710940033261,0.01)); #135261=CARTESIAN_POINT('',(-1.49862003472841,0.0012710940033261,0.)); #135262=CARTESIAN_POINT('',(-1.48568035697872,-0.00881698390016172,0.01)); #135263=CARTESIAN_POINT('Ctrl Pts',(-1.49862003472841,0.0012710940033261, 0.01)); #135264=CARTESIAN_POINT('Ctrl Pts',(-1.49533905545371,0.000311051082166751, 0.01)); #135265=CARTESIAN_POINT('Ctrl Pts',(-1.48929017711243,-0.00451496778719369, 0.01)); #135266=CARTESIAN_POINT('Ctrl Pts',(-1.48568035697872,-0.00881698390016172, 0.01)); #135267=CARTESIAN_POINT('',(-1.48568035697872,-0.00881698390016172,0.)); #135268=CARTESIAN_POINT('Origin',(-1.47930457076853,-0.0164153500217676, 0.)); #135269=CARTESIAN_POINT('',(-1.47930457076853,-0.0164153500217676,0.)); #135270=CARTESIAN_POINT('',(-1.30301663557943,-0.226507130114396,0.)); #135271=CARTESIAN_POINT('',(-1.47930457076853,-0.0164153500217676,0.01)); #135272=CARTESIAN_POINT('',(-1.48104452154377,-0.0143417574344344,0.01)); #135273=CARTESIAN_POINT('',(-1.47930457076853,-0.0164153500217676,0.)); #135274=CARTESIAN_POINT('Origin',(-1.50679277762022,-0.0394806942527469, 0.)); #135275=CARTESIAN_POINT('',(-1.50679277762022,-0.0394806942527468,0.)); #135276=CARTESIAN_POINT('',(-0.929684323999212,0.44477079632993,0.)); #135277=CARTESIAN_POINT('',(-1.50679277762022,-0.0394806942527468,0.01)); #135278=CARTESIAN_POINT('',(-1.50520992451,-0.0381525227917482,0.01)); #135279=CARTESIAN_POINT('',(-1.50679277762022,-0.0394806942527468,0.)); #135280=CARTESIAN_POINT('Origin',(-1.51204342508744,-0.0332232162702481, 0.)); #135281=CARTESIAN_POINT('',(-1.51204342508744,-0.0332232162702481,0.)); #135282=CARTESIAN_POINT('',(-1.33313016616472,-0.24644373535413,0.)); #135283=CARTESIAN_POINT('',(-1.51204342508744,-0.0332232162702481,0.01)); #135284=CARTESIAN_POINT('',(-1.51115805212907,-0.0342783626741643,0.01)); #135285=CARTESIAN_POINT('',(-1.51204342508744,-0.0332232162702481,0.)); #135286=CARTESIAN_POINT('Ctrl Pts',(-1.52023866538362,-0.0150601392883631, 0.)); #135287=CARTESIAN_POINT('Ctrl Pts',(-1.52023866538362,-0.0150601392883631, 0.01)); #135288=CARTESIAN_POINT('Ctrl Pts',(-1.52070998838877,-0.0192639456537467, 0.)); #135289=CARTESIAN_POINT('Ctrl Pts',(-1.52070998838877,-0.0192639456537467, 0.01)); #135290=CARTESIAN_POINT('Ctrl Pts',(-1.51673150318316,-0.0276361823573025, 0.)); #135291=CARTESIAN_POINT('Ctrl Pts',(-1.51673150318316,-0.0276361823573025, 0.01)); #135292=CARTESIAN_POINT('Ctrl Pts',(-1.51204342508744,-0.0332232162702481, 0.)); #135293=CARTESIAN_POINT('Ctrl Pts',(-1.51204342508744,-0.0332232162702481, 0.01)); #135294=CARTESIAN_POINT('',(-1.52023866538362,-0.0150601392883631,0.)); #135295=CARTESIAN_POINT('Ctrl Pts',(-1.51204342508744,-0.0332232162702481, 0.)); #135296=CARTESIAN_POINT('Ctrl Pts',(-1.51673150318316,-0.0276361823573025, 0.)); #135297=CARTESIAN_POINT('Ctrl Pts',(-1.52070998838877,-0.0192639456537467, 0.)); #135298=CARTESIAN_POINT('Ctrl Pts',(-1.52023866538362,-0.0150601392883631, 0.)); #135299=CARTESIAN_POINT('',(-1.52023866538362,-0.0150601392883631,0.01)); #135300=CARTESIAN_POINT('Ctrl Pts',(-1.51204342508744,-0.0332232162702481, 0.01)); #135301=CARTESIAN_POINT('Ctrl Pts',(-1.51673150318316,-0.0276361823573025, 0.01)); #135302=CARTESIAN_POINT('Ctrl Pts',(-1.52070998838877,-0.0192639456537467, 0.01)); #135303=CARTESIAN_POINT('Ctrl Pts',(-1.52023866538362,-0.0150601392883631, 0.01)); #135304=CARTESIAN_POINT('',(-1.52023866538362,-0.0150601392883631,0.)); #135305=CARTESIAN_POINT('Ctrl Pts',(-1.51329604798994,-0.00257003263411998, 0.)); #135306=CARTESIAN_POINT('Ctrl Pts',(-1.51329604798994,-0.00257003263411998, 0.01)); #135307=CARTESIAN_POINT('Ctrl Pts',(-1.51659239799858,-0.00533599871059918, 0.)); #135308=CARTESIAN_POINT('Ctrl Pts',(-1.51659239799858,-0.00533599871059918, 0.01)); #135309=CARTESIAN_POINT('Ctrl Pts',(-1.51990605173125,-0.0112583478451541, 0.)); #135310=CARTESIAN_POINT('Ctrl Pts',(-1.51990605173125,-0.0112583478451541, 0.01)); #135311=CARTESIAN_POINT('Ctrl Pts',(-1.52023866538362,-0.0150601392883631, 0.)); #135312=CARTESIAN_POINT('Ctrl Pts',(-1.52023866538362,-0.0150601392883631, 0.01)); #135313=CARTESIAN_POINT('',(-1.51329604798994,-0.00257003263411998,0.)); #135314=CARTESIAN_POINT('Ctrl Pts',(-1.52023866538362,-0.0150601392883631, 0.)); #135315=CARTESIAN_POINT('Ctrl Pts',(-1.51990605173125,-0.0112583478451541, 0.)); #135316=CARTESIAN_POINT('Ctrl Pts',(-1.51659239799858,-0.00533599871059918, 0.)); #135317=CARTESIAN_POINT('Ctrl Pts',(-1.51329604798994,-0.00257003263411998, 0.)); #135318=CARTESIAN_POINT('',(-1.51329604798994,-0.00257003263411998,0.01)); #135319=CARTESIAN_POINT('Ctrl Pts',(-1.52023866538362,-0.0150601392883631, 0.01)); #135320=CARTESIAN_POINT('Ctrl Pts',(-1.51990605173125,-0.0112583478451541, 0.01)); #135321=CARTESIAN_POINT('Ctrl Pts',(-1.51659239799858,-0.00533599871059918, 0.01)); #135322=CARTESIAN_POINT('Ctrl Pts',(-1.51329604798994,-0.00257003263411998, 0.01)); #135323=CARTESIAN_POINT('',(-1.51329604798994,-0.00257003263411998,0.)); #135324=CARTESIAN_POINT('Ctrl Pts',(-1.49862003472841,0.0012710940033261, 0.)); #135325=CARTESIAN_POINT('Ctrl Pts',(-1.49862003472841,0.0012710940033261, 0.01)); #135326=CARTESIAN_POINT('Ctrl Pts',(-1.50240578004537,0.00237883583543332, 0.)); #135327=CARTESIAN_POINT('Ctrl Pts',(-1.50240578004537,0.00237883583543332, 0.01)); #135328=CARTESIAN_POINT('Ctrl Pts',(-1.50972034628565,0.000430337347145664, 0.)); #135329=CARTESIAN_POINT('Ctrl Pts',(-1.50972034628565,0.000430337347145664, 0.01)); #135330=CARTESIAN_POINT('Ctrl Pts',(-1.51329604798994,-0.00257003263411998, 0.)); #135331=CARTESIAN_POINT('Ctrl Pts',(-1.51329604798994,-0.00257003263411998, 0.01)); #135332=CARTESIAN_POINT('Ctrl Pts',(-1.51329604798994,-0.00257003263411998, 0.)); #135333=CARTESIAN_POINT('Ctrl Pts',(-1.50972034628565,0.000430337347145664, 0.)); #135334=CARTESIAN_POINT('Ctrl Pts',(-1.50240578004537,0.00237883583543332, 0.)); #135335=CARTESIAN_POINT('Ctrl Pts',(-1.49862003472841,0.0012710940033261, 0.)); #135336=CARTESIAN_POINT('Ctrl Pts',(-1.51329604798994,-0.00257003263411998, 0.01)); #135337=CARTESIAN_POINT('Ctrl Pts',(-1.50972034628565,0.000430337347145664, 0.01)); #135338=CARTESIAN_POINT('Ctrl Pts',(-1.50240578004537,0.00237883583543332, 0.01)); #135339=CARTESIAN_POINT('Ctrl Pts',(-1.49862003472841,0.0012710940033261, 0.01)); #135340=CARTESIAN_POINT('Ctrl Pts',(-1.49766008499408,0.0128350897921694, 0.)); #135341=CARTESIAN_POINT('Ctrl Pts',(-1.49766008499408,0.0128350897921694, 0.01)); #135342=CARTESIAN_POINT('Ctrl Pts',(-1.50352076481921,0.0144867464871097, 0.)); #135343=CARTESIAN_POINT('Ctrl Pts',(-1.50352076481921,0.0144867464871097, 0.01)); #135344=CARTESIAN_POINT('Ctrl Pts',(-1.51527866490765,0.0120469197004026, 0.)); #135345=CARTESIAN_POINT('Ctrl Pts',(-1.51527866490765,0.0120469197004026, 0.01)); #135346=CARTESIAN_POINT('Ctrl Pts',(-1.52103330983799,0.00721819926180329, 0.)); #135347=CARTESIAN_POINT('Ctrl Pts',(-1.52103330983799,0.00721819926180329, 0.01)); #135348=CARTESIAN_POINT('',(-1.49766008499408,0.0128350897921694,0.)); #135349=CARTESIAN_POINT('',(-1.52103330983799,0.00721819926180329,0.)); #135350=CARTESIAN_POINT('Ctrl Pts',(-1.49766008499408,0.0128350897921694, 0.)); #135351=CARTESIAN_POINT('Ctrl Pts',(-1.50352076481921,0.0144867464871097, 0.)); #135352=CARTESIAN_POINT('Ctrl Pts',(-1.51527866490765,0.0120469197004026, 0.)); #135353=CARTESIAN_POINT('Ctrl Pts',(-1.52103330983799,0.00721819926180329, 0.)); #135354=CARTESIAN_POINT('',(-1.49766008499408,0.0128350897921694,0.01)); #135355=CARTESIAN_POINT('',(-1.49766008499408,0.0128350897921694,0.)); #135356=CARTESIAN_POINT('',(-1.52103330983799,0.00721819926180329,0.01)); #135357=CARTESIAN_POINT('Ctrl Pts',(-1.49766008499408,0.0128350897921694, 0.01)); #135358=CARTESIAN_POINT('Ctrl Pts',(-1.50352076481921,0.0144867464871097, 0.01)); #135359=CARTESIAN_POINT('Ctrl Pts',(-1.51527866490765,0.0120469197004026, 0.01)); #135360=CARTESIAN_POINT('Ctrl Pts',(-1.52103330983799,0.00721819926180329, 0.01)); #135361=CARTESIAN_POINT('',(-1.52103330983799,0.00721819926180329,0.)); #135362=CARTESIAN_POINT('Ctrl Pts',(-1.47821557663191,-0.00160120961293408, 0.)); #135363=CARTESIAN_POINT('Ctrl Pts',(-1.47821557663191,-0.00160120961293408, 0.01)); #135364=CARTESIAN_POINT('Ctrl Pts',(-1.48337246253721,0.00454452769130573, 0.)); #135365=CARTESIAN_POINT('Ctrl Pts',(-1.48337246253721,0.00454452769130573, 0.01)); #135366=CARTESIAN_POINT('Ctrl Pts',(-1.49260343501329,0.0114608518027799, 0.)); #135367=CARTESIAN_POINT('Ctrl Pts',(-1.49260343501329,0.0114608518027799, 0.01)); #135368=CARTESIAN_POINT('Ctrl Pts',(-1.49766008499408,0.0128350897921694, 0.)); #135369=CARTESIAN_POINT('Ctrl Pts',(-1.49766008499408,0.0128350897921694, 0.01)); #135370=CARTESIAN_POINT('',(-1.47821557663191,-0.00160120961293408,0.)); #135371=CARTESIAN_POINT('Ctrl Pts',(-1.47821557663191,-0.00160120961293408, 0.)); #135372=CARTESIAN_POINT('Ctrl Pts',(-1.48337246253721,0.00454452769130573, 0.)); #135373=CARTESIAN_POINT('Ctrl Pts',(-1.49260343501329,0.0114608518027799, 0.)); #135374=CARTESIAN_POINT('Ctrl Pts',(-1.49766008499408,0.0128350897921694, 0.)); #135375=CARTESIAN_POINT('',(-1.47821557663191,-0.00160120961293408,0.01)); #135376=CARTESIAN_POINT('',(-1.47821557663191,-0.00160120961293408,0.)); #135377=CARTESIAN_POINT('Ctrl Pts',(-1.47821557663191,-0.00160120961293408, 0.01)); #135378=CARTESIAN_POINT('Ctrl Pts',(-1.48337246253721,0.00454452769130573, 0.01)); #135379=CARTESIAN_POINT('Ctrl Pts',(-1.49260343501329,0.0114608518027799, 0.01)); #135380=CARTESIAN_POINT('Ctrl Pts',(-1.49766008499408,0.0128350897921694, 0.01)); #135381=CARTESIAN_POINT('Origin',(-1.46368253453516,-0.0189210147430652, 0.)); #135382=CARTESIAN_POINT('',(-1.46368253453516,-0.0189210147430652,0.)); #135383=CARTESIAN_POINT('',(-1.29123882338455,-0.224431427027078,0.)); #135384=CARTESIAN_POINT('',(-1.46368253453516,-0.0189210147430652,0.01)); #135385=CARTESIAN_POINT('',(-1.46368253453516,-0.0189210147430652,0.)); #135386=CARTESIAN_POINT('',(-1.46368253453516,-0.0189210147430652,0.01)); #135387=CARTESIAN_POINT('Origin',(-1.5326265330209,-0.0767718984443424, 0.)); #135388=CARTESIAN_POINT('',(-1.5326265330209,-0.0767718984443424,0.)); #135389=CARTESIAN_POINT('',(-0.938756977661057,0.421543826425518,0.)); #135390=CARTESIAN_POINT('',(-1.5326265330209,-0.0767718984443424,0.01)); #135391=CARTESIAN_POINT('',(-1.5326265330209,-0.0767718984443424,0.)); #135392=CARTESIAN_POINT('',(-1.5326265330209,-0.0767718984443424,0.01)); #135393=CARTESIAN_POINT('Origin',(-1.5403149810979,-0.0676091628271118, 0.)); #135394=CARTESIAN_POINT('',(-1.5403149810979,-0.0676091628271118,0.)); #135395=CARTESIAN_POINT('',(-1.36402704590879,-0.277700942919741,0.)); #135396=CARTESIAN_POINT('',(-1.5403149810979,-0.0676091628271118,0.01)); #135397=CARTESIAN_POINT('',(-1.5403149810979,-0.0676091628271118,0.)); #135398=CARTESIAN_POINT('',(-1.5403149810979,-0.0676091628271118,0.01)); #135399=CARTESIAN_POINT('Origin',(-1.51461462509835,-0.0460440035867654, 0.)); #135400=CARTESIAN_POINT('',(-1.51461462509835,-0.0460440035867654,0.)); #135401=CARTESIAN_POINT('',(-0.933595247738273,0.441489141662921,0.)); #135402=CARTESIAN_POINT('',(-1.51461462509835,-0.0460440035867654,0.01)); #135403=CARTESIAN_POINT('',(-1.51461462509835,-0.0460440035867654,0.)); #135404=CARTESIAN_POINT('',(-1.51461462509835,-0.0460440035867654,0.01)); #135405=CARTESIAN_POINT('Origin',(-1.52113105365141,-0.0382780264477713, 0.)); #135406=CARTESIAN_POINT('',(-1.52113105365141,-0.0382780264477713,0.)); #135407=CARTESIAN_POINT('',(-1.34158490418577,-0.252252795109898,0.)); #135408=CARTESIAN_POINT('',(-1.52113105365141,-0.0382780264477713,0.01)); #135409=CARTESIAN_POINT('',(-1.52113105365141,-0.0382780264477713,0.)); #135410=CARTESIAN_POINT('',(-1.52113105365141,-0.0382780264477713,0.01)); #135411=CARTESIAN_POINT('Ctrl Pts',(-1.53127865841607,-0.0116611313464715, 0.)); #135412=CARTESIAN_POINT('Ctrl Pts',(-1.53127865841607,-0.0116611313464715, 0.01)); #135413=CARTESIAN_POINT('Ctrl Pts',(-1.53179811979996,-0.0181903222510647, 0.)); #135414=CARTESIAN_POINT('Ctrl Pts',(-1.53179811979996,-0.0181903222510647, 0.01)); #135415=CARTESIAN_POINT('Ctrl Pts',(-1.52731931673777,-0.0309031416826831, 0.)); #135416=CARTESIAN_POINT('Ctrl Pts',(-1.52731931673777,-0.0309031416826831, 0.01)); #135417=CARTESIAN_POINT('Ctrl Pts',(-1.52113105365141,-0.0382780264477713, 0.)); #135418=CARTESIAN_POINT('Ctrl Pts',(-1.52113105365141,-0.0382780264477713, 0.01)); #135419=CARTESIAN_POINT('',(-1.53127865841607,-0.0116611313464715,0.)); #135420=CARTESIAN_POINT('Ctrl Pts',(-1.53127865841607,-0.0116611313464715, 0.)); #135421=CARTESIAN_POINT('Ctrl Pts',(-1.53179811979996,-0.0181903222510647, 0.)); #135422=CARTESIAN_POINT('Ctrl Pts',(-1.52731931673777,-0.0309031416826831, 0.)); #135423=CARTESIAN_POINT('Ctrl Pts',(-1.52113105365141,-0.0382780264477713, 0.)); #135424=CARTESIAN_POINT('',(-1.53127865841607,-0.0116611313464715,0.01)); #135425=CARTESIAN_POINT('',(-1.53127865841607,-0.0116611313464715,0.)); #135426=CARTESIAN_POINT('Ctrl Pts',(-1.53127865841607,-0.0116611313464715, 0.01)); #135427=CARTESIAN_POINT('Ctrl Pts',(-1.53179811979996,-0.0181903222510647, 0.01)); #135428=CARTESIAN_POINT('Ctrl Pts',(-1.52731931673777,-0.0309031416826831, 0.01)); #135429=CARTESIAN_POINT('Ctrl Pts',(-1.52113105365141,-0.0382780264477713, 0.01)); #135430=CARTESIAN_POINT('Ctrl Pts',(-1.52103330983799,0.00721819926180329, 0.)); #135431=CARTESIAN_POINT('Ctrl Pts',(-1.52103330983799,0.00721819926180329, 0.01)); #135432=CARTESIAN_POINT('Ctrl Pts',(-1.52550293696834,0.00346773678522133, 0.)); #135433=CARTESIAN_POINT('Ctrl Pts',(-1.52550293696834,0.00346773678522133, 0.01)); #135434=CARTESIAN_POINT('Ctrl Pts',(-1.53087606128842,-0.00646770500300517, 0.)); #135435=CARTESIAN_POINT('Ctrl Pts',(-1.53087606128842,-0.00646770500300517, 0.01)); #135436=CARTESIAN_POINT('Ctrl Pts',(-1.53127865841607,-0.0116611313464715, 0.)); #135437=CARTESIAN_POINT('Ctrl Pts',(-1.53127865841607,-0.0116611313464715, 0.01)); #135438=CARTESIAN_POINT('Ctrl Pts',(-1.52103330983799,0.00721819926180329, 0.)); #135439=CARTESIAN_POINT('Ctrl Pts',(-1.52550293696834,0.00346773678522133, 0.)); #135440=CARTESIAN_POINT('Ctrl Pts',(-1.53087606128842,-0.00646770500300517, 0.)); #135441=CARTESIAN_POINT('Ctrl Pts',(-1.53127865841607,-0.0116611313464715, 0.)); #135442=CARTESIAN_POINT('Ctrl Pts',(-1.52103330983799,0.00721819926180329, 0.01)); #135443=CARTESIAN_POINT('Ctrl Pts',(-1.52550293696834,0.00346773678522133, 0.01)); #135444=CARTESIAN_POINT('Ctrl Pts',(-1.53087606128842,-0.00646770500300517, 0.01)); #135445=CARTESIAN_POINT('Ctrl Pts',(-1.53127865841607,-0.0116611313464715, 0.01)); #135446=CARTESIAN_POINT('Origin',(-1.50710697295026,-0.0326771661560831, 0.01)); #135447=CARTESIAN_POINT('Origin',(-1.55831717790101,0.00887528217970187, 0.)); #135448=CARTESIAN_POINT('',(-1.53476385924868,0.0467283803504748,0.)); #135449=CARTESIAN_POINT('',(-1.55831717790101,0.00887528217970188,0.)); #135450=CARTESIAN_POINT('',(-1.22871610306451,0.538585002798784,0.)); #135451=CARTESIAN_POINT('',(-1.53476385924868,0.0467283803504748,0.01)); #135452=CARTESIAN_POINT('',(-1.53476385924868,0.0467283803504748,0.)); #135453=CARTESIAN_POINT('',(-1.55831717790101,0.00887528217970188,0.01)); #135454=CARTESIAN_POINT('',(-1.55699749814487,0.0109961708790988,0.01)); #135455=CARTESIAN_POINT('',(-1.55831717790101,0.00887528217970188,0.)); #135456=CARTESIAN_POINT('Origin',(-1.57617875544573,0.0301618813880241, 0.)); #135457=CARTESIAN_POINT('',(-1.57617875544573,0.0301618813880241,0.)); #135458=CARTESIAN_POINT('',(-1.36841037584381,-0.217446831430036,0.)); #135459=CARTESIAN_POINT('',(-1.57617875544573,0.0301618813880241,0.01)); #135460=CARTESIAN_POINT('',(-1.57178592745035,0.024926712842885,0.01)); #135461=CARTESIAN_POINT('',(-1.57617875544573,0.0301618813880241,0.)); #135462=CARTESIAN_POINT('Origin',(-1.53476385924868,0.0467283803504748, 0.)); #135463=CARTESIAN_POINT('',(-0.793557587109088,0.343220562292399,0.)); #135464=CARTESIAN_POINT('',(-1.55320796861594,0.0393504958993752,0.01)); #135465=CARTESIAN_POINT('Origin',(-1.5780540097685,-0.0226335398279008, 0.)); #135466=CARTESIAN_POINT('',(-1.5780540097685,-0.0226335398279008,0.)); #135467=CARTESIAN_POINT('',(-1.57027180012959,-0.0319080161233903,0.)); #135468=CARTESIAN_POINT('',(-1.38289656024408,-0.255213131420142,0.)); #135469=CARTESIAN_POINT('',(-1.5780540097685,-0.0226335398279008,0.01)); #135470=CARTESIAN_POINT('',(-1.5780540097685,-0.0226335398279008,0.)); #135471=CARTESIAN_POINT('',(-1.57027180012959,-0.0319080161233903,0.01)); #135472=CARTESIAN_POINT('',(-1.5780540097685,-0.0226335398279008,0.01)); #135473=CARTESIAN_POINT('',(-1.57027180012959,-0.0319080161233903,0.)); #135474=CARTESIAN_POINT('Origin',(-1.56402939023605,-0.000202192415142152, 0.)); #135475=CARTESIAN_POINT('',(-1.56402939023605,-0.000202192415142152,0.)); #135476=CARTESIAN_POINT('',(-1.22983986791839,0.534309368448808,0.)); #135477=CARTESIAN_POINT('',(-1.56402939023605,-0.000202192415142152,0.01)); #135478=CARTESIAN_POINT('',(-1.56402939023605,-0.000202192415142152,0.)); #135479=CARTESIAN_POINT('',(-1.56402939023605,-0.000202192415142152,0.01)); #135480=CARTESIAN_POINT('Origin',(-1.58611023806693,0.0261127373148309, 0.)); #135481=CARTESIAN_POINT('',(-1.58611023806693,0.0261127373148309,0.)); #135482=CARTESIAN_POINT('',(-1.37728704089348,-0.222753058133637,0.)); #135483=CARTESIAN_POINT('',(-1.58611023806693,0.0261127373148309,0.01)); #135484=CARTESIAN_POINT('',(-1.58611023806693,0.0261127373148309,0.)); #135485=CARTESIAN_POINT('',(-1.58611023806693,0.0261127373148309,0.01)); #135486=CARTESIAN_POINT('Origin',(-1.61063615253381,0.0161963458670701, 0.)); #135487=CARTESIAN_POINT('',(-1.61063615253381,0.0161963458670701,0.)); #135488=CARTESIAN_POINT('',(-0.832871462578832,0.330664502814125,0.)); #135489=CARTESIAN_POINT('',(-1.61063615253381,0.0161963458670701,0.01)); #135490=CARTESIAN_POINT('',(-1.61063615253381,0.0161963458670701,0.)); #135491=CARTESIAN_POINT('',(-1.61063615253381,0.0161963458670701,0.01)); #135492=CARTESIAN_POINT('Origin',(-1.61874652763942,0.0258619145364656, 0.)); #135493=CARTESIAN_POINT('',(-1.61874652763942,0.0258619145364656,0.)); #135494=CARTESIAN_POINT('',(-1.40324281917953,-0.230965404237963,0.)); #135495=CARTESIAN_POINT('',(-1.61874652763942,0.0258619145364656,0.01)); #135496=CARTESIAN_POINT('',(-1.61874652763942,0.0258619145364656,0.)); #135497=CARTESIAN_POINT('',(-1.61874652763942,0.0258619145364656,0.01)); #135498=CARTESIAN_POINT('Origin',(-1.53030012427544,0.0604707371598899, 0.)); #135499=CARTESIAN_POINT('',(-1.53030012427544,0.0604707371598899,0.)); #135500=CARTESIAN_POINT('',(-0.790674179348434,0.349884236884944,0.)); #135501=CARTESIAN_POINT('',(-1.53030012427544,0.0604707371598899,0.01)); #135502=CARTESIAN_POINT('',(-1.53030012427544,0.0604707371598899,0.)); #135503=CARTESIAN_POINT('',(-1.53030012427544,0.0604707371598899,0.01)); #135504=CARTESIAN_POINT('Origin',(-1.52083020652207,0.0491849286557401, 0.)); #135505=CARTESIAN_POINT('',(-1.52083020652207,0.0491849286557401,0.)); #135506=CARTESIAN_POINT('',(-1.31981265937801,-0.190378455327675,0.)); #135507=CARTESIAN_POINT('',(-1.52083020652207,0.0491849286557401,0.01)); #135508=CARTESIAN_POINT('',(-1.52083020652207,0.0491849286557401,0.)); #135509=CARTESIAN_POINT('',(-1.52083020652207,0.0491849286557401,0.01)); #135510=CARTESIAN_POINT('Origin',(-1.57027180012959,-0.0319080161233903, 0.)); #135511=CARTESIAN_POINT('',(-1.23733513602025,0.514166908805001,0.)); #135512=CARTESIAN_POINT('',(-1.57027180012959,-0.0319080161233903,0.01)); #135513=CARTESIAN_POINT('Origin',(-1.56690374355141,0.0201021626559446, 0.01)); #135514=CARTESIAN_POINT('Origin',(-1.64542169200411,0.0576521375011254, 0.)); #135515=CARTESIAN_POINT('',(-1.64542169200411,0.0576521375011254,0.)); #135516=CARTESIAN_POINT('',(-1.63773324392711,0.0484894018838948,0.)); #135517=CARTESIAN_POINT('',(-1.4165804013619,-0.215070292755619,0.)); #135518=CARTESIAN_POINT('',(-1.64542169200411,0.0576521375011254,0.01)); #135519=CARTESIAN_POINT('',(-1.64542169200411,0.0576521375011254,0.)); #135520=CARTESIAN_POINT('',(-1.63773324392711,0.0484894018838948,0.01)); #135521=CARTESIAN_POINT('',(-1.64542169200411,0.0576521375011254,0.01)); #135522=CARTESIAN_POINT('',(-1.63773324392711,0.0484894018838948,0.)); #135523=CARTESIAN_POINT('Origin',(-1.58463476303126,0.10865842718264,0.)); #135524=CARTESIAN_POINT('',(-1.58463476303126,0.10865842718264,0.)); #135525=CARTESIAN_POINT('',(-1.02115867215784,0.581471007211744,0.)); #135526=CARTESIAN_POINT('',(-1.58463476303126,0.10865842718264,0.01)); #135527=CARTESIAN_POINT('',(-1.58463476303126,0.10865842718264,0.)); #135528=CARTESIAN_POINT('',(-1.58463476303126,0.10865842718264,0.01)); #135529=CARTESIAN_POINT('Origin',(-1.60404340634757,0.131788747582234,0.)); #135530=CARTESIAN_POINT('',(-1.60404340634757,0.131788747582234,0.)); #135531=CARTESIAN_POINT('',(-1.3654977940472,-0.152498842874311,0.)); #135532=CARTESIAN_POINT('',(-1.60404340634757,0.131788747582234,0.01)); #135533=CARTESIAN_POINT('',(-1.60404340634757,0.131788747582234,0.)); #135534=CARTESIAN_POINT('',(-1.60404340634757,0.131788747582234,0.01)); #135535=CARTESIAN_POINT('Origin',(-1.59588633683467,0.138633341601997,0.)); #135536=CARTESIAN_POINT('',(-1.59588633683467,0.138633341601997,0.)); #135537=CARTESIAN_POINT('',(-1.0364887807177,0.608023624621217,0.)); #135538=CARTESIAN_POINT('',(-1.59588633683467,0.138633341601997,0.01)); #135539=CARTESIAN_POINT('',(-1.59588633683467,0.138633341601997,0.)); #135540=CARTESIAN_POINT('',(-1.59588633683467,0.138633341601997,0.01)); #135541=CARTESIAN_POINT('Origin',(-1.54938060212505,0.0832099651855778, 0.)); #135542=CARTESIAN_POINT('',(-1.54938060212505,0.0832099651855778,0.)); #135543=CARTESIAN_POINT('',(-1.3340878571795,-0.173365937062757,0.)); #135544=CARTESIAN_POINT('',(-1.54938060212505,0.0832099651855778,0.01)); #135545=CARTESIAN_POINT('',(-1.54938060212505,0.0832099651855778,0.)); #135546=CARTESIAN_POINT('',(-1.54938060212505,0.0832099651855778,0.01)); #135547=CARTESIAN_POINT('Origin',(-1.55753767163795,0.0763653711658157, 0.)); #135548=CARTESIAN_POINT('',(-1.55753767163795,0.0763653711658157,0.)); #135549=CARTESIAN_POINT('',(-0.994061580764529,0.549177951194917,0.)); #135550=CARTESIAN_POINT('',(-1.55753767163795,0.0763653711658157,0.01)); #135551=CARTESIAN_POINT('',(-1.55753767163795,0.0763653711658157,0.)); #135552=CARTESIAN_POINT('',(-1.55753767163795,0.0763653711658157,0.01)); #135553=CARTESIAN_POINT('Origin',(-1.57694631495427,0.0994956915654098, 0.)); #135554=CARTESIAN_POINT('',(-1.57694631495427,0.0994956915654098,0.)); #135555=CARTESIAN_POINT('',(-1.35194924835055,-0.168645370882723,0.)); #135556=CARTESIAN_POINT('',(-1.57694631495427,0.0994956915654098,0.01)); #135557=CARTESIAN_POINT('',(-1.57694631495427,0.0994956915654098,0.)); #135558=CARTESIAN_POINT('',(-1.57694631495427,0.0994956915654098,0.01)); #135559=CARTESIAN_POINT('Origin',(-1.63773324392711,0.0484894018838948, 0.)); #135560=CARTESIAN_POINT('',(-1.04386368856727,0.546805126753756,0.)); #135561=CARTESIAN_POINT('',(-1.63773324392711,0.0484894018838948,0.01)); #135562=CARTESIAN_POINT('Origin',(-1.5958000830108,0.0914825565243469,0.01)); #135563=CARTESIAN_POINT('Ctrl Pts',(-1.67268779221729,0.214049798887233, 0.)); #135564=CARTESIAN_POINT('Ctrl Pts',(-1.67268779221729,0.214049798887233, 0.01)); #135565=CARTESIAN_POINT('Ctrl Pts',(-1.676297612351,0.218351815000201,0.)); #135566=CARTESIAN_POINT('Ctrl Pts',(-1.676297612351,0.218351815000201,0.01)); #135567=CARTESIAN_POINT('Ctrl Pts',(-1.68234649069227,0.223177833869561, 0.)); #135568=CARTESIAN_POINT('Ctrl Pts',(-1.68234649069227,0.223177833869561, 0.01)); #135569=CARTESIAN_POINT('Ctrl Pts',(-1.68562746996697,0.224137876790721, 0.)); #135570=CARTESIAN_POINT('Ctrl Pts',(-1.68562746996697,0.224137876790721, 0.01)); #135571=CARTESIAN_POINT('',(-1.68562746996697,0.22413787679072,0.)); #135572=CARTESIAN_POINT('',(-1.67268779221729,0.214049798887233,0.)); #135573=CARTESIAN_POINT('Ctrl Pts',(-1.68562746996697,0.224137876790721, 0.)); #135574=CARTESIAN_POINT('Ctrl Pts',(-1.68234649069227,0.223177833869561, 0.)); #135575=CARTESIAN_POINT('Ctrl Pts',(-1.676297612351,0.218351815000201,0.)); #135576=CARTESIAN_POINT('Ctrl Pts',(-1.67268779221729,0.214049798887233, 0.)); #135577=CARTESIAN_POINT('',(-1.68562746996697,0.22413787679072,0.01)); #135578=CARTESIAN_POINT('',(-1.68562746996697,0.22413787679072,0.)); #135579=CARTESIAN_POINT('',(-1.67268779221729,0.214049798887233,0.01)); #135580=CARTESIAN_POINT('Ctrl Pts',(-1.68562746996697,0.224137876790721, 0.01)); #135581=CARTESIAN_POINT('Ctrl Pts',(-1.68234649069227,0.223177833869561, 0.01)); #135582=CARTESIAN_POINT('Ctrl Pts',(-1.676297612351,0.218351815000201,0.01)); #135583=CARTESIAN_POINT('Ctrl Pts',(-1.67268779221729,0.214049798887233, 0.01)); #135584=CARTESIAN_POINT('',(-1.67268779221729,0.214049798887233,0.)); #135585=CARTESIAN_POINT('Origin',(-1.6663120060071,0.206451432765627,0.)); #135586=CARTESIAN_POINT('',(-1.6663120060071,0.206451432765627,0.)); #135587=CARTESIAN_POINT('',(-1.39652035319871,-0.115073738720699,0.)); #135588=CARTESIAN_POINT('',(-1.6663120060071,0.206451432765627,0.01)); #135589=CARTESIAN_POINT('',(-1.66805195678234,0.20852502535296,0.01)); #135590=CARTESIAN_POINT('',(-1.6663120060071,0.206451432765627,0.)); #135591=CARTESIAN_POINT('Origin',(-1.69380021285879,0.183386088534647,0.)); #135592=CARTESIAN_POINT('',(-1.69380021285879,0.183386088534647,0.)); #135593=CARTESIAN_POINT('',(-1.11669175923778,0.667637579117325,0.)); #135594=CARTESIAN_POINT('',(-1.69380021285879,0.183386088534647,0.01)); #135595=CARTESIAN_POINT('',(-1.69221735974857,0.184714259995646,0.01)); #135596=CARTESIAN_POINT('',(-1.69380021285879,0.183386088534647,0.)); #135597=CARTESIAN_POINT('Origin',(-1.69905086032601,0.189643566517146,0.)); #135598=CARTESIAN_POINT('',(-1.69905086032601,0.189643566517146,0.)); #135599=CARTESIAN_POINT('',(-1.426633883784,-0.135010343960433,0.)); #135600=CARTESIAN_POINT('',(-1.69905086032601,0.189643566517146,0.01)); #135601=CARTESIAN_POINT('',(-1.69816548736764,0.18858842011323,0.01)); #135602=CARTESIAN_POINT('',(-1.69905086032601,0.189643566517146,0.)); #135603=CARTESIAN_POINT('Ctrl Pts',(-1.70724610062219,0.207806643499031, 0.)); #135604=CARTESIAN_POINT('Ctrl Pts',(-1.70724610062219,0.207806643499031, 0.01)); #135605=CARTESIAN_POINT('Ctrl Pts',(-1.70771742362734,0.203602837133648, 0.)); #135606=CARTESIAN_POINT('Ctrl Pts',(-1.70771742362734,0.203602837133648, 0.01)); #135607=CARTESIAN_POINT('Ctrl Pts',(-1.70373893842173,0.195230600430092, 0.)); #135608=CARTESIAN_POINT('Ctrl Pts',(-1.70373893842173,0.195230600430092, 0.01)); #135609=CARTESIAN_POINT('Ctrl Pts',(-1.69905086032601,0.189643566517146, 0.)); #135610=CARTESIAN_POINT('Ctrl Pts',(-1.69905086032601,0.189643566517146, 0.01)); #135611=CARTESIAN_POINT('',(-1.70724610062219,0.207806643499031,0.)); #135612=CARTESIAN_POINT('Ctrl Pts',(-1.69905086032601,0.189643566517146, 0.)); #135613=CARTESIAN_POINT('Ctrl Pts',(-1.70373893842173,0.195230600430092, 0.)); #135614=CARTESIAN_POINT('Ctrl Pts',(-1.70771742362734,0.203602837133648, 0.)); #135615=CARTESIAN_POINT('Ctrl Pts',(-1.70724610062219,0.207806643499031, 0.)); #135616=CARTESIAN_POINT('',(-1.70724610062219,0.207806643499031,0.01)); #135617=CARTESIAN_POINT('Ctrl Pts',(-1.69905086032601,0.189643566517146, 0.01)); #135618=CARTESIAN_POINT('Ctrl Pts',(-1.70373893842173,0.195230600430092, 0.01)); #135619=CARTESIAN_POINT('Ctrl Pts',(-1.70771742362734,0.203602837133648, 0.01)); #135620=CARTESIAN_POINT('Ctrl Pts',(-1.70724610062219,0.207806643499031, 0.01)); #135621=CARTESIAN_POINT('',(-1.70724610062219,0.207806643499031,0.)); #135622=CARTESIAN_POINT('Ctrl Pts',(-1.70030348322851,0.220296750153274, 0.)); #135623=CARTESIAN_POINT('Ctrl Pts',(-1.70030348322851,0.220296750153274, 0.01)); #135624=CARTESIAN_POINT('Ctrl Pts',(-1.70359983323715,0.217530784076795, 0.)); #135625=CARTESIAN_POINT('Ctrl Pts',(-1.70359983323715,0.217530784076795, 0.01)); #135626=CARTESIAN_POINT('Ctrl Pts',(-1.70691348696982,0.21160843494224, 0.)); #135627=CARTESIAN_POINT('Ctrl Pts',(-1.70691348696982,0.21160843494224, 0.01)); #135628=CARTESIAN_POINT('Ctrl Pts',(-1.70724610062219,0.207806643499031, 0.)); #135629=CARTESIAN_POINT('Ctrl Pts',(-1.70724610062219,0.207806643499031, 0.01)); #135630=CARTESIAN_POINT('',(-1.70030348322851,0.220296750153274,0.)); #135631=CARTESIAN_POINT('Ctrl Pts',(-1.70724610062219,0.207806643499031, 0.)); #135632=CARTESIAN_POINT('Ctrl Pts',(-1.70691348696982,0.21160843494224, 0.)); #135633=CARTESIAN_POINT('Ctrl Pts',(-1.70359983323715,0.217530784076795, 0.)); #135634=CARTESIAN_POINT('Ctrl Pts',(-1.70030348322851,0.220296750153274, 0.)); #135635=CARTESIAN_POINT('',(-1.70030348322851,0.220296750153274,0.01)); #135636=CARTESIAN_POINT('Ctrl Pts',(-1.70724610062219,0.207806643499031, 0.01)); #135637=CARTESIAN_POINT('Ctrl Pts',(-1.70691348696982,0.21160843494224, 0.01)); #135638=CARTESIAN_POINT('Ctrl Pts',(-1.70359983323715,0.217530784076795, 0.01)); #135639=CARTESIAN_POINT('Ctrl Pts',(-1.70030348322851,0.220296750153274, 0.01)); #135640=CARTESIAN_POINT('',(-1.70030348322851,0.220296750153274,0.)); #135641=CARTESIAN_POINT('Ctrl Pts',(-1.68562746996697,0.224137876790721, 0.)); #135642=CARTESIAN_POINT('Ctrl Pts',(-1.68562746996697,0.224137876790721, 0.01)); #135643=CARTESIAN_POINT('Ctrl Pts',(-1.68941321528394,0.225245618622828, 0.)); #135644=CARTESIAN_POINT('Ctrl Pts',(-1.68941321528394,0.225245618622828, 0.01)); #135645=CARTESIAN_POINT('Ctrl Pts',(-1.69672778152422,0.22329712013454, 0.)); #135646=CARTESIAN_POINT('Ctrl Pts',(-1.69672778152422,0.22329712013454, 0.01)); #135647=CARTESIAN_POINT('Ctrl Pts',(-1.70030348322851,0.220296750153274, 0.)); #135648=CARTESIAN_POINT('Ctrl Pts',(-1.70030348322851,0.220296750153274, 0.01)); #135649=CARTESIAN_POINT('Ctrl Pts',(-1.70030348322851,0.220296750153274, 0.)); #135650=CARTESIAN_POINT('Ctrl Pts',(-1.69672778152422,0.22329712013454, 0.)); #135651=CARTESIAN_POINT('Ctrl Pts',(-1.68941321528394,0.225245618622828, 0.)); #135652=CARTESIAN_POINT('Ctrl Pts',(-1.68562746996697,0.224137876790721, 0.)); #135653=CARTESIAN_POINT('Ctrl Pts',(-1.70030348322851,0.220296750153274, 0.01)); #135654=CARTESIAN_POINT('Ctrl Pts',(-1.69672778152422,0.22329712013454, 0.01)); #135655=CARTESIAN_POINT('Ctrl Pts',(-1.68941321528394,0.225245618622828, 0.01)); #135656=CARTESIAN_POINT('Ctrl Pts',(-1.68562746996697,0.224137876790721, 0.01)); #135657=CARTESIAN_POINT('Ctrl Pts',(-1.68466752023265,0.235701872579564, 0.)); #135658=CARTESIAN_POINT('Ctrl Pts',(-1.68466752023265,0.235701872579564, 0.01)); #135659=CARTESIAN_POINT('Ctrl Pts',(-1.69052820005778,0.237353529274504, 0.)); #135660=CARTESIAN_POINT('Ctrl Pts',(-1.69052820005778,0.237353529274504, 0.01)); #135661=CARTESIAN_POINT('Ctrl Pts',(-1.70228610014622,0.234913702487797, 0.)); #135662=CARTESIAN_POINT('Ctrl Pts',(-1.70228610014622,0.234913702487797, 0.01)); #135663=CARTESIAN_POINT('Ctrl Pts',(-1.70804074507656,0.230084982049198, 0.)); #135664=CARTESIAN_POINT('Ctrl Pts',(-1.70804074507656,0.230084982049198, 0.01)); #135665=CARTESIAN_POINT('',(-1.68466752023265,0.235701872579564,0.)); #135666=CARTESIAN_POINT('',(-1.70804074507656,0.230084982049198,0.)); #135667=CARTESIAN_POINT('Ctrl Pts',(-1.68466752023265,0.235701872579564, 0.)); #135668=CARTESIAN_POINT('Ctrl Pts',(-1.69052820005778,0.237353529274504, 0.)); #135669=CARTESIAN_POINT('Ctrl Pts',(-1.70228610014622,0.234913702487797, 0.)); #135670=CARTESIAN_POINT('Ctrl Pts',(-1.70804074507656,0.230084982049198, 0.)); #135671=CARTESIAN_POINT('',(-1.68466752023265,0.235701872579564,0.01)); #135672=CARTESIAN_POINT('',(-1.68466752023265,0.235701872579564,0.)); #135673=CARTESIAN_POINT('',(-1.70804074507656,0.230084982049198,0.01)); #135674=CARTESIAN_POINT('Ctrl Pts',(-1.68466752023265,0.235701872579564, 0.01)); #135675=CARTESIAN_POINT('Ctrl Pts',(-1.69052820005778,0.237353529274504, 0.01)); #135676=CARTESIAN_POINT('Ctrl Pts',(-1.70228610014622,0.234913702487797, 0.01)); #135677=CARTESIAN_POINT('Ctrl Pts',(-1.70804074507656,0.230084982049198, 0.01)); #135678=CARTESIAN_POINT('',(-1.70804074507656,0.230084982049198,0.)); #135679=CARTESIAN_POINT('Ctrl Pts',(-1.66522301187048,0.22126557317446, 0.)); #135680=CARTESIAN_POINT('Ctrl Pts',(-1.66522301187048,0.22126557317446, 0.01)); #135681=CARTESIAN_POINT('Ctrl Pts',(-1.67037989777578,0.2274113104787,0.)); #135682=CARTESIAN_POINT('Ctrl Pts',(-1.67037989777578,0.2274113104787,0.01)); #135683=CARTESIAN_POINT('Ctrl Pts',(-1.67961087025186,0.234327634590174, 0.)); #135684=CARTESIAN_POINT('Ctrl Pts',(-1.67961087025186,0.234327634590174, 0.01)); #135685=CARTESIAN_POINT('Ctrl Pts',(-1.68466752023265,0.235701872579564, 0.)); #135686=CARTESIAN_POINT('Ctrl Pts',(-1.68466752023265,0.235701872579564, 0.01)); #135687=CARTESIAN_POINT('',(-1.66522301187048,0.22126557317446,0.)); #135688=CARTESIAN_POINT('Ctrl Pts',(-1.66522301187048,0.22126557317446, 0.)); #135689=CARTESIAN_POINT('Ctrl Pts',(-1.67037989777578,0.2274113104787,0.)); #135690=CARTESIAN_POINT('Ctrl Pts',(-1.67961087025186,0.234327634590174, 0.)); #135691=CARTESIAN_POINT('Ctrl Pts',(-1.68466752023265,0.235701872579564, 0.)); #135692=CARTESIAN_POINT('',(-1.66522301187048,0.22126557317446,0.01)); #135693=CARTESIAN_POINT('',(-1.66522301187048,0.22126557317446,0.)); #135694=CARTESIAN_POINT('Ctrl Pts',(-1.66522301187048,0.22126557317446, 0.01)); #135695=CARTESIAN_POINT('Ctrl Pts',(-1.67037989777578,0.2274113104787,0.01)); #135696=CARTESIAN_POINT('Ctrl Pts',(-1.67961087025186,0.234327634590174, 0.01)); #135697=CARTESIAN_POINT('Ctrl Pts',(-1.68466752023265,0.235701872579564, 0.01)); #135698=CARTESIAN_POINT('Origin',(-1.65068996977372,0.203945768044329,0.)); #135699=CARTESIAN_POINT('',(-1.65068996977372,0.203945768044329,0.)); #135700=CARTESIAN_POINT('',(-1.38474254100383,-0.112998035633382,0.)); #135701=CARTESIAN_POINT('',(-1.65068996977372,0.203945768044329,0.01)); #135702=CARTESIAN_POINT('',(-1.65068996977372,0.203945768044329,0.)); #135703=CARTESIAN_POINT('',(-1.65068996977372,0.203945768044329,0.01)); #135704=CARTESIAN_POINT('Origin',(-1.71963396825947,0.146094884343052,0.)); #135705=CARTESIAN_POINT('',(-1.71963396825947,0.146094884343052,0.)); #135706=CARTESIAN_POINT('',(-1.12576441289963,0.644410609212913,0.)); #135707=CARTESIAN_POINT('',(-1.71963396825947,0.146094884343052,0.01)); #135708=CARTESIAN_POINT('',(-1.71963396825947,0.146094884343052,0.)); #135709=CARTESIAN_POINT('',(-1.71963396825947,0.146094884343052,0.01)); #135710=CARTESIAN_POINT('Origin',(-1.72732241633647,0.155257619960282,0.)); #135711=CARTESIAN_POINT('',(-1.72732241633647,0.155257619960282,0.)); #135712=CARTESIAN_POINT('',(-1.45753076352806,-0.166267551526051,0.)); #135713=CARTESIAN_POINT('',(-1.72732241633647,0.155257619960282,0.01)); #135714=CARTESIAN_POINT('',(-1.72732241633647,0.155257619960282,0.)); #135715=CARTESIAN_POINT('',(-1.72732241633647,0.155257619960282,0.01)); #135716=CARTESIAN_POINT('Origin',(-1.70162206033692,0.176822779200629,0.)); #135717=CARTESIAN_POINT('',(-1.70162206033692,0.176822779200629,0.)); #135718=CARTESIAN_POINT('',(-1.12060268297684,0.664355924450314,0.)); #135719=CARTESIAN_POINT('',(-1.70162206033692,0.176822779200629,0.01)); #135720=CARTESIAN_POINT('',(-1.70162206033692,0.176822779200629,0.)); #135721=CARTESIAN_POINT('',(-1.70162206033692,0.176822779200629,0.01)); #135722=CARTESIAN_POINT('Origin',(-1.70813848888998,0.184588756339623,0.)); #135723=CARTESIAN_POINT('',(-1.70813848888998,0.184588756339623,0.)); #135724=CARTESIAN_POINT('',(-1.43508862180507,-0.140819403716197,0.)); #135725=CARTESIAN_POINT('',(-1.70813848888998,0.184588756339623,0.01)); #135726=CARTESIAN_POINT('',(-1.70813848888998,0.184588756339623,0.)); #135727=CARTESIAN_POINT('',(-1.70813848888998,0.184588756339623,0.01)); #135728=CARTESIAN_POINT('Ctrl Pts',(-1.71828609365464,0.211205651440923, 0.)); #135729=CARTESIAN_POINT('Ctrl Pts',(-1.71828609365464,0.211205651440923, 0.01)); #135730=CARTESIAN_POINT('Ctrl Pts',(-1.71880555503853,0.20467646053633, 0.)); #135731=CARTESIAN_POINT('Ctrl Pts',(-1.71880555503853,0.20467646053633, 0.01)); #135732=CARTESIAN_POINT('Ctrl Pts',(-1.71432675197634,0.191963641104711, 0.)); #135733=CARTESIAN_POINT('Ctrl Pts',(-1.71432675197634,0.191963641104711, 0.01)); #135734=CARTESIAN_POINT('Ctrl Pts',(-1.70813848888998,0.184588756339623, 0.)); #135735=CARTESIAN_POINT('Ctrl Pts',(-1.70813848888998,0.184588756339623, 0.01)); #135736=CARTESIAN_POINT('',(-1.71828609365464,0.211205651440923,0.)); #135737=CARTESIAN_POINT('Ctrl Pts',(-1.71828609365464,0.211205651440923, 0.)); #135738=CARTESIAN_POINT('Ctrl Pts',(-1.71880555503853,0.20467646053633, 0.)); #135739=CARTESIAN_POINT('Ctrl Pts',(-1.71432675197634,0.191963641104711, 0.)); #135740=CARTESIAN_POINT('Ctrl Pts',(-1.70813848888998,0.184588756339623, 0.)); #135741=CARTESIAN_POINT('',(-1.71828609365464,0.211205651440923,0.01)); #135742=CARTESIAN_POINT('',(-1.71828609365464,0.211205651440923,0.)); #135743=CARTESIAN_POINT('Ctrl Pts',(-1.71828609365464,0.211205651440923, 0.01)); #135744=CARTESIAN_POINT('Ctrl Pts',(-1.71880555503853,0.20467646053633, 0.01)); #135745=CARTESIAN_POINT('Ctrl Pts',(-1.71432675197634,0.191963641104711, 0.01)); #135746=CARTESIAN_POINT('Ctrl Pts',(-1.70813848888998,0.184588756339623, 0.01)); #135747=CARTESIAN_POINT('Ctrl Pts',(-1.70804074507656,0.230084982049198, 0.)); #135748=CARTESIAN_POINT('Ctrl Pts',(-1.70804074507656,0.230084982049198, 0.01)); #135749=CARTESIAN_POINT('Ctrl Pts',(-1.71251037220691,0.226334519572616, 0.)); #135750=CARTESIAN_POINT('Ctrl Pts',(-1.71251037220691,0.226334519572616, 0.01)); #135751=CARTESIAN_POINT('Ctrl Pts',(-1.71788349652699,0.216399077784389, 0.)); #135752=CARTESIAN_POINT('Ctrl Pts',(-1.71788349652699,0.216399077784389, 0.01)); #135753=CARTESIAN_POINT('Ctrl Pts',(-1.71828609365464,0.211205651440923, 0.)); #135754=CARTESIAN_POINT('Ctrl Pts',(-1.71828609365464,0.211205651440923, 0.01)); #135755=CARTESIAN_POINT('Ctrl Pts',(-1.70804074507656,0.230084982049198, 0.)); #135756=CARTESIAN_POINT('Ctrl Pts',(-1.71251037220691,0.226334519572616, 0.)); #135757=CARTESIAN_POINT('Ctrl Pts',(-1.71788349652699,0.216399077784389, 0.)); #135758=CARTESIAN_POINT('Ctrl Pts',(-1.71828609365464,0.211205651440923, 0.)); #135759=CARTESIAN_POINT('Ctrl Pts',(-1.70804074507656,0.230084982049198, 0.01)); #135760=CARTESIAN_POINT('Ctrl Pts',(-1.71251037220691,0.226334519572616, 0.01)); #135761=CARTESIAN_POINT('Ctrl Pts',(-1.71788349652699,0.216399077784389, 0.01)); #135762=CARTESIAN_POINT('Ctrl Pts',(-1.71828609365464,0.211205651440923, 0.01)); #135763=CARTESIAN_POINT('Origin',(-1.69411440818883,0.190189616631311,0.01)); #135764=CARTESIAN_POINT('Origin',(-1.7997063421345,0.24152142357616,0.)); #135765=CARTESIAN_POINT('',(-1.7997063421345,0.24152142357616,0.)); #135766=CARTESIAN_POINT('',(-1.76351437923548,0.198389521768221,0.)); #135767=CARTESIAN_POINT('',(-1.49372272642709,-0.123135649718105,0.)); #135768=CARTESIAN_POINT('',(-1.7997063421345,0.24152142357616,0.01)); #135769=CARTESIAN_POINT('',(-1.7997063421345,0.24152142357616,0.)); #135770=CARTESIAN_POINT('',(-1.76351437923548,0.198389521768221,0.01)); #135771=CARTESIAN_POINT('',(-1.7997063421345,0.24152142357616,0.01)); #135772=CARTESIAN_POINT('',(-1.76351437923548,0.198389521768221,0.)); #135773=CARTESIAN_POINT('Origin',(-1.7915492726216,0.248366017595923,0.)); #135774=CARTESIAN_POINT('',(-1.7915492726216,0.248366017595923,0.)); #135775=CARTESIAN_POINT('',(-1.2017582520182,0.743259445455901,0.)); #135776=CARTESIAN_POINT('',(-1.7915492726216,0.248366017595923,0.01)); #135777=CARTESIAN_POINT('',(-1.7915492726216,0.248366017595923,0.)); #135778=CARTESIAN_POINT('',(-1.7915492726216,0.248366017595923,0.01)); #135779=CARTESIAN_POINT('Origin',(-1.76304575779957,0.214396851405214,0.)); #135780=CARTESIAN_POINT('',(-1.76304575779957,0.214396851405214,0.)); #135781=CARTESIAN_POINT('',(-1.47131389950318,-0.133275638793696,0.)); #135782=CARTESIAN_POINT('',(-1.76304575779957,0.214396851405214,0.01)); #135783=CARTESIAN_POINT('',(-1.76304575779957,0.214396851405214,0.)); #135784=CARTESIAN_POINT('',(-1.76304575779957,0.214396851405214,0.01)); #135785=CARTESIAN_POINT('Origin',(-1.73745714247828,0.235868249083646,0.)); #135786=CARTESIAN_POINT('',(-1.73745714247828,0.235868249083646,0.)); #135787=CARTESIAN_POINT('',(-1.16046042953553,0.720025978104409,0.)); #135788=CARTESIAN_POINT('',(-1.73745714247828,0.235868249083646,0.01)); #135789=CARTESIAN_POINT('',(-1.73745714247828,0.235868249083646,0.)); #135790=CARTESIAN_POINT('',(-1.73745714247828,0.235868249083646,0.01)); #135791=CARTESIAN_POINT('Origin',(-1.76403854528106,0.267546731370047,0.)); #135792=CARTESIAN_POINT('',(-1.76403854528106,0.267546731370047,0.)); #135793=CARTESIAN_POINT('',(-1.45901598558328,-0.0959649999720643,0.)); #135794=CARTESIAN_POINT('',(-1.76403854528106,0.267546731370047,0.01)); #135795=CARTESIAN_POINT('',(-1.76403854528106,0.267546731370047,0.)); #135796=CARTESIAN_POINT('',(-1.76403854528106,0.267546731370047,0.01)); #135797=CARTESIAN_POINT('Origin',(-1.75588147576816,0.274391325389809,0.)); #135798=CARTESIAN_POINT('',(-1.75588147576816,0.274391325389809,0.)); #135799=CARTESIAN_POINT('',(-1.18296329758185,0.75512675740069,0.)); #135800=CARTESIAN_POINT('',(-1.75588147576816,0.274391325389809,0.01)); #135801=CARTESIAN_POINT('',(-1.75588147576816,0.274391325389809,0.)); #135802=CARTESIAN_POINT('',(-1.75588147576816,0.274391325389809,0.01)); #135803=CARTESIAN_POINT('Origin',(-1.72930007296538,0.242712843103408,0.)); #135804=CARTESIAN_POINT('',(-1.72930007296538,0.242712843103408,0.)); #135805=CARTESIAN_POINT('',(-1.43756821466899,-0.104959647095503,0.)); #135806=CARTESIAN_POINT('',(-1.72930007296538,0.242712843103408,0.01)); #135807=CARTESIAN_POINT('',(-1.72930007296538,0.242712843103408,0.)); #135808=CARTESIAN_POINT('',(-1.72930007296538,0.242712843103408,0.01)); #135809=CARTESIAN_POINT('Origin',(-1.71041589833963,0.258558547066967,0.)); #135810=CARTESIAN_POINT('',(-1.71041589833963,0.258558547066967,0.)); #135811=CARTESIAN_POINT('',(-1.14693980746621,0.731371127096072,0.)); #135812=CARTESIAN_POINT('',(-1.71041589833963,0.258558547066967,0.01)); #135813=CARTESIAN_POINT('',(-1.71041589833963,0.258558547066967,0.)); #135814=CARTESIAN_POINT('',(-1.71041589833963,0.258558547066967,0.01)); #135815=CARTESIAN_POINT('Origin',(-1.73891941316165,0.292527713257676,0.)); #135816=CARTESIAN_POINT('',(-1.73891941316165,0.292527713257676,0.)); #135817=CARTESIAN_POINT('',(-1.43293579745425,-0.0721293600365887,0.)); #135818=CARTESIAN_POINT('',(-1.73891941316165,0.292527713257676,0.01)); #135819=CARTESIAN_POINT('',(-1.73891941316165,0.292527713257676,0.)); #135820=CARTESIAN_POINT('',(-1.73891941316165,0.292527713257676,0.01)); #135821=CARTESIAN_POINT('Origin',(-1.73076234364875,0.299372307277438,0.)); #135822=CARTESIAN_POINT('',(-1.73076234364875,0.299372307277438,0.)); #135823=CARTESIAN_POINT('',(-1.17136478753177,0.768762590296658,0.)); #135824=CARTESIAN_POINT('',(-1.73076234364875,0.299372307277438,0.01)); #135825=CARTESIAN_POINT('',(-1.73076234364875,0.299372307277438,0.)); #135826=CARTESIAN_POINT('',(-1.73076234364875,0.299372307277438,0.01)); #135827=CARTESIAN_POINT('Origin',(-1.69457038074973,0.256240405469499,0.)); #135828=CARTESIAN_POINT('',(-1.69457038074973,0.256240405469499,0.)); #135829=CARTESIAN_POINT('',(-1.40668274649184,-0.0868507169207973,0.)); #135830=CARTESIAN_POINT('',(-1.69457038074973,0.256240405469499,0.01)); #135831=CARTESIAN_POINT('',(-1.69457038074973,0.256240405469499,0.)); #135832=CARTESIAN_POINT('',(-1.69457038074973,0.256240405469499,0.01)); #135833=CARTESIAN_POINT('Origin',(-1.76351437923548,0.198389521768221,0.)); #135834=CARTESIAN_POINT('',(-1.16964482387564,0.696705246638082,0.)); #135835=CARTESIAN_POINT('',(-1.76351437923548,0.198389521768221,0.01)); #135836=CARTESIAN_POINT('Origin',(-1.74417911041226,0.246567690501296,0.01)); #135837=CARTESIAN_POINT('Ctrl Pts',(-1.81379894289869,0.382219519666891, 0.)); #135838=CARTESIAN_POINT('Ctrl Pts',(-1.81379894289869,0.382219519666891, 0.01)); #135839=CARTESIAN_POINT('Ctrl Pts',(-1.81900270958494,0.388421127310261, 0.)); #135840=CARTESIAN_POINT('Ctrl Pts',(-1.81900270958494,0.388421127310261, 0.01)); #135841=CARTESIAN_POINT('Ctrl Pts',(-1.82794340781711,0.395104980507044, 0.)); #135842=CARTESIAN_POINT('Ctrl Pts',(-1.82794340781711,0.395104980507044, 0.01)); #135843=CARTESIAN_POINT('Ctrl Pts',(-1.83321648258634,0.396964072432255, 0.)); #135844=CARTESIAN_POINT('Ctrl Pts',(-1.83321648258634,0.396964072432255, 0.01)); #135845=CARTESIAN_POINT('',(-1.83321648258634,0.396964072432255,0.)); #135846=CARTESIAN_POINT('',(-1.81379894289869,0.382219519666891,0.)); #135847=CARTESIAN_POINT('Ctrl Pts',(-1.83321648258634,0.396964072432255, 0.)); #135848=CARTESIAN_POINT('Ctrl Pts',(-1.82794340781711,0.395104980507044, 0.)); #135849=CARTESIAN_POINT('Ctrl Pts',(-1.81900270958494,0.388421127310261, 0.)); #135850=CARTESIAN_POINT('Ctrl Pts',(-1.81379894289869,0.382219519666891, 0.)); #135851=CARTESIAN_POINT('',(-1.83321648258634,0.396964072432255,0.01)); #135852=CARTESIAN_POINT('',(-1.83321648258634,0.396964072432255,0.)); #135853=CARTESIAN_POINT('',(-1.81379894289869,0.382219519666891,0.01)); #135854=CARTESIAN_POINT('Ctrl Pts',(-1.83321648258634,0.396964072432255, 0.01)); #135855=CARTESIAN_POINT('Ctrl Pts',(-1.82794340781711,0.395104980507044, 0.01)); #135856=CARTESIAN_POINT('Ctrl Pts',(-1.81900270958494,0.388421127310261, 0.01)); #135857=CARTESIAN_POINT('Ctrl Pts',(-1.81379894289869,0.382219519666891, 0.01)); #135858=CARTESIAN_POINT('',(-1.81379894289869,0.382219519666891,0.)); #135859=CARTESIAN_POINT('Origin',(-1.80793884527903,0.375235727275709,0.)); #135860=CARTESIAN_POINT('',(-1.80793884527903,0.375235727275709,0.)); #135861=CARTESIAN_POINT('',(-1.46733377283469,-0.0306815914656536,0.)); #135862=CARTESIAN_POINT('',(-1.80793884527903,0.375235727275709,0.01)); #135863=CARTESIAN_POINT('',(-1.81261762893001,0.380811684500737,0.01)); #135864=CARTESIAN_POINT('',(-1.80793884527903,0.375235727275709,0.)); #135865=CARTESIAN_POINT('Origin',(-1.86101566745201,0.330698985366298,0.)); #135866=CARTESIAN_POINT('',(-1.86101566745201,0.330698985366298,0.)); #135867=CARTESIAN_POINT('',(-1.27111290617036,0.825686174788192,0.)); #135868=CARTESIAN_POINT('',(-1.86101566745201,0.330698985366298,0.01)); #135869=CARTESIAN_POINT('',(-1.84773862744883,0.341839744736095,0.01)); #135870=CARTESIAN_POINT('',(-1.86101566745201,0.330698985366298,0.)); #135871=CARTESIAN_POINT('Origin',(-1.86687576507167,0.33768277775748,0.)); #135872=CARTESIAN_POINT('',(-1.86687576507167,0.33768277775748,0.)); #135873=CARTESIAN_POINT('',(-1.5233406438175,-0.0717264371794767,0.)); #135874=CARTESIAN_POINT('',(-1.86687576507167,0.33768277775748,0.01)); #135875=CARTESIAN_POINT('',(-1.86862449991282,0.339766838786917,0.01)); #135876=CARTESIAN_POINT('',(-1.86687576507167,0.33768277775748,0.)); #135877=CARTESIAN_POINT('Ctrl Pts',(-1.87828074356948,0.36057878743912, 0.)); #135878=CARTESIAN_POINT('Ctrl Pts',(-1.87828074356948,0.36057878743912, 0.01)); #135879=CARTESIAN_POINT('Ctrl Pts',(-1.87776563718443,0.354632078012455, 0.)); #135880=CARTESIAN_POINT('Ctrl Pts',(-1.87776563718443,0.354632078012455, 0.01)); #135881=CARTESIAN_POINT('Ctrl Pts',(-1.87198577019601,0.34377264472259, 0.)); #135882=CARTESIAN_POINT('Ctrl Pts',(-1.87198577019601,0.34377264472259, 0.01)); #135883=CARTESIAN_POINT('Ctrl Pts',(-1.86687576507167,0.33768277775748, 0.)); #135884=CARTESIAN_POINT('Ctrl Pts',(-1.86687576507167,0.33768277775748, 0.01)); #135885=CARTESIAN_POINT('',(-1.87828074356948,0.36057878743912,0.)); #135886=CARTESIAN_POINT('Ctrl Pts',(-1.86687576507167,0.33768277775748, 0.)); #135887=CARTESIAN_POINT('Ctrl Pts',(-1.87198577019601,0.34377264472259, 0.)); #135888=CARTESIAN_POINT('Ctrl Pts',(-1.87776563718443,0.354632078012455, 0.)); #135889=CARTESIAN_POINT('Ctrl Pts',(-1.87828074356948,0.36057878743912, 0.)); #135890=CARTESIAN_POINT('',(-1.87828074356948,0.36057878743912,0.01)); #135891=CARTESIAN_POINT('Ctrl Pts',(-1.86687576507167,0.33768277775748, 0.01)); #135892=CARTESIAN_POINT('Ctrl Pts',(-1.87198577019601,0.34377264472259, 0.01)); #135893=CARTESIAN_POINT('Ctrl Pts',(-1.87776563718443,0.354632078012455, 0.01)); #135894=CARTESIAN_POINT('Ctrl Pts',(-1.87828074356948,0.36057878743912, 0.01)); #135895=CARTESIAN_POINT('',(-1.87828074356948,0.36057878743912,0.)); #135896=CARTESIAN_POINT('Ctrl Pts',(-1.86433132427713,0.388659513466553, 0.)); #135897=CARTESIAN_POINT('Ctrl Pts',(-1.86433132427713,0.388659513466553, 0.01)); #135898=CARTESIAN_POINT('Ctrl Pts',(-1.87237665311177,0.381908681008706, 0.)); #135899=CARTESIAN_POINT('Ctrl Pts',(-1.87237665311177,0.381908681008706, 0.01)); #135900=CARTESIAN_POINT('Ctrl Pts',(-1.8790720110623,0.368102721899775, 0.)); #135901=CARTESIAN_POINT('Ctrl Pts',(-1.8790720110623,0.368102721899775, 0.01)); #135902=CARTESIAN_POINT('Ctrl Pts',(-1.87828074356948,0.36057878743912, 0.)); #135903=CARTESIAN_POINT('Ctrl Pts',(-1.87828074356948,0.36057878743912, 0.01)); #135904=CARTESIAN_POINT('',(-1.86433132427713,0.388659513466553,0.)); #135905=CARTESIAN_POINT('Ctrl Pts',(-1.87828074356948,0.36057878743912, 0.)); #135906=CARTESIAN_POINT('Ctrl Pts',(-1.8790720110623,0.368102721899775, 0.)); #135907=CARTESIAN_POINT('Ctrl Pts',(-1.87237665311177,0.381908681008706, 0.)); #135908=CARTESIAN_POINT('Ctrl Pts',(-1.86433132427713,0.388659513466553, 0.)); #135909=CARTESIAN_POINT('',(-1.86433132427713,0.388659513466553,0.01)); #135910=CARTESIAN_POINT('Ctrl Pts',(-1.87828074356948,0.36057878743912, 0.01)); #135911=CARTESIAN_POINT('Ctrl Pts',(-1.8790720110623,0.368102721899775, 0.01)); #135912=CARTESIAN_POINT('Ctrl Pts',(-1.87237665311177,0.381908681008706, 0.01)); #135913=CARTESIAN_POINT('Ctrl Pts',(-1.86433132427713,0.388659513466553, 0.01)); #135914=CARTESIAN_POINT('',(-1.86433132427713,0.388659513466553,0.)); #135915=CARTESIAN_POINT('Ctrl Pts',(-1.83321648258634,0.396964072432255, 0.)); #135916=CARTESIAN_POINT('Ctrl Pts',(-1.83321648258634,0.396964072432255, 0.01)); #135917=CARTESIAN_POINT('Ctrl Pts',(-1.84076100454574,0.399487809456729, 0.)); #135918=CARTESIAN_POINT('Ctrl Pts',(-1.84076100454574,0.399487809456729, 0.01)); #135919=CARTESIAN_POINT('Ctrl Pts',(-1.85639773612075,0.395316584362486, 0.)); #135920=CARTESIAN_POINT('Ctrl Pts',(-1.85639773612075,0.395316584362486, 0.01)); #135921=CARTESIAN_POINT('Ctrl Pts',(-1.86433132427713,0.388659513466553, 0.)); #135922=CARTESIAN_POINT('Ctrl Pts',(-1.86433132427713,0.388659513466553, 0.01)); #135923=CARTESIAN_POINT('Ctrl Pts',(-1.86433132427713,0.388659513466553, 0.)); #135924=CARTESIAN_POINT('Ctrl Pts',(-1.85639773612075,0.395316584362486, 0.)); #135925=CARTESIAN_POINT('Ctrl Pts',(-1.84076100454574,0.399487809456729, 0.)); #135926=CARTESIAN_POINT('Ctrl Pts',(-1.83321648258634,0.396964072432255, 0.)); #135927=CARTESIAN_POINT('Ctrl Pts',(-1.86433132427713,0.388659513466553, 0.01)); #135928=CARTESIAN_POINT('Ctrl Pts',(-1.85639773612075,0.395316584362486, 0.01)); #135929=CARTESIAN_POINT('Ctrl Pts',(-1.84076100454574,0.399487809456729, 0.01)); #135930=CARTESIAN_POINT('Ctrl Pts',(-1.83321648258634,0.396964072432255, 0.01)); #135931=CARTESIAN_POINT('Ctrl Pts',(-1.83168690691245,0.408530001211194, 0.)); #135932=CARTESIAN_POINT('Ctrl Pts',(-1.83168690691245,0.408530001211194, 0.01)); #135933=CARTESIAN_POINT('Ctrl Pts',(-1.84167947663759,0.411474989871975, 0.)); #135934=CARTESIAN_POINT('Ctrl Pts',(-1.84167947663759,0.411474989871975, 0.01)); #135935=CARTESIAN_POINT('Ctrl Pts',(-1.86240301745578,0.406558120468085, 0.)); #135936=CARTESIAN_POINT('Ctrl Pts',(-1.86240301745578,0.406558120468085, 0.01)); #135937=CARTESIAN_POINT('Ctrl Pts',(-1.87245967849908,0.398119579895775, 0.)); #135938=CARTESIAN_POINT('Ctrl Pts',(-1.87245967849908,0.398119579895775, 0.01)); #135939=CARTESIAN_POINT('',(-1.83168690691245,0.408530001211194,0.)); #135940=CARTESIAN_POINT('',(-1.87245967849908,0.398119579895775,0.)); #135941=CARTESIAN_POINT('Ctrl Pts',(-1.83168690691245,0.408530001211194, 0.)); #135942=CARTESIAN_POINT('Ctrl Pts',(-1.84167947663759,0.411474989871975, 0.)); #135943=CARTESIAN_POINT('Ctrl Pts',(-1.86240301745578,0.406558120468085, 0.)); #135944=CARTESIAN_POINT('Ctrl Pts',(-1.87245967849908,0.398119579895775, 0.)); #135945=CARTESIAN_POINT('',(-1.83168690691245,0.408530001211194,0.01)); #135946=CARTESIAN_POINT('',(-1.83168690691245,0.408530001211194,0.)); #135947=CARTESIAN_POINT('',(-1.87245967849908,0.398119579895775,0.01)); #135948=CARTESIAN_POINT('Ctrl Pts',(-1.83168690691245,0.408530001211194, 0.01)); #135949=CARTESIAN_POINT('Ctrl Pts',(-1.84167947663759,0.411474989871975, 0.01)); #135950=CARTESIAN_POINT('Ctrl Pts',(-1.86240301745578,0.406558120468085, 0.01)); #135951=CARTESIAN_POINT('Ctrl Pts',(-1.87245967849908,0.398119579895775, 0.01)); #135952=CARTESIAN_POINT('',(-1.87245967849908,0.398119579895775,0.)); #135953=CARTESIAN_POINT('Ctrl Pts',(-1.80549030849465,0.388429627849788, 0.)); #135954=CARTESIAN_POINT('Ctrl Pts',(-1.80549030849465,0.388429627849788, 0.01)); #135955=CARTESIAN_POINT('Ctrl Pts',(-1.81364756438121,0.398151066858313, 0.)); #135956=CARTESIAN_POINT('Ctrl Pts',(-1.81364756438121,0.398151066858313, 0.01)); #135957=CARTESIAN_POINT('Ctrl Pts',(-1.82583521664548,0.406775593396167, 0.)); #135958=CARTESIAN_POINT('Ctrl Pts',(-1.82583521664548,0.406775593396167, 0.01)); #135959=CARTESIAN_POINT('Ctrl Pts',(-1.83168690691245,0.408530001211194, 0.)); #135960=CARTESIAN_POINT('Ctrl Pts',(-1.83168690691245,0.408530001211194, 0.01)); #135961=CARTESIAN_POINT('',(-1.80549030849465,0.388429627849788,0.)); #135962=CARTESIAN_POINT('Ctrl Pts',(-1.80549030849465,0.388429627849788, 0.)); #135963=CARTESIAN_POINT('Ctrl Pts',(-1.81364756438121,0.398151066858313, 0.)); #135964=CARTESIAN_POINT('Ctrl Pts',(-1.82583521664548,0.406775593396167, 0.)); #135965=CARTESIAN_POINT('Ctrl Pts',(-1.83168690691245,0.408530001211194, 0.)); #135966=CARTESIAN_POINT('',(-1.80549030849465,0.388429627849788,0.01)); #135967=CARTESIAN_POINT('',(-1.80549030849465,0.388429627849788,0.)); #135968=CARTESIAN_POINT('Ctrl Pts',(-1.80549030849465,0.388429627849788, 0.01)); #135969=CARTESIAN_POINT('Ctrl Pts',(-1.81364756438121,0.398151066858313, 0.01)); #135970=CARTESIAN_POINT('Ctrl Pts',(-1.82583521664548,0.406775593396167, 0.01)); #135971=CARTESIAN_POINT('Ctrl Pts',(-1.83168690691245,0.408530001211194, 0.01)); #135972=CARTESIAN_POINT('Origin',(-1.79231680904565,0.372730062554411,0.)); #135973=CARTESIAN_POINT('',(-1.79231680904565,0.372730062554411,0.)); #135974=CARTESIAN_POINT('',(-1.45555596063981,-0.0286058883783384,0.)); #135975=CARTESIAN_POINT('',(-1.79231680904565,0.372730062554411,0.01)); #135976=CARTESIAN_POINT('',(-1.79231680904565,0.372730062554411,0.)); #135977=CARTESIAN_POINT('',(-1.79231680904565,0.372730062554411,0.01)); #135978=CARTESIAN_POINT('Origin',(-1.8612608075314,0.314879178853134,0.)); #135979=CARTESIAN_POINT('',(-1.8612608075314,0.314879178853134,0.)); #135980=CARTESIAN_POINT('',(-1.26739125217155,0.813194903722995,0.)); #135981=CARTESIAN_POINT('',(-1.8612608075314,0.314879178853134,0.01)); #135982=CARTESIAN_POINT('',(-1.8612608075314,0.314879178853134,0.)); #135983=CARTESIAN_POINT('',(-1.8612608075314,0.314879178853134,0.01)); #135984=CARTESIAN_POINT('Origin',(-1.87457494932327,0.330746355165899,0.)); #135985=CARTESIAN_POINT('',(-1.87457494932327,0.330746355165899,0.)); #135986=CARTESIAN_POINT('',(-1.53115703002149,-0.0785231839232318,0.)); #135987=CARTESIAN_POINT('',(-1.87457494932327,0.330746355165899,0.01)); #135988=CARTESIAN_POINT('',(-1.87457494932327,0.330746355165899,0.)); #135989=CARTESIAN_POINT('',(-1.87457494932327,0.330746355165899,0.01)); #135990=CARTESIAN_POINT('Ctrl Pts',(-1.88953068702778,0.359802890680241, 0.)); #135991=CARTESIAN_POINT('Ctrl Pts',(-1.88953068702778,0.359802890680241, 0.01)); #135992=CARTESIAN_POINT('Ctrl Pts',(-1.88842024362643,0.351785112725593, 0.)); #135993=CARTESIAN_POINT('Ctrl Pts',(-1.88842024362643,0.351785112725593, 0.01)); #135994=CARTESIAN_POINT('Ctrl Pts',(-1.88160706646686,0.339126906035318, 0.)); #135995=CARTESIAN_POINT('Ctrl Pts',(-1.88160706646686,0.339126906035318, 0.01)); #135996=CARTESIAN_POINT('Ctrl Pts',(-1.87457494932327,0.330746355165899, 0.)); #135997=CARTESIAN_POINT('Ctrl Pts',(-1.87457494932327,0.330746355165899, 0.01)); #135998=CARTESIAN_POINT('',(-1.88953068702778,0.359802890680241,0.)); #135999=CARTESIAN_POINT('Ctrl Pts',(-1.88953068702778,0.359802890680241, 0.)); #136000=CARTESIAN_POINT('Ctrl Pts',(-1.88842024362643,0.351785112725593, 0.)); #136001=CARTESIAN_POINT('Ctrl Pts',(-1.88160706646686,0.339126906035318, 0.)); #136002=CARTESIAN_POINT('Ctrl Pts',(-1.87457494932327,0.330746355165899, 0.)); #136003=CARTESIAN_POINT('',(-1.88953068702778,0.359802890680241,0.01)); #136004=CARTESIAN_POINT('',(-1.88953068702778,0.359802890680241,0.)); #136005=CARTESIAN_POINT('Ctrl Pts',(-1.88953068702778,0.359802890680241, 0.01)); #136006=CARTESIAN_POINT('Ctrl Pts',(-1.88842024362643,0.351785112725593, 0.01)); #136007=CARTESIAN_POINT('Ctrl Pts',(-1.88160706646686,0.339126906035318, 0.01)); #136008=CARTESIAN_POINT('Ctrl Pts',(-1.87457494932327,0.330746355165899, 0.01)); #136009=CARTESIAN_POINT('Ctrl Pts',(-1.87245967849908,0.398119579895775, 0.)); #136010=CARTESIAN_POINT('Ctrl Pts',(-1.87245967849908,0.398119579895775, 0.01)); #136011=CARTESIAN_POINT('Ctrl Pts',(-1.88190176581196,0.390196727913995, 0.)); #136012=CARTESIAN_POINT('Ctrl Pts',(-1.88190176581196,0.390196727913995, 0.01)); #136013=CARTESIAN_POINT('Ctrl Pts',(-1.89067583106403,0.369790919032881, 0.)); #136014=CARTESIAN_POINT('Ctrl Pts',(-1.89067583106403,0.369790919032881, 0.01)); #136015=CARTESIAN_POINT('Ctrl Pts',(-1.88953068702778,0.359802890680241, 0.)); #136016=CARTESIAN_POINT('Ctrl Pts',(-1.88953068702778,0.359802890680241, 0.01)); #136017=CARTESIAN_POINT('Ctrl Pts',(-1.87245967849908,0.398119579895775, 0.)); #136018=CARTESIAN_POINT('Ctrl Pts',(-1.88190176581196,0.390196727913995, 0.)); #136019=CARTESIAN_POINT('Ctrl Pts',(-1.89067583106403,0.369790919032881, 0.)); #136020=CARTESIAN_POINT('Ctrl Pts',(-1.88953068702778,0.359802890680241, 0.)); #136021=CARTESIAN_POINT('Ctrl Pts',(-1.87245967849908,0.398119579895775, 0.01)); #136022=CARTESIAN_POINT('Ctrl Pts',(-1.88190176581196,0.390196727913995, 0.01)); #136023=CARTESIAN_POINT('Ctrl Pts',(-1.89067583106403,0.369790919032881, 0.01)); #136024=CARTESIAN_POINT('Ctrl Pts',(-1.88953068702778,0.359802890680241, 0.01)); #136025=CARTESIAN_POINT('Origin',(-1.84381915474761,0.364132418555949,0.01)); #136026=CARTESIAN_POINT('Origin',(-1.91281776599884,0.403099981496828,0.)); #136027=CARTESIAN_POINT('',(-1.91281776599884,0.403099981496828,0.)); #136028=CARTESIAN_POINT('',(-1.9260031660334,0.392036117190912,0.)); #136029=CARTESIAN_POINT('',(-1.32554091065627,0.895883774213729,0.)); #136030=CARTESIAN_POINT('',(-1.91281776599884,0.403099981496828,0.01)); #136031=CARTESIAN_POINT('',(-1.91281776599884,0.403099981496828,0.)); #136032=CARTESIAN_POINT('',(-1.9260031660334,0.392036117190912,0.01)); #136033=CARTESIAN_POINT('',(-1.91281776599884,0.403099981496828,0.01)); #136034=CARTESIAN_POINT('',(-1.9260031660334,0.392036117190912,0.)); #136035=CARTESIAN_POINT('Origin',(-1.9035353713693,0.392037654349196,0.)); #136036=CARTESIAN_POINT('',(-1.9035353713693,0.392037654349196,0.)); #136037=CARTESIAN_POINT('',(-1.53904454102722,-0.0423456021786285,0.)); #136038=CARTESIAN_POINT('',(-1.9035353713693,0.392037654349196,0.01)); #136039=CARTESIAN_POINT('',(-1.9035353713693,0.392037654349196,0.)); #136040=CARTESIAN_POINT('',(-1.9035353713693,0.392037654349196,0.01)); #136041=CARTESIAN_POINT('Origin',(-1.91672077140386,0.380973790043279,0.)); #136042=CARTESIAN_POINT('',(-1.91672077140386,0.380973790043279,0.)); #136043=CARTESIAN_POINT('',(-1.322851216044,0.879289514913138,0.)); #136044=CARTESIAN_POINT('',(-1.91672077140386,0.380973790043279,0.01)); #136045=CARTESIAN_POINT('',(-1.91672077140386,0.380973790043279,0.)); #136046=CARTESIAN_POINT('',(-1.91672077140386,0.380973790043279,0.01)); #136047=CARTESIAN_POINT('Origin',(-1.9260031660334,0.392036117190912,0.)); #136048=CARTESIAN_POINT('',(-1.55687113837654,-0.0478783029107293,0.)); #136049=CARTESIAN_POINT('',(-1.9260031660334,0.392036117190912,0.01)); #136050=CARTESIAN_POINT('Origin',(-1.91476926870135,0.392036885770054,0.01)); #136051=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136052=CARTESIAN_POINT('',(1.22803373601995,0.312327500996647,0.)); #136053=CARTESIAN_POINT('',(1.22803373601995,0.342447480719292,0.)); #136054=CARTESIAN_POINT('',(1.22803373601995,0.407572353363675,0.)); #136055=CARTESIAN_POINT('',(1.24803068992308,0.292330547093519,0.)); #136056=CARTESIAN_POINT('Origin',(1.24803678258083,0.312333593654397,0.)); #136057=CARTESIAN_POINT('',(1.471023045,0.292330547093518,0.)); #136058=CARTESIAN_POINT('',(0.735511522499999,0.292330547093519,0.)); #136059=CARTESIAN_POINT('',(1.81499847790648,0.636305979999998,0.)); #136060=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,0.)); #136061=CARTESIAN_POINT('',(1.81499847790648,0.70653445900335,0.)); #136062=CARTESIAN_POINT('',(1.81499847790648,0.61069735336367,0.)); #136063=CARTESIAN_POINT('',(1.79500152400335,0.726531412906478,0.)); #136064=CARTESIAN_POINT('Origin',(1.7949954313456,0.7065283663456,0.)); #136065=CARTESIAN_POINT('',(1.76658474525695,0.726531412906478,0.)); #136066=CARTESIAN_POINT('',(0.8742667225,0.726531412906479,0.)); #136067=CARTESIAN_POINT('',(1.83073158702262,0.867927264391154,0.)); #136068=CARTESIAN_POINT('Origin',(1.07616878898938,1.125,0.)); #136069=CARTESIAN_POINT('',(1.92476765910389,0.820013492495251,0.)); #136070=CARTESIAN_POINT('',(0.258656165364594,1.6689397002506,0.)); #136071=CARTESIAN_POINT('',(2.0319461738759,0.432903324676079,0.)); #136072=CARTESIAN_POINT('Origin',(1.80114719033798,0.57739466179979,0.)); #136073=CARTESIAN_POINT('',(1.69211540627249,0.00683020393689162,-2.45866319429784E-17)); #136074=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #136075=CARTESIAN_POINT('',(1.6816026327739,0.0177164995704412,0.)); #136076=CARTESIAN_POINT('',(1.15476737861982,0.56327037562055,0.)); #136077=CARTESIAN_POINT('',(1.6746272563725,0.0107932305682849,-2.16931812871896E-18)); #136078=CARTESIAN_POINT('Ctrl Pts',(1.67462727606247,0.0107932106482898, -1.96077032857239E-16)); #136079=CARTESIAN_POINT('Ctrl Pts',(1.67695673246091,0.0130957671713273, -2.06238979235106E-16)); #136080=CARTESIAN_POINT('Ctrl Pts',(1.67928179252673,0.0154034732954305, -2.12547835984514E-16)); #136081=CARTESIAN_POINT('Ctrl Pts',(1.6816026327739,0.0177164995704424, -2.13084143505813E-16)); #136082=CARTESIAN_POINT('',(1.64610111883631,-0.0169361579038806,3.11436842164317E-11)); #136083=CARTESIAN_POINT('Ctrl Pts',(1.64610113819083,-0.0169361781502453, -1.05385324638329E-17)); #136084=CARTESIAN_POINT('Ctrl Pts',(1.65568975082791,-0.00776993730186417, -5.0599897896894E-17)); #136085=CARTESIAN_POINT('Ctrl Pts',(1.66519455679895,0.00146941764459862, -1.54928038861275E-16)); #136086=CARTESIAN_POINT('Ctrl Pts',(1.67462727606247,0.0107932106482896, -1.96077032857239E-16)); #136087=CARTESIAN_POINT('',(1.63898357154413,-0.0237122020688405,0.)); #136088=CARTESIAN_POINT('Ctrl Pts',(1.63898357154422,-0.0237122020689495, 5.46369598732853E-18)); #136089=CARTESIAN_POINT('Ctrl Pts',(1.64136131985058,-0.0214578705459126, 5.32572108934613E-18)); #136090=CARTESIAN_POINT('Ctrl Pts',(1.64373378327284,-0.0191992527130805, -6.47688598431613E-19)); #136091=CARTESIAN_POINT('Ctrl Pts',(1.64610113819083,-0.0169361781502456, -1.05385324638316E-17)); #136092=CARTESIAN_POINT('',(1.64949548812124,-0.0345976102948976,2.54061863410776E-16)); #136093=CARTESIAN_POINT('',(1.23357763874503,0.39609793073517,0.)); #136094=CARTESIAN_POINT('',(0.966949957352816,-0.488636930863028,-2.45866319429784E-17)); #136095=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #136096=CARTESIAN_POINT('',(0.961036905136327,-0.474706652818037,0.)); #136097=CARTESIAN_POINT('',(0.714223354676759,0.106749633949501,0.)); #136098=CARTESIAN_POINT('',(0.952036339091773,-0.478652864908401,-2.44048289480875E-18)); #136099=CARTESIAN_POINT('Ctrl Pts',(0.952036351571101,-0.478652893531387, -8.18637810437904E-17)); #136100=CARTESIAN_POINT('Ctrl Pts',(0.955038585224904,-0.477343951368123, -8.20514020040196E-17)); #136101=CARTESIAN_POINT('Ctrl Pts',(0.958038685120692,-0.476028573857905, -8.21024516006137E-17)); #136102=CARTESIAN_POINT('Ctrl Pts',(0.961036905136389,-0.474706652818173, -8.19554398099279E-17)); #136103=CARTESIAN_POINT('',(0.915437567279219,-0.494246936064215,1.23737548482217E-18)); #136104=CARTESIAN_POINT('Ctrl Pts',(0.915437579277993,-0.494246964890498, -7.69486625284116E-17)); #136105=CARTESIAN_POINT('Ctrl Pts',(0.92768341179074,-0.489149704604177, -7.81039768150209E-17)); #136106=CARTESIAN_POINT('Ctrl Pts',(0.939877380946016,-0.483954076300058, -8.11039208839429E-17)); #136107=CARTESIAN_POINT('Ctrl Pts',(0.952036351571101,-0.478652893531387, -8.18637810437903E-17)); #136108=CARTESIAN_POINT('',(0.906357033363682,-0.498004755656532,0.)); #136109=CARTESIAN_POINT('Ctrl Pts',(0.906357033363745,-0.498004755656692, -7.64917438225994E-17)); #136110=CARTESIAN_POINT('Ctrl Pts',(0.909387213032626,-0.496758083515748, -7.64906041092118E-17)); #136111=CARTESIAN_POINT('Ctrl Pts',(0.912413977051362,-0.495505522651712, -7.66634054137308E-17)); #136112=CARTESIAN_POINT('Ctrl Pts',(0.915437579277993,-0.494246964890498, -7.69486625284116E-17)); #136113=CARTESIAN_POINT('',(0.91226988650309,-0.511934564637471,1.44787943664206E-16)); #136114=CARTESIAN_POINT('',(0.734727619391318,-0.0936711947047924,0.)); #136115=CARTESIAN_POINT('',(0.0297181906859783,-0.692113270927812,-2.45866319429784E-17)); #136116=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #136117=CARTESIAN_POINT('',(0.0297181906833099,-0.676980238061693,0.)); #136118=CARTESIAN_POINT('',(0.0297181906833104,-0.0905806366363238,0.)); #136119=CARTESIAN_POINT('',(0.019891218052153,-0.677082568849857,4.6783371731988E-19)); #136120=CARTESIAN_POINT('Ctrl Pts',(0.0198912183130458,-0.677082600073193, -8.04553145330744E-17)); #136121=CARTESIAN_POINT('Ctrl Pts',(0.0231662249706979,-0.677055235934095, -8.10747344770251E-17)); #136122=CARTESIAN_POINT('Ctrl Pts',(0.0264417904422544,-0.677021115263596, -8.15944151732366E-17)); #136123=CARTESIAN_POINT('Ctrl Pts',(0.029718190683314,-0.676980238061693, -8.19554398099279E-17)); #136124=CARTESIAN_POINT('',(-0.019891218052154,-0.677082568849857,5.98946351473222E-19)); #136125=CARTESIAN_POINT('Ctrl Pts',(-0.0198912183130466,-0.677082600073193, -7.19352560984922E-17)); #136126=CARTESIAN_POINT('Ctrl Pts',(-0.0066273507475864,-0.677193425593557, -7.38019833539132E-17)); #136127=CARTESIAN_POINT('Ctrl Pts',(0.00662735074758576,-0.677193425593557, -7.79466466240276E-17)); #136128=CARTESIAN_POINT('Ctrl Pts',(0.0198912183130458,-0.677082600073193, -8.04553145330744E-17)); #136129=CARTESIAN_POINT('',(-0.0297181906833109,-0.676980238061693,0.)); #136130=CARTESIAN_POINT('Ctrl Pts',(-0.0297181906833153,-0.676980238061693, -7.10280478352708E-17)); #136131=CARTESIAN_POINT('Ctrl Pts',(-0.0264417904422556,-0.677021115263596, -7.11522972452689E-17)); #136132=CARTESIAN_POINT('Ctrl Pts',(-0.0231662249706989,-0.677055235934095, -7.14743389369363E-17)); #136133=CARTESIAN_POINT('Ctrl Pts',(-0.0198912183130466,-0.677082600073193, -7.19352560984922E-17)); #136134=CARTESIAN_POINT('',(-0.0297181906859796,-0.692113270927812,-2.45866319429784E-17)); #136135=CARTESIAN_POINT('',(-0.0297181906833106,-0.300580636636324,0.)); #136136=CARTESIAN_POINT('',(-0.91226988650309,-0.511934564637471,1.44787943664206E-16)); #136137=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #136138=CARTESIAN_POINT('',(-0.906357033363682,-0.498004755656532,0.)); #136139=CARTESIAN_POINT('',(-0.734727619391318,-0.0936711947047923,0.)); #136140=CARTESIAN_POINT('',(-0.915437567279219,-0.494246936064215,-2.88298803507635E-19)); #136141=CARTESIAN_POINT('Ctrl Pts',(-0.915437579277992,-0.494246964890498, -6.67677104583544E-17)); #136142=CARTESIAN_POINT('Ctrl Pts',(-0.912413977042274,-0.495505522655494, -7.27763713710925E-17)); #136143=CARTESIAN_POINT('Ctrl Pts',(-0.909387213023522,-0.496758083519493, -7.6409503731573E-17)); #136144=CARTESIAN_POINT('Ctrl Pts',(-0.906357033363745,-0.498004755656692, -7.64917438225994E-17)); #136145=CARTESIAN_POINT('',(-0.952036339091773,-0.4786528649084,1.06707805205252E-17)); #136146=CARTESIAN_POINT('Ctrl Pts',(-0.952036351571101,-0.478652893531385, 4.50532237541755E-17)); #136147=CARTESIAN_POINT('Ctrl Pts',(-0.939877380798262,-0.483954076364471, 2.08695654460654E-17)); #136148=CARTESIAN_POINT('Ctrl Pts',(-0.927683411641674,-0.489149704666222, -4.2432150245316E-17)); #136149=CARTESIAN_POINT('Ctrl Pts',(-0.915437579277992,-0.494246964890498, -6.67677104583544E-17)); #136150=CARTESIAN_POINT('',(-0.961036905136327,-0.474706652818037,0.)); #136151=CARTESIAN_POINT('Ctrl Pts',(-0.96103690513639,-0.474706652818172, 5.46369598732853E-17)); #136152=CARTESIAN_POINT('Ctrl Pts',(-0.958038685111747,-0.476028573861848, 5.46109317467442E-17)); #136153=CARTESIAN_POINT('Ctrl Pts',(-0.955038585215934,-0.477343951372032, 5.10245344830367E-17)); #136154=CARTESIAN_POINT('Ctrl Pts',(-0.9520363515711,-0.478652893531385, 4.50532237541743E-17)); #136155=CARTESIAN_POINT('',(-0.966949957352817,-0.488636930863028,-2.45866319429784E-17)); #136156=CARTESIAN_POINT('',(-0.714223354676759,0.106749633949501,0.)); #136157=CARTESIAN_POINT('',(-1.64949548716215,-0.034597609349359,-2.59525559398105E-17)); #136158=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #136159=CARTESIAN_POINT('',(-1.63898357154413,-0.0237122020688404,0.)); #136160=CARTESIAN_POINT('',(-1.23357763874503,0.39609793073517,0.)); #136161=CARTESIAN_POINT('',(-1.64610111883646,-0.0169361579037413,3.11401538061285E-11)); #136162=CARTESIAN_POINT('Ctrl Pts',(-1.64610113819098,-0.0169361781501132, -1.13773997073365E-18)); #136163=CARTESIAN_POINT('Ctrl Pts',(-1.64373378325744,-0.0191992527278094, -4.22360377556652E-18)); #136164=CARTESIAN_POINT('Ctrl Pts',(-1.64136131983509,-0.021457870560594, -5.89420079626943E-18)); #136165=CARTESIAN_POINT('Ctrl Pts',(-1.63898357154422,-0.0237122020689496, -5.46369598732853E-18)); #136166=CARTESIAN_POINT('',(-1.6746272563725,0.010793230568285,-6.55861154026159E-18)); #136167=CARTESIAN_POINT('Ctrl Pts',(-1.67462727606248,0.0107932106482833, 6.04405869923274E-17)); #136168=CARTESIAN_POINT('Ctrl Pts',(-1.66519455654497,0.00146941739351215, 4.70778154584371E-17)); #136169=CARTESIAN_POINT('Ctrl Pts',(-1.65568975057132,-0.00776993754719489, 1.13610837011447E-17)); #136170=CARTESIAN_POINT('Ctrl Pts',(-1.64610113819098,-0.0169361781501132, -1.13773997073365E-18)); #136171=CARTESIAN_POINT('',(-1.6816026327739,0.0177164995704413,0.)); #136172=CARTESIAN_POINT('Ctrl Pts',(-1.6816026327739,0.0177164995704427, 6.55643518479423E-17)); #136173=CARTESIAN_POINT('Ctrl Pts',(-1.6792817925112,0.0154034732799508, 6.56772961653376E-17)); #136174=CARTESIAN_POINT('Ctrl Pts',(-1.67695673244534,0.0130957671559258, 6.37405890102563E-17)); #136175=CARTESIAN_POINT('Ctrl Pts',(-1.67462727606248,0.0107932106482838, 6.04405869923281E-17)); #136176=CARTESIAN_POINT('',(-1.69211540667062,0.00683020348823421,1.63910879619856E-17)); #136177=CARTESIAN_POINT('',(-1.15476737861982,0.56327037562055,0.)); #136178=CARTESIAN_POINT('',(-2.0319461738759,0.432903324676079,0.)); #136179=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #136180=CARTESIAN_POINT('',(-1.92476765910389,0.820013492495251,0.)); #136181=CARTESIAN_POINT('Origin',(-1.80114719033798,0.577394661799789,0.)); #136182=CARTESIAN_POINT('',(-1.83073158702262,0.86792726439115,2.57818154402065E-17)); #136183=CARTESIAN_POINT('',(-1.22367465173195,1.17723822221384,0.)); #136184=CARTESIAN_POINT('',(-1.76658474525696,0.726531412906482,5.25027036282351E-18)); #136185=CARTESIAN_POINT('Origin',(-1.07616878898939,1.125,0.)); #136186=CARTESIAN_POINT('',(-1.79500152400335,0.726531412906482,0.)); #136187=CARTESIAN_POINT('',(-0.9005115225,0.726531412906482,0.)); #136188=CARTESIAN_POINT('',(-1.81499847790648,0.706534459003354,0.)); #136189=CARTESIAN_POINT('Origin',(-1.7949954313456,0.706528366345604,0.)); #136190=CARTESIAN_POINT('',(-1.81499847790648,0.636305980000002,0.)); #136191=CARTESIAN_POINT('',(-1.81499847790648,0.572572353363678,0.)); #136192=CARTESIAN_POINT('',(-1.471023045,0.292330547093521,0.)); #136193=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,0.)); #136194=CARTESIAN_POINT('',(-1.2480306899231,0.29233054709352,0.)); #136195=CARTESIAN_POINT('',(-0.621004584463226,0.29233054709352,0.)); #136196=CARTESIAN_POINT('',(-1.22803373601997,0.312327500996648,0.)); #136197=CARTESIAN_POINT('Origin',(-1.24803678258085,0.312333593654399,0.)); #136198=CARTESIAN_POINT('',(-1.22803373601997,0.342447480719305,0.)); #136199=CARTESIAN_POINT('',(-1.22803373601997,0.433817153363677,0.)); #136200=CARTESIAN_POINT('',(-1.22704289514403,0.342255845335654,0.)); #136201=CARTESIAN_POINT('Origin',(-1.07616878898939,1.125,0.)); #136202=CARTESIAN_POINT('',(-0.394944011894122,0.237118778474418,0.)); #136203=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,6.70822995564441, 0.)); #136204=CARTESIAN_POINT('',(-0.39641741417714,0.211823453767701,0.)); #136205=CARTESIAN_POINT('Ctrl Pts',(-0.39641741417714,0.211823453767701, 0.)); #136206=CARTESIAN_POINT('Ctrl Pts',(-0.392309629627251,0.219510975145998, 0.)); #136207=CARTESIAN_POINT('Ctrl Pts',(-0.39174177198407,0.229012143022463, 0.)); #136208=CARTESIAN_POINT('Ctrl Pts',(-0.394944011892463,0.237118778478629, 0.)); #136209=CARTESIAN_POINT('',(0.434565175817359,-0.114756480408712,0.)); #136210=CARTESIAN_POINT('Origin',(0.,0.,0.)); #136211=CARTESIAN_POINT('',(0.434565175817359,0.114756480408713,0.)); #136212=CARTESIAN_POINT('',(0.434565175817359,0.191919363363676,0.)); #136213=CARTESIAN_POINT('',(0.396417414248774,0.211823453633641,0.)); #136214=CARTESIAN_POINT('Origin',(0.,0.,0.)); #136215=CARTESIAN_POINT('',(0.394944011893475,0.237118778485013,0.)); #136216=CARTESIAN_POINT('Ctrl Pts',(0.394944011893677,0.237118778481704, 0.)); #136217=CARTESIAN_POINT('Ctrl Pts',(0.391741772317813,0.229012143869969, 0.)); #136218=CARTESIAN_POINT('Ctrl Pts',(0.392309630055018,0.219510974345292, 0.)); #136219=CARTESIAN_POINT('Ctrl Pts',(0.396417414177263,0.21182345376747, 0.)); #136220=CARTESIAN_POINT('',(1.22704289514402,0.342255845335651,0.)); #136221=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,6.70822995564441, 0.)); #136222=CARTESIAN_POINT('Origin',(1.07616878898938,1.125,0.)); #136223=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136224=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136225=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136226=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136227=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136228=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136229=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136230=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136231=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136232=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136233=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136234=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136235=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136236=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136237=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136238=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136239=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136240=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136241=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136242=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136243=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136244=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136245=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136246=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136247=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136248=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136249=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136250=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136251=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136252=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136253=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136254=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136255=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136256=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136257=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #136258=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.5825)); #136259=CARTESIAN_POINT('',(0.306339820056476,2.4261,-0.5525)); #136260=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-0.5525)); #136261=CARTESIAN_POINT('Origin',(0.306339820056476,2.4261,-0.5825)); #136262=CARTESIAN_POINT('Origin',(4.3126045135081E-16,2.33411370976508, -0.5525)); #136263=CARTESIAN_POINT('',(-0.323266398880614,2.86067162865184,-0.5525)); #136264=CARTESIAN_POINT('',(-0.307350299764255,2.87988094101458,-0.5525)); #136265=CARTESIAN_POINT('Ctrl Pts',(-0.323266398880614,2.86067162865184, -0.5525)); #136266=CARTESIAN_POINT('Ctrl Pts',(-0.317033646613983,2.86624581199613, -0.5525)); #136267=CARTESIAN_POINT('Ctrl Pts',(-0.31105400497924,2.87238418915552, -0.5525)); #136268=CARTESIAN_POINT('Ctrl Pts',(-0.307350299765001,2.8798809410161, -0.5525)); #136269=CARTESIAN_POINT('',(-0.505835037311448,2.43712802633549,-0.5525)); #136270=CARTESIAN_POINT('Ctrl Pts',(-0.505835037311448,2.43712802633549, -0.5525)); #136271=CARTESIAN_POINT('Ctrl Pts',(-0.508812334225261,2.52783205021592, -0.5525)); #136272=CARTESIAN_POINT('Ctrl Pts',(-0.473654589709656,2.68790839924113, -0.5525)); #136273=CARTESIAN_POINT('Ctrl Pts',(-0.374001062107509,2.8152977227689, -0.5525)); #136274=CARTESIAN_POINT('Ctrl Pts',(-0.323266398880614,2.86067162865184, -0.5525)); #136275=CARTESIAN_POINT('',(-0.505489622561222,2.42861736359183,-0.5525)); #136276=CARTESIAN_POINT('Ctrl Pts',(-0.505489622561222,2.42861736359183, -0.5525)); #136277=CARTESIAN_POINT('Ctrl Pts',(-0.505626739207133,2.43145330230304, -0.5525)); #136278=CARTESIAN_POINT('Ctrl Pts',(-0.505741891007182,2.43429030310835, -0.5525)); #136279=CARTESIAN_POINT('Ctrl Pts',(-0.50583503731145,2.43712802633556, -0.5525)); #136280=CARTESIAN_POINT('',(-0.498064712106529,2.09810777751528,-0.5525)); #136281=CARTESIAN_POINT('Ctrl Pts',(-0.498064705904826,2.09810777788223, -0.5525)); #136282=CARTESIAN_POINT('Ctrl Pts',(-0.498064702764764,2.208316232074,-0.5525)); #136283=CARTESIAN_POINT('Ctrl Pts',(-0.500167292567844,2.31853750082592, -0.5525)); #136284=CARTESIAN_POINT('Ctrl Pts',(-0.505489621326001,2.42861736365156, -0.5525)); #136285=CARTESIAN_POINT('',(-0.498064712106529,2.00136186,-0.5525)); #136286=CARTESIAN_POINT('',(-0.498064712106529,2.38010685488254,-0.5525)); #136287=CARTESIAN_POINT('',(-0.38692063,1.89021777789347,-0.5525)); #136288=CARTESIAN_POINT('Origin',(-0.38692063,2.00136186,-0.5525)); #136289=CARTESIAN_POINT('',(0.38692063,1.89021777789347,-0.5525)); #136290=CARTESIAN_POINT('',(-0.193460315,1.89021777789347,-0.5525)); #136291=CARTESIAN_POINT('',(0.498064712106529,2.00136186,-0.5525)); #136292=CARTESIAN_POINT('Origin',(0.38692063,2.00136186,-0.5525)); #136293=CARTESIAN_POINT('',(0.498064712106529,2.09810777666968,-0.5525)); #136294=CARTESIAN_POINT('',(0.498064712106529,2.16773778488254,-0.5525)); #136295=CARTESIAN_POINT('',(0.505489622107748,2.42861735421275,-0.5525)); #136296=CARTESIAN_POINT('Ctrl Pts',(0.505489620868234,2.42861735427268, -0.5525)); #136297=CARTESIAN_POINT('Ctrl Pts',(0.500167292434272,2.31853749428297, -0.5525)); #136298=CARTESIAN_POINT('Ctrl Pts',(0.498064702713158,2.20831622838243, -0.552500000000001)); #136299=CARTESIAN_POINT('Ctrl Pts',(0.498064705831252,2.09810777703978, -0.552500000000001)); #136300=CARTESIAN_POINT('',(0.505835037311422,2.43712802633469,-0.5525)); #136301=CARTESIAN_POINT('Ctrl Pts',(0.505835037311423,2.43712802633471, -0.5525)); #136302=CARTESIAN_POINT('Ctrl Pts',(0.505741890904476,2.43429029997945, -0.5525)); #136303=CARTESIAN_POINT('Ctrl Pts',(0.505626738953231,2.43145329604769, -0.5525)); #136304=CARTESIAN_POINT('Ctrl Pts',(0.505489622107748,2.42861735421275, -0.5525)); #136305=CARTESIAN_POINT('',(0.32326639888063,2.86067162865182,-0.5525)); #136306=CARTESIAN_POINT('Ctrl Pts',(0.32326639888063,2.86067162865182,-0.5525)); #136307=CARTESIAN_POINT('Ctrl Pts',(0.390912616516601,2.80017308747448, -0.5525)); #136308=CARTESIAN_POINT('Ctrl Pts',(0.483556049412119,2.66516388445102, -0.5525)); #136309=CARTESIAN_POINT('Ctrl Pts',(0.508068009996886,2.50515604424511, -0.5525)); #136310=CARTESIAN_POINT('Ctrl Pts',(0.505835037311422,2.43712802633469, -0.5525)); #136311=CARTESIAN_POINT('',(0.307350299761293,2.87988094101544,-0.5525)); #136312=CARTESIAN_POINT('Ctrl Pts',(0.307350299764538,2.87988094101704, -0.5525)); #136313=CARTESIAN_POINT('Ctrl Pts',(0.311057428664799,2.872377259197,-0.5525)); #136314=CARTESIAN_POINT('Ctrl Pts',(0.317027885089454,2.8662509647429,-0.5525)); #136315=CARTESIAN_POINT('Ctrl Pts',(0.323266398880615,2.86067162865184, -0.5525)); #136316=CARTESIAN_POINT('',(0.260664986584373,2.88474818381092,-0.5525)); #136317=CARTESIAN_POINT('Ctrl Pts',(0.26067898650236,2.88475425529728,-0.5525)); #136318=CARTESIAN_POINT('Ctrl Pts',(0.276264450106631,2.88333235915025, -0.5525)); #136319=CARTESIAN_POINT('Ctrl Pts',(0.291827282282494,2.88172525444862, -0.5525)); #136320=CARTESIAN_POINT('Ctrl Pts',(0.307350299764069,2.87988094101312, -0.5525)); #136321=CARTESIAN_POINT('',(-0.260664986556407,2.88474818381939,-0.5525)); #136322=CARTESIAN_POINT('Ctrl Pts',(-0.260694148714084,2.88480745978439, -0.5525)); #136323=CARTESIAN_POINT('Ctrl Pts',(-0.217895756719383,2.88878709750528, -0.5525)); #136324=CARTESIAN_POINT('Ctrl Pts',(-0.116359974148241,2.89488766663135, -0.5525)); #136325=CARTESIAN_POINT('Ctrl Pts',(0.0440037137422779,2.8969609215529, -0.5525)); #136326=CARTESIAN_POINT('Ctrl Pts',(0.174922855552583,2.89182130958061, -0.5525)); #136327=CARTESIAN_POINT('Ctrl Pts',(0.247055545795466,2.88599715177712, -0.5525)); #136328=CARTESIAN_POINT('Ctrl Pts',(0.26067898650236,2.88475425529728,-0.5525)); #136329=CARTESIAN_POINT('Ctrl Pts',(-0.307350299764081,2.87988094101312, -0.5525)); #136330=CARTESIAN_POINT('Ctrl Pts',(-0.29181493767459,2.88172672113035, -0.5525)); #136331=CARTESIAN_POINT('Ctrl Pts',(-0.276253037341137,2.88336089368696, -0.5525)); #136332=CARTESIAN_POINT('Ctrl Pts',(-0.260670700358109,2.88480964000439, -0.5525)); #136333=CARTESIAN_POINT('Ctrl Pts',(-0.272733761376301,2.92660595068026, -0.541672515725335)); #136334=CARTESIAN_POINT('Ctrl Pts',(-0.272818471068902,2.92652112386591, -0.541713813769631)); #136335=CARTESIAN_POINT('Ctrl Pts',(-0.272903147544303,2.92643623945541, -0.541754984162896)); #136336=CARTESIAN_POINT('Ctrl Pts',(-0.286348739755231,2.91294808285137, -0.548283618046759)); #136337=CARTESIAN_POINT('Ctrl Pts',(-0.299372935048449,2.89781375211632, -0.552186429152217)); #136338=CARTESIAN_POINT('Ctrl Pts',(-0.311963624051873,2.88216011385537, -0.552944584080192)); #136339=CARTESIAN_POINT('Ctrl Pts',(-0.272733761376279,2.92660595068025, -0.541672515725342)); #136340=CARTESIAN_POINT('Ctrl Pts',(-0.272815330308547,2.92651964383176, -0.541714892911525)); #136341=CARTESIAN_POINT('Ctrl Pts',(-0.272896856707005,2.9264332436012, -0.541756787496037)); #136342=CARTESIAN_POINT('Ctrl Pts',(-0.285842789318065,2.91270752993063, -0.548440018067387)); #136343=CARTESIAN_POINT('Ctrl Pts',(-0.29834955364985,2.89723231376464, -0.552244191615813)); #136344=CARTESIAN_POINT('Ctrl Pts',(-0.31044110988791,2.88140792894189, -0.552648928927906)); #136345=CARTESIAN_POINT('Ctrl Pts',(-0.272733761376258,2.92660595068024, -0.541672515725349)); #136346=CARTESIAN_POINT('Ctrl Pts',(-0.272812189409519,2.92651813587134, -0.541715704655267)); #136347=CARTESIAN_POINT('Ctrl Pts',(-0.272890563186646,2.92643027349477, -0.541758842701058)); #136348=CARTESIAN_POINT('Ctrl Pts',(-0.285338333059997,2.91246705242166, -0.548590117856578)); #136349=CARTESIAN_POINT('Ctrl Pts',(-0.297325193907205,2.89667230887194, -0.552343372464095)); #136350=CARTESIAN_POINT('Ctrl Pts',(-0.308895716179577,2.88064444058802, -0.552499999999969)); #136351=CARTESIAN_POINT('Ctrl Pts',(-0.272733761376236,2.92660595068023, -0.541672515725355)); #136352=CARTESIAN_POINT('Ctrl Pts',(-0.272809039228322,2.9265166518372, -0.541716704604152)); #136353=CARTESIAN_POINT('Ctrl Pts',(-0.272884281862368,2.92642728376094, -0.541760727932093)); #136354=CARTESIAN_POINT('Ctrl Pts',(-0.284832086629815,2.91222677643629, -0.548744678877681)); #136355=CARTESIAN_POINT('Ctrl Pts',(-0.29630736218991,2.89613906547705, -0.552499999999514)); #136356=CARTESIAN_POINT('Ctrl Pts',(-0.307350299764429,2.87988094101604, -0.5525)); #136357=CARTESIAN_POINT('',(-0.311963624051873,2.88216011385537,-0.552944584080192)); #136358=CARTESIAN_POINT('Origin',(-0.307350299764545,2.87988094101702,-0.5825)); #136359=CARTESIAN_POINT('',(-0.272733761376309,2.92660595068025,-0.541672515725338)); #136360=CARTESIAN_POINT('Ctrl Pts',(-0.307350299764429,2.87988094101604, -0.5525)); #136361=CARTESIAN_POINT('Ctrl Pts',(-0.29630736218991,2.89613906547705, -0.552499999999514)); #136362=CARTESIAN_POINT('Ctrl Pts',(-0.284832086629815,2.91222677643629, -0.548744678877681)); #136363=CARTESIAN_POINT('Ctrl Pts',(-0.272884281862368,2.92642728376094, -0.541760727932093)); #136364=CARTESIAN_POINT('Ctrl Pts',(-0.272809039228322,2.9265166518372, -0.541716704604152)); #136365=CARTESIAN_POINT('Ctrl Pts',(-0.272733761376236,2.92660595068023, -0.541672515725355)); #136366=CARTESIAN_POINT('Ctrl Pts',(-0.272733761376301,2.92660595068026, -0.541672515725335)); #136367=CARTESIAN_POINT('Ctrl Pts',(-0.272818471068902,2.92652112386591, -0.541713813769631)); #136368=CARTESIAN_POINT('Ctrl Pts',(-0.272903147544303,2.92643623945541, -0.541754984162896)); #136369=CARTESIAN_POINT('Ctrl Pts',(-0.286348739755231,2.91294808285137, -0.548283618046759)); #136370=CARTESIAN_POINT('Ctrl Pts',(-0.299372935048449,2.89781375211632, -0.552186429152217)); #136371=CARTESIAN_POINT('Ctrl Pts',(-0.311963624051873,2.88216011385537, -0.552944584080192)); #136372=CARTESIAN_POINT('Ctrl Pts',(-0.311963624052422,2.88216011385469, -0.552944584080225)); #136373=CARTESIAN_POINT('Ctrl Pts',(-0.316422087344683,2.87661703582542, -0.553213052780709)); #136374=CARTESIAN_POINT('Ctrl Pts',(-0.322422100067448,2.87139910498318, -0.553433627598345)); #136375=CARTESIAN_POINT('Ctrl Pts',(-0.328433418132383,2.86644911191065, -0.553518581828246)); #136376=CARTESIAN_POINT('Ctrl Pts',(-0.310441109888465,2.88140792894145, -0.552648928927948)); #136377=CARTESIAN_POINT('Ctrl Pts',(-0.314659750137461,2.87522466928109, -0.55273921178289)); #136378=CARTESIAN_POINT('Ctrl Pts',(-0.320663700262427,2.869716899571,-0.552814499232685)); #136379=CARTESIAN_POINT('Ctrl Pts',(-0.326750466111788,2.86456732540075, -0.552843396251342)); #136380=CARTESIAN_POINT('Ctrl Pts',(-0.308895716180141,2.88064444058783, -0.5525)); #136381=CARTESIAN_POINT('Ctrl Pts',(-0.312856906752352,2.87380444808212, -0.552499904874508)); #136382=CARTESIAN_POINT('Ctrl Pts',(-0.318848731245815,2.86798140741924, -0.552500069219167)); #136383=CARTESIAN_POINT('Ctrl Pts',(-0.325008499985489,2.86261955248919, -0.5525)); #136384=CARTESIAN_POINT('Ctrl Pts',(-0.307350299765001,2.8798809410161, -0.5525)); #136385=CARTESIAN_POINT('Ctrl Pts',(-0.31105400497924,2.87238418915552, -0.5525)); #136386=CARTESIAN_POINT('Ctrl Pts',(-0.317033646613983,2.86624581199613, -0.5525)); #136387=CARTESIAN_POINT('Ctrl Pts',(-0.323266398880614,2.86067162865184, -0.5525)); #136388=CARTESIAN_POINT('',(-0.328433418132383,2.86644911191065,-0.553518581828246)); #136389=CARTESIAN_POINT('Origin',(-0.323266398880614,2.86067162865184,-0.5825)); #136390=CARTESIAN_POINT('Ctrl Pts',(-0.311963624052422,2.88216011385469, -0.552944584080225)); #136391=CARTESIAN_POINT('Ctrl Pts',(-0.316422087344683,2.87661703582542, -0.553213052780709)); #136392=CARTESIAN_POINT('Ctrl Pts',(-0.322422100067448,2.87139910498318, -0.553433627598345)); #136393=CARTESIAN_POINT('Ctrl Pts',(-0.328433418132383,2.86644911191065, -0.553518581828246)); #136394=CARTESIAN_POINT('Ctrl Pts',(-0.328433418132383,2.86644911191065, -0.553518581828247)); #136395=CARTESIAN_POINT('Ctrl Pts',(-0.38187513423964,2.82278691077042, -0.554342445577837)); #136396=CARTESIAN_POINT('Ctrl Pts',(-0.489189359578615,2.69668507582715, -0.557099353197123)); #136397=CARTESIAN_POINT('Ctrl Pts',(-0.532230558685429,2.53133348466325, -0.562944620554628)); #136398=CARTESIAN_POINT('Ctrl Pts',(-0.531648985584814,2.43628070131903, -0.567238213903463)); #136399=CARTESIAN_POINT('Ctrl Pts',(-0.326750466111788,2.86456732540075, -0.552843396251342)); #136400=CARTESIAN_POINT('Ctrl Pts',(-0.379342744945017,2.82038368512768, -0.553123631928485)); #136401=CARTESIAN_POINT('Ctrl Pts',(-0.484331473302162,2.69406959131182, -0.554091353815644)); #136402=CARTESIAN_POINT('Ctrl Pts',(-0.526246238760468,2.53066715472452, -0.556372172448944)); #136403=CARTESIAN_POINT('Ctrl Pts',(-0.526375868076742,2.43645378775788, -0.558309596885739)); #136404=CARTESIAN_POINT('Ctrl Pts',(-0.325008499985489,2.86261955248919, -0.5525)); #136405=CARTESIAN_POINT('Ctrl Pts',(-0.376672137304651,2.81784094573238, -0.552499328730743)); #136406=CARTESIAN_POINT('Ctrl Pts',(-0.478992111755859,2.69098682916419, -0.552515737978292)); #136407=CARTESIAN_POINT('Ctrl Pts',(-0.517538806589612,2.52926735274337, -0.552555694021222)); #136408=CARTESIAN_POINT('Ctrl Pts',(-0.516200372288725,2.43678779137658, -0.5525)); #136409=CARTESIAN_POINT('Ctrl Pts',(-0.323266398880614,2.86067162865184, -0.5525)); #136410=CARTESIAN_POINT('Ctrl Pts',(-0.374001062107509,2.8152977227689, -0.5525)); #136411=CARTESIAN_POINT('Ctrl Pts',(-0.473654589709656,2.68790839924113, -0.5525)); #136412=CARTESIAN_POINT('Ctrl Pts',(-0.508812334225261,2.52783205021592, -0.5525)); #136413=CARTESIAN_POINT('Ctrl Pts',(-0.505835037311448,2.43712802633549, -0.5525)); #136414=CARTESIAN_POINT('',(-0.531648985584811,2.43628070131918,-0.567238213903451)); #136415=CARTESIAN_POINT('Origin',(-0.505835037311448,2.43712802633549,-0.5825)); #136416=CARTESIAN_POINT('Ctrl Pts',(-0.328433418132383,2.86644911191065, -0.553518581828247)); #136417=CARTESIAN_POINT('Ctrl Pts',(-0.38187513423964,2.82278691077042, -0.554342445577837)); #136418=CARTESIAN_POINT('Ctrl Pts',(-0.489189359578615,2.69668507582715, -0.557099353197123)); #136419=CARTESIAN_POINT('Ctrl Pts',(-0.532230558685429,2.53133348466325, -0.562944620554628)); #136420=CARTESIAN_POINT('Ctrl Pts',(-0.531648985584814,2.43628070131903, -0.567238213903463)); #136421=CARTESIAN_POINT('Ctrl Pts',(-0.531648985584814,2.4362807013191, -0.56723821390346)); #136422=CARTESIAN_POINT('Ctrl Pts',(-0.531630790763622,2.43330692485839, -0.567372541235952)); #136423=CARTESIAN_POINT('Ctrl Pts',(-0.531588604150814,2.43033278595102, -0.567507714397956)); #136424=CARTESIAN_POINT('Ctrl Pts',(-0.531522419756343,2.42735868694238, -0.567643715023943)); #136425=CARTESIAN_POINT('Ctrl Pts',(-0.528635775580201,2.43637960785559, -0.56213614703619)); #136426=CARTESIAN_POINT('Ctrl Pts',(-0.528629831598063,2.43342095185117, -0.562228984639354)); #136427=CARTESIAN_POINT('Ctrl Pts',(-0.528599544309952,2.43046198330535, -0.562323247137018)); #136428=CARTESIAN_POINT('Ctrl Pts',(-0.528546940590801,2.42750255032051, -0.562417568428428)); #136429=CARTESIAN_POINT('Ctrl Pts',(-0.52064691415894,2.436641836712,-0.554838363694432)); #136430=CARTESIAN_POINT('Ctrl Pts',(-0.520625524266367,2.43372512147061, -0.554862256818143)); #136431=CARTESIAN_POINT('Ctrl Pts',(-0.520581881662758,2.43080797210434, -0.554886186385204)); #136432=CARTESIAN_POINT('Ctrl Pts',(-0.520515483159757,2.42789086848139, -0.554910546257741)); #136433=CARTESIAN_POINT('Ctrl Pts',(-0.510277323730282,2.43698221135317, -0.5525)); #136434=CARTESIAN_POINT('Ctrl Pts',(-0.510205651548464,2.43412080435266, -0.552499923283841)); #136435=CARTESIAN_POINT('Ctrl Pts',(-0.510111973688397,2.43125975355892, -0.55250008155343)); #136436=CARTESIAN_POINT('Ctrl Pts',(-0.509996017082637,2.4283994809911, -0.5525)); #136437=CARTESIAN_POINT('Ctrl Pts',(-0.50583503731145,2.43712802633556, -0.5525)); #136438=CARTESIAN_POINT('Ctrl Pts',(-0.505741891007182,2.43429030310835, -0.5525)); #136439=CARTESIAN_POINT('Ctrl Pts',(-0.505626739207133,2.43145330230304, -0.5525)); #136440=CARTESIAN_POINT('Ctrl Pts',(-0.505489622561222,2.42861736359183, -0.5525)); #136441=CARTESIAN_POINT('',(-0.53152241976368,2.42735868213276,-0.567643715444288)); #136442=CARTESIAN_POINT('Origin',(-0.505489622561222,2.42861736359183,-0.5825)); #136443=CARTESIAN_POINT('Ctrl Pts',(-0.531648985584814,2.4362807013191, -0.56723821390346)); #136444=CARTESIAN_POINT('Ctrl Pts',(-0.531630790763622,2.43330692485839, -0.567372541235952)); #136445=CARTESIAN_POINT('Ctrl Pts',(-0.531588604150814,2.43033278595102, -0.567507714397956)); #136446=CARTESIAN_POINT('Ctrl Pts',(-0.531522419756343,2.42735868694238, -0.567643715023943)); #136447=CARTESIAN_POINT('Origin',(-0.498064712106529,2.38010685488254,-0.5825)); #136448=CARTESIAN_POINT('',(-0.528060142961221,2.09810777751528,-0.581976427806912)); #136449=CARTESIAN_POINT('Origin',(-0.498064712106529,2.09810777751528,-0.5825)); #136450=CARTESIAN_POINT('',(-0.528060142961221,2.00136186,-0.581976427806882)); #136451=CARTESIAN_POINT('',(-0.528060142961221,2.38010685488254,-0.581976427806882)); #136452=CARTESIAN_POINT('Origin',(-0.498064712106529,2.00136186,-0.5825)); #136453=CARTESIAN_POINT('Origin',(-0.38692063,2.00136186,-0.5825)); #136454=CARTESIAN_POINT('',(-0.38692063,1.86022234703878,-0.581976427806881)); #136455=CARTESIAN_POINT('Origin',(-0.38692063,1.89021777789347,-0.5825)); #136456=CARTESIAN_POINT('Origin',(-0.38692063,2.00136186,-0.581976427806881)); #136457=CARTESIAN_POINT('Origin',(-0.193460315,1.89021777789347,-0.5825)); #136458=CARTESIAN_POINT('',(0.38692063,1.86022234703878,-0.581976427806882)); #136459=CARTESIAN_POINT('Origin',(0.38692063,1.89021777789347,-0.5825)); #136460=CARTESIAN_POINT('',(-0.193460315,1.86022234703878,-0.581976427806882)); #136461=CARTESIAN_POINT('Origin',(0.38692063,2.00136186,-0.5825)); #136462=CARTESIAN_POINT('',(0.528060142961221,2.00136186,-0.581976427806881)); #136463=CARTESIAN_POINT('Origin',(0.498064712106529,2.00136186,-0.5825)); #136464=CARTESIAN_POINT('Origin',(0.38692063,2.00136186,-0.581976427806881)); #136465=CARTESIAN_POINT('Origin',(0.498064712106529,2.16773778488254,-0.5825)); #136466=CARTESIAN_POINT('',(0.528060142961222,2.09810777666968,-0.581976427806913)); #136467=CARTESIAN_POINT('Origin',(0.498064712106529,2.09810777666968,-0.5825)); #136468=CARTESIAN_POINT('',(0.528060142961221,2.16773778488254,-0.581976427806882)); #136469=CARTESIAN_POINT('Ctrl Pts',(-0.531522418284859,2.42735868829612, -0.567643714500304)); #136470=CARTESIAN_POINT('Ctrl Pts',(-0.528944572616957,2.31770019849367, -0.572417195670017)); #136471=CARTESIAN_POINT('Ctrl Pts',(-0.527976708746373,2.20789840139773, -0.577197019681001)); #136472=CARTESIAN_POINT('Ctrl Pts',(-0.528060136758879,2.09810777873686, -0.581976427770294)); #136473=CARTESIAN_POINT('Ctrl Pts',(-0.528546939078921,2.4275025518357, -0.562417568064381)); #136474=CARTESIAN_POINT('Ctrl Pts',(-0.526416779220931,2.31775940346818, -0.565728147726458)); #136475=CARTESIAN_POINT('Ctrl Pts',(-0.52638142670171,2.20789928923188, -0.569262149183588)); #136476=CARTESIAN_POINT('Ctrl Pts',(-0.527905224896914,2.09810777873244, -0.573101533762554)); #136477=CARTESIAN_POINT('Ctrl Pts',(-0.520515482748968,2.42789087037386, -0.554910544139545)); #136478=CARTESIAN_POINT('Ctrl Pts',(-0.51782233849904,2.31800360906632, -0.555765550437938)); #136479=CARTESIAN_POINT('Ctrl Pts',(-0.518003959692875,2.20800713942476, -0.556712857028282)); #136480=CARTESIAN_POINT('Ctrl Pts',(-0.520277060550374,2.0981077785151, -0.557861869915381)); #136481=CARTESIAN_POINT('Ctrl Pts',(-0.50999601587894,2.42839948348579, -0.5525)); #136482=CARTESIAN_POINT('Ctrl Pts',(-0.505460473356807,2.31837744248947, -0.552497137559213)); #136483=CARTESIAN_POINT('Ctrl Pts',(-0.504044744892075,2.20822368236558, -0.55251177583177)); #136484=CARTESIAN_POINT('Ctrl Pts',(-0.504721890332205,2.0981077780719, -0.5525)); #136485=CARTESIAN_POINT('Ctrl Pts',(-0.505489621455182,2.42861736632337, -0.5525)); #136486=CARTESIAN_POINT('Ctrl Pts',(-0.500167292601866,2.31853750260942, -0.5525)); #136487=CARTESIAN_POINT('Ctrl Pts',(-0.498064702764764,2.20831623296564, -0.5525)); #136488=CARTESIAN_POINT('Ctrl Pts',(-0.498064705904826,2.09810777788223, -0.5525)); #136489=CARTESIAN_POINT('Ctrl Pts',(-0.531522418140177,2.4273586821415, -0.567643714768218)); #136490=CARTESIAN_POINT('Ctrl Pts',(-0.528944572580743,2.31770019438523, -0.572417195848863)); #136491=CARTESIAN_POINT('Ctrl Pts',(-0.527976708747934,2.20789839934371, -0.577197019770416)); #136492=CARTESIAN_POINT('Ctrl Pts',(-0.528060136758879,2.09810777873686, -0.581976427770294)); #136493=CARTESIAN_POINT('Ctrl Pts',(0.32326639888063,2.86067162865182,-0.5525)); #136494=CARTESIAN_POINT('Ctrl Pts',(0.390912616516601,2.80017308747448, -0.5525)); #136495=CARTESIAN_POINT('Ctrl Pts',(0.483556049412119,2.66516388445102, -0.5525)); #136496=CARTESIAN_POINT('Ctrl Pts',(0.508068009996886,2.50515604424511, -0.5525)); #136497=CARTESIAN_POINT('Ctrl Pts',(0.505835037311422,2.43712802633469, -0.5525)); #136498=CARTESIAN_POINT('Ctrl Pts',(0.325008499985506,2.86261955248917, -0.5525)); #136499=CARTESIAN_POINT('Ctrl Pts',(0.393893349744501,2.80291474348,-0.552499104974324)); #136500=CARTESIAN_POINT('Ctrl Pts',(0.489404213036805,2.66816610831772, -0.552539426226321)); #136501=CARTESIAN_POINT('Ctrl Pts',(0.517204198014482,2.50614746240097, -0.552541770515916)); #136502=CARTESIAN_POINT('Ctrl Pts',(0.516200372288714,2.43678779137575, -0.5525)); #136503=CARTESIAN_POINT('Ctrl Pts',(0.326750466111805,2.86456732540073, -0.552843396251342)); #136504=CARTESIAN_POINT('Ctrl Pts',(0.396873504556225,2.80565580503655, -0.553217043820867)); #136505=CARTESIAN_POINT('Ctrl Pts',(0.495272356184674,2.67116439948857, -0.554302257174988)); #136506=CARTESIAN_POINT('Ctrl Pts',(0.526278646089642,2.50711381298214, -0.556856528558155)); #136507=CARTESIAN_POINT('Ctrl Pts',(0.526375868076743,2.43645378775705, -0.558309596885757)); #136508=CARTESIAN_POINT('Ctrl Pts',(0.3284334181324,2.86644911191063,-0.553518581828247)); #136509=CARTESIAN_POINT('Ctrl Pts',(0.399689039608859,2.80823284372357, -0.554617066827702)); #136510=CARTESIAN_POINT('Ctrl Pts',(0.500553265046222,2.67352869983082, -0.557707866583318)); #136511=CARTESIAN_POINT('Ctrl Pts',(0.53208516541038,2.50757028882647,-0.564018018891865)); #136512=CARTESIAN_POINT('Ctrl Pts',(0.53164898558481,2.43628070131819,-0.567238213903501)); #136513=CARTESIAN_POINT('',(0.531648985584809,2.43628070131824,-0.567238213903496)); #136514=CARTESIAN_POINT('Origin',(0.505835037311422,2.43712802633469,-0.5825)); #136515=CARTESIAN_POINT('',(0.328433418132392,2.86644911191064,-0.553518581828247)); #136516=CARTESIAN_POINT('Ctrl Pts',(0.53164898558481,2.43628070131819,-0.567238213903501)); #136517=CARTESIAN_POINT('Ctrl Pts',(0.53208516541038,2.50757028882647,-0.564018018891865)); #136518=CARTESIAN_POINT('Ctrl Pts',(0.500553265046222,2.67352869983082, -0.557707866583318)); #136519=CARTESIAN_POINT('Ctrl Pts',(0.399689039608859,2.80823284372357, -0.554617066827702)); #136520=CARTESIAN_POINT('Ctrl Pts',(0.3284334181324,2.86644911191063,-0.553518581828247)); #136521=CARTESIAN_POINT('Origin',(0.32326639888063,2.86067162865182,-0.5825)); #136522=CARTESIAN_POINT('Ctrl Pts',(0.307350299764538,2.87988094101704, -0.5525)); #136523=CARTESIAN_POINT('Ctrl Pts',(0.311057428664799,2.872377259197,-0.5525)); #136524=CARTESIAN_POINT('Ctrl Pts',(0.317027885089454,2.8662509647429,-0.5525)); #136525=CARTESIAN_POINT('Ctrl Pts',(0.323266398880615,2.86067162865184, -0.5525)); #136526=CARTESIAN_POINT('Ctrl Pts',(0.308895716179645,2.88064444058869, -0.5525)); #136527=CARTESIAN_POINT('Ctrl Pts',(0.312860568456062,2.87379812522856, -0.552499904786575)); #136528=CARTESIAN_POINT('Ctrl Pts',(0.318843037186888,2.8679863638907,-0.552500069283153)); #136529=CARTESIAN_POINT('Ctrl Pts',(0.32500849998549,2.86261955248919,-0.5525)); #136530=CARTESIAN_POINT('Ctrl Pts',(0.310441109887937,2.88140792894223, -0.552648928927936)); #136531=CARTESIAN_POINT('Ctrl Pts',(0.314663649826338,2.87521895350799, -0.552739295239891)); #136532=CARTESIAN_POINT('Ctrl Pts',(0.320658073687001,2.8697216598112,-0.552814472520428)); #136533=CARTESIAN_POINT('Ctrl Pts',(0.326750466111788,2.86456732540075, -0.552843396251342)); #136534=CARTESIAN_POINT('Ctrl Pts',(0.311963624051864,2.88216011385539, -0.552944584080191)); #136535=CARTESIAN_POINT('Ctrl Pts',(0.316426208724758,2.87661191183293, -0.553213300951779)); #136536=CARTESIAN_POINT('Ctrl Pts',(0.322416543235571,2.87140368073163, -0.553433549067087)); #136537=CARTESIAN_POINT('Ctrl Pts',(0.328433418132384,2.86644911191065, -0.553518581828247)); #136538=CARTESIAN_POINT('',(0.311963624051864,2.88216011385539,-0.552944584080191)); #136539=CARTESIAN_POINT('Ctrl Pts',(0.328433418132384,2.86644911191065, -0.553518581828247)); #136540=CARTESIAN_POINT('Ctrl Pts',(0.322416543235571,2.87140368073163, -0.553433549067087)); #136541=CARTESIAN_POINT('Ctrl Pts',(0.316426208724758,2.87661191183293, -0.553213300951779)); #136542=CARTESIAN_POINT('Ctrl Pts',(0.311963624051864,2.88216011385539, -0.552944584080191)); #136543=CARTESIAN_POINT('Origin',(0.307350299764538,2.87988094101704,-0.5825)); #136544=CARTESIAN_POINT('Ctrl Pts',(0.272733761376478,2.92660595068011, -0.541672515725409)); #136545=CARTESIAN_POINT('Ctrl Pts',(0.27280903922807,2.92651665183734,-0.541716704604087)); #136546=CARTESIAN_POINT('Ctrl Pts',(0.272884281862011,2.92642728376127, -0.541760727931934)); #136547=CARTESIAN_POINT('Ctrl Pts',(0.28483208663539,2.91222677643864,-0.548744678876183)); #136548=CARTESIAN_POINT('Ctrl Pts',(0.29630736218959,2.89613906547751,-0.55249999999946)); #136549=CARTESIAN_POINT('Ctrl Pts',(0.307350299764184,2.8798809410164,-0.5525)); #136550=CARTESIAN_POINT('Ctrl Pts',(0.272733761376483,2.92660595068011, -0.541672515725408)); #136551=CARTESIAN_POINT('Ctrl Pts',(0.272812189409289,2.92651813587149, -0.541715704655199)); #136552=CARTESIAN_POINT('Ctrl Pts',(0.272890563186286,2.9264302734951,-0.541758842700899)); #136553=CARTESIAN_POINT('Ctrl Pts',(0.285338333065555,2.912467052424,-0.548590117855085)); #136554=CARTESIAN_POINT('Ctrl Pts',(0.297325193906888,2.8966723088724,-0.552343372464038)); #136555=CARTESIAN_POINT('Ctrl Pts',(0.308895716179321,2.88064444058837, -0.552499999999966)); #136556=CARTESIAN_POINT('Ctrl Pts',(0.272733761376488,2.92660595068011, -0.541672515725406)); #136557=CARTESIAN_POINT('Ctrl Pts',(0.272815330308264,2.92651964383188, -0.541714892911475)); #136558=CARTESIAN_POINT('Ctrl Pts',(0.272896856706598,2.92643324360151, -0.541756787495891)); #136559=CARTESIAN_POINT('Ctrl Pts',(0.285842789321975,2.91270752993171, -0.548440018066663)); #136560=CARTESIAN_POINT('Ctrl Pts',(0.298349553649467,2.89723231376509, -0.552244191615748)); #136561=CARTESIAN_POINT('Ctrl Pts',(0.310441109887643,2.88140792894224, -0.552648928927897)); #136562=CARTESIAN_POINT('Ctrl Pts',(0.272733761376493,2.92660595068011, -0.541672515725405)); #136563=CARTESIAN_POINT('Ctrl Pts',(0.27281847106864,2.92652112386603,-0.541713813769579)); #136564=CARTESIAN_POINT('Ctrl Pts',(0.272903147543892,2.92643623945572, -0.541754984162748)); #136565=CARTESIAN_POINT('Ctrl Pts',(0.286348739759125,2.91294808285244, -0.548283618046038)); #136566=CARTESIAN_POINT('Ctrl Pts',(0.29937293504807,2.89781375211678,-0.552186429152149)); #136567=CARTESIAN_POINT('Ctrl Pts',(0.311963624051595,2.88216011385572, -0.552944584080175)); #136568=CARTESIAN_POINT('',(0.272733761376224,2.92660595068032,-0.54167251572531)); #136569=CARTESIAN_POINT('Ctrl Pts',(0.311963624051595,2.88216011385572, -0.552944584080175)); #136570=CARTESIAN_POINT('Ctrl Pts',(0.29937293504807,2.89781375211678,-0.552186429152149)); #136571=CARTESIAN_POINT('Ctrl Pts',(0.286348739759125,2.91294808285244, -0.548283618046038)); #136572=CARTESIAN_POINT('Ctrl Pts',(0.272903147543892,2.92643623945572, -0.541754984162748)); #136573=CARTESIAN_POINT('Ctrl Pts',(0.27281847106864,2.92652112386603,-0.541713813769579)); #136574=CARTESIAN_POINT('Ctrl Pts',(0.272733761376493,2.92660595068011, -0.541672515725405)); #136575=CARTESIAN_POINT('Ctrl Pts',(0.272733761376478,2.92660595068011, -0.541672515725409)); #136576=CARTESIAN_POINT('Ctrl Pts',(0.27280903922807,2.92651665183734,-0.541716704604087)); #136577=CARTESIAN_POINT('Ctrl Pts',(0.272884281862011,2.92642728376127, -0.541760727931934)); #136578=CARTESIAN_POINT('Ctrl Pts',(0.28483208663539,2.91222677643864,-0.548744678876183)); #136579=CARTESIAN_POINT('Ctrl Pts',(0.29630736218959,2.89613906547751,-0.55249999999946)); #136580=CARTESIAN_POINT('Ctrl Pts',(0.307350299764184,2.8798809410164,-0.5525)); #136581=CARTESIAN_POINT('Ctrl Pts',(0.531522419537458,2.42735867710637, -0.567643715473727)); #136582=CARTESIAN_POINT('Ctrl Pts',(0.531588604057794,2.4303327793933,-0.567507714696001)); #136583=CARTESIAN_POINT('Ctrl Pts',(0.531630790743557,2.43330692157948, -0.567372541384063)); #136584=CARTESIAN_POINT('Ctrl Pts',(0.53164898558481,2.43628070131822,-0.5672382139035)); #136585=CARTESIAN_POINT('Ctrl Pts',(0.52854694041568,2.42750254053332,-0.56241756874113)); #136586=CARTESIAN_POINT('Ctrl Pts',(0.528599544244258,2.43046197678081, -0.562323247344118)); #136587=CARTESIAN_POINT('Ctrl Pts',(0.528629831591506,2.43342094858893, -0.562228984741718)); #136588=CARTESIAN_POINT('Ctrl Pts',(0.528635775580201,2.43637960785471, -0.562136147036218)); #136589=CARTESIAN_POINT('Ctrl Pts',(0.520515482940455,2.42789085883381, -0.554910546338085)); #136590=CARTESIAN_POINT('Ctrl Pts',(0.520581881566252,2.43080796567234, -0.55488618643818)); #136591=CARTESIAN_POINT('Ctrl Pts',(0.520625524242779,2.43372511825462, -0.554862256844488)); #136592=CARTESIAN_POINT('Ctrl Pts',(0.520646914158935,2.43664183671113, -0.554838363694439)); #136593=CARTESIAN_POINT('Ctrl Pts',(0.509996016699317,2.42839947153149, -0.5525)); #136594=CARTESIAN_POINT('Ctrl Pts',(0.51011197348168,2.43125974725059,-0.552500081553525)); #136595=CARTESIAN_POINT('Ctrl Pts',(0.510205651469435,2.43412080119765, -0.552499923283757)); #136596=CARTESIAN_POINT('Ctrl Pts',(0.510277323730262,2.43698221135232, -0.5525)); #136597=CARTESIAN_POINT('Ctrl Pts',(0.505489622107748,2.42861735421275, -0.5525)); #136598=CARTESIAN_POINT('Ctrl Pts',(0.505626738953231,2.43145329604769, -0.5525)); #136599=CARTESIAN_POINT('Ctrl Pts',(0.505741890904476,2.43429029997945, -0.5525)); #136600=CARTESIAN_POINT('Ctrl Pts',(0.505835037311423,2.43712802633471, -0.5525)); #136601=CARTESIAN_POINT('',(0.531522419537458,2.42735867710638,-0.567643715473727)); #136602=CARTESIAN_POINT('Origin',(0.505489622107748,2.42861735421275,-0.5825)); #136603=CARTESIAN_POINT('Ctrl Pts',(0.531522419537458,2.42735867710637, -0.567643715473727)); #136604=CARTESIAN_POINT('Ctrl Pts',(0.531588604057794,2.4303327793933,-0.567507714696001)); #136605=CARTESIAN_POINT('Ctrl Pts',(0.531630790743557,2.43330692157948, -0.567372541384063)); #136606=CARTESIAN_POINT('Ctrl Pts',(0.53164898558481,2.43628070131822,-0.5672382139035)); #136607=CARTESIAN_POINT('Ctrl Pts',(0.528060136685323,2.09810777788843, -0.581976427771322)); #136608=CARTESIAN_POINT('Ctrl Pts',(0.527976708697535,2.20789839742022, -0.577197019834963)); #136609=CARTESIAN_POINT('Ctrl Pts',(0.52894457253666,2.31770019138439,-0.572417195971716)); #136610=CARTESIAN_POINT('Ctrl Pts',(0.531522418039237,2.42735867807137, -0.567643714943713)); #136611=CARTESIAN_POINT('Ctrl Pts',(0.527905224823658,2.09810777788405, -0.573101533763381)); #136612=CARTESIAN_POINT('Ctrl Pts',(0.526381426699198,2.20789928525466, -0.569262149307221)); #136613=CARTESIAN_POINT('Ctrl Pts',(0.526416779204628,2.31775939635579, -0.56572814794908)); #136614=CARTESIAN_POINT('Ctrl Pts',(0.528546938873755,2.42750254160347, -0.56241756837265)); #136615=CARTESIAN_POINT('Ctrl Pts',(0.520277060477298,2.09810777766823, -0.557861869915619)); #136616=CARTESIAN_POINT('Ctrl Pts',(0.518003959714444,2.20800713544551, -0.556712857065257)); #136617=CARTESIAN_POINT('Ctrl Pts',(0.517822338494685,2.31800360194662, -0.555765550498299)); #136618=CARTESIAN_POINT('Ctrl Pts',(0.520515482492993,2.42789086012919, -0.554910544218748)); #136619=CARTESIAN_POINT('Ctrl Pts',(0.504721890258778,2.09810777722813, -0.552500000000001)); #136620=CARTESIAN_POINT('Ctrl Pts',(0.504044744862266,2.2082236783817,-0.552511775831395)); #136621=CARTESIAN_POINT('Ctrl Pts',(0.505460473254014,2.31837743536142, -0.552497137559146)); #136622=CARTESIAN_POINT('Ctrl Pts',(0.509996015451766,2.42839947322986, -0.5525)); #136623=CARTESIAN_POINT('Ctrl Pts',(0.498064705831252,2.09810777703978, -0.552500000000001)); #136624=CARTESIAN_POINT('Ctrl Pts',(0.498064702713158,2.20831622897978, -0.552500000000001)); #136625=CARTESIAN_POINT('Ctrl Pts',(0.500167292457065,2.31853749547779, -0.5525)); #136626=CARTESIAN_POINT('Ctrl Pts',(0.505489620954777,2.42861735606262, -0.5525)); #136627=CARTESIAN_POINT('Ctrl Pts',(0.528060136685323,2.09810777788843, -0.581976427771322)); #136628=CARTESIAN_POINT('Ctrl Pts',(0.527976708697776,2.207898397103,-0.577197019848772)); #136629=CARTESIAN_POINT('Ctrl Pts',(0.528944572531067,2.31770019074988, -0.572417195999337)); #136630=CARTESIAN_POINT('Ctrl Pts',(0.531522418016892,2.42735867712085, -0.567643714985089)); #136631=CARTESIAN_POINT('Ctrl Pts',(-0.334676225946349,2.87483958579153, -0.554403783133401)); #136632=CARTESIAN_POINT('Ctrl Pts',(-0.314889158130201,2.89407696291381, -0.553433456217086)); #136633=CARTESIAN_POINT('Ctrl Pts',(-0.294038426536404,2.91142351860527, -0.5487486604001)); #136634=CARTESIAN_POINT('Ctrl Pts',(-0.272733761376301,2.92660595068026, -0.541672515725335)); #136635=CARTESIAN_POINT('Ctrl Pts',(-0.332514813685072,2.87195959165594, -0.55473360352919)); #136636=CARTESIAN_POINT('Ctrl Pts',(-0.312697312102674,2.8909397929827, -0.554221121815013)); #136637=CARTESIAN_POINT('Ctrl Pts',(-0.291815869539264,2.9081488929403, -0.550072241177385)); #136638=CARTESIAN_POINT('Ctrl Pts',(-0.270460266713368,2.92333211636942, -0.543550999426499)); #136639=CARTESIAN_POINT('Ctrl Pts',(-0.330330318369616,2.86902285989176, -0.554405495591486)); #136640=CARTESIAN_POINT('Ctrl Pts',(-0.310475001974406,2.88764239776287, -0.55426132791906)); #136641=CARTESIAN_POINT('Ctrl Pts',(-0.289555625348529,2.90457759764676, -0.550573241251881)); #136642=CARTESIAN_POINT('Ctrl Pts',(-0.26814364092369,2.91959347479093, -0.544560779208452)); #136643=CARTESIAN_POINT('Ctrl Pts',(-0.328262803079941,2.86621768465233, -0.553440496585961)); #136644=CARTESIAN_POINT('Ctrl Pts',(-0.308384888131165,2.88442333685199, -0.553554500540279)); #136645=CARTESIAN_POINT('Ctrl Pts',(-0.287445518938725,2.90100553622522, -0.550215538846794)); #136646=CARTESIAN_POINT('Ctrl Pts',(-0.266002412629836,2.91574269412194, -0.544606601972558)); #136647=CARTESIAN_POINT('',(-0.33467622594635,2.87483958579153,-0.554403783133401)); #136648=CARTESIAN_POINT('Ctrl Pts',(-0.272733761376301,2.92660595068026, -0.541672515725335)); #136649=CARTESIAN_POINT('Ctrl Pts',(-0.294038426536404,2.91142351860527, -0.5487486604001)); #136650=CARTESIAN_POINT('Ctrl Pts',(-0.314889158130201,2.89407696291381, -0.553433456217086)); #136651=CARTESIAN_POINT('Ctrl Pts',(-0.334676225946349,2.87483958579153, -0.554403783133401)); #136652=CARTESIAN_POINT('Origin',(-0.333600437384153,2.87222659516945,-0.524537163656493)); #136653=CARTESIAN_POINT('Ctrl Pts',(-0.31177612234425,2.91713172494169, -0.544309481059324)); #136654=CARTESIAN_POINT('Ctrl Pts',(-0.253145032797311,2.93340422357737, -0.540071921718402)); #136655=CARTESIAN_POINT('Ctrl Pts',(-0.134249195530651,2.94950492929727, -0.533989497675561)); #136656=CARTESIAN_POINT('Ctrl Pts',(0.0447424429205172,2.95401290118542, -0.532165516548274)); #136657=CARTESIAN_POINT('Ctrl Pts',(0.19371877173652,2.94251405718714,-0.536725156353911)); #136658=CARTESIAN_POINT('Ctrl Pts',(0.282467251998976,2.92526612183576, -0.542191183782858)); #136659=CARTESIAN_POINT('Ctrl Pts',(0.311776122344238,2.91713172494169, -0.544309481059323)); #136660=CARTESIAN_POINT('Ctrl Pts',(-0.310392885512658,2.90548944705947, -0.549685458671389)); #136661=CARTESIAN_POINT('Ctrl Pts',(-0.251946697006435,2.91925234894015, -0.548193966448874)); #136662=CARTESIAN_POINT('Ctrl Pts',(-0.133629617906935,2.93388362247057, -0.545920141045447)); #136663=CARTESIAN_POINT('Ctrl Pts',(0.0445434493801253,2.93809091089711, -0.54521628682783)); #136664=CARTESIAN_POINT('Ctrl Pts',(0.192810185828114,2.92745397344577, -0.546958911524196)); #136665=CARTESIAN_POINT('Ctrl Pts',(0.281176444639033,2.91236933126276, -0.548939882348171)); #136666=CARTESIAN_POINT('Ctrl Pts',(0.310392885512646,2.90548944705948, -0.549685458671389)); #136667=CARTESIAN_POINT('Ctrl Pts',(-0.308872022102756,2.89268880870561, -0.5525)); #136668=CARTESIAN_POINT('Ctrl Pts',(-0.250550903355464,2.90303292744304, -0.552509357740005)); #136669=CARTESIAN_POINT('Ctrl Pts',(-0.132823749004195,2.91431431844763, -0.552522237529323)); #136670=CARTESIAN_POINT('Ctrl Pts',(0.0442743172907611,2.91756708891701, -0.552534750826828)); #136671=CARTESIAN_POINT('Ctrl Pts',(0.191688233341476,2.90934839064991, -0.552513811259551)); #136672=CARTESIAN_POINT('Ctrl Pts',(0.279718101870943,2.89785969052367, -0.552504677804739)); #136673=CARTESIAN_POINT('Ctrl Pts',(0.308872022102744,2.89268880870561, -0.5525)); #136674=CARTESIAN_POINT('Ctrl Pts',(-0.307350299764081,2.87988094101312, -0.5525)); #136675=CARTESIAN_POINT('Ctrl Pts',(-0.24915330317297,2.88679541513814, -0.5525)); #136676=CARTESIAN_POINT('Ctrl Pts',(-0.132014474156544,2.89468527811301, -0.5525)); #136677=CARTESIAN_POINT('Ctrl Pts',(0.0440037137422779,2.8969609215529, -0.5525)); #136678=CARTESIAN_POINT('Ctrl Pts',(0.190563283682491,2.89120729905047, -0.5525)); #136679=CARTESIAN_POINT('Ctrl Pts',(0.278258426480579,2.88333739094782, -0.5525)); #136680=CARTESIAN_POINT('Ctrl Pts',(0.307350299764069,2.87988094101312, -0.5525)); #136681=CARTESIAN_POINT('',(0.0532972048282943,2.95061267997981,-0.533476029299085)); #136682=CARTESIAN_POINT('Ctrl Pts',(0.0532792745450339,2.95071795564818, -0.533477235355435)); #136683=CARTESIAN_POINT('Ctrl Pts',(0.110044665177667,2.94896188636027, -0.534166994701935)); #136684=CARTESIAN_POINT('Ctrl Pts',(0.183380277474246,2.94302877628104, -0.536483642185772)); #136685=CARTESIAN_POINT('Ctrl Pts',(0.256286703086582,2.93012661695729, -0.540638910206306)); #136686=CARTESIAN_POINT('Ctrl Pts',(0.272746375223099,2.92663163849171, -0.541673425777765)); #136687=CARTESIAN_POINT('',(-0.0532972048443461,2.95061267997931,-0.53347602929928)); #136688=CARTESIAN_POINT('Ctrl Pts',(0.0532792745450338,2.95071795564818, -0.533477235355435)); #136689=CARTESIAN_POINT('Ctrl Pts',(0.0504215416945674,2.95080636122129, -0.533442510888265)); #136690=CARTESIAN_POINT('Ctrl Pts',(0.0148929751031423,2.951816156882,-0.533045541165533)); #136691=CARTESIAN_POINT('Ctrl Pts',(-0.0206486126608303,2.95174265403581, -0.533080288082442)); #136692=CARTESIAN_POINT('Ctrl Pts',(-0.053318522917758,2.95072238872393, -0.533477409782753)); #136693=CARTESIAN_POINT('Ctrl Pts',(-0.272737679977738,2.92664468087189, -0.541745006060851)); #136694=CARTESIAN_POINT('Ctrl Pts',(-0.22682509075002,2.93665829926964, -0.538876813754526)); #136695=CARTESIAN_POINT('Ctrl Pts',(-0.153622265904311,2.9456678897816, -0.535486337307816)); #136696=CARTESIAN_POINT('Ctrl Pts',(-0.0802557010667231,2.94972179964083, -0.533927471218178)); #136697=CARTESIAN_POINT('Ctrl Pts',(-0.0532963949995253,2.95056275550305, -0.533601943188285)); #136698=CARTESIAN_POINT('Ctrl Pts',(0.559260630086641,2.43804334999193, -0.581808563593876)); #136699=CARTESIAN_POINT('Ctrl Pts',(0.559317590030795,2.43493241311942, -0.582077302874542)); #136700=CARTESIAN_POINT('Ctrl Pts',(0.559348449341181,2.43182114497584, -0.582347643556353)); #136701=CARTESIAN_POINT('Ctrl Pts',(0.559353210788488,2.42870997213296, -0.582619548936019)); #136702=CARTESIAN_POINT('Ctrl Pts',(0.553713343712943,2.43797152900658, -0.582149128773213)); #136703=CARTESIAN_POINT('Ctrl Pts',(0.553771374056478,2.43489143448637, -0.58241512102541)); #136704=CARTESIAN_POINT('Ctrl Pts',(0.553803574519511,2.43181095097791, -0.582682743141514)); #136705=CARTESIAN_POINT('Ctrl Pts',(0.553809958674053,2.42873055714278, -0.582951842028771)); #136706=CARTESIAN_POINT('Ctrl Pts',(0.542443124790294,2.43755152185883, -0.579668283353301)); #136707=CARTESIAN_POINT('Ctrl Pts',(0.54250336366432,2.43453316086043,-0.579930760312727)); #136708=CARTESIAN_POINT('Ctrl Pts',(0.542538345696254,2.43151440381607, -0.580194762184038)); #136709=CARTESIAN_POINT('Ctrl Pts',(0.542548061024605,2.42849562174136, -0.580460270232689)); #136710=CARTESIAN_POINT('Ctrl Pts',(0.534176737516185,2.436707903956,-0.571649306807971)); #136711=CARTESIAN_POINT('Ctrl Pts',(0.534236802024073,2.433733096005,-0.571912639983462)); #136712=CARTESIAN_POINT('Ctrl Pts',(0.534271948309129,2.43075765596767, -0.572177556412597)); #136713=CARTESIAN_POINT('Ctrl Pts',(0.534282276556777,2.42778241091554, -0.572443936858017)); #136714=CARTESIAN_POINT('Ctrl Pts',(0.531419651424295,2.43624259925169, -0.566845610645517)); #136715=CARTESIAN_POINT('Ctrl Pts',(0.531478655770744,2.43328156182632, -0.567110104420473)); #136716=CARTESIAN_POINT('Ctrl Pts',(0.531512911947727,2.43032011999738, -0.567376151297822)); #136717=CARTESIAN_POINT('Ctrl Pts',(0.531522419537458,2.42735867710637, -0.567643715473727)); #136718=CARTESIAN_POINT('',(0.559353210788488,2.42870997213296,-0.582619548936019)); #136719=CARTESIAN_POINT('Origin',(0.557555216967168,2.4261,-0.552787430947454)); #136720=CARTESIAN_POINT('',(0.559260630086641,2.43804334999193,-0.581808563593876)); #136721=CARTESIAN_POINT('Ctrl Pts',(0.559353210788488,2.42870997213296, -0.582619548936019)); #136722=CARTESIAN_POINT('Ctrl Pts',(0.559348449341181,2.43182114497584, -0.582347643556353)); #136723=CARTESIAN_POINT('Ctrl Pts',(0.559317590030795,2.43493241311942, -0.582077302874542)); #136724=CARTESIAN_POINT('Ctrl Pts',(0.559260630086641,2.43804334999193, -0.581808563593876)); #136725=CARTESIAN_POINT('Origin',(0.557462933858195,2.43543337630181,-0.551976427806991)); #136726=CARTESIAN_POINT('Ctrl Pts',(0.559353210872422,2.4287099753453,-0.582619548649918)); #136727=CARTESIAN_POINT('Ctrl Pts',(0.559569889221669,2.28713059030914, -0.594993080537774)); #136728=CARTESIAN_POINT('Ctrl Pts',(0.559786567117575,2.14555120461413, -0.607366604895013)); #136729=CARTESIAN_POINT('Ctrl Pts',(0.560003244558564,2.00397181826029, -0.61974012172173)); #136730=CARTESIAN_POINT('Ctrl Pts',(0.550150488134535,2.42874414987271, -0.583171210589129)); #136731=CARTESIAN_POINT('Ctrl Pts',(0.550367043999825,2.28716478459702, -0.595544970759107)); #136732=CARTESIAN_POINT('Ctrl Pts',(0.550583602695588,2.1455854168463,-0.607918702430511)); #136733=CARTESIAN_POINT('Ctrl Pts',(0.550800162319471,2.00400605023261, -0.620292447225536)); #136734=CARTESIAN_POINT('Ctrl Pts',(0.53376409535706,2.42810913646474,-0.576191364254433)); #136735=CARTESIAN_POINT('Ctrl Pts',(0.533980251865052,2.28652978301998, -0.588565263467065)); #136736=CARTESIAN_POINT('Ctrl Pts',(0.534196413731995,2.14495042952407, -0.600939162033218)); #136737=CARTESIAN_POINT('Ctrl Pts',(0.534412582215599,2.00337107506944, -0.613313049481148)); #136738=CARTESIAN_POINT('Ctrl Pts',(0.527679999915175,2.42670210205273, -0.560198166599902)); #136739=CARTESIAN_POINT('Ctrl Pts',(0.527895973408411,2.28512272855045, -0.57257183284533)); #136740=CARTESIAN_POINT('Ctrl Pts',(0.528111960495747,2.14354335513811, -0.584945499902025)); #136741=CARTESIAN_POINT('Ctrl Pts',(0.528327949037223,2.00196398133361, -0.597319162425348)); #136742=CARTESIAN_POINT('Ctrl Pts',(0.527559783989422,2.42610000296279, -0.553311000826122)); #136743=CARTESIAN_POINT('Ctrl Pts',(0.527775757748881,2.28452062095177, -0.565684566906432)); #136744=CARTESIAN_POINT('Ctrl Pts',(0.527991739055818,2.14294123894086, -0.578058132855297)); #136745=CARTESIAN_POINT('Ctrl Pts',(0.528207727910219,2.00136185692999, -0.590431698672099)); #136746=CARTESIAN_POINT('',(0.528207730257759,2.00136186,-0.590431698362731)); #136747=CARTESIAN_POINT('Ctrl Pts',(0.528060136687802,2.09810777670572, -0.581976427913329)); #136748=CARTESIAN_POINT('Ctrl Pts',(0.528109333368762,2.0658591378261,-0.584794851415048)); #136749=CARTESIAN_POINT('Ctrl Pts',(0.528158530441306,2.03361049894649, -0.587613274909922)); #136750=CARTESIAN_POINT('Ctrl Pts',(0.528207727905433,2.00136186006688, -0.590431698397945)); #136751=CARTESIAN_POINT('',(0.560003244553765,2.00397182139718,-0.619740121447577)); #136752=CARTESIAN_POINT('Origin',(0.558203161112451,2.00136186,-0.589908126169613)); #136753=CARTESIAN_POINT('Ctrl Pts',(0.560003244553763,2.00397182139718, -0.619740121447577)); #136754=CARTESIAN_POINT('Ctrl Pts',(0.559786567116013,2.1455512056345,-0.607366604805835)); #136755=CARTESIAN_POINT('Ctrl Pts',(0.559569889223346,2.28713058921299, -0.594993080633573)); #136756=CARTESIAN_POINT('Ctrl Pts',(0.559353210877339,2.42870997213263, -0.582619548930693)); #136757=CARTESIAN_POINT('Ctrl Pts',(0.560003244453087,2.00397182148382, -0.619740121446072)); #136758=CARTESIAN_POINT('Ctrl Pts',(0.560062155707952,1.96547866909038, -0.62310428115759)); #136759=CARTESIAN_POINT('Ctrl Pts',(0.528801185794676,1.87569655340649, -0.632941096757344)); #136760=CARTESIAN_POINT('Ctrl Pts',(0.439809188269257,1.83231388224755, -0.641374104881955)); #136761=CARTESIAN_POINT('Ctrl Pts',(0.388168364540067,1.83227688232713, -0.643535214699785)); #136762=CARTESIAN_POINT('Ctrl Pts',(0.550800162934963,2.00400605341731, -0.620292446909667)); #136763=CARTESIAN_POINT('Ctrl Pts',(0.550969888552656,1.96757981089978, -0.623464159991033)); #136764=CARTESIAN_POINT('Ctrl Pts',(0.521726574712352,1.88233951302754, -0.632739124663841)); #136765=CARTESIAN_POINT('Ctrl Pts',(0.437238859163512,1.84073120619857, -0.640747744710594)); #136766=CARTESIAN_POINT('Ctrl Pts',(0.388131772501678,1.84062315423514, -0.642806539566624)); #136767=CARTESIAN_POINT('Ctrl Pts',(0.534412158223732,2.00337111082931, -0.61331343002538)); #136768=CARTESIAN_POINT('Ctrl Pts',(0.534769049217811,1.97050696358464, -0.616375353127574)); #136769=CARTESIAN_POINT('Ctrl Pts',(0.509050758597036,1.89317538547629, -0.625309214600954)); #136770=CARTESIAN_POINT('Ctrl Pts',(0.432490171509785,1.85475323962115, -0.633053088685463)); #136771=CARTESIAN_POINT('Ctrl Pts',(0.38779730923436,1.85454033494505,-0.63505752820905)); #136772=CARTESIAN_POINT('Ctrl Pts',(0.528327951415131,2.00196398459559, -0.597319163914455)); #136773=CARTESIAN_POINT('Ctrl Pts',(0.528521792869438,1.97027654346315, -0.600592491384414)); #136774=CARTESIAN_POINT('Ctrl Pts',(0.503293436745727,1.89604611531889, -0.61015267839771)); #136775=CARTESIAN_POINT('Ctrl Pts',(0.429894687025145,1.85966653738024, -0.618366767392088)); #136776=CARTESIAN_POINT('Ctrl Pts',(0.387183408151063,1.85955033577067, -0.620475927572125)); #136777=CARTESIAN_POINT('Ctrl Pts',(0.528207730298566,2.00136186024029, -0.590431700700614)); #136778=CARTESIAN_POINT('Ctrl Pts',(0.528266452176696,1.96960972704707, -0.593795871751769)); #136779=CARTESIAN_POINT('Ctrl Pts',(0.502594109813272,1.89552961735118, -0.603626365098472)); #136780=CARTESIAN_POINT('Ctrl Pts',(0.429402836885545,1.85969750549785, -0.612044579552228)); #136781=CARTESIAN_POINT('Ctrl Pts',(0.386920630000182,1.85965990333949, -0.614198805758721)); #136782=CARTESIAN_POINT('',(0.386920630000091,1.85965990333949,-0.614198805758721)); #136783=CARTESIAN_POINT('',(0.388168364540067,1.83227688232713,-0.643535214699785)); #136784=CARTESIAN_POINT('Origin',(0.386920630000221,1.8296644724848,-0.613675233565602)); #136785=CARTESIAN_POINT('Ctrl Pts',(0.388168364540067,1.83227688232713, -0.643535214699785)); #136786=CARTESIAN_POINT('Ctrl Pts',(0.439809188269257,1.83231388224755, -0.641374104881955)); #136787=CARTESIAN_POINT('Ctrl Pts',(0.528801185766186,1.8756965533926,-0.632941096760044)); #136788=CARTESIAN_POINT('Ctrl Pts',(0.560062155687936,1.96547866903289, -0.623104281163888)); #136789=CARTESIAN_POINT('Ctrl Pts',(0.560003244453219,2.00397182139756, -0.619740121453611)); #136790=CARTESIAN_POINT('Ctrl Pts',(0.528207730298566,2.00136186024029, -0.590431700700614)); #136791=CARTESIAN_POINT('Ctrl Pts',(0.528266452176696,1.96960972704707, -0.593795871751769)); #136792=CARTESIAN_POINT('Ctrl Pts',(0.502594109813272,1.89552961735118, -0.603626365098472)); #136793=CARTESIAN_POINT('Ctrl Pts',(0.429402836885545,1.85969750549785, -0.612044579552228)); #136794=CARTESIAN_POINT('Ctrl Pts',(0.386920630000182,1.85965990333949, -0.614198805758721)); #136795=CARTESIAN_POINT('Ctrl Pts',(0.388168364540002,1.83227688232713, -0.643535214699787)); #136796=CARTESIAN_POINT('Ctrl Pts',(0.129538772172696,1.83209157787949, -0.654358569380879)); #136797=CARTESIAN_POINT('Ctrl Pts',(-0.129538772172542,1.83209157787949, -0.654358569380882)); #136798=CARTESIAN_POINT('Ctrl Pts',(-0.388168364539848,1.83227688232714, -0.643535214699794)); #136799=CARTESIAN_POINT('Ctrl Pts',(0.388136346506421,1.83957987024664, -0.642897623958271)); #136800=CARTESIAN_POINT('Ctrl Pts',(0.129526758924452,1.83939389901609, -0.653719290779009)); #136801=CARTESIAN_POINT('Ctrl Pts',(-0.129526758924298,1.83939389901609, -0.653719290779011)); #136802=CARTESIAN_POINT('Ctrl Pts',(-0.388136346506266,1.83957987024664, -0.642897623958278)); #136803=CARTESIAN_POINT('Ctrl Pts',(0.387838346898916,1.85364775216817, -0.636022535369304)); #136804=CARTESIAN_POINT('Ctrl Pts',(0.129429093413062,1.85345999621127, -0.64683521104753)); #136805=CARTESIAN_POINT('Ctrl Pts',(-0.129429093412906,1.85345999621127, -0.646835211047533)); #136806=CARTESIAN_POINT('Ctrl Pts',(-0.387838346898762,1.85364775216818, -0.636022535369311)); #136807=CARTESIAN_POINT('Ctrl Pts',(0.387227204509516,1.8595320745092,-0.621522114541028)); #136808=CARTESIAN_POINT('Ctrl Pts',(0.12922438867768,1.85934359913964,-0.63231894425029)); #136809=CARTESIAN_POINT('Ctrl Pts',(-0.129224388677525,1.85934359913964, -0.632318944250292)); #136810=CARTESIAN_POINT('Ctrl Pts',(-0.387227204509361,1.8595320745092, -0.621522114541034)); #136811=CARTESIAN_POINT('Ctrl Pts',(0.386920630000156,1.85965990333949, -0.614198805758723)); #136812=CARTESIAN_POINT('Ctrl Pts',(0.129122380691436,1.85947158274016, -0.624987685668391)); #136813=CARTESIAN_POINT('Ctrl Pts',(-0.129122380691281,1.85947158274016, -0.624987685668392)); #136814=CARTESIAN_POINT('Ctrl Pts',(-0.386920630000001,1.85965990333949, -0.614198805758729)); #136815=CARTESIAN_POINT('',(-0.38692063,1.85965990333949,-0.614198805758733)); #136816=CARTESIAN_POINT('',(-0.388168364539846,1.83227688232714,-0.643535214699795)); #136817=CARTESIAN_POINT('Origin',(-0.38692063,1.8296644724848,-0.61367523356561)); #136818=CARTESIAN_POINT('Origin',(0.,1.99277649065772,8.73100602907677)); #136819=CARTESIAN_POINT('Origin',(2.85383681496704E-17,2.02277192151241, 8.73048245688365)); #136820=CARTESIAN_POINT('Ctrl Pts',(-0.388168364539847,1.83227688232713, -0.643535214699794)); #136821=CARTESIAN_POINT('Ctrl Pts',(-0.426899514417344,1.83230463264862, -0.641914360069458)); #136822=CARTESIAN_POINT('Ctrl Pts',(-0.517115585584462,1.86397331418462, -0.634691021296637)); #136823=CARTESIAN_POINT('Ctrl Pts',(-0.560081793784969,1.95264691291066, -0.624225729381154)); #136824=CARTESIAN_POINT('Ctrl Pts',(-0.560003244453533,2.00397182119251, -0.619740121471531)); #136825=CARTESIAN_POINT('Ctrl Pts',(-0.388131772501468,1.84062315423514, -0.642806539566633)); #136826=CARTESIAN_POINT('Ctrl Pts',(-0.42496259347212,1.84070419432098, -0.641262422211848)); #136827=CARTESIAN_POINT('Ctrl Pts',(-0.510677225744255,1.87112700875683, -0.634400310282183)); #136828=CARTESIAN_POINT('Ctrl Pts',(-0.551026466786165,1.95543706255388, -0.624521455811027)); #136829=CARTESIAN_POINT('Ctrl Pts',(-0.55080016293623,2.00400605314157, -0.620292446933716)); #136830=CARTESIAN_POINT('Ctrl Pts',(-0.387797309234169,1.85454033494505, -0.635057528209059)); #136831=CARTESIAN_POINT('Ctrl Pts',(-0.421317416433108,1.85470001564575, -0.633554177913655)); #136832=CARTESIAN_POINT('Ctrl Pts',(-0.499141266818099,1.88288181390375, -0.626903757894567)); #136833=CARTESIAN_POINT('Ctrl Pts',(-0.534888019348411,1.95955164560378, -0.617396050275719)); #136834=CARTESIAN_POINT('Ctrl Pts',(-0.534412158226438,2.00337111058063, -0.613313430048541)); #136835=CARTESIAN_POINT('Ctrl Pts',(-0.38718340815088,1.85955033577067, -0.620475927572134)); #136836=CARTESIAN_POINT('Ctrl Pts',(-0.419217307381592,1.85963748817509, -0.618894035705405)); #136837=CARTESIAN_POINT('Ctrl Pts',(-0.49371796599249,1.8862559657359,-0.611853682629485)); #136838=CARTESIAN_POINT('Ctrl Pts',(-0.528586410168075,1.95971348241677, -0.601683660529462)); #136839=CARTESIAN_POINT('Ctrl Pts',(-0.528327951416587,2.00196398435574, -0.597319163939221)); #136840=CARTESIAN_POINT('Ctrl Pts',(-0.38692063,1.85965990333949,-0.61419880575873)); #136841=CARTESIAN_POINT('Ctrl Pts',(-0.418782722878931,1.85968810534565, -0.612583113907821)); #136842=CARTESIAN_POINT('Ctrl Pts',(-0.49298809216013,1.88585019168296, -0.605373979204592)); #136843=CARTESIAN_POINT('Ctrl Pts',(-0.528286027140231,1.95902510079567, -0.594917323755403)); #136844=CARTESIAN_POINT('Ctrl Pts',(-0.52820773029901,2.00136186,-0.590431700726073)); #136845=CARTESIAN_POINT('',(-0.528207730299011,2.00136186,-0.590431700726073)); #136846=CARTESIAN_POINT('',(-0.560003244453533,2.00397182119251,-0.619740121471531)); #136847=CARTESIAN_POINT('Origin',(-0.558203161153703,2.00136186,-0.589908128532954)); #136848=CARTESIAN_POINT('Ctrl Pts',(-0.560003244453533,2.00397182119251, -0.619740121471531)); #136849=CARTESIAN_POINT('Ctrl Pts',(-0.560081793784969,1.95264691291066, -0.624225729381154)); #136850=CARTESIAN_POINT('Ctrl Pts',(-0.517115585584462,1.86397331418462, -0.634691021296637)); #136851=CARTESIAN_POINT('Ctrl Pts',(-0.426899514417344,1.83230463264862, -0.641914360069458)); #136852=CARTESIAN_POINT('Ctrl Pts',(-0.388168364539847,1.83227688232713, -0.643535214699794)); #136853=CARTESIAN_POINT('Ctrl Pts',(-0.38692063,1.85965990333949,-0.61419880575873)); #136854=CARTESIAN_POINT('Ctrl Pts',(-0.418782722878931,1.85968810534565, -0.612583113907821)); #136855=CARTESIAN_POINT('Ctrl Pts',(-0.49298809216013,1.88585019168296, -0.605373979204592)); #136856=CARTESIAN_POINT('Ctrl Pts',(-0.528286027140231,1.95902510079567, -0.594917323755403)); #136857=CARTESIAN_POINT('Ctrl Pts',(-0.52820773029901,2.00136186,-0.590431700726073)); #136858=CARTESIAN_POINT('Ctrl Pts',(-0.560003244554918,2.00397181804322, -0.619740121740942)); #136859=CARTESIAN_POINT('Ctrl Pts',(-0.559786567114958,2.14555120452569, -0.607366604902909)); #136860=CARTESIAN_POINT('Ctrl Pts',(-0.559569889220075,2.28713059034933, -0.594993080534354)); #136861=CARTESIAN_POINT('Ctrl Pts',(-0.559353210871854,2.42870997551412, -0.582619548635182)); #136862=CARTESIAN_POINT('Ctrl Pts',(-0.550800162344398,2.00400605001552, -0.620292447243024)); #136863=CARTESIAN_POINT('Ctrl Pts',(-0.550583602712719,2.14558541675787, -0.607918702437316)); #136864=CARTESIAN_POINT('Ctrl Pts',(-0.550367044009268,2.28716478463724, -0.595544970754914)); #136865=CARTESIAN_POINT('Ctrl Pts',(-0.55015048813618,2.42874415004161, -0.583171210574252)); #136866=CARTESIAN_POINT('Ctrl Pts',(-0.534412582291405,2.00337107485445, -0.613313049520289)); #136867=CARTESIAN_POINT('Ctrl Pts',(-0.534196413784404,2.14495042943714, -0.600939162054883)); #136868=CARTESIAN_POINT('Ctrl Pts',(-0.533980251894033,2.28652978306111, -0.58856526347133)); #136869=CARTESIAN_POINT('Ctrl Pts',(-0.533764095362644,2.42810913663392, -0.576191364241221)); #136870=CARTESIAN_POINT('Ctrl Pts',(-0.528327949131921,2.00196398112303, -0.597319162514141)); #136871=CARTESIAN_POINT('Ctrl Pts',(-0.528111960561199,2.14354335505424, -0.58494549995808)); #136872=CARTESIAN_POINT('Ctrl Pts',(-0.527895973444703,2.2851227285933, -0.572571832868696)); #136873=CARTESIAN_POINT('Ctrl Pts',(-0.527679999922223,2.4267021022223, -0.560198166590532)); #136874=CARTESIAN_POINT('Ctrl Pts',(-0.52820772800529,2.00136185672127, -0.590431698782276)); #136875=CARTESIAN_POINT('Ctrl Pts',(-0.527991739121557,2.14294123885828, -0.578058132926172)); #136876=CARTESIAN_POINT('Ctrl Pts',(-0.52777575778529,2.28452062099534, -0.565684566938015)); #136877=CARTESIAN_POINT('Ctrl Pts',(-0.527559783996499,2.4261000031325, -0.553311000818407)); #136878=CARTESIAN_POINT('',(-0.559353210876753,2.4287099723135,-0.582619548914904)); #136879=CARTESIAN_POINT('Origin',(-0.55755521693078,2.4261,-0.552787428862842)); #136880=CARTESIAN_POINT('Ctrl Pts',(-0.559353210876753,2.4287099723135, -0.582619548914905)); #136881=CARTESIAN_POINT('Ctrl Pts',(-0.559569889221735,2.28713058926523, -0.5949930806291)); #136882=CARTESIAN_POINT('Ctrl Pts',(-0.559786567113378,2.14555120555811, -0.60736660481268)); #136883=CARTESIAN_POINT('Ctrl Pts',(-0.560003244550099,2.00397182119215, -0.619740121465737)); #136884=CARTESIAN_POINT('Ctrl Pts',(-0.528207728000486,2.00136185987021, -0.590431698507069)); #136885=CARTESIAN_POINT('Ctrl Pts',(-0.528158530529192,2.03361049909732, -0.587613274982235)); #136886=CARTESIAN_POINT('Ctrl Pts',(-0.52810933344948,2.06585913832444, -0.584794851450549)); #136887=CARTESIAN_POINT('Ctrl Pts',(-0.528060136761352,2.09810777755157, -0.58197642791202)); #136888=CARTESIAN_POINT('Ctrl Pts',(-0.559353210772734,2.4287099824259, -0.582619548036453)); #136889=CARTESIAN_POINT('Ctrl Pts',(-0.559348449273118,2.43182115183837, -0.582347642960062)); #136890=CARTESIAN_POINT('Ctrl Pts',(-0.559317589967972,2.43493241655086, -0.582077302578116)); #136891=CARTESIAN_POINT('Ctrl Pts',(-0.55926063008662,2.43804334999299, -0.581808563593785)); #136892=CARTESIAN_POINT('Ctrl Pts',(-0.553809958731318,2.42873056733363, -0.582951841133727)); #136893=CARTESIAN_POINT('Ctrl Pts',(-0.553803574526887,2.43181095777265, -0.582682742546544)); #136894=CARTESIAN_POINT('Ctrl Pts',(-0.553771374070896,2.43489143788436, -0.582415120727238)); #136895=CARTESIAN_POINT('Ctrl Pts',(-0.55371334379136,2.43797152900865, -0.582149128768306)); #136896=CARTESIAN_POINT('Ctrl Pts',(-0.542548061232082,2.42849563173576, -0.580460269431972)); #136897=CARTESIAN_POINT('Ctrl Pts',(-0.542538345858798,2.43151441048303, -0.580194761679335)); #136898=CARTESIAN_POINT('Ctrl Pts',(-0.542503363837635,2.43453316419952, -0.579930760100765)); #136899=CARTESIAN_POINT('Ctrl Pts',(-0.542443125030074,2.43755152187094, -0.57966828343071)); #136900=CARTESIAN_POINT('Ctrl Pts',(-0.534282276793459,2.4277824207853, -0.572443936272865)); #136901=CARTESIAN_POINT('Ctrl Pts',(-0.534271948502355,2.43075766255808, -0.572177556124541)); #136902=CARTESIAN_POINT('Ctrl Pts',(-0.534236802228611,2.4337330993154, -0.57191263998927)); #136903=CARTESIAN_POINT('Ctrl Pts',(-0.534176737786928,2.43670790398756, -0.571649307104194)); #136904=CARTESIAN_POINT('Ctrl Pts',(-0.531522419740143,2.42735868693989, -0.567643714995766)); #136905=CARTESIAN_POINT('Ctrl Pts',(-0.531512912106244,2.43032012656656, -0.567376151118344)); #136906=CARTESIAN_POINT('Ctrl Pts',(-0.53147865593966,2.43328156513071, -0.567110104536171)); #136907=CARTESIAN_POINT('Ctrl Pts',(-0.53141965165818,2.43624259929218, -0.566845611052963)); #136908=CARTESIAN_POINT('',(-0.55926063008662,2.43804334999299,-0.581808563593785)); #136909=CARTESIAN_POINT('Origin',(-0.557462933858175,2.43543337630287,-0.551976427806899)); #136910=CARTESIAN_POINT('Ctrl Pts',(-0.55926063008662,2.43804334999299, -0.581808563593785)); #136911=CARTESIAN_POINT('Ctrl Pts',(-0.559317589967972,2.43493241655086, -0.582077302578116)); #136912=CARTESIAN_POINT('Ctrl Pts',(-0.559348449273118,2.43182115183837, -0.582347642960062)); #136913=CARTESIAN_POINT('Ctrl Pts',(-0.559353210772734,2.4287099824259, -0.582619548036453)); #136914=CARTESIAN_POINT('Ctrl Pts',(0.334676225946346,2.87483958579153, -0.554403783133401)); #136915=CARTESIAN_POINT('Ctrl Pts',(0.412581105128565,2.8168207334181,-0.556673659683843)); #136916=CARTESIAN_POINT('Ctrl Pts',(0.521469310204011,2.67915389202101, -0.56307734276596)); #136917=CARTESIAN_POINT('Ctrl Pts',(0.557934393909397,2.51047735754954, -0.57555132859217)); #136918=CARTESIAN_POINT('Ctrl Pts',(0.559260630086635,2.4380433499922,-0.581808563593853)); #136919=CARTESIAN_POINT('Ctrl Pts',(0.331338625661318,2.87039236883991, -0.554913083772949)); #136920=CARTESIAN_POINT('Ctrl Pts',(0.408464787229888,2.81295476733286, -0.557188222449507)); #136921=CARTESIAN_POINT('Ctrl Pts',(0.516268483543163,2.6766831477302,-0.563583084340344)); #136922=CARTESIAN_POINT('Ctrl Pts',(0.552438425295683,2.50969823461468, -0.575950301175944)); #136923=CARTESIAN_POINT('Ctrl Pts',(0.553789240369756,2.43797251164455, -0.582144469241159)); #136924=CARTESIAN_POINT('Ctrl Pts',(0.324557487250318,2.86123060836228, -0.552735644966367)); #136925=CARTESIAN_POINT('Ctrl Pts',(0.400102079307089,2.80495294913813, -0.555018524830241)); #136926=CARTESIAN_POINT('Ctrl Pts',(0.505702047354587,2.67146998875548, -0.561402240561324)); #136927=CARTESIAN_POINT('Ctrl Pts',(0.541273646998145,2.50787011120906, -0.573629794597608)); #136928=CARTESIAN_POINT('Ctrl Pts',(0.542675178436706,2.43756217173597, -0.57974253746301)); #136929=CARTESIAN_POINT('Ctrl Pts',(0.319600880270856,2.85428742957022, -0.544868800079901)); #136930=CARTESIAN_POINT('Ctrl Pts',(0.393989341795431,2.79882271226661, -0.547152587619572)); #136931=CARTESIAN_POINT('Ctrl Pts',(0.497979016040083,2.66726897724611, -0.55354408130444)); #136932=CARTESIAN_POINT('Ctrl Pts',(0.533041432606865,2.50603394914797, -0.565803881053342)); #136933=CARTESIAN_POINT('Ctrl Pts',(0.534440414661301,2.43673733776821, -0.571934314730015)); #136934=CARTESIAN_POINT('Ctrl Pts',(0.317956969895401,2.85189969449404, -0.540096695585833)); #136935=CARTESIAN_POINT('Ctrl Pts',(0.391965508384433,2.7966907141697,-0.542379714539114)); #136936=CARTESIAN_POINT('Ctrl Pts',(0.495419821434674,2.665741895056,-0.548777476128347)); #136937=CARTESIAN_POINT('Ctrl Pts',(0.530273199787417,2.50525135760439, -0.561081986494106)); #136938=CARTESIAN_POINT('Ctrl Pts',(0.531648985584802,2.43628070131857, -0.567238213903467)); #136939=CARTESIAN_POINT('',(0.33467622594635,2.87483958579153,-0.554403783133401)); #136940=CARTESIAN_POINT('Ctrl Pts',(0.559260630086635,2.4380433499922,-0.581808563593853)); #136941=CARTESIAN_POINT('Ctrl Pts',(0.557934393909397,2.51047735754954, -0.57555132859217)); #136942=CARTESIAN_POINT('Ctrl Pts',(0.521469310204011,2.67915389202101, -0.56307734276596)); #136943=CARTESIAN_POINT('Ctrl Pts',(0.412581105128565,2.8168207334181,-0.556673659683843)); #136944=CARTESIAN_POINT('Ctrl Pts',(0.334676225946346,2.87483958579153, -0.554403783133401)); #136945=CARTESIAN_POINT('Origin',(0.333600437384153,2.87222659516945,-0.524537163656493)); #136946=CARTESIAN_POINT('Ctrl Pts',(0.272733761376224,2.92660595068032, -0.54167251572531)); #136947=CARTESIAN_POINT('Ctrl Pts',(0.29403842649863,2.9114235186322,-0.548748660387559)); #136948=CARTESIAN_POINT('Ctrl Pts',(0.314889158241352,2.89407696280575, -0.553433456222537)); #136949=CARTESIAN_POINT('Ctrl Pts',(0.334676225946349,2.87483958579153, -0.554403783133401)); #136950=CARTESIAN_POINT('Ctrl Pts',(0.270431592189365,2.92329082502985, -0.543574691862051)); #136951=CARTESIAN_POINT('Ctrl Pts',(0.291787840332491,2.90810756900297, -0.550088909246697)); #136952=CARTESIAN_POINT('Ctrl Pts',(0.312669666249172,2.89090023137597, -0.554231074084599)); #136953=CARTESIAN_POINT('Ctrl Pts',(0.33248755280401,2.87192326763808,-0.554737763399449)); #136954=CARTESIAN_POINT('Ctrl Pts',(0.268085234531156,2.91949898694989, -0.544585721509023)); #136955=CARTESIAN_POINT('Ctrl Pts',(0.289498636731196,2.90448739847283, -0.550585403006759)); #136956=CARTESIAN_POINT('Ctrl Pts',(0.310418959051279,2.88755920034463, -0.554262007830136)); #136957=CARTESIAN_POINT('Ctrl Pts',(0.330275228132938,2.86894878779905, -0.554396931016477)); #136958=CARTESIAN_POINT('Ctrl Pts',(0.265921477139519,2.9155969390363,-0.54460788293278)); #136959=CARTESIAN_POINT('Ctrl Pts',(0.287365752043633,2.90087035682337, -0.550201574756368)); #136960=CARTESIAN_POINT('Ctrl Pts',(0.308305865083159,2.88430157909661, -0.553527421490817)); #136961=CARTESIAN_POINT('Ctrl Pts',(0.328184629052069,2.86611160642321, -0.553403682891472)); #136962=CARTESIAN_POINT('Ctrl Pts',(0.334676225946349,2.87483958579153, -0.554403783133401)); #136963=CARTESIAN_POINT('Ctrl Pts',(0.314889158241352,2.89407696280575, -0.553433456222537)); #136964=CARTESIAN_POINT('Ctrl Pts',(0.29403842649863,2.9114235186322,-0.548748660387559)); #136965=CARTESIAN_POINT('Ctrl Pts',(0.272733761376224,2.92660595068032, -0.54167251572531)); #136966=CARTESIAN_POINT('Ctrl Pts',(-0.55926063008662,2.43804334999304, -0.58180856359378)); #136967=CARTESIAN_POINT('Ctrl Pts',(-0.557934393909275,2.51047735755025, -0.575551328592115)); #136968=CARTESIAN_POINT('Ctrl Pts',(-0.521469310203752,2.67915389202139, -0.563077342765941)); #136969=CARTESIAN_POINT('Ctrl Pts',(-0.412581105128438,2.8168207334182, -0.556673659683839)); #136970=CARTESIAN_POINT('Ctrl Pts',(-0.33467622594635,2.87483958579153, -0.554403783133401)); #136971=CARTESIAN_POINT('Ctrl Pts',(-0.553789240369747,2.43797251164538, -0.582144469241087)); #136972=CARTESIAN_POINT('Ctrl Pts',(-0.552438425295569,2.50969823461539, -0.575950301175888)); #136973=CARTESIAN_POINT('Ctrl Pts',(-0.516268483542913,2.67668314773058, -0.563583084340325)); #136974=CARTESIAN_POINT('Ctrl Pts',(-0.408464787229768,2.81295476733296, -0.557188222449503)); #136975=CARTESIAN_POINT('Ctrl Pts',(-0.331338625661326,2.87039236883991, -0.554913083772949)); #136976=CARTESIAN_POINT('Ctrl Pts',(-0.54267517843671,2.43756217173678, -0.579742537462946)); #136977=CARTESIAN_POINT('Ctrl Pts',(-0.541273646998046,2.50787011120976, -0.57362979459756)); #136978=CARTESIAN_POINT('Ctrl Pts',(-0.505702047354356,2.67146998875586, -0.561402240561313)); #136979=CARTESIAN_POINT('Ctrl Pts',(-0.400102079306983,2.80495294913823, -0.555018524830244)); #136980=CARTESIAN_POINT('Ctrl Pts',(-0.324557487250334,2.86123060836229, -0.552735644966374)); #136981=CARTESIAN_POINT('Ctrl Pts',(-0.534440414661309,2.43673733776901, -0.571934314729971)); #136982=CARTESIAN_POINT('Ctrl Pts',(-0.533041432606771,2.50603394914866, -0.565803881053315)); #136983=CARTESIAN_POINT('Ctrl Pts',(-0.497979016039859,2.66726897724649, -0.553544081304449)); #136984=CARTESIAN_POINT('Ctrl Pts',(-0.393989341795328,2.79882271226672, -0.547152587619595)); #136985=CARTESIAN_POINT('Ctrl Pts',(-0.319600880270874,2.85428742957024, -0.544868800079928)); #136986=CARTESIAN_POINT('Ctrl Pts',(-0.531648985584807,2.43628070131937, -0.567238213903433)); #136987=CARTESIAN_POINT('Ctrl Pts',(-0.530273199787321,2.50525135760507, -0.561081986494089)); #136988=CARTESIAN_POINT('Ctrl Pts',(-0.495419821434448,2.66574189505637, -0.548777476128366)); #136989=CARTESIAN_POINT('Ctrl Pts',(-0.391965508384328,2.7966907141698, -0.542379714539148)); #136990=CARTESIAN_POINT('Ctrl Pts',(-0.317956969895417,2.85189969449405, -0.540096695585871)); #136991=CARTESIAN_POINT('Ctrl Pts',(-0.33467622594635,2.87483958579153, -0.554403783133401)); #136992=CARTESIAN_POINT('Ctrl Pts',(-0.412581105128438,2.8168207334182, -0.556673659683839)); #136993=CARTESIAN_POINT('Ctrl Pts',(-0.521469310203752,2.67915389202139, -0.563077342765941)); #136994=CARTESIAN_POINT('Ctrl Pts',(-0.557934393909275,2.51047735755025, -0.575551328592115)); #136995=CARTESIAN_POINT('Ctrl Pts',(-0.55926063008662,2.43804334999304, -0.58180856359378)); #136996=CARTESIAN_POINT('Origin',(-0.52754563,2.4261,-0.5525)); #136997=CARTESIAN_POINT('',(-0.52754563,2.00136186,-0.5525)); #136998=CARTESIAN_POINT('Origin',(-0.38692063,2.00136186,-0.5525)); #136999=CARTESIAN_POINT('',(-0.38692063,1.86073686,-0.5525)); #137000=CARTESIAN_POINT('Origin',(-0.38692063,1.86073686,-0.5525)); #137001=CARTESIAN_POINT('',(0.38692063,1.86073686,-0.5525)); #137002=CARTESIAN_POINT('Origin',(0.38692063,2.00136186,-0.5525)); #137003=CARTESIAN_POINT('',(0.52754563,2.00136186,-0.5525)); #137004=CARTESIAN_POINT('Origin',(0.52754563,2.00136186,-0.5525)); #137005=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.0157689962426528)); #137006=CARTESIAN_POINT('',(-1.41803983906246,3.67060367034022,0.0158562582748393)); #137007=CARTESIAN_POINT('Origin',(-1.41960768885788,3.67535069386626,0.0157689962426528)); #137008=CARTESIAN_POINT('',(-2.20461576608369,2.8817316666737,0.0158562582748393)); #137009=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.0158562582748393)); #137010=CARTESIAN_POINT('Origin',(-2.2093673394403,2.88328567310048,0.0157689962426528)); #137011=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.0157689962426515)); #137012=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.015856258274838)); #137013=CARTESIAN_POINT('Origin',(-2.61187609882168,0.83120985598402,0.0157689962426515)); #137014=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.0157689962426535)); #137015=CARTESIAN_POINT('Origin',(-2.51747109769902,0.285839503286757,0.0157689962426535)); #137016=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.0157689962426535)); #137017=CARTESIAN_POINT('Origin',(2.51747109769901,0.285839503286754,0.0157689962426535)); #137018=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.0157689962426537)); #137019=CARTESIAN_POINT('',(2.6148434188113,0.831421756841389,0.0197877162012687)); #137020=CARTESIAN_POINT('Origin',(2.61187609882167,0.831209855984016,0.0157689962426537)); #137021=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.0197877162012689)); #137022=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.0157689962426515)); #137023=CARTESIAN_POINT('',(2.21219483881819,2.88421040936681,0.0197877162012666)); #137024=CARTESIAN_POINT('Origin',(2.2093673394403,2.88328567310047,0.0157689962426515)); #137025=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.0197877162012672)); #137026=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.0157689962426533)); #137027=CARTESIAN_POINT('',(1.41803983906246,3.67060367034022,0.0158562582748397)); #137028=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.0158562582748397)); #137029=CARTESIAN_POINT('',(1.42054066284112,3.67817548579507,0.0197877162012307)); #137030=CARTESIAN_POINT('Origin',(1.41960768885787,3.67535069386626,0.0157689962426533)); #137031=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.0197877162012687)); #137032=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.015768996242653)); #137033=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.0158562582748395)); #137034=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.0197877162012678)); #137035=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #137036=CARTESIAN_POINT('',(-2.20368909226613,2.88142859715126,-0.04)); #137037=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.04)); #137038=CARTESIAN_POINT('',(-1.41773406974223,3.66967788385167,-0.04)); #137039=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.755109509104582)); #137040=CARTESIAN_POINT('Origin',(2.04267913509972,0.790562630517092,-0.755109509104582)); #137041=CARTESIAN_POINT('Origin',(1.66699103137574,2.70590100381164,-0.755109509104582)); #137042=CARTESIAN_POINT('Ctrl Pts',(1.44254620052568,3.74480227963561,-0.0374438192249761)); #137043=CARTESIAN_POINT('Ctrl Pts',(2.07262133442233,3.53670068410864,-0.0374438192249761)); #137044=CARTESIAN_POINT('Ctrl Pts',(2.27888549175321,2.90602164861092,-0.0374438192249761)); #137045=CARTESIAN_POINT('Ctrl Pts',(1.43196532703425,3.71276626729765,-0.00717874973161615)); #137046=CARTESIAN_POINT('Ctrl Pts',(2.04585361401046,3.51001087334169,-0.00717874973161616)); #137047=CARTESIAN_POINT('Ctrl Pts',(2.24681877418962,2.89553419920427,-0.00717874973161616)); #137048=CARTESIAN_POINT('Ctrl Pts',(1.42054066284111,3.67817548579502,0.0197877162012688)); #137049=CARTESIAN_POINT('Ctrl Pts',(2.01695125380651,3.48119263582678,0.0197877162012688)); #137050=CARTESIAN_POINT('Ctrl Pts',(2.21219483881818,2.88421040936681,0.0197877162012688)); #137051=CARTESIAN_POINT('Origin',(1.24064284504443,3.13349373384149,-0.755109509104582)); #137052=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.755109509104582)); #137053=CARTESIAN_POINT('Origin',(-1.25183614155761,0.398093208456437,-0.22766513)); #137054=CARTESIAN_POINT('',(-1.25183614155761,0.348968607368845,-0.23766513)); #137055=CARTESIAN_POINT('',(-1.24183766460604,0.348968607368845,-0.227839654064373)); #137056=CARTESIAN_POINT('Origin',(-1.25183614155761,0.348968607368845,-0.22766513)); #137057=CARTESIAN_POINT('',(-1.24183766460604,0.316132952631158,-0.227839654064373)); #137058=CARTESIAN_POINT('',(-1.24183766460604,0.398093208456437,-0.227839654064373)); #137059=CARTESIAN_POINT('',(-1.25183614155761,0.316132952631158,-0.23766513)); #137060=CARTESIAN_POINT('Origin',(-1.25183614155761,0.316132952631158,-0.22766513)); #137061=CARTESIAN_POINT('',(-1.25183614155761,0.398093208456437,-0.23766513)); #137062=CARTESIAN_POINT('Origin',(-1.75836041763116,0.574973408456437,-0.22766513)); #137063=CARTESIAN_POINT('',(-1.75836041763116,0.702729007368845,-0.23766513)); #137064=CARTESIAN_POINT('',(-1.74836194067959,0.702729007368845,-0.227839654064373)); #137065=CARTESIAN_POINT('Origin',(-1.75836041763116,0.702729007368845,-0.22766513)); #137066=CARTESIAN_POINT('',(-1.74836194067959,0.636305980000002,-0.227839654064373)); #137067=CARTESIAN_POINT('',(-1.74836194067959,0.574973408456437,-0.227839654064373)); #137068=CARTESIAN_POINT('',(-1.75836041763116,0.636305980000002,-0.23766513)); #137069=CARTESIAN_POINT('Origin',(-1.75836041763116,0.636305980000002,-0.22766513)); #137070=CARTESIAN_POINT('',(-1.75836041763116,0.574973408456437,-0.23766513)); #137071=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.22766513)); #137072=CARTESIAN_POINT('',(-1.471023045,0.358967084320409,-0.227839654064373)); #137073=CARTESIAN_POINT('',(-1.471023045,0.348968607368845,-0.23766513)); #137074=CARTESIAN_POINT('Origin',(-1.471023045,0.348968607368845,-0.22766513)); #137075=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.23766513)); #137076=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.227839654064373)); #137077=CARTESIAN_POINT('Origin',(-1.51896577486146,0.348968607368845,-0.22766513)); #137078=CARTESIAN_POINT('',(-1.25183614155761,0.358967084320409,-0.227839654064373)); #137079=CARTESIAN_POINT('Origin',(-1.25183614155761,0.348968607368845,-0.22766513)); #137080=CARTESIAN_POINT('',(-1.51896577486146,0.348968607368845,-0.23766513)); #137081=CARTESIAN_POINT('',(-1.51896577486146,0.358967084320409,-0.227839654064373)); #137082=CARTESIAN_POINT('Origin',(-1.68396577486146,0.702729007368845,-0.22766513)); #137083=CARTESIAN_POINT('',(-1.79119607236884,0.702729007368845,-0.23766513)); #137084=CARTESIAN_POINT('',(-1.79119607236884,0.712727484320409,-0.227839654064373)); #137085=CARTESIAN_POINT('Origin',(-1.79119607236884,0.702729007368845,-0.22766513)); #137086=CARTESIAN_POINT('',(-1.75836041763116,0.712727484320409,-0.227839654064373)); #137087=CARTESIAN_POINT('',(-1.68396577486146,0.712727484320409,-0.227839654064373)); #137088=CARTESIAN_POINT('Origin',(-1.75836041763116,0.702729007368845,-0.22766513)); #137089=CARTESIAN_POINT('',(-1.68396577486146,0.702729007368845,-0.23766513)); #137090=CARTESIAN_POINT('Origin',(-1.40445883682469,0.316132952631158,-0.22766513)); #137091=CARTESIAN_POINT('',(-1.25183614155761,0.306134475679594,-0.227839654064373)); #137092=CARTESIAN_POINT('Origin',(-1.25183614155761,0.316132952631158,-0.22766513)); #137093=CARTESIAN_POINT('',(-1.471023045,0.306134475679593,-0.227839654064373)); #137094=CARTESIAN_POINT('',(-1.40445883682469,0.306134475679594,-0.227839654064373)); #137095=CARTESIAN_POINT('',(-1.471023045,0.316132952631158,-0.23766513)); #137096=CARTESIAN_POINT('Origin',(-1.471023045,0.316132952631158,-0.22766513)); #137097=CARTESIAN_POINT('',(-1.40445883682469,0.316132952631158,-0.23766513)); #137098=CARTESIAN_POINT('Origin',(-1.79119607236884,0.536848408456437,-0.22766513)); #137099=CARTESIAN_POINT('',(-1.79119607236884,0.636305980000002,-0.23766513)); #137100=CARTESIAN_POINT('',(-1.80119454932041,0.636305980000002,-0.227839654064373)); #137101=CARTESIAN_POINT('Origin',(-1.79119607236884,0.636305980000002,-0.22766513)); #137102=CARTESIAN_POINT('',(-1.80119454932041,0.702729007368845,-0.227839654064373)); #137103=CARTESIAN_POINT('',(-1.80119454932041,0.536848408456437,-0.227839654064373)); #137104=CARTESIAN_POINT('Origin',(-1.79119607236884,0.702729007368845,-0.22766513)); #137105=CARTESIAN_POINT('',(-1.79119607236884,0.536848408456437,-0.23766513)); #137106=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.22766513)); #137107=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.23766513)); #137108=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.227839654064373)); #137109=CARTESIAN_POINT('Origin',(-1.79119607236884,0.702729007368845,-0.22766513)); #137110=CARTESIAN_POINT('Origin',(-1.79119607236884,0.702729007368845,-0.22766513)); #137111=CARTESIAN_POINT('Origin',(-1.75836041763116,0.702729007368845,-0.22766513)); #137112=CARTESIAN_POINT('Origin',(-1.75836041763116,0.702729007368845,-0.22766513)); #137113=CARTESIAN_POINT('Origin',(-1.25183614155761,0.348968607368845,-0.22766513)); #137114=CARTESIAN_POINT('Origin',(-1.25183614155761,0.348968607368845,-0.22766513)); #137115=CARTESIAN_POINT('Origin',(-1.25183614155761,0.316132952631158,-0.22766513)); #137116=CARTESIAN_POINT('Origin',(-1.25183614155761,0.316132952631158,-0.22766513)); #137117=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.23766513)); #137118=CARTESIAN_POINT('',(-1.74455648904508,0.636305980000002,-0.00982547593562709)); #137119=CARTESIAN_POINT('',(-1.74508318732181,0.636305980000002,-0.04)); #137120=CARTESIAN_POINT('',(-1.471023045,0.362772535954918,-0.00982547593562716)); #137121=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.00982547593562709)); #137122=CARTESIAN_POINT('',(-1.471023045,0.362245837678196,-0.04)); #137123=CARTESIAN_POINT('Origin',(-1.74508371281276,0.712555980000002,-0.0400301053567116)); #137124=CARTESIAN_POINT('',(-1.74459366749194,0.706497280556497,-0.0119554277295961)); #137125=CARTESIAN_POINT('',(-1.7450897101384,0.706001237910041,-0.0403736919122633)); #137126=CARTESIAN_POINT('',(-1.74455648904508,0.675583669111255,-0.00982547593562716)); #137127=CARTESIAN_POINT('Ctrl Pts',(-1.74455648904508,0.675583669111255, -0.00982547593562717)); #137128=CARTESIAN_POINT('Ctrl Pts',(-1.74455648904508,0.685920535196963, -0.00982547593562719)); #137129=CARTESIAN_POINT('Ctrl Pts',(-1.74456931351004,0.696255038792177, -0.0105601890408232)); #137130=CARTESIAN_POINT('Ctrl Pts',(-1.74459366749194,0.706497280556497, -0.0119554277295961)); #137131=CARTESIAN_POINT('',(-1.74455648904508,0.610697353363678,-0.00982547593562716)); #137132=CARTESIAN_POINT('Origin',(-1.75508818708996,0.706001237910041,-0.0401991678478905)); #137133=CARTESIAN_POINT('',(-1.75456871716186,0.716519184789706,-0.0106132796629885)); #137134=CARTESIAN_POINT('',(-1.75508818708996,0.715999714861605,-0.0403736919122633)); #137135=CARTESIAN_POINT('Ctrl Pts',(-1.74459366749194,0.706497280556497, -0.0119554277295961)); #137136=CARTESIAN_POINT('Ctrl Pts',(-1.74460029417786,0.707983210798947, -0.0123350703115046)); #137137=CARTESIAN_POINT('Ctrl Pts',(-1.74529167245308,0.710970738741741, -0.0129251406191129)); #137138=CARTESIAN_POINT('Ctrl Pts',(-1.74866040331102,0.715196870326899, -0.012466748774919)); #137139=CARTESIAN_POINT('Ctrl Pts',(-1.75233825213606,0.716509607082066, -0.0111619861662361)); #137140=CARTESIAN_POINT('Ctrl Pts',(-1.75456871716186,0.716519184789706, -0.0106132796629885)); #137141=CARTESIAN_POINT('Origin',(-1.801023045,0.716005712187241,-0.0400301053567116)); #137142=CARTESIAN_POINT('',(-1.79500152400335,0.716532935954918,-0.00982547593562716)); #137143=CARTESIAN_POINT('',(-1.79446468546344,0.715996097415006,-0.040580935289093)); #137144=CARTESIAN_POINT('',(-1.76658474525696,0.716532935954918,-0.00982547593562715)); #137145=CARTESIAN_POINT('',(-0.9005115225,0.716532935954918,-0.00982547593562717)); #137146=CARTESIAN_POINT('Ctrl Pts',(-1.75456871716186,0.716519184789706, -0.0106132796629885)); #137147=CARTESIAN_POINT('Ctrl Pts',(-1.75855122456072,0.716528326013356, -0.0100895793108448)); #137148=CARTESIAN_POINT('Ctrl Pts',(-1.76256794170154,0.716532935954918, -0.00982547593562716)); #137149=CARTESIAN_POINT('Ctrl Pts',(-1.76658474525696,0.716532935954918, -0.00982547593562715)); #137150=CARTESIAN_POINT('Origin',(-1.79446468546344,0.705997620463442,-0.0404064112247202)); #137151=CARTESIAN_POINT('',(-1.80500000095492,0.706534459003354,-0.00982547593562717)); #137152=CARTESIAN_POINT('',(-1.804463162415,0.705997620463442,-0.040580935289093)); #137153=CARTESIAN_POINT('Origin',(-1.7949954313456,0.706528366345604,-0.01)); #137154=CARTESIAN_POINT('Origin',(-1.80447277718724,0.636305980000002,-0.0400301053567116)); #137155=CARTESIAN_POINT('',(-1.80500000095492,0.636305980000002,-0.00982547593562716)); #137156=CARTESIAN_POINT('',(-1.80447330267819,0.636305980000002,-0.04)); #137157=CARTESIAN_POINT('',(-1.80500000095492,0.572572353363678,-0.00982547593562717)); #137158=CARTESIAN_POINT('Origin',(-1.56690850472292,0.437390836912872,-0.23766513)); #137159=CARTESIAN_POINT('Origin',(1.25183614155759,0.371848408456433,-0.22766513)); #137160=CARTESIAN_POINT('',(1.25183614155759,0.316132952631156,-0.23766513)); #137161=CARTESIAN_POINT('',(1.24183766460603,0.316132952631156,-0.227839654064373)); #137162=CARTESIAN_POINT('Origin',(1.25183614155759,0.316132952631156,-0.22766513)); #137163=CARTESIAN_POINT('',(1.24183766460603,0.348968607368842,-0.227839654064373)); #137164=CARTESIAN_POINT('',(1.24183766460603,0.371848408456433,-0.227839654064373)); #137165=CARTESIAN_POINT('',(1.25183614155759,0.348968607368842,-0.23766513)); #137166=CARTESIAN_POINT('Origin',(1.25183614155759,0.348968607368842,-0.22766513)); #137167=CARTESIAN_POINT('',(1.25183614155759,0.371848408456433,-0.23766513)); #137168=CARTESIAN_POINT('Origin',(1.51896577486146,0.316132952631156,-0.22766513)); #137169=CARTESIAN_POINT('',(1.471023045,0.316132952631156,-0.23766513)); #137170=CARTESIAN_POINT('',(1.471023045,0.306134475679592,-0.227839654064373)); #137171=CARTESIAN_POINT('Origin',(1.471023045,0.316132952631156,-0.22766513)); #137172=CARTESIAN_POINT('',(1.25183614155759,0.306134475679592,-0.227839654064373)); #137173=CARTESIAN_POINT('',(1.51896577486146,0.306134475679592,-0.227839654064373)); #137174=CARTESIAN_POINT('Origin',(1.25183614155759,0.316132952631156,-0.22766513)); #137175=CARTESIAN_POINT('',(1.51896577486146,0.316132952631156,-0.23766513)); #137176=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.22766513)); #137177=CARTESIAN_POINT('',(1.79119607236884,0.636305979999998,-0.23766513)); #137178=CARTESIAN_POINT('',(1.8011945493204,0.636305979999998,-0.227839654064373)); #137179=CARTESIAN_POINT('Origin',(1.79119607236884,0.636305979999998,-0.22766513)); #137180=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.227839654064373)); #137181=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.23766513)); #137182=CARTESIAN_POINT('Origin',(1.79119607236884,0.574973408456432,-0.22766513)); #137183=CARTESIAN_POINT('',(1.79119607236884,0.702729007368841,-0.23766513)); #137184=CARTESIAN_POINT('',(1.80119454932041,0.702729007368841,-0.227839654064373)); #137185=CARTESIAN_POINT('Origin',(1.79119607236884,0.702729007368841,-0.22766513)); #137186=CARTESIAN_POINT('',(1.80119454932041,0.574973408456432,-0.227839654064373)); #137187=CARTESIAN_POINT('',(1.79119607236884,0.574973408456432,-0.23766513)); #137188=CARTESIAN_POINT('Origin',(1.25183614155759,0.316132952631156,-0.22766513)); #137189=CARTESIAN_POINT('Origin',(1.25183614155759,0.316132952631156,-0.22766513)); #137190=CARTESIAN_POINT('Origin',(1.65772097486146,0.702729007368841,-0.22766513)); #137191=CARTESIAN_POINT('',(1.75836041763116,0.702729007368841,-0.23766513)); #137192=CARTESIAN_POINT('',(1.75836041763116,0.712727484320405,-0.227839654064373)); #137193=CARTESIAN_POINT('Origin',(1.75836041763116,0.702729007368841,-0.22766513)); #137194=CARTESIAN_POINT('',(1.79119607236884,0.712727484320405,-0.227839654064373)); #137195=CARTESIAN_POINT('',(1.65772097486146,0.712727484320405,-0.227839654064373)); #137196=CARTESIAN_POINT('Origin',(1.79119607236884,0.702729007368841,-0.22766513)); #137197=CARTESIAN_POINT('',(1.65772097486146,0.702729007368841,-0.23766513)); #137198=CARTESIAN_POINT('Origin',(1.79119607236884,0.702729007368841,-0.22766513)); #137199=CARTESIAN_POINT('Origin',(1.79119607236884,0.702729007368841,-0.22766513)); #137200=CARTESIAN_POINT('Origin',(1.75836041763116,0.536848408456433,-0.22766513)); #137201=CARTESIAN_POINT('',(1.75836041763116,0.636305979999998,-0.23766513)); #137202=CARTESIAN_POINT('',(1.74836194067959,0.636305979999998,-0.227839654064373)); #137203=CARTESIAN_POINT('Origin',(1.75836041763116,0.636305979999998,-0.22766513)); #137204=CARTESIAN_POINT('',(1.74836194067959,0.702729007368841,-0.227839654064373)); #137205=CARTESIAN_POINT('',(1.74836194067959,0.536848408456433,-0.227839654064373)); #137206=CARTESIAN_POINT('Origin',(1.75836041763116,0.702729007368841,-0.22766513)); #137207=CARTESIAN_POINT('',(1.75836041763116,0.536848408456433,-0.23766513)); #137208=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.22766513)); #137209=CARTESIAN_POINT('',(1.471023045,0.348968607368842,-0.23766513)); #137210=CARTESIAN_POINT('',(1.471023045,0.358967084320406,-0.227839654064373)); #137211=CARTESIAN_POINT('Origin',(1.471023045,0.348968607368842,-0.22766513)); #137212=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.227839654064373)); #137213=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.23766513)); #137214=CARTESIAN_POINT('Origin',(1.40445883682467,0.348968607368842,-0.22766513)); #137215=CARTESIAN_POINT('',(1.25183614155759,0.358967084320406,-0.227839654064373)); #137216=CARTESIAN_POINT('Origin',(1.25183614155759,0.348968607368842,-0.22766513)); #137217=CARTESIAN_POINT('',(1.40445883682467,0.358967084320406,-0.227839654064373)); #137218=CARTESIAN_POINT('',(1.40445883682467,0.348968607368842,-0.23766513)); #137219=CARTESIAN_POINT('Origin',(1.75836041763116,0.702729007368841,-0.22766513)); #137220=CARTESIAN_POINT('Origin',(1.75836041763116,0.702729007368841,-0.22766513)); #137221=CARTESIAN_POINT('Origin',(1.25183614155759,0.348968607368842,-0.22766513)); #137222=CARTESIAN_POINT('Origin',(1.25183614155759,0.348968607368842,-0.22766513)); #137223=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.23766513)); #137224=CARTESIAN_POINT('',(1.80500000095491,0.636305979999998,-0.00982547593562714)); #137225=CARTESIAN_POINT('',(1.80447330267819,0.636305979999998,-0.04)); #137226=CARTESIAN_POINT('',(1.471023045,0.302329024045082,-0.00982547593562714)); #137227=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.00982547593562714)); #137228=CARTESIAN_POINT('',(1.471023045,0.302855722321804,-0.04)); #137229=CARTESIAN_POINT('Origin',(1.80447277718724,0.712555979999998,-0.0400301053567116)); #137230=CARTESIAN_POINT('',(1.80500000095492,0.70653445900335,-0.00982547593562717)); #137231=CARTESIAN_POINT('',(1.8044667798616,0.706001237910037,-0.0403736919122633)); #137232=CARTESIAN_POINT('',(1.80500000095491,0.61069735336367,-0.00982547593562717)); #137233=CARTESIAN_POINT('Origin',(1.79446830291004,0.706001237910037,-0.0401991678478904)); #137234=CARTESIAN_POINT('',(1.79500152400335,0.716532935954915,-0.00982547593562716)); #137235=CARTESIAN_POINT('',(1.79446830291004,0.715999714861601,-0.0403736919122633)); #137236=CARTESIAN_POINT('Origin',(1.7949954313456,0.7065283663456,-0.01)); #137237=CARTESIAN_POINT('Origin',(1.748533445,0.716005712187237,-0.0400301053567116)); #137238=CARTESIAN_POINT('',(1.75456871716186,0.716519184789703,-0.0106132796629873)); #137239=CARTESIAN_POINT('',(1.75509180453656,0.715996097415003,-0.040580935289093)); #137240=CARTESIAN_POINT('',(1.76658474525695,0.716532935954915,-0.00982547593562717)); #137241=CARTESIAN_POINT('Ctrl Pts',(1.76658474525695,0.716532935954915, -0.00982547593562716)); #137242=CARTESIAN_POINT('Ctrl Pts',(1.76256794170154,0.716532935954915, -0.00982547593562716)); #137243=CARTESIAN_POINT('Ctrl Pts',(1.75855122456072,0.716528326013352, -0.0100895793108445)); #137244=CARTESIAN_POINT('Ctrl Pts',(1.75456871716186,0.716519184789703, -0.0106132796629873)); #137245=CARTESIAN_POINT('',(0.8742667225,0.716532935954915,-0.00982547593562717)); #137246=CARTESIAN_POINT('Origin',(1.75509180453656,0.705997620463439,-0.0404064112247202)); #137247=CARTESIAN_POINT('',(1.74459366749194,0.706497280556493,-0.0119554277295941)); #137248=CARTESIAN_POINT('',(1.745093327585,0.705997620463439,-0.040580935289093)); #137249=CARTESIAN_POINT('Ctrl Pts',(1.75456871716186,0.716519184789703, -0.0106132796629873)); #137250=CARTESIAN_POINT('Ctrl Pts',(1.75308173495704,0.716512799627568, -0.0109790853566712)); #137251=CARTESIAN_POINT('Ctrl Pts',(1.75012862879197,0.715821565805438, -0.0119219663679583)); #137252=CARTESIAN_POINT('Ctrl Pts',(1.74653467166772,0.712947755053066, -0.0128972356402422)); #137253=CARTESIAN_POINT('Ctrl Pts',(1.74485343177245,0.709473490499457, -0.012662268503118)); #137254=CARTESIAN_POINT('Ctrl Pts',(1.7445969808472,0.707240248436255,-0.012145249725331)); #137255=CARTESIAN_POINT('Ctrl Pts',(1.74459366749194,0.706497280556493, -0.0119554277295941)); #137256=CARTESIAN_POINT('Origin',(1.74508371281276,0.636305979999998,-0.0400301053567116)); #137257=CARTESIAN_POINT('',(1.74455648904508,0.636305979999998,-0.00982547593562716)); #137258=CARTESIAN_POINT('',(1.7450831873218,0.636305979999998,-0.04)); #137259=CARTESIAN_POINT('',(1.74455648904508,0.675583669111258,-0.00982547593562718)); #137260=CARTESIAN_POINT('',(1.74455648904508,0.572572353363679,-0.00982547593562716)); #137261=CARTESIAN_POINT('Ctrl Pts',(1.74459366749194,0.706497280556493, -0.0119554277295942)); #137262=CARTESIAN_POINT('Ctrl Pts',(1.74456931351004,0.696255038792176, -0.0105601890408223)); #137263=CARTESIAN_POINT('Ctrl Pts',(1.74455648904508,0.685920535196964, -0.00982547593562686)); #137264=CARTESIAN_POINT('Ctrl Pts',(1.74455648904508,0.675583669111258, -0.00982547593562717)); #137265=CARTESIAN_POINT('Origin',(1.56690850472291,0.437390836912867,-0.23766513)); #137266=CARTESIAN_POINT('Origin',(1.906094920299,2.54343524517632,-0.22766513)); #137267=CARTESIAN_POINT('',(1.79189427236884,2.50632920534125,-0.23766513)); #137268=CARTESIAN_POINT('',(1.79498397166474,2.49682008868344,-0.227839654064373)); #137269=CARTESIAN_POINT('Origin',(1.79189427236884,2.50632920534125,-0.22766513)); #137270=CARTESIAN_POINT('',(1.76214831692706,2.48615113772046,-0.227839654064373)); #137271=CARTESIAN_POINT('',(1.90918461959489,2.5339261285185,-0.227839654064373)); #137272=CARTESIAN_POINT('',(1.75905861763116,2.49566025437827,-0.23766513)); #137273=CARTESIAN_POINT('Origin',(1.75905861763116,2.49566025437827,-0.22766513)); #137274=CARTESIAN_POINT('',(1.906094920299,2.54343524517632,-0.23766513)); #137275=CARTESIAN_POINT('Origin',(1.46540160341986,3.12422329736884,-0.22766513)); #137276=CARTESIAN_POINT('',(1.471721245,3.13422177432041,-0.227839654064373)); #137277=CARTESIAN_POINT('',(1.471721245,3.12422329736884,-0.23766513)); #137278=CARTESIAN_POINT('Origin',(1.471721245,3.12422329736884,-0.22766513)); #137279=CARTESIAN_POINT('',(1.26904412113833,3.12422329736884,-0.23766513)); #137280=CARTESIAN_POINT('',(1.46540160341986,3.12422329736884,-0.23766513)); #137281=CARTESIAN_POINT('',(1.26904412113833,3.13422177432041,-0.227839654064373)); #137282=CARTESIAN_POINT('Origin',(1.26904412113833,3.12422329736884,-0.22766513)); #137283=CARTESIAN_POINT('',(1.46540160341986,3.13422177432041,-0.227839654064373)); #137284=CARTESIAN_POINT('Origin',(1.471721245,2.80405027,-0.22766513)); #137285=CARTESIAN_POINT('',(1.80189274932041,2.80405027,-0.227839654064373)); #137286=CARTESIAN_POINT('',(1.79189427236884,2.80405027,-0.23766513)); #137287=CARTESIAN_POINT('Origin',(1.79189427236884,2.80405027,-0.22766513)); #137288=CARTESIAN_POINT('Origin',(1.471721245,2.80405027,-0.23766513)); #137289=CARTESIAN_POINT('Origin',(1.471721245,2.80405027,-0.227839654064373)); #137290=CARTESIAN_POINT('Origin',(1.79189427236884,2.85109381148738,-0.22766513)); #137291=CARTESIAN_POINT('',(1.80189274932041,2.50632920534125,-0.227839654064373)); #137292=CARTESIAN_POINT('Origin',(1.79189427236884,2.50632920534125,-0.22766513)); #137293=CARTESIAN_POINT('',(1.79189427236884,2.85109381148738,-0.23766513)); #137294=CARTESIAN_POINT('',(1.80189274932041,2.85109381148738,-0.227839654064373)); #137295=CARTESIAN_POINT('Origin',(1.22903229520625,2.98468547768887,-0.22766513)); #137296=CARTESIAN_POINT('',(1.25962864865393,3.09138764263116,-0.23766513)); #137297=CARTESIAN_POINT('',(1.25001749574267,3.09414359638028,-0.227839654064373)); #137298=CARTESIAN_POINT('Origin',(1.25962864865393,3.09138764263116,-0.22766513)); #137299=CARTESIAN_POINT('',(1.25943296822707,3.12697925111797,-0.227839654064373)); #137300=CARTESIAN_POINT('',(1.21942114229499,2.98744143143799,-0.227839654064373)); #137301=CARTESIAN_POINT('Origin',(1.26904412113833,3.12422329736884,-0.22766513)); #137302=CARTESIAN_POINT('',(1.22903229520625,2.98468547768887,-0.23766513)); #137303=CARTESIAN_POINT('Origin',(1.75905861763116,2.70794226452084,-0.22766513)); #137304=CARTESIAN_POINT('',(1.74906014067959,2.80405027,-0.227839654064373)); #137305=CARTESIAN_POINT('',(1.75905861763116,2.80405027,-0.23766513)); #137306=CARTESIAN_POINT('Origin',(1.75905861763116,2.80405027,-0.22766513)); #137307=CARTESIAN_POINT('',(1.75905861763116,2.70794226452084,-0.23766513)); #137308=CARTESIAN_POINT('',(1.74906014067959,2.49566025437827,-0.227839654064373)); #137309=CARTESIAN_POINT('Origin',(1.75905861763116,2.49566025437827,-0.22766513)); #137310=CARTESIAN_POINT('',(1.74906014067959,2.70794226452084,-0.227839654064373)); #137311=CARTESIAN_POINT('Origin',(1.55177623753723,3.09138764263116,-0.22766513)); #137312=CARTESIAN_POINT('',(1.471721245,3.09138764263116,-0.23766513)); #137313=CARTESIAN_POINT('',(1.471721245,3.08138916567959,-0.227839654064373)); #137314=CARTESIAN_POINT('Origin',(1.471721245,3.09138764263116,-0.22766513)); #137315=CARTESIAN_POINT('',(1.25962864865393,3.08138916567959,-0.227839654064373)); #137316=CARTESIAN_POINT('',(1.55177623753723,3.08138916567959,-0.227839654064373)); #137317=CARTESIAN_POINT('Origin',(1.25962864865393,3.09138764263116,-0.22766513)); #137318=CARTESIAN_POINT('',(1.55177623753723,3.09138764263116,-0.23766513)); #137319=CARTESIAN_POINT('Origin',(1.471721245,2.80405027,-0.22766513)); #137320=CARTESIAN_POINT('Origin',(1.471721245,2.80405027,-0.23766513)); #137321=CARTESIAN_POINT('Origin',(1.471721245,2.80405027,-0.227839654064373)); #137322=CARTESIAN_POINT('Origin',(1.25962864865393,3.09138764263116,-0.22766513)); #137323=CARTESIAN_POINT('Origin',(1.25962864865393,3.09138764263116,-0.22766513)); #137324=CARTESIAN_POINT('Origin',(1.26904412113833,3.12422329736884,-0.22766513)); #137325=CARTESIAN_POINT('Origin',(1.26904412113833,3.12422329736884,-0.22766513)); #137326=CARTESIAN_POINT('Origin',(1.79189427236884,2.50632920534125,-0.22766513)); #137327=CARTESIAN_POINT('Origin',(1.79189427236884,2.50632920534125,-0.22766513)); #137328=CARTESIAN_POINT('Origin',(1.75905861763116,2.49566025437827,-0.22766513)); #137329=CARTESIAN_POINT('Origin',(1.75905861763116,2.49566025437827,-0.22766513)); #137330=CARTESIAN_POINT('Origin',(1.471721245,2.80405027,-0.04)); #137331=CARTESIAN_POINT('',(1.471721245,3.14154499999624,0.191707663917532)); #137332=CARTESIAN_POINT('',(1.471721245,3.13750000218724,-0.0400301053567116)); #137333=CARTESIAN_POINT('',(1.80905954016505,2.80405027,0.182745518440746)); #137334=CARTESIAN_POINT('Ctrl Pts',(1.80905954016505,2.80405027,0.182745518440746)); #137335=CARTESIAN_POINT('Ctrl Pts',(1.80896730998584,2.87965963958418,0.177461655012767)); #137336=CARTESIAN_POINT('Ctrl Pts',(1.76464157837605,3.00549266473839,0.171951900790788)); #137337=CARTESIAN_POINT('Ctrl Pts',(1.62262164265406,3.11838962632079,0.179762292264248)); #137338=CARTESIAN_POINT('Ctrl Pts',(1.52211990953857,3.14148136582176,0.188062064503273)); #137339=CARTESIAN_POINT('Ctrl Pts',(1.471721245,3.14154499999624,0.191707663917532)); #137340=CARTESIAN_POINT('',(1.80517150267819,2.80405027,-0.04)); #137341=CARTESIAN_POINT('Origin',(1.29897197676526,3.13750052767819,-0.04)); #137342=CARTESIAN_POINT('',(1.26670264104153,3.13732902335779,-0.0498254759356272)); #137343=CARTESIAN_POINT('',(1.26613108864741,3.1380874990027,-0.00637243534072995)); #137344=CARTESIAN_POINT('',(1.28547595578232,3.13732902335779,-0.0498254759356272)); #137345=CARTESIAN_POINT('',(0.649485988382632,3.13732902335779,-0.0498254759356272)); #137346=CARTESIAN_POINT('',(1.2915668217388,3.13732902335779,-0.0498254759356273)); #137347=CARTESIAN_POINT('Ctrl Pts',(1.2915668217388,3.13732902335779,-0.0498254759356273)); #137348=CARTESIAN_POINT('Ctrl Pts',(1.29069669803073,3.13732902335779,-0.0498254759356271)); #137349=CARTESIAN_POINT('Ctrl Pts',(1.28953653320634,3.13732902335783,-0.0498254759328575)); #137350=CARTESIAN_POINT('Ctrl Pts',(1.28808632702058,3.13732902335783,-0.049825475932988)); #137351=CARTESIAN_POINT('Ctrl Pts',(1.28721620335203,3.13732902335785,-0.0498254759320731)); #137352=CARTESIAN_POINT('Ctrl Pts',(1.28649110023722,3.13732902335784,-0.049825475932647)); #137353=CARTESIAN_POINT('Ctrl Pts',(1.28598352813522,3.13732902335786,-0.0498254759312323)); #137354=CARTESIAN_POINT('Ctrl Pts',(1.28569348682107,3.13732902335783,-0.0498254759330421)); #137355=CARTESIAN_POINT('Ctrl Pts',(1.28554846635692,3.13732902335789,-0.0498254759294772)); #137356=CARTESIAN_POINT('Ctrl Pts',(1.28547595578232,3.13732902335779,-0.0498254759356271)); #137357=CARTESIAN_POINT('',(1.31246799774821,3.1376720319986,-0.0301745240643728)); #137358=CARTESIAN_POINT('Origin',(1.29166692492533,3.13767812465635,-0.0298254759356272)); #137359=CARTESIAN_POINT('',(1.31700262886957,3.14108912164583,0.165590410714197)); #137360=CARTESIAN_POINT('',(1.3131256065549,3.13816757577826,-0.00178483994101059)); #137361=CARTESIAN_POINT('',(1.38834258566513,3.14162271761755,0.196160103460666)); #137362=CARTESIAN_POINT('Ctrl Pts',(1.38834258566486,3.14162271761755,0.196160103460671)); #137363=CARTESIAN_POINT('Ctrl Pts',(1.36494242768766,3.14142987976856,0.185112430491016)); #137364=CARTESIAN_POINT('Ctrl Pts',(1.34115200710013,3.14125140387999,0.17488755368274)); #137365=CARTESIAN_POINT('Ctrl Pts',(1.31700262916943,3.14108912164536,0.165590410687434)); #137366=CARTESIAN_POINT('Ctrl Pts',(1.471721245,3.14154499999624,0.191707663917532)); #137367=CARTESIAN_POINT('Ctrl Pts',(1.44395601380169,3.14157904813345,0.193658280391972)); #137368=CARTESIAN_POINT('Ctrl Pts',(1.41615598818377,3.14160417557334,0.195097830458868)); #137369=CARTESIAN_POINT('Ctrl Pts',(1.38834258566513,3.14162271761755,0.196160103460666)); #137370=CARTESIAN_POINT('Origin',(1.26613108864741,3.12808902205113,-0.00619791127635711)); #137371=CARTESIAN_POINT('',(1.25709148813027,3.13008650015535,-0.0498254759356272)); #137372=CARTESIAN_POINT('',(1.25651993573615,3.13084497580026,-0.00637243534072995)); #137373=CARTESIAN_POINT('Origin',(1.26670264104153,3.12733054640622,-0.0496509518712543)); #137374=CARTESIAN_POINT('Origin',(1.20742360708456,2.96192905805214,0.03)); #137375=CARTESIAN_POINT('',(1.24589403699813,3.0910363473429,-0.0498254759356272)); #137376=CARTESIAN_POINT('',(1.24487811976714,3.09027079879892,-0.00596722922463367)); #137377=CARTESIAN_POINT('',(1.23980317104164,3.07103939343977,-0.0301745240643729)); #137378=CARTESIAN_POINT('Origin',(1.2401663409256,3.07103939343977,-0.0501745240643729)); #137379=CARTESIAN_POINT('',(1.22062778336566,3.00416686949231,-0.030174524064533)); #137380=CARTESIAN_POINT('',(0.65093803832126,1.01742262411644,-0.0301745240643728)); #137381=CARTESIAN_POINT('',(1.22820696224776,3.03411277600251,0.0253186033445411)); #137382=CARTESIAN_POINT('Ctrl Pts',(1.22820696224776,3.03411277600251,0.0253186033445411)); #137383=CARTESIAN_POINT('Ctrl Pts',(1.22427331489769,3.01943594845747,0.0101815978715086)); #137384=CARTESIAN_POINT('Ctrl Pts',(1.22161875340305,3.00894520868115,-0.00929191824535887)); #137385=CARTESIAN_POINT('Ctrl Pts',(1.22062818839429,3.00416826069547,-0.0301748604107773)); #137386=CARTESIAN_POINT('',(1.27293194881665,3.20091620229359,0.196319910777366)); #137387=CARTESIAN_POINT('Ctrl Pts',(1.27293194881665,3.20091620229359,0.196319910777366)); #137388=CARTESIAN_POINT('Ctrl Pts',(1.25795797584331,3.14510051397315,0.13954658506745)); #137389=CARTESIAN_POINT('Ctrl Pts',(1.243064821471,3.08954891912918,0.082492893860449)); #137390=CARTESIAN_POINT('Ctrl Pts',(1.22820696224776,3.03411277600251,0.0253186033445412)); #137391=CARTESIAN_POINT('',(1.33627959704272,3.41893839839545,0.15056779654304)); #137392=CARTESIAN_POINT('Ctrl Pts',(1.33627959704272,3.41893839839545,0.15056779654304)); #137393=CARTESIAN_POINT('Ctrl Pts',(1.31562484936915,3.34845033396633,0.174943190374566)); #137394=CARTESIAN_POINT('Ctrl Pts',(1.2943003122675,3.27505799343169,0.190342160148717)); #137395=CARTESIAN_POINT('Ctrl Pts',(1.27293194881563,3.20091620228952,0.196319910769462)); #137396=CARTESIAN_POINT('',(1.34014936799252,3.42098817007076,-0.030174524064363)); #137397=CARTESIAN_POINT('Ctrl Pts',(1.34014936799252,3.42098817007076,-0.030174524064363)); #137398=CARTESIAN_POINT('Ctrl Pts',(1.33885954375848,3.42030525993412,0.0300729222026402)); #137399=CARTESIAN_POINT('Ctrl Pts',(1.33756962017949,3.41962200295676,0.0903203624104018)); #137400=CARTESIAN_POINT('Ctrl Pts',(1.33627959704272,3.41893839839545,0.150567796543041)); #137401=CARTESIAN_POINT('',(1.26246869069569,3.15008345405848,-0.0301745240643728)); #137402=CARTESIAN_POINT('',(0.65093803832126,1.01742262411644,-0.0301745240643728)); #137403=CARTESIAN_POINT('Origin',(1.26283186057965,3.15008345405848,-0.0501745240643729)); #137404=CARTESIAN_POINT('Origin',(1.2544892726784,3.0875148450498,-0.00579270516026084)); #137405=CARTESIAN_POINT('',(1.25550518990939,3.07828191664221,-0.0498254759356272)); #137406=CARTESIAN_POINT('',(1.2544892726784,3.07751636809823,-0.00596722922463367)); #137407=CARTESIAN_POINT('Origin',(1.25550518990939,3.08828039359377,-0.0496509518712544)); #137408=CARTESIAN_POINT('Origin',(1.471721245,3.0781104123218,-0.04)); #137409=CARTESIAN_POINT('',(1.471721245,3.07453495960481,0.164837548969122)); #137410=CARTESIAN_POINT('',(1.471721245,3.07811093781276,-0.0400301053567116)); #137411=CARTESIAN_POINT('',(1.29102046773417,3.07606153513095,0.0773800956499494)); #137412=CARTESIAN_POINT('Ctrl Pts',(1.29102046773417,3.07606153513095,0.0773800956499476)); #137413=CARTESIAN_POINT('Ctrl Pts',(1.35379235705498,3.07565220966983,0.100830335611947)); #137414=CARTESIAN_POINT('Ctrl Pts',(1.41417282757793,3.07513409949028,0.130512847919394)); #137415=CARTESIAN_POINT('Ctrl Pts',(1.471721245,3.07453495960481,0.164837548969122)); #137416=CARTESIAN_POINT('',(1.28959678638913,3.07795082408753,-0.0308571961818618)); #137417=CARTESIAN_POINT('',(1.28992012166725,3.07752174368105,-0.00627519615816339)); #137418=CARTESIAN_POINT('',(1.27427850465017,3.07828191664221,-0.0498254759356272)); #137419=CARTESIAN_POINT('Ctrl Pts',(1.27427850465017,3.07828191664221,-0.0498254759356272)); #137420=CARTESIAN_POINT('Ctrl Pts',(1.27490817583009,3.07828176021358,-0.0498165141453981)); #137421=CARTESIAN_POINT('Ctrl Pts',(1.278615103888,3.07826775383306,-0.0490140891432513)); #137422=CARTESIAN_POINT('Ctrl Pts',(1.28627770242366,3.07818082892467,-0.0440341644757955)); #137423=CARTESIAN_POINT('Ctrl Pts',(1.28955612669367,3.07803917494025,-0.0359188131443795)); #137424=CARTESIAN_POINT('Ctrl Pts',(1.28959678638913,3.07795082408753,-0.0308571961818618)); #137425=CARTESIAN_POINT('',(0.735860622500001,3.07828191664221,-0.0498254759356272)); #137426=CARTESIAN_POINT('Origin',(1.63183123007447,2.89813735297477,-0.23766513)); #137427=CARTESIAN_POINT('Origin',(-1.2287704217343,2.9837722163603,-0.22766513)); #137428=CARTESIAN_POINT('',(-1.26904412113833,3.12422329736884,-0.23766513)); #137429=CARTESIAN_POINT('',(-1.25943296822707,3.12697925111797,-0.227839654064373)); #137430=CARTESIAN_POINT('Origin',(-1.26904412113833,3.12422329736884,-0.22766513)); #137431=CARTESIAN_POINT('',(-1.25001749574267,3.09414359638028,-0.227839654064373)); #137432=CARTESIAN_POINT('',(-1.21915926882304,2.98652817010943,-0.227839654064373)); #137433=CARTESIAN_POINT('',(-1.25962864865393,3.09138764263116,-0.23766513)); #137434=CARTESIAN_POINT('Origin',(-1.25962864865393,3.09138764263116,-0.22766513)); #137435=CARTESIAN_POINT('',(-1.2287704217343,2.9837722163603,-0.23766513)); #137436=CARTESIAN_POINT('Origin',(-1.55215209307102,3.12422329736884,-0.22766513)); #137437=CARTESIAN_POINT('',(-1.471721245,3.12422329736884,-0.23766513)); #137438=CARTESIAN_POINT('',(-1.471721245,3.13422177432041,-0.227839654064373)); #137439=CARTESIAN_POINT('Origin',(-1.471721245,3.12422329736884,-0.22766513)); #137440=CARTESIAN_POINT('',(-1.26904412113833,3.13422177432041,-0.227839654064373)); #137441=CARTESIAN_POINT('',(-1.55215209307102,3.13422177432041,-0.227839654064373)); #137442=CARTESIAN_POINT('Origin',(-1.26904412113833,3.12422329736884,-0.22766513)); #137443=CARTESIAN_POINT('',(-1.55215209307102,3.12422329736884,-0.23766513)); #137444=CARTESIAN_POINT('Origin',(-1.471721245,2.80405027,-0.22766513)); #137445=CARTESIAN_POINT('',(-1.79189427236884,2.80405027,-0.23766513)); #137446=CARTESIAN_POINT('',(-1.80189274932041,2.80405027,-0.227839654064373)); #137447=CARTESIAN_POINT('Origin',(-1.79189427236884,2.80405027,-0.22766513)); #137448=CARTESIAN_POINT('Origin',(-1.471721245,2.80405027,-0.227839654064373)); #137449=CARTESIAN_POINT('Origin',(-1.471721245,2.80405027,-0.23766513)); #137450=CARTESIAN_POINT('Origin',(-1.79189427236884,2.71649464646293,-0.22766513)); #137451=CARTESIAN_POINT('',(-1.79189427236884,2.50632920534125,-0.23766513)); #137452=CARTESIAN_POINT('',(-1.80189274932041,2.50632920534125,-0.227839654064373)); #137453=CARTESIAN_POINT('Origin',(-1.79189427236884,2.50632920534125,-0.22766513)); #137454=CARTESIAN_POINT('',(-1.80189274932041,2.71649464646293,-0.227839654064373)); #137455=CARTESIAN_POINT('',(-1.79189427236884,2.71649464646293,-0.23766513)); #137456=CARTESIAN_POINT('Origin',(-1.26904412113833,3.12422329736884,-0.22766513)); #137457=CARTESIAN_POINT('Origin',(-1.26904412113833,3.12422329736884,-0.22766513)); #137458=CARTESIAN_POINT('Origin',(-1.90611274112799,2.54344103551466,-0.22766513)); #137459=CARTESIAN_POINT('',(-1.75905861763116,2.49566025437827,-0.23766513)); #137460=CARTESIAN_POINT('',(-1.76214831692706,2.48615113772046,-0.227839654064373)); #137461=CARTESIAN_POINT('Origin',(-1.75905861763116,2.49566025437827,-0.22766513)); #137462=CARTESIAN_POINT('',(-1.79498397166474,2.49682008868344,-0.227839654064373)); #137463=CARTESIAN_POINT('',(-1.90920244042389,2.53393191885685,-0.227839654064373)); #137464=CARTESIAN_POINT('Origin',(-1.79189427236884,2.50632920534125,-0.22766513)); #137465=CARTESIAN_POINT('',(-1.90611274112799,2.54344103551466,-0.23766513)); #137466=CARTESIAN_POINT('Origin',(-1.79189427236884,2.50632920534125,-0.22766513)); #137467=CARTESIAN_POINT('Origin',(-1.79189427236884,2.50632920534125,-0.22766513)); #137468=CARTESIAN_POINT('Origin',(-1.75905861763116,2.84999768430906,-0.22766513)); #137469=CARTESIAN_POINT('',(-1.75905861763116,2.80405027,-0.23766513)); #137470=CARTESIAN_POINT('',(-1.74906014067959,2.80405027,-0.227839654064373)); #137471=CARTESIAN_POINT('Origin',(-1.75905861763116,2.80405027,-0.22766513)); #137472=CARTESIAN_POINT('',(-1.74906014067959,2.49566025437827,-0.227839654064373)); #137473=CARTESIAN_POINT('',(-1.74906014067959,2.84999768430906,-0.227839654064373)); #137474=CARTESIAN_POINT('Origin',(-1.75905861763116,2.49566025437827,-0.22766513)); #137475=CARTESIAN_POINT('',(-1.75905861763116,2.84999768430906,-0.23766513)); #137476=CARTESIAN_POINT('Origin',(-1.471721245,2.80405027,-0.22766513)); #137477=CARTESIAN_POINT('',(-1.471721245,3.09138764263116,-0.23766513)); #137478=CARTESIAN_POINT('',(-1.471721245,3.08138916567959,-0.227839654064373)); #137479=CARTESIAN_POINT('Origin',(-1.471721245,3.09138764263116,-0.22766513)); #137480=CARTESIAN_POINT('Origin',(-1.471721245,2.80405027,-0.227839654064373)); #137481=CARTESIAN_POINT('Origin',(-1.471721245,2.80405027,-0.23766513)); #137482=CARTESIAN_POINT('Origin',(-1.45726253818459,3.09138764263116,-0.22766513)); #137483=CARTESIAN_POINT('',(-1.25962864865393,3.08138916567959,-0.227839654064373)); #137484=CARTESIAN_POINT('Origin',(-1.25962864865393,3.09138764263116,-0.22766513)); #137485=CARTESIAN_POINT('',(-1.45726253818459,3.08138916567959,-0.227839654064373)); #137486=CARTESIAN_POINT('',(-1.45726253818459,3.09138764263116,-0.23766513)); #137487=CARTESIAN_POINT('Origin',(-1.75905861763116,2.49566025437827,-0.22766513)); #137488=CARTESIAN_POINT('Origin',(-1.75905861763116,2.49566025437827,-0.22766513)); #137489=CARTESIAN_POINT('Origin',(-1.25962864865393,3.09138764263116,-0.22766513)); #137490=CARTESIAN_POINT('Origin',(-1.25962864865393,3.09138764263116,-0.22766513)); #137491=CARTESIAN_POINT('Origin',(-1.471721245,2.80405027,-0.04)); #137492=CARTESIAN_POINT('',(-1.80905954016505,2.80405027,0.182745518440747)); #137493=CARTESIAN_POINT('',(-1.80517097718724,2.80405027,-0.0400301053567116)); #137494=CARTESIAN_POINT('',(-1.471721245,3.14154499999624,0.191707663917532)); #137495=CARTESIAN_POINT('Ctrl Pts',(-1.471721245,3.14154499999624,0.191707663917532)); #137496=CARTESIAN_POINT('Ctrl Pts',(-1.54731832275858,3.14144954989493, 0.186239331275793)); #137497=CARTESIAN_POINT('Ctrl Pts',(-1.67278519943044,3.09726527868158, 0.175464190088177)); #137498=CARTESIAN_POINT('Ctrl Pts',(-1.78588644382711,2.95521918295643, 0.173702701969537)); #137499=CARTESIAN_POINT('Ctrl Pts',(-1.80899805412641,2.85445590359776, 0.179222985646253)); #137500=CARTESIAN_POINT('Ctrl Pts',(-1.80905954016505,2.80405027,0.182745518440747)); #137501=CARTESIAN_POINT('',(-1.471721245,3.1375005276782,-0.04)); #137502=CARTESIAN_POINT('Origin',(-1.80517150267819,2.53704419430774,-0.04)); #137503=CARTESIAN_POINT('',(-1.80499999835779,2.50407165677049,-0.0498254759356272)); #137504=CARTESIAN_POINT('',(-1.80580842151373,2.50348430296707,-0.00351094435017175)); #137505=CARTESIAN_POINT('',(-1.80499999835779,2.52304641595347,-0.0498254759356272)); #137506=CARTESIAN_POINT('',(-1.80499999835779,0.818522097153875,-0.0498254759356272)); #137507=CARTESIAN_POINT('',(-1.80499999835779,2.52990448076966,-0.0498254759356271)); #137508=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52990448076966, -0.0498254759356271)); #137509=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52892475722449, -0.049825475935627)); #137510=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52761845916428, -0.0498254759356298)); #137511=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52598558658899, -0.0498254759356304)); #137512=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52500586304383, -0.0498254759356302)); #137513=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52418942675618, -0.0498254759356324)); #137514=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52361792135484, -0.0498254759356301)); #137515=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52329134683978, -0.049825475935632)); #137516=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52312805958226, -0.0498254759356264)); #137517=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52304641595347, -0.0498254759356272)); #137518=CARTESIAN_POINT('',(-1.8053430069986,2.55104197266202,-0.0301745240643728)); #137519=CARTESIAN_POINT('Origin',(-1.80534909965635,2.53001791065755,-0.0298254759356272)); #137520=CARTESIAN_POINT('',(-1.80925371735356,2.55642460369068,0.19386992212007)); #137521=CARTESIAN_POINT('',(-1.80574681301823,2.55159776396681,-0.00704049269370757)); #137522=CARTESIAN_POINT('',(-1.80911033026758,2.7556512619985,0.185655281466194)); #137523=CARTESIAN_POINT('Ctrl Pts',(-1.80911033026758,2.75565126199862, 0.185655281466187)); #137524=CARTESIAN_POINT('Ctrl Pts',(-1.80917143752014,2.68927684970716, 0.189156113620677)); #137525=CARTESIAN_POINT('Ctrl Pts',(-1.80921835073248,2.62286040445131, 0.191843769755834)); #137526=CARTESIAN_POINT('Ctrl Pts',(-1.80925371735356,2.55642460369068, 0.19386992212007)); #137527=CARTESIAN_POINT('Ctrl Pts',(-1.80905954016505,2.80405027,0.182745518440747)); #137528=CARTESIAN_POINT('Ctrl Pts',(-1.80907864384399,2.78792508546103, 0.183839967474392)); #137529=CARTESIAN_POINT('Ctrl Pts',(-1.80909547119665,2.77179111543985, 0.184804005862456)); #137530=CARTESIAN_POINT('Ctrl Pts',(-1.80911033026758,2.7556512619985,0.185655281466194)); #137531=CARTESIAN_POINT('Origin',(-1.79580994456217,2.50348430296707,-0.00333642028579891)); #137532=CARTESIAN_POINT('',(-1.79809122070212,2.49456254011268,-0.0498254759356272)); #137533=CARTESIAN_POINT('',(-1.79889964385807,2.49397518630926,-0.00351094435017174)); #137534=CARTESIAN_POINT('Origin',(-1.79500152140622,2.50407165677049,-0.0496509518712544)); #137535=CARTESIAN_POINT('Origin',(-2.05440488823945,2.57637883489749,0.03)); #137536=CARTESIAN_POINT('',(-1.75904106788967,2.48187437632301,-0.0498254759356272)); #137537=CARTESIAN_POINT('',(-1.75838955500136,2.48097764576257,-0.012500327561866)); #137538=CARTESIAN_POINT('',(-1.73904411398655,2.47501631150682,-0.0301745240643728)); #137539=CARTESIAN_POINT('Origin',(-1.73904411398655,2.47538337833469,-0.0501745240643729)); #137540=CARTESIAN_POINT('',(-1.70169502384289,2.46288085648277,-0.0301745240643728)); #137541=CARTESIAN_POINT('',(-0.614125920498375,2.10950823379177,-0.0301745240643728)); #137542=CARTESIAN_POINT('',(-1.70139753763223,2.46278424189868,-0.030176951149374)); #137543=CARTESIAN_POINT('Ctrl Pts',(-1.70139753763223,2.46278424189869, -0.0301769511494667)); #137544=CARTESIAN_POINT('Ctrl Pts',(-1.70149669610299,2.46281643074241, -0.0301753331078195)); #137545=CARTESIAN_POINT('Ctrl Pts',(-1.70159586215496,2.46284863689725, -0.0301745240643728)); #137546=CARTESIAN_POINT('Ctrl Pts',(-1.70169502384289,2.46288085648277, -0.0301745240643728)); #137547=CARTESIAN_POINT('',(-1.7016505485292,2.46281321860727,-0.027276579273718)); #137548=CARTESIAN_POINT('Ctrl Pts',(-1.7016505485292,2.46281321860727,-0.0272765792737181)); #137549=CARTESIAN_POINT('Ctrl Pts',(-1.70156621166408,2.46280355973724, -0.0282433699080354)); #137550=CARTESIAN_POINT('Ctrl Pts',(-1.70148187469846,2.46279390083439, -0.029210160533257)); #137551=CARTESIAN_POINT('Ctrl Pts',(-1.70139753763222,2.46278424189868, -0.0301769511493734)); #137552=CARTESIAN_POINT('',(-1.71505151378861,2.46633290967245,0.0181945138768818)); #137553=CARTESIAN_POINT('Ctrl Pts',(-1.71505151378862,2.46633290967245, 0.0181945138768855)); #137554=CARTESIAN_POINT('Ctrl Pts',(-1.70763116355539,2.46417822838983, 0.00422775678328526)); #137555=CARTESIAN_POINT('Ctrl Pts',(-1.70303760887005,2.4629720748267,-0.0113760956006521)); #137556=CARTESIAN_POINT('Ctrl Pts',(-1.7016505485292,2.46281321860727,-0.027276579273718)); #137557=CARTESIAN_POINT('',(-1.72902879865998,2.47038697424595,0.0447526522893984)); #137558=CARTESIAN_POINT('Ctrl Pts',(-1.72902879865998,2.47038697424595, 0.0447526522893981)); #137559=CARTESIAN_POINT('Ctrl Pts',(-1.72440129693865,2.46904621855381, 0.0358817465517466)); #137560=CARTESIAN_POINT('Ctrl Pts',(-1.71974442974972,2.46769561330024, 0.0270276302702065)); #137561=CARTESIAN_POINT('Ctrl Pts',(-1.71505151378861,2.46633290967245, 0.0181945138768818)); #137562=CARTESIAN_POINT('',(-1.82481750099436,2.49875392614857,0.194953321654338)); #137563=CARTESIAN_POINT('Ctrl Pts',(-1.82481750099436,2.49875392614857, 0.194953321654338)); #137564=CARTESIAN_POINT('Ctrl Pts',(-1.80729463388605,2.49349901376071, 0.171055097309423)); #137565=CARTESIAN_POINT('Ctrl Pts',(-1.77318785852999,2.48330850654082, 0.122483240601945)); #137566=CARTESIAN_POINT('Ctrl Pts',(-1.74282514148107,2.47438427695527, 0.0712001965714725)); #137567=CARTESIAN_POINT('Ctrl Pts',(-1.72902879865998,2.47038697424595, 0.0447526522893984)); #137568=CARTESIAN_POINT('',(-2.02535748756118,2.56472794036172,0.150567796543041)); #137569=CARTESIAN_POINT('Ctrl Pts',(-2.02535748756118,2.56472794036172, 0.150567796543041)); #137570=CARTESIAN_POINT('Ctrl Pts',(-1.96043267336656,2.54321605279543, 0.173263209397845)); #137571=CARTESIAN_POINT('Ctrl Pts',(-1.8930139571908,2.52103651136593,0.188185432190324)); #137572=CARTESIAN_POINT('Ctrl Pts',(-1.82481750099436,2.49875392614857, 0.194953321654336)); #137573=CARTESIAN_POINT('',(-2.02747040310731,2.56873169375352,-0.0301745240643743)); #137574=CARTESIAN_POINT('Ctrl Pts',(-2.02747040310731,2.56873169375352, -0.0301745240643743)); #137575=CARTESIAN_POINT('Ctrl Pts',(-2.02676629934518,2.56739717466509, 0.0300729199406702)); #137576=CARTESIAN_POINT('Ctrl Pts',(-2.02606199424199,2.56606259022674, 0.0903203601451267)); #137577=CARTESIAN_POINT('Ctrl Pts',(-2.02535748756118,2.56472794036172, 0.150567796543041)); #137578=CARTESIAN_POINT('',(-1.81808817460525,2.50069928367206,-0.0301745240643728)); #137579=CARTESIAN_POINT('',(-0.614125920498375,2.10950823379177,-0.0301745240643728)); #137580=CARTESIAN_POINT('Origin',(-1.81808817460525,2.50106635049993,-0.0501745240643729)); #137581=CARTESIAN_POINT('Origin',(-1.75529985570546,2.49048676242038,-0.0123258034974931)); #137582=CARTESIAN_POINT('',(-1.74595289164221,2.49138349298082,-0.0498254759356272)); #137583=CARTESIAN_POINT('',(-1.74530137875389,2.49048676242038,-0.012500327561866)); #137584=CARTESIAN_POINT('Origin',(-1.75595136859378,2.49138349298082,-0.0496509518712544)); #137585=CARTESIAN_POINT('Origin',(-1.7457813873218,2.80405027,-0.04)); #137586=CARTESIAN_POINT('',(-1.74169023867806,2.80405027,0.194381748825851)); #137587=CARTESIAN_POINT('',(-1.74578191281276,2.80405027,-0.0400301053567116)); #137588=CARTESIAN_POINT('',(-1.74168468248427,2.79593281301252,0.194700062955081)); #137589=CARTESIAN_POINT('Ctrl Pts',(-1.74168468248422,2.79593281301789, 0.194700062957775)); #137590=CARTESIAN_POINT('Ctrl Pts',(-1.74168648188742,2.79863874923762, 0.194596975217468)); #137591=CARTESIAN_POINT('Ctrl Pts',(-1.74168833330874,2.80134457195162, 0.194490907361142)); #137592=CARTESIAN_POINT('Ctrl Pts',(-1.74169023867806,2.80405027,0.194381748825851)); #137593=CARTESIAN_POINT('',(-1.74387957432897,2.52639324280599,0.0689547933883082)); #137594=CARTESIAN_POINT('Ctrl Pts',(-1.74387957432897,2.52639324280487, 0.0689547933883224)); #137595=CARTESIAN_POINT('Ctrl Pts',(-1.74330673487568,2.62008219192713, 0.101772743687842)); #137596=CARTESIAN_POINT('Ctrl Pts',(-1.74252906401589,2.70924860633454, 0.146325477406756)); #137597=CARTESIAN_POINT('Ctrl Pts',(-1.74168468248427,2.79593281301252, 0.194700062955081)); #137598=CARTESIAN_POINT('',(-1.74562515966382,2.52512500082508,-0.0310497234681421)); #137599=CARTESIAN_POINT('',(-1.74513182259799,2.52548343118405,-0.00278646189570631)); #137600=CARTESIAN_POINT('',(-1.74595289164221,2.5103582521638,-0.0498254759356272)); #137601=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.5103582521638,-0.0498254759356272)); #137602=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.51037743382018, -0.0498254759356288)); #137603=CARTESIAN_POINT('Ctrl Pts',(-1.74595287697262,2.51041579518291, -0.0498246355154987)); #137604=CARTESIAN_POINT('Ctrl Pts',(-1.74595281563681,2.51049244485791, -0.0498211215890611)); #137605=CARTESIAN_POINT('Ctrl Pts',(-1.74595264784533,2.51062641646179, -0.0498115088217699)); #137606=CARTESIAN_POINT('Ctrl Pts',(-1.7459521406527,2.51089346427212,-0.0497824517750361)); #137607=CARTESIAN_POINT('Ctrl Pts',(-1.7459506321606,2.51142411258455,-0.0496960303207411)); #137608=CARTESIAN_POINT('Ctrl Pts',(-1.74594746943128,2.51217046520839, -0.0495148376795804)); #137609=CARTESIAN_POINT('Ctrl Pts',(-1.74594246576625,2.51304880231651, -0.0492281779020435)); #137610=CARTESIAN_POINT('Ctrl Pts',(-1.7459344231608,2.51419209313603,-0.0487674173442286)); #137611=CARTESIAN_POINT('Ctrl Pts',(-1.74592462247284,2.51528663061969, -0.0482059363068416)); #137612=CARTESIAN_POINT('Ctrl Pts',(-1.74591325724011,2.51633641912493, -0.0475548225601374)); #137613=CARTESIAN_POINT('Ctrl Pts',(-1.74589472539391,2.51786137607356, -0.046493133802491)); #137614=CARTESIAN_POINT('Ctrl Pts',(-1.74586934822482,2.51946820437689, -0.0450392767589836)); #137615=CARTESIAN_POINT('Ctrl Pts',(-1.74583626019028,2.52104430010442, -0.0431436645294917)); #137616=CARTESIAN_POINT('Ctrl Pts',(-1.74580945373196,2.52209596633035, -0.0416079235608923)); #137617=CARTESIAN_POINT('Ctrl Pts',(-1.74578109652557,2.5229991295698,-0.0399833402950439)); #137618=CARTESIAN_POINT('Ctrl Pts',(-1.74575163003246,2.5237461923871,-0.0382952060355718)); #137619=CARTESIAN_POINT('Ctrl Pts',(-1.74572614622639,2.52424051887489, -0.0368352397632172)); #137620=CARTESIAN_POINT('Ctrl Pts',(-1.74568435874664,2.52487493183035, -0.0344412366516773)); #137621=CARTESIAN_POINT('Ctrl Pts',(-1.74565193879635,2.52510554464643, -0.0325838989434229)); #137622=CARTESIAN_POINT('Ctrl Pts',(-1.74562515966382,2.52512500082508, -0.0310497234681421)); #137623=CARTESIAN_POINT('',(-1.74595289164221,0.952025135000002,-0.0498254759356272)); #137624=CARTESIAN_POINT('Origin',(-1.63258294114205,2.89594509861812,-0.23766513)); #137625=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.00999999999999999)); #137626=CARTESIAN_POINT('Origin',(1.471023045,0.292330547093518,-0.00999999999999999)); #137627=CARTESIAN_POINT('Origin',(1.81499847790648,0.636305979999998,-0.00999999999999999)); #137628=CARTESIAN_POINT('Origin',(1.81499847790648,0.61069735336367,-0.01)); #137629=CARTESIAN_POINT('Origin',(1.81499847790648,0.70653445900335,-0.01)); #137630=CARTESIAN_POINT('Ctrl Pts',(1.79500152400335,0.716532935954914, -0.00982547593562714)); #137631=CARTESIAN_POINT('Ctrl Pts',(1.79762020596187,0.716533733084472, -0.00977980841385948)); #137632=CARTESIAN_POINT('Ctrl Pts',(1.80283554917979,0.714368484179792, -0.00972663362461304)); #137633=CARTESIAN_POINT('Ctrl Pts',(1.80500079808447,0.709153140961864, -0.00977980841385949)); #137634=CARTESIAN_POINT('Ctrl Pts',(1.80500000095492,0.70653445900335,-0.00982547593562715)); #137635=CARTESIAN_POINT('Ctrl Pts',(1.79500152400335,0.716578118578199, -0.00723696518128206)); #137636=CARTESIAN_POINT('Ctrl Pts',(1.79762682865096,0.716590864629468, -0.00719909297799032)); #137637=CARTESIAN_POINT('Ctrl Pts',(1.8028893125334,0.714422247533403,-0.00715516150413802)); #137638=CARTESIAN_POINT('Ctrl Pts',(1.80505792962947,0.709159763650962, -0.00719909297799034)); #137639=CARTESIAN_POINT('Ctrl Pts',(1.8050451835782,0.70653445900335,-0.00723696518128209)); #137640=CARTESIAN_POINT('Ctrl Pts',(1.79500152400335,0.718790101531123, -0.00212238431313977)); #137641=CARTESIAN_POINT('Ctrl Pts',(1.79821206136652,0.718812497521086, -0.00210920712453698)); #137642=CARTESIAN_POINT('Ctrl Pts',(1.80464138103349,0.716174316033491, -0.00209402713465707)); #137643=CARTESIAN_POINT('Ctrl Pts',(1.80727956252109,0.709744996366515, -0.00210920712453704)); #137644=CARTESIAN_POINT('Ctrl Pts',(1.80725716653112,0.70653445900335,-0.00212238431313984)); #137645=CARTESIAN_POINT('Ctrl Pts',(1.79500152400335,0.723942507849353, 1.88908697106374E-17)); #137646=CARTESIAN_POINT('Ctrl Pts',(1.79955859836947,0.723950184582682, -2.40086850271082E-7)); #137647=CARTESIAN_POINT('Ctrl Pts',(1.8086509779445,0.720183912944499,-1.852063523586E-7)); #137648=CARTESIAN_POINT('Ctrl Pts',(1.81241724958268,0.71109153336947,-2.40086850343645E-7)); #137649=CARTESIAN_POINT('Ctrl Pts',(1.81240957284935,0.70653445900335,-6.6720311146906E-17)); #137650=CARTESIAN_POINT('Ctrl Pts',(1.79500152400335,0.726531412906478, 1.91229359556498E-17)); #137651=CARTESIAN_POINT('Ctrl Pts',(1.80023729366126,0.726531412906478, -9.37158084709158E-19)); #137652=CARTESIAN_POINT('Ctrl Pts',(1.81066612375832,0.722199058758322, -8.42818117869585E-17)); #137653=CARTESIAN_POINT('Ctrl Pts',(1.81499847790648,0.711770228661263, -7.41832874220578E-17)); #137654=CARTESIAN_POINT('Ctrl Pts',(1.81499847790648,0.70653445900335,-6.69302758447744E-17)); #137655=CARTESIAN_POINT('Origin',(1.79500152400335,0.726531412906478,-0.01)); #137656=CARTESIAN_POINT('Origin',(0.8742667225,0.726531412906479,-0.01)); #137657=CARTESIAN_POINT('Origin',(1.76658474525695,0.726531412906478,-0.01)); #137658=CARTESIAN_POINT('Ctrl Pts',(1.76658474525695,0.726531412906478, -1.36592399683213E-18)); #137659=CARTESIAN_POINT('Ctrl Pts',(1.76212960345429,0.726784396662814, -6.66163700055934E-18)); #137660=CARTESIAN_POINT('Ctrl Pts',(1.75767773163682,0.727035374086571, -0.000302523912315842)); #137661=CARTESIAN_POINT('Ctrl Pts',(1.75326703129412,0.727282253255379, -0.000902409768681873)); #137662=CARTESIAN_POINT('Ctrl Pts',(1.76658474525695,0.723942507849353, -1.36592399683213E-18)); #137663=CARTESIAN_POINT('Ctrl Pts',(1.76213070661574,0.72415344202873,6.69386203284766E-5)); #137664=CARTESIAN_POINT('Ctrl Pts',(1.75767442811826,0.72436385798076,-0.000168907554119838)); #137665=CARTESIAN_POINT('Ctrl Pts',(1.75324606133465,0.724573502785261, -0.000695661172525588)); #137666=CARTESIAN_POINT('Ctrl Pts',(1.76658474525695,0.718786140310861, -0.00211835334611567)); #137667=CARTESIAN_POINT('Ctrl Pts',(1.76222875013666,0.71886129851319,-0.00199562606657044)); #137668=CARTESIAN_POINT('Ctrl Pts',(1.75785806380981,0.718933239556771, -0.00215599609258579)); #137669=CARTESIAN_POINT('Ctrl Pts',(1.75350957137379,0.719004304555014, -0.00260232667291822)); #137670=CARTESIAN_POINT('Ctrl Pts',(1.76658474525695,0.716578118578199, -0.00723696518128208)); #137671=CARTESIAN_POINT('Ctrl Pts',(1.76245424339165,0.716577533066726, -0.00719439035337215)); #137672=CARTESIAN_POINT('Ctrl Pts',(1.75831996660965,0.71657641990679,-0.00742415367946749)); #137673=CARTESIAN_POINT('Ctrl Pts',(1.75421446564171,0.716566193097329, -0.00792017552276786)); #137674=CARTESIAN_POINT('Ctrl Pts',(1.76658474525695,0.716532935954915, -0.00982547593562716)); #137675=CARTESIAN_POINT('Ctrl Pts',(1.76256794170154,0.716532935954915, -0.00982547593562716)); #137676=CARTESIAN_POINT('Ctrl Pts',(1.75855122456072,0.716528326013352, -0.0100895793108445)); #137677=CARTESIAN_POINT('Ctrl Pts',(1.75456871716186,0.716519184789703, -0.0106132796629873)); #137678=CARTESIAN_POINT('',(1.75326703129412,0.727282253255379,-0.000902409768681874)); #137679=CARTESIAN_POINT('Origin',(1.75456871716186,0.726517661741267,-0.0107878037273602)); #137680=CARTESIAN_POINT('Ctrl Pts',(1.75326703129412,0.727282253255379, -0.000902409768681873)); #137681=CARTESIAN_POINT('Ctrl Pts',(1.75767773163682,0.727035374086571, -0.000302523912315842)); #137682=CARTESIAN_POINT('Ctrl Pts',(1.76212960345429,0.726784396662814, -6.66163700055934E-18)); #137683=CARTESIAN_POINT('Ctrl Pts',(1.76658474525695,0.726531412906478, -1.36592399683213E-18)); #137684=CARTESIAN_POINT('Ctrl Pts',(1.74459366749194,0.706497280556493, -0.0119554277295941)); #137685=CARTESIAN_POINT('Ctrl Pts',(1.7445969808472,0.707240248436255,-0.012145249725331)); #137686=CARTESIAN_POINT('Ctrl Pts',(1.74485343177245,0.709473490499457, -0.012662268503118)); #137687=CARTESIAN_POINT('Ctrl Pts',(1.74653467166772,0.712947755053066, -0.0128972356402422)); #137688=CARTESIAN_POINT('Ctrl Pts',(1.75012862879197,0.715821565805438, -0.0119219663679583)); #137689=CARTESIAN_POINT('Ctrl Pts',(1.75308173495704,0.716512799627568, -0.0109790853566712)); #137690=CARTESIAN_POINT('Ctrl Pts',(1.75456871716186,0.716519184789703, -0.0106132796629873)); #137691=CARTESIAN_POINT('Ctrl Pts',(1.74454284145607,0.70689406127341,-0.00904360608472477)); #137692=CARTESIAN_POINT('Ctrl Pts',(1.74457289556557,0.707615066225321, -0.00919777126524811)); #137693=CARTESIAN_POINT('Ctrl Pts',(1.74491138280374,0.709733213002634, -0.00960817205217841)); #137694=CARTESIAN_POINT('Ctrl Pts',(1.74645209141354,0.712818998507909, -0.00978722765441875)); #137695=CARTESIAN_POINT('Ctrl Pts',(1.74967009515555,0.715637825019633, -0.0090239180927483)); #137696=CARTESIAN_POINT('Ctrl Pts',(1.75265913858529,0.716512555572836, -0.00823304635640047)); #137697=CARTESIAN_POINT('Ctrl Pts',(1.75421446564171,0.716566193097329, -0.00792017552276782)); #137698=CARTESIAN_POINT('Ctrl Pts',(1.74167096594889,0.707681945682558, -0.00330999142719046)); #137699=CARTESIAN_POINT('Ctrl Pts',(1.74168547296123,0.708574268798583, -0.00340255038726715)); #137700=CARTESIAN_POINT('Ctrl Pts',(1.74203664204218,0.711141981417996, -0.00363127953560104)); #137701=CARTESIAN_POINT('Ctrl Pts',(1.74374922540421,0.714650014657154, -0.00372269519060876)); #137702=CARTESIAN_POINT('Ctrl Pts',(1.74759301442817,0.717930382646968, -0.00334214792867464)); #137703=CARTESIAN_POINT('Ctrl Pts',(1.75145721155145,0.71897409883812,-0.00282388061197003)); #137704=CARTESIAN_POINT('Ctrl Pts',(1.75350957006419,0.719004295265046, -0.0026023165519004)); #137705=CARTESIAN_POINT('Ctrl Pts',(1.73538519359866,0.707898256758686, -0.00183180223380022)); #137706=CARTESIAN_POINT('Ctrl Pts',(1.73531294343154,0.709234228460544, -0.00199740075812138)); #137707=CARTESIAN_POINT('Ctrl Pts',(1.73556592923193,0.71318638940033,-0.00243609379202016)); #137708=CARTESIAN_POINT('Ctrl Pts',(1.73827469860044,0.719011253333468, -0.00264011701185064)); #137709=CARTESIAN_POINT('Ctrl Pts',(1.74466957339009,0.723698987297946, -0.0018668373387613)); #137710=CARTESIAN_POINT('Ctrl Pts',(1.75033336899853,0.724702986176563, -0.00103386681540569)); #137711=CARTESIAN_POINT('Ctrl Pts',(1.75324606133465,0.724573502785261, -0.000695661172525546)); #137712=CARTESIAN_POINT('Ctrl Pts',(1.73251060164607,0.70782226709885,-0.00243980732629685)); #137713=CARTESIAN_POINT('Ctrl Pts',(1.73241430660003,0.709370024161154, -0.00267215606675727)); #137714=CARTESIAN_POINT('Ctrl Pts',(1.73266965552799,0.713986112800403, -0.00330424958426434)); #137715=CARTESIAN_POINT('Ctrl Pts',(1.7359117467351,0.720974333749763,-0.00360939108659567)); #137716=CARTESIAN_POINT('Ctrl Pts',(1.7434986749593,0.726436057783007,-0.00247717373661614)); #137717=CARTESIAN_POINT('Ctrl Pts',(1.7499667428268,0.727466979582342,-0.0013512719038635)); #137718=CARTESIAN_POINT('Ctrl Pts',(1.75326703129412,0.727282253255379, -0.000902409768681808)); #137719=CARTESIAN_POINT('',(1.73251060164607,0.70782226709885,-0.00243980732629681)); #137720=CARTESIAN_POINT('Origin',(1.73459519054038,0.706497280556493,-0.0121299517939669)); #137721=CARTESIAN_POINT('Ctrl Pts',(1.73251060164607,0.70782226709885,-0.00243980732629685)); #137722=CARTESIAN_POINT('Ctrl Pts',(1.73241430660003,0.709370024161154, -0.00267215606675727)); #137723=CARTESIAN_POINT('Ctrl Pts',(1.73266965552799,0.713986112800403, -0.00330424958426434)); #137724=CARTESIAN_POINT('Ctrl Pts',(1.7359117467351,0.720974333749763,-0.00360939108659567)); #137725=CARTESIAN_POINT('Ctrl Pts',(1.7434986749593,0.726436057783007,-0.00247717373661614)); #137726=CARTESIAN_POINT('Ctrl Pts',(1.7499667428268,0.727466979582342,-0.0013512719038635)); #137727=CARTESIAN_POINT('Ctrl Pts',(1.75326703129412,0.727282253255379, -0.000902409768681808)); #137728=CARTESIAN_POINT('Ctrl Pts',(1.73251060164607,0.70782226709885,-0.0024398073262968)); #137729=CARTESIAN_POINT('Ctrl Pts',(1.73317296742968,0.697176015172419, -0.000841595768438691)); #137730=CARTESIAN_POINT('Ctrl Pts',(1.73385780325648,0.686398497586622, 3.66465875161152E-16)); #137731=CARTESIAN_POINT('Ctrl Pts',(1.73455801209352,0.675583669111258, 6.82961998416066E-18)); #137732=CARTESIAN_POINT('Ctrl Pts',(1.73538519359866,0.707898256758686, -0.00183180223380017)); #137733=CARTESIAN_POINT('Ctrl Pts',(1.7359776599399,0.697195090721413,-0.000460393300061427)); #137734=CARTESIAN_POINT('Ctrl Pts',(1.73656309319857,0.686397294703486, 0.000185272818193561)); #137735=CARTESIAN_POINT('Ctrl Pts',(1.73714691715064,0.675583669111258, -8.36983171178396E-17)); #137736=CARTESIAN_POINT('Ctrl Pts',(1.74167098498257,0.707681948869282, -0.00330996770168319)); #137737=CARTESIAN_POINT('Ctrl Pts',(1.74188853610846,0.697016971983023, -0.00219607140271009)); #137738=CARTESIAN_POINT('Ctrl Pts',(1.74209528306369,0.686290388414006, -0.00177864684870535)); #137739=CARTESIAN_POINT('Ctrl Pts',(1.74230330605381,0.675583669111258, -0.00211833160526268)); #137740=CARTESIAN_POINT('Ctrl Pts',(1.74454284145607,0.70689406127341,-0.00904360608472481)); #137741=CARTESIAN_POINT('Ctrl Pts',(1.74451480689829,0.696508924140429, -0.00774919620984931)); #137742=CARTESIAN_POINT('Ctrl Pts',(1.74451292700137,0.686044511409931, -0.00711912650590638)); #137743=CARTESIAN_POINT('Ctrl Pts',(1.7445113064218,0.675583669111258,-0.00723696518128215)); #137744=CARTESIAN_POINT('Ctrl Pts',(1.74459366749194,0.706497280556493, -0.0119554277295942)); #137745=CARTESIAN_POINT('Ctrl Pts',(1.74456931351004,0.696255038792176, -0.0105601890408223)); #137746=CARTESIAN_POINT('Ctrl Pts',(1.74455648904508,0.685920535196964, -0.00982547593562686)); #137747=CARTESIAN_POINT('Ctrl Pts',(1.74455648904508,0.675583669111258, -0.00982547593562717)); #137748=CARTESIAN_POINT('',(1.73455801209352,0.675583669111259,6.14665798574459E-18)); #137749=CARTESIAN_POINT('Origin',(1.73455801209352,0.675583669111258,-0.01)); #137750=CARTESIAN_POINT('Ctrl Pts',(1.73455801209352,0.675583669111258, 6.82961998416066E-18)); #137751=CARTESIAN_POINT('Ctrl Pts',(1.73385780325648,0.686398497586622, 3.66465875161152E-16)); #137752=CARTESIAN_POINT('Ctrl Pts',(1.73317296742968,0.697176015172419, -0.000841595768438691)); #137753=CARTESIAN_POINT('Ctrl Pts',(1.73251060164607,0.70782226709885,-0.0024398073262968)); #137754=CARTESIAN_POINT('Origin',(1.73455801209352,0.572572353363679,-0.01)); #137755=CARTESIAN_POINT('',(1.73455801209352,0.636305979999998,0.)); #137756=CARTESIAN_POINT('Origin',(1.73455801209352,0.636305979999998,-0.01)); #137757=CARTESIAN_POINT('',(1.73455801209352,0.572572353363679,0.)); #137758=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.00999999999999995)); #137759=CARTESIAN_POINT('',(1.471023045,0.362772535954915,-0.00982547593562709)); #137760=CARTESIAN_POINT('',(1.471023045,0.372771012906479,0.)); #137761=CARTESIAN_POINT('Origin',(1.471023045,0.372771012906479,-0.00999999999999995)); #137762=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,0.)); #137763=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999998,-0.00982547593562709)); #137764=CARTESIAN_POINT('Origin',(0.621004584463218,0.37277101290648,-0.01)); #137765=CARTESIAN_POINT('',(1.33999251526399,0.362772535954915,-0.00982547593562717)); #137766=CARTESIAN_POINT('',(1.33999251526399,0.372771012906479,0.)); #137767=CARTESIAN_POINT('Origin',(1.33999251526399,0.372771012906479,-0.01)); #137768=CARTESIAN_POINT('',(0.621004584463218,0.37277101290648,0.)); #137769=CARTESIAN_POINT('',(0.621004584463218,0.362772535954916,-0.00982547593562717)); #137770=CARTESIAN_POINT('Ctrl Pts',(1.33999251526399,0.372771012906479, 0.)); #137771=CARTESIAN_POINT('Ctrl Pts',(1.30882441344862,0.374164861980701, -5.09381899234885E-17)); #137772=CARTESIAN_POINT('Ctrl Pts',(1.27793192114351,0.375349426275905, -0.00250390224893168)); #137773=CARTESIAN_POINT('Ctrl Pts',(1.24729132949212,0.376293422620263, -0.00558999468410735)); #137774=CARTESIAN_POINT('Ctrl Pts',(1.33999251526399,0.370182107849354, 2.26319842755009E-17)); #137775=CARTESIAN_POINT('Ctrl Pts',(1.30882564374359,0.371344278950391, 0.000368807607752432)); #137776=CARTESIAN_POINT('Ctrl Pts',(1.27788316211063,0.372398274000299, -0.00174189537845186)); #137777=CARTESIAN_POINT('Ctrl Pts',(1.24719438219767,0.373305043151267, -0.00443812880281413)); #137778=CARTESIAN_POINT('Ctrl Pts',(1.33999251526399,0.365025010345337, -0.00211761052780044)); #137779=CARTESIAN_POINT('Ctrl Pts',(1.30893498626101,0.365439104879521, -0.00144142756865177)); #137780=CARTESIAN_POINT('Ctrl Pts',(1.27799614096931,0.365825377159062, -0.00304756687084977)); #137781=CARTESIAN_POINT('Ctrl Pts',(1.24727730429332,0.366186238766481, -0.00525448091123368)); #137782=CARTESIAN_POINT('Ctrl Pts',(1.33999251526399,0.3628177185782,-0.00723696518128207)); #137783=CARTESIAN_POINT('Ctrl Pts',(1.30918646641933,0.362814492621575, -0.00700239326517622)); #137784=CARTESIAN_POINT('Ctrl Pts',(1.27844948605783,0.362797669661687, -0.00899756928863697)); #137785=CARTESIAN_POINT('Ctrl Pts',(1.24783444976988,0.36274305819618,-0.0115142556025429)); #137786=CARTESIAN_POINT('Ctrl Pts',(1.33999251526399,0.362772535954915, -0.00982547593562716)); #137787=CARTESIAN_POINT('Ctrl Pts',(1.30931326785723,0.362772535954915, -0.00982547593562721)); #137788=CARTESIAN_POINT('Ctrl Pts',(1.27867655761836,0.362734380812214, -0.0120113825970047)); #137789=CARTESIAN_POINT('Ctrl Pts',(1.24811587178071,0.362687354097292, -0.0147055412905223)); #137790=CARTESIAN_POINT('',(1.24811587178071,0.362687354097292,-0.0147055412905223)); #137791=CARTESIAN_POINT('',(1.24729132949212,0.376293422620263,-0.00558999468410735)); #137792=CARTESIAN_POINT('Origin',(1.24811587178071,0.372685831048855,-0.0148800653548951)); #137793=CARTESIAN_POINT('Ctrl Pts',(1.24729132949212,0.376293422620263, -0.00558999468410735)); #137794=CARTESIAN_POINT('Ctrl Pts',(1.27793192114351,0.375349426275905, -0.00250390224893168)); #137795=CARTESIAN_POINT('Ctrl Pts',(1.30882441344862,0.374164861980701, -5.09381899234885E-17)); #137796=CARTESIAN_POINT('Ctrl Pts',(1.33999251526399,0.372771012906479, 0.)); #137797=CARTESIAN_POINT('Ctrl Pts',(1.33999251526399,0.362772535954915, -0.00982547593562716)); #137798=CARTESIAN_POINT('Ctrl Pts',(1.30931326785723,0.362772535954915, -0.00982547593562721)); #137799=CARTESIAN_POINT('Ctrl Pts',(1.27867655761836,0.362734380812214, -0.0120113825970047)); #137800=CARTESIAN_POINT('Ctrl Pts',(1.24811587178071,0.362687354097292, -0.0147055412905223)); #137801=CARTESIAN_POINT('Ctrl Pts',(1.23804528622403,0.352760985749224, -0.0105744420701691)); #137802=CARTESIAN_POINT('Ctrl Pts',(1.23805066703494,0.353881704213675, -0.0108827085313355)); #137803=CARTESIAN_POINT('Ctrl Pts',(1.23851537204599,0.356473406738269, -0.0118410409876957)); #137804=CARTESIAN_POINT('Ctrl Pts',(1.24054556741109,0.359651168669669, -0.0135547978223506)); #137805=CARTESIAN_POINT('Ctrl Pts',(1.24333403171047,0.36162592749346,-0.0146393916946847)); #137806=CARTESIAN_POINT('Ctrl Pts',(1.24585045040096,0.362511093480161, -0.0149366192588306)); #137807=CARTESIAN_POINT('Ctrl Pts',(1.24736296379096,0.362685263980727, -0.0148252839883009)); #137808=CARTESIAN_POINT('Ctrl Pts',(1.24811587178071,0.362687354097292, -0.0147055412905223)); #137809=CARTESIAN_POINT('Ctrl Pts',(1.23799975446493,0.353141857876121, -0.00796592934142301)); #137810=CARTESIAN_POINT('Ctrl Pts',(1.23804368714571,0.354345096315543, -0.00825054049806276)); #137811=CARTESIAN_POINT('Ctrl Pts',(1.23867538268154,0.357109093047284, -0.00912242147892328)); #137812=CARTESIAN_POINT('Ctrl Pts',(1.24097831424725,0.360177507345156, -0.0105896670723122)); #137813=CARTESIAN_POINT('Ctrl Pts',(1.24365761805267,0.361830847145616, -0.0114577316599306)); #137814=CARTESIAN_POINT('Ctrl Pts',(1.24585833947797,0.362545622289073, -0.011689465555047)); #137815=CARTESIAN_POINT('Ctrl Pts',(1.24717027052421,0.362723319769778, -0.011607857497687)); #137816=CARTESIAN_POINT('Ctrl Pts',(1.24783444976988,0.36274305819618,-0.011514255602543)); #137817=CARTESIAN_POINT('Ctrl Pts',(1.23620307266677,0.35373644000654,-0.0039238824403625)); #137818=CARTESIAN_POINT('Ctrl Pts',(1.23625661660075,0.355268579240335, -0.00417875759654333)); #137819=CARTESIAN_POINT('Ctrl Pts',(1.23702402945902,0.358761294313756, -0.00492433603890233)); #137820=CARTESIAN_POINT('Ctrl Pts',(1.23981263034763,0.362568260375943, -0.00604673269996037)); #137821=CARTESIAN_POINT('Ctrl Pts',(1.24285463554702,0.36447136992573,-0.00660057405040946)); #137822=CARTESIAN_POINT('Ctrl Pts',(1.24524541824878,0.365251581483596, -0.00673224673722267)); #137823=CARTESIAN_POINT('Ctrl Pts',(1.24666399527608,0.365420913415964, -0.00667678842163995)); #137824=CARTESIAN_POINT('Ctrl Pts',(1.24739850133171,0.365434604981991, -0.00661613705854052)); #137825=CARTESIAN_POINT('Ctrl Pts',(1.23153216924427,0.35414615413972,-0.00119851287754764)); #137826=CARTESIAN_POINT('Ctrl Pts',(1.23152567907977,0.356280017122972, -0.00149809534741122)); #137827=CARTESIAN_POINT('Ctrl Pts',(1.23230761292179,0.361188346230274, -0.0023837392580124)); #137828=CARTESIAN_POINT('Ctrl Pts',(1.23570219236846,0.366939963836735, -0.00379579350187)); #137829=CARTESIAN_POINT('Ctrl Pts',(1.24003289773109,0.370224526466093, -0.00457331352474068)); #137830=CARTESIAN_POINT('Ctrl Pts',(1.24378936782482,0.371595645607905, -0.00475798085233678)); #137831=CARTESIAN_POINT('Ctrl Pts',(1.2460592132735,0.371828097814465,-0.00466544552632189)); #137832=CARTESIAN_POINT('Ctrl Pts',(1.24720841567611,0.371801526627389, -0.00457107096536842)); #137833=CARTESIAN_POINT('Ctrl Pts',(1.22889221542797,0.354207291870431, -0.00082574646393118)); #137834=CARTESIAN_POINT('Ctrl Pts',(1.22884965765419,0.356644384294368, -0.00117567159912504)); #137835=CARTESIAN_POINT('Ctrl Pts',(1.22962178678033,0.362259779452242, -0.00222921102890812)); #137836=CARTESIAN_POINT('Ctrl Pts',(1.23333389961496,0.369015647095602, -0.00402149040806806)); #137837=CARTESIAN_POINT('Ctrl Pts',(1.23844114105194,0.373059514347826, -0.00509757706276135)); #137838=CARTESIAN_POINT('Ctrl Pts',(1.24305158839757,0.374778374840035, -0.00536404679161283)); #137839=CARTESIAN_POINT('Ctrl Pts',(1.24584721924243,0.375054847229617, -0.00522952730851089)); #137840=CARTESIAN_POINT('Ctrl Pts',(1.24724978065164,0.375012688562122, -0.00509633787783884)); #137841=CARTESIAN_POINT('Ctrl Pts',(1.22776271863325,0.354205473072218, -0.000857922262518162)); #137842=CARTESIAN_POINT('Ctrl Pts',(1.22770578707144,0.356766268374337, -0.00123353581015838)); #137843=CARTESIAN_POINT('Ctrl Pts',(1.22847613269562,0.36266783840893,-0.00237445257948078)); #137844=CARTESIAN_POINT('Ctrl Pts',(1.23232969034224,0.369827560803844, -0.00436164518321276)); #137845=CARTESIAN_POINT('Ctrl Pts',(1.23777613255399,0.374179077519996, -0.00558851774346278)); #137846=CARTESIAN_POINT('Ctrl Pts',(1.24275727643686,0.376042984288755, -0.0058965959685189)); #137847=CARTESIAN_POINT('Ctrl Pts',(1.24577971122706,0.376339993591624, -0.00574224349658864)); #137848=CARTESIAN_POINT('Ctrl Pts',(1.24729132949212,0.376293422620263, -0.00558999468410737)); #137849=CARTESIAN_POINT('',(1.23804528622403,0.352760985750034,-0.0105744420701833)); #137850=CARTESIAN_POINT('Ctrl Pts',(1.24811587178071,0.362687354097292, -0.0147055412905223)); #137851=CARTESIAN_POINT('Ctrl Pts',(1.24736296379096,0.362685263980727, -0.0148252839883009)); #137852=CARTESIAN_POINT('Ctrl Pts',(1.24585045040096,0.362511093480161, -0.0149366192588306)); #137853=CARTESIAN_POINT('Ctrl Pts',(1.24333403171047,0.36162592749346,-0.0146393916946847)); #137854=CARTESIAN_POINT('Ctrl Pts',(1.24054556741109,0.359651168669669, -0.0135547978223506)); #137855=CARTESIAN_POINT('Ctrl Pts',(1.23851537204599,0.356473406738269, -0.0118410409876957)); #137856=CARTESIAN_POINT('Ctrl Pts',(1.23805066703494,0.353881704213675, -0.0108827085313355)); #137857=CARTESIAN_POINT('Ctrl Pts',(1.23804528622403,0.352760985749224, -0.0105744420701691)); #137858=CARTESIAN_POINT('',(1.22776271863325,0.354205473072218,-0.000857922262518122)); #137859=CARTESIAN_POINT('Origin',(1.22804680927246,0.352760985747604,-0.0107489661345137)); #137860=CARTESIAN_POINT('Ctrl Pts',(1.22776271863325,0.354205473072218, -0.000857922262518162)); #137861=CARTESIAN_POINT('Ctrl Pts',(1.22770578707144,0.356766268374337, -0.00123353581015838)); #137862=CARTESIAN_POINT('Ctrl Pts',(1.22847613269562,0.36266783840893,-0.00237445257948078)); #137863=CARTESIAN_POINT('Ctrl Pts',(1.23232969034224,0.369827560803844, -0.00436164518321276)); #137864=CARTESIAN_POINT('Ctrl Pts',(1.23777613255399,0.374179077519996, -0.00558851774346278)); #137865=CARTESIAN_POINT('Ctrl Pts',(1.24275727643686,0.376042984288755, -0.0058965959685189)); #137866=CARTESIAN_POINT('Ctrl Pts',(1.24577971122706,0.376339993591624, -0.00574224349658864)); #137867=CARTESIAN_POINT('Ctrl Pts',(1.24729132949212,0.376293422620263, -0.00558999468410737)); #137868=CARTESIAN_POINT('Ctrl Pts',(1.22776271863325,0.354205473072218, -0.0008579222625181)); #137869=CARTESIAN_POINT('Ctrl Pts',(1.22784923128451,0.350314113247653, -0.000287143540923705)); #137870=CARTESIAN_POINT('Ctrl Pts',(1.22793987491938,0.346381049963712, 8.70253361667412E-16)); #137871=CARTESIAN_POINT('Ctrl Pts',(1.22803373601996,0.342447480719284, 0.)); #137872=CARTESIAN_POINT('Ctrl Pts',(1.23039821115431,0.354209716934296, -0.00078284539908631)); #137873=CARTESIAN_POINT('Ctrl Pts',(1.23046944515478,0.350312140288159, -0.000237897781742001)); #137874=CARTESIAN_POINT('Ctrl Pts',(1.23054436837966,0.346379826030599, 2.4830883646926E-5)); #137875=CARTESIAN_POINT('Ctrl Pts',(1.23062264107708,0.342447480719284, -4.52639685509991E-17)); #137876=CARTESIAN_POINT('Ctrl Pts',(1.23570533500274,0.353899775475222, -0.0028135795283058)); #137877=CARTESIAN_POINT('Ctrl Pts',(1.23572493815728,0.350102829634896, -0.00230657704589007)); #137878=CARTESIAN_POINT('Ctrl Pts',(1.23574849029766,0.346271669707898, -0.00207549573399067)); #137879=CARTESIAN_POINT('Ctrl Pts',(1.23577636853553,0.342447480719284, -0.0021210399110867)); #137880=CARTESIAN_POINT('Ctrl Pts',(1.23799975446498,0.353141857874501, -0.00796592934135913)); #137881=CARTESIAN_POINT('Ctrl Pts',(1.23799047891465,0.349599599003121, -0.00746528159768259)); #137882=CARTESIAN_POINT('Ctrl Pts',(1.23798724832798,0.346022817436398, -0.00722118327831863)); #137883=CARTESIAN_POINT('Ctrl Pts',(1.23798703034823,0.342447480719285, -0.00723696518128211)); #137884=CARTESIAN_POINT('Ctrl Pts',(1.23804528622403,0.352760985747604, -0.0105744420701408)); #137885=CARTESIAN_POINT('Ctrl Pts',(1.2380365885428,0.349347264248565,-0.0100761522466311)); #137886=CARTESIAN_POINT('Ctrl Pts',(1.23803221297152,0.345897388380495, -0.0098254759356264)); #137887=CARTESIAN_POINT('Ctrl Pts',(1.23803221297152,0.342447480719286, -0.00982547593562716)); #137888=CARTESIAN_POINT('',(1.23803221297152,0.342447480719286,-0.00982547593562716)); #137889=CARTESIAN_POINT('Origin',(1.22803373601996,0.342447480719286,-0.01)); #137890=CARTESIAN_POINT('Ctrl Pts',(1.22803373601996,0.342447480719284, 0.)); #137891=CARTESIAN_POINT('Ctrl Pts',(1.22793987491938,0.346381049963712, 8.70253361667412E-16)); #137892=CARTESIAN_POINT('Ctrl Pts',(1.22784923128451,0.350314113247653, -0.000287143540923705)); #137893=CARTESIAN_POINT('Ctrl Pts',(1.22776271863325,0.354205473072218, -0.0008579222625181)); #137894=CARTESIAN_POINT('Ctrl Pts',(1.23804528622403,0.352760985747604, -0.0105744420701408)); #137895=CARTESIAN_POINT('Ctrl Pts',(1.2380365885428,0.349347264248565,-0.0100761522466311)); #137896=CARTESIAN_POINT('Ctrl Pts',(1.23803221297152,0.345897388380495, -0.0098254759356264)); #137897=CARTESIAN_POINT('Ctrl Pts',(1.23803221297152,0.342447480719286, -0.00982547593562716)); #137898=CARTESIAN_POINT('Origin',(1.22803373601995,0.407572353363675,-0.01)); #137899=CARTESIAN_POINT('',(1.23803221297152,0.312327500996647,-0.00982547593562716)); #137900=CARTESIAN_POINT('Origin',(1.22803373601995,0.312327500996647,-0.01)); #137901=CARTESIAN_POINT('',(1.23803221297152,0.407572353363675,-0.00982547593562716)); #137902=CARTESIAN_POINT('Ctrl Pts',(1.24803068992308,0.302329024045083, -0.00982547593562716)); #137903=CARTESIAN_POINT('Ctrl Pts',(1.24541200796457,0.302328226915525, -0.00977980841385949)); #137904=CARTESIAN_POINT('Ctrl Pts',(1.24019533322242,0.304492144295986, -0.00972658719751117)); #137905=CARTESIAN_POINT('Ctrl Pts',(1.23803141584196,0.309708819038133, -0.00977980841385949)); #137906=CARTESIAN_POINT('Ctrl Pts',(1.23803221297152,0.312327500996647, -0.00982547593562715)); #137907=CARTESIAN_POINT('Ctrl Pts',(1.24803068992308,0.302283841421798, -0.0072369651812821)); #137908=CARTESIAN_POINT('Ctrl Pts',(1.24540538527547,0.302271095370529, -0.00719909297799035)); #137909=CARTESIAN_POINT('Ctrl Pts',(1.24014050481777,0.304437315891332, -0.00715513259929413)); #137910=CARTESIAN_POINT('Ctrl Pts',(1.23797428429697,0.309702196349035, -0.0071990929779903)); #137911=CARTESIAN_POINT('Ctrl Pts',(1.23798703034823,0.312327500996647, -0.00723696518128205)); #137912=CARTESIAN_POINT('Ctrl Pts',(1.24803068992308,0.300073262878389, -0.00212095517563401)); #137913=CARTESIAN_POINT('Ctrl Pts',(1.24482015255992,0.300050866888427, -0.00210777798703123)); #137914=CARTESIAN_POINT('Ctrl Pts',(1.23839033761085,0.302687148684417, -0.00209262330433687)); #137915=CARTESIAN_POINT('Ctrl Pts',(1.23575405581486,0.309116963633482, -0.00210777798703107)); #137916=CARTESIAN_POINT('Ctrl Pts',(1.23577645180483,0.312327500996647, -0.00212095517563387)); #137917=CARTESIAN_POINT('Ctrl Pts',(1.24803068992308,0.294919452150644, -6.83514537094667E-17)); #137918=CARTESIAN_POINT('Ctrl Pts',(1.24347361555696,0.294911775417316, -2.40086850366789E-7)); #137919=CARTESIAN_POINT('Ctrl Pts',(1.2343786901599,0.298675501233461,-8.51460841074801E-8)); #137920=CARTESIAN_POINT('Ctrl Pts',(1.23061496434375,0.307770426630527, -2.40086850168134E-7)); #137921=CARTESIAN_POINT('Ctrl Pts',(1.23062264107708,0.312327500996647, 1.07902470362952E-16)); #137922=CARTESIAN_POINT('Ctrl Pts',(1.24803068992308,0.292330547093519, -6.82961998416066E-17)); #137923=CARTESIAN_POINT('Ctrl Pts',(1.24279492026517,0.292330547093519, -9.6999678522361E-17)); #137924=CARTESIAN_POINT('Ctrl Pts',(1.23236342874045,0.296660239814015, -9.92378500581424E-17)); #137925=CARTESIAN_POINT('Ctrl Pts',(1.22803373601995,0.307091731338734, 1.01934829248274E-16)); #137926=CARTESIAN_POINT('Ctrl Pts',(1.22803373601995,0.312327500996647, 1.07907995749738E-16)); #137927=CARTESIAN_POINT('',(1.24803068992308,0.302329024045083,-0.00982547593562717)); #137928=CARTESIAN_POINT('Origin',(1.24803068992308,0.292330547093519,-0.01)); #137929=CARTESIAN_POINT('Origin',(1.24803678258083,0.312333593654397,-0.01)); #137930=CARTESIAN_POINT('Origin',(0.735511522499999,0.292330547093519,-0.01)); #137931=CARTESIAN_POINT('',(0.735511522499999,0.302329024045083,-0.00982547593562716)); #137932=CARTESIAN_POINT('Origin',(1.07616878898938,1.125,-0.078740157)); #137933=CARTESIAN_POINT('',(1.21219673846546,0.419278620519233,-0.0718775001325978)); #137934=CARTESIAN_POINT('Origin',(1.22704289514402,0.342255845335651,-0.078740157)); #137935=CARTESIAN_POINT('',(1.76558459386051,0.921893829516165,-0.0718775001341099)); #137936=CARTESIAN_POINT('Origin',(1.07616878898938,1.125,-0.0718775001325978)); #137937=CARTESIAN_POINT('',(1.76548858604101,0.915408077580128,-0.0608149103230159)); #137938=CARTESIAN_POINT('Ctrl Pts',(1.76548858604,0.915408077589981,-0.0608149103394008)); #137939=CARTESIAN_POINT('Ctrl Pts',(1.76526464122475,0.917597297775453, -0.0644554422097907)); #137940=CARTESIAN_POINT('Ctrl Pts',(1.7653022746838,0.919769234646216,-0.0681342303358239)); #137941=CARTESIAN_POINT('Ctrl Pts',(1.76558237266929,0.921877208547423, -0.0718482094087016)); #137942=CARTESIAN_POINT('Ctrl Pts',(1.76558348144812,0.92188551939765,-0.0718628542262943)); #137943=CARTESIAN_POINT('Ctrl Pts',(1.76558459386063,0.921893829516132, -0.0718775001326871)); #137944=CARTESIAN_POINT('',(1.77339681736545,0.901529018237429,-0.034279511831349)); #137945=CARTESIAN_POINT('Ctrl Pts',(1.77339681736545,0.901529018237429, -0.0342795118313488)); #137946=CARTESIAN_POINT('Ctrl Pts',(1.76894259160585,0.90597347030755,-0.0424622310380475)); #137947=CARTESIAN_POINT('Ctrl Pts',(1.76604358760596,0.909982541750069, -0.0517925944060167)); #137948=CARTESIAN_POINT('Ctrl Pts',(1.76548858604101,0.915408077580128, -0.0608149103230159)); #137949=CARTESIAN_POINT('',(1.79136692366463,0.888804880998419,-0.0134146092576772)); #137950=CARTESIAN_POINT('Ctrl Pts',(1.79136692366391,0.888804880998791, -0.0134146092582104)); #137951=CARTESIAN_POINT('Ctrl Pts',(1.78388318810588,0.892717775396868, -0.0190225434248915)); #137952=CARTESIAN_POINT('Ctrl Pts',(1.7778062635998,0.897129247434909,-0.0261790556934174)); #137953=CARTESIAN_POINT('Ctrl Pts',(1.77339681736493,0.901529018237954, -0.0342795118323162)); #137954=CARTESIAN_POINT('Ctrl Pts',(1.83073158702262,0.867927264391154, 2.4996409142028E-16)); #137955=CARTESIAN_POINT('Ctrl Pts',(1.81686123706198,0.875366811263015, 2.46571285308361E-16)); #137956=CARTESIAN_POINT('Ctrl Pts',(1.80297581101277,0.882735138166506, -0.00471549429195869)); #137957=CARTESIAN_POINT('Ctrl Pts',(1.79136692366463,0.888804880998418, -0.013414609257677)); #137958=CARTESIAN_POINT('Origin',(1.07616878898938,1.125,0.)); #137959=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #137960=CARTESIAN_POINT('Origin',(-0.621004584463226,0.29233054709352,-0.01)); #137961=CARTESIAN_POINT('',(-1.471023045,0.302329024045084,-0.00982547593562716)); #137962=CARTESIAN_POINT('Origin',(-1.471023045,0.292330547093521,-0.01)); #137963=CARTESIAN_POINT('',(-1.2480306899231,0.302329024045084,-0.00982547593562716)); #137964=CARTESIAN_POINT('',(-0.621004584463226,0.302329024045084,-0.00982547593562717)); #137965=CARTESIAN_POINT('Origin',(-1.2480306899231,0.29233054709352,-0.01)); #137966=CARTESIAN_POINT('Ctrl Pts',(-1.23803221297154,0.312327500996648, -0.00982547593562715)); #137967=CARTESIAN_POINT('Ctrl Pts',(-1.23803141584198,0.309708819038134, -0.00977980841385948)); #137968=CARTESIAN_POINT('Ctrl Pts',(-1.24019533322244,0.304492144295988, -0.00972658719751117)); #137969=CARTESIAN_POINT('Ctrl Pts',(-1.24541200796459,0.302328226915527, -0.00977980841385948)); #137970=CARTESIAN_POINT('Ctrl Pts',(-1.2480306899231,0.302329024045084, -0.00982547593562715)); #137971=CARTESIAN_POINT('Ctrl Pts',(-1.23798703034825,0.312327500996648, -0.00723696518128204)); #137972=CARTESIAN_POINT('Ctrl Pts',(-1.23797428429698,0.309702196349037, -0.00719909297799029)); #137973=CARTESIAN_POINT('Ctrl Pts',(-1.24014050481779,0.304437315891334, -0.00715513259929414)); #137974=CARTESIAN_POINT('Ctrl Pts',(-1.24540538527549,0.302271095370531, -0.00719909297799034)); #137975=CARTESIAN_POINT('Ctrl Pts',(-1.2480306899231,0.3022838414218,-0.00723696518128209)); #137976=CARTESIAN_POINT('Ctrl Pts',(-1.23577645180484,0.312327500996648, -0.00212095517563387)); #137977=CARTESIAN_POINT('Ctrl Pts',(-1.23575405581488,0.309116963633484, -0.00210777798703107)); #137978=CARTESIAN_POINT('Ctrl Pts',(-1.23839033761087,0.302687148684418, -0.00209262330433686)); #137979=CARTESIAN_POINT('Ctrl Pts',(-1.24482015255994,0.300050866888429, -0.0021077779870312)); #137980=CARTESIAN_POINT('Ctrl Pts',(-1.2480306899231,0.300073262878391, -0.002120955175634)); #137981=CARTESIAN_POINT('Ctrl Pts',(-1.2306226410771,0.312327500996648, 1.06796239545063E-16)); #137982=CARTESIAN_POINT('Ctrl Pts',(-1.23061496434377,0.307770426630529, -2.40086850170722E-7)); #137983=CARTESIAN_POINT('Ctrl Pts',(-1.23437869015991,0.298675501233463, -8.5146084101516E-8)); #137984=CARTESIAN_POINT('Ctrl Pts',(-1.24347361555698,0.294911775417317, -2.40086850343109E-7)); #137985=CARTESIAN_POINT('Ctrl Pts',(-1.2480306899231,0.294919452150645, -6.84011821905408E-17)); #137986=CARTESIAN_POINT('Ctrl Pts',(-1.22803373601997,0.312327500996648, 1.06542071752906E-16)); #137987=CARTESIAN_POINT('Ctrl Pts',(-1.22803373601997,0.307091731338736, 9.91651937787147E-17)); #137988=CARTESIAN_POINT('Ctrl Pts',(-1.23236342874047,0.296660239814016, -9.33817179348397E-17)); #137989=CARTESIAN_POINT('Ctrl Pts',(-1.24279492026519,0.292330547093521, -7.33437808692099E-17)); #137990=CARTESIAN_POINT('Ctrl Pts',(-1.2480306899231,0.292330547093521, -6.82961998416066E-17)); #137991=CARTESIAN_POINT('',(-1.23803221297154,0.312327500996648,-0.00982547593562717)); #137992=CARTESIAN_POINT('Origin',(-1.22803373601997,0.312327500996648,-0.01)); #137993=CARTESIAN_POINT('Origin',(-1.24803678258085,0.312333593654399,-0.01)); #137994=CARTESIAN_POINT('Origin',(-1.22803373601997,0.433817153363677,-0.01)); #137995=CARTESIAN_POINT('',(-1.23803221297154,0.342447480719305,-0.00982547593562717)); #137996=CARTESIAN_POINT('Origin',(-1.22803373601997,0.342447480719305,-0.01)); #137997=CARTESIAN_POINT('',(-1.23803221297154,0.433817153363677,-0.00982547593562717)); #137998=CARTESIAN_POINT('Ctrl Pts',(-1.22803373601997,0.342447480719305, 0.)); #137999=CARTESIAN_POINT('Ctrl Pts',(-1.2279398749194,0.346381049963765, 2.37545279598122E-19)); #138000=CARTESIAN_POINT('Ctrl Pts',(-1.22784923128452,0.350314113247737, -0.00028714354093007)); #138001=CARTESIAN_POINT('Ctrl Pts',(-1.22776271863326,0.354205473072332, -0.000857922262534481)); #138002=CARTESIAN_POINT('Ctrl Pts',(-1.2306226410771,0.342447480719305, -1.70845425378573E-32)); #138003=CARTESIAN_POINT('Ctrl Pts',(-1.23054436837967,0.346379826030652, 2.48308836463039E-5)); #138004=CARTESIAN_POINT('Ctrl Pts',(-1.2304694451548,0.350312140288243, -0.000237897781747829)); #138005=CARTESIAN_POINT('Ctrl Pts',(-1.23039821115432,0.354209716934411, -0.000782845399101944)); #138006=CARTESIAN_POINT('Ctrl Pts',(-1.23577636853554,0.342447480719305, -0.00212103991108662)); #138007=CARTESIAN_POINT('Ctrl Pts',(-1.23574849029768,0.346271669707949, -0.00207549573399106)); #138008=CARTESIAN_POINT('Ctrl Pts',(-1.23572493815729,0.350102829634978, -0.00230657704589523)); #138009=CARTESIAN_POINT('Ctrl Pts',(-1.23570533500276,0.353899775475334, -0.00281357952832031)); #138010=CARTESIAN_POINT('Ctrl Pts',(-1.23798703034825,0.342447480719305, -0.00723696518128208)); #138011=CARTESIAN_POINT('Ctrl Pts',(-1.23798724832799,0.346022817436446, -0.00722118327831926)); #138012=CARTESIAN_POINT('Ctrl Pts',(-1.23799047891467,0.349599599003197, -0.007465281597688)); #138013=CARTESIAN_POINT('Ctrl Pts',(-1.237999754465,0.353141857874606,-0.00796592934137348)); #138014=CARTESIAN_POINT('Ctrl Pts',(-1.23803221297154,0.342447480719305, -0.00982547593562716)); #138015=CARTESIAN_POINT('Ctrl Pts',(-1.23803221297154,0.345897388380542, -0.00982547593562716)); #138016=CARTESIAN_POINT('Ctrl Pts',(-1.23803658854282,0.34934726424864, -0.0100761522466367)); #138017=CARTESIAN_POINT('Ctrl Pts',(-1.23804528622404,0.352760985747705, -0.0105744420701551)); #138018=CARTESIAN_POINT('',(-1.23804528622404,0.352760985749276,-0.0105744420701825)); #138019=CARTESIAN_POINT('',(-1.22776271863326,0.354205473072332,-0.000857922262534481)); #138020=CARTESIAN_POINT('Origin',(-1.22804680927248,0.352760985747705,-0.010748966134528)); #138021=CARTESIAN_POINT('Ctrl Pts',(-1.22776271863326,0.354205473072332, -0.000857922262534481)); #138022=CARTESIAN_POINT('Ctrl Pts',(-1.22784923128452,0.350314113247737, -0.00028714354093007)); #138023=CARTESIAN_POINT('Ctrl Pts',(-1.2279398749194,0.346381049963765, 2.37545279598122E-19)); #138024=CARTESIAN_POINT('Ctrl Pts',(-1.22803373601997,0.342447480719305, 0.)); #138025=CARTESIAN_POINT('Ctrl Pts',(-1.23803221297154,0.342447480719305, -0.00982547593562716)); #138026=CARTESIAN_POINT('Ctrl Pts',(-1.23803221297154,0.345897388380542, -0.00982547593562716)); #138027=CARTESIAN_POINT('Ctrl Pts',(-1.23803658854282,0.34934726424864, -0.0100761522466367)); #138028=CARTESIAN_POINT('Ctrl Pts',(-1.23804528622404,0.352760985747705, -0.0105744420701551)); #138029=CARTESIAN_POINT('Ctrl Pts',(-1.24811587178072,0.362687354097294, -0.0147055412905219)); #138030=CARTESIAN_POINT('Ctrl Pts',(-1.24698649449992,0.362684218879984, -0.0148851577699001)); #138031=CARTESIAN_POINT('Ctrl Pts',(-1.24432791943188,0.362225821308621, -0.0149624831676735)); #138032=CARTESIAN_POINT('Ctrl Pts',(-1.24107258251762,0.3601731689212,-0.0138444040465688)); #138033=CARTESIAN_POINT('Ctrl Pts',(-1.23867299242174,0.356833208143846, -0.0120299452620715)); #138034=CARTESIAN_POINT('Ctrl Pts',(-1.23805246073577,0.354255297274039, -0.0109854695853302)); #138035=CARTESIAN_POINT('Ctrl Pts',(-1.23804528622404,0.352760985749276, -0.0105744420701825)); #138036=CARTESIAN_POINT('Ctrl Pts',(-1.2478344497699,0.362743058196182, -0.0115142556025426)); #138037=CARTESIAN_POINT('Ctrl Pts',(-1.24683816740783,0.362713450155571, -0.0116546603468887)); #138038=CARTESIAN_POINT('Ctrl Pts',(-1.2445798454332,0.362311385731897, -0.0117113126993479)); #138039=CARTESIAN_POINT('Ctrl Pts',(-1.24152458219039,0.360647759373016, -0.0108296776920707)); #138040=CARTESIAN_POINT('Ctrl Pts',(-1.23887463420818,0.357475784520995, -0.0092892085010199)); #138041=CARTESIAN_POINT('Ctrl Pts',(-1.23805833216603,0.354746197524446, -0.00834541602336049)); #138042=CARTESIAN_POINT('Ctrl Pts',(-1.23799975446494,0.353141857876176, -0.00796592934143537)); #138043=CARTESIAN_POINT('Ctrl Pts',(-1.24739850133172,0.365434604981994, -0.00661613705854019)); #138044=CARTESIAN_POINT('Ctrl Pts',(-1.24629672732595,0.365414067354794, -0.00670711533539143)); #138045=CARTESIAN_POINT('Ctrl Pts',(-1.24388623916945,0.365013862665332, -0.00675463851630466)); #138046=CARTESIAN_POINT('Ctrl Pts',(-1.24045394950447,0.36313273364353, -0.00621547122754026)); #138047=CARTESIAN_POINT('Ctrl Pts',(-1.23727024660028,0.359220145789547, -0.00505841869138542)); #138048=CARTESIAN_POINT('Ctrl Pts',(-1.23627446554571,0.355779319986929, -0.0042637205846894)); #138049=CARTESIAN_POINT('Ctrl Pts',(-1.23620307266679,0.353736440006609, -0.00392388244037349)); #138050=CARTESIAN_POINT('Ctrl Pts',(-1.24720841567613,0.371801526627392, -0.00457107096536805)); #138051=CARTESIAN_POINT('Ctrl Pts',(-1.24548458872485,0.371841383947829, -0.00471263472412583)); #138052=CARTESIAN_POINT('Ctrl Pts',(-1.24155146700285,0.371196891839098, -0.0047959493333666)); #138053=CARTESIAN_POINT('Ctrl Pts',(-1.23655093094676,0.367848018895665, -0.00401901937928318)); #138054=CARTESIAN_POINT('Ctrl Pts',(-1.23258338023691,0.361856837615635, -0.00254721975705124)); #138055=CARTESIAN_POINT('Ctrl Pts',(-1.23152351557443,0.356991343319153, -0.00159796158081445)); #138056=CARTESIAN_POINT('Ctrl Pts',(-1.23153216924429,0.354146154139816, -0.00119851287756062)); #138057=CARTESIAN_POINT('Ctrl Pts',(-1.24724978065165,0.375012688562124, -0.00509633787783842)); #138058=CARTESIAN_POINT('Ctrl Pts',(-1.2451459100432,0.375075927419868, -0.00529612472974272)); #138059=CARTESIAN_POINT('Ctrl Pts',(-1.24025876823933,0.374273363960533, -0.00541325609871121)); #138060=CARTESIAN_POINT('Ctrl Pts',(-1.23430140925355,0.370106093175021, -0.00431670080845872)); #138061=CARTESIAN_POINT('Ctrl Pts',(-1.2299086894995,0.363033059193417, -0.00243022774930067)); #138062=CARTESIAN_POINT('Ctrl Pts',(-1.22883547096108,0.35745679244675, -0.00129231963010285)); #138063=CARTESIAN_POINT('Ctrl Pts',(-1.22889221542799,0.35420729187054, -0.000825746463946366)); #138064=CARTESIAN_POINT('Ctrl Pts',(-1.24729132949213,0.376293422620266, -0.00558999468410692)); #138065=CARTESIAN_POINT('Ctrl Pts',(-1.24502387138429,0.37636328002345, -0.00581837099593829)); #138066=CARTESIAN_POINT('Ctrl Pts',(-1.23972425765265,0.375491326692032, -0.00595096359780751)); #138067=CARTESIAN_POINT('Ctrl Pts',(-1.23334931846608,0.370991437588448, -0.00469348379687978)); #138068=CARTESIAN_POINT('Ctrl Pts',(-1.22876821003782,0.363483008745121, -0.00259500956541731)); #138069=CARTESIAN_POINT('Ctrl Pts',(-1.22768680885609,0.357619913053377, -0.00135874710918843)); #138070=CARTESIAN_POINT('Ctrl Pts',(-1.22776271863326,0.354205473072332, -0.000857922262534479)); #138071=CARTESIAN_POINT('',(-1.24811587178072,0.362687354097294,-0.014705541290522)); #138072=CARTESIAN_POINT('Ctrl Pts',(-1.23804528622404,0.352760985749276, -0.0105744420701825)); #138073=CARTESIAN_POINT('Ctrl Pts',(-1.23805246073577,0.354255297274039, -0.0109854695853302)); #138074=CARTESIAN_POINT('Ctrl Pts',(-1.23867299242174,0.356833208143846, -0.0120299452620715)); #138075=CARTESIAN_POINT('Ctrl Pts',(-1.24107258251762,0.3601731689212,-0.0138444040465688)); #138076=CARTESIAN_POINT('Ctrl Pts',(-1.24432791943188,0.362225821308621, -0.0149624831676735)); #138077=CARTESIAN_POINT('Ctrl Pts',(-1.24698649449992,0.362684218879984, -0.0148851577699001)); #138078=CARTESIAN_POINT('Ctrl Pts',(-1.24811587178072,0.362687354097294, -0.0147055412905219)); #138079=CARTESIAN_POINT('',(-1.24729132949213,0.376293422620266,-0.00558999468410697)); #138080=CARTESIAN_POINT('Origin',(-1.24811587178072,0.372685831048858,-0.0148800653548948)); #138081=CARTESIAN_POINT('Ctrl Pts',(-1.24729132949213,0.376293422620266, -0.00558999468410692)); #138082=CARTESIAN_POINT('Ctrl Pts',(-1.24502387138429,0.37636328002345, -0.00581837099593829)); #138083=CARTESIAN_POINT('Ctrl Pts',(-1.23972425765265,0.375491326692032, -0.00595096359780751)); #138084=CARTESIAN_POINT('Ctrl Pts',(-1.23334931846608,0.370991437588448, -0.00469348379687978)); #138085=CARTESIAN_POINT('Ctrl Pts',(-1.22876821003782,0.363483008745121, -0.00259500956541731)); #138086=CARTESIAN_POINT('Ctrl Pts',(-1.22768680885609,0.357619913053377, -0.00135874710918843)); #138087=CARTESIAN_POINT('Ctrl Pts',(-1.22776271863326,0.354205473072332, -0.000857922262534479)); #138088=CARTESIAN_POINT('Ctrl Pts',(-1.24729132949213,0.376293422620266, -0.00558999468410697)); #138089=CARTESIAN_POINT('Ctrl Pts',(-1.27793192117202,0.37534942627503, -0.00250390224606238)); #138090=CARTESIAN_POINT('Ctrl Pts',(-1.30882441350615,0.374164861978498, 4.7909283272728E-12)); #138091=CARTESIAN_POINT('Ctrl Pts',(-1.33999251535093,0.372771012902595, -4.09777199049639E-18)); #138092=CARTESIAN_POINT('Ctrl Pts',(-1.24719438219768,0.373305043151269, -0.00443812880281381)); #138093=CARTESIAN_POINT('Ctrl Pts',(-1.27788316213917,0.372398273999459, -0.00174189537594506)); #138094=CARTESIAN_POINT('Ctrl Pts',(-1.30882564380121,0.371344278948425, 0.000368807611775413)); #138095=CARTESIAN_POINT('Ctrl Pts',(-1.33999251535092,0.370182107846118, -1.00635791031373E-12)); #138096=CARTESIAN_POINT('Ctrl Pts',(-1.24727730429334,0.366186238766484, -0.00525448091123372)); #138097=CARTESIAN_POINT('Ctrl Pts',(-1.27799614099789,0.36582537715873, -0.00304756686879822)); #138098=CARTESIAN_POINT('Ctrl Pts',(-1.30893498631862,0.365439104878807, -0.00144142756551812)); #138099=CARTESIAN_POINT('Ctrl Pts',(-1.33999251535062,0.365025010344192, -0.00211761052969691)); #138100=CARTESIAN_POINT('Ctrl Pts',(-1.2478344497699,0.362743058196182, -0.0115142556025427)); #138101=CARTESIAN_POINT('Ctrl Pts',(-1.2784494860863,0.36279766966174,-0.00899756928629713)); #138102=CARTESIAN_POINT('Ctrl Pts',(-1.30918646647656,0.362814492621642, -0.00700239326135614)); #138103=CARTESIAN_POINT('Ctrl Pts',(-1.33999251534992,0.362817718578191, -0.00723696518192985)); #138104=CARTESIAN_POINT('Ctrl Pts',(-1.24811587178072,0.362687354097294, -0.014705541290522)); #138105=CARTESIAN_POINT('Ctrl Pts',(-1.27867655764679,0.36273438081226, -0.0120113825944999)); #138106=CARTESIAN_POINT('Ctrl Pts',(-1.30931326791428,0.362772535954991, -0.00982547593144468)); #138107=CARTESIAN_POINT('Ctrl Pts',(-1.33999251534956,0.362772535954918, -0.00982547593562716)); #138108=CARTESIAN_POINT('',(-1.33999251534956,0.362772535954918,-0.00982547593562716)); #138109=CARTESIAN_POINT('',(-1.33999251534556,0.372771012917898,-3.75629099128836E-18)); #138110=CARTESIAN_POINT('Origin',(-1.33999251534956,0.372771012906482,-0.01)); #138111=CARTESIAN_POINT('Ctrl Pts',(-1.33999251535093,0.372771012902595, -4.09777199049639E-18)); #138112=CARTESIAN_POINT('Ctrl Pts',(-1.30882441350615,0.374164861978498, 4.7909283272728E-12)); #138113=CARTESIAN_POINT('Ctrl Pts',(-1.27793192117202,0.37534942627503, -0.00250390224606238)); #138114=CARTESIAN_POINT('Ctrl Pts',(-1.24729132949213,0.376293422620266, -0.00558999468410697)); #138115=CARTESIAN_POINT('Ctrl Pts',(-1.24811587178072,0.362687354097294, -0.014705541290522)); #138116=CARTESIAN_POINT('Ctrl Pts',(-1.27867655764679,0.36273438081226, -0.0120113825944999)); #138117=CARTESIAN_POINT('Ctrl Pts',(-1.30931326791428,0.362772535954991, -0.00982547593144468)); #138118=CARTESIAN_POINT('Ctrl Pts',(-1.33999251534956,0.362772535954918, -0.00982547593562716)); #138119=CARTESIAN_POINT('Origin',(-0.7355115225,0.372771012906481,-0.01)); #138120=CARTESIAN_POINT('',(-1.471023045,0.372771012906482,0.)); #138121=CARTESIAN_POINT('Origin',(-1.471023045,0.372771012906482,-0.01)); #138122=CARTESIAN_POINT('',(-0.7355115225,0.372771012906481,0.)); #138123=CARTESIAN_POINT('',(-0.7355115225,0.362772535954917,-0.00982547593562716)); #138124=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.00999999999999995)); #138125=CARTESIAN_POINT('',(-1.73455801209352,0.636305980000002,0.)); #138126=CARTESIAN_POINT('Origin',(-1.73455801209352,0.636305980000002,-0.00999999999999995)); #138127=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,0.)); #138128=CARTESIAN_POINT('Origin',(-1.73455801209352,0.610697353363678,-0.01)); #138129=CARTESIAN_POINT('',(-1.73455801209352,0.675583669111255,0.)); #138130=CARTESIAN_POINT('Origin',(-1.73455801209352,0.675583669111255,-0.01)); #138131=CARTESIAN_POINT('',(-1.73455801209352,0.610697353363678,0.)); #138132=CARTESIAN_POINT('Ctrl Pts',(-1.73455801209352,0.675583669111255, 2.73184799366426E-18)); #138133=CARTESIAN_POINT('Ctrl Pts',(-1.73385780325648,0.686398497586621, -2.89712283502259E-17)); #138134=CARTESIAN_POINT('Ctrl Pts',(-1.73317296742968,0.697176015172422, -0.00084159576843991)); #138135=CARTESIAN_POINT('Ctrl Pts',(-1.73251060164607,0.707822267098854, -0.00243980732629903)); #138136=CARTESIAN_POINT('Ctrl Pts',(-1.73714691715065,0.675583669111255, 2.73184799366426E-18)); #138137=CARTESIAN_POINT('Ctrl Pts',(-1.73656309319857,0.686397294703485, 0.000185272818193311)); #138138=CARTESIAN_POINT('Ctrl Pts',(-1.7359776599399,0.697195090721415, -0.000460393300062377)); #138139=CARTESIAN_POINT('Ctrl Pts',(-1.73538519359866,0.70789825675869, -0.00183180223380206)); #138140=CARTESIAN_POINT('Ctrl Pts',(-1.74230330605381,0.675583669111255, -0.00211833160526252)); #138141=CARTESIAN_POINT('Ctrl Pts',(-1.74209528306369,0.686290388414005, -0.00177864684870546)); #138142=CARTESIAN_POINT('Ctrl Pts',(-1.74188853610846,0.697016971983025, -0.00219607140271071)); #138143=CARTESIAN_POINT('Ctrl Pts',(-1.74167098498258,0.707681948869286, -0.00330996770168468)); #138144=CARTESIAN_POINT('Ctrl Pts',(-1.7445113064218,0.675583669111255, -0.00723696518128209)); #138145=CARTESIAN_POINT('Ctrl Pts',(-1.74451292700137,0.68604451140993, -0.00711912650590663)); #138146=CARTESIAN_POINT('Ctrl Pts',(-1.74451480689829,0.696508924140431, -0.00774919620985017)); #138147=CARTESIAN_POINT('Ctrl Pts',(-1.74454284145607,0.706894061273414, -0.00904360608472659)); #138148=CARTESIAN_POINT('Ctrl Pts',(-1.74455648904508,0.675583669111255, -0.00982547593562717)); #138149=CARTESIAN_POINT('Ctrl Pts',(-1.74455648904508,0.685920535196963, -0.00982547593562719)); #138150=CARTESIAN_POINT('Ctrl Pts',(-1.74456931351004,0.696255038792177, -0.0105601890408232)); #138151=CARTESIAN_POINT('Ctrl Pts',(-1.74459366749194,0.706497280556497, -0.0119554277295961)); #138152=CARTESIAN_POINT('',(-1.73251060164607,0.707822267098854,-0.00243980732629903)); #138153=CARTESIAN_POINT('Origin',(-1.73459519054038,0.706497280556497,-0.0121299517939689)); #138154=CARTESIAN_POINT('Ctrl Pts',(-1.73251060164607,0.707822267098854, -0.00243980732629903)); #138155=CARTESIAN_POINT('Ctrl Pts',(-1.73317296742968,0.697176015172422, -0.00084159576843991)); #138156=CARTESIAN_POINT('Ctrl Pts',(-1.73385780325648,0.686398497586621, -2.89712283502259E-17)); #138157=CARTESIAN_POINT('Ctrl Pts',(-1.73455801209352,0.675583669111255, 2.73184799366426E-18)); #138158=CARTESIAN_POINT('Ctrl Pts',(-1.75456871716186,0.716519184789706, -0.0106132796629885)); #138159=CARTESIAN_POINT('Ctrl Pts',(-1.75233825213606,0.716509607082066, -0.0111619861662361)); #138160=CARTESIAN_POINT('Ctrl Pts',(-1.74866040331102,0.715196870326899, -0.012466748774919)); #138161=CARTESIAN_POINT('Ctrl Pts',(-1.74529167245308,0.710970738741741, -0.0129251406191129)); #138162=CARTESIAN_POINT('Ctrl Pts',(-1.74460029417786,0.707983210798947, -0.0123350703115046)); #138163=CARTESIAN_POINT('Ctrl Pts',(-1.74459366749194,0.706497280556497, -0.0119554277295961)); #138164=CARTESIAN_POINT('Ctrl Pts',(-1.75421446564171,0.716566193097332, -0.00792017552276896)); #138165=CARTESIAN_POINT('Ctrl Pts',(-1.75188148371915,0.716485737109316, -0.00838948003074927)); #138166=CARTESIAN_POINT('Ctrl Pts',(-1.74829518524299,0.714908141807969, -0.00945798871053905)); #138167=CARTESIAN_POINT('Ctrl Pts',(-1.74537005620623,0.711061935521792, -0.00980500344931609)); #138168=CARTESIAN_POINT('Ctrl Pts',(-1.7446029494519,0.708336065823253, -0.0093519353009856)); #138169=CARTESIAN_POINT('Ctrl Pts',(-1.74454284145607,0.706894061273414, -0.00904360608472665)); #138170=CARTESIAN_POINT('Ctrl Pts',(-1.75350957006419,0.71900429526505, -0.00260231655190142)); #138171=CARTESIAN_POINT('Ctrl Pts',(-1.75043104372527,0.718959000792833, -0.00293466140805127)); #138172=CARTESIAN_POINT('Ctrl Pts',(-1.7458861223266,0.717042334854731, -0.00357661018618883)); #138173=CARTESIAN_POINT('Ctrl Pts',(-1.74254338828617,0.712666237985222, -0.00371733237696888)); #138174=CARTESIAN_POINT('Ctrl Pts',(-1.74169997986584,0.709466585288468, -0.00349510866002849)); #138175=CARTESIAN_POINT('Ctrl Pts',(-1.74167096594889,0.707681945682562, -0.00330999142719217)); #138176=CARTESIAN_POINT('Ctrl Pts',(-1.75324606133465,0.724573502785265, -0.000695661172526751)); #138177=CARTESIAN_POINT('Ctrl Pts',(-1.74887703905211,0.724767727151087, -0.00120296775328138)); #138178=CARTESIAN_POINT('Ctrl Pts',(-1.74194568220009,0.722694344581328, -0.00231528108770358)); #138179=CARTESIAN_POINT('Ctrl Pts',(-1.73622219758247,0.71573838729444, -0.00264616778501045)); #138180=CARTESIAN_POINT('Ctrl Pts',(-1.73524069380093,0.710570190241849, -0.00216299805275594)); #138181=CARTESIAN_POINT('Ctrl Pts',(-1.73538519359866,0.70789825675869, -0.00183180223380219)); #138182=CARTESIAN_POINT('Ctrl Pts',(-1.75326703129412,0.727282253255384, -0.000902409768683171)); #138183=CARTESIAN_POINT('Ctrl Pts',(-1.74831661697341,0.727559341717033, -0.00157570047161185)); #138184=CARTESIAN_POINT('Ctrl Pts',(-1.74031991042393,0.725345611754153, -0.0031184441575056)); #138185=CARTESIAN_POINT('Ctrl Pts',(-1.733430076358,0.717040822266461,-0.00362670776371624)); #138186=CARTESIAN_POINT('Ctrl Pts',(-1.73231801226905,0.710917769730247, -0.00290450308186275)); #138187=CARTESIAN_POINT('Ctrl Pts',(-1.73251060164607,0.707822267098854, -0.00243980732629903)); #138188=CARTESIAN_POINT('',(-1.75326703129412,0.727282253255384,-0.00090240976868318)); #138189=CARTESIAN_POINT('Origin',(-1.75456871716186,0.72651766174127,-0.0107878037273613)); #138190=CARTESIAN_POINT('Ctrl Pts',(-1.75326703129412,0.727282253255384, -0.000902409768683171)); #138191=CARTESIAN_POINT('Ctrl Pts',(-1.74831661697341,0.727559341717033, -0.00157570047161185)); #138192=CARTESIAN_POINT('Ctrl Pts',(-1.74031991042393,0.725345611754153, -0.0031184441575056)); #138193=CARTESIAN_POINT('Ctrl Pts',(-1.733430076358,0.717040822266461,-0.00362670776371624)); #138194=CARTESIAN_POINT('Ctrl Pts',(-1.73231801226905,0.710917769730247, -0.00290450308186275)); #138195=CARTESIAN_POINT('Ctrl Pts',(-1.73251060164607,0.707822267098854, -0.00243980732629903)); #138196=CARTESIAN_POINT('Ctrl Pts',(-1.75326703129412,0.727282253255384, -0.00090240976868313)); #138197=CARTESIAN_POINT('Ctrl Pts',(-1.75767773163683,0.727035374086575, -0.000302523912316546)); #138198=CARTESIAN_POINT('Ctrl Pts',(-1.76212960345429,0.726784396662818, -5.07198567272578E-18)); #138199=CARTESIAN_POINT('Ctrl Pts',(-1.76658474525696,0.726531412906482, 5.46369598732853E-18)); #138200=CARTESIAN_POINT('Ctrl Pts',(-1.75324606133465,0.724573502785265, -0.000695661172526698)); #138201=CARTESIAN_POINT('Ctrl Pts',(-1.75767442811826,0.724363857980765, -0.000168907554120387)); #138202=CARTESIAN_POINT('Ctrl Pts',(-1.76213070661575,0.724153442028734, 6.69386203285285E-5)); #138203=CARTESIAN_POINT('Ctrl Pts',(-1.76658474525696,0.723942507849357, 5.46369598732853E-18)); #138204=CARTESIAN_POINT('Ctrl Pts',(-1.75350957137379,0.719004304555018, -0.00260232667291917)); #138205=CARTESIAN_POINT('Ctrl Pts',(-1.75785806380982,0.718933239556775, -0.00215599609258608)); #138206=CARTESIAN_POINT('Ctrl Pts',(-1.76222875013667,0.718861298513194, -0.00199562606657035)); #138207=CARTESIAN_POINT('Ctrl Pts',(-1.76658474525696,0.718786140310865, -0.00211835334611567)); #138208=CARTESIAN_POINT('Ctrl Pts',(-1.75421446564171,0.716566193097332, -0.00792017552276894)); #138209=CARTESIAN_POINT('Ctrl Pts',(-1.75831996660966,0.716576419906794, -0.00742415367946775)); #138210=CARTESIAN_POINT('Ctrl Pts',(-1.76245424339166,0.71657753306673, -0.00719439035337211)); #138211=CARTESIAN_POINT('Ctrl Pts',(-1.76658474525696,0.716578118578203, -0.00723696518128208)); #138212=CARTESIAN_POINT('Ctrl Pts',(-1.75456871716186,0.716519184789706, -0.0106132796629885)); #138213=CARTESIAN_POINT('Ctrl Pts',(-1.75855122456072,0.716528326013356, -0.0100895793108448)); #138214=CARTESIAN_POINT('Ctrl Pts',(-1.76256794170154,0.716532935954918, -0.00982547593562716)); #138215=CARTESIAN_POINT('Ctrl Pts',(-1.76658474525696,0.716532935954918, -0.00982547593562715)); #138216=CARTESIAN_POINT('Origin',(-1.76658474525696,0.726531412906482,-0.00999999999999999)); #138217=CARTESIAN_POINT('Ctrl Pts',(-1.76658474525696,0.726531412906482, 5.46369598732853E-18)); #138218=CARTESIAN_POINT('Ctrl Pts',(-1.76212960345429,0.726784396662818, -5.07198567272578E-18)); #138219=CARTESIAN_POINT('Ctrl Pts',(-1.75767773163683,0.727035374086575, -0.000302523912316546)); #138220=CARTESIAN_POINT('Ctrl Pts',(-1.75326703129412,0.727282253255384, -0.00090240976868313)); #138221=CARTESIAN_POINT('Origin',(-0.9005115225,0.726531412906482,-0.01)); #138222=CARTESIAN_POINT('Origin',(-1.79500152400335,0.726531412906482,-0.01)); #138223=CARTESIAN_POINT('Ctrl Pts',(-1.80500000095492,0.706534459003354, -0.00982547593562716)); #138224=CARTESIAN_POINT('Ctrl Pts',(-1.80500079808447,0.709153140961868, -0.0097798084138595)); #138225=CARTESIAN_POINT('Ctrl Pts',(-1.80283554917979,0.714368484179796, -0.00972663362461304)); #138226=CARTESIAN_POINT('Ctrl Pts',(-1.79762020596187,0.716533733084476, -0.00977980841385949)); #138227=CARTESIAN_POINT('Ctrl Pts',(-1.79500152400335,0.716532935954918, -0.00982547593562715)); #138228=CARTESIAN_POINT('Ctrl Pts',(-1.8050451835782,0.706534459003354, -0.0072369651812821)); #138229=CARTESIAN_POINT('Ctrl Pts',(-1.80505792962947,0.709159763650966, -0.00719909297799035)); #138230=CARTESIAN_POINT('Ctrl Pts',(-1.8028893125334,0.714422247533407, -0.00715516150413802)); #138231=CARTESIAN_POINT('Ctrl Pts',(-1.79762682865096,0.716590864629472, -0.00719909297799036)); #138232=CARTESIAN_POINT('Ctrl Pts',(-1.79500152400335,0.716578118578203, -0.00723696518128209)); #138233=CARTESIAN_POINT('Ctrl Pts',(-1.80725716653113,0.706534459003354, -0.00212238431313984)); #138234=CARTESIAN_POINT('Ctrl Pts',(-1.80727956252109,0.709744996366519, -0.00210920712453705)); #138235=CARTESIAN_POINT('Ctrl Pts',(-1.80464138103349,0.716174316033495, -0.00209402713465708)); #138236=CARTESIAN_POINT('Ctrl Pts',(-1.79821206136652,0.71881249752109, -0.00210920712453709)); #138237=CARTESIAN_POINT('Ctrl Pts',(-1.79500152400335,0.718790101531127, -0.00212238431313984)); #138238=CARTESIAN_POINT('Ctrl Pts',(-1.81240957284936,0.706534459003354, -6.80420320494501E-17)); #138239=CARTESIAN_POINT('Ctrl Pts',(-1.81241724958268,0.711091533369474, -2.40086850352643E-7)); #138240=CARTESIAN_POINT('Ctrl Pts',(-1.8086509779445,0.720183912944503, -1.8520635237895E-7)); #138241=CARTESIAN_POINT('Ctrl Pts',(-1.79955859836947,0.723950184582685, -2.40086850407219E-7)); #138242=CARTESIAN_POINT('Ctrl Pts',(-1.79500152400335,0.723942507849357, -6.84011821905408E-17)); #138243=CARTESIAN_POINT('Ctrl Pts',(-1.81499847790648,0.706534459003354, -6.82961998416066E-17)); #138244=CARTESIAN_POINT('Ctrl Pts',(-1.81499847790648,0.711770228661267, -8.32431550314244E-17)); #138245=CARTESIAN_POINT('Ctrl Pts',(-1.81066612375832,0.722199058758325, -1.04788573859604E-16)); #138246=CARTESIAN_POINT('Ctrl Pts',(-1.80023729366127,0.726531412906482, -1.37327456824602E-16)); #138247=CARTESIAN_POINT('Ctrl Pts',(-1.79500152400335,0.726531412906482, -6.82961998416066E-17)); #138248=CARTESIAN_POINT('Origin',(-1.81499847790648,0.706534459003354,-0.01)); #138249=CARTESIAN_POINT('Origin',(-1.81499847790648,0.572572353363678,-0.01)); #138250=CARTESIAN_POINT('Origin',(-1.81499847790648,0.636305980000002,-0.01)); #138251=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.00999999999999999)); #138252=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.00982547593562714)); #138253=CARTESIAN_POINT('Origin',(-1.07616878898939,1.125,-0.078740157)); #138254=CARTESIAN_POINT('',(-1.79136692365902,0.888804881001348,-0.0134146092618822)); #138255=CARTESIAN_POINT('Ctrl Pts',(-1.79136692365902,0.888804881001348, -0.0134146092618822)); #138256=CARTESIAN_POINT('Ctrl Pts',(-1.80297581100832,0.882735138168869, -0.0047154942935148)); #138257=CARTESIAN_POINT('Ctrl Pts',(-1.81686123705975,0.875366811264211, 3.14608582152226E-17)); #138258=CARTESIAN_POINT('Ctrl Pts',(-1.83073158702263,0.86792726439115, 2.59525559398105E-17)); #138259=CARTESIAN_POINT('',(-1.77339681736633,0.901529018236551,-0.0342795118297415)); #138260=CARTESIAN_POINT('Ctrl Pts',(-1.7733968173656,0.901529018237283, -0.0342795118310889)); #138261=CARTESIAN_POINT('Ctrl Pts',(-1.77780626359923,0.897129247435653, -0.0261790556948814)); #138262=CARTESIAN_POINT('Ctrl Pts',(-1.78388318810298,0.892717775399084, -0.0190225434284703)); #138263=CARTESIAN_POINT('Ctrl Pts',(-1.79136692365785,0.888804881001958, -0.0134146092627558)); #138264=CARTESIAN_POINT('',(-1.76548858604124,0.915408077577942,-0.0608149103193904)); #138265=CARTESIAN_POINT('Ctrl Pts',(-1.76548858604091,0.915408077581194, -0.0608149103247954)); #138266=CARTESIAN_POINT('Ctrl Pts',(-1.76604359618327,0.909982457896842, -0.0517924549642818)); #138267=CARTESIAN_POINT('Ctrl Pts',(-1.76894252276459,0.905973538997524, -0.0424623575036514)); #138268=CARTESIAN_POINT('Ctrl Pts',(-1.77339681736633,0.901529018236551, -0.0342795118297415)); #138269=CARTESIAN_POINT('',(-1.76558459386031,0.921893829516218,-0.0718775001365576)); #138270=CARTESIAN_POINT('Ctrl Pts',(-1.76558459386063,0.921893829516127, -0.071877500132678)); #138271=CARTESIAN_POINT('Ctrl Pts',(-1.76558348144823,0.921885519397822, -0.0718628542257528)); #138272=CARTESIAN_POINT('Ctrl Pts',(-1.76558237266937,0.921877208547648, -0.0718482094085671)); #138273=CARTESIAN_POINT('Ctrl Pts',(-1.7653022746791,0.919769234629839, -0.0681342303344249)); #138274=CARTESIAN_POINT('Ctrl Pts',(-1.76526464122462,0.917597297767479, -0.0644554421962972)); #138275=CARTESIAN_POINT('Ctrl Pts',(-1.76548858604124,0.915408077577942, -0.0608149103193904)); #138276=CARTESIAN_POINT('',(-1.21219673846547,0.419278620519235,-0.0718775001325978)); #138277=CARTESIAN_POINT('Origin',(-1.07616878898939,1.125,-0.0718775001325978)); #138278=CARTESIAN_POINT('Origin',(-1.22704289514403,0.342255845335654,-0.078740157)); #138279=CARTESIAN_POINT('Origin',(-1.07616878898939,1.125,0.)); #138280=CARTESIAN_POINT('Origin',(-1.471023045,0.36224531218724,-0.0400301053567116)); #138281=CARTESIAN_POINT('',(-1.24859078628543,0.362212439592584,-0.0419133750432671)); #138282=CARTESIAN_POINT('Origin',(-1.24859078628543,0.35221396264102,-0.0417388509788942)); #138283=CARTESIAN_POINT('',(-1.23859230933387,0.35221396264102,-0.0419133750432671)); #138284=CARTESIAN_POINT('Origin',(-1.23855943673921,0.358795580000002,-0.0400301053567116)); #138285=CARTESIAN_POINT('',(-1.23856543406485,0.312860722089962,-0.0403736919122633)); #138286=CARTESIAN_POINT('Origin',(-1.24856391101641,0.312860722089962,-0.0401991678478905)); #138287=CARTESIAN_POINT('',(-1.24856391101641,0.302862245138398,-0.0403736919122633)); #138288=CARTESIAN_POINT('Origin',(-1.24200916892645,0.302856247812762,-0.0400301053567116)); #138289=CARTESIAN_POINT('',(-1.471023045,0.302855722321806,-0.04)); #138290=CARTESIAN_POINT('Ctrl Pts',(-1.27998563347787,3.0707083008851,-0.0308571961818619)); #138291=CARTESIAN_POINT('Ctrl Pts',(-1.28014775502974,3.07138953300546, -0.0335206030574867)); #138292=CARTESIAN_POINT('Ctrl Pts',(-1.2788384476537,3.07265296186887,-0.0380320853296218)); #138293=CARTESIAN_POINT('Ctrl Pts',(-1.27582863526176,3.07567166011783, -0.0423988190191564)); #138294=CARTESIAN_POINT('Ctrl Pts',(-1.27451857088141,3.07780591894055, -0.0464046221299348)); #138295=CARTESIAN_POINT('Ctrl Pts',(-1.27427850465017,3.07828191664221, -0.0498254759356346)); #138296=CARTESIAN_POINT('Ctrl Pts',(-1.28111792428467,3.07490458401117, -0.0307988431875929)); #138297=CARTESIAN_POINT('Ctrl Pts',(-1.28148726843503,3.07528609769064, -0.0337626794648592)); #138298=CARTESIAN_POINT('Ctrl Pts',(-1.28042965339826,3.07599652627317, -0.0390007425893014)); #138299=CARTESIAN_POINT('Ctrl Pts',(-1.27669186200728,3.07732480557439, -0.0442662112416752)); #138300=CARTESIAN_POINT('Ctrl Pts',(-1.27464881688107,3.07810474074701, -0.0475347810629947)); #138301=CARTESIAN_POINT('Ctrl Pts',(-1.27427850465017,3.07828191664222, -0.0498254759356346)); #138302=CARTESIAN_POINT('Ctrl Pts',(-1.28525095851072,3.07801904869142, -0.030798843187593)); #138303=CARTESIAN_POINT('Ctrl Pts',(-1.28544302253204,3.07807635887381, -0.0347888480993365)); #138304=CARTESIAN_POINT('Ctrl Pts',(-1.28333182769846,3.0781691928991,-0.0414649824494166)); #138305=CARTESIAN_POINT('Ctrl Pts',(-1.27765541384994,3.07823023957688, -0.0466325254212565)); #138306=CARTESIAN_POINT('Ctrl Pts',(-1.27477779960421,3.07826160640061, -0.0486740233323931)); #138307=CARTESIAN_POINT('Ctrl Pts',(-1.27427850465017,3.07828191664221, -0.0498254759356347)); #138308=CARTESIAN_POINT('Ctrl Pts',(-1.28959678638913,3.07795082408753, -0.0308571961818619)); #138309=CARTESIAN_POINT('Ctrl Pts',(-1.28956046229415,3.07803922356071, -0.0359215986082116)); #138310=CARTESIAN_POINT('Ctrl Pts',(-1.28627445279128,3.07818078395234, -0.0440315880131394)); #138311=CARTESIAN_POINT('Ctrl Pts',(-1.27861666457635,3.07826777765906, -0.0490154541337945)); #138312=CARTESIAN_POINT('Ctrl Pts',(-1.27490750806584,3.07828174973715, -0.0498159139507242)); #138313=CARTESIAN_POINT('Ctrl Pts',(-1.27427850465017,3.07828191664221, -0.0498254759356346)); #138314=CARTESIAN_POINT('',(-1.28959678638913,3.07795082408753,-0.0308571961818619)); #138315=CARTESIAN_POINT('',(-1.27427850465017,3.07828191664221,-0.0498254759356272)); #138316=CARTESIAN_POINT('Ctrl Pts',(-1.28959678638913,3.07795082408753, -0.0308571961818619)); #138317=CARTESIAN_POINT('Ctrl Pts',(-1.28956046229415,3.07803922356071, -0.0359215986082116)); #138318=CARTESIAN_POINT('Ctrl Pts',(-1.28627445279128,3.07818078395234, -0.0440315880131394)); #138319=CARTESIAN_POINT('Ctrl Pts',(-1.27861666457635,3.07826777765906, -0.0490154541337945)); #138320=CARTESIAN_POINT('Ctrl Pts',(-1.27490750806584,3.07828174973715, -0.0498159139507242)); #138321=CARTESIAN_POINT('Ctrl Pts',(-1.27427850465017,3.07828191664221, -0.0498254759356346)); #138322=CARTESIAN_POINT('',(-1.2742802518494,3.0782803984617,-0.0497533923562239)); #138323=CARTESIAN_POINT('Origin',(-1.2685381322008,3.06828343969065,-0.05)); #138324=CARTESIAN_POINT('',(-1.27998563347787,3.0707083008851,-0.0308571961818619)); #138325=CARTESIAN_POINT('Ctrl Pts',(-1.27427917302834,3.07828059159714, -0.0498159581104381)); #138326=CARTESIAN_POINT('Ctrl Pts',(-1.27451897618202,3.07780525867447, -0.046403382885899)); #138327=CARTESIAN_POINT('Ctrl Pts',(-1.27582883479616,3.07567145999435, -0.0423985295281598)); #138328=CARTESIAN_POINT('Ctrl Pts',(-1.2788384476537,3.07265296186887,-0.0380320853296218)); #138329=CARTESIAN_POINT('Ctrl Pts',(-1.28014775502974,3.07138953300546, -0.0335206030574867)); #138330=CARTESIAN_POINT('Ctrl Pts',(-1.27998563347787,3.0707083008851,-0.0308571961818619)); #138331=CARTESIAN_POINT('Origin',(-1.28959678638913,3.06795234713597,-0.0310317202462348)); #138332=CARTESIAN_POINT('Origin',(-1.28990371264307,3.06754504224006,-0.00769723838742106)); #138333=CARTESIAN_POINT('',(-1.28140933155069,3.06881898975836,0.0773813669541238)); #138334=CARTESIAN_POINT('',(-1.29102046773417,3.07606153513096,0.0773800956499482)); #138335=CARTESIAN_POINT('Ctrl Pts',(-1.28140933155069,3.06881898975836, 0.0773813669541238)); #138336=CARTESIAN_POINT('Ctrl Pts',(-1.28170615391827,3.06985324308727, 0.0773954097165353)); #138337=CARTESIAN_POINT('Ctrl Pts',(-1.28217130056825,3.07083902857602, 0.0774063924439968)); #138338=CARTESIAN_POINT('Ctrl Pts',(-1.28339051161541,3.07261250842446, 0.0774210999735206)); #138339=CARTESIAN_POINT('Ctrl Pts',(-1.28414435639845,3.07339988333203, 0.077424822088184)); #138340=CARTESIAN_POINT('Ctrl Pts',(-1.2858631691235,3.07469510741806,0.0774246102041513)); #138341=CARTESIAN_POINT('Ctrl Pts',(-1.28682782745929,3.07520272329151, 0.0774206762054553)); #138342=CARTESIAN_POINT('Ctrl Pts',(-1.28886866024282,3.07588587426693, 0.0774055449078713)); #138343=CARTESIAN_POINT('Ctrl Pts',(-1.28994446707963,3.07606128631518, 0.0773943502963824)); #138344=CARTESIAN_POINT('Ctrl Pts',(-1.29102046773417,3.07606153513096, 0.0773800956499482)); #138345=CARTESIAN_POINT('',(-1.28990371264307,3.07754351919162,-0.00752271432304823)); #138346=CARTESIAN_POINT('',(-1.28029255973181,3.07030099598919,-0.00752271432304822)); #138347=CARTESIAN_POINT('Ctrl Pts',(-1.7254673873697,2.52456078759076,0.0738647153896027)); #138348=CARTESIAN_POINT('Ctrl Pts',(-1.72533261961119,2.61753659747044, 0.107150565721547)); #138349=CARTESIAN_POINT('Ctrl Pts',(-1.72497890911732,2.70621072031298, 0.151992597162862)); #138350=CARTESIAN_POINT('Ctrl Pts',(-1.72452847969,2.79247672733223,0.200593687910893)); #138351=CARTESIAN_POINT('Ctrl Pts',(-1.72678199726204,2.52389132578375, 0.0757988469550655)); #138352=CARTESIAN_POINT('Ctrl Pts',(-1.72677369370183,2.61674404519056, 0.108861723837739)); #138353=CARTESIAN_POINT('Ctrl Pts',(-1.72648968761849,2.70542911796672, 0.153537905339522)); #138354=CARTESIAN_POINT('Ctrl Pts',(-1.72609351020106,2.79170656505924, 0.202001087844884)); #138355=CARTESIAN_POINT('Ctrl Pts',(-1.73097084785825,2.52289733626853, 0.0787096128966527)); #138356=CARTESIAN_POINT('Ctrl Pts',(-1.73102504936177,2.61561551079236, 0.111302386199258)); #138357=CARTESIAN_POINT('Ctrl Pts',(-1.73074859657134,2.70437259928017, 0.155657952564724)); #138358=CARTESIAN_POINT('Ctrl Pts',(-1.73034075120374,2.7907118038503,0.203857773066594)); #138359=CARTESIAN_POINT('Ctrl Pts',(-1.7387381806803,2.52318003653258,0.078038137202203)); #138360=CARTESIAN_POINT('Ctrl Pts',(-1.7384818270396,2.61608232915244,0.110344711421076)); #138361=CARTESIAN_POINT('Ctrl Pts',(-1.73794079720195,2.70500743899426, 0.154527097325618)); #138362=CARTESIAN_POINT('Ctrl Pts',(-1.7373006892372,2.79148502257386,0.202593700045378)); #138363=CARTESIAN_POINT('Ctrl Pts',(-1.74314359867973,2.52478282605934, 0.0735393775953282)); #138364=CARTESIAN_POINT('Ctrl Pts',(-1.74261602393237,2.61809078035346, 0.106060805623028)); #138365=CARTESIAN_POINT('Ctrl Pts',(-1.74187359178271,2.70715258845765, 0.150405134998878)); #138366=CARTESIAN_POINT('Ctrl Pts',(-1.74105817696998,2.79374653949905, 0.198606791524815)); #138367=CARTESIAN_POINT('Ctrl Pts',(-1.74385954133537,2.52599110049379, 0.0701024828232112)); #138368=CARTESIAN_POINT('Ctrl Pts',(-1.7432890626765,2.61958487484388,0.102846908769164)); #138369=CARTESIAN_POINT('Ctrl Pts',(-1.74251066840894,2.70872509909614, 0.147346888926459)); #138370=CARTESIAN_POINT('Ctrl Pts',(-1.74166760476246,2.79538665533291, 0.195678444982101)); #138371=CARTESIAN_POINT('Ctrl Pts',(-1.74387957432897,2.52639324280487, 0.0689547933883224)); #138372=CARTESIAN_POINT('Ctrl Pts',(-1.74330673487568,2.62008219192713, 0.101772743687842)); #138373=CARTESIAN_POINT('Ctrl Pts',(-1.74252906401589,2.70924860633454, 0.146325477406756)); #138374=CARTESIAN_POINT('Ctrl Pts',(-1.74168468248427,2.79593281301252, 0.194700062955081)); #138375=CARTESIAN_POINT('',(-1.72452847969149,2.79247672733148,0.200593687912229)); #138376=CARTESIAN_POINT('Origin',(-1.7316862055327,2.79593281301252,0.194525538890708)); #138377=CARTESIAN_POINT('',(-1.72546738736944,2.52456078759177,0.0738647153895373)); #138378=CARTESIAN_POINT('Ctrl Pts',(-1.72452847969,2.79247672733223,0.200593687910893)); #138379=CARTESIAN_POINT('Ctrl Pts',(-1.72497890911732,2.70621072031298, 0.151992597162862)); #138380=CARTESIAN_POINT('Ctrl Pts',(-1.72533261961119,2.61753659747044, 0.107150565721547)); #138381=CARTESIAN_POINT('Ctrl Pts',(-1.7254673873697,2.52456078759076,0.0738647153896027)); #138382=CARTESIAN_POINT('Origin',(-1.73388109737741,2.52639324280487,0.0687802693239495)); #138383=CARTESIAN_POINT('Origin',(-1.73388109737739,2.52639324280712,0.0687802693247363)); #138384=CARTESIAN_POINT('',(-1.73697079667329,2.51688412614931,0.0689547933891079)); #138385=CARTESIAN_POINT('Origin',(-1.73388109737739,2.52639324280712,0.0687802693247363)); #138386=CARTESIAN_POINT('Origin',(-1.73388109737739,2.52639324280712,0.0687802693247363)); #138387=CARTESIAN_POINT('Ctrl Pts',(-1.73260910677098,2.80445103686135, 0.204156991793535)); #138388=CARTESIAN_POINT('Ctrl Pts',(-1.73281332142209,2.85469817848175, 0.202114258082126)); #138389=CARTESIAN_POINT('Ctrl Pts',(-1.69827022887486,2.97218729485645, 0.198255756487936)); #138390=CARTESIAN_POINT('Ctrl Pts',(-1.59477242792901,3.04388825601562, 0.202864026198995)); #138391=CARTESIAN_POINT('Ctrl Pts',(-1.52930574723155,3.05828836937416, 0.205615732376961)); #138392=CARTESIAN_POINT('Ctrl Pts',(-1.73503465809555,2.80444032406055, 0.203933793185463)); #138393=CARTESIAN_POINT('Ctrl Pts',(-1.73519427712631,2.8551544442603,0.201833724894785)); #138394=CARTESIAN_POINT('Ctrl Pts',(-1.70026907117047,2.97367373627004, 0.197894687940488)); #138395=CARTESIAN_POINT('Ctrl Pts',(-1.59590254416883,3.0460879560785,0.202595210320836)); #138396=CARTESIAN_POINT('Ctrl Pts',(-1.52983658353956,3.06069057944437, 0.205435311528094)); #138397=CARTESIAN_POINT('Ctrl Pts',(-1.73969485936135,2.80434409819923, 0.201629999716741)); #138398=CARTESIAN_POINT('Ctrl Pts',(-1.73974195957354,2.85596245058471, 0.19948904069207)); #138399=CARTESIAN_POINT('Ctrl Pts',(-1.70404580612307,2.97648812830463, 0.195496353132472)); #138400=CARTESIAN_POINT('Ctrl Pts',(-1.59799623199064,3.05031812027651, 0.200259311460165)); #138401=CARTESIAN_POINT('Ctrl Pts',(-1.53078700168206,3.06535465419201, 0.203164050126213)); #138402=CARTESIAN_POINT('Ctrl Pts',(-1.74164776227698,2.80414847574982, 0.196815220213998)); #138403=CARTESIAN_POINT('Ctrl Pts',(-1.74166373903229,2.85616761728897, 0.194752584202019)); #138404=CARTESIAN_POINT('Ctrl Pts',(-1.70564964947601,2.97770121692753, 0.190874358851438)); #138405=CARTESIAN_POINT('Ctrl Pts',(-1.59872414004912,3.05215382541349, 0.195504001984873)); #138406=CARTESIAN_POINT('Ctrl Pts',(-1.53103143080279,3.06735378881134, 0.198289705920924)); #138407=CARTESIAN_POINT('Ctrl Pts',(-1.74169023867806,2.80405027,0.194381748825851)); #138408=CARTESIAN_POINT('Ctrl Pts',(-1.7417255248936,2.85608520700421,0.192360202891267)); #138409=CARTESIAN_POINT('Ctrl Pts',(-1.70571512604232,2.97775665799912, 0.188541722700847)); #138410=CARTESIAN_POINT('Ctrl Pts',(-1.59864207662717,3.05224347073046, 0.193102194214009)); #138411=CARTESIAN_POINT('Ctrl Pts',(-1.53094283742671,3.06741779960238, 0.195825358964347)); #138412=CARTESIAN_POINT('',(-1.53094283742671,3.06741779960238,0.195825358964347)); #138413=CARTESIAN_POINT('',(-1.52930574723131,3.05828836937306,0.205615732377043)); #138414=CARTESIAN_POINT('Origin',(-1.52874932256521,3.0576629016581,0.195650834899974)); #138415=CARTESIAN_POINT('',(-1.73260910677098,2.80445103686135,0.204156991793535)); #138416=CARTESIAN_POINT('Ctrl Pts',(-1.52930574723155,3.05828836937416, 0.205615732376961)); #138417=CARTESIAN_POINT('Ctrl Pts',(-1.59477242792901,3.04388825601562, 0.202864026198995)); #138418=CARTESIAN_POINT('Ctrl Pts',(-1.69827022887486,2.97218729485645, 0.198255756487936)); #138419=CARTESIAN_POINT('Ctrl Pts',(-1.73281332142209,2.85469817848175, 0.202114258082126)); #138420=CARTESIAN_POINT('Ctrl Pts',(-1.73260910677098,2.80445103686135, 0.204156991793535)); #138421=CARTESIAN_POINT('Origin',(-1.7316917617265,2.80405027,0.194207224761478)); #138422=CARTESIAN_POINT('Ctrl Pts',(-1.74169023867806,2.80405027,0.194381748825851)); #138423=CARTESIAN_POINT('Ctrl Pts',(-1.7417255248936,2.85608520700421,0.192360202891267)); #138424=CARTESIAN_POINT('Ctrl Pts',(-1.70571512604232,2.97775665799912, 0.188541722700847)); #138425=CARTESIAN_POINT('Ctrl Pts',(-1.59864207662717,3.05224347073046, 0.193102194214009)); #138426=CARTESIAN_POINT('Ctrl Pts',(-1.53094283742671,3.06741779960238, 0.195825358964347)); #138427=CARTESIAN_POINT('Ctrl Pts',(-1.73257637663612,2.7963114032971,0.204478642168614)); #138428=CARTESIAN_POINT('Ctrl Pts',(-1.73258716912932,2.79902459945933, 0.204374473968053)); #138429=CARTESIAN_POINT('Ctrl Pts',(-1.73259807967938,2.80173781413016, 0.204267294416944)); #138430=CARTESIAN_POINT('Ctrl Pts',(-1.73260910677098,2.80445103686135, 0.204156991793535)); #138431=CARTESIAN_POINT('Ctrl Pts',(-1.73535429556043,2.79630010732169, 0.204230624385672)); #138432=CARTESIAN_POINT('Ctrl Pts',(-1.73536313979192,2.7990130120222,0.204124046087998)); #138433=CARTESIAN_POINT('Ctrl Pts',(-1.73537212104825,2.80172588162307, 0.204014643780955)); #138434=CARTESIAN_POINT('Ctrl Pts',(-1.73538116542764,2.80443879366043, 0.203901907670024)); #138435=CARTESIAN_POINT('Ctrl Pts',(-1.7399823411144,2.79619850825099,0.201644546889637)); #138436=CARTESIAN_POINT('Ctrl Pts',(-1.73998624325637,2.79890939748408, 0.201537009458707)); #138437=CARTESIAN_POINT('Ctrl Pts',(-1.73999019941169,2.80162026795845, 0.201426402438161)); #138438=CARTESIAN_POINT('Ctrl Pts',(-1.73999423388614,2.80433108681847, 0.201312713959877)); #138439=CARTESIAN_POINT('Ctrl Pts',(-1.74164820299454,2.79601245628185, 0.196789971521643)); #138440=CARTESIAN_POINT('Ctrl Pts',(-1.74165000826976,2.79871987622174, 0.196685546178442)); #138441=CARTESIAN_POINT('Ctrl Pts',(-1.74165191879174,2.80142721430111, 0.196578101696731)); #138442=CARTESIAN_POINT('Ctrl Pts',(-1.74165383033428,2.80413444635699, 0.196467581444263)); #138443=CARTESIAN_POINT('Ctrl Pts',(-1.74168468248422,2.79593281301789, 0.194700062957775)); #138444=CARTESIAN_POINT('Ctrl Pts',(-1.74168648188742,2.79863874923762, 0.194596975217468)); #138445=CARTESIAN_POINT('Ctrl Pts',(-1.74168833330874,2.80134457195162, 0.194490907361142)); #138446=CARTESIAN_POINT('Ctrl Pts',(-1.74169023867806,2.80405027,0.194381748825851)); #138447=CARTESIAN_POINT('',(-1.732576376636,2.7963114032971,0.204478642168625)); #138448=CARTESIAN_POINT('Ctrl Pts',(-1.73260910677098,2.80445103686135, 0.204156991793535)); #138449=CARTESIAN_POINT('Ctrl Pts',(-1.73259807967938,2.80173781413016, 0.204267294416944)); #138450=CARTESIAN_POINT('Ctrl Pts',(-1.73258716912932,2.79902459945933, 0.204374473968053)); #138451=CARTESIAN_POINT('Ctrl Pts',(-1.73257637663612,2.7963114032971,0.204478642168614)); #138452=CARTESIAN_POINT('Origin',(-1.73168620553266,2.79593281301789,0.194525538893402)); #138453=CARTESIAN_POINT('Ctrl Pts',(-1.46752716350892,3.05867190148017, 0.171592409300959)); #138454=CARTESIAN_POINT('Ctrl Pts',(-1.41044684415068,3.0590220546181,0.137340303218528)); #138455=CARTESIAN_POINT('Ctrl Pts',(-1.35057040621199,3.05931530380705, 0.107710500881853)); #138456=CARTESIAN_POINT('Ctrl Pts',(-1.28833336279088,3.05952770767662, 0.0842814928579341)); #138457=CARTESIAN_POINT('Ctrl Pts',(-1.4669156300216,3.06035541086217,0.172647085406112)); #138458=CARTESIAN_POINT('Ctrl Pts',(-1.40985591588848,3.06069089893513, 0.138486306020605)); #138459=CARTESIAN_POINT('Ctrl Pts',(-1.35001996194465,3.06096798189459, 0.108949286973078)); #138460=CARTESIAN_POINT('Ctrl Pts',(-1.28784897498455,3.0611616187579,0.0856066320696378)); #138461=CARTESIAN_POINT('Ctrl Pts',(-1.4662561133147,3.06450668891019,0.173825284713403)); #138462=CARTESIAN_POINT('Ctrl Pts',(-1.4091905723965,3.06487036923624,0.139810202014161)); #138463=CARTESIAN_POINT('Ctrl Pts',(-1.34937889436958,3.0651664639891,0.11042521978261)); #138464=CARTESIAN_POINT('Ctrl Pts',(-1.28727085516509,3.0653749121594,0.0872276983371675)); #138465=CARTESIAN_POINT('Ctrl Pts',(-1.46714611576158,3.06969400985995, 0.17242366139351)); #138466=CARTESIAN_POINT('Ctrl Pts',(-1.40996794972518,3.07016566814044, 0.13841665322225)); #138467=CARTESIAN_POINT('Ctrl Pts',(-1.35003899431778,3.07056208053845, 0.109046037284919)); #138468=CARTESIAN_POINT('Ctrl Pts',(-1.2878134517611,3.07086055076986,0.0858710168487649)); #138469=CARTESIAN_POINT('Ctrl Pts',(-1.46906623875513,3.07344668188427, 0.169269907369812)); #138470=CARTESIAN_POINT('Ctrl Pts',(-1.41172124874372,3.07401328151157, 0.135148714570999)); #138471=CARTESIAN_POINT('Ctrl Pts',(-1.35159487748069,3.07450004655382, 0.10566923064603)); #138472=CARTESIAN_POINT('Ctrl Pts',(-1.28913645774686,3.07488062383145, 0.0823997380015278)); #138473=CARTESIAN_POINT('Ctrl Pts',(-1.47065636648229,3.07450380545846, 0.166622368818253)); #138474=CARTESIAN_POINT('Ctrl Pts',(-1.41318932450007,3.07510214163953, 0.132380369882111)); #138475=CARTESIAN_POINT('Ctrl Pts',(-1.35290991240269,3.07561762136868, 0.102778205502044)); #138476=CARTESIAN_POINT('Ctrl Pts',(-1.29026394322335,3.07602624861542, 0.0794016587713399)); #138477=CARTESIAN_POINT('Ctrl Pts',(-1.471721245,3.07453495960481,0.164837548969117)); #138478=CARTESIAN_POINT('Ctrl Pts',(-1.4141725369369,3.07513410251616,0.130512674566814)); #138479=CARTESIAN_POINT('Ctrl Pts',(-1.353791747167,3.07565221490313,0.100830035796489)); #138480=CARTESIAN_POINT('Ctrl Pts',(-1.29101951666943,3.07606154133269, 0.0773797403529769)); #138481=CARTESIAN_POINT('',(-1.28833336279088,3.05952770767662,0.0842814928579342)); #138482=CARTESIAN_POINT('Ctrl Pts',(-1.29102046773417,3.07606153513096, 0.0773800956499483)); #138483=CARTESIAN_POINT('Ctrl Pts',(-1.29026480179753,3.07602624301492, 0.0794019791130884)); #138484=CARTESIAN_POINT('Ctrl Pts',(-1.2895130529167,3.07526249401751,0.0814006640288386)); #138485=CARTESIAN_POINT('Ctrl Pts',(-1.28890960780405,3.07393589207557, 0.0829925441291887)); #138486=CARTESIAN_POINT('Ctrl Pts',(-1.28860788524772,3.0732725911046,0.0837884841793639)); #138487=CARTESIAN_POINT('Ctrl Pts',(-1.28834323862793,3.07246857689926, 0.0844827230200597)); #138488=CARTESIAN_POINT('Ctrl Pts',(-1.28813061945326,3.07156685829057, 0.0850359887966235)); #138489=CARTESIAN_POINT('Ctrl Pts',(-1.28770538110392,3.06976342107318, 0.086142520349751)); #138490=CARTESIAN_POINT('Ctrl Pts',(-1.2874882525404,3.06756916622395,0.0866851596408488)); #138491=CARTESIAN_POINT('Ctrl Pts',(-1.28752417346664,3.06541871556686, 0.0865511960292867)); #138492=CARTESIAN_POINT('Ctrl Pts',(-1.28756009439287,3.06326826490978, 0.0864172324177246)); #138493=CARTESIAN_POINT('Ctrl Pts',(-1.28784906478991,3.06116161845141, 0.0856066658766823)); #138494=CARTESIAN_POINT('Ctrl Pts',(-1.28833336279088,3.05952770767662, 0.0842814928579341)); #138495=CARTESIAN_POINT('',(-1.46752716350892,3.05867190148017,0.171592409300959)); #138496=CARTESIAN_POINT('Ctrl Pts',(-1.28833336279088,3.05952770767662, 0.0842814928579341)); #138497=CARTESIAN_POINT('Ctrl Pts',(-1.35057040621199,3.05931530380705, 0.107710500881853)); #138498=CARTESIAN_POINT('Ctrl Pts',(-1.41044684415068,3.0590220546181,0.137340303218528)); #138499=CARTESIAN_POINT('Ctrl Pts',(-1.46752716350892,3.05867190148017, 0.171592409300959)); #138500=CARTESIAN_POINT('',(-1.471721245,3.07453495960481,0.164837548969117)); #138501=CARTESIAN_POINT('Origin',(-1.471721245,3.06453648265325,0.164663024904745)); #138502=CARTESIAN_POINT('Ctrl Pts',(-1.471721245,3.07453495960481,0.164837548969117)); #138503=CARTESIAN_POINT('Ctrl Pts',(-1.41417282757793,3.07513409949028, 0.130512847919391)); #138504=CARTESIAN_POINT('Ctrl Pts',(-1.35379235705499,3.07565220966984, 0.100830335611947)); #138505=CARTESIAN_POINT('Ctrl Pts',(-1.29102046773417,3.07606153513096, 0.0773800956499465)); #138506=CARTESIAN_POINT('Ctrl Pts',(-1.524077696434,3.05206862559217,0.20249773088423)); #138507=CARTESIAN_POINT('Ctrl Pts',(-1.50509663076095,3.05644269313632, 0.193120824766775)); #138508=CARTESIAN_POINT('Ctrl Pts',(-1.48609388480196,3.05855800590644, 0.182733715349742)); #138509=CARTESIAN_POINT('Ctrl Pts',(-1.46752716350892,3.05867190148017, 0.171592409300959)); #138510=CARTESIAN_POINT('Ctrl Pts',(-1.52386039198765,3.05379744641182, 0.203762002435351)); #138511=CARTESIAN_POINT('Ctrl Pts',(-1.5047351387811,3.05817592752922,0.194314224531239)); #138512=CARTESIAN_POINT('Ctrl Pts',(-1.48559949891778,3.06027274845578, 0.183856852076211)); #138513=CARTESIAN_POINT('Ctrl Pts',(-1.4669156300216,3.06035541086217,0.172647085406112)); #138514=CARTESIAN_POINT('Ctrl Pts',(-1.52410017409692,3.05805918540057, 0.2053004771)); #138515=CARTESIAN_POINT('Ctrl Pts',(-1.50464567687292,3.06244170727879, 0.195729264316772)); #138516=CARTESIAN_POINT('Ctrl Pts',(-1.48521037455498,3.06449672999717, 0.185151014939399)); #138517=CARTESIAN_POINT('Ctrl Pts',(-1.46625611770647,3.06450668693032, 0.173825277315666)); #138518=CARTESIAN_POINT('Ctrl Pts',(-1.52595779511576,3.06324056858523, 0.203983869644814)); #138519=CARTESIAN_POINT('Ctrl Pts',(-1.50616390366236,3.06766225175226, 0.194381678083545)); #138520=CARTESIAN_POINT('Ctrl Pts',(-1.48640433477461,3.06971614643465, 0.183775341047249)); #138521=CARTESIAN_POINT('Ctrl Pts',(-1.46714611885214,3.06969400626635, 0.172423656149186)); #138522=CARTESIAN_POINT('Ctrl Pts',(-1.52842611685245,3.06678332644572, 0.200645300469269)); #138523=CARTESIAN_POINT('Ctrl Pts',(-1.50845835621324,3.07128985796553, 0.191105458157784)); #138524=CARTESIAN_POINT('Ctrl Pts',(-1.48851324516114,3.07341450665714, 0.180560407441319)); #138525=CARTESIAN_POINT('Ctrl Pts',(-1.46906624237025,3.07344667646476, 0.169269901214141)); #138526=CARTESIAN_POINT('Ctrl Pts',(-1.53002869483327,3.06758862039593, 0.197766901852974)); #138527=CARTESIAN_POINT('Ctrl Pts',(-1.51007554731473,3.07217168457458, 0.188304838376469)); #138528=CARTESIAN_POINT('Ctrl Pts',(-1.49012761498148,3.07438541413766, 0.177838654272988)); #138529=CARTESIAN_POINT('Ctrl Pts',(-1.47065636648229,3.07450380545846, 0.166622368818254)); #138530=CARTESIAN_POINT('Ctrl Pts',(-1.5309428374181,3.06741779960435,0.195825358962492)); #138531=CARTESIAN_POINT('Ctrl Pts',(-1.51105896091642,3.07205726735087, 0.186417167691249)); #138532=CARTESIAN_POINT('Ctrl Pts',(-1.49115883192399,3.0743400742297,0.176002524631686)); #138533=CARTESIAN_POINT('Ctrl Pts',(-1.471721245,3.07453495960481,0.164837548969117)); #138534=CARTESIAN_POINT('',(-1.52407769643382,3.05206862559357,0.202497730885252)); #138535=CARTESIAN_POINT('Ctrl Pts',(-1.46752716350892,3.05867190148017, 0.171592409300959)); #138536=CARTESIAN_POINT('Ctrl Pts',(-1.48609388480196,3.05855800590644, 0.182733715349742)); #138537=CARTESIAN_POINT('Ctrl Pts',(-1.50509663076095,3.05644269313632, 0.193120824766775)); #138538=CARTESIAN_POINT('Ctrl Pts',(-1.524077696434,3.05206862559217,0.20249773088423)); #138539=CARTESIAN_POINT('Origin',(-1.52874932255692,3.05766290166,0.19565083489812)); #138540=CARTESIAN_POINT('Ctrl Pts',(-1.5309428374181,3.06741779960435,0.195825358962492)); #138541=CARTESIAN_POINT('Ctrl Pts',(-1.51105896091642,3.07205726735087, 0.186417167691249)); #138542=CARTESIAN_POINT('Ctrl Pts',(-1.49115883192399,3.0743400742297,0.176002524631686)); #138543=CARTESIAN_POINT('Ctrl Pts',(-1.471721245,3.07453495960481,0.164837548969117)); #138544=CARTESIAN_POINT('Origin',(-1.52874932255815,3.05766290166146,0.195650834900157)); #138545=CARTESIAN_POINT('Origin',(-1.52874932255815,3.05766290166146,0.195650834900157)); #138546=CARTESIAN_POINT('Origin',(-1.73168620553246,2.7959328130178,0.194525538893424)); #138547=CARTESIAN_POINT('Origin',(-1.73168620553246,2.7959328130178,0.194525538893424)); #138548=CARTESIAN_POINT('Ctrl Pts',(-1.28833336279088,3.05952770767662, 0.0842814928579342)); #138549=CARTESIAN_POINT('Ctrl Pts',(-1.28592925077415,3.05953591247986, 0.0833764697939475)); #138550=CARTESIAN_POINT('Ctrl Pts',(-1.28124615658714,3.06453140844476, 0.0828854001643103)); #138551=CARTESIAN_POINT('Ctrl Pts',(-1.2814346670122,3.06878536845324,0.0793075296134518)); #138552=CARTESIAN_POINT('Ctrl Pts',(-1.28140933154775,3.06881898974809, 0.0773813669539834)); #138553=CARTESIAN_POINT('Ctrl Pts',(-1.28736476678894,3.06279552922619, 0.0869318388954303)); #138554=CARTESIAN_POINT('Ctrl Pts',(-1.28524172454123,3.0623143440107,0.0860794925094657)); #138555=CARTESIAN_POINT('Ctrl Pts',(-1.28127620100295,3.06693213354791, 0.0841735549030113)); #138556=CARTESIAN_POINT('Ctrl Pts',(-1.28205391047148,3.07099865819468, 0.0795340486122836)); #138557=CARTESIAN_POINT('Ctrl Pts',(-1.28208778266868,3.07118299735845, 0.0774134646967287)); #138558=CARTESIAN_POINT('Ctrl Pts',(-1.28724330001511,3.07186873206312, 0.0874163085002804)); #138559=CARTESIAN_POINT('Ctrl Pts',(-1.28608263014234,3.0724517393417,0.0871244930044662)); #138560=CARTESIAN_POINT('Ctrl Pts',(-1.28430795390968,3.07381155525054, 0.0836919970294154)); #138561=CARTESIAN_POINT('Ctrl Pts',(-1.28477112545632,3.0748006130155,0.0788721698634741)); #138562=CARTESIAN_POINT('Ctrl Pts',(-1.28486909024457,3.07483968390565, 0.0774402849575115)); #138563=CARTESIAN_POINT('Ctrl Pts',(-1.28988696883871,3.07600859696999, 0.080412920860724)); #138564=CARTESIAN_POINT('Ctrl Pts',(-1.28988196312513,3.07612955469318, 0.0797735749833502)); #138565=CARTESIAN_POINT('Ctrl Pts',(-1.28955723493854,3.07613414662363, 0.0786819637790034)); #138566=CARTESIAN_POINT('Ctrl Pts',(-1.28924736682318,3.07607267501227, 0.0777474295601793)); #138567=CARTESIAN_POINT('Ctrl Pts',(-1.28917589518353,3.07606110858962, 0.0774045321866925)); #138568=CARTESIAN_POINT('Ctrl Pts',(-1.29102046773417,3.07606153513096, 0.0773800956499483)); #138569=CARTESIAN_POINT('Ctrl Pts',(-1.29102046773417,3.07606153513096, 0.0773800956499483)); #138570=CARTESIAN_POINT('Ctrl Pts',(-1.29102046773417,3.07606153513096, 0.0773800956499483)); #138571=CARTESIAN_POINT('Ctrl Pts',(-1.29102046773417,3.07606153513096, 0.0773800956499483)); #138572=CARTESIAN_POINT('Ctrl Pts',(-1.29102046773417,3.07606153513096, 0.0773800956499483)); #138573=CARTESIAN_POINT('Ctrl Pts',(-1.28140933154775,3.06881898974809, 0.0773813669539834)); #138574=CARTESIAN_POINT('Ctrl Pts',(-1.2814346670122,3.06878536845324,0.0793075296134518)); #138575=CARTESIAN_POINT('Ctrl Pts',(-1.28124615658714,3.06453140844476, 0.0828854001643103)); #138576=CARTESIAN_POINT('Ctrl Pts',(-1.28592925077415,3.05953591247986, 0.0833764697939475)); #138577=CARTESIAN_POINT('Ctrl Pts',(-1.28833336279088,3.05952770767662, 0.0842814928579342)); #138578=CARTESIAN_POINT('Origin',(-1.73513334564642,2.52548343118405,-0.00296098596007914)); #138579=CARTESIAN_POINT('',(-1.73871638200815,2.51561588416727,-0.0310497234681421)); #138580=CARTESIAN_POINT('',(-1.73822304494232,2.51597431452624,-0.00278646189570631)); #138581=CARTESIAN_POINT('Origin',(-1.73562668271225,2.52512500082508,-0.031224247532515)); #138582=CARTESIAN_POINT('Ctrl Pts',(-1.6976600766812,2.51423085630917,0.0247545361908545)); #138583=CARTESIAN_POINT('Ctrl Pts',(-1.70702459960789,2.51770694009131, 0.0410542406215578)); #138584=CARTESIAN_POINT('Ctrl Pts',(-1.71628047944785,2.52114687404508, 0.0574318883480336)); #138585=CARTESIAN_POINT('Ctrl Pts',(-1.7254673873697,2.52456078759298,0.0738647153904007)); #138586=CARTESIAN_POINT('Ctrl Pts',(-1.69840249215304,2.51165389597114, 0.0248565375508425)); #138587=CARTESIAN_POINT('Ctrl Pts',(-1.70774360047146,2.51510186569237, 0.0411747870286284)); #138588=CARTESIAN_POINT('Ctrl Pts',(-1.71697760775198,2.51851489745059, 0.0575696864815234)); #138589=CARTESIAN_POINT('Ctrl Pts',(-1.7261403328284,2.52190289314865,0.0740203853290992)); #138590=CARTESIAN_POINT('Ctrl Pts',(-1.70176737815062,2.50702247152787, 0.0238691920596313)); #138591=CARTESIAN_POINT('Ctrl Pts',(-1.71110925258624,2.51039828831779, 0.0402138364460127)); #138592=CARTESIAN_POINT('Ctrl Pts',(-1.72033888327354,2.51373835484376, 0.0566355208404455)); #138593=CARTESIAN_POINT('Ctrl Pts',(-1.72949810689078,2.51705629660078, 0.0731097151686919)); #138594=CARTESIAN_POINT('Ctrl Pts',(-1.70682142008231,2.50621011803702, 0.021122531682362)); #138595=CARTESIAN_POINT('Ctrl Pts',(-1.71622143332744,2.50956358498635, 0.0374685929563638)); #138596=CARTESIAN_POINT('Ctrl Pts',(-1.72550754586998,2.51288378288191, 0.0538888555179168)); #138597=CARTESIAN_POINT('Ctrl Pts',(-1.73471877815767,2.51617817113208, 0.0703589058192026)); #138598=CARTESIAN_POINT('Ctrl Pts',(-1.70900622411314,2.50689430377587, 0.019722234993911)); #138599=CARTESIAN_POINT('Ctrl Pts',(-1.71842994981568,2.51025626089684, 0.0360681973930677)); #138600=CARTESIAN_POINT('Ctrl Pts',(-1.72773875941826,2.51358222844339, 0.0524876575732325)); #138601=CARTESIAN_POINT('Ctrl Pts',(-1.73697079667329,2.51688412614931, 0.0689547933891092)); #138602=CARTESIAN_POINT('',(-1.6976600766813,2.51423085630884,0.0247545361908692)); #138603=CARTESIAN_POINT('Ctrl Pts',(-1.7254673873697,2.52456078759298,0.0738647153904007)); #138604=CARTESIAN_POINT('Ctrl Pts',(-1.71628047944785,2.52114687404508, 0.0574318883480336)); #138605=CARTESIAN_POINT('Ctrl Pts',(-1.70702459960789,2.51770694009131, 0.0410542406215578)); #138606=CARTESIAN_POINT('Ctrl Pts',(-1.6976600766812,2.51423085630917,0.0247545361908545)); #138607=CARTESIAN_POINT('',(-1.70900622411314,2.50689430377587,0.019722234993911)); #138608=CARTESIAN_POINT('Origin',(-1.70591652481724,2.51640342043368,0.0195477109295381)); #138609=CARTESIAN_POINT('Ctrl Pts',(-1.70900622411314,2.50689430377587, 0.019722234993911)); #138610=CARTESIAN_POINT('Ctrl Pts',(-1.71842994981568,2.51025626089684, 0.0360681973930677)); #138611=CARTESIAN_POINT('Ctrl Pts',(-1.72773875941826,2.51358222844339, 0.0524876575732325)); #138612=CARTESIAN_POINT('Ctrl Pts',(-1.73697079667329,2.51688412614931, 0.0689547933891092)); #138613=CARTESIAN_POINT('Ctrl Pts',(-1.56217627903477,3.09523758765317, 0.200172829493574)); #138614=CARTESIAN_POINT('Ctrl Pts',(-1.69628523080686,2.9759324231695,0.200172829493574)); #138615=CARTESIAN_POINT('Ctrl Pts',(-1.76653559098535,2.81075427657414, 0.200172829493574)); #138616=CARTESIAN_POINT('Ctrl Pts',(-1.54578770165143,3.07681546030079, 0.203532513429334)); #138617=CARTESIAN_POINT('Ctrl Pts',(-1.67576139816929,2.9611890756582,0.203532513429334)); #138618=CARTESIAN_POINT('Ctrl Pts',(-1.74384558549205,2.80110420426608, 0.203532513429334)); #138619=CARTESIAN_POINT('Ctrl Pts',(-1.52930574723024,3.05828836937269, 0.205615732377126)); #138620=CARTESIAN_POINT('Ctrl Pts',(-1.65512062709025,2.94636172511928, 0.205615732377126)); #138621=CARTESIAN_POINT('Ctrl Pts',(-1.72102629937528,2.79139914883495, 0.205615732377126)); #138622=CARTESIAN_POINT('Ctrl Pts',(-1.73257637663862,2.79631140329139, 0.204478642168609)); #138623=CARTESIAN_POINT('Ctrl Pts',(-1.70506820859206,2.85370464907052, 0.20475578702326)); #138624=CARTESIAN_POINT('Ctrl Pts',(-1.64417215718801,2.94883500975033, 0.205182889216639)); #138625=CARTESIAN_POINT('Ctrl Pts',(-1.56596096916716,3.0275528999404,0.205498137010948)); #138626=CARTESIAN_POINT('Ctrl Pts',(-1.52930574722367,3.05828836937818, 0.205615732377149)); #138627=CARTESIAN_POINT('Ctrl Pts',(-1.72452847969242,2.79247672733192, 0.200593687913576)); #138628=CARTESIAN_POINT('Ctrl Pts',(-1.69750036754853,2.84929603424321, 0.20107366561299)); #138629=CARTESIAN_POINT('Ctrl Pts',(-1.63752716049659,2.94354226844361, 0.201794037256558)); #138630=CARTESIAN_POINT('Ctrl Pts',(-1.56029863173836,3.02158964609361, 0.202308278902025)); #138631=CARTESIAN_POINT('Ctrl Pts',(-1.52407769643444,3.0520686255943,0.202497730886271)); #138632=CARTESIAN_POINT('Ctrl Pts',(-1.72638623008837,2.7933533299371,0.203284274725987)); #138633=CARTESIAN_POINT('Ctrl Pts',(-1.69929894324416,2.85032931815909, 0.203631789879192)); #138634=CARTESIAN_POINT('Ctrl Pts',(-1.63916428913091,2.94483184056089, 0.204163122357641)); #138635=CARTESIAN_POINT('Ctrl Pts',(-1.56172206509613,3.02308230528809, 0.204545054573116)); #138636=CARTESIAN_POINT('Ctrl Pts',(-1.52540256330272,3.05363950749054, 0.204685178994018)); #138637=CARTESIAN_POINT('Ctrl Pts',(-1.72953808903537,2.79485513317992, 0.204805768839207)); #138638=CARTESIAN_POINT('Ctrl Pts',(-1.70223494642469,2.85204304192877, 0.205060418956513)); #138639=CARTESIAN_POINT('Ctrl Pts',(-1.64170940068409,2.94686344840505, 0.205461844600059)); #138640=CARTESIAN_POINT('Ctrl Pts',(-1.56387444469896,3.02534969809836, 0.205757742210503)); #138641=CARTESIAN_POINT('Ctrl Pts',(-1.52738395922697,3.05599674835908, 0.205866880491359)); #138642=CARTESIAN_POINT('Ctrl Pts',(-1.73257637663862,2.79631140329139, 0.204478642168609)); #138643=CARTESIAN_POINT('Ctrl Pts',(-1.70506820859206,2.85370464907052, 0.20475578702326)); #138644=CARTESIAN_POINT('Ctrl Pts',(-1.64417215718801,2.94883500975033, 0.205182889216639)); #138645=CARTESIAN_POINT('Ctrl Pts',(-1.56596096916716,3.0275528999404,0.205498137010948)); #138646=CARTESIAN_POINT('Ctrl Pts',(-1.52930574722367,3.05828836937818, 0.205615732377149)); #138647=CARTESIAN_POINT('Ctrl Pts',(-1.52407769643444,3.0520686255943,0.202497730886271)); #138648=CARTESIAN_POINT('Ctrl Pts',(-1.56029863173836,3.02158964609361, 0.202308278902025)); #138649=CARTESIAN_POINT('Ctrl Pts',(-1.63752716049659,2.94354226844361, 0.201794037256558)); #138650=CARTESIAN_POINT('Ctrl Pts',(-1.69750036754853,2.84929603424321, 0.20107366561299)); #138651=CARTESIAN_POINT('Ctrl Pts',(-1.72452847969242,2.79247672733192, 0.200593687913576)); #138652=CARTESIAN_POINT('Ctrl Pts',(-1.34268859116746,3.16518747790388, 0.202497730886271)); #138653=CARTESIAN_POINT('Ctrl Pts',(-1.31544678383431,3.11223280934,0.143249999321132)); #138654=CARTESIAN_POINT('Ctrl Pts',(-1.28820497650116,3.05927814077613, 0.0840022677559931)); #138655=CARTESIAN_POINT('Ctrl Pts',(-1.26096316916801,3.00632347221226, 0.0247545361908543)); #138656=CARTESIAN_POINT('Ctrl Pts',(-1.4290951429098,3.12665910770874,0.202497730886271)); #138657=CARTESIAN_POINT('Ctrl Pts',(-1.40557715379004,3.07369183019932, 0.143249999321132)); #138658=CARTESIAN_POINT('Ctrl Pts',(-1.38208437485444,3.02069150935074, 0.084002267755993)); #138659=CARTESIAN_POINT('Ctrl Pts',(-1.35856638573469,2.96772423184131, 0.0247545361908543)); #138660=CARTESIAN_POINT('Ctrl Pts',(-1.61433525694227,2.99943177792627, 0.202497730886271)); #138661=CARTESIAN_POINT('Ctrl Pts',(-1.59846759853729,2.94219421684355, 0.143249999321132)); #138662=CARTESIAN_POINT('Ctrl Pts',(-1.58263583364964,2.88497927831448, 0.0840022677559933)); #138663=CARTESIAN_POINT('Ctrl Pts',(-1.56676817524465,2.82774171723176, 0.0247545361908543)); #138664=CARTESIAN_POINT('Ctrl Pts',(-1.73147078332953,2.80471118147412, 0.202497730886271)); #138665=CARTESIAN_POINT('Ctrl Pts',(-1.7154516378949,2.73679530218739,0.143249999321132)); #138666=CARTESIAN_POINT('Ctrl Pts',(-1.69927277996406,2.66881991438673, 0.084002267755993)); #138667=CARTESIAN_POINT('Ctrl Pts',(-1.68325363452944,2.6009040351,0.0247545361908543)); #138668=CARTESIAN_POINT('Ctrl Pts',(-1.76837111465071,2.68448180923739, 0.202497730886271)); #138669=CARTESIAN_POINT('Ctrl Pts',(-1.74860986978465,2.61082297658421, 0.143249999321132)); #138670=CARTESIAN_POINT('Ctrl Pts',(-1.7288486249186,2.53716414393104,0.0840022677559931)); #138671=CARTESIAN_POINT('Ctrl Pts',(-1.70908738005254,2.46350531127786, 0.0247545361908543)); #138672=CARTESIAN_POINT('',(-1.27302288633756,3.00946153887336,0.0321806377021118)); #138673=CARTESIAN_POINT('Ctrl Pts',(-1.27302288633772,3.00946153887385, 0.0321806377026241)); #138674=CARTESIAN_POINT('Ctrl Pts',(-1.27812536497732,3.02614219889677, 0.0495551259953967)); #138675=CARTESIAN_POINT('Ctrl Pts',(-1.28322875075942,3.04283026085645, 0.0669227159657342)); #138676=CARTESIAN_POINT('Ctrl Pts',(-1.28833336276113,3.05952770750724, 0.0842814930267728)); #138677=CARTESIAN_POINT('Ctrl Pts',(-1.69766007668131,2.51423085630884, 0.0247545361908937)); #138678=CARTESIAN_POINT('Ctrl Pts',(-1.67480380594054,2.60780670533861, 0.027556227785329)); #138679=CARTESIAN_POINT('Ctrl Pts',(-1.57793745784175,2.81543724695718, 0.0312420394511454)); #138680=CARTESIAN_POINT('Ctrl Pts',(-1.39231509900181,2.96008775851089, 0.0320303016736891)); #138681=CARTESIAN_POINT('Ctrl Pts',(-1.27302245223198,3.00946093442465, 0.0321807029481741)); #138682=CARTESIAN_POINT('Ctrl Pts',(1.28833336279088,3.05952770767662,0.0842814928579352)); #138683=CARTESIAN_POINT('Ctrl Pts',(1.35057040621199,3.05931530380705,0.107710500881853)); #138684=CARTESIAN_POINT('Ctrl Pts',(1.41044684415068,3.0590220546181,0.137340303218531)); #138685=CARTESIAN_POINT('Ctrl Pts',(1.46752716350892,3.05867190148017,0.171592409300964)); #138686=CARTESIAN_POINT('Ctrl Pts',(1.28784897498455,3.06116161875789,0.085606632069639)); #138687=CARTESIAN_POINT('Ctrl Pts',(1.35001996194464,3.06096798189459,0.108949286973078)); #138688=CARTESIAN_POINT('Ctrl Pts',(1.40985591588848,3.06069089893513,0.138486306020609)); #138689=CARTESIAN_POINT('Ctrl Pts',(1.46691563002161,3.06035541086217,0.172647085406116)); #138690=CARTESIAN_POINT('Ctrl Pts',(1.28727085516509,3.0653749121594,0.0872276983371688)); #138691=CARTESIAN_POINT('Ctrl Pts',(1.34937889436958,3.06516646398909,0.11042521978261)); #138692=CARTESIAN_POINT('Ctrl Pts',(1.4091905723965,3.06487036923624,0.139810202014164)); #138693=CARTESIAN_POINT('Ctrl Pts',(1.46625611331471,3.06450668891019,0.173825284713407)); #138694=CARTESIAN_POINT('Ctrl Pts',(1.2878134517611,3.07086055076986,0.0858710168487662)); #138695=CARTESIAN_POINT('Ctrl Pts',(1.35003899431778,3.07056208053845,0.109046037284918)); #138696=CARTESIAN_POINT('Ctrl Pts',(1.40996794972518,3.07016566814044,0.138416653222253)); #138697=CARTESIAN_POINT('Ctrl Pts',(1.46714611576158,3.06969400985994,0.172423661393514)); #138698=CARTESIAN_POINT('Ctrl Pts',(1.28913645774685,3.07488062383145,0.082399738001529)); #138699=CARTESIAN_POINT('Ctrl Pts',(1.35159487748069,3.07450004655381,0.105669230646029)); #138700=CARTESIAN_POINT('Ctrl Pts',(1.41172124874372,3.07401328151157,0.135148714571003)); #138701=CARTESIAN_POINT('Ctrl Pts',(1.46906623875513,3.07344668188427,0.169269907369817)); #138702=CARTESIAN_POINT('Ctrl Pts',(1.29026394322335,3.07602624861541,0.0794016587713411)); #138703=CARTESIAN_POINT('Ctrl Pts',(1.35290991240269,3.07561762136868,0.102778205502044)); #138704=CARTESIAN_POINT('Ctrl Pts',(1.41318932450007,3.07510214163952,0.132380369882114)); #138705=CARTESIAN_POINT('Ctrl Pts',(1.47065636648229,3.07450380545846,0.166622368818258)); #138706=CARTESIAN_POINT('Ctrl Pts',(1.29101951666943,3.07606154133269,0.077379740352978)); #138707=CARTESIAN_POINT('Ctrl Pts',(1.35379174716699,3.07565221490313,0.100830035796488)); #138708=CARTESIAN_POINT('Ctrl Pts',(1.4141725369369,3.07513410251616,0.130512674566817)); #138709=CARTESIAN_POINT('Ctrl Pts',(1.471721245,3.07453495960481,0.164837548969122)); #138710=CARTESIAN_POINT('',(1.28833336279088,3.05952770767662,0.0842814928579353)); #138711=CARTESIAN_POINT('Ctrl Pts',(1.28833336279088,3.05952770767662,0.0842814928579353)); #138712=CARTESIAN_POINT('Ctrl Pts',(1.28784906478991,3.06116161845141,0.0856066658766835)); #138713=CARTESIAN_POINT('Ctrl Pts',(1.28756009439287,3.06326826490978,0.0864172324177258)); #138714=CARTESIAN_POINT('Ctrl Pts',(1.28752417346663,3.06541871556686,0.0865511960292879)); #138715=CARTESIAN_POINT('Ctrl Pts',(1.2874882525404,3.06756916622394,0.08668515964085)); #138716=CARTESIAN_POINT('Ctrl Pts',(1.28770538110392,3.06976342107318,0.0861425203497523)); #138717=CARTESIAN_POINT('Ctrl Pts',(1.28813061945326,3.07156685829057,0.0850359887966247)); #138718=CARTESIAN_POINT('Ctrl Pts',(1.28834323862793,3.07246857689926,0.0844827230200609)); #138719=CARTESIAN_POINT('Ctrl Pts',(1.28860788524772,3.0732725911046,0.083788484179365)); #138720=CARTESIAN_POINT('Ctrl Pts',(1.28890960780405,3.07393589207557,0.0829925441291899)); #138721=CARTESIAN_POINT('Ctrl Pts',(1.2895130529167,3.07526249401751,0.0814006640288397)); #138722=CARTESIAN_POINT('Ctrl Pts',(1.29026480179753,3.07602624301492,0.0794019791130896)); #138723=CARTESIAN_POINT('Ctrl Pts',(1.29102046773417,3.07606153513095,0.0773800956499494)); #138724=CARTESIAN_POINT('',(1.46752716350892,3.05867190148017,0.171592409300964)); #138725=CARTESIAN_POINT('Origin',(1.471721245,3.06453648265325,0.164663024904749)); #138726=CARTESIAN_POINT('Ctrl Pts',(1.46752716350892,3.05867190148017,0.171592409300964)); #138727=CARTESIAN_POINT('Ctrl Pts',(1.41044684415068,3.0590220546181,0.137340303218531)); #138728=CARTESIAN_POINT('Ctrl Pts',(1.35057040621199,3.05931530380705,0.107710500881853)); #138729=CARTESIAN_POINT('Ctrl Pts',(1.28833336279088,3.05952770767662,0.0842814928579352)); #138730=CARTESIAN_POINT('Ctrl Pts',(1.46752716350892,3.05867190148017,0.171592409300964)); #138731=CARTESIAN_POINT('Ctrl Pts',(1.48609388480196,3.05855800590644,0.182733715349745)); #138732=CARTESIAN_POINT('Ctrl Pts',(1.50509663076094,3.05644269313632,0.193120824766776)); #138733=CARTESIAN_POINT('Ctrl Pts',(1.52407769643399,3.05206862559217,0.20249773088423)); #138734=CARTESIAN_POINT('Ctrl Pts',(1.46691563002161,3.06035541086217,0.172647085406116)); #138735=CARTESIAN_POINT('Ctrl Pts',(1.48559949891778,3.06027274845578,0.183856852076214)); #138736=CARTESIAN_POINT('Ctrl Pts',(1.5047351387811,3.05817592752922,0.19431422453124)); #138737=CARTESIAN_POINT('Ctrl Pts',(1.52386039198764,3.05379744641182,0.20376200243535)); #138738=CARTESIAN_POINT('Ctrl Pts',(1.46625611770647,3.06450668693031,0.17382527731567)); #138739=CARTESIAN_POINT('Ctrl Pts',(1.48521037455498,3.06449672999717,0.185151014939402)); #138740=CARTESIAN_POINT('Ctrl Pts',(1.50464567687292,3.06244170727879,0.195729264316773)); #138741=CARTESIAN_POINT('Ctrl Pts',(1.52410017409691,3.05805918540057,0.205300477099999)); #138742=CARTESIAN_POINT('Ctrl Pts',(1.46714611885214,3.06969400626634,0.172423656149191)); #138743=CARTESIAN_POINT('Ctrl Pts',(1.48640433477461,3.06971614643464,0.183775341047252)); #138744=CARTESIAN_POINT('Ctrl Pts',(1.50616390366236,3.06766225175226,0.194381678083547)); #138745=CARTESIAN_POINT('Ctrl Pts',(1.52595779511575,3.06324056858523,0.203983869644814)); #138746=CARTESIAN_POINT('Ctrl Pts',(1.46906624237025,3.07344667646476,0.169269901214146)); #138747=CARTESIAN_POINT('Ctrl Pts',(1.48851324516114,3.07341450665714,0.180560407441322)); #138748=CARTESIAN_POINT('Ctrl Pts',(1.50845835621323,3.07128985796552,0.191105458157785)); #138749=CARTESIAN_POINT('Ctrl Pts',(1.52842611685244,3.06678332644572,0.200645300469269)); #138750=CARTESIAN_POINT('Ctrl Pts',(1.47065636648229,3.07450380545846,0.166622368818258)); #138751=CARTESIAN_POINT('Ctrl Pts',(1.49012761498148,3.07438541413766,0.17783865427299)); #138752=CARTESIAN_POINT('Ctrl Pts',(1.51007554731472,3.07217168457458,0.188304838376471)); #138753=CARTESIAN_POINT('Ctrl Pts',(1.53002869483326,3.06758862039593,0.197766901852974)); #138754=CARTESIAN_POINT('Ctrl Pts',(1.471721245,3.07453495960481,0.164837548969122)); #138755=CARTESIAN_POINT('Ctrl Pts',(1.49115883192398,3.0743400742297,0.176002524631688)); #138756=CARTESIAN_POINT('Ctrl Pts',(1.51105896091642,3.07205726735087,0.186417167691251)); #138757=CARTESIAN_POINT('Ctrl Pts',(1.53094283741809,3.06741779960435,0.195825358962492)); #138758=CARTESIAN_POINT('',(1.53094283741809,3.06741779960435,0.195825358962492)); #138759=CARTESIAN_POINT('',(1.52407769643381,3.05206862559357,0.202497730885252)); #138760=CARTESIAN_POINT('Origin',(1.52874932255691,3.05766290166,0.195650834898119)); #138761=CARTESIAN_POINT('Ctrl Pts',(1.52407769643399,3.05206862559217,0.20249773088423)); #138762=CARTESIAN_POINT('Ctrl Pts',(1.50509663076094,3.05644269313632,0.193120824766776)); #138763=CARTESIAN_POINT('Ctrl Pts',(1.48609388480196,3.05855800590644,0.182733715349745)); #138764=CARTESIAN_POINT('Ctrl Pts',(1.46752716350892,3.05867190148017,0.171592409300964)); #138765=CARTESIAN_POINT('Ctrl Pts',(1.471721245,3.07453495960481,0.164837548969122)); #138766=CARTESIAN_POINT('Ctrl Pts',(1.49115883192398,3.0743400742297,0.176002524631688)); #138767=CARTESIAN_POINT('Ctrl Pts',(1.51105896091642,3.07205726735087,0.186417167691251)); #138768=CARTESIAN_POINT('Ctrl Pts',(1.53094283741809,3.06741779960435,0.195825358962492)); #138769=CARTESIAN_POINT('Ctrl Pts',(1.52930574723154,3.05828836937416,0.205615732376961)); #138770=CARTESIAN_POINT('Ctrl Pts',(1.57840575779414,3.04748828434657,0.203551952741826)); #138771=CARTESIAN_POINT('Ctrl Pts',(1.68549171158218,2.98813969001741,0.198459656385858)); #138772=CARTESIAN_POINT('Ctrl Pts',(1.73288139297268,2.87144722574244,0.201433346842797)); #138773=CARTESIAN_POINT('Ctrl Pts',(1.73260910677098,2.80445103686135,0.204156991793534)); #138774=CARTESIAN_POINT('Ctrl Pts',(1.52983658353955,3.06069057944437,0.205435311528094)); #138775=CARTESIAN_POINT('Ctrl Pts',(1.57938605405137,3.04973861191116,0.203305235620937)); #138776=CARTESIAN_POINT('Ctrl Pts',(1.68738537851324,2.98976362796645,0.198101550069076)); #138777=CARTESIAN_POINT('Ctrl Pts',(1.73524748347006,2.87205915104794,0.201133702128972)); #138778=CARTESIAN_POINT('Ctrl Pts',(1.73503465809556,2.80444032406055,0.203933793185463)); #138779=CARTESIAN_POINT('Ctrl Pts',(1.53078700168205,3.06535465419201,0.203164050126213)); #138780=CARTESIAN_POINT('Ctrl Pts',(1.58119392445404,3.05407725374631,0.200985496124925)); #138781=CARTESIAN_POINT('Ctrl Pts',(1.69095564514741,2.99284812139087,0.195705416818069)); #138782=CARTESIAN_POINT('Ctrl Pts',(1.73975765964433,2.87316856810191,0.198775387681549)); #138783=CARTESIAN_POINT('Ctrl Pts',(1.73969485936135,2.80434409819922,0.20162999971674)); #138784=CARTESIAN_POINT('Ctrl Pts',(1.53103143080278,3.06735378881134,0.198289705920924)); #138785=CARTESIAN_POINT('Ctrl Pts',(1.58180096277837,3.05595381625378,0.196200427967205)); #138786=CARTESIAN_POINT('Ctrl Pts',(1.69244745209636,2.99419900978371,0.191078562690145)); #138787=CARTESIAN_POINT('Ctrl Pts',(1.74166906461741,2.87350733119116,0.194065038862479)); #138788=CARTESIAN_POINT('Ctrl Pts',(1.74164776227698,2.80414847574982,0.196815220213997)); #138789=CARTESIAN_POINT('Ctrl Pts',(1.5309428374267,3.06741779960238,0.195825358964347)); #138790=CARTESIAN_POINT('Ctrl Pts',(1.58171726686789,3.05603705293929,0.19378298539995)); #138791=CARTESIAN_POINT('Ctrl Pts',(1.69248674243413,2.99428205829769,0.18874350769485)); #138792=CARTESIAN_POINT('Ctrl Pts',(1.74173728696549,2.87343018606143,0.191686354244237)); #138793=CARTESIAN_POINT('Ctrl Pts',(1.74169023867806,2.80405027,0.19438174882585)); #138794=CARTESIAN_POINT('',(1.74169023867806,2.80405027,0.19438174882585)); #138795=CARTESIAN_POINT('',(1.73260910677098,2.80445103686135,0.204156991793534)); #138796=CARTESIAN_POINT('Origin',(1.7316917617265,2.80405027,0.194207224761477)); #138797=CARTESIAN_POINT('',(1.5293057472313,3.05828836937306,0.205615732377043)); #138798=CARTESIAN_POINT('Ctrl Pts',(1.73260910677098,2.80445103686135,0.204156991793534)); #138799=CARTESIAN_POINT('Ctrl Pts',(1.73288139297268,2.87144722574244,0.201433346842797)); #138800=CARTESIAN_POINT('Ctrl Pts',(1.68549171158218,2.98813969001741,0.198459656385858)); #138801=CARTESIAN_POINT('Ctrl Pts',(1.57840575779414,3.04748828434657,0.203551952741826)); #138802=CARTESIAN_POINT('Ctrl Pts',(1.52930574723154,3.05828836937416,0.205615732376961)); #138803=CARTESIAN_POINT('Origin',(1.5287493225652,3.0576629016581,0.195650834899974)); #138804=CARTESIAN_POINT('Ctrl Pts',(1.5309428374267,3.06741779960238,0.195825358964347)); #138805=CARTESIAN_POINT('Ctrl Pts',(1.58171726686789,3.05603705293929,0.19378298539995)); #138806=CARTESIAN_POINT('Ctrl Pts',(1.69248674243413,2.99428205829769,0.18874350769485)); #138807=CARTESIAN_POINT('Ctrl Pts',(1.74173728696549,2.87343018606143,0.191686354244237)); #138808=CARTESIAN_POINT('Ctrl Pts',(1.74169023867806,2.80405027,0.19438174882585)); #138809=CARTESIAN_POINT('Ctrl Pts',(1.73260910677098,2.80445103686134,0.204156991793534)); #138810=CARTESIAN_POINT('Ctrl Pts',(1.73259807967938,2.80173781413015,0.204267294416944)); #138811=CARTESIAN_POINT('Ctrl Pts',(1.73258716912932,2.79902459945931,0.204374473968052)); #138812=CARTESIAN_POINT('Ctrl Pts',(1.73257637663613,2.79631140329708,0.204478642168614)); #138813=CARTESIAN_POINT('Ctrl Pts',(1.73538116542764,2.80443879366043,0.203901907670024)); #138814=CARTESIAN_POINT('Ctrl Pts',(1.73537212104825,2.80172588162306,0.204014643780955)); #138815=CARTESIAN_POINT('Ctrl Pts',(1.73536313979192,2.79901301202219,0.204124046087997)); #138816=CARTESIAN_POINT('Ctrl Pts',(1.73535429556044,2.79630010732167,0.204230624385672)); #138817=CARTESIAN_POINT('Ctrl Pts',(1.73999423388614,2.80433108681847,0.201312713959876)); #138818=CARTESIAN_POINT('Ctrl Pts',(1.7399901994117,2.80162026795844,0.20142640243816)); #138819=CARTESIAN_POINT('Ctrl Pts',(1.73998624325637,2.79890939748406,0.201537009458706)); #138820=CARTESIAN_POINT('Ctrl Pts',(1.73998234111441,2.79619850825097,0.201644546889637)); #138821=CARTESIAN_POINT('Ctrl Pts',(1.74165383033428,2.80413444635699,0.196467581444262)); #138822=CARTESIAN_POINT('Ctrl Pts',(1.74165191879174,2.8014272143011,0.19657810169673)); #138823=CARTESIAN_POINT('Ctrl Pts',(1.74165000826976,2.79871987622173,0.196685546178441)); #138824=CARTESIAN_POINT('Ctrl Pts',(1.74164820299454,2.79601245628183,0.196789971521642)); #138825=CARTESIAN_POINT('Ctrl Pts',(1.74169023867806,2.80405027,0.19438174882585)); #138826=CARTESIAN_POINT('Ctrl Pts',(1.74168833330874,2.80134457195161,0.194490907361141)); #138827=CARTESIAN_POINT('Ctrl Pts',(1.74168648188742,2.79863874923761,0.194596975217467)); #138828=CARTESIAN_POINT('Ctrl Pts',(1.74168468248422,2.79593281301787,0.194700062957775)); #138829=CARTESIAN_POINT('',(1.74168468248422,2.79593281301787,0.194700062957775)); #138830=CARTESIAN_POINT('',(1.732576376636,2.79631140329709,0.204478642168625)); #138831=CARTESIAN_POINT('Origin',(1.73168620553266,2.79593281301787,0.194525538893402)); #138832=CARTESIAN_POINT('Ctrl Pts',(1.73257637663613,2.79631140329708,0.204478642168614)); #138833=CARTESIAN_POINT('Ctrl Pts',(1.73258716912932,2.79902459945931,0.204374473968052)); #138834=CARTESIAN_POINT('Ctrl Pts',(1.73259807967938,2.80173781413015,0.204267294416944)); #138835=CARTESIAN_POINT('Ctrl Pts',(1.73260910677098,2.80445103686134,0.204156991793534)); #138836=CARTESIAN_POINT('Ctrl Pts',(1.74169023867806,2.80405027,0.19438174882585)); #138837=CARTESIAN_POINT('Ctrl Pts',(1.74168833330874,2.80134457195161,0.194490907361141)); #138838=CARTESIAN_POINT('Ctrl Pts',(1.74168648188742,2.79863874923761,0.194596975217467)); #138839=CARTESIAN_POINT('Ctrl Pts',(1.74168468248422,2.79593281301787,0.194700062957775)); #138840=CARTESIAN_POINT('Origin',(1.52874932255814,3.05766290166146,0.195650834900157)); #138841=CARTESIAN_POINT('Origin',(1.52874932255814,3.05766290166146,0.195650834900157)); #138842=CARTESIAN_POINT('Ctrl Pts',(1.72452847969,2.79247672733221,0.200593687910892)); #138843=CARTESIAN_POINT('Ctrl Pts',(1.72497890911733,2.70621072031297,0.151992597162866)); #138844=CARTESIAN_POINT('Ctrl Pts',(1.72533261961119,2.61753659747044,0.107150565721556)); #138845=CARTESIAN_POINT('Ctrl Pts',(1.7254673873697,2.52456078759076,0.0738647153896156)); #138846=CARTESIAN_POINT('Ctrl Pts',(1.72609351020106,2.79170656505922,0.202001087844884)); #138847=CARTESIAN_POINT('Ctrl Pts',(1.7264896876185,2.7054291179667,0.153537905339526)); #138848=CARTESIAN_POINT('Ctrl Pts',(1.72677369370184,2.61674404519056,0.108861723837748)); #138849=CARTESIAN_POINT('Ctrl Pts',(1.72678199726204,2.52389132578375,0.0757988469550785)); #138850=CARTESIAN_POINT('Ctrl Pts',(1.73034075120374,2.79071180385028,0.203857773066594)); #138851=CARTESIAN_POINT('Ctrl Pts',(1.73074859657134,2.70437259928016,0.155657952564729)); #138852=CARTESIAN_POINT('Ctrl Pts',(1.73102504936177,2.61561551079236,0.111302386199268)); #138853=CARTESIAN_POINT('Ctrl Pts',(1.73097084785825,2.52289733626853,0.0787096128966657)); #138854=CARTESIAN_POINT('Ctrl Pts',(1.7373006892372,2.79148502257384,0.202593700045378)); #138855=CARTESIAN_POINT('Ctrl Pts',(1.73794079720195,2.70500743899425,0.154527097325622)); #138856=CARTESIAN_POINT('Ctrl Pts',(1.7384818270396,2.61608232915243,0.110344711421085)); #138857=CARTESIAN_POINT('Ctrl Pts',(1.7387381806803,2.52318003653258,0.0780381372022161)); #138858=CARTESIAN_POINT('Ctrl Pts',(1.74105817696998,2.79374653949903,0.198606791524815)); #138859=CARTESIAN_POINT('Ctrl Pts',(1.74187359178272,2.70715258845764,0.150405134998882)); #138860=CARTESIAN_POINT('Ctrl Pts',(1.74261602393237,2.61809078035345,0.106060805623037)); #138861=CARTESIAN_POINT('Ctrl Pts',(1.74314359867973,2.52478282605934,0.0735393775953411)); #138862=CARTESIAN_POINT('Ctrl Pts',(1.74166760476246,2.79538665533289,0.195678444982101)); #138863=CARTESIAN_POINT('Ctrl Pts',(1.74251066840895,2.70872509909612,0.147346888926463)); #138864=CARTESIAN_POINT('Ctrl Pts',(1.7432890626765,2.61958487484387,0.102846908769173)); #138865=CARTESIAN_POINT('Ctrl Pts',(1.74385954133537,2.52599110049379,0.0701024828232241)); #138866=CARTESIAN_POINT('Ctrl Pts',(1.74168468248427,2.7959328130125,0.194700062955081)); #138867=CARTESIAN_POINT('Ctrl Pts',(1.74252906401589,2.70924860633453,0.14632547740676)); #138868=CARTESIAN_POINT('Ctrl Pts',(1.74330673487568,2.62008219192712,0.101772743687851)); #138869=CARTESIAN_POINT('Ctrl Pts',(1.74387957432897,2.52639324280487,0.0689547933883353)); #138870=CARTESIAN_POINT('',(1.74387957432897,2.52639324280599,0.0689547933883211)); #138871=CARTESIAN_POINT('',(1.72546738736944,2.52456078759177,0.0738647153895502)); #138872=CARTESIAN_POINT('Origin',(1.73388109737741,2.52639324280487,0.0687802693239625)); #138873=CARTESIAN_POINT('',(1.72452847969149,2.79247672733147,0.200593687912229)); #138874=CARTESIAN_POINT('Ctrl Pts',(1.7254673873697,2.52456078759076,0.0738647153896156)); #138875=CARTESIAN_POINT('Ctrl Pts',(1.72533261961119,2.61753659747044,0.107150565721556)); #138876=CARTESIAN_POINT('Ctrl Pts',(1.72497890911733,2.70621072031297,0.151992597162866)); #138877=CARTESIAN_POINT('Ctrl Pts',(1.72452847969,2.79247672733221,0.200593687910892)); #138878=CARTESIAN_POINT('Origin',(1.7316862055327,2.7959328130125,0.194525538890708)); #138879=CARTESIAN_POINT('Ctrl Pts',(1.74168468248427,2.7959328130125,0.194700062955081)); #138880=CARTESIAN_POINT('Ctrl Pts',(1.74252906401589,2.70924860633453,0.14632547740676)); #138881=CARTESIAN_POINT('Ctrl Pts',(1.74330673487568,2.62008219192712,0.101772743687851)); #138882=CARTESIAN_POINT('Ctrl Pts',(1.74387957432897,2.52639324280487,0.0689547933883353)); #138883=CARTESIAN_POINT('Origin',(1.73388109737739,2.52639324280712,0.068780269324748)); #138884=CARTESIAN_POINT('',(1.73697079667329,2.51688412614931,0.0689547933891204)); #138885=CARTESIAN_POINT('Origin',(1.73388109737739,2.52639324280712,0.0687802693247479)); #138886=CARTESIAN_POINT('Origin',(1.73388109737739,2.52639324280712,0.068780269324748)); #138887=CARTESIAN_POINT('Origin',(1.73168620553246,2.79593281301778,0.194525538893424)); #138888=CARTESIAN_POINT('Origin',(1.73168620553246,2.79593281301778,0.194525538893424)); #138889=CARTESIAN_POINT('Ctrl Pts',(1.27427850465017,3.07828191664221,-0.049825475935627)); #138890=CARTESIAN_POINT('Ctrl Pts',(1.27451904992281,3.0778063022956,-0.0464028991510557)); #138891=CARTESIAN_POINT('Ctrl Pts',(1.27582751862206,3.07567077955929,-0.0424029395342363)); #138892=CARTESIAN_POINT('Ctrl Pts',(1.27884075181826,3.07265468694142,-0.0380228473804423)); #138893=CARTESIAN_POINT('Ctrl Pts',(1.28014473209235,3.07138749747704,-0.0335345436244908)); #138894=CARTESIAN_POINT('Ctrl Pts',(1.27998563347787,3.07070830088509,-0.0308571961818617)); #138895=CARTESIAN_POINT('Ctrl Pts',(1.27427850465017,3.0782819166422,-0.0498254759356271)); #138896=CARTESIAN_POINT('Ctrl Pts',(1.27464912545614,3.07810485338816,-0.0475339818228162)); #138897=CARTESIAN_POINT('Ctrl Pts',(1.2766911426521,3.07732454761848,-0.044268142702157)); #138898=CARTESIAN_POINT('Ctrl Pts',(1.28043113827055,3.07599702605471,-0.0389962743974041)); #138899=CARTESIAN_POINT('Ctrl Pts',(1.28148531913417,3.07528552249584,-0.0337697366653281)); #138900=CARTESIAN_POINT('Ctrl Pts',(1.28111792428468,3.07490458401117,-0.0307988431875927)); #138901=CARTESIAN_POINT('Ctrl Pts',(1.27427850465017,3.07828191664222,-0.0498254759356271)); #138902=CARTESIAN_POINT('Ctrl Pts',(1.2747782751157,3.07826160388408,-0.0486738884915159)); #138903=CARTESIAN_POINT('Ctrl Pts',(1.27765430379114,3.07823024639989,-0.0466328907735222)); #138904=CARTESIAN_POINT('Ctrl Pts',(1.28333412990062,3.07816917207096,-0.0414638686100195)); #138905=CARTESIAN_POINT('Ctrl Pts',(1.28543997340246,3.07807640291115,-0.0347912006946335)); #138906=CARTESIAN_POINT('Ctrl Pts',(1.28525095851072,3.07801904869141,-0.0307988431875928)); #138907=CARTESIAN_POINT('Ctrl Pts',(1.27427850465017,3.07828191664221,-0.0498254759356272)); #138908=CARTESIAN_POINT('Ctrl Pts',(1.27490817583009,3.07828176021358,-0.0498165141453981)); #138909=CARTESIAN_POINT('Ctrl Pts',(1.278615103888,3.07826775383306,-0.0490140891432513)); #138910=CARTESIAN_POINT('Ctrl Pts',(1.28627770242366,3.07818082892467,-0.0440341644757955)); #138911=CARTESIAN_POINT('Ctrl Pts',(1.28955612669367,3.07803917494025,-0.0359188131443795)); #138912=CARTESIAN_POINT('Ctrl Pts',(1.28959678638913,3.07795082408753,-0.0308571961818618)); #138913=CARTESIAN_POINT('',(1.27998563347787,3.07070830088509,-0.0308571961818618)); #138914=CARTESIAN_POINT('Origin',(1.28959678638913,3.06795234713597,-0.0310317202462346)); #138915=CARTESIAN_POINT('Ctrl Pts',(1.27998563347787,3.07070830088509,-0.0308571961818617)); #138916=CARTESIAN_POINT('Ctrl Pts',(1.28014473209235,3.07138749747704,-0.0335345436244908)); #138917=CARTESIAN_POINT('Ctrl Pts',(1.27884075181826,3.07265468694142,-0.0380228473804423)); #138918=CARTESIAN_POINT('Ctrl Pts',(1.27582751862206,3.07567077955929,-0.0424029395342363)); #138919=CARTESIAN_POINT('Ctrl Pts',(1.27451904992281,3.0778063022956,-0.0464028991510557)); #138920=CARTESIAN_POINT('Ctrl Pts',(1.27427850465017,3.07828191664221,-0.049825475935627)); #138921=CARTESIAN_POINT('Origin',(1.28992012166725,3.06752326672949,-0.00644972022253623)); #138922=CARTESIAN_POINT('',(1.28140933155069,3.06881898975836,0.0773813669541251)); #138923=CARTESIAN_POINT('Ctrl Pts',(1.29102046773417,3.07606153513095,0.0773800956499493)); #138924=CARTESIAN_POINT('Ctrl Pts',(1.28994446707963,3.07606128631517,0.0773943502963835)); #138925=CARTESIAN_POINT('Ctrl Pts',(1.28886866024282,3.07588587426693,0.0774055449078724)); #138926=CARTESIAN_POINT('Ctrl Pts',(1.28682782745928,3.07520272329151,0.0774206762054564)); #138927=CARTESIAN_POINT('Ctrl Pts',(1.2858631691235,3.07469510741806,0.0774246102041525)); #138928=CARTESIAN_POINT('Ctrl Pts',(1.28414435639845,3.07339988333202,0.0774248220881852)); #138929=CARTESIAN_POINT('Ctrl Pts',(1.28339051161541,3.07261250842445,0.0774210999735218)); #138930=CARTESIAN_POINT('Ctrl Pts',(1.28217130056825,3.07083902857602,0.077406392443998)); #138931=CARTESIAN_POINT('Ctrl Pts',(1.28170615391827,3.06985324308727,0.0773954097165366)); #138932=CARTESIAN_POINT('Ctrl Pts',(1.28140933155069,3.06881898975836,0.0773813669541251)); #138933=CARTESIAN_POINT('',(1.28030896875599,3.07027922047862,-0.00627519615816339)); #138934=CARTESIAN_POINT('Ctrl Pts',(1.28140933154774,3.06881898974809,0.0773813669539846)); #138935=CARTESIAN_POINT('Ctrl Pts',(1.28144311216702,3.06877416135496,0.0799495838332757)); #138936=CARTESIAN_POINT('Ctrl Pts',(1.28164061614192,3.06318710395221,0.0830275536904782)); #138937=CARTESIAN_POINT('Ctrl Pts',(1.28653027877833,3.05953386127905,0.0836027255599453)); #138938=CARTESIAN_POINT('Ctrl Pts',(1.28833336279088,3.05952770767662,0.0842814928579352)); #138939=CARTESIAN_POINT('Ctrl Pts',(1.28208778266868,3.07118299735845,0.0774134646967299)); #138940=CARTESIAN_POINT('Ctrl Pts',(1.28204261973908,3.07093721180675,0.0802409099174681)); #138941=CARTESIAN_POINT('Ctrl Pts',(1.28158111746929,3.06566758413221,0.0845851496539336)); #138942=CARTESIAN_POINT('Ctrl Pts',(1.28577248510316,3.06243464031456,0.0862925791059483)); #138943=CARTESIAN_POINT('Ctrl Pts',(1.28736476678894,3.06279552922618,0.0869318388954226)); #138944=CARTESIAN_POINT('Ctrl Pts',(1.28486909024457,3.07483968390565,0.0774402849575126)); #138945=CARTESIAN_POINT('Ctrl Pts',(1.2847384705269,3.07478758938545,0.0793494648321269)); #138946=CARTESIAN_POINT('Ctrl Pts',(1.28444925461385,3.0735454353141,0.084576913219074)); #138947=CARTESIAN_POINT('Ctrl Pts',(1.28637279761052,3.072305987522,0.0871974468784303)); #138948=CARTESIAN_POINT('Ctrl Pts',(1.2872433000151,3.07186873206307,0.08741630850029)); #138949=CARTESIAN_POINT('Ctrl Pts',(1.28917589518353,3.07606110858962,0.0774045321866936)); #138950=CARTESIAN_POINT('Ctrl Pts',(1.28927119070306,3.07607653048648,0.0778617286846749)); #138951=CARTESIAN_POINT('Ctrl Pts',(1.28963805586455,3.07614247248871,0.078861179794171)); #138952=CARTESIAN_POINT('Ctrl Pts',(1.2898832145535,3.07609931526236,0.0799334114527581)); #138953=CARTESIAN_POINT('Ctrl Pts',(1.28988696883869,3.07600859696996,0.0804129208607852)); #138954=CARTESIAN_POINT('Ctrl Pts',(1.29102046773417,3.07606153513095,0.0773800956499493)); #138955=CARTESIAN_POINT('Ctrl Pts',(1.29102046773417,3.07606153513095,0.0773800956499493)); #138956=CARTESIAN_POINT('Ctrl Pts',(1.29102046773417,3.07606153513095,0.0773800956499566)); #138957=CARTESIAN_POINT('Ctrl Pts',(1.29102046773415,3.07606153513095,0.0773800956500199)); #138958=CARTESIAN_POINT('Ctrl Pts',(1.29102046773415,3.07606153513095,0.0773800956500199)); #138959=CARTESIAN_POINT('Ctrl Pts',(1.28833336279088,3.05952770767662,0.0842814928579352)); #138960=CARTESIAN_POINT('Ctrl Pts',(1.28653027877833,3.05953386127905,0.0836027255599453)); #138961=CARTESIAN_POINT('Ctrl Pts',(1.28164061614192,3.06318710395221,0.0830275536904782)); #138962=CARTESIAN_POINT('Ctrl Pts',(1.28144311216702,3.06877416135496,0.0799495838332757)); #138963=CARTESIAN_POINT('Ctrl Pts',(1.28140933154774,3.06881898974809,0.0773813669539846)); #138964=CARTESIAN_POINT('Ctrl Pts',(1.7254673873697,2.52456078759299,0.0738647153904126)); #138965=CARTESIAN_POINT('Ctrl Pts',(1.71628047944785,2.52114687404508,0.0574318883480408)); #138966=CARTESIAN_POINT('Ctrl Pts',(1.70702459960789,2.5177069400913,0.0410542406215618)); #138967=CARTESIAN_POINT('Ctrl Pts',(1.6976600766812,2.51423085630916,0.0247545361908544)); #138968=CARTESIAN_POINT('Ctrl Pts',(1.7261403328284,2.52190289314866,0.0740203853291111)); #138969=CARTESIAN_POINT('Ctrl Pts',(1.71697760775198,2.51851489745059,0.0575696864815306)); #138970=CARTESIAN_POINT('Ctrl Pts',(1.70774360047146,2.51510186569237,0.0411747870286324)); #138971=CARTESIAN_POINT('Ctrl Pts',(1.69840249215303,2.51165389597113,0.0248565375508424)); #138972=CARTESIAN_POINT('Ctrl Pts',(1.72949810689078,2.51705629660078,0.0731097151687039)); #138973=CARTESIAN_POINT('Ctrl Pts',(1.72033888327354,2.51373835484377,0.0566355208404527)); #138974=CARTESIAN_POINT('Ctrl Pts',(1.71110925258623,2.51039828831779,0.0402138364460166)); #138975=CARTESIAN_POINT('Ctrl Pts',(1.70176737815062,2.50702247152787,0.0238691920596313)); #138976=CARTESIAN_POINT('Ctrl Pts',(1.73471877815767,2.51617817113208,0.0703589058192145)); #138977=CARTESIAN_POINT('Ctrl Pts',(1.72550754586998,2.51288378288191,0.053888855517924)); #138978=CARTESIAN_POINT('Ctrl Pts',(1.71622143332743,2.50956358498635,0.0374685929563678)); #138979=CARTESIAN_POINT('Ctrl Pts',(1.70682142008231,2.50621011803702,0.021122531682362)); #138980=CARTESIAN_POINT('Ctrl Pts',(1.73697079667329,2.51688412614931,0.0689547933891211)); #138981=CARTESIAN_POINT('Ctrl Pts',(1.72773875941826,2.51358222844339,0.0524876575732397)); #138982=CARTESIAN_POINT('Ctrl Pts',(1.71842994981568,2.51025626089684,0.0360681973930717)); #138983=CARTESIAN_POINT('Ctrl Pts',(1.70900622411313,2.50689430377587,0.019722234993911)); #138984=CARTESIAN_POINT('',(1.70900622411313,2.50689430377587,0.0197222349939109)); #138985=CARTESIAN_POINT('Ctrl Pts',(1.73697079667329,2.51688412614931,0.0689547933891211)); #138986=CARTESIAN_POINT('Ctrl Pts',(1.72773875941826,2.51358222844339,0.0524876575732397)); #138987=CARTESIAN_POINT('Ctrl Pts',(1.71842994981568,2.51025626089684,0.0360681973930717)); #138988=CARTESIAN_POINT('Ctrl Pts',(1.70900622411313,2.50689430377587,0.019722234993911)); #138989=CARTESIAN_POINT('',(1.69766007668129,2.51423085630884,0.0247545361908693)); #138990=CARTESIAN_POINT('Origin',(1.70591652481723,2.51640342043368,0.0195477109295381)); #138991=CARTESIAN_POINT('Ctrl Pts',(1.6976600766812,2.51423085630916,0.0247545361908544)); #138992=CARTESIAN_POINT('Ctrl Pts',(1.70702459960789,2.5177069400913,0.0410542406215618)); #138993=CARTESIAN_POINT('Ctrl Pts',(1.71628047944785,2.52114687404508,0.0574318883480408)); #138994=CARTESIAN_POINT('Ctrl Pts',(1.7254673873697,2.52456078759299,0.0738647153904126)); #138995=CARTESIAN_POINT('Origin',(1.73516501928106,2.52546041894146,-0.00477556727335396)); #138996=CARTESIAN_POINT('',(1.74562515966382,2.52512500082508,-0.0310497234681421)); #138997=CARTESIAN_POINT('',(1.74516349623263,2.52546041894146,-0.00460104320898113)); #138998=CARTESIAN_POINT('',(1.73871638200815,2.51561588416727,-0.0310497234681421)); #138999=CARTESIAN_POINT('Origin',(1.73562668271225,2.52512500082508,-0.0312242475325149)); #139000=CARTESIAN_POINT('',(1.73825471857696,2.51595130228365,-0.00460104320898113)); #139001=CARTESIAN_POINT('Origin',(1.74578138732181,2.51774717606691,-0.04)); #139002=CARTESIAN_POINT('',(1.74595289164221,2.49138349298082,-0.0498254759356272)); #139003=CARTESIAN_POINT('',(1.7452414003204,2.49040420918891,-0.00906416540849907)); #139004=CARTESIAN_POINT('',(1.74595289164221,2.5103582521638,-0.0498254759356272)); #139005=CARTESIAN_POINT('',(1.74595289164221,0.808873588033454,-0.0498254759356272)); #139006=CARTESIAN_POINT('Ctrl Pts',(1.74562515966382,2.52512500082508,-0.0310497234681421)); #139007=CARTESIAN_POINT('Ctrl Pts',(1.7457116409153,2.52508470601661,-0.0360042310473821)); #139008=CARTESIAN_POINT('Ctrl Pts',(1.74585022682862,2.52198279688934,-0.043943812703868)); #139009=CARTESIAN_POINT('Ctrl Pts',(1.74593825427535,2.51459542999919,-0.0489869017498707)); #139010=CARTESIAN_POINT('Ctrl Pts',(1.74595269783258,2.51097318059292,-0.0498143725888687)); #139011=CARTESIAN_POINT('Ctrl Pts',(1.74595289164221,2.5103582521638,-0.0498254759356591)); #139012=CARTESIAN_POINT('',(1.74578191281276,2.80405027,-0.0400301053567116)); #139013=CARTESIAN_POINT('Origin',(1.471721245,2.80405027,-0.04)); #139014=CARTESIAN_POINT('Ctrl Pts',(1.28388965756143,3.08552443984465,-0.0498254759356272)); #139015=CARTESIAN_POINT('Ctrl Pts',(1.28395775265897,3.08543407459808,-0.044648454426937)); #139016=CARTESIAN_POINT('Ctrl Pts',(1.28287772489999,3.08101920981418,-0.0344100313216697)); #139017=CARTESIAN_POINT('Ctrl Pts',(1.27999716815461,3.07070529605577,-0.0301745240643728)); #139018=CARTESIAN_POINT('Ctrl Pts',(1.27851245499601,3.06552748594152,-0.0301745240643729)); #139019=CARTESIAN_POINT('Ctrl Pts',(1.28329601004272,3.08345593688059,-0.0498537733445599)); #139020=CARTESIAN_POINT('Ctrl Pts',(1.28335795166617,3.08338119495328,-0.0452565607302321)); #139021=CARTESIAN_POINT('Ctrl Pts',(1.28236701438772,3.07935750000553,-0.0361671552781941)); #139022=CARTESIAN_POINT('Ctrl Pts',(1.27977425243811,3.07009782948567,-0.0326430495508476)); #139023=CARTESIAN_POINT('Ctrl Pts',(1.27846902267093,3.06553993996033,-0.0327630348187179)); #139024=CARTESIAN_POINT('Ctrl Pts',(1.28072421801067,3.07972664305708,-0.0498850407685682)); #139025=CARTESIAN_POINT('Ctrl Pts',(1.28078174262244,3.07968060148349,-0.0464433014784329)); #139026=CARTESIAN_POINT('Ctrl Pts',(1.27985934337923,3.07647259483881,-0.039648008049669)); #139027=CARTESIAN_POINT('Ctrl Pts',(1.27749918024927,3.06941815939328,-0.0375301131086768)); #139028=CARTESIAN_POINT('Ctrl Pts',(1.27634155372632,3.06614998186354,-0.0378763723843557)); #139029=CARTESIAN_POINT('Ctrl Pts',(1.2764305087498,3.07828241057532,-0.0498537733445598)); #139030=CARTESIAN_POINT('Ctrl Pts',(1.27649501339202,3.07824190863842,-0.0471075201722941)); #139031=CARTESIAN_POINT('Ctrl Pts',(1.27546749719311,3.0757488795757,-0.0416744222668998)); #139032=CARTESIAN_POINT('Ctrl Pts',(1.27276244325062,3.07019746635342,-0.0398112179353291)); #139033=CARTESIAN_POINT('Ctrl Pts',(1.27138991735059,3.06756984074624,-0.04)); #139034=CARTESIAN_POINT('Ctrl Pts',(1.27427850465017,3.07828191664221,-0.0498254759356272)); #139035=CARTESIAN_POINT('Ctrl Pts',(1.27434659974771,3.07823673401893,-0.0472369651812821)); #139036=CARTESIAN_POINT('Ctrl Pts',(1.27326657198873,3.07602930162698,-0.0421177536286484)); #139037=CARTESIAN_POINT('Ctrl Pts',(1.27038601524335,3.07087234474777,-0.0399999999999999)); #139038=CARTESIAN_POINT('Ctrl Pts',(1.26890130208475,3.06828343969065,-0.04)); #139039=CARTESIAN_POINT('',(1.27851245499601,3.06552748594152,-0.0301745240643728)); #139040=CARTESIAN_POINT('Origin',(1.27814928511206,3.06552748594152,-0.0501745240643729)); #139041=CARTESIAN_POINT('',(1.26890130208475,3.06828343969065,-0.04)); #139042=CARTESIAN_POINT('Origin',(1.26890130208475,3.06828343969065,-0.03)); #139043=CARTESIAN_POINT('Origin',(1.2685381322008,3.06828343969065,-0.05)); #139044=CARTESIAN_POINT('Ctrl Pts',(1.25668599097876,2.98940956006978,-0.0301745240643768)); #139045=CARTESIAN_POINT('Ctrl Pts',(1.25649981459438,2.9888424089621,-0.0314713508257332)); #139046=CARTESIAN_POINT('Ctrl Pts',(1.25558743321077,2.98802744461252,-0.0345340596343175)); #139047=CARTESIAN_POINT('Ctrl Pts',(1.25362204981183,2.98847473710958,-0.0370495880761943)); #139048=CARTESIAN_POINT('Ctrl Pts',(1.25227217290266,2.98897406588048,-0.0380917470796126)); #139049=CARTESIAN_POINT('Ctrl Pts',(1.25595089767433,2.98680660326651,-0.0295526361412875)); #139050=CARTESIAN_POINT('Ctrl Pts',(1.25585111052091,2.98624749859655,-0.0309627941990393)); #139051=CARTESIAN_POINT('Ctrl Pts',(1.2550150772957,2.98543971891923,-0.0343496671866415)); #139052=CARTESIAN_POINT('Ctrl Pts',(1.25288507470078,2.98587492509271,-0.0370636989115828)); #139053=CARTESIAN_POINT('Ctrl Pts',(1.25145122640544,2.98635604053064,-0.0381407747070179)); #139054=CARTESIAN_POINT('Ctrl Pts',(1.2567353318477,2.98169781656098,-0.0285106285983845)); #139055=CARTESIAN_POINT('Ctrl Pts',(1.25668610348248,2.98117547078209,-0.0304007565562016)); #139056=CARTESIAN_POINT('Ctrl Pts',(1.25574759427067,2.98044153748739,-0.0349164469303254)); #139057=CARTESIAN_POINT('Ctrl Pts',(1.25304304412785,2.98094409087399,-0.0384985233053487)); #139058=CARTESIAN_POINT('Ctrl Pts',(1.25120808936633,2.98147122695843,-0.0399197466687477)); #139059=CARTESIAN_POINT('Ctrl Pts',(1.26065031680928,2.97843999471453,-0.0281199262241991)); #139060=CARTESIAN_POINT('Ctrl Pts',(1.26048272853775,2.97787269769881,-0.0306759596598077)); #139061=CARTESIAN_POINT('Ctrl Pts',(1.25911595085416,2.97715557576866,-0.036718527659772)); #139062=CARTESIAN_POINT('Ctrl Pts',(1.25559271259936,2.97794185534861,-0.04163591034283)); #139063=CARTESIAN_POINT('Ctrl Pts',(1.25314882016415,2.97869059557901,-0.0436551163320646)); #139064=CARTESIAN_POINT('Ctrl Pts',(1.26257549096923,2.97764874627872,-0.028103148746587)); #139065=CARTESIAN_POINT('Ctrl Pts',(1.26234819980042,2.97703959142341,-0.0309221760523988)); #139066=CARTESIAN_POINT('Ctrl Pts',(1.26078612303829,2.97630316645717,-0.0375794790194424)); #139067=CARTESIAN_POINT('Ctrl Pts',(1.25692010088183,2.97724008125775,-0.0430465380790372)); #139068=CARTESIAN_POINT('Ctrl Pts',(1.25422165846178,2.97810560516373,-0.0453112147127877)); #139069=CARTESIAN_POINT('',(1.25422165846177,2.97810560516373,-0.0453112147127884)); #139070=CARTESIAN_POINT('',(1.25227217290266,2.98897406588047,-0.0380917470796126)); #139071=CARTESIAN_POINT('Origin',(1.25791967534123,2.98735467061516,-0.0461826849845222)); #139072=CARTESIAN_POINT('',(1.25668599097876,2.98940956006978,-0.0301745240643748)); #139073=CARTESIAN_POINT('Ctrl Pts',(1.25227217290266,2.98897406588048,-0.0380917470796126)); #139074=CARTESIAN_POINT('Ctrl Pts',(1.25362204981183,2.98847473710958,-0.0370495880761943)); #139075=CARTESIAN_POINT('Ctrl Pts',(1.25558743321077,2.98802744461252,-0.0345340596343175)); #139076=CARTESIAN_POINT('Ctrl Pts',(1.25649981459438,2.9888424089621,-0.0314713508257332)); #139077=CARTESIAN_POINT('Ctrl Pts',(1.25668599097876,2.98940956006978,-0.0301745240643768)); #139078=CARTESIAN_POINT('',(1.26257549096923,2.97764874627872,-0.0281031487465825)); #139079=CARTESIAN_POINT('Origin',(1.26629618277473,2.98665388191603,-0.0303490306763057)); #139080=CARTESIAN_POINT('Ctrl Pts',(1.26257549096923,2.97764874627872,-0.028103148746587)); #139081=CARTESIAN_POINT('Ctrl Pts',(1.26234819980042,2.97703959142341,-0.0309221760523988)); #139082=CARTESIAN_POINT('Ctrl Pts',(1.26078612303829,2.97630316645717,-0.0375794790194424)); #139083=CARTESIAN_POINT('Ctrl Pts',(1.25692010088183,2.97724008125775,-0.0430465380790372)); #139084=CARTESIAN_POINT('Ctrl Pts',(1.25422165846178,2.97810560516373,-0.0453112147127877)); #139085=CARTESIAN_POINT('Ctrl Pts',(1.26610789368698,3.01875550815866,0.0252864349802788)); #139086=CARTESIAN_POINT('Ctrl Pts',(1.26166966627707,3.00423524741825,0.0101635046543749)); #139087=CARTESIAN_POINT('Ctrl Pts',(1.25836015359392,2.99392570785607,-0.00929294259012731)); #139088=CARTESIAN_POINT('Ctrl Pts',(1.25668599097876,2.98940956006978,-0.0301745240643393)); #139089=CARTESIAN_POINT('Ctrl Pts',(1.26565753473943,3.01707433011964,0.0270327856103312)); #139090=CARTESIAN_POINT('Ctrl Pts',(1.26112858127928,3.00225707699932,0.0115698987627984)); #139091=CARTESIAN_POINT('Ctrl Pts',(1.25775202859481,2.99174247583227,-0.00830405869114649)); #139092=CARTESIAN_POINT('Ctrl Pts',(1.25604278433739,2.98713197286692,-0.0296303721316364)); #139093=CARTESIAN_POINT('Ctrl Pts',(1.26660278023444,3.01319783272632,0.0304773917364607)); #139094=CARTESIAN_POINT('Ctrl Pts',(1.26185781333983,2.99779985253183,0.0143405040029752)); #139095=CARTESIAN_POINT('Ctrl Pts',(1.25832796969115,2.98688031302223,-0.00636849834844146)); #139096=CARTESIAN_POINT('Ctrl Pts',(1.25654596725935,2.98208302531414,-0.0285787571807188)); #139097=CARTESIAN_POINT('Ctrl Pts',(1.27070415471985,3.01029151291903,0.0320642329253233)); #139098=CARTESIAN_POINT('Ctrl Pts',(1.26579708466671,2.99459821247129,0.0156315099458764)); #139099=CARTESIAN_POINT('Ctrl Pts',(1.26215292299565,2.98346437115415,-0.00547248659359894)); #139100=CARTESIAN_POINT('Ctrl Pts',(1.26032945444929,2.97857186945384,-0.0281227224704548)); #139101=CARTESIAN_POINT('Ctrl Pts',(1.27302288633757,3.00946153887335,0.0321806377021125)); #139102=CARTESIAN_POINT('Ctrl Pts',(1.26807877194768,2.99372784182272,0.0157424367118074)); #139103=CARTESIAN_POINT('Ctrl Pts',(1.26440551041483,2.98255331532311,-0.00540594139665837)); #139104=CARTESIAN_POINT('Ctrl Pts',(1.26257549096923,2.97764874627872,-0.0281031487465825)); #139105=CARTESIAN_POINT('',(1.26610789368698,3.01875550815866,0.0252864349802788)); #139106=CARTESIAN_POINT('Ctrl Pts',(1.25668599097876,2.98940956006978,-0.0301745240643393)); #139107=CARTESIAN_POINT('Ctrl Pts',(1.25836015359392,2.99392570785607,-0.00929294259012731)); #139108=CARTESIAN_POINT('Ctrl Pts',(1.26166966627707,3.00423524741825,0.0101635046543749)); #139109=CARTESIAN_POINT('Ctrl Pts',(1.26610789368698,3.01875550815866,0.0252864349802788)); #139110=CARTESIAN_POINT('',(1.27302288633756,3.00946153887336,0.0321806377021119)); #139111=CARTESIAN_POINT('Origin',(1.27571808548295,3.01599983000491,0.0251119283683124)); #139112=CARTESIAN_POINT('Ctrl Pts',(1.27302288633757,3.00946153887335,0.0321806377021125)); #139113=CARTESIAN_POINT('Ctrl Pts',(1.26807877194768,2.99372784182272,0.0157424367118074)); #139114=CARTESIAN_POINT('Ctrl Pts',(1.26440551041483,2.98255331532311,-0.00540594139665837)); #139115=CARTESIAN_POINT('Ctrl Pts',(1.26257549096923,2.97764874627872,-0.0281031487465825)); #139116=CARTESIAN_POINT('Ctrl Pts',(1.27302288633772,3.00946153887384,0.0321806377026241)); #139117=CARTESIAN_POINT('Ctrl Pts',(1.27834155474057,3.02684895108446,0.0502912753800264)); #139118=CARTESIAN_POINT('Ctrl Pts',(1.28366120878486,3.04424440575322,0.0683944177935276)); #139119=CARTESIAN_POINT('Ctrl Pts',(1.28898220984784,3.06165014848876,0.0864878965389572)); #139120=CARTESIAN_POINT('Ctrl Pts',(1.27070415472,3.01029151291952,0.032064232925835)); #139121=CARTESIAN_POINT('Ctrl Pts',(1.276021935962,3.02767846144733,0.0501754922432748)); #139122=CARTESIAN_POINT('Ctrl Pts',(1.28134096130416,3.04507358744306,0.0682790191922487)); #139123=CARTESIAN_POINT('Ctrl Pts',(1.28666163684767,3.0624791287519,0.0863726804149331)); #139124=CARTESIAN_POINT('Ctrl Pts',(1.26660283061664,3.01319784821399,0.0304773620810174)); #139125=CARTESIAN_POINT('Ctrl Pts',(1.27191834532306,3.03058447522195,0.0485886409588613)); #139126=CARTESIAN_POINT('Ctrl Pts',(1.27723570060495,3.04797916343731,0.0666920271549237)); #139127=CARTESIAN_POINT('Ctrl Pts',(1.28255544268761,3.06538416543468,0.084785254431565)); #139128=CARTESIAN_POINT('Ctrl Pts',(1.26565753473958,3.01707433012013,0.0270327856108429)); #139129=CARTESIAN_POINT('Ctrl Pts',(1.27097195399607,3.03446117601913,0.0451422046671813)); #139130=CARTESIAN_POINT('Ctrl Pts',(1.27628845287932,3.05185561614009,0.0632438467954172)); #139131=CARTESIAN_POINT('Ctrl Pts',(1.28160753761894,3.06925981618519,0.0813355012372157)); #139132=CARTESIAN_POINT('Ctrl Pts',(1.26610789368713,3.01875550815915,0.0252864349807904)); #139133=CARTESIAN_POINT('Ctrl Pts',(1.2714223046071,3.03614232165761,0.0433948955537349)); #139134=CARTESIAN_POINT('Ctrl Pts',(1.27673870030704,3.05353654423476,0.061495660600285)); #139135=CARTESIAN_POINT('Ctrl Pts',(1.28205764681224,3.07094029010086,0.0795865154700137)); #139136=CARTESIAN_POINT('Ctrl Pts',(1.28833336276113,3.05952770750724,0.0842814930267738)); #139137=CARTESIAN_POINT('Ctrl Pts',(1.28322875075942,3.04283026085645,0.066922715965736)); #139138=CARTESIAN_POINT('Ctrl Pts',(1.27812536497732,3.02614219889676,0.0495551259953968)); #139139=CARTESIAN_POINT('Ctrl Pts',(1.27302288633772,3.00946153887384,0.0321806377026241)); #139140=CARTESIAN_POINT('Ctrl Pts',(1.26610789368713,3.01875550815915,0.0252864349807904)); #139141=CARTESIAN_POINT('Ctrl Pts',(1.27120637958967,3.0354358937836,0.0426591470909535)); #139142=CARTESIAN_POINT('Ctrl Pts',(1.27630669226505,3.05212309865455,0.0600247763108401)); #139143=CARTESIAN_POINT('Ctrl Pts',(1.28140933151084,3.0688189896092,0.0773813671150674)); #139144=CARTESIAN_POINT('Ctrl Pts',(1.24328535978612,2.98201796924207,-0.0491275297282662)); #139145=CARTESIAN_POINT('Ctrl Pts',(1.24715180352279,2.9805438368038,-0.0491275297282662)); #139146=CARTESIAN_POINT('Ctrl Pts',(1.25103836730717,2.97914624247582,-0.0477748005410355)); #139147=CARTESIAN_POINT('Ctrl Pts',(1.25422165846181,2.97810560516372,-0.0453112147127619)); #139148=CARTESIAN_POINT('Ctrl Pts',(1.24336212264192,2.98221930750404,-0.0466646350670764)); #139149=CARTESIAN_POINT('Ctrl Pts',(1.2467454595749,2.98092745073685,-0.0466943653708626)); #139150=CARTESIAN_POINT('Ctrl Pts',(1.25016608509836,2.97969882911733,-0.0455321404811245)); #139151=CARTESIAN_POINT('Ctrl Pts',(1.25297001378124,2.97878809398155,-0.0433790999352562)); #139152=CARTESIAN_POINT('Ctrl Pts',(1.24419913777741,2.98441468143659,-0.041927734876401)); #139153=CARTESIAN_POINT('Ctrl Pts',(1.24661521066257,2.98343372020562,-0.042010472734381)); #139154=CARTESIAN_POINT('Ctrl Pts',(1.24909883645921,2.98250394306561,-0.0412257814451237)); #139155=CARTESIAN_POINT('Ctrl Pts',(1.25114676123802,2.98182527208223,-0.0396874203905572)); #139156=CARTESIAN_POINT('Ctrl Pts',(1.24595318232026,2.98901529557441,-0.0399999999999992)); #139157=CARTESIAN_POINT('Ctrl Pts',(1.24790028871072,2.98811460645582,-0.0400447323839955)); #139158=CARTESIAN_POINT('Ctrl Pts',(1.24990353850318,2.98727514032227,-0.0393856175192117)); #139159=CARTESIAN_POINT('Ctrl Pts',(1.25155384471761,2.98668329369936,-0.0381346462535841)); #139160=CARTESIAN_POINT('Ctrl Pts',(1.24683393731568,2.99132539305762,-0.0400000000000002)); #139161=CARTESIAN_POINT('Ctrl Pts',(1.24874489548104,2.99040693616758,-0.0400000000000001)); #139162=CARTESIAN_POINT('Ctrl Pts',(1.25067658916852,2.98956428329913,-0.0393236015864666)); #139163=CARTESIAN_POINT('Ctrl Pts',(1.25227217290267,2.98897406588047,-0.0380917470796045)); #139164=CARTESIAN_POINT('',(1.24328535978612,2.98201796924207,-0.0491275297282662)); #139165=CARTESIAN_POINT('',(1.24683393731572,2.99132539305761,-0.04)); #139166=CARTESIAN_POINT('Origin',(1.24683393731568,2.99132539305762,-0.049999)); #139167=CARTESIAN_POINT('Ctrl Pts',(1.24683393731572,2.9913253930576,-0.0400000000000002)); #139168=CARTESIAN_POINT('Ctrl Pts',(1.24874489548106,2.99040693616757,-0.0399999999999914)); #139169=CARTESIAN_POINT('Ctrl Pts',(1.25067658916853,2.98956428329913,-0.0393236015864605)); #139170=CARTESIAN_POINT('Ctrl Pts',(1.25227217290267,2.98897406588047,-0.0380917470796097)); #139171=CARTESIAN_POINT('Ctrl Pts',(1.25422165846181,2.97810560516372,-0.0453112147127619)); #139172=CARTESIAN_POINT('Ctrl Pts',(1.25103836730717,2.97914624247582,-0.0477748005410355)); #139173=CARTESIAN_POINT('Ctrl Pts',(1.24715180352279,2.9805438368038,-0.0491275297282662)); #139174=CARTESIAN_POINT('Ctrl Pts',(1.24328535978612,2.98201796924207,-0.0491275297282662)); #139175=CARTESIAN_POINT('Ctrl Pts',(1.20786249842054,2.99683345777699,-0.0319469618433827)); #139176=CARTESIAN_POINT('Ctrl Pts',(1.20785872968206,2.99681458356347,-0.0320432955529098)); #139177=CARTESIAN_POINT('Ctrl Pts',(1.20785780446589,2.9967750064855,-0.0322357212322115)); #139178=CARTESIAN_POINT('Ctrl Pts',(1.20787197857276,2.99669191208466,-0.0326194745559036)); #139179=CARTESIAN_POINT('Ctrl Pts',(1.20792642877071,2.99654044446917,-0.0332864316259649)); #139180=CARTESIAN_POINT('Ctrl Pts',(1.20812594958582,2.99622070717589,-0.0346088047226644)); #139181=CARTESIAN_POINT('Ctrl Pts',(1.20860494619154,2.99573977083154,-0.0364401338092048)); #139182=CARTESIAN_POINT('Ctrl Pts',(1.2092905804997,2.99523936535773,-0.0381851171938676)); #139183=CARTESIAN_POINT('Ctrl Pts',(1.2098686901188,2.99487998231658,-0.039355006172725)); #139184=CARTESIAN_POINT('Ctrl Pts',(1.21022443045353,2.99467292012606,-0.0400050818684464)); #139185=CARTESIAN_POINT('Ctrl Pts',(1.21078360516399,2.9943601922142,-0.0409625461044111)); #139186=CARTESIAN_POINT('Ctrl Pts',(1.21211754726808,2.99367730133496,-0.0429220388536963)); #139187=CARTESIAN_POINT('Ctrl Pts',(1.21361310105932,2.99302312797529,-0.0444845940647942)); #139188=CARTESIAN_POINT('Ctrl Pts',(1.21469396781404,2.9925793436475,-0.0453870853758838)); #139189=CARTESIAN_POINT('Ctrl Pts',(1.20786249842054,2.99683345777699,-0.0319469618433827)); #139190=CARTESIAN_POINT('Ctrl Pts',(1.20857907397414,2.99658880025072,-0.0320150881942313)); #139191=CARTESIAN_POINT('Ctrl Pts',(1.20869364144794,2.996521872262,-0.0321598907119526)); #139192=CARTESIAN_POINT('Ctrl Pts',(1.20913891512487,2.99631935019537,-0.032447486356214)); #139193=CARTESIAN_POINT('Ctrl Pts',(1.20959100213708,2.99607167580643,-0.0329490703388102)); #139194=CARTESIAN_POINT('Ctrl Pts',(1.21035712918417,2.99562770212849,-0.0339399620471138)); #139195=CARTESIAN_POINT('Ctrl Pts',(1.21125207072226,2.99508009296761,-0.0353025520251259)); #139196=CARTESIAN_POINT('Ctrl Pts',(1.21216385724542,2.99455513466559,-0.0365859877868241)); #139197=CARTESIAN_POINT('Ctrl Pts',(1.21281341321821,2.99419754008872,-0.0374388878247542)); #139198=CARTESIAN_POINT('Ctrl Pts',(1.21319457969964,2.99399400863707,-0.0379109568036078)); #139199=CARTESIAN_POINT('Ctrl Pts',(1.21377117936679,2.99369094557624,-0.0386036533884518)); #139200=CARTESIAN_POINT('Ctrl Pts',(1.21505681375526,2.99304453833817,-0.0400110133946869)); #139201=CARTESIAN_POINT('Ctrl Pts',(1.21634826508101,2.99245447916265,-0.0411109095843466)); #139202=CARTESIAN_POINT('Ctrl Pts',(1.21724580312717,2.99206471426882,-0.0417374529016002)); #139203=CARTESIAN_POINT('Ctrl Pts',(1.20786249842054,2.99683345777699,-0.0319469618433827)); #139204=CARTESIAN_POINT('Ctrl Pts',(1.20917014254455,2.9962837342844,-0.0319977906748214)); #139205=CARTESIAN_POINT('Ctrl Pts',(1.20914241115358,2.99647209608522,-0.032107376547447)); #139206=CARTESIAN_POINT('Ctrl Pts',(1.21030888266301,2.99612047986593,-0.0323239084132633)); #139207=CARTESIAN_POINT('Ctrl Pts',(1.21102396733336,2.99608907471767,-0.032698119475971)); #139208=CARTESIAN_POINT('Ctrl Pts',(1.21265751398261,2.995804573886,-0.0334256402918429)); #139209=CARTESIAN_POINT('Ctrl Pts',(1.21399387374266,2.99574250941498,-0.0343941869706142)); #139210=CARTESIAN_POINT('Ctrl Pts',(1.21533064836178,2.99558400506409,-0.0352740347698677)); #139211=CARTESIAN_POINT('Ctrl Pts',(1.21612111644754,2.99550056983633,-0.0358449305164137)); #139212=CARTESIAN_POINT('Ctrl Pts',(1.21656962828561,2.9954441769122,-0.0361570160116884)); #139213=CARTESIAN_POINT('Ctrl Pts',(1.21723179647661,2.99536013819468,-0.0366117348892313)); #139214=CARTESIAN_POINT('Ctrl Pts',(1.21857809446215,2.99516362274106,-0.0375168228466178)); #139215=CARTESIAN_POINT('Ctrl Pts',(1.21996522374657,2.99493965125029,-0.0381914362872289)); #139216=CARTESIAN_POINT('Ctrl Pts',(1.22073905377345,2.99478067341731,-0.0385659468774054)); #139217=CARTESIAN_POINT('Ctrl Pts',(1.20786249842054,2.99683345777699,-0.0319469618433827)); #139218=CARTESIAN_POINT('Ctrl Pts',(1.2099168646126,2.99616354170233,-0.0319912776217062)); #139219=CARTESIAN_POINT('Ctrl Pts',(1.21002133325356,2.99645153236317,-0.0320797988462236)); #139220=CARTESIAN_POINT('Ctrl Pts',(1.21163562404548,2.99623198645628,-0.0322563354708083)); #139221=CARTESIAN_POINT('Ctrl Pts',(1.21273985526333,2.99650192461534,-0.0325631535063019)); #139222=CARTESIAN_POINT('Ctrl Pts',(1.21484439408641,2.99680002166516,-0.0331714797298484)); #139223=CARTESIAN_POINT('Ctrl Pts',(1.2163793147025,2.99746528590985,-0.0340139378934788)); #139224=CARTESIAN_POINT('Ctrl Pts',(1.2177401362994,2.99787950570043,-0.0348166720538845)); #139225=CARTESIAN_POINT('Ctrl Pts',(1.21848997052013,2.99813887844192,-0.0353548468874823)); #139226=CARTESIAN_POINT('Ctrl Pts',(1.2189105718859,2.99826085021689,-0.0356538953560995)); #139227=CARTESIAN_POINT('Ctrl Pts',(1.21952090560649,2.99843077950924,-0.0360943481990156)); #139228=CARTESIAN_POINT('Ctrl Pts',(1.22073229754586,2.99870416719734,-0.0369957496480629)); #139229=CARTESIAN_POINT('Ctrl Pts',(1.22198519768147,2.99878619476444,-0.0377145393329403)); #139230=CARTESIAN_POINT('Ctrl Pts',(1.22268762154737,2.99879431050367,-0.0381296843080243)); #139231=CARTESIAN_POINT('',(1.22268762154737,2.99879431050367,-0.0381296843080241)); #139232=CARTESIAN_POINT('',(1.21469396781404,2.9925793436475,-0.0453870853758838)); #139233=CARTESIAN_POINT('Origin',(1.21784594735586,3.0020284476015,-0.0462585556476175)); #139234=CARTESIAN_POINT('',(1.20786249842054,2.99683345777699,-0.0319469618433828)); #139235=CARTESIAN_POINT('Ctrl Pts',(1.21469396781404,2.9925793436475,-0.0453870853758838)); #139236=CARTESIAN_POINT('Ctrl Pts',(1.21361310105932,2.99302312797529,-0.0444845940647942)); #139237=CARTESIAN_POINT('Ctrl Pts',(1.21211754726808,2.99367730133496,-0.0429220388536963)); #139238=CARTESIAN_POINT('Ctrl Pts',(1.21078360516399,2.9943601922142,-0.0409625461044111)); #139239=CARTESIAN_POINT('Ctrl Pts',(1.21022443045353,2.99467292012606,-0.0400050818684464)); #139240=CARTESIAN_POINT('Ctrl Pts',(1.2098686901188,2.99487998231658,-0.039355006172725)); #139241=CARTESIAN_POINT('Ctrl Pts',(1.2092905804997,2.99523936535773,-0.0381851171938676)); #139242=CARTESIAN_POINT('Ctrl Pts',(1.20860494619154,2.99573977083154,-0.0364401338092048)); #139243=CARTESIAN_POINT('Ctrl Pts',(1.20812594958582,2.99622070717589,-0.0346088047226644)); #139244=CARTESIAN_POINT('Ctrl Pts',(1.20792642877071,2.99654044446917,-0.0332864316259649)); #139245=CARTESIAN_POINT('Ctrl Pts',(1.20787197857276,2.99669191208466,-0.0326194745559036)); #139246=CARTESIAN_POINT('Ctrl Pts',(1.20785780446589,2.9967750064855,-0.0322357212322115)); #139247=CARTESIAN_POINT('Ctrl Pts',(1.20785872968206,2.99681458356347,-0.0320432955529098)); #139248=CARTESIAN_POINT('Ctrl Pts',(1.20786249842054,2.99683345777699,-0.0319469618433827)); #139249=CARTESIAN_POINT('Ctrl Pts',(1.20786249842054,2.99683345777699,-0.0319469618433827)); #139250=CARTESIAN_POINT('Ctrl Pts',(1.2099168646126,2.99616354170233,-0.0319912776217062)); #139251=CARTESIAN_POINT('Ctrl Pts',(1.21002133325356,2.99645153236317,-0.0320797988462236)); #139252=CARTESIAN_POINT('Ctrl Pts',(1.21163562404548,2.99623198645628,-0.0322563354708083)); #139253=CARTESIAN_POINT('Ctrl Pts',(1.21273985526333,2.99650192461534,-0.0325631535063019)); #139254=CARTESIAN_POINT('Ctrl Pts',(1.21484439408641,2.99680002166516,-0.0331714797298484)); #139255=CARTESIAN_POINT('Ctrl Pts',(1.2163793147025,2.99746528590985,-0.0340139378934788)); #139256=CARTESIAN_POINT('Ctrl Pts',(1.2177401362994,2.99787950570043,-0.0348166720538845)); #139257=CARTESIAN_POINT('Ctrl Pts',(1.21848997052013,2.99813887844192,-0.0353548468874823)); #139258=CARTESIAN_POINT('Ctrl Pts',(1.2189105718859,2.99826085021689,-0.0356538953560995)); #139259=CARTESIAN_POINT('Ctrl Pts',(1.21952090560649,2.99843077950924,-0.0360943481990156)); #139260=CARTESIAN_POINT('Ctrl Pts',(1.22073229754586,2.99870416719734,-0.0369957496480629)); #139261=CARTESIAN_POINT('Ctrl Pts',(1.22198519768147,2.99878619476444,-0.0377145393329403)); #139262=CARTESIAN_POINT('Ctrl Pts',(1.22268762154737,2.99879431050367,-0.0381296843080243)); #139263=CARTESIAN_POINT('Ctrl Pts',(1.21469396781404,2.9925793436475,-0.0453870853758833)); #139264=CARTESIAN_POINT('Ctrl Pts',(1.21782828688581,2.99131217240077,-0.0477902921335092)); #139265=CARTESIAN_POINT('Ctrl Pts',(1.22168350294216,2.98987431877395,-0.0491275297282662)); #139266=CARTESIAN_POINT('Ctrl Pts',(1.22557862038078,2.98850486344311,-0.0491275297282662)); #139267=CARTESIAN_POINT('Ctrl Pts',(1.2159698854706,2.99232202895816,-0.0435622691387418)); #139268=CARTESIAN_POINT('Ctrl Pts',(1.21883803848735,2.99116845675258,-0.0455958626243906)); #139269=CARTESIAN_POINT('Ctrl Pts',(1.22223660618422,2.98990558790688,-0.0467065510797151)); #139270=CARTESIAN_POINT('Ctrl Pts',(1.22565008927788,2.98870814115589,-0.0466646350670771)); #139271=CARTESIAN_POINT('Ctrl Pts',(1.21900967313745,2.99329325121039,-0.0400598441951759)); #139272=CARTESIAN_POINT('Ctrl Pts',(1.22141722630257,2.99255929419402,-0.0413794868211008)); #139273=CARTESIAN_POINT('Ctrl Pts',(1.22393852188423,2.99171689155874,-0.0420443800830582)); #139274=CARTESIAN_POINT('Ctrl Pts',(1.22642937810469,2.99092465850457,-0.0419277300439035)); #139275=CARTESIAN_POINT('Ctrl Pts',(1.22171333766041,2.99678749196049,-0.0383478155927152)); #139276=CARTESIAN_POINT('Ctrl Pts',(1.22384292528654,2.9966040250717,-0.0394684313340983)); #139277=CARTESIAN_POINT('Ctrl Pts',(1.22596453147496,2.99608609266701,-0.040063067070771)); #139278=CARTESIAN_POINT('Ctrl Pts',(1.22806245624343,2.99556959360656,-0.0400000000000002)); #139279=CARTESIAN_POINT('Ctrl Pts',(1.22268762154737,2.99879431050367,-0.0381296843080245)); #139280=CARTESIAN_POINT('Ctrl Pts',(1.22472083198022,2.99881780202563,-0.0393313477700106)); #139281=CARTESIAN_POINT('Ctrl Pts',(1.22679630791021,2.99837025043239,-0.0400000000000002)); #139282=CARTESIAN_POINT('Ctrl Pts',(1.2288824698747,2.99790194379219,-0.0400000000000002)); #139283=CARTESIAN_POINT('',(1.2288824698747,2.99790194379219,-0.04)); #139284=CARTESIAN_POINT('',(1.22557862038078,2.98850486344311,-0.0491275297282662)); #139285=CARTESIAN_POINT('Origin',(1.2288824698747,2.99790194379219,-0.049999)); #139286=CARTESIAN_POINT('Ctrl Pts',(1.22557862038078,2.98850486344311,-0.0491275297282662)); #139287=CARTESIAN_POINT('Ctrl Pts',(1.22168350294216,2.98987431877395,-0.0491275297282662)); #139288=CARTESIAN_POINT('Ctrl Pts',(1.21782828688581,2.99131217240077,-0.0477902921335092)); #139289=CARTESIAN_POINT('Ctrl Pts',(1.21469396781404,2.9925793436475,-0.0453870853758833)); #139290=CARTESIAN_POINT('Ctrl Pts',(1.22268762154737,2.99879431050367,-0.0381296843080245)); #139291=CARTESIAN_POINT('Ctrl Pts',(1.22472083198022,2.99881780202563,-0.0393313477700106)); #139292=CARTESIAN_POINT('Ctrl Pts',(1.22679630791021,2.99837025043239,-0.0400000000000002)); #139293=CARTESIAN_POINT('Ctrl Pts',(1.2288824698747,2.99790194379219,-0.0400000000000002)); #139294=CARTESIAN_POINT('Origin',(0.986536118078863,2.30860052606037,-0.049999)); #139295=CARTESIAN_POINT('Origin',(0.986536118078863,2.30860052606037,-0.04)); #139296=CARTESIAN_POINT('Origin',(0.986536118078863,2.30860052606037,-0.0491275297282662)); #139297=CARTESIAN_POINT('Origin',(1.38449035011019,3.431305704449,0.03)); #139298=CARTESIAN_POINT('',(0.757076119516235,1.24706287804588,-0.0301745240643728)); #139299=CARTESIAN_POINT('Origin',(0.747464966604975,1.24981883179501,-0.03)); #139300=CARTESIAN_POINT('',(0.747464966604975,1.24981883179501,-0.04)); #139301=CARTESIAN_POINT('Origin',(-7.07548630359044E-16,-0.9,-0.04)); #139302=CARTESIAN_POINT('',(1.23023893627692,3.00141091574319,-0.04)); #139303=CARTESIAN_POINT('Ctrl Pts',(1.23023893627691,3.00141091574315,-0.04)); #139304=CARTESIAN_POINT('Ctrl Pts',(1.22989305660775,3.00020468998911,-0.04)); #139305=CARTESIAN_POINT('Ctrl Pts',(1.22943335162427,2.99903088955199,-0.04)); #139306=CARTESIAN_POINT('Ctrl Pts',(1.22887225448277,2.99790704398247,-0.04)); #139307=CARTESIAN_POINT('',(1.2494143239529,3.06828343969065,-0.04)); #139308=CARTESIAN_POINT('',(0.660549191232521,1.01466667036731,-0.04)); #139309=CARTESIAN_POINT('',(0.735860622500001,3.06828343969065,-0.04)); #139310=CARTESIAN_POINT('Ctrl Pts',(1.23023893627691,3.00141091574315,-0.04)); #139311=CARTESIAN_POINT('Ctrl Pts',(1.22875497123069,2.99623571460691,-0.04)); #139312=CARTESIAN_POINT('Ctrl Pts',(1.22159653841473,2.98707905714992,-0.04)); #139313=CARTESIAN_POINT('Ctrl Pts',(1.20998479098417,2.98584755440432,-0.04)); #139314=CARTESIAN_POINT('Ctrl Pts',(1.20485954886142,2.98749581173927,-0.04)); #139315=CARTESIAN_POINT('Ctrl Pts',(1.22775032101108,3.00212451468757,-0.04)); #139316=CARTESIAN_POINT('Ctrl Pts',(1.2265447291627,2.99755858656387,-0.0399968834508995)); #139317=CARTESIAN_POINT('Ctrl Pts',(1.22036437903078,2.989355768616,-0.0399967684231286)); #139318=CARTESIAN_POINT('Ctrl Pts',(1.21008464843408,2.98821302141703,-0.0399998074968667)); #139319=CARTESIAN_POINT('Ctrl Pts',(1.20556107370439,2.98967719725418,-0.0400000000000001)); #139320=CARTESIAN_POINT('Ctrl Pts',(1.22279862474264,3.00354439074425,-0.0378764357877766)); #139321=CARTESIAN_POINT('Ctrl Pts',(1.22213894574889,3.00018909521867,-0.0380474878684796)); #139322=CARTESIAN_POINT('Ctrl Pts',(1.21790478037577,2.99389129973263,-0.0383049020609676)); #139323=CARTESIAN_POINT('Ctrl Pts',(1.21028591158765,2.99292903895818,-0.0383689635209747)); #139324=CARTESIAN_POINT('Ctrl Pts',(1.20695978546733,2.9940264795495,-0.038355600418498)); #139325=CARTESIAN_POINT('Ctrl Pts',(1.22067121569075,3.00415441547352,-0.0327630348187278)); #139326=CARTESIAN_POINT('Ctrl Pts',(1.22004856328197,3.00138270324309,-0.033254651002508)); #139327=CARTESIAN_POINT('Ctrl Pts',(1.21651510866494,2.99629408521057,-0.0340330031436743)); #139328=CARTESIAN_POINT('Ctrl Pts',(1.21039682780655,2.99552783152037,-0.0342358524362403)); #139329=CARTESIAN_POINT('Ctrl Pts',(1.2077259142104,2.99640875034067,-0.0341945267961739)); #139330=CARTESIAN_POINT('Ctrl Pts',(1.22062778336567,3.00416686949233,-0.0301745240643848)); #139331=CARTESIAN_POINT('Ctrl Pts',(1.21985781317155,3.00144412412861,-0.0307673306830325)); #139332=CARTESIAN_POINT('Ctrl Pts',(1.21623835270648,2.99666392372598,-0.0317388712626019)); #139333=CARTESIAN_POINT('Ctrl Pts',(1.21042311930257,2.99599903045757,-0.0319994392992464)); #139334=CARTESIAN_POINT('Ctrl Pts',(1.20786249842054,2.99683345777699,-0.0319469618433828)); #139335=CARTESIAN_POINT('Ctrl Pts',(1.20786249842054,2.99683345777699,-0.0319469618433828)); #139336=CARTESIAN_POINT('Ctrl Pts',(1.21042311930257,2.99599903045757,-0.0319994392992464)); #139337=CARTESIAN_POINT('Ctrl Pts',(1.21623835270648,2.99666392372598,-0.0317388712626019)); #139338=CARTESIAN_POINT('Ctrl Pts',(1.21985781317155,3.00144412412861,-0.0307673306830325)); #139339=CARTESIAN_POINT('Ctrl Pts',(1.22062778336567,3.00416686949233,-0.0301745240643848)); #139340=CARTESIAN_POINT('Origin',(1.23023893627692,3.00141091574319,-0.03)); #139341=CARTESIAN_POINT('Ctrl Pts',(1.2261292345212,3.02697895682141,0.0322211287804579)); #139342=CARTESIAN_POINT('Ctrl Pts',(1.20269636439315,3.03481798893324,0.0322318684340978)); #139343=CARTESIAN_POINT('Ctrl Pts',(1.17886005168596,3.04145298590646,0.0322291058800433)); #139344=CARTESIAN_POINT('Ctrl Pts',(1.15475157234648,3.04684742962244,0.0322123473280425)); #139345=CARTESIAN_POINT('Ctrl Pts',(1.21960990498233,3.00740223579654,0.0116407823625621)); #139346=CARTESIAN_POINT('Ctrl Pts',(1.19679095318177,3.01502262689325,0.011649238588162)); #139347=CARTESIAN_POINT('Ctrl Pts',(1.17358334003931,3.02150385378485,0.0116506767206404)); #139348=CARTESIAN_POINT('Ctrl Pts',(1.15012040640149,3.02680998576475,0.01164415119886)); #139349=CARTESIAN_POINT('Ctrl Pts',(1.21548480240802,2.99499308640869,-0.0163552278925909)); #139350=CARTESIAN_POINT('Ctrl Pts',(1.19303624567654,3.00248059001522,-0.0163569411732333)); #139351=CARTESIAN_POINT('Ctrl Pts',(1.17021289407162,3.00886932271307,-0.0163383997090104)); #139352=CARTESIAN_POINT('Ctrl Pts',(1.14714028012271,3.01412554267636,-0.0163000133973122)); #139353=CARTESIAN_POINT('Ctrl Pts',(1.21469396781404,2.9925793436475,-0.0453870853758838)); #139354=CARTESIAN_POINT('Ctrl Pts',(1.1922882252754,3.00005213973402,-0.0453999544922448)); #139355=CARTESIAN_POINT('Ctrl Pts',(1.16951648179521,3.0064272372663,-0.0453628564842359)); #139356=CARTESIAN_POINT('Ctrl Pts',(1.14648785259763,3.01167419431388,-0.0452752230755702)); #139357=CARTESIAN_POINT('',(1.14648785259763,3.01167419431388,-0.0452752230755702)); #139358=CARTESIAN_POINT('Ctrl Pts',(1.21469396781404,2.9925793436475,-0.0453870853758838)); #139359=CARTESIAN_POINT('Ctrl Pts',(1.1922882252754,3.00005213973402,-0.0453999544922448)); #139360=CARTESIAN_POINT('Ctrl Pts',(1.16951648179521,3.0064272372663,-0.0453628564842359)); #139361=CARTESIAN_POINT('Ctrl Pts',(1.14648785259763,3.01167419431388,-0.0452752230755702)); #139362=CARTESIAN_POINT('',(1.15475157234648,3.04684742962244,0.0322123473280425)); #139363=CARTESIAN_POINT('Origin',(1.17411171986989,3.13309590788823,-0.0561696909190275)); #139364=CARTESIAN_POINT('',(1.21645214152296,3.03014055692632,0.032224783958222)); #139365=CARTESIAN_POINT('Ctrl Pts',(1.15475157234648,3.04684742962244,0.0322123473280425)); #139366=CARTESIAN_POINT('Ctrl Pts',(1.17554905973248,3.04219384390441,0.0322268043068066)); #139367=CARTESIAN_POINT('Ctrl Pts',(1.19614400423755,3.03661705452774,0.0322308456452625)); #139368=CARTESIAN_POINT('Ctrl Pts',(1.21645212900776,3.03014050548365,0.0322247883480857)); #139369=CARTESIAN_POINT('Ctrl Pts',(1.20786249852787,2.99683345813736,-0.0319469620243221)); #139370=CARTESIAN_POINT('Ctrl Pts',(1.20883347005635,3.00155703200588,-0.0077967555502392)); #139371=CARTESIAN_POINT('Ctrl Pts',(1.21186656833666,3.01331011572372,0.0148440191361801)); #139372=CARTESIAN_POINT('Ctrl Pts',(1.21645214152296,3.03014055692632,0.032224783958222)); #139373=CARTESIAN_POINT('Ctrl Pts',(-1.20786249842054,2.996833457777,-0.0319469618433829)); #139374=CARTESIAN_POINT('Ctrl Pts',(-1.20991684610782,2.99616354770415, -0.0319912773783266)); #139375=CARTESIAN_POINT('Ctrl Pts',(-1.21002095692446,2.99645157722512, -0.0320797987616427)); #139376=CARTESIAN_POINT('Ctrl Pts',(-1.21163512093255,2.99623211622148, -0.0322563466019395)); #139377=CARTESIAN_POINT('Ctrl Pts',(-1.21273673850459,2.99650217423105, -0.0325631338062889)); #139378=CARTESIAN_POINT('Ctrl Pts',(-1.21483887543021,2.99680280630143, -0.033171763232135)); #139379=CARTESIAN_POINT('Ctrl Pts',(-1.21642518654682,2.99743890011149, -0.0340127525864142)); #139380=CARTESIAN_POINT('Ctrl Pts',(-1.21852526241001,2.99812394365158, -0.0352991917956814)); #139381=CARTESIAN_POINT('Ctrl Pts',(-1.22041489075222,2.99868029138161, -0.0367601611358843)); #139382=CARTESIAN_POINT('Ctrl Pts',(-1.22198519768147,2.99878619476445, -0.0377145393329381)); #139383=CARTESIAN_POINT('Ctrl Pts',(-1.22268762154737,2.99879431050368, -0.0381296843080243)); #139384=CARTESIAN_POINT('Ctrl Pts',(-1.20786249842054,2.996833457777,-0.0319469618433829)); #139385=CARTESIAN_POINT('Ctrl Pts',(-1.20917033510523,2.99628368085251, -0.0319977942521923)); #139386=CARTESIAN_POINT('Ctrl Pts',(-1.20914166134876,2.99647224612977, -0.032107369694697)); #139387=CARTESIAN_POINT('Ctrl Pts',(-1.21030896087033,2.99612044583183, -0.0323239301166414)); #139388=CARTESIAN_POINT('Ctrl Pts',(-1.21101968031654,2.99608965524168, -0.0326980779526038)); #139389=CARTESIAN_POINT('Ctrl Pts',(-1.21265423888261,2.99580671324443, -0.0334259709307718)); #139390=CARTESIAN_POINT('Ctrl Pts',(-1.21403545012078,2.99571722980967, -0.0343929473126325)); #139391=CARTESIAN_POINT('Ctrl Pts',(-1.21611048990486,2.99548256153431, -0.0358029281835755)); #139392=CARTESIAN_POINT('Ctrl Pts',(-1.2182264671827,2.99523940739474,-0.0372959574778682)); #139393=CARTESIAN_POINT('Ctrl Pts',(-1.21995929517292,2.99494094632623, -0.0381914311959286)); #139394=CARTESIAN_POINT('Ctrl Pts',(-1.22073905377345,2.99478067341731, -0.0385659468774055)); #139395=CARTESIAN_POINT('Ctrl Pts',(-1.20786249842054,2.996833457777,-0.0319469618433829)); #139396=CARTESIAN_POINT('Ctrl Pts',(-1.20857892553624,2.99658885241981, -0.0320150525289631)); #139397=CARTESIAN_POINT('Ctrl Pts',(-1.20869390377023,2.996521780241,-0.0321599527832922)); #139398=CARTESIAN_POINT('Ctrl Pts',(-1.20913852065857,2.99631948505927, -0.0324474133757259)); #139399=CARTESIAN_POINT('Ctrl Pts',(-1.20959178897109,2.9960714048064,-0.0329492273349487)); #139400=CARTESIAN_POINT('Ctrl Pts',(-1.21035599924115,2.99562801692302, -0.0339401546183649)); #139401=CARTESIAN_POINT('Ctrl Pts',(-1.21125400893256,2.99507985462829, -0.0353004872443373)); #139402=CARTESIAN_POINT('Ctrl Pts',(-1.21270521421983,2.99424183403525, -0.0373568315552554)); #139403=CARTESIAN_POINT('Ctrl Pts',(-1.21462530779853,2.99324053063972, -0.039650409637024)); #139404=CARTESIAN_POINT('Ctrl Pts',(-1.21635226344542,2.99245306032563, -0.0411123284107366)); #139405=CARTESIAN_POINT('Ctrl Pts',(-1.21724580312717,2.99206471426882, -0.0417374529016004)); #139406=CARTESIAN_POINT('Ctrl Pts',(-1.20786249842054,2.996833457777,-0.0319469618433829)); #139407=CARTESIAN_POINT('Ctrl Pts',(-1.20785872971168,2.99681458366165, -0.0320432950363665)); #139408=CARTESIAN_POINT('Ctrl Pts',(-1.20785780419473,2.99677500657295, -0.0322357210513475)); #139409=CARTESIAN_POINT('Ctrl Pts',(-1.20787199224839,2.99669190373132, -0.0326194987612739)); #139410=CARTESIAN_POINT('Ctrl Pts',(-1.20792640245952,2.99654045960403, -0.0332863888087184)); #139411=CARTESIAN_POINT('Ctrl Pts',(-1.20812629439242,2.99622049504942, -0.0346094210065091)); #139412=CARTESIAN_POINT('Ctrl Pts',(-1.20860359058686,2.99574065620127, -0.0364375572363355)); #139413=CARTESIAN_POINT('Ctrl Pts',(-1.20970321026576,2.99493841291486, -0.0392340186052244)); #139414=CARTESIAN_POINT('Ctrl Pts',(-1.21162504239951,2.99389216048122, -0.0424098998139252)); #139415=CARTESIAN_POINT('Ctrl Pts',(-1.21361310105931,2.99302312797529, -0.0444845940647896)); #139416=CARTESIAN_POINT('Ctrl Pts',(-1.21469396781404,2.9925793436475,-0.045387085375884)); #139417=CARTESIAN_POINT('',(-1.21469396781404,2.9925793436475,-0.045387085375884)); #139418=CARTESIAN_POINT('',(-1.22268762154737,2.99879431050368,-0.0381296843080243)); #139419=CARTESIAN_POINT('Origin',(-1.21784594735586,3.0020284476015,-0.0462585556476179)); #139420=CARTESIAN_POINT('',(-1.20786249842054,2.996833457777,-0.0319469618433828)); #139421=CARTESIAN_POINT('Ctrl Pts',(-1.22268762154737,2.99879431050368, -0.0381296843080243)); #139422=CARTESIAN_POINT('Ctrl Pts',(-1.22198519768147,2.99878619476445, -0.0377145393329381)); #139423=CARTESIAN_POINT('Ctrl Pts',(-1.22041489075222,2.99868029138161, -0.0367601611358843)); #139424=CARTESIAN_POINT('Ctrl Pts',(-1.21852526241001,2.99812394365158, -0.0352991917956814)); #139425=CARTESIAN_POINT('Ctrl Pts',(-1.21642518654682,2.99743890011149, -0.0340127525864142)); #139426=CARTESIAN_POINT('Ctrl Pts',(-1.21483887543021,2.99680280630143, -0.033171763232135)); #139427=CARTESIAN_POINT('Ctrl Pts',(-1.21273673850459,2.99650217423105, -0.0325631338062889)); #139428=CARTESIAN_POINT('Ctrl Pts',(-1.21163512093255,2.99623211622148, -0.0322563466019395)); #139429=CARTESIAN_POINT('Ctrl Pts',(-1.21002095692446,2.99645157722512, -0.0320797987616427)); #139430=CARTESIAN_POINT('Ctrl Pts',(-1.20991684610782,2.99616354770415, -0.0319912773783266)); #139431=CARTESIAN_POINT('Ctrl Pts',(-1.20786249842054,2.996833457777,-0.0319469618433829)); #139432=CARTESIAN_POINT('Ctrl Pts',(-1.20786249842054,2.996833457777,-0.0319469618433829)); #139433=CARTESIAN_POINT('Ctrl Pts',(-1.20785872971168,2.99681458366165, -0.0320432950363665)); #139434=CARTESIAN_POINT('Ctrl Pts',(-1.20785780419473,2.99677500657295, -0.0322357210513475)); #139435=CARTESIAN_POINT('Ctrl Pts',(-1.20787199224839,2.99669190373132, -0.0326194987612739)); #139436=CARTESIAN_POINT('Ctrl Pts',(-1.20792640245952,2.99654045960403, -0.0332863888087184)); #139437=CARTESIAN_POINT('Ctrl Pts',(-1.20812629439242,2.99622049504942, -0.0346094210065091)); #139438=CARTESIAN_POINT('Ctrl Pts',(-1.20860359058686,2.99574065620127, -0.0364375572363355)); #139439=CARTESIAN_POINT('Ctrl Pts',(-1.20970321026576,2.99493841291486, -0.0392340186052244)); #139440=CARTESIAN_POINT('Ctrl Pts',(-1.21162504239951,2.99389216048122, -0.0424098998139252)); #139441=CARTESIAN_POINT('Ctrl Pts',(-1.21361310105931,2.99302312797529, -0.0444845940647896)); #139442=CARTESIAN_POINT('Ctrl Pts',(-1.21469396781404,2.9925793436475,-0.045387085375884)); #139443=CARTESIAN_POINT('Ctrl Pts',(-1.22268762154737,2.99879431050368, -0.0381296843080242)); #139444=CARTESIAN_POINT('Ctrl Pts',(-1.22472083198022,2.99881780202563, -0.0393313477700096)); #139445=CARTESIAN_POINT('Ctrl Pts',(-1.22679630791022,2.99837025043239, -0.04)); #139446=CARTESIAN_POINT('Ctrl Pts',(-1.2288824698747,2.99790194379219,-0.04)); #139447=CARTESIAN_POINT('Ctrl Pts',(-1.22171333766041,2.99678749196049, -0.0383478155927148)); #139448=CARTESIAN_POINT('Ctrl Pts',(-1.22384292528654,2.99660402507171, -0.0394684313340972)); #139449=CARTESIAN_POINT('Ctrl Pts',(-1.22596453147496,2.99608609266702, -0.0400630670707708)); #139450=CARTESIAN_POINT('Ctrl Pts',(-1.22806245624343,2.99556959360657, -0.04)); #139451=CARTESIAN_POINT('Ctrl Pts',(-1.21900967313745,2.99329325121039, -0.0400598441951755)); #139452=CARTESIAN_POINT('Ctrl Pts',(-1.22141722630257,2.99255929419402, -0.0413794868210996)); #139453=CARTESIAN_POINT('Ctrl Pts',(-1.22393852188424,2.99171689155874, -0.0420443800830579)); #139454=CARTESIAN_POINT('Ctrl Pts',(-1.22642937810469,2.99092465850457, -0.0419277300439033)); #139455=CARTESIAN_POINT('Ctrl Pts',(-1.21596988547061,2.99232202895816, -0.043562269138742)); #139456=CARTESIAN_POINT('Ctrl Pts',(-1.21883803848735,2.99116845675258, -0.0455958626243896)); #139457=CARTESIAN_POINT('Ctrl Pts',(-1.22223660618422,2.98990558790688, -0.0467065510797149)); #139458=CARTESIAN_POINT('Ctrl Pts',(-1.22565008927788,2.98870814115589, -0.046664635067077)); #139459=CARTESIAN_POINT('Ctrl Pts',(-1.21469396781404,2.9925793436475,-0.0453870853758838)); #139460=CARTESIAN_POINT('Ctrl Pts',(-1.21782828688581,2.99131217240078, -0.0477902921335083)); #139461=CARTESIAN_POINT('Ctrl Pts',(-1.22168350294216,2.98987431877395, -0.049127529728266)); #139462=CARTESIAN_POINT('Ctrl Pts',(-1.22557862038078,2.98850486344312, -0.0491275297282662)); #139463=CARTESIAN_POINT('',(-1.22557862038078,2.98850486344312,-0.0491275297282661)); #139464=CARTESIAN_POINT('',(-1.2288824698747,2.99790194379219,-0.04)); #139465=CARTESIAN_POINT('Origin',(-1.2288824698747,2.99790194379219,-0.049999)); #139466=CARTESIAN_POINT('Ctrl Pts',(-1.2288824698747,2.99790194379219,-0.04)); #139467=CARTESIAN_POINT('Ctrl Pts',(-1.22679630791022,2.99837025043239, -0.04)); #139468=CARTESIAN_POINT('Ctrl Pts',(-1.22472083198022,2.99881780202563, -0.0393313477700096)); #139469=CARTESIAN_POINT('Ctrl Pts',(-1.22268762154737,2.99879431050368, -0.0381296843080242)); #139470=CARTESIAN_POINT('Ctrl Pts',(-1.21469396781404,2.9925793436475,-0.0453870853758838)); #139471=CARTESIAN_POINT('Ctrl Pts',(-1.21782828688581,2.99131217240078, -0.0477902921335083)); #139472=CARTESIAN_POINT('Ctrl Pts',(-1.22168350294216,2.98987431877395, -0.049127529728266)); #139473=CARTESIAN_POINT('Ctrl Pts',(-1.22557862038078,2.98850486344312, -0.0491275297282662)); #139474=CARTESIAN_POINT('Ctrl Pts',(-1.25227217290266,2.98897406588048, -0.0380917470796158)); #139475=CARTESIAN_POINT('Ctrl Pts',(-1.25328458058453,2.98859956930231, -0.0373101278270517)); #139476=CARTESIAN_POINT('Ctrl Pts',(-1.25535629877879,2.98803005866213, -0.03497281682358)); #139477=CARTESIAN_POINT('Ctrl Pts',(-1.2564377557996,2.98865335859288,-0.0319036264128158)); #139478=CARTESIAN_POINT('Ctrl Pts',(-1.25668599097876,2.98940956006979, -0.0301745240643383)); #139479=CARTESIAN_POINT('Ctrl Pts',(-1.25145122640544,2.98635604053064, -0.0381407747070215)); #139480=CARTESIAN_POINT('Ctrl Pts',(-1.25252661262694,2.98599520395219, -0.0373329678604448)); #139481=CARTESIAN_POINT('Ctrl Pts',(-1.25476183993218,2.98544258563223, -0.0348405845661363)); #139482=CARTESIAN_POINT('Ctrl Pts',(-1.25581784813645,2.98606113037323, -0.0314328468849182)); #139483=CARTESIAN_POINT('Ctrl Pts',(-1.25595089767434,2.98680660326652, -0.0295526361412473)); #139484=CARTESIAN_POINT('Ctrl Pts',(-1.25120808936632,2.98147122695844, -0.039919746668751)); #139485=CARTESIAN_POINT('Ctrl Pts',(-1.25258430543747,2.98107587489511, -0.0388538291462012)); #139486=CARTESIAN_POINT('Ctrl Pts',(-1.25543266278721,2.98045219701049, -0.0355614702628673)); #139487=CARTESIAN_POINT('Ctrl Pts',(-1.25666969402741,2.98100135552246, -0.0310307992087679)); #139488=CARTESIAN_POINT('Ctrl Pts',(-1.2567353318477,2.98169781656099,-0.0285106285983442)); #139489=CARTESIAN_POINT('Ctrl Pts',(-1.25314882016415,2.97869059557901, -0.0436551163320664)); #139490=CARTESIAN_POINT('Ctrl Pts',(-1.25498173949056,2.97812904040621, -0.0421407118401398)); #139491=CARTESIAN_POINT('Ctrl Pts',(-1.25871082593477,2.97719392742003, -0.0375816367869357)); #139492=CARTESIAN_POINT('Ctrl Pts',(-1.26042686578058,2.97768359869357, -0.0315279708049756)); #139493=CARTESIAN_POINT('Ctrl Pts',(-1.26065031680929,2.97843999471455, -0.0281199262241633)); #139494=CARTESIAN_POINT('Ctrl Pts',(-1.25422165846178,2.97810560516373, -0.0453112147127888)); #139495=CARTESIAN_POINT('Ctrl Pts',(-1.25624549027682,2.97745646223425, -0.0436127072374753)); #139496=CARTESIAN_POINT('Ctrl Pts',(-1.26034272947669,2.97635581609892, -0.0385331040096264)); #139497=CARTESIAN_POINT('Ctrl Pts',(-1.2622724360775,2.97683653980497,-0.0318618518209704)); #139498=CARTESIAN_POINT('Ctrl Pts',(-1.26257549096924,2.97764874627873, -0.0281031487465534)); #139499=CARTESIAN_POINT('',(-1.26257549096924,2.97764874627873,-0.0281031487465545)); #139500=CARTESIAN_POINT('',(-1.25668599097876,2.98940956006979,-0.0301745240643556)); #139501=CARTESIAN_POINT('Origin',(-1.26629618277473,2.98665388191604,-0.03034903067628)); #139502=CARTESIAN_POINT('',(-1.25227217290266,2.98897406588048,-0.0380917470796158)); #139503=CARTESIAN_POINT('Ctrl Pts',(-1.25668599097876,2.98940956006979, -0.0301745240643383)); #139504=CARTESIAN_POINT('Ctrl Pts',(-1.2564377557996,2.98865335859288,-0.0319036264128158)); #139505=CARTESIAN_POINT('Ctrl Pts',(-1.25535629877879,2.98803005866213, -0.03497281682358)); #139506=CARTESIAN_POINT('Ctrl Pts',(-1.25328458058453,2.98859956930231, -0.0373101278270517)); #139507=CARTESIAN_POINT('Ctrl Pts',(-1.25227217290266,2.98897406588048, -0.0380917470796158)); #139508=CARTESIAN_POINT('',(-1.25422165846178,2.97810560516373,-0.0453112147127885)); #139509=CARTESIAN_POINT('Origin',(-1.25791967534123,2.98735467061516,-0.0461826849845223)); #139510=CARTESIAN_POINT('Ctrl Pts',(-1.25422165846178,2.97810560516373, -0.0453112147127888)); #139511=CARTESIAN_POINT('Ctrl Pts',(-1.25624549027682,2.97745646223425, -0.0436127072374753)); #139512=CARTESIAN_POINT('Ctrl Pts',(-1.26034272947669,2.97635581609892, -0.0385331040096264)); #139513=CARTESIAN_POINT('Ctrl Pts',(-1.2622724360775,2.97683653980497,-0.0318618518209704)); #139514=CARTESIAN_POINT('Ctrl Pts',(-1.26257549096924,2.97764874627873, -0.0281031487465534)); #139515=CARTESIAN_POINT('Ctrl Pts',(-1.25668599097876,2.98940956006979, -0.0301745240643136)); #139516=CARTESIAN_POINT('Ctrl Pts',(-1.25836015359393,2.99392570785608, -0.00929294259011095)); #139517=CARTESIAN_POINT('Ctrl Pts',(-1.26166966627708,3.00423524741826, 0.0101635046543809)); #139518=CARTESIAN_POINT('Ctrl Pts',(-1.26610789368698,3.01875550815866, 0.0252864349802787)); #139519=CARTESIAN_POINT('Ctrl Pts',(-1.25604278433739,2.98713197286693, -0.0296303721316102)); #139520=CARTESIAN_POINT('Ctrl Pts',(-1.25775202859481,2.99174247583228, -0.00830405869112951)); #139521=CARTESIAN_POINT('Ctrl Pts',(-1.26112858127928,3.00225707699933, 0.0115698987628049)); #139522=CARTESIAN_POINT('Ctrl Pts',(-1.26565753473943,3.01707433011965, 0.0270327856103312)); #139523=CARTESIAN_POINT('Ctrl Pts',(-1.25654596725935,2.98208302531414, -0.0285787571806915)); #139524=CARTESIAN_POINT('Ctrl Pts',(-1.25832796969115,2.98688031302224, -0.00636849834842321)); #139525=CARTESIAN_POINT('Ctrl Pts',(-1.26185781333983,2.99779985253184, 0.0143405040029827)); #139526=CARTESIAN_POINT('Ctrl Pts',(-1.26660278023444,3.01319783272632, 0.0304773917364607)); #139527=CARTESIAN_POINT('Ctrl Pts',(-1.2603294544493,2.97857186945385,-0.0281227224704269)); #139528=CARTESIAN_POINT('Ctrl Pts',(-1.26215292299565,2.98346437115416, -0.00547248659358018)); #139529=CARTESIAN_POINT('Ctrl Pts',(-1.26579708466671,2.9945982124713,0.0156315099458842)); #139530=CARTESIAN_POINT('Ctrl Pts',(-1.27070415471985,3.01029151291903, 0.0320642329253233)); #139531=CARTESIAN_POINT('Ctrl Pts',(-1.26257549096924,2.97764874627873, -0.0281031487465546)); #139532=CARTESIAN_POINT('Ctrl Pts',(-1.26440551041483,2.98255331532313, -0.0054059413966395)); #139533=CARTESIAN_POINT('Ctrl Pts',(-1.26807877194768,2.99372784182273, 0.0157424367118153)); #139534=CARTESIAN_POINT('Ctrl Pts',(-1.27302288633757,3.00946153887336, 0.0321806377021124)); #139535=CARTESIAN_POINT('',(-1.26610789368698,3.01875550815866,0.0252864349802787)); #139536=CARTESIAN_POINT('Origin',(-1.27571808548295,3.01599983000491,0.0251119283683123)); #139537=CARTESIAN_POINT('Ctrl Pts',(-1.26610789368698,3.01875550815866, 0.0252864349802787)); #139538=CARTESIAN_POINT('Ctrl Pts',(-1.26166966627708,3.00423524741826, 0.0101635046543809)); #139539=CARTESIAN_POINT('Ctrl Pts',(-1.25836015359393,2.99392570785608, -0.00929294259011095)); #139540=CARTESIAN_POINT('Ctrl Pts',(-1.25668599097876,2.98940956006979, -0.0301745240643136)); #139541=CARTESIAN_POINT('Ctrl Pts',(-1.26257549096924,2.97764874627873, -0.0281031487465546)); #139542=CARTESIAN_POINT('Ctrl Pts',(-1.26440551041483,2.98255331532313, -0.0054059413966395)); #139543=CARTESIAN_POINT('Ctrl Pts',(-1.26807877194768,2.99372784182273, 0.0157424367118153)); #139544=CARTESIAN_POINT('Ctrl Pts',(-1.27302288633757,3.00946153887336, 0.0321806377021124)); #139545=CARTESIAN_POINT('Ctrl Pts',(-1.26610789368713,3.01875550815916, 0.0252864349807904)); #139546=CARTESIAN_POINT('Ctrl Pts',(-1.27142230460711,3.03614232165762, 0.0433948955537347)); #139547=CARTESIAN_POINT('Ctrl Pts',(-1.27673870030704,3.05353654423476, 0.0614956606002829)); #139548=CARTESIAN_POINT('Ctrl Pts',(-1.28205764681225,3.07094029010087, 0.0795865154700126)); #139549=CARTESIAN_POINT('Ctrl Pts',(-1.26565753473958,3.01707433012014, 0.0270327856108428)); #139550=CARTESIAN_POINT('Ctrl Pts',(-1.27097195399607,3.03446117601914, 0.0451422046671813)); #139551=CARTESIAN_POINT('Ctrl Pts',(-1.27628845287932,3.05185561614009, 0.0632438467954151)); #139552=CARTESIAN_POINT('Ctrl Pts',(-1.28160753761894,3.06925981618519, 0.0813355012372147)); #139553=CARTESIAN_POINT('Ctrl Pts',(-1.26660283061665,3.01319784821399, 0.0304773620810174)); #139554=CARTESIAN_POINT('Ctrl Pts',(-1.27191834532306,3.03058447522195, 0.0485886409588611)); #139555=CARTESIAN_POINT('Ctrl Pts',(-1.27723570060495,3.04797916343731, 0.0666920271549219)); #139556=CARTESIAN_POINT('Ctrl Pts',(-1.28255544268761,3.06538416543468, 0.0847852544315641)); #139557=CARTESIAN_POINT('Ctrl Pts',(-1.27070415472,3.01029151291952,0.032064232925835)); #139558=CARTESIAN_POINT('Ctrl Pts',(-1.276021935962,3.02767846144733,0.0501754922432748)); #139559=CARTESIAN_POINT('Ctrl Pts',(-1.28134096130416,3.04507358744306, 0.0682790191922466)); #139560=CARTESIAN_POINT('Ctrl Pts',(-1.28666163684767,3.0624791287519,0.0863726804149322)); #139561=CARTESIAN_POINT('Ctrl Pts',(-1.27302288633772,3.00946153887385, 0.0321806377026241)); #139562=CARTESIAN_POINT('Ctrl Pts',(-1.27834155474057,3.02684895108447, 0.0502912753800262)); #139563=CARTESIAN_POINT('Ctrl Pts',(-1.28366120878486,3.04424440575323, 0.0683944177935255)); #139564=CARTESIAN_POINT('Ctrl Pts',(-1.28898220984784,3.06165014848876, 0.0864878965389562)); #139565=CARTESIAN_POINT('Ctrl Pts',(-1.28140933151085,3.06881898960921, 0.0773813671150663)); #139566=CARTESIAN_POINT('Ctrl Pts',(-1.27630669226505,3.05212309865455, 0.0600247763108381)); #139567=CARTESIAN_POINT('Ctrl Pts',(-1.27120637958967,3.0354358937836,0.0426591470909534)); #139568=CARTESIAN_POINT('Ctrl Pts',(-1.26610789368713,3.01875550815916, 0.0252864349807904)); #139569=CARTESIAN_POINT('Ctrl Pts',(-1.2468339373157,2.99132539305761,-0.04)); #139570=CARTESIAN_POINT('Ctrl Pts',(-1.2487448136006,2.99040697552148,-0.040000000000004)); #139571=CARTESIAN_POINT('Ctrl Pts',(-1.25067653763741,2.98956430236085, -0.0393236413705446)); #139572=CARTESIAN_POINT('Ctrl Pts',(-1.25227217290266,2.98897406588048, -0.0380917470796156)); #139573=CARTESIAN_POINT('Ctrl Pts',(-1.24595318232028,2.98901529557441, -0.0399999999999999)); #139574=CARTESIAN_POINT('Ctrl Pts',(-1.24790020528141,2.98811464504841, -0.0400447304673135)); #139575=CARTESIAN_POINT('Ctrl Pts',(-1.24990348520475,2.98727515943661, -0.039385657920686)); #139576=CARTESIAN_POINT('Ctrl Pts',(-1.25155384471759,2.98668329369937, -0.0381346462535955)); #139577=CARTESIAN_POINT('Ctrl Pts',(-1.24419913777743,2.98441468143658, -0.0419277348764027)); #139578=CARTESIAN_POINT('Ctrl Pts',(-1.24661510713904,2.9834337622377,-0.0420104691892477)); #139579=CARTESIAN_POINT('Ctrl Pts',(-1.24909877031925,2.98250396498404, -0.0412258311281641)); #139580=CARTESIAN_POINT('Ctrl Pts',(-1.25114676123801,2.98182527208224, -0.0396874203905723)); #139581=CARTESIAN_POINT('Ctrl Pts',(-1.24336212264193,2.98221930750404, -0.0466646350670769)); #139582=CARTESIAN_POINT('Ctrl Pts',(-1.24674531460618,2.98092750609014, -0.0466943640969902)); #139583=CARTESIAN_POINT('Ctrl Pts',(-1.25016599454242,2.97969885853052, -0.0455322100159082)); #139584=CARTESIAN_POINT('Ctrl Pts',(-1.25297001378121,2.97878809398156, -0.0433790999352789)); #139585=CARTESIAN_POINT('Ctrl Pts',(-1.24328535978613,2.98201796924207, -0.0491275297282662)); #139586=CARTESIAN_POINT('Ctrl Pts',(-1.24715163785397,2.98054389996721, -0.0491275297282741)); #139587=CARTESIAN_POINT('Ctrl Pts',(-1.25103826449931,2.97914627608434, -0.0477748801052172)); #139588=CARTESIAN_POINT('Ctrl Pts',(-1.25422165846178,2.97810560516373, -0.0453112147127884)); #139589=CARTESIAN_POINT('',(-1.24683393731572,2.9913253930576,-0.04)); #139590=CARTESIAN_POINT('',(-1.24328535978616,2.98201796924206,-0.0491275297282662)); #139591=CARTESIAN_POINT('Origin',(-1.24683393731573,2.9913253930576,-0.049999)); #139592=CARTESIAN_POINT('Ctrl Pts',(-1.24328535978613,2.98201796924207, -0.0491275297282662)); #139593=CARTESIAN_POINT('Ctrl Pts',(-1.24715163785397,2.98054389996721, -0.0491275297282741)); #139594=CARTESIAN_POINT('Ctrl Pts',(-1.25103826449931,2.97914627608434, -0.0477748801052172)); #139595=CARTESIAN_POINT('Ctrl Pts',(-1.25422165846178,2.97810560516373, -0.0453112147127884)); #139596=CARTESIAN_POINT('Ctrl Pts',(-1.25227217290266,2.98897406588048, -0.0380917470796156)); #139597=CARTESIAN_POINT('Ctrl Pts',(-1.25067653763741,2.98956430236085, -0.0393236413705446)); #139598=CARTESIAN_POINT('Ctrl Pts',(-1.2487448136006,2.99040697552148,-0.040000000000004)); #139599=CARTESIAN_POINT('Ctrl Pts',(-1.2468339373157,2.99132539305761,-0.04)); #139600=CARTESIAN_POINT('Origin',(-0.98653611807887,2.30860052606037,-0.049999)); #139601=CARTESIAN_POINT('Origin',(-0.98653611807887,2.30860052606037,-0.04)); #139602=CARTESIAN_POINT('Origin',(-0.98653611807887,2.30860052606037,-0.0491275297282662)); #139603=CARTESIAN_POINT('Origin',(-0.98653611807887,2.30860052606037,0.)); #139604=CARTESIAN_POINT('',(-1.68096723122333,2.5077466213413,-0.0294651552690772)); #139605=CARTESIAN_POINT('Ctrl Pts',(-1.25422165846178,2.97810560516373, -0.0453112147127885)); #139606=CARTESIAN_POINT('Ctrl Pts',(-1.37063097939587,2.93159826165829, -0.0449277596522429)); #139607=CARTESIAN_POINT('Ctrl Pts',(-1.55228179015116,2.79623812920611, -0.0418605678961921)); #139608=CARTESIAN_POINT('Ctrl Pts',(-1.65474407188517,2.5977749645685,-0.0339078854193189)); #139609=CARTESIAN_POINT('Ctrl Pts',(-1.68096722680551,2.50774661990569, -0.0294651781698899)); #139610=CARTESIAN_POINT('',(-1.14648785259764,3.01167419431388,-0.0452752230755703)); #139611=CARTESIAN_POINT('Ctrl Pts',(-1.14648785259764,3.01167419431388, -0.0452752230755703)); #139612=CARTESIAN_POINT('Ctrl Pts',(-1.16951648179521,3.0064272372663,-0.045362856484236)); #139613=CARTESIAN_POINT('Ctrl Pts',(-1.19228822527541,3.00005213973402, -0.0453999544922449)); #139614=CARTESIAN_POINT('Ctrl Pts',(-1.21469396781404,2.9925793436475,-0.0453870853758839)); #139615=CARTESIAN_POINT('',(-1.1368156880371,3.01380123847911,-0.0453160142462721)); #139616=CARTESIAN_POINT('Ctrl Pts',(-1.1368156880371,3.01380123847911,-0.0453160142462715)); #139617=CARTESIAN_POINT('Ctrl Pts',(-1.14004490849443,3.01311659197802, -0.04527681693439)); #139618=CARTESIAN_POINT('Ctrl Pts',(-1.14326918605588,3.01240755125624, -0.0452629747251381)); #139619=CARTESIAN_POINT('Ctrl Pts',(-1.14648785259763,3.01167419431388, -0.0452752230755715)); #139620=CARTESIAN_POINT('',(-1.12819064052988,2.97332740933551,-0.518321670552577)); #139621=CARTESIAN_POINT('',(-1.13764200537598,3.01767880863865,0.)); #139622=CARTESIAN_POINT('',(-1.39564289836798,2.85381956946708,-0.495614836914642)); #139623=CARTESIAN_POINT('Ctrl Pts',(-1.39564289836797,2.85381956946708, -0.495614836914644)); #139624=CARTESIAN_POINT('Ctrl Pts',(-1.31665878628036,2.91244960660794, -0.501428853500751)); #139625=CARTESIAN_POINT('Ctrl Pts',(-1.22431225601008,2.95363496598255, -0.509476259225845)); #139626=CARTESIAN_POINT('Ctrl Pts',(-1.12819064052293,2.97332740933705, -0.518321670551948)); #139627=CARTESIAN_POINT('',(-1.6681410170413,2.39235886054755,-0.437406431063921)); #139628=CARTESIAN_POINT('Ctrl Pts',(-1.6681410170413,2.39235886054755,-0.437406431063921)); #139629=CARTESIAN_POINT('Ctrl Pts',(-1.65854128707348,2.47076405620815, -0.437009208526232)); #139630=CARTESIAN_POINT('Ctrl Pts',(-1.61817964756299,2.59831323655608, -0.451345499413632)); #139631=CARTESIAN_POINT('Ctrl Pts',(-1.51567776046108,2.74971335573284, -0.480359544885075)); #139632=CARTESIAN_POINT('Ctrl Pts',(-1.43787182937147,2.82247296408406, -0.49250636739079)); #139633=CARTESIAN_POINT('Ctrl Pts',(-1.39564289836671,2.85381956946803, -0.495614836914735)); #139634=CARTESIAN_POINT('',(-1.70397497682735,2.39676228076113,-0.0247415264685573)); #139635=CARTESIAN_POINT('',(-1.70612341943696,2.39702628997672,0.)); #139636=CARTESIAN_POINT('',(-1.7006793979471,2.42027014593024,-0.0249018923716688)); #139637=CARTESIAN_POINT('Ctrl Pts',(-1.70067939794858,2.42027014592103, -0.0249018923711637)); #139638=CARTESIAN_POINT('Ctrl Pts',(-1.70193804990561,2.41246415279862, -0.0244723205617267)); #139639=CARTESIAN_POINT('Ctrl Pts',(-1.70303636941658,2.40461750562479, -0.0244389172440533)); #139640=CARTESIAN_POINT('Ctrl Pts',(-1.70397497682735,2.39676228076113, -0.02474152646856)); #139641=CARTESIAN_POINT('',(-1.69497454397251,2.45133651055028,-0.0265795459105287)); #139642=CARTESIAN_POINT('Ctrl Pts',(-1.69497454397252,2.45133651055029, -0.0265795459104269)); #139643=CARTESIAN_POINT('Ctrl Pts',(-1.69710114288894,2.44102362812872, -0.0260331717272443)); #139644=CARTESIAN_POINT('Ctrl Pts',(-1.69900332423324,2.43066493363057, -0.0254739282025604)); #139645=CARTESIAN_POINT('Ctrl Pts',(-1.7006793979471,2.42027014593024,-0.0249018923716688)); #139646=CARTESIAN_POINT('',(-1.69300795034224,2.45110898546108,-0.0491284425782283)); #139647=CARTESIAN_POINT('Ctrl Pts',(-1.69300795034224,2.45110898546108, -0.0491284425782282)); #139648=CARTESIAN_POINT('Ctrl Pts',(-1.6936634871694,2.45118483114155,-0.0416121442417463)); #139649=CARTESIAN_POINT('Ctrl Pts',(-1.69431901836475,2.45126067282735, -0.0340958453510646)); #139650=CARTESIAN_POINT('Ctrl Pts',(-1.69497454397251,2.45133651055028, -0.0265795459106143)); #139651=CARTESIAN_POINT('',(-1.6849048495553,2.48662363040095,-0.0491284425725254)); #139652=CARTESIAN_POINT('Origin',(-0.98653611807887,2.30860052606037,-0.0491284425725235)); #139653=CARTESIAN_POINT('',(-1.68451153658376,2.48815950229375,-0.0491284425725234)); #139654=CARTESIAN_POINT('Ctrl Pts',(-1.68451153658376,2.48815950229375, -0.0491284425725233)); #139655=CARTESIAN_POINT('Ctrl Pts',(-1.68456796545977,2.48794015392599, -0.0491284425725235)); #139656=CARTESIAN_POINT('Ctrl Pts',(-1.6846618378794,2.48757454220844,-0.0491284425918418)); #139657=CARTESIAN_POINT('Ctrl Pts',(-1.68479286313089,2.48706255892915, -0.0491284425841616)); #139658=CARTESIAN_POINT('Ctrl Pts',(-1.68486754564138,2.48676997030904, -0.0491284426092369)); #139659=CARTESIAN_POINT('Ctrl Pts',(-1.6849048495553,2.48662363040095,-0.0491284425725236)); #139660=CARTESIAN_POINT('Ctrl Pts',(-1.6809662626505,2.50774608385422,-0.0294774907012783)); #139661=CARTESIAN_POINT('Ctrl Pts',(-1.68055981701416,2.50752053625843, -0.0346538514156269)); #139662=CARTESIAN_POINT('Ctrl Pts',(-1.6809250190728,2.50306297512426,-0.0448753383767611)); #139663=CARTESIAN_POINT('Ctrl Pts',(-1.68323433172104,2.49312420869424, -0.0491284425725187)); #139664=CARTESIAN_POINT('Ctrl Pts',(-1.68451153658375,2.48815950229376, -0.0491284425725235)); #139665=CARTESIAN_POINT('Ctrl Pts',(-1.21469396781404,2.9925793436475,-0.0453870853758839)); #139666=CARTESIAN_POINT('Ctrl Pts',(-1.19228822527541,3.00005213973402, -0.0453999544922449)); #139667=CARTESIAN_POINT('Ctrl Pts',(-1.16951648179521,3.0064272372663,-0.045362856484236)); #139668=CARTESIAN_POINT('Ctrl Pts',(-1.14648785259764,3.01167419431388, -0.0452752230755703)); #139669=CARTESIAN_POINT('Ctrl Pts',(-1.21548480240803,2.99499308640869, -0.016355227892591)); #139670=CARTESIAN_POINT('Ctrl Pts',(-1.19303624567654,3.00248059001522, -0.0163569411732334)); #139671=CARTESIAN_POINT('Ctrl Pts',(-1.17021289407163,3.00886932271307, -0.0163383997090104)); #139672=CARTESIAN_POINT('Ctrl Pts',(-1.14714028012271,3.01412554267636, -0.0163000133973123)); #139673=CARTESIAN_POINT('Ctrl Pts',(-1.21960990498234,3.00740223579654, 0.011640782362562)); #139674=CARTESIAN_POINT('Ctrl Pts',(-1.19679095318178,3.01502262689325, 0.0116492385881619)); #139675=CARTESIAN_POINT('Ctrl Pts',(-1.17358334003931,3.02150385378485, 0.0116506767206405)); #139676=CARTESIAN_POINT('Ctrl Pts',(-1.15012040640149,3.02680998576475, 0.0116441511988601)); #139677=CARTESIAN_POINT('Ctrl Pts',(-1.2261292345212,3.02697895682141,0.0322211287804578)); #139678=CARTESIAN_POINT('Ctrl Pts',(-1.20269636439316,3.03481798893324, 0.0322318684340977)); #139679=CARTESIAN_POINT('Ctrl Pts',(-1.17886005168596,3.04145298590646, 0.0322291058800435)); #139680=CARTESIAN_POINT('Ctrl Pts',(-1.15475157234649,3.04684742962244, 0.0322123473280426)); #139681=CARTESIAN_POINT('',(-1.21645214152297,3.0301405569264,0.0322247839582978)); #139682=CARTESIAN_POINT('Ctrl Pts',(-1.21645214152296,3.03014055692632, 0.0322247839582221)); #139683=CARTESIAN_POINT('Ctrl Pts',(-1.21186656833666,3.01331011572372, 0.0148440191361761)); #139684=CARTESIAN_POINT('Ctrl Pts',(-1.20883347005639,3.00155703200599, -0.00779675555030182)); #139685=CARTESIAN_POINT('Ctrl Pts',(-1.20786249852787,2.99683345813736, -0.0319469620243222)); #139686=CARTESIAN_POINT('',(-1.15475157234649,3.04684742962244,0.0322123473280423)); #139687=CARTESIAN_POINT('Ctrl Pts',(-1.21645212900776,3.03014050548366, 0.0322247883480857)); #139688=CARTESIAN_POINT('Ctrl Pts',(-1.19614400423754,3.03661705452774, 0.0322308456452625)); #139689=CARTESIAN_POINT('Ctrl Pts',(-1.17554905973249,3.04219384390442, 0.0322268043068067)); #139690=CARTESIAN_POINT('Ctrl Pts',(-1.15475157234649,3.04684742962244, 0.0322123473280426)); #139691=CARTESIAN_POINT('Origin',(-1.1741117198699,3.13309590788824,-0.0561696909190276)); #139692=CARTESIAN_POINT('Ctrl Pts',(-1.20485954886142,2.98749581173927, -0.04)); #139693=CARTESIAN_POINT('Ctrl Pts',(-1.20998479098432,2.98584755440427, -0.04)); #139694=CARTESIAN_POINT('Ctrl Pts',(-1.2215965384151,2.98707905715011,-0.04)); #139695=CARTESIAN_POINT('Ctrl Pts',(-1.22875497123068,2.99623571460682, -0.04)); #139696=CARTESIAN_POINT('Ctrl Pts',(-1.23023893627693,3.00141091574321, -0.04)); #139697=CARTESIAN_POINT('Ctrl Pts',(-1.20556107370439,2.98967719725418, -0.0399999999999999)); #139698=CARTESIAN_POINT('Ctrl Pts',(-1.21008464843421,2.98821302141699, -0.0399998074968665)); #139699=CARTESIAN_POINT('Ctrl Pts',(-1.2203643790311,2.98935576861618,-0.0399967684231286)); #139700=CARTESIAN_POINT('Ctrl Pts',(-1.22654472916269,2.99755858656379, -0.0399968834508994)); #139701=CARTESIAN_POINT('Ctrl Pts',(-1.2277503210111,3.00212451468763,-0.04)); #139702=CARTESIAN_POINT('Ctrl Pts',(-1.20695978546733,2.9940264795495,-0.0383556004184978)); #139703=CARTESIAN_POINT('Ctrl Pts',(-1.21028591158775,2.99292903895816, -0.0383689635209748)); #139704=CARTESIAN_POINT('Ctrl Pts',(-1.217904780376,2.99389129973277,-0.0383049020609609)); #139705=CARTESIAN_POINT('Ctrl Pts',(-1.22213894574889,3.00018909521861, -0.0380474878684829)); #139706=CARTESIAN_POINT('Ctrl Pts',(-1.22279862474265,3.0035443907443,-0.0378764357877748)); #139707=CARTESIAN_POINT('Ctrl Pts',(-1.2077259142104,2.99640875034067,-0.0341945267961738)); #139708=CARTESIAN_POINT('Ctrl Pts',(-1.21039682780663,2.99552783152035, -0.0342358524362415)); #139709=CARTESIAN_POINT('Ctrl Pts',(-1.21651510866513,2.99629408521068, -0.0340330031436546)); #139710=CARTESIAN_POINT('Ctrl Pts',(-1.22004856328196,3.00138270324305, -0.0332546510025172)); #139711=CARTESIAN_POINT('Ctrl Pts',(-1.22067121569076,3.00415441547355, -0.0327630348187224)); #139712=CARTESIAN_POINT('Ctrl Pts',(-1.20786249842054,2.996833457777,-0.0319469618433829)); #139713=CARTESIAN_POINT('Ctrl Pts',(-1.21042311930264,2.99599903045755, -0.031999439299248)); #139714=CARTESIAN_POINT('Ctrl Pts',(-1.21623835270666,2.99666392372608, -0.0317388712625776)); #139715=CARTESIAN_POINT('Ctrl Pts',(-1.21985781317155,3.00144412412856, -0.0307673306830436)); #139716=CARTESIAN_POINT('Ctrl Pts',(-1.22062778336568,3.00416686949236, -0.0301745240643782)); #139717=CARTESIAN_POINT('',(-1.23023893627693,3.00141091574323,-0.04)); #139718=CARTESIAN_POINT('Ctrl Pts',(-1.22887225448279,2.99790704398246, -0.04)); #139719=CARTESIAN_POINT('Ctrl Pts',(-1.22943335162428,2.99903088955199, -0.04)); #139720=CARTESIAN_POINT('Ctrl Pts',(-1.22989305660776,3.00020468998914, -0.04)); #139721=CARTESIAN_POINT('Ctrl Pts',(-1.23023893627693,3.00141091574321, -0.04)); #139722=CARTESIAN_POINT('',(-1.22062778336567,3.00416686949236,-0.0301745240644422)); #139723=CARTESIAN_POINT('Origin',(-1.23023893627693,3.00141091574323,-0.03)); #139724=CARTESIAN_POINT('Ctrl Pts',(-1.22062778336568,3.00416686949236, -0.0301745240643782)); #139725=CARTESIAN_POINT('Ctrl Pts',(-1.21985781317155,3.00144412412856, -0.0307673306830436)); #139726=CARTESIAN_POINT('Ctrl Pts',(-1.21623835270666,2.99666392372608, -0.0317388712625776)); #139727=CARTESIAN_POINT('Ctrl Pts',(-1.21042311930264,2.99599903045755, -0.031999439299248)); #139728=CARTESIAN_POINT('Ctrl Pts',(-1.20786249842054,2.996833457777,-0.0319469618433829)); #139729=CARTESIAN_POINT('Origin',(-7.07548630359044E-16,-0.9,-0.04)); #139730=CARTESIAN_POINT('',(-1.26890130208475,3.06828343969065,-0.04)); #139731=CARTESIAN_POINT('',(-0.747464966604975,1.24981883179501,-0.04)); #139732=CARTESIAN_POINT('',(-1.2494143239529,3.06828343969065,-0.04)); #139733=CARTESIAN_POINT('',(-0.640971067613574,3.06828343969065,-0.04)); #139734=CARTESIAN_POINT('',(-0.660549191232521,1.01466667036731,-0.04)); #139735=CARTESIAN_POINT('Origin',(-0.747464966604975,1.24981883179501,-0.03)); #139736=CARTESIAN_POINT('',(-1.27851245499601,3.06552748594152,-0.0301745240643729)); #139737=CARTESIAN_POINT('',(-0.757076119516236,1.24706287804588,-0.0301745240643729)); #139738=CARTESIAN_POINT('Origin',(-1.26890130208475,3.06828343969065,-0.03)); #139739=CARTESIAN_POINT('Ctrl Pts',(1.6976600766812,2.51423085630916,0.0247545361908533)); #139740=CARTESIAN_POINT('Ctrl Pts',(1.68821891017587,2.51085745427723,0.00837614253499202)); #139741=CARTESIAN_POINT('Ctrl Pts',(1.68245758530618,2.50861939897816,-0.010340363433088)); #139742=CARTESIAN_POINT('Ctrl Pts',(1.68096722940375,2.50774662033157,-0.0294651784426095)); #139743=CARTESIAN_POINT('Ctrl Pts',(1.69840249215303,2.51165389597113,0.0248565375508413)); #139744=CARTESIAN_POINT('Ctrl Pts',(1.68895245916508,2.50827809309665,0.00846048008076377)); #139745=CARTESIAN_POINT('Ctrl Pts',(1.68319258713654,2.50604384470381,-0.0102678750621441)); #139746=CARTESIAN_POINT('Ctrl Pts',(1.68170860227678,2.50517869386279,-0.0294107534809276)); #139747=CARTESIAN_POINT('Ctrl Pts',(1.70177000001578,2.50702839939332,0.0238664612955097)); #139748=CARTESIAN_POINT('Ctrl Pts',(1.69247930477922,2.5037122390163,0.0077458147249783)); #139749=CARTESIAN_POINT('Ctrl Pts',(1.68681491169031,2.50152679351641,-0.0106811298729861)); #139750=CARTESIAN_POINT('Ctrl Pts',(1.68535862648922,2.50069695736221,-0.0295005876336339)); #139751=CARTESIAN_POINT('Ctrl Pts',(1.70682142008231,2.50621011803702,0.0211225316823638)); #139752=CARTESIAN_POINT('Ctrl Pts',(1.69793169802478,2.50303867044636,0.00570123700402353)); #139753=CARTESIAN_POINT('Ctrl Pts',(1.69250573166365,2.50095199110428,-0.0119210283130068)); #139754=CARTESIAN_POINT('Ctrl Pts',(1.69109892738742,2.50016463119777,-0.0299275342811424)); #139755=CARTESIAN_POINT('Ctrl Pts',(1.70900622411313,2.50689430377587,0.0197222349939139)); #139756=CARTESIAN_POINT('Ctrl Pts',(1.7003190893253,2.50379512882292,0.00465392941281504)); #139757=CARTESIAN_POINT('Ctrl Pts',(1.69501317818304,2.50175508952919,-0.0125660552409326)); #139758=CARTESIAN_POINT('Ctrl Pts',(1.69363288936981,2.50098365823863,-0.0301622118057132)); #139759=CARTESIAN_POINT('',(1.6936319235638,2.50098311845815,-0.0301745240643729)); #139760=CARTESIAN_POINT('',(1.6809672268055,2.50774661990569,-0.0294651781698899)); #139761=CARTESIAN_POINT('Origin',(1.6905422242679,2.51049223511596,-0.0303490481287457)); #139762=CARTESIAN_POINT('Ctrl Pts',(1.68096722940375,2.50774662033157,-0.0294651784426095)); #139763=CARTESIAN_POINT('Ctrl Pts',(1.68245758530618,2.50861939897816,-0.010340363433088)); #139764=CARTESIAN_POINT('Ctrl Pts',(1.68821891017587,2.51085745427723,0.00837614253499202)); #139765=CARTESIAN_POINT('Ctrl Pts',(1.6976600766812,2.51423085630916,0.0247545361908533)); #139766=CARTESIAN_POINT('Ctrl Pts',(1.70900622411313,2.50689430377587,0.0197222349939139)); #139767=CARTESIAN_POINT('Ctrl Pts',(1.7003190893253,2.50379512882292,0.00465392941281504)); #139768=CARTESIAN_POINT('Ctrl Pts',(1.69501317818304,2.50175508952919,-0.0125660552409326)); #139769=CARTESIAN_POINT('Ctrl Pts',(1.69363288936981,2.50098365823863,-0.0301622118057132)); #139770=CARTESIAN_POINT('Ctrl Pts',(1.68490484955529,2.48662363040094,-0.0491284425725294)); #139771=CARTESIAN_POINT('Ctrl Pts',(1.68652996573142,2.48758299140941,-0.0409425760549764)); #139772=CARTESIAN_POINT('Ctrl Pts',(1.6903127439652,2.48909825519179,-0.0406672114210467)); #139773=CARTESIAN_POINT('Ctrl Pts',(1.69415934645096,2.49064146772794,-0.04)); #139774=CARTESIAN_POINT('Ctrl Pts',(1.68490484955529,2.48662363040094,-0.0491284425725293)); #139775=CARTESIAN_POINT('Ctrl Pts',(1.68561428112061,2.48735001185323,-0.0436498444408942)); #139776=CARTESIAN_POINT('Ctrl Pts',(1.68681402241288,2.48820250970842,-0.0425530394834228)); #139777=CARTESIAN_POINT('Ctrl Pts',(1.68929104741424,2.48938906432252,-0.0398865495341817)); #139778=CARTESIAN_POINT('Ctrl Pts',(1.68490484955529,2.48662363040095,-0.0491284425725294)); #139779=CARTESIAN_POINT('Ctrl Pts',(1.68500891737487,2.48719556017888,-0.0463554827272406)); #139780=CARTESIAN_POINT('Ctrl Pts',(1.68492154008359,2.48771908485141,-0.0458223033508774)); #139781=CARTESIAN_POINT('Ctrl Pts',(1.68482638194985,2.48824049842929,-0.0441108701684075)); #139782=CARTESIAN_POINT('Ctrl Pts',(1.68490484955529,2.48662363040094,-0.0491284425725294)); #139783=CARTESIAN_POINT('Ctrl Pts',(1.68477430840473,2.48713573167069,-0.0491284425725165)); #139784=CARTESIAN_POINT('Ctrl Pts',(1.6846432039696,2.48764768940288,-0.049128442572526)); #139785=CARTESIAN_POINT('Ctrl Pts',(1.68451153658375,2.48815950229373,-0.0491284425725235)); #139786=CARTESIAN_POINT('',(1.68451153658375,2.48815950229373,-0.0491284425725234)); #139787=CARTESIAN_POINT('',(1.69415934645097,2.49064146772795,-0.04)); #139788=CARTESIAN_POINT('Origin',(1.69415934645097,2.49064146772794,-0.05)); #139789=CARTESIAN_POINT('',(1.68490484955529,2.48662363040094,-0.0491284425725454)); #139790=CARTESIAN_POINT('Ctrl Pts',(1.69415934645097,2.49064146772795,-0.04)); #139791=CARTESIAN_POINT('Ctrl Pts',(1.69322031236416,2.4902707102673,-0.04)); #139792=CARTESIAN_POINT('Ctrl Pts',(1.6922828855405,2.48989436397941,-0.0401516636416635)); #139793=CARTESIAN_POINT('Ctrl Pts',(1.68995836500021,2.48894492781059,-0.0409225778314847)); #139794=CARTESIAN_POINT('Ctrl Pts',(1.68864143564674,2.48839007702469,-0.0417714741225701)); #139795=CARTESIAN_POINT('Ctrl Pts',(1.68621145338193,2.48732549697706,-0.0443685782239824)); #139796=CARTESIAN_POINT('Ctrl Pts',(1.68528823437036,2.48688339797392,-0.0463113106303257)); #139797=CARTESIAN_POINT('Ctrl Pts',(1.68495107614603,2.48666127773293,-0.0486218470778009)); #139798=CARTESIAN_POINT('Ctrl Pts',(1.6849234067556,2.48664064562061,-0.0488748652630763)); #139799=CARTESIAN_POINT('Ctrl Pts',(1.68490484955529,2.48662363040094,-0.0491284425725456)); #139800=CARTESIAN_POINT('Ctrl Pts',(1.68490484955529,2.48662363040094,-0.0491284425725294)); #139801=CARTESIAN_POINT('Ctrl Pts',(1.68477430840473,2.48713573167069,-0.0491284425725165)); #139802=CARTESIAN_POINT('Ctrl Pts',(1.6846432039696,2.48764768940288,-0.049128442572526)); #139803=CARTESIAN_POINT('Ctrl Pts',(1.68451153658375,2.48815950229373,-0.0491284425725235)); #139804=CARTESIAN_POINT('Ctrl Pts',(1.68096626265049,2.50774608385421,-0.0294774907012717)); #139805=CARTESIAN_POINT('Ctrl Pts',(1.68055981702032,2.50752053626186,-0.0346538513370392)); #139806=CARTESIAN_POINT('Ctrl Pts',(1.68092502593757,2.50306293836466,-0.044875377204447)); #139807=CARTESIAN_POINT('Ctrl Pts',(1.68323433174042,2.49312420861887,-0.0491284425725224)); #139808=CARTESIAN_POINT('Ctrl Pts',(1.68451153658375,2.48815950229375,-0.0491284425725234)); #139809=CARTESIAN_POINT('Ctrl Pts',(1.68170763480286,2.50517815658324,-0.029423065647263)); #139810=CARTESIAN_POINT('Ctrl Pts',(1.68134551751728,2.50501954359149,-0.0339120903257122)); #139811=CARTESIAN_POINT('Ctrl Pts',(1.6816177987761,2.50121882336984,-0.042846112294398)); #139812=CARTESIAN_POINT('Ctrl Pts',(1.68360452935089,2.49255667990817,-0.0466382164871264)); #139813=CARTESIAN_POINT('Ctrl Pts',(1.68472023807935,2.48821319218869,-0.0466653015972368)); #139814=CARTESIAN_POINT('Ctrl Pts',(1.68535765590376,2.50069641262215,-0.0295128992435912)); #139815=CARTESIAN_POINT('Ctrl Pts',(1.68501568680636,2.50064766167635,-0.0326335275643439)); #139816=CARTESIAN_POINT('Ctrl Pts',(1.68498532640119,2.49810539364099,-0.0389943948122684)); #139817=CARTESIAN_POINT('Ctrl Pts',(1.68624212610367,2.49193291803315,-0.0418525313963793)); #139818=CARTESIAN_POINT('Ctrl Pts',(1.68699588242146,2.4887986173448,-0.0419279078207087)); #139819=CARTESIAN_POINT('Ctrl Pts',(1.69109796104015,2.50016409124269,-0.0299398464708294)); #139820=CARTESIAN_POINT('Ctrl Pts',(1.69069652778757,2.50005198990626,-0.0325036941411022)); #139821=CARTESIAN_POINT('Ctrl Pts',(1.6904248155604,2.4977868829564,-0.0376831022554858)); #139822=CARTESIAN_POINT('Ctrl Pts',(1.69123683478672,2.49261856409638,-0.0399592475894384)); #139823=CARTESIAN_POINT('Ctrl Pts',(1.69176476533654,2.49002544526926,-0.04)); #139824=CARTESIAN_POINT('Ctrl Pts',(1.6936319235638,2.50098311845815,-0.0301745240643728)); #139825=CARTESIAN_POINT('Ctrl Pts',(1.6932119157665,2.50079914789528,-0.0327627043822566)); #139826=CARTESIAN_POINT('Ctrl Pts',(1.69291221574546,2.49838184090976,-0.0378734673159611)); #139827=CARTESIAN_POINT('Ctrl Pts',(1.69366594085857,2.4932081787916,-0.0399999999999993)); #139828=CARTESIAN_POINT('Ctrl Pts',(1.69415934645097,2.49064146772795,-0.0399999999999998)); #139829=CARTESIAN_POINT('Ctrl Pts',(1.68451153658375,2.48815950229375,-0.0491284425725234)); #139830=CARTESIAN_POINT('Ctrl Pts',(1.68323433174042,2.49312420861887,-0.0491284425725224)); #139831=CARTESIAN_POINT('Ctrl Pts',(1.68092502593757,2.50306293836466,-0.044875377204447)); #139832=CARTESIAN_POINT('Ctrl Pts',(1.68055981702032,2.50752053626186,-0.0346538513370392)); #139833=CARTESIAN_POINT('Ctrl Pts',(1.68096626265049,2.50774608385421,-0.0294774907012717)); #139834=CARTESIAN_POINT('Ctrl Pts',(1.6936319235638,2.50098311845815,-0.0301745240643728)); #139835=CARTESIAN_POINT('Ctrl Pts',(1.6932119157665,2.50079914789528,-0.0327627043822566)); #139836=CARTESIAN_POINT('Ctrl Pts',(1.69291221574546,2.49838184090976,-0.0378734673159611)); #139837=CARTESIAN_POINT('Ctrl Pts',(1.69366594085857,2.4932081787916,-0.0399999999999993)); #139838=CARTESIAN_POINT('Ctrl Pts',(1.69415934645097,2.49064146772795,-0.0399999999999998)); #139839=CARTESIAN_POINT('Ctrl Pts',(1.34268859116746,3.16518747790387,0.202497730886271)); #139840=CARTESIAN_POINT('Ctrl Pts',(1.31544678383431,3.11223280934,0.143249999321132)); #139841=CARTESIAN_POINT('Ctrl Pts',(1.28820497650116,3.05927814077613,0.0840022677559933)); #139842=CARTESIAN_POINT('Ctrl Pts',(1.26096316916801,3.00632347221225,0.0247545361908546)); #139843=CARTESIAN_POINT('Ctrl Pts',(1.45789732682391,3.1138163176437,0.202497730886271)); #139844=CARTESIAN_POINT('Ctrl Pts',(1.43566460495281,3.0608761036838,0.143249999321132)); #139845=CARTESIAN_POINT('Ctrl Pts',(1.413333513128,3.00779803234422,0.0840022677559932)); #139846=CARTESIAN_POINT('Ctrl Pts',(1.39110079125691,2.95485781838432,0.0247545361908546)); #139847=CARTESIAN_POINT('Ctrl Pts',(1.63712762569848,2.97365053490877,0.202497730886271)); #139848=CARTESIAN_POINT('Ctrl Pts',(1.6220107256968,2.91488882551121,0.143249999321132)); #139849=CARTESIAN_POINT('Ctrl Pts',(1.60692501580313,2.85615574677134,0.0840022677559935)); #139850=CARTESIAN_POINT('Ctrl Pts',(1.59180811580144,2.79739403737378,0.0247545361908546)); #139851=CARTESIAN_POINT('Ctrl Pts',(1.74069586615982,2.77465383841493,0.202497730886271)); #139852=CARTESIAN_POINT('Ctrl Pts',(1.72370996745358,2.70527651356929,0.143249999321132)); #139853=CARTESIAN_POINT('Ctrl Pts',(1.70669796961645,2.63593167899009,0.0840022677559933)); #139854=CARTESIAN_POINT('Ctrl Pts',(1.68971207091021,2.56655435414445,0.0247545361908546)); #139855=CARTESIAN_POINT('Ctrl Pts',(1.76837111465071,2.68448180923737,0.202497730886271)); #139856=CARTESIAN_POINT('Ctrl Pts',(1.74860986978465,2.6108229765842,0.143249999321132)); #139857=CARTESIAN_POINT('Ctrl Pts',(1.72884862491859,2.53716414393102,0.0840022677559933)); #139858=CARTESIAN_POINT('Ctrl Pts',(1.70908738005253,2.46350531127785,0.0247545361908546)); #139859=CARTESIAN_POINT('Ctrl Pts',(1.27302245223197,3.00946093442464,0.032180702948174)); #139860=CARTESIAN_POINT('Ctrl Pts',(1.39231509900181,2.96008775851088,0.0320303016736891)); #139861=CARTESIAN_POINT('Ctrl Pts',(1.57793745784174,2.81543724695717,0.0312420394511452)); #139862=CARTESIAN_POINT('Ctrl Pts',(1.67480380594054,2.6078067053386,0.0275562277853291)); #139863=CARTESIAN_POINT('Ctrl Pts',(1.69766007668131,2.51423085630884,0.0247545361908939)); #139864=CARTESIAN_POINT('Ctrl Pts',(1.72452847969242,2.7924767273319,0.200593687913576)); #139865=CARTESIAN_POINT('Ctrl Pts',(1.69750036754854,2.84929603424319,0.20107366561299)); #139866=CARTESIAN_POINT('Ctrl Pts',(1.63752716049659,2.9435422684436,0.201794037256558)); #139867=CARTESIAN_POINT('Ctrl Pts',(1.56029863173835,3.02158964609361,0.202308278902025)); #139868=CARTESIAN_POINT('Ctrl Pts',(1.52407769643443,3.0520686255943,0.202497730886271)); #139869=CARTESIAN_POINT('Ctrl Pts',(1.69766007668131,2.51423085630884,0.0247545361908939)); #139870=CARTESIAN_POINT('Ctrl Pts',(1.67480380594054,2.6078067053386,0.0275562277853291)); #139871=CARTESIAN_POINT('Ctrl Pts',(1.57708186973056,2.81727117820795,0.0312745949949767)); #139872=CARTESIAN_POINT('Ctrl Pts',(1.389029093973,2.9626381444072,0.0320440005325544)); #139873=CARTESIAN_POINT('Ctrl Pts',(1.26744372434963,3.0117418848738,0.0321875104217682)); #139874=CARTESIAN_POINT('Ctrl Pts',(1.68823401608444,2.51081053103691,0.0083805170628554)); #139875=CARTESIAN_POINT('Ctrl Pts',(1.66331721443566,2.60247068020614,0.00944649764865127)); #139876=CARTESIAN_POINT('Ctrl Pts',(1.56266764627779,2.80555285522274,0.0110609997196664)); #139877=CARTESIAN_POINT('Ctrl Pts',(1.37835235231954,2.94506407812225,0.0115262207144748)); #139878=CARTESIAN_POINT('Ctrl Pts',(1.2598605958707,2.99261864575158,0.0116181689864377)); #139879=CARTESIAN_POINT('Ctrl Pts',(1.68253080268529,2.50837051811849,-0.0103246649659083)); #139880=CARTESIAN_POINT('Ctrl Pts',(1.65640048380809,2.59885609745544,-0.0119548758423012)); #139881=CARTESIAN_POINT('Ctrl Pts',(1.55388260460088,2.7980633666516,-0.0149752991593387)); #139882=CARTESIAN_POINT('Ctrl Pts',(1.37172296740015,2.93388613105126,-0.0161959787623224)); #139883=CARTESIAN_POINT('Ctrl Pts',(1.25509222255764,2.98048814058841,-0.0163307805848882)); #139884=CARTESIAN_POINT('Ctrl Pts',(1.68113727730357,2.50716188639511,-0.0294363117320753)); #139885=CARTESIAN_POINT('Ctrl Pts',(1.65493388635019,2.5974071197033,-0.0338931433636737)); #139886=CARTESIAN_POINT('Ctrl Pts',(1.55245010722007,2.79611270493386,-0.0418577258459748)); #139887=CARTESIAN_POINT('Ctrl Pts',(1.37063097939587,2.93159826165828,-0.0449277596522427)); #139888=CARTESIAN_POINT('Ctrl Pts',(1.25422165846177,2.97810560516373,-0.0453112147127883)); #139889=CARTESIAN_POINT('Ctrl Pts',(1.6809672268055,2.50774661990569,-0.0294651781698899)); #139890=CARTESIAN_POINT('Ctrl Pts',(1.65474407188517,2.5977749645685,-0.0339078854193189)); #139891=CARTESIAN_POINT('Ctrl Pts',(1.55228179015116,2.7962381292061,-0.041860567896192)); #139892=CARTESIAN_POINT('Ctrl Pts',(1.37063097939587,2.93159826165828,-0.0449277596522427)); #139893=CARTESIAN_POINT('Ctrl Pts',(1.25422165846177,2.97810560516373,-0.0453112147127883)); #139894=CARTESIAN_POINT('Origin',(0.986536118078863,2.30860052606037,0.)); #139895=CARTESIAN_POINT('',(1.69300795034174,2.45110898546102,-0.0491284425838897)); #139896=CARTESIAN_POINT('Origin',(0.986536118078863,2.30860052606037,-0.0491284425725234)); #139897=CARTESIAN_POINT('',(1.69497454397249,2.45133651055029,-0.0265795459106358)); #139898=CARTESIAN_POINT('Ctrl Pts',(1.69497454397248,2.45133651055027,-0.026579545910844)); #139899=CARTESIAN_POINT('Ctrl Pts',(1.69431901836457,2.45126067282733,-0.0340958453531049)); #139900=CARTESIAN_POINT('Ctrl Pts',(1.69366348716906,2.45118483114151,-0.0416121442455972)); #139901=CARTESIAN_POINT('Ctrl Pts',(1.69300795034174,2.45110898546102,-0.0491284425838897)); #139902=CARTESIAN_POINT('',(1.70067939794709,2.42027014593024,-0.0249018923716686)); #139903=CARTESIAN_POINT('Ctrl Pts',(1.70067939794709,2.42027014593024,-0.0249018923716686)); #139904=CARTESIAN_POINT('Ctrl Pts',(1.69900332423323,2.43066493363057,-0.0254739282025605)); #139905=CARTESIAN_POINT('Ctrl Pts',(1.69710114288893,2.44102362812873,-0.0260331717272446)); #139906=CARTESIAN_POINT('Ctrl Pts',(1.69497454397251,2.4513365105503,-0.0265795459104275)); #139907=CARTESIAN_POINT('',(1.70397497682735,2.39676228076112,-0.0247415264685588)); #139908=CARTESIAN_POINT('Ctrl Pts',(1.70397497682735,2.39676228076112,-0.0247415264685619)); #139909=CARTESIAN_POINT('Ctrl Pts',(1.70303636941657,2.40461750562478,-0.0244389172440552)); #139910=CARTESIAN_POINT('Ctrl Pts',(1.70193804990561,2.41246415279861,-0.0244723205617284)); #139911=CARTESIAN_POINT('Ctrl Pts',(1.70067939794858,2.42027014592102,-0.0249018923711654)); #139912=CARTESIAN_POINT('',(1.6681410170413,2.39235886054755,-0.437406431063924)); #139913=CARTESIAN_POINT('',(1.70612341943695,2.39702628997671,0.)); #139914=CARTESIAN_POINT('',(1.39564289837345,2.85381956946301,-0.495614836914239)); #139915=CARTESIAN_POINT('Ctrl Pts',(1.39564289837345,2.85381956946301,-0.495614836914239)); #139916=CARTESIAN_POINT('Ctrl Pts',(1.45899020557889,2.80679675846809,-0.490951844761508)); #139917=CARTESIAN_POINT('Ctrl Pts',(1.55081535981378,2.71100211326991,-0.472078386160006)); #139918=CARTESIAN_POINT('Ctrl Pts',(1.63566475418838,2.54864243414255,-0.444546315718472)); #139919=CARTESIAN_POINT('Ctrl Pts',(1.66174080195023,2.44463221804478,-0.437141599689632)); #139920=CARTESIAN_POINT('Ctrl Pts',(1.6681410170413,2.39235886054755,-0.437406431063926)); #139921=CARTESIAN_POINT('',(1.12819064053427,2.97332740933466,-0.51832167055156)); #139922=CARTESIAN_POINT('Ctrl Pts',(1.12819064052715,2.97332740933618,-0.51832167055156)); #139923=CARTESIAN_POINT('Ctrl Pts',(1.22431243799009,2.95363492869965,-0.50947624247949)); #139924=CARTESIAN_POINT('Ctrl Pts',(1.31665863674441,2.91244971760782,-0.501428864508109)); #139925=CARTESIAN_POINT('Ctrl Pts',(1.39564289836396,2.85381956947006,-0.49561483691494)); #139926=CARTESIAN_POINT('',(1.1368156880371,3.01380123847911,-0.0453160142462691)); #139927=CARTESIAN_POINT('',(1.13764200537597,3.01767880863864,0.)); #139928=CARTESIAN_POINT('Ctrl Pts',(1.14648785259763,3.01167419431388,-0.045275223075571)); #139929=CARTESIAN_POINT('Ctrl Pts',(1.14326918605587,3.01240755125624,-0.0452629747251376)); #139930=CARTESIAN_POINT('Ctrl Pts',(1.14004490849443,3.01311659197802,-0.0452768169343904)); #139931=CARTESIAN_POINT('Ctrl Pts',(1.1368156880371,3.01380123847911,-0.0453160142462719)); #139932=CARTESIAN_POINT('Ctrl Pts',(1.73871638200815,2.51561588416727,-0.0310497234681421)); #139933=CARTESIAN_POINT('Ctrl Pts',(1.73943235101249,2.51581122916647,-0.0336953551523986)); #139934=CARTESIAN_POINT('Ctrl Pts',(1.74078218279182,2.51466616244157,-0.0382200556471128)); #139935=CARTESIAN_POINT('Ctrl Pts',(1.74366667684383,2.51185837464027,-0.0427593451111545)); #139936=CARTESIAN_POINT('Ctrl Pts',(1.74554276926286,2.51059048436273,-0.0466600998055814)); #139937=CARTESIAN_POINT('Ctrl Pts',(1.74595289164221,2.5103582521638,-0.0498254759356591)); #139938=CARTESIAN_POINT('Ctrl Pts',(1.74275303134602,2.51686256832839,-0.0309950737496451)); #139939=CARTESIAN_POINT('Ctrl Pts',(1.74314604460662,2.51726403986661,-0.0339576993858995)); #139940=CARTESIAN_POINT('Ctrl Pts',(1.74387861392379,2.51633190747714,-0.0392390133171104)); #139941=CARTESIAN_POINT('Ctrl Pts',(1.74511678700402,2.51271653637245,-0.0445649427479979)); #139942=CARTESIAN_POINT('Ctrl Pts',(1.74579838110866,2.51071812303654,-0.0477052924521327)); #139943=CARTESIAN_POINT('Ctrl Pts',(1.74595289164221,2.5103582521638,-0.049825475935659)); #139944=CARTESIAN_POINT('Ctrl Pts',(1.74568688581436,2.5209006725759,-0.0309950737496451)); #139945=CARTESIAN_POINT('Ctrl Pts',(1.74574323325372,2.52110633017081,-0.0349337807497813)); #139946=CARTESIAN_POINT('Ctrl Pts',(1.74583547654467,2.51914239942918,-0.0415500082791644)); #139947=CARTESIAN_POINT('Ctrl Pts',(1.7459023953214,2.51365678762655,-0.0467691484287179)); #139948=CARTESIAN_POINT('Ctrl Pts',(1.74593415909063,2.5108454069633,-0.0487586401319146)); #139949=CARTESIAN_POINT('Ctrl Pts',(1.74595289164221,2.5103582521638,-0.0498254759356591)); #139950=CARTESIAN_POINT('Ctrl Pts',(1.74562515966382,2.52512500082508,-0.0310497234681421)); #139951=CARTESIAN_POINT('Ctrl Pts',(1.7457116409153,2.52508470601661,-0.0360042310473821)); #139952=CARTESIAN_POINT('Ctrl Pts',(1.74585022682862,2.52198279688934,-0.043943812703868)); #139953=CARTESIAN_POINT('Ctrl Pts',(1.74593825427535,2.51459542999919,-0.0489869017498707)); #139954=CARTESIAN_POINT('Ctrl Pts',(1.74595269783258,2.51097318059292,-0.0498143725888687)); #139955=CARTESIAN_POINT('Ctrl Pts',(1.74595289164221,2.5103582521638,-0.0498254759356591)); #139956=CARTESIAN_POINT('',(1.74595137345983,2.51035991154094,-0.0497533922807351)); #139957=CARTESIAN_POINT('Origin',(1.73595441469065,2.50385444177655,-0.05)); #139958=CARTESIAN_POINT('Ctrl Pts',(1.74595178315842,2.51035887992727,-0.0498169248122682)); #139959=CARTESIAN_POINT('Ctrl Pts',(1.74554220574272,2.51059086520606,-0.0466589281536066)); #139960=CARTESIAN_POINT('Ctrl Pts',(1.74366649117919,2.51185855536761,-0.0427590529332067)); #139961=CARTESIAN_POINT('Ctrl Pts',(1.74078218279182,2.51466616244157,-0.0382200556471128)); #139962=CARTESIAN_POINT('Ctrl Pts',(1.73943235101249,2.51581122916647,-0.0336953551523986)); #139963=CARTESIAN_POINT('Ctrl Pts',(1.73871638200815,2.51561588416727,-0.0310497234681421)); #139964=CARTESIAN_POINT('Ctrl Pts',(1.73286471539475,2.51373062526223,-0.0301745240643729)); #139965=CARTESIAN_POINT('Ctrl Pts',(1.7380184922741,2.51540518888032,-0.0301745240643727)); #139966=CARTESIAN_POINT('Ctrl Pts',(1.748285408401,2.51866413430733,-0.0343687381062294)); #139967=CARTESIAN_POINT('Ctrl Pts',(1.75273153686895,2.51992229244066,-0.0445290993120156)); #139968=CARTESIAN_POINT('Ctrl Pts',(1.75285863293766,2.51986902819685,-0.0496813088100369)); #139969=CARTESIAN_POINT('Ctrl Pts',(1.73287867759319,2.51368765403393,-0.032763034818718)); #139970=CARTESIAN_POINT('Ctrl Pts',(1.73742856975035,2.51516405913749,-0.0326291437192762)); #139971=CARTESIAN_POINT('Ctrl Pts',(1.74668614825272,2.51811014170519,-0.0361022674973288)); #139972=CARTESIAN_POINT('Ctrl Pts',(1.75075902405115,2.51927011552652,-0.0451389312842929)); #139973=CARTESIAN_POINT('Ctrl Pts',(1.75086786459958,2.51922140992125,-0.0497237224447803)); #139974=CARTESIAN_POINT('Ctrl Pts',(1.73356258296532,2.51158280972917,-0.0378764135654327)); #139975=CARTESIAN_POINT('Ctrl Pts',(1.73683957065882,2.5128962535727,-0.0374900241700055)); #139976=CARTESIAN_POINT('Ctrl Pts',(1.74395710522148,2.51559179874511,-0.039538342236613)); #139977=CARTESIAN_POINT('Ctrl Pts',(1.74724163738149,2.51667779559623,-0.0463309811377325)); #139978=CARTESIAN_POINT('Ctrl Pts',(1.74731612264875,2.51663230648771,-0.0497845712520032)); #139979=CARTESIAN_POINT('Ctrl Pts',(1.73515439903117,2.50668370362907,-0.04)); #139980=CARTESIAN_POINT('Ctrl Pts',(1.73777805307327,2.50823440698169,-0.0397893388193207)); #139981=CARTESIAN_POINT('Ctrl Pts',(1.74334961805148,2.51130347040219,-0.0416056217646538)); #139982=CARTESIAN_POINT('Ctrl Pts',(1.74588973142527,2.51250431394309,-0.0470253610704216)); #139983=CARTESIAN_POINT('Ctrl Pts',(1.74595190710031,2.51245368771379,-0.0497750249522872)); #139984=CARTESIAN_POINT('Ctrl Pts',(1.73595441469065,2.50422150860442,-0.0399999999999999)); #139985=CARTESIAN_POINT('Ctrl Pts',(1.73853130313033,2.50589607222251,-0.0399999999999999)); #139986=CARTESIAN_POINT('Ctrl Pts',(1.74366476119377,2.50915501764952,-0.0420971070209282)); #139987=CARTESIAN_POINT('Ctrl Pts',(1.74588782542775,2.51041317578285,-0.0471772876238214)); #139988=CARTESIAN_POINT('Ctrl Pts',(1.74595137346211,2.51035991153904,-0.049753392372832)); #139989=CARTESIAN_POINT('',(1.73595441469065,2.50422150860442,-0.04)); #139990=CARTESIAN_POINT('Origin',(1.73595441469065,2.50385444177655,-0.05)); #139991=CARTESIAN_POINT('',(1.73286471539475,2.51373062526223,-0.0301745240643728)); #139992=CARTESIAN_POINT('Origin',(1.73595441469065,2.50422150860442,-0.03)); #139993=CARTESIAN_POINT('Origin',(1.73286471539475,2.51336355843436,-0.0501745240643729)); #139994=CARTESIAN_POINT('Ctrl Pts',(-1.69415934645094,2.49064146772794, -0.0399999999999999)); #139995=CARTESIAN_POINT('Ctrl Pts',(-1.69243589782852,2.4899610010188,-0.040000000000006)); #139996=CARTESIAN_POINT('Ctrl Pts',(-1.68975269954014,2.48887872429641, -0.040526290019837)); #139997=CARTESIAN_POINT('Ctrl Pts',(-1.68666901146991,2.48754151274085, -0.0434766910145553)); #139998=CARTESIAN_POINT('Ctrl Pts',(-1.68514027319013,2.48683949284475, -0.0459114625855753)); #139999=CARTESIAN_POINT('Ctrl Pts',(-1.6849048495553,2.48662363040095,-0.0491284425725236)); #140000=CARTESIAN_POINT('Ctrl Pts',(-1.69176476533652,2.49002544526925, -0.040000000000008)); #140001=CARTESIAN_POINT('Ctrl Pts',(-1.69033699188062,2.48942184638039, -0.0404635667667934)); #140002=CARTESIAN_POINT('Ctrl Pts',(-1.68831556329943,2.48851053411751, -0.0414532960385231)); #140003=CARTESIAN_POINT('Ctrl Pts',(-1.68613080726863,2.48740411354807, -0.0443432976280235)); #140004=CARTESIAN_POINT('Ctrl Pts',(-1.68509481859867,2.48682790575547, -0.0464476259228522)); #140005=CARTESIAN_POINT('Ctrl Pts',(-1.6849048495553,2.48662363040095,-0.0491284425725236)); #140006=CARTESIAN_POINT('Ctrl Pts',(-1.68699588131341,2.48879861705974, -0.0419279065721305)); #140007=CARTESIAN_POINT('Ctrl Pts',(-1.68649169941711,2.48843421455561, -0.0427853283745848)); #140008=CARTESIAN_POINT('Ctrl Pts',(-1.68588563720699,2.48788804230728, -0.0439974024382503)); #140009=CARTESIAN_POINT('Ctrl Pts',(-1.68527437760026,2.48718548945239, -0.0461719550152118)); #140010=CARTESIAN_POINT('Ctrl Pts',(-1.68500390941576,2.48680473157689, -0.0475199525974061)); #140011=CARTESIAN_POINT('Ctrl Pts',(-1.6849048495553,2.48662363040095,-0.0491284425725236)); #140012=CARTESIAN_POINT('Ctrl Pts',(-1.68472023807935,2.48821319218869, -0.0466653015972421)); #140013=CARTESIAN_POINT('Ctrl Pts',(-1.68475872265093,2.48798916285296, -0.0469734001059805)); #140014=CARTESIAN_POINT('Ctrl Pts',(-1.68481296186469,2.48761326818639, -0.0473956925577081)); #140015=CARTESIAN_POINT('Ctrl Pts',(-1.68488277642861,2.48708553541107, -0.0481381928077293)); #140016=CARTESIAN_POINT('Ctrl Pts',(-1.68491300023284,2.48678155739832, -0.0485922792719599)); #140017=CARTESIAN_POINT('Ctrl Pts',(-1.6849048495553,2.48662363040095,-0.0491284425725236)); #140018=CARTESIAN_POINT('Ctrl Pts',(-1.68451153658376,2.48815950229375, -0.0491284425725233)); #140019=CARTESIAN_POINT('Ctrl Pts',(-1.68456796545977,2.48794015392599, -0.0491284425725235)); #140020=CARTESIAN_POINT('Ctrl Pts',(-1.6846618378794,2.48757454220844,-0.0491284425918418)); #140021=CARTESIAN_POINT('Ctrl Pts',(-1.68479286313089,2.48706255892915, -0.0491284425841616)); #140022=CARTESIAN_POINT('Ctrl Pts',(-1.68486754564138,2.48676997030904, -0.0491284426092369)); #140023=CARTESIAN_POINT('Ctrl Pts',(-1.6849048495553,2.48662363040095,-0.0491284425725236)); #140024=CARTESIAN_POINT('',(-1.69415934645098,2.49064146772795,-0.04)); #140025=CARTESIAN_POINT('Ctrl Pts',(-1.6849048495553,2.48662363040095,-0.0491284425725255)); #140026=CARTESIAN_POINT('Ctrl Pts',(-1.68491505349828,2.48663298646373, -0.0489890094488043)); #140027=CARTESIAN_POINT('Ctrl Pts',(-1.68492801085399,2.48664343573004, -0.0488497372322783)); #140028=CARTESIAN_POINT('Ctrl Pts',(-1.68514110751578,2.48679995332426, -0.0469638348170271)); #140029=CARTESIAN_POINT('Ctrl Pts',(-1.68578087170205,2.48711911562242, -0.045281386067495)); #140030=CARTESIAN_POINT('Ctrl Pts',(-1.68754195338596,2.48791346034159, -0.0428169053960431)); #140031=CARTESIAN_POINT('Ctrl Pts',(-1.68851501165321,2.48833437179204, -0.0419166656125011)); #140032=CARTESIAN_POINT('Ctrl Pts',(-1.69046521279521,2.48915195354841, -0.0407538228516369)); #140033=CARTESIAN_POINT('Ctrl Pts',(-1.69138866735288,2.48953145431084, -0.04039153217363)); #140034=CARTESIAN_POINT('Ctrl Pts',(-1.69294060289263,2.49015766389284, -0.0400636605582965)); #140035=CARTESIAN_POINT('Ctrl Pts',(-1.69354965107562,2.49040074259101, -0.04)); #140036=CARTESIAN_POINT('Ctrl Pts',(-1.69415934645098,2.49064146772795, -0.04)); #140037=CARTESIAN_POINT('Origin',(-1.69415934645098,2.49064146772795,-0.0499999999999999)); #140038=CARTESIAN_POINT('Ctrl Pts',(-1.68096722940375,2.50774662033157, -0.0294651784426095)); #140039=CARTESIAN_POINT('Ctrl Pts',(-1.68245758530618,2.50861939897816, -0.0103403634330882)); #140040=CARTESIAN_POINT('Ctrl Pts',(-1.68821891017588,2.51085745427723, 0.00837614253499237)); #140041=CARTESIAN_POINT('Ctrl Pts',(-1.6976600766812,2.51423085630917,0.0247545361908528)); #140042=CARTESIAN_POINT('Ctrl Pts',(-1.68170860227679,2.50517869386279, -0.0294107534809277)); #140043=CARTESIAN_POINT('Ctrl Pts',(-1.68319258713654,2.50604384470381, -0.0102678750621442)); #140044=CARTESIAN_POINT('Ctrl Pts',(-1.68895245916509,2.50827809309665, 0.00846048008076417)); #140045=CARTESIAN_POINT('Ctrl Pts',(-1.69840249215304,2.51165389597114, 0.0248565375508408)); #140046=CARTESIAN_POINT('Ctrl Pts',(-1.68535862648923,2.50069695736221, -0.0295005876336339)); #140047=CARTESIAN_POINT('Ctrl Pts',(-1.68681491169032,2.50152679351641, -0.0106811298729863)); #140048=CARTESIAN_POINT('Ctrl Pts',(-1.69247930477923,2.5037122390163,0.00774581472497897)); #140049=CARTESIAN_POINT('Ctrl Pts',(-1.70177000001579,2.50702839939332, 0.0238664612955093)); #140050=CARTESIAN_POINT('Ctrl Pts',(-1.69109892738743,2.50016463119778, -0.0299275342811424)); #140051=CARTESIAN_POINT('Ctrl Pts',(-1.69250573166365,2.50095199110428, -0.0119210283130069)); #140052=CARTESIAN_POINT('Ctrl Pts',(-1.69793169802479,2.50303867044636, 0.00570123700402455)); #140053=CARTESIAN_POINT('Ctrl Pts',(-1.70682142008231,2.50621011803702, 0.0211225316823636)); #140054=CARTESIAN_POINT('Ctrl Pts',(-1.69363288936981,2.50098365823863, -0.0301622118057133)); #140055=CARTESIAN_POINT('Ctrl Pts',(-1.69501317818305,2.50175508952919, -0.0125660552409328)); #140056=CARTESIAN_POINT('Ctrl Pts',(-1.70031908932531,2.50379512882292, 0.00465392941281619)); #140057=CARTESIAN_POINT('Ctrl Pts',(-1.70900622411314,2.50689430377587, 0.0197222349939138)); #140058=CARTESIAN_POINT('Ctrl Pts',(-1.6976600766812,2.51423085630917,0.0247545361908528)); #140059=CARTESIAN_POINT('Ctrl Pts',(-1.68821891017588,2.51085745427723, 0.00837614253499237)); #140060=CARTESIAN_POINT('Ctrl Pts',(-1.68245758530618,2.50861939897816, -0.0103403634330882)); #140061=CARTESIAN_POINT('Ctrl Pts',(-1.68096722940375,2.50774662033157, -0.0294651784426095)); #140062=CARTESIAN_POINT('',(-1.69363289118487,2.50098365925305,-0.0301621886670404)); #140063=CARTESIAN_POINT('Origin',(-1.69054319007391,2.51049277489645,-0.0303367358700861)); #140064=CARTESIAN_POINT('Ctrl Pts',(-1.69363288936981,2.50098365823863, -0.0301622118057133)); #140065=CARTESIAN_POINT('Ctrl Pts',(-1.69501317818305,2.50175508952919, -0.0125660552409328)); #140066=CARTESIAN_POINT('Ctrl Pts',(-1.70031908932531,2.50379512882292, 0.00465392941281619)); #140067=CARTESIAN_POINT('Ctrl Pts',(-1.70900622411314,2.50689430377587, 0.0197222349939138)); #140068=CARTESIAN_POINT('Ctrl Pts',(-1.68451153658375,2.48815950229376, -0.0491284425725235)); #140069=CARTESIAN_POINT('Ctrl Pts',(-1.68323433172104,2.49312420869424, -0.0491284425725187)); #140070=CARTESIAN_POINT('Ctrl Pts',(-1.6809250190728,2.50306297512426,-0.0448753383767611)); #140071=CARTESIAN_POINT('Ctrl Pts',(-1.68055981701416,2.50752053625843, -0.0346538514156269)); #140072=CARTESIAN_POINT('Ctrl Pts',(-1.6809662626505,2.50774608385422,-0.0294774907012783)); #140073=CARTESIAN_POINT('Ctrl Pts',(-1.68472023807935,2.48821319218869, -0.0466653015972368)); #140074=CARTESIAN_POINT('Ctrl Pts',(-1.68360452933396,2.49255667997411, -0.046638216486712)); #140075=CARTESIAN_POINT('Ctrl Pts',(-1.68161779300282,2.50121885514815, -0.0428460781268835)); #140076=CARTESIAN_POINT('Ctrl Pts',(-1.68134551751179,2.50501954358908, -0.0339120903938639)); #140077=CARTESIAN_POINT('Ctrl Pts',(-1.68170763480287,2.50517815658324, -0.0294230656472678)); #140078=CARTESIAN_POINT('Ctrl Pts',(-1.68699588242147,2.4887986173448,-0.0419279078207086)); #140079=CARTESIAN_POINT('Ctrl Pts',(-1.68624212609223,2.49193291808073, -0.0418525313952327)); #140080=CARTESIAN_POINT('Ctrl Pts',(-1.68498532332385,2.4981054158155,-0.038994369964372)); #140081=CARTESIAN_POINT('Ctrl Pts',(-1.68501568680118,2.5006476616756,-0.0326335276117188)); #140082=CARTESIAN_POINT('Ctrl Pts',(-1.68535765590377,2.50069641262215, -0.0295128992435925)); #140083=CARTESIAN_POINT('Ctrl Pts',(-1.69176476533654,2.49002544526926, -0.0400000000000003)); #140084=CARTESIAN_POINT('Ctrl Pts',(-1.69123683477872,2.49261856413575, -0.0399592475888182)); #140085=CARTESIAN_POINT('Ctrl Pts',(-1.69042481419674,2.49778690182424, -0.0376830822358542)); #140086=CARTESIAN_POINT('Ctrl Pts',(-1.69069652778148,2.50005198990456, -0.0325036941800234)); #140087=CARTESIAN_POINT('Ctrl Pts',(-1.69109796104016,2.5001640912427,-0.0299398464708294)); #140088=CARTESIAN_POINT('Ctrl Pts',(-1.69415934645098,2.49064146772795, -0.0400000000000003)); #140089=CARTESIAN_POINT('Ctrl Pts',(-1.69366594085109,2.49320817883057, -0.0399999999999979)); #140090=CARTESIAN_POINT('Ctrl Pts',(-1.69291221454957,2.49838186028657, -0.0378734479021167)); #140091=CARTESIAN_POINT('Ctrl Pts',(-1.69321191576014,2.50079914789248, -0.0327627044215473)); #140092=CARTESIAN_POINT('Ctrl Pts',(-1.69363192356381,2.50098311845815, -0.0301745240643729)); #140093=CARTESIAN_POINT('Ctrl Pts',(-1.69415934645098,2.49064146772795, -0.0400000000000003)); #140094=CARTESIAN_POINT('Ctrl Pts',(-1.69366594085109,2.49320817883057, -0.0399999999999979)); #140095=CARTESIAN_POINT('Ctrl Pts',(-1.69291221454957,2.49838186028657, -0.0378734479021167)); #140096=CARTESIAN_POINT('Ctrl Pts',(-1.69321191576014,2.50079914789248, -0.0327627044215473)); #140097=CARTESIAN_POINT('Ctrl Pts',(-1.69363192356381,2.50098311845815, -0.0301745240643729)); #140098=CARTESIAN_POINT('Origin',(-0.419791667719683,2.07657430866555,-0.03)); #140099=CARTESIAN_POINT('',(-1.73595441469065,2.50422150860442,-0.04)); #140100=CARTESIAN_POINT('',(-0.419791667719683,2.07657430866555,-0.04)); #140101=CARTESIAN_POINT('',(-1.73286471539475,2.51373062526223,-0.0301745240643728)); #140102=CARTESIAN_POINT('Origin',(-1.73595441469065,2.50422150860442,-0.03)); #140103=CARTESIAN_POINT('',(-0.416701968423784,2.08608342532336,-0.0301745240643728)); #140104=CARTESIAN_POINT('Ctrl Pts',(-1.68113727730358,2.50716188639512, -0.0294363117320754)); #140105=CARTESIAN_POINT('Ctrl Pts',(-1.65493388635019,2.59740711970331, -0.0338931433636738)); #140106=CARTESIAN_POINT('Ctrl Pts',(-1.55245010722007,2.79611270493386, -0.0418577258459749)); #140107=CARTESIAN_POINT('Ctrl Pts',(-1.37063097939587,2.93159826165829, -0.0449277596522429)); #140108=CARTESIAN_POINT('Ctrl Pts',(-1.25422165846178,2.97810560516373, -0.0453112147127885)); #140109=CARTESIAN_POINT('Ctrl Pts',(-1.6825308026853,2.50837051811849,-0.0103246649659085)); #140110=CARTESIAN_POINT('Ctrl Pts',(-1.6564004838081,2.59885609745545,-0.0119548758423014)); #140111=CARTESIAN_POINT('Ctrl Pts',(-1.55388260460089,2.7980633666516,-0.0149752991593387)); #140112=CARTESIAN_POINT('Ctrl Pts',(-1.37172296740015,2.93388613105127, -0.0161959787623224)); #140113=CARTESIAN_POINT('Ctrl Pts',(-1.25509222255764,2.98048814058842, -0.0163307805848882)); #140114=CARTESIAN_POINT('Ctrl Pts',(-1.68823401608445,2.51081053103691, 0.00838051706285515)); #140115=CARTESIAN_POINT('Ctrl Pts',(-1.66331721443567,2.60247068020614, 0.00944649764865113)); #140116=CARTESIAN_POINT('Ctrl Pts',(-1.5626676462778,2.80555285522274,0.0110609997196665)); #140117=CARTESIAN_POINT('Ctrl Pts',(-1.37835235231954,2.94506407812225, 0.0115262207144747)); #140118=CARTESIAN_POINT('Ctrl Pts',(-1.2598605958707,2.99261864575159,0.0116181689864377)); #140119=CARTESIAN_POINT('Ctrl Pts',(-1.69766007668131,2.51423085630884, 0.0247545361908937)); #140120=CARTESIAN_POINT('Ctrl Pts',(-1.67480380594054,2.60780670533861, 0.027556227785329)); #140121=CARTESIAN_POINT('Ctrl Pts',(-1.57708186973056,2.81727117820795, 0.0312745949949769)); #140122=CARTESIAN_POINT('Ctrl Pts',(-1.389029093973,2.9626381444072,0.0320440005325545)); #140123=CARTESIAN_POINT('Ctrl Pts',(-1.26744372434963,3.0117418848738,0.0321875104217683)); #140124=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.5103582521638,-0.0498254759356257)); #140125=CARTESIAN_POINT('Ctrl Pts',(-1.74593969099969,2.51037743382024, -0.0490692116321522)); #140126=CARTESIAN_POINT('Ctrl Pts',(-1.74590803407121,2.51038680578005, -0.0490429184853478)); #140127=CARTESIAN_POINT('Ctrl Pts',(-1.74585852195977,2.51041892543929, -0.0485032585261075)); #140128=CARTESIAN_POINT('Ctrl Pts',(-1.74577492939388,2.51046589042359, -0.0481109045038206)); #140129=CARTESIAN_POINT('Ctrl Pts',(-1.74562088862346,2.5105630810096,-0.0473534506222377)); #140130=CARTESIAN_POINT('Ctrl Pts',(-1.74532958230817,2.51074981681961, -0.0464483944395001)); #140131=CARTESIAN_POINT('Ctrl Pts',(-1.74494434975917,2.51101506799732, -0.0454462097761212)); #140132=CARTESIAN_POINT('Ctrl Pts',(-1.74451275251976,2.51132859247933, -0.044552200383296)); #140133=CARTESIAN_POINT('Ctrl Pts',(-1.74397456300049,2.51174156536265, -0.0435105646553339)); #140134=CARTESIAN_POINT('Ctrl Pts',(-1.74348632269164,2.51214246440397, -0.042648078620902)); #140135=CARTESIAN_POINT('Ctrl Pts',(-1.74303652800479,2.51253168582559, -0.0418524205352582)); #140136=CARTESIAN_POINT('Ctrl Pts',(-1.74239775686058,2.51310069786665, -0.0407182957108609)); #140137=CARTESIAN_POINT('Ctrl Pts',(-1.74175451133239,2.51370820672099, -0.0395023514253643)); #140138=CARTESIAN_POINT('Ctrl Pts',(-1.74112179410427,2.51430338740139, -0.0381546383409599)); #140139=CARTESIAN_POINT('Ctrl Pts',(-1.74068687672784,2.51469676362734, -0.0371415067038324)); #140140=CARTESIAN_POINT('Ctrl Pts',(-1.74029005348413,2.51502770335121, -0.0361283315136918)); #140141=CARTESIAN_POINT('Ctrl Pts',(-1.73992859398964,2.51529154996368, -0.0351222562287969)); #140142=CARTESIAN_POINT('Ctrl Pts',(-1.73964828000693,2.51545394656563, -0.0342738860989481)); #140143=CARTESIAN_POINT('Ctrl Pts',(-1.73922571502785,2.51564346410741, -0.0329231271967225)); #140144=CARTESIAN_POINT('Ctrl Pts',(-1.73893468667473,2.51567158630452, -0.0318795096294887)); #140145=CARTESIAN_POINT('Ctrl Pts',(-1.73871638200815,2.51561588416727, -0.0310497234681421)); #140146=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.5103582521638,-0.0498254759356262)); #140147=CARTESIAN_POINT('Ctrl Pts',(-1.74595649629776,2.51037969481686, -0.0493051495876668)); #140148=CARTESIAN_POINT('Ctrl Pts',(-1.74594082088866,2.5103969975828,-0.0493455702147398)); #140149=CARTESIAN_POINT('Ctrl Pts',(-1.745923304534,2.5104448109308,-0.0489439633636621)); #140150=CARTESIAN_POINT('Ctrl Pts',(-1.74589146220226,2.51051977271213, -0.048701867100708)); #140151=CARTESIAN_POINT('Ctrl Pts',(-1.74583568178463,2.5106726048936,-0.0481557562075512)); #140152=CARTESIAN_POINT('Ctrl Pts',(-1.74572913636809,2.51096795767595, -0.0475126232460468)); #140153=CARTESIAN_POINT('Ctrl Pts',(-1.74558717127796,2.51137832468112, -0.046723531698805)); #140154=CARTESIAN_POINT('Ctrl Pts',(-1.74542391322583,2.51185065793305, -0.045974134995105)); #140155=CARTESIAN_POINT('Ctrl Pts',(-1.74521496665616,2.51245490793243, -0.0450348353904495)); #140156=CARTESIAN_POINT('Ctrl Pts',(-1.74501788345275,2.51301780832434, -0.044186855606853)); #140157=CARTESIAN_POINT('Ctrl Pts',(-1.74483030587245,2.51354436362162, -0.04336165396611)); #140158=CARTESIAN_POINT('Ctrl Pts',(-1.74455809999123,2.51429570977083, -0.0421438052050098)); #140159=CARTESIAN_POINT('Ctrl Pts',(-1.74426702055734,2.51504931312474, -0.0407588570425078)); #140160=CARTESIAN_POINT('Ctrl Pts',(-1.7439667069791,2.51574250634088,-0.0391898967945104)); #140161=CARTESIAN_POINT('Ctrl Pts',(-1.74375345732739,2.51617960746266, -0.0380023546463244)); #140162=CARTESIAN_POINT('Ctrl Pts',(-1.74355286450694,2.51652734466903, -0.0368156581245385)); #140163=CARTESIAN_POINT('Ctrl Pts',(-1.74336552767622,2.51678511205625, -0.0356417725258421)); #140164=CARTESIAN_POINT('Ctrl Pts',(-1.7432159789233,2.51692588882508,-0.0346598934209271)); #140165=CARTESIAN_POINT('Ctrl Pts',(-1.74298867367463,2.51706343833757, -0.0331044735304025)); #140166=CARTESIAN_POINT('Ctrl Pts',(-1.7428227623579,2.51702859337839,-0.0319245215991727)); #140167=CARTESIAN_POINT('Ctrl Pts',(-1.74269885012188,2.5169108409089,-0.0309966316719107)); #140168=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.5103582521638,-0.0498254759356267)); #140169=CARTESIAN_POINT('Ctrl Pts',(-1.74594876428611,2.51037517438272, -0.0495890198629013)); #140170=CARTESIAN_POINT('Ctrl Pts',(-1.74594756547429,2.51040557234957, -0.049520339979764)); #140171=CARTESIAN_POINT('Ctrl Pts',(-1.74594504357743,2.51046636960321, -0.0493758606056064)); #140172=CARTESIAN_POINT('Ctrl Pts',(-1.74594214194785,2.51057177551838, -0.049209626358268)); #140173=CARTESIAN_POINT('Ctrl Pts',(-1.74593766604651,2.51078101791581, -0.0489532021422691)); #140174=CARTESIAN_POINT('Ctrl Pts',(-1.74593086934081,2.51119452863357, -0.0485638191333851)); #140175=CARTESIAN_POINT('Ctrl Pts',(-1.74592271537792,2.51177252846427, -0.048096678912105)); #140176=CARTESIAN_POINT('Ctrl Pts',(-1.74591348274817,2.51244768123469, -0.0475677419083044)); #140177=CARTESIAN_POINT('Ctrl Pts',(-1.74590130291121,2.5133210603786,-0.0468699595158195)); #140178=CARTESIAN_POINT('Ctrl Pts',(-1.74588894328416,2.51414868390139, -0.0461618769567863)); #140179=CARTESIAN_POINT('Ctrl Pts',(-1.74587600509048,2.51493539895544, -0.0454206483373358)); #140180=CARTESIAN_POINT('Ctrl Pts',(-1.74585605203014,2.51606965322485, -0.0442775382758845)); #140181=CARTESIAN_POINT('Ctrl Pts',(-1.74583124603666,2.51724434185599, -0.0428564038613555)); #140182=CARTESIAN_POINT('Ctrl Pts',(-1.74580091167288,2.51837091199986, -0.0411185493241931)); #140183=CARTESIAN_POINT('Ctrl Pts',(-1.74577711748698,2.51910778893082, -0.0397553813267832)); #140184=CARTESIAN_POINT('Ctrl Pts',(-1.74575257516837,2.51972523731418, -0.0383493528354131)); #140185=CARTESIAN_POINT('Ctrl Pts',(-1.74572758205115,2.52021913283267, -0.0369174981089102)); #140186=CARTESIAN_POINT('Ctrl Pts',(-1.74570632898347,2.5205294705713,-0.0356999106768606)); #140187=CARTESIAN_POINT('Ctrl Pts',(-1.74567185830181,2.52090315292102, -0.0337250866473567)); #140188=CARTESIAN_POINT('Ctrl Pts',(-1.74564562421117,2.52099320300461, -0.0322221366010908)); #140189=CARTESIAN_POINT('Ctrl Pts',(-1.74562423294306,2.52093728493444, -0.0309966316719107)); #140190=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.5103582521638,-0.0498254759356272)); #140191=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.51037743382018, -0.0498254759356288)); #140192=CARTESIAN_POINT('Ctrl Pts',(-1.74595287697262,2.51041579518291, -0.0498246355154987)); #140193=CARTESIAN_POINT('Ctrl Pts',(-1.74595281563681,2.51049244485791, -0.0498211215890611)); #140194=CARTESIAN_POINT('Ctrl Pts',(-1.74595264784533,2.51062641646179, -0.0498115088217699)); #140195=CARTESIAN_POINT('Ctrl Pts',(-1.7459521406527,2.51089346427212,-0.0497824517750361)); #140196=CARTESIAN_POINT('Ctrl Pts',(-1.7459506321606,2.51142411258455,-0.0496960303207411)); #140197=CARTESIAN_POINT('Ctrl Pts',(-1.74594746943128,2.51217046520839, -0.0495148376795804)); #140198=CARTESIAN_POINT('Ctrl Pts',(-1.74594246576625,2.51304880231651, -0.0492281779020435)); #140199=CARTESIAN_POINT('Ctrl Pts',(-1.7459344231608,2.51419209313603,-0.0487674173442286)); #140200=CARTESIAN_POINT('Ctrl Pts',(-1.74592462247284,2.51528663061969, -0.0482059363068416)); #140201=CARTESIAN_POINT('Ctrl Pts',(-1.74591325724011,2.51633641912493, -0.0475548225601374)); #140202=CARTESIAN_POINT('Ctrl Pts',(-1.74589472539391,2.51786137607356, -0.046493133802491)); #140203=CARTESIAN_POINT('Ctrl Pts',(-1.74586934822482,2.51946820437689, -0.0450392767589836)); #140204=CARTESIAN_POINT('Ctrl Pts',(-1.74583626019028,2.52104430010442, -0.0431436645294917)); #140205=CARTESIAN_POINT('Ctrl Pts',(-1.74580945373196,2.52209596633035, -0.0416079235608923)); #140206=CARTESIAN_POINT('Ctrl Pts',(-1.74578109652557,2.5229991295698,-0.0399833402950439)); #140207=CARTESIAN_POINT('Ctrl Pts',(-1.74575163003246,2.5237461923871,-0.0382952060355718)); #140208=CARTESIAN_POINT('Ctrl Pts',(-1.74572614622639,2.52424051887489, -0.0368352397632172)); #140209=CARTESIAN_POINT('Ctrl Pts',(-1.74568435874664,2.52487493183035, -0.0344412366516773)); #140210=CARTESIAN_POINT('Ctrl Pts',(-1.74565193879635,2.52510554464643, -0.0325838989434229)); #140211=CARTESIAN_POINT('Ctrl Pts',(-1.74562515966382,2.52512500082508, -0.0310497234681421)); #140212=CARTESIAN_POINT('Ctrl Pts',(-1.73871638200815,2.51561588416727, -0.0310497234681421)); #140213=CARTESIAN_POINT('Ctrl Pts',(-1.73893468667473,2.51567158630452, -0.0318795096294887)); #140214=CARTESIAN_POINT('Ctrl Pts',(-1.73922571502785,2.51564346410741, -0.0329231271967225)); #140215=CARTESIAN_POINT('Ctrl Pts',(-1.73964828000693,2.51545394656563, -0.0342738860989481)); #140216=CARTESIAN_POINT('Ctrl Pts',(-1.73992859398964,2.51529154996368, -0.0351222562287969)); #140217=CARTESIAN_POINT('Ctrl Pts',(-1.74029005348413,2.51502770335121, -0.0361283315136918)); #140218=CARTESIAN_POINT('Ctrl Pts',(-1.74068687672784,2.51469676362734, -0.0371415067038324)); #140219=CARTESIAN_POINT('Ctrl Pts',(-1.74112179410427,2.51430338740139, -0.0381546383409599)); #140220=CARTESIAN_POINT('Ctrl Pts',(-1.74175451133239,2.51370820672099, -0.0395023514253643)); #140221=CARTESIAN_POINT('Ctrl Pts',(-1.74239775686058,2.51310069786665, -0.0407182957108609)); #140222=CARTESIAN_POINT('Ctrl Pts',(-1.74303652800479,2.51253168582559, -0.0418524205352582)); #140223=CARTESIAN_POINT('Ctrl Pts',(-1.74348632269164,2.51214246440397, -0.042648078620902)); #140224=CARTESIAN_POINT('Ctrl Pts',(-1.74397456300049,2.51174156536265, -0.0435105646553339)); #140225=CARTESIAN_POINT('Ctrl Pts',(-1.74451275251976,2.51132859247933, -0.044552200383296)); #140226=CARTESIAN_POINT('Ctrl Pts',(-1.74494434975917,2.51101506799732, -0.0454462097761212)); #140227=CARTESIAN_POINT('Ctrl Pts',(-1.74532958230817,2.51074981681961, -0.0464483944395001)); #140228=CARTESIAN_POINT('Ctrl Pts',(-1.74562088862346,2.5105630810096,-0.0473534506222377)); #140229=CARTESIAN_POINT('Ctrl Pts',(-1.74577492939388,2.51046589042359, -0.0481109045038206)); #140230=CARTESIAN_POINT('Ctrl Pts',(-1.74585852195977,2.51041892543929, -0.0485032585261075)); #140231=CARTESIAN_POINT('Ctrl Pts',(-1.74590803407121,2.51038680578005, -0.0490429184853478)); #140232=CARTESIAN_POINT('Ctrl Pts',(-1.74593969099969,2.51037743382024, -0.0490692116321522)); #140233=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.5103582521638,-0.0498254759356257)); #140234=CARTESIAN_POINT('Ctrl Pts',(-1.75286166929788,2.51986736882162, -0.0498254759356272)); #140235=CARTESIAN_POINT('Ctrl Pts',(-1.75277130405131,2.5199330230163,-0.044648454426937)); #140236=CARTESIAN_POINT('Ctrl Pts',(-1.74835653111257,2.51868648732404, -0.0344099378593598)); #140237=CARTESIAN_POINT('Ctrl Pts',(-1.738042525509,2.5154129977517,-0.0301745240643728)); #140238=CARTESIAN_POINT('Ctrl Pts',(-1.73286471539475,2.51373062526223, -0.0301745240643729)); #140239=CARTESIAN_POINT('Ctrl Pts',(-1.75087043524101,2.5192198904508,-0.0498520218337429)); #140240=CARTESIAN_POINT('Ctrl Pts',(-1.75079527026597,2.51927992517723, -0.0452451636004389)); #140241=CARTESIAN_POINT('Ctrl Pts',(-1.74675083616424,2.5181305086421,-0.0361374162986037)); #140242=CARTESIAN_POINT('Ctrl Pts',(-1.7374497869333,2.5151709439506,-0.0326285193545978)); #140243=CARTESIAN_POINT('Ctrl Pts',(-1.73287867759319,2.51368765403393, -0.0327630348187178)); #140244=CARTESIAN_POINT('Ctrl Pts',(-1.74731782380088,2.51663088962329, -0.0498811995847193)); #140245=CARTESIAN_POINT('Ctrl Pts',(-1.74727045015173,2.51668695918954, -0.0464110377876987)); #140246=CARTESIAN_POINT('Ctrl Pts',(-1.74400810262373,2.51561067932702, -0.0395615090836492)); #140247=CARTESIAN_POINT('Ctrl Pts',(-1.73685485201763,2.5129023784014,-0.0374882222852099)); #140248=CARTESIAN_POINT('Ctrl Pts',(-1.73356258298447,2.51158280967022, -0.037876413502353)); #140249=CARTESIAN_POINT('Ctrl Pts',(-1.74595335500259,2.51245211010912, -0.0498520218337429)); #140250=CARTESIAN_POINT('Ctrl Pts',(-1.74591209718703,2.5125145123405,-0.0470891594025696)); #140251=CARTESIAN_POINT('Ctrl Pts',(-1.74338922079287,2.51132465123785, -0.0416250803112312)); #140252=CARTESIAN_POINT('Ctrl Pts',(-1.73779028776937,2.50824163826446, -0.039788356458276)); #140253=CARTESIAN_POINT('Ctrl Pts',(-1.73515439903117,2.50668370362907, -0.0399999999999998)); #140254=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.5103582521638,-0.0498254759356272)); #140255=CARTESIAN_POINT('Ctrl Pts',(-1.74590770901893,2.51042390635849, -0.0472369651812821)); #140256=CARTESIAN_POINT('Ctrl Pts',(-1.74370032254956,2.50917737066623, -0.0421177068974935)); #140257=CARTESIAN_POINT('Ctrl Pts',(-1.73854331974777,2.50590388109389, -0.0399999999999998)); #140258=CARTESIAN_POINT('Ctrl Pts',(-1.73595441469065,2.50422150860442, -0.0399999999999999)); #140259=CARTESIAN_POINT('Origin',(-1.73286471539475,2.51336355843436,-0.0501745240643729)); #140260=CARTESIAN_POINT('Origin',(-1.73595441469065,2.50385444177655,-0.05)); #140261=CARTESIAN_POINT('Origin',(1.3131256065549,3.14816605272983,-0.00195936400538343)); #140262=CARTESIAN_POINT('',(1.30739147597736,3.15384355241303,0.165590410712595)); #140263=CARTESIAN_POINT('Origin',(1.31700262886957,3.15108759859739,0.165415886649825)); #140264=CARTESIAN_POINT('',(1.30285684483695,3.15042646269929,-0.0301745240643728)); #140265=CARTESIAN_POINT('Origin',(1.31246799774821,3.14767050895016,-0.0303490481287457)); #140266=CARTESIAN_POINT('',(1.30351445364364,3.15092200647896,-0.00178483994101059)); #140267=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52304641595347,-0.0498254759356359)); #140268=CARTESIAN_POINT('Ctrl Pts',(1.80502965727316,2.52312805976265,-0.0481263178118518)); #140269=CARTESIAN_POINT('Ctrl Pts',(1.80525324920481,2.52329134687888,-0.0476362672710724)); #140270=CARTESIAN_POINT('Ctrl Pts',(1.80566109803551,2.52361792147299,-0.0459597759015459)); #140271=CARTESIAN_POINT('Ctrl Pts',(1.80646109287419,2.52418942681558,-0.0445530259612253)); #140272=CARTESIAN_POINT('Ctrl Pts',(1.80739062077029,2.52484257587559,-0.0432152519396306)); #140273=CARTESIAN_POINT('Ctrl Pts',(1.80834531232792,2.52549572489464,-0.0423229668384777)); #140274=CARTESIAN_POINT('Ctrl Pts',(1.80978821122787,2.52647544843369,-0.0411768270838205)); #140275=CARTESIAN_POINT('Ctrl Pts',(1.81199172407568,2.52794503374361,-0.0401509688777652)); #140276=CARTESIAN_POINT('Ctrl Pts',(1.8139933823007,2.52925133173955,-0.0399999999999999)); #140277=CARTESIAN_POINT('Ctrl Pts',(1.81499847530935,2.52990448076966,-0.0399999999999999)); #140278=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52304641595347,-0.0498254759356359)); #140279=CARTESIAN_POINT('Ctrl Pts',(1.80499871139054,2.52312805976265,-0.0485314113334561)); #140280=CARTESIAN_POINT('Ctrl Pts',(1.80509597876665,2.52329134687888,-0.0483451216924448)); #140281=CARTESIAN_POINT('Ctrl Pts',(1.80523389943936,2.52361792147299,-0.047098852527858)); #140282=CARTESIAN_POINT('Ctrl Pts',(1.80553346207534,2.52418942681558,-0.0461186071981662)); #140283=CARTESIAN_POINT('Ctrl Pts',(1.80588826816247,2.52484257587559,-0.0449943241090467)); #140284=CARTESIAN_POINT('Ctrl Pts',(1.80628298974952,2.52549572489464,-0.0441647451021413)); #140285=CARTESIAN_POINT('Ctrl Pts',(1.80690557052842,2.52647544843369,-0.0429822478851693)); #140286=CARTESIAN_POINT('Ctrl Pts',(1.80796684890333,2.52794503374361,-0.0415028173763126)); #140287=CARTESIAN_POINT('Ctrl Pts',(1.80915128648347,2.52925133173955,-0.0405210643952661)); #140288=CARTESIAN_POINT('Ctrl Pts',(1.8098206651951,2.52990448076966,-0.0400000000000003)); #140289=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52304641595347,-0.0498254759356359)); #140290=CARTESIAN_POINT('Ctrl Pts',(1.80500707154848,2.52312805994307,-0.0494202531122251)); #140291=CARTESIAN_POINT('Ctrl Pts',(1.80501262129365,2.523291346918,-0.0491023084240595)); #140292=CARTESIAN_POINT('Ctrl Pts',(1.80502117146221,2.52361792159119,-0.0486124695957317)); #140293=CARTESIAN_POINT('Ctrl Pts',(1.80503153147976,2.52418942687502,-0.0480189445874209)); #140294=CARTESIAN_POINT('Ctrl Pts',(1.80504044862269,2.52484257596491,-0.0475080818115917)); #140295=CARTESIAN_POINT('Ctrl Pts',(1.80504817872535,2.52549572497289,-0.0470652245268149)); #140296=CARTESIAN_POINT('Ctrl Pts',(1.80505890698104,2.52647544850584,-0.0464506031696573)); #140297=CARTESIAN_POINT('Ctrl Pts',(1.80507289751032,2.52794503380791,-0.0456490862843639)); #140298=CARTESIAN_POINT('Ctrl Pts',(1.80508453330362,2.52925133173955,-0.0449824721326545)); #140299=CARTESIAN_POINT('Ctrl Pts',(1.80509036360436,2.52990448076966,-0.0446484544269371)); #140300=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52304641595347,-0.0498254759356359)); #140301=CARTESIAN_POINT('Ctrl Pts',(1.80499999835794,2.52312805994307,-0.0498254759269698)); #140302=CARTESIAN_POINT('Ctrl Pts',(1.80499999835782,2.523291346918,-0.0498254759337569)); #140303=CARTESIAN_POINT('Ctrl Pts',(1.80499999835789,2.52361792159119,-0.0498254759299598)); #140304=CARTESIAN_POINT('Ctrl Pts',(1.80499999835784,2.52418942687501,-0.0498254759327824)); #140305=CARTESIAN_POINT('Ctrl Pts',(1.80499999835786,2.52484257596491,-0.0498254759313457)); #140306=CARTESIAN_POINT('Ctrl Pts',(1.80499999835785,2.52549572497289,-0.0498254759318771)); #140307=CARTESIAN_POINT('Ctrl Pts',(1.80499999835785,2.52647544850584,-0.0498254759321697)); #140308=CARTESIAN_POINT('Ctrl Pts',(1.80499999835784,2.52794503380791,-0.0498254759325461)); #140309=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52925133173955,-0.0498254759356269)); #140310=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52990448076966,-0.0498254759356271)); #140311=CARTESIAN_POINT('',(1.80499999835779,2.52990448076966,-0.0498254759356271)); #140312=CARTESIAN_POINT('Origin',(1.81499847530935,2.52990448076966,-0.0499999999999999)); #140313=CARTESIAN_POINT('',(1.80499999835779,2.52304641595347,-0.0498254759356272)); #140314=CARTESIAN_POINT('Origin',(1.81499847530935,2.52953741394179,-0.05)); #140315=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52304641595347,-0.0498254759356359)); #140316=CARTESIAN_POINT('Ctrl Pts',(1.80499999835794,2.52312805994307,-0.0498254759269698)); #140317=CARTESIAN_POINT('Ctrl Pts',(1.80499999835782,2.523291346918,-0.0498254759337569)); #140318=CARTESIAN_POINT('Ctrl Pts',(1.80499999835789,2.52361792159119,-0.0498254759299598)); #140319=CARTESIAN_POINT('Ctrl Pts',(1.80499999835784,2.52418942687501,-0.0498254759327824)); #140320=CARTESIAN_POINT('Ctrl Pts',(1.80499999835786,2.52484257596491,-0.0498254759313457)); #140321=CARTESIAN_POINT('Ctrl Pts',(1.80499999835785,2.52549572497289,-0.0498254759318771)); #140322=CARTESIAN_POINT('Ctrl Pts',(1.80499999835785,2.52647544850584,-0.0498254759321697)); #140323=CARTESIAN_POINT('Ctrl Pts',(1.80499999835784,2.52794503380791,-0.0498254759325461)); #140324=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52925133173955,-0.0498254759356269)); #140325=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52990448076966,-0.0498254759356271)); #140326=CARTESIAN_POINT('Origin',(1.81580122581712,2.55167475305578,-0.00401045421071527)); #140327=CARTESIAN_POINT('',(1.81843118324606,2.5415328560042,-0.0301745240643728)); #140328=CARTESIAN_POINT('',(1.8053430069986,2.55104197266202,-0.0301745240643728)); #140329=CARTESIAN_POINT('Origin',(1.81534148395017,2.55104197266202,-0.0303490481287457)); #140330=CARTESIAN_POINT('',(1.80925371734918,2.55642460368475,0.193869921869392)); #140331=CARTESIAN_POINT('',(1.80580274886556,2.55167475305578,-0.00383593014634243)); #140332=CARTESIAN_POINT('',(1.82234189505684,2.54691548750071,0.193869921832522)); #140333=CARTESIAN_POINT('Origin',(1.81925219430075,2.55642460368475,0.193695397805019)); #140334=CARTESIAN_POINT('',(1.81889092511302,2.54216563639797,-0.00383593014634244)); #140335=CARTESIAN_POINT('Ctrl Pts',(1.81499847530935,2.52990448076966,-0.04)); #140336=CARTESIAN_POINT('Ctrl Pts',(1.81579849096883,2.53288655748443,-0.0399999999999999)); #140337=CARTESIAN_POINT('Ctrl Pts',(1.8169863579731,2.53713494305435,-0.0384895783017393)); #140338=CARTESIAN_POINT('Ctrl Pts',(1.81808582188119,2.54072623074204,-0.0342214647257296)); #140339=CARTESIAN_POINT('Ctrl Pts',(1.8183715675632,2.54148633836802,-0.0316536730668572)); #140340=CARTESIAN_POINT('Ctrl Pts',(1.81843118324606,2.5415328560042,-0.0301745240643742)); #140341=CARTESIAN_POINT('Ctrl Pts',(1.81240957025223,2.52990448076966,-0.0400000000000002)); #140342=CARTESIAN_POINT('Ctrl Pts',(1.81307661168233,2.53289275406587,-0.0397883186443984)); #140343=CARTESIAN_POINT('Ctrl Pts',(1.81411258502079,2.53690595536248,-0.0379174780953333)); #140344=CARTESIAN_POINT('Ctrl Pts',(1.8151251616028,2.53992216257183,-0.0337486114658217)); #140345=CARTESIAN_POINT('Ctrl Pts',(1.81538964881633,2.54053386353022,-0.0314208276277932)); #140346=CARTESIAN_POINT('Ctrl Pts',(1.81544447022093,2.54056379822751,-0.0300991093406446)); #140347=CARTESIAN_POINT('Ctrl Pts',(1.80725403697723,2.52990448076966,-0.0421192022988172)); #140348=CARTESIAN_POINT('Ctrl Pts',(1.80749171128356,2.53344347550286,-0.0417310993355958)); #140349=CARTESIAN_POINT('Ctrl Pts',(1.80790400419448,2.53796919020343,-0.039213928245141)); #140350=CARTESIAN_POINT('Ctrl Pts',(1.80836596292955,2.54110132128846,-0.0341662788587415)); #140351=CARTESIAN_POINT('Ctrl Pts',(1.80850318811547,2.54166477033218,-0.0315120161252428)); #140352=CARTESIAN_POINT('Ctrl Pts',(1.80854249938182,2.54168397322459,-0.0300055470118733)); #140353=CARTESIAN_POINT('Ctrl Pts',(1.80504518098107,2.52990448076966,-0.0472369651812822)); #140354=CARTESIAN_POINT('Ctrl Pts',(1.80504332940627,2.53471009636929,-0.0471023299401878)); #140355=CARTESIAN_POINT('Ctrl Pts',(1.80510130364887,2.54138139410535,-0.0442395836313617)); #140356=CARTESIAN_POINT('Ctrl Pts',(1.80522045174581,2.54675050641924,-0.036870664271912)); #140357=CARTESIAN_POINT('Ctrl Pts',(1.80530157505515,2.54784873723244,-0.0325674201494886)); #140358=CARTESIAN_POINT('Ctrl Pts',(1.8053443233675,2.54790198445563,-0.0300991093406432)); #140359=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.52990448076966,-0.0498254759356272)); #140360=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.53534875250907,-0.0498254759356278)); #140361=CARTESIAN_POINT('Ctrl Pts',(1.80505272737541,2.54309073357292,-0.046804632539105)); #140362=CARTESIAN_POINT('Ctrl Pts',(1.80520172777459,2.54960724715468,-0.0382684053870851)); #140363=CARTESIAN_POINT('Ctrl Pts',(1.80529136971485,2.55097090003824,-0.0331328220693385)); #140364=CARTESIAN_POINT('Ctrl Pts',(1.8053430069986,2.55104197266202,-0.0301745240643724)); #140365=CARTESIAN_POINT('Origin',(1.81534757660792,2.53001791065755,-0.03)); #140366=CARTESIAN_POINT('Origin',(1.80534909965635,2.53001791065755,-0.0298254759356272)); #140367=CARTESIAN_POINT('Ctrl Pts',(1.82026043559688,2.55672842380982,0.203639800643725)); #140368=CARTESIAN_POINT('Ctrl Pts',(1.8204146985873,2.62323001182886,0.201592412222796)); #140369=CARTESIAN_POINT('Ctrl Pts',(1.820563337135,2.68971891005853,0.198876586825025)); #140370=CARTESIAN_POINT('Ctrl Pts',(1.82070315015768,2.75617269331815,0.195339062528627)); #140371=CARTESIAN_POINT('Ctrl Pts',(1.81751724135139,2.5567354392268,0.203917712791823)); #140372=CARTESIAN_POINT('Ctrl Pts',(1.81764542890418,2.62324045119129,0.201927062989757)); #140373=CARTESIAN_POINT('Ctrl Pts',(1.81776791907248,2.68973336473491,0.199267311676201)); #140374=CARTESIAN_POINT('Ctrl Pts',(1.81788387312783,2.7561940865753,0.195793881004252)); #140375=CARTESIAN_POINT('Ctrl Pts',(1.81181780644607,2.55667584478052,0.202063145943285)); #140376=CARTESIAN_POINT('Ctrl Pts',(1.81184339592155,2.62316921552474,0.20012713278734)); #140377=CARTESIAN_POINT('Ctrl Pts',(1.81185982883892,2.68965136852489,0.197533507570282)); #140378=CARTESIAN_POINT('Ctrl Pts',(1.8118665753419,2.7561006035389,0.19412651141702)); #140379=CARTESIAN_POINT('Ctrl Pts',(1.80930181554076,2.55650866757758,0.196625465419295)); #140380=CARTESIAN_POINT('Ctrl Pts',(1.80926578671135,2.62296382818983,0.194630918851802)); #140381=CARTESIAN_POINT('Ctrl Pts',(1.8092220733188,2.68940207365859,0.191974361651362)); #140382=CARTESIAN_POINT('Ctrl Pts',(1.8091601017904,2.75580170166316,0.188506690098975)); #140383=CARTESIAN_POINT('Ctrl Pts',(1.80925371735356,2.55642460369068,0.193869922120069)); #140384=CARTESIAN_POINT('Ctrl Pts',(1.80921835073249,2.62286040445076,0.191843769755849)); #140385=CARTESIAN_POINT('Ctrl Pts',(1.80917143752014,2.68927684970607,0.18915611362072)); #140386=CARTESIAN_POINT('Ctrl Pts',(1.80911033026758,2.75565126199698,0.185655281466273)); #140387=CARTESIAN_POINT('',(1.80911033026758,2.75565126199698,0.185655281466273)); #140388=CARTESIAN_POINT('',(1.82070315015738,2.75617269331908,0.195339062528627)); #140389=CARTESIAN_POINT('Origin',(1.81910880721915,2.75565126199698,0.1854807574019)); #140390=CARTESIAN_POINT('',(1.82026043559674,2.55672842380982,0.20363980064374)); #140391=CARTESIAN_POINT('Ctrl Pts',(1.82070315015768,2.75617269331815,0.195339062528627)); #140392=CARTESIAN_POINT('Ctrl Pts',(1.820563337135,2.68971891005853,0.198876586825025)); #140393=CARTESIAN_POINT('Ctrl Pts',(1.8204146985873,2.62323001182886,0.201592412222796)); #140394=CARTESIAN_POINT('Ctrl Pts',(1.82026043559688,2.55672842380982,0.203639800643725)); #140395=CARTESIAN_POINT('Origin',(1.81925219430512,2.55642460369068,0.193695398055696)); #140396=CARTESIAN_POINT('Ctrl Pts',(1.80925371735356,2.55642460369068,0.193869922120069)); #140397=CARTESIAN_POINT('Ctrl Pts',(1.80921835073249,2.62286040445076,0.191843769755849)); #140398=CARTESIAN_POINT('Ctrl Pts',(1.80917143752014,2.68927684970607,0.18915611362072)); #140399=CARTESIAN_POINT('Ctrl Pts',(1.80911033026758,2.75565126199698,0.185655281466273)); #140400=CARTESIAN_POINT('Ctrl Pts',(1.82070315015768,2.75617269331916,0.195339062528573)); #140401=CARTESIAN_POINT('Ctrl Pts',(1.82072848325966,2.77235833930088,0.194478864724645)); #140402=CARTESIAN_POINT('Ctrl Pts',(1.82075450799334,2.78854144327021,0.193504722272219)); #140403=CARTESIAN_POINT('Ctrl Pts',(1.82078037910718,2.80471934379302,0.192398802343207)); #140404=CARTESIAN_POINT('Ctrl Pts',(1.81788387312783,2.75619408657631,0.195793881004199)); #140405=CARTESIAN_POINT('Ctrl Pts',(1.81790442898808,2.77238244122827,0.194946443690151)); #140406=CARTESIAN_POINT('Ctrl Pts',(1.81792519391184,2.78856810313874,0.193984994471729)); #140407=CARTESIAN_POINT('Ctrl Pts',(1.8179459120497,2.80474956167491,0.192893496617541)); #140408=CARTESIAN_POINT('Ctrl Pts',(1.81186658956202,2.75610060265763,0.194126494441031)); #140409=CARTESIAN_POINT('Ctrl Pts',(1.81186528958873,2.77228116880242,0.193292645333776)); #140410=CARTESIAN_POINT('Ctrl Pts',(1.81186278066465,2.78845937158996,0.192347286163351)); #140411=CARTESIAN_POINT('Ctrl Pts',(1.8118590129086,2.8046322659092,0.191271553844422)); #140412=CARTESIAN_POINT('Ctrl Pts',(1.8091601017904,2.75580170166417,0.188506690098922)); #140413=CARTESIAN_POINT('Ctrl Pts',(1.80914506174648,2.77195525369876,0.187661596214731)); #140414=CARTESIAN_POINT('Ctrl Pts',(1.80912896259059,2.78810390521777,0.186703728104776)); #140415=CARTESIAN_POINT('Ctrl Pts',(1.80910964365135,2.80424515105698,0.185615945248673)); #140416=CARTESIAN_POINT('Ctrl Pts',(1.80911033026758,2.75565126199799,0.185655281466219)); #140417=CARTESIAN_POINT('Ctrl Pts',(1.80909547119665,2.77179111543951,0.184804005862475)); #140418=CARTESIAN_POINT('Ctrl Pts',(1.80907864384399,2.78792508546086,0.183839967474403)); #140419=CARTESIAN_POINT('Ctrl Pts',(1.80905954016505,2.80405027,0.182745518440746)); #140420=CARTESIAN_POINT('',(1.82078037910718,2.80471934379302,0.192398802343207)); #140421=CARTESIAN_POINT('Origin',(1.81905801711661,2.80405027,0.182570994376373)); #140422=CARTESIAN_POINT('Ctrl Pts',(1.82078037910718,2.80471934379302,0.192398802343207)); #140423=CARTESIAN_POINT('Ctrl Pts',(1.82075450799334,2.78854144327021,0.193504722272219)); #140424=CARTESIAN_POINT('Ctrl Pts',(1.82072848325966,2.77235833930088,0.194478864724645)); #140425=CARTESIAN_POINT('Ctrl Pts',(1.82070315015768,2.75617269331916,0.195339062528573)); #140426=CARTESIAN_POINT('Ctrl Pts',(1.80911033026758,2.75565126199799,0.185655281466219)); #140427=CARTESIAN_POINT('Ctrl Pts',(1.80909547119665,2.77179111543951,0.184804005862475)); #140428=CARTESIAN_POINT('Ctrl Pts',(1.80907864384399,2.78792508546086,0.183839967474403)); #140429=CARTESIAN_POINT('Ctrl Pts',(1.80905954016505,2.80405027,0.182745518440746)); #140430=CARTESIAN_POINT('Ctrl Pts',(1.82078037910718,2.80471934379302,0.192398802343207)); #140431=CARTESIAN_POINT('Ctrl Pts',(1.82090528161797,2.88282422970841,0.187059558863173)); #140432=CARTESIAN_POINT('Ctrl Pts',(1.77528914288583,3.01283143483168,0.181492057032881)); #140433=CARTESIAN_POINT('Ctrl Pts',(1.62847953225815,3.1292060175127,0.189384309041205)); #140434=CARTESIAN_POINT('Ctrl Pts',(1.52453762136488,3.15272851690049,0.197771071003735)); #140435=CARTESIAN_POINT('Ctrl Pts',(1.4724195555128,3.15257857965714,0.201454879862292)); #140436=CARTESIAN_POINT('Ctrl Pts',(1.8179459120497,2.80474956167491,0.192893496617541)); #140437=CARTESIAN_POINT('Ctrl Pts',(1.81802160839824,2.88222041717478,0.187673598715201)); #140438=CARTESIAN_POINT('Ctrl Pts',(1.77275539451591,3.01108306945013,0.182224562936587)); #140439=CARTESIAN_POINT('Ctrl Pts',(1.62724811094878,3.12651209036791,0.189949565862421)); #140440=CARTESIAN_POINT('Ctrl Pts',(1.52415214420164,3.14993533177676,0.198151654908419)); #140441=CARTESIAN_POINT('Ctrl Pts',(1.47243623796568,3.14983161368275,0.201740287702825)); #140442=CARTESIAN_POINT('Ctrl Pts',(1.81185905504051,2.80463226250309,0.191271502924713)); #140443=CARTESIAN_POINT('Ctrl Pts',(1.81176208727345,2.88077271427018,0.186173210242284)); #140444=CARTESIAN_POINT('Ctrl Pts',(1.76717089927393,3.00721909962157,0.180847795794256)); #140445=CARTESIAN_POINT('Ctrl Pts',(1.62442769704513,3.12076450919546,0.188393558075614)); #140446=CARTESIAN_POINT('Ctrl Pts',(1.52315581241196,3.14407002531884,0.196401337071415)); #140447=CARTESIAN_POINT('Ctrl Pts',(1.47229977601454,3.14411756841716,0.199897616386772)); #140448=CARTESIAN_POINT('Ctrl Pts',(1.80910964365135,2.80424515105698,0.185615945248673)); #140449=CARTESIAN_POINT('Ctrl Pts',(1.8089720526383,2.87982585628042,0.180397516371494)); #140450=CARTESIAN_POINT('Ctrl Pts',(1.76463199792727,3.00548482326622,0.174953522206832)); #140451=CARTESIAN_POINT('Ctrl Pts',(1.62283040619134,3.11831545947071,0.18267069414106)); #140452=CARTESIAN_POINT('Ctrl Pts',(1.52234103448257,3.14149934139983,0.190869051633935)); #140453=CARTESIAN_POINT('Ctrl Pts',(1.47191482481018,3.14159308156558,0.194462255180119)); #140454=CARTESIAN_POINT('Ctrl Pts',(1.80905954016505,2.80405027,0.182745518440746)); #140455=CARTESIAN_POINT('Ctrl Pts',(1.80896730998584,2.87965963958418,0.177461655012767)); #140456=CARTESIAN_POINT('Ctrl Pts',(1.76464157837605,3.00549266473839,0.171951900790788)); #140457=CARTESIAN_POINT('Ctrl Pts',(1.62262164265406,3.11838962632079,0.179762292264248)); #140458=CARTESIAN_POINT('Ctrl Pts',(1.52211990953857,3.14148136582176,0.188062064503273)); #140459=CARTESIAN_POINT('Ctrl Pts',(1.471721245,3.14154499999624,0.191707663917532)); #140460=CARTESIAN_POINT('',(1.4724195555128,3.15257857965714,0.201454879862292)); #140461=CARTESIAN_POINT('Origin',(1.471721245,3.1515434769478,0.191533139853159)); #140462=CARTESIAN_POINT('Ctrl Pts',(1.4724195555128,3.15257857965714,0.201454879862292)); #140463=CARTESIAN_POINT('Ctrl Pts',(1.52453762136488,3.15272851690049,0.197771071003735)); #140464=CARTESIAN_POINT('Ctrl Pts',(1.62847953225815,3.1292060175127,0.189384309041205)); #140465=CARTESIAN_POINT('Ctrl Pts',(1.77528914288583,3.01283143483168,0.181492057032881)); #140466=CARTESIAN_POINT('Ctrl Pts',(1.82090528161797,2.88282422970841,0.187059558863173)); #140467=CARTESIAN_POINT('Ctrl Pts',(1.82078037910718,2.80471934379302,0.192398802343207)); #140468=CARTESIAN_POINT('Ctrl Pts',(1.4724195555128,3.15257857965714,0.201454879862292)); #140469=CARTESIAN_POINT('Ctrl Pts',(1.44453323386446,3.15249835415133,0.203425940703504)); #140470=CARTESIAN_POINT('Ctrl Pts',(1.41662705683052,3.15240959027379,0.204880578661813)); #140471=CARTESIAN_POINT('Ctrl Pts',(1.38872377201014,3.15231803045837,0.20595398532271)); #140472=CARTESIAN_POINT('Ctrl Pts',(1.47243623796568,3.14983161368275,0.201740287702824)); #140473=CARTESIAN_POINT('Ctrl Pts',(1.44454429120482,3.14976702822739,0.203677273704285)); #140474=CARTESIAN_POINT('Ctrl Pts',(1.41663515286603,3.14969483425706,0.205100739510782)); #140475=CARTESIAN_POINT('Ctrl Pts',(1.38872916990484,3.14961944712328,0.20614242188199)); #140476=CARTESIAN_POINT('Ctrl Pts',(1.47229977875829,3.14411753319892,0.199897656056282)); #140477=CARTESIAN_POINT('Ctrl Pts',(1.44442984389934,3.14411483261984,0.20180007276895)); #140478=CARTESIAN_POINT('Ctrl Pts',(1.41653963087552,3.14410435490385,0.203187902909087)); #140479=CARTESIAN_POINT('Ctrl Pts',(1.38865128343692,3.14408840671494,0.204199671869713)); #140480=CARTESIAN_POINT('Ctrl Pts',(1.47191482481018,3.14159308156558,0.194462255180119)); #140481=CARTESIAN_POINT('Ctrl Pts',(1.44411439417541,3.14162756925871,0.196395874850013)); #140482=CARTESIAN_POINT('Ctrl Pts',(1.41628441632305,3.14165099785947,0.197818282004807)); #140483=CARTESIAN_POINT('Ctrl Pts',(1.38844584384835,3.14166989475926,0.19886288009877)); #140484=CARTESIAN_POINT('Ctrl Pts',(1.471721245,3.14154499999624,0.191707663917532)); #140485=CARTESIAN_POINT('Ctrl Pts',(1.44395601380169,3.14157904813345,0.193658280391972)); #140486=CARTESIAN_POINT('Ctrl Pts',(1.41615598818377,3.14160417557334,0.195097830458868)); #140487=CARTESIAN_POINT('Ctrl Pts',(1.38834258566513,3.14162271761755,0.196160103460666)); #140488=CARTESIAN_POINT('',(1.38872377201015,3.1523180304583,0.205953985322714)); #140489=CARTESIAN_POINT('Origin',(1.38834258566513,3.15162119456912,0.195985579396293)); #140490=CARTESIAN_POINT('Ctrl Pts',(1.38872377201014,3.15231803045837,0.20595398532271)); #140491=CARTESIAN_POINT('Ctrl Pts',(1.41662705683052,3.15240959027379,0.204880578661813)); #140492=CARTESIAN_POINT('Ctrl Pts',(1.44453323386446,3.15249835415133,0.203425940703504)); #140493=CARTESIAN_POINT('Ctrl Pts',(1.4724195555128,3.15257857965714,0.201454879862292)); #140494=CARTESIAN_POINT('Origin',(1.81925219430509,2.55642460369077,0.193695398055696)); #140495=CARTESIAN_POINT('Origin',(1.81925219430509,2.55642460369077,0.193695398055696)); #140496=CARTESIAN_POINT('Ctrl Pts',(1.38506724265691,3.14532781952438,0.20303295896083)); #140497=CARTESIAN_POINT('Ctrl Pts',(1.36185813195064,3.14505597274645,0.192003521495615)); #140498=CARTESIAN_POINT('Ctrl Pts',(1.33826417763752,3.14480207179282,0.181793430297948)); #140499=CARTESIAN_POINT('Ctrl Pts',(1.31431644929517,3.14456840410365,0.17250704596706)); #140500=CARTESIAN_POINT('Ctrl Pts',(1.38586555029614,3.14306312544763,0.201381588017103)); #140501=CARTESIAN_POINT('Ctrl Pts',(1.36261759039229,3.14283735133568,0.190331355047231)); #140502=CARTESIAN_POINT('Ctrl Pts',(1.33898070184567,3.14262585721735,0.180103102097894)); #140503=CARTESIAN_POINT('Ctrl Pts',(1.31498819062856,3.14243420257534,0.170799446939445)); #140504=CARTESIAN_POINT('Ctrl Pts',(1.38709822621447,3.14166870969195,0.198794987638246)); #140505=CARTESIAN_POINT('Ctrl Pts',(1.36377489194108,3.14147656158239,0.187745063105493)); #140506=CARTESIAN_POINT('Ctrl Pts',(1.34006163111685,3.14129670280865,0.177517858526502)); #140507=CARTESIAN_POINT('Ctrl Pts',(1.31599126897493,3.14113496236873,0.168216623970206)); #140508=CARTESIAN_POINT('Ctrl Pts',(1.38834258566486,3.14162271761755,0.196160103460671)); #140509=CARTESIAN_POINT('Ctrl Pts',(1.36494242768766,3.14142987976856,0.185112430491016)); #140510=CARTESIAN_POINT('Ctrl Pts',(1.34115200710013,3.14125140387999,0.17488755368274)); #140511=CARTESIAN_POINT('Ctrl Pts',(1.31700262916943,3.14108912164536,0.165590410687434)); #140512=CARTESIAN_POINT('',(1.31431644916004,3.14456840415194,0.172507045960266)); #140513=CARTESIAN_POINT('Origin',(1.31700262916943,3.15108759859692,0.165415886623061)); #140514=CARTESIAN_POINT('',(1.38506724265691,3.14532781952438,0.203032958960832)); #140515=CARTESIAN_POINT('Ctrl Pts',(1.31431644929517,3.14456840410365,0.17250704596706)); #140516=CARTESIAN_POINT('Ctrl Pts',(1.33826417763752,3.14480207179282,0.181793430297948)); #140517=CARTESIAN_POINT('Ctrl Pts',(1.36185813195064,3.14505597274645,0.192003521495615)); #140518=CARTESIAN_POINT('Ctrl Pts',(1.38506724265691,3.14532781952438,0.20303295896083)); #140519=CARTESIAN_POINT('Origin',(1.38834258566486,3.15162119456912,0.195985579396298)); #140520=CARTESIAN_POINT('Origin',(1.38834258566507,3.15162119456901,0.195985579396302)); #140521=CARTESIAN_POINT('Origin',(1.38834258566507,3.15162119456901,0.195985579396302)); #140522=CARTESIAN_POINT('Origin',(1.31700262889667,3.15108759869443,0.165415886609376)); #140523=CARTESIAN_POINT('Origin',(1.31700262889667,3.15108759869443,0.165415886609376)); #140524=CARTESIAN_POINT('Ctrl Pts',(1.2915668217388,3.14732750030935,-0.0400000000000002)); #140525=CARTESIAN_POINT('Ctrl Pts',(1.29069669803073,3.14581025931563,-0.04)); #140526=CARTESIAN_POINT('Ctrl Pts',(1.28953653314647,3.14380372771424,-0.0402598637341469)); #140527=CARTESIAN_POINT('Ctrl Pts',(1.28808632696354,3.14136876123066,-0.0417405861410917)); #140528=CARTESIAN_POINT('Ctrl Pts',(1.28721620327522,3.13992728760069,-0.0429370467034683)); #140529=CARTESIAN_POINT('Ctrl Pts',(1.28649110017278,3.1387641645653,-0.0445358243135192)); #140530=CARTESIAN_POINT('Ctrl Pts',(1.28598352804027,3.13797376534022,-0.0460305914443283)); #140531=CARTESIAN_POINT('Ctrl Pts',(1.28569348676517,3.13756696397669,-0.0475930691963926)); #140532=CARTESIAN_POINT('Ctrl Pts',(1.28554846622412,3.13735634367643,-0.0482602959292479)); #140533=CARTESIAN_POINT('Ctrl Pts',(1.28547595578232,3.13732902335779,-0.0498254759355996)); #140534=CARTESIAN_POINT('Ctrl Pts',(1.2915668217388,3.14473859525223,-0.0400000000000001)); #140535=CARTESIAN_POINT('Ctrl Pts',(1.29069669803073,3.14347333685983,-0.0404013849651033)); #140536=CARTESIAN_POINT('Ctrl Pts',(1.28953653315645,3.14191722063457,-0.0410521726859105)); #140537=CARTESIAN_POINT('Ctrl Pts',(1.28808632697304,3.14013944814431,-0.0426977283062194)); #140538=CARTESIAN_POINT('Ctrl Pts',(1.28721620328802,3.13911687541933,-0.043890810090449)); #140539=CARTESIAN_POINT('Ctrl Pts',(1.28649110018352,3.13831096868797,-0.0453418033063762)); #140540=CARTESIAN_POINT('Ctrl Pts',(1.2859835280561,3.13777196511475,-0.0466403912555085)); #140541=CARTESIAN_POINT('Ctrl Pts',(1.28569348677449,3.13749433640584,-0.0479610517604675)); #140542=CARTESIAN_POINT('Ctrl Pts',(1.28554846624625,3.13735179029,-0.0485211592626195)); #140543=CARTESIAN_POINT('Ctrl Pts',(1.28547595578232,3.13732902335779,-0.0498254759356042)); #140544=CARTESIAN_POINT('Ctrl Pts',(1.2915668217388,3.13958743490881,-0.0421236522263262)); #140545=CARTESIAN_POINT('Ctrl Pts',(1.29069669803073,3.13913679023215,-0.0428598623505631)); #140546=CARTESIAN_POINT('Ctrl Pts',(1.2895365331764,3.13864242218178,-0.043751466525124)); #140547=CARTESIAN_POINT('Ctrl Pts',(1.28808632699206,3.13811712356299,-0.0451620275864582)); #140548=CARTESIAN_POINT('Ctrl Pts',(1.28721620331363,3.13782808966061,-0.0460753463290704)); #140549=CARTESIAN_POINT('Ctrl Pts',(1.286491100205,3.13760631666939,-0.0470623378708976)); #140550=CARTESIAN_POINT('Ctrl Pts',(1.28598352808775,3.13746016549102,-0.0478923333571203)); #140551=CARTESIAN_POINT('Ctrl Pts',(1.28569348679312,3.13738181223056,-0.0487025752257413)); #140552=CARTESIAN_POINT('Ctrl Pts',(1.28554846629052,3.13734268351716,-0.0490428859293625)); #140553=CARTESIAN_POINT('Ctrl Pts',(1.28547595578232,3.13732902335779,-0.0498254759356134)); #140554=CARTESIAN_POINT('Ctrl Pts',(1.2915668217388,3.13737420598107,-0.0472369651812822)); #140555=CARTESIAN_POINT('Ctrl Pts',(1.29069669803073,3.13737772956859,-0.0474920756582279)); #140556=CARTESIAN_POINT('Ctrl Pts',(1.28953653319636,3.13736510412097,-0.0477925298441481)); #140557=CARTESIAN_POINT('Ctrl Pts',(1.28808632701108,3.13736007638015,-0.0482676592513201)); #140558=CARTESIAN_POINT('Ctrl Pts',(1.28721620333923,3.13735333578738,-0.0485735462509565)); #140559=CARTESIAN_POINT('Ctrl Pts',(1.28649110022648,3.13734611185424,-0.0489033781430028)); #140560=CARTESIAN_POINT('Ctrl Pts',(1.2859835281194,3.13734067520987,-0.0491806390892089)); #140561=CARTESIAN_POINT('Ctrl Pts',(1.28569348681175,3.13733573392209,-0.0494510300764465)); #140562=CARTESIAN_POINT('Ctrl Pts',(1.28554846633479,3.13733357674431,-0.0495646125961056)); #140563=CARTESIAN_POINT('Ctrl Pts',(1.28547595578232,3.13732902335779,-0.0498254759356225)); #140564=CARTESIAN_POINT('Ctrl Pts',(1.2915668217388,3.13732902335779,-0.0498254759356273)); #140565=CARTESIAN_POINT('Ctrl Pts',(1.29069669803073,3.13732902335779,-0.0498254759356271)); #140566=CARTESIAN_POINT('Ctrl Pts',(1.28953653320634,3.13732902335783,-0.0498254759328575)); #140567=CARTESIAN_POINT('Ctrl Pts',(1.28808632702058,3.13732902335783,-0.049825475932988)); #140568=CARTESIAN_POINT('Ctrl Pts',(1.28721620335203,3.13732902335785,-0.0498254759320731)); #140569=CARTESIAN_POINT('Ctrl Pts',(1.28649110023722,3.13732902335784,-0.049825475932647)); #140570=CARTESIAN_POINT('Ctrl Pts',(1.28598352813522,3.13732902335786,-0.0498254759312323)); #140571=CARTESIAN_POINT('Ctrl Pts',(1.28569348682107,3.13732902335783,-0.0498254759330421)); #140572=CARTESIAN_POINT('Ctrl Pts',(1.28554846635692,3.13732902335789,-0.0498254759294772)); #140573=CARTESIAN_POINT('Ctrl Pts',(1.28547595578232,3.13732902335779,-0.0498254759356271)); #140574=CARTESIAN_POINT('Origin',(1.29120365185485,3.14732750030935,-0.05)); #140575=CARTESIAN_POINT('Origin',(1.2915668217388,3.14732750030935,-0.0500000000000002)); #140576=CARTESIAN_POINT('Ctrl Pts',(1.30285684483695,3.15042646269929,-0.0301745240643955)); #140577=CARTESIAN_POINT('Ctrl Pts',(1.30282406494805,3.15038239729984,-0.0312838858162577)); #140578=CARTESIAN_POINT('Ctrl Pts',(1.30226306082061,3.15016047093252,-0.0338660585977669)); #140579=CARTESIAN_POINT('Ctrl Pts',(1.29993352970671,3.14948349901387,-0.0370506298985914)); #140580=CARTESIAN_POINT('Ctrl Pts',(1.29612344791438,3.14846859029525,-0.0394138266250917)); #140581=CARTESIAN_POINT('Ctrl Pts',(1.29322274041026,3.14773527113472,-0.0399999999999968)); #140582=CARTESIAN_POINT('Ctrl Pts',(1.29156682173883,3.14732750030934,-0.04)); #140583=CARTESIAN_POINT('Ctrl Pts',(1.30200855140547,3.14746359255435,-0.0301031573985082)); #140584=CARTESIAN_POINT('Ctrl Pts',(1.3019871859617,3.14742316453883,-0.0311100060606983)); #140585=CARTESIAN_POINT('Ctrl Pts',(1.3015324892526,3.14721919266964,-0.0334709808677478)); #140586=CARTESIAN_POINT('Ctrl Pts',(1.29954682838578,3.14660140587777,-0.0365557082096611)); #140587=CARTESIAN_POINT('Ctrl Pts',(1.29606299634692,3.14570272803346,-0.0390958491767837)); #140588=CARTESIAN_POINT('Ctrl Pts',(1.29322631930912,3.14507858864021,-0.0398921052606041)); #140589=CARTESIAN_POINT('Ctrl Pts',(1.29156682173883,3.14473859525222,-0.0400000000000015)); #140590=CARTESIAN_POINT('Ctrl Pts',(1.30327840310928,3.1407456526912,-0.0300153097269001)); #140591=CARTESIAN_POINT('Ctrl Pts',(1.30326384657887,3.14071620212052,-0.0311759249705562)); #140592=CARTESIAN_POINT('Ctrl Pts',(1.30282998068297,3.14060360460851,-0.0338908905374604)); #140593=CARTESIAN_POINT('Ctrl Pts',(1.30074932950375,3.14031770744289,-0.037595217204016)); #140594=CARTESIAN_POINT('Ctrl Pts',(1.29684507371508,3.13994045728204,-0.0408182750790824)); #140595=CARTESIAN_POINT('Ctrl Pts',(1.29354439409583,3.13970423063071,-0.0419214104975809)); #140596=CARTESIAN_POINT('Ctrl Pts',(1.29156682173882,3.13958308719193,-0.0421192279574907)); #140597=CARTESIAN_POINT('Ctrl Pts',(1.30938608280943,3.13767327770839,-0.030103157398486)); #140598=CARTESIAN_POINT('Ctrl Pts',(1.30934732296005,3.13764100692661,-0.031965111567961)); #140599=CARTESIAN_POINT('Ctrl Pts',(1.30852230450868,3.13756258915855,-0.0363074425249456)); #140600=CARTESIAN_POINT('Ctrl Pts',(1.30496767343774,3.13746677017607,-0.0417845485468462)); #140601=CARTESIAN_POINT('Ctrl Pts',(1.29896826089583,3.13739726160932,-0.0460141305378992)); #140602=CARTESIAN_POINT('Ctrl Pts',(1.29427594390464,3.13737326222682,-0.0471683411203209)); #140603=CARTESIAN_POINT('Ctrl Pts',(1.29156682173881,3.13737420598107,-0.047236965181283)); #140604=CARTESIAN_POINT('Ctrl Pts',(1.31246799774821,3.1376720319986,-0.0301745240643666)); #140605=CARTESIAN_POINT('Ctrl Pts',(1.3124166040057,3.13763330403578,-0.0323932475680912)); #140606=CARTESIAN_POINT('Ctrl Pts',(1.31139602010208,3.13754316004867,-0.0375575931311321)); #140607=CARTESIAN_POINT('Ctrl Pts',(1.3070933164487,3.13743198625102,-0.043926735732802)); #140608=CARTESIAN_POINT('Ctrl Pts',(1.30003153729582,3.13734948674642,-0.048653129185809)); #140609=CARTESIAN_POINT('Ctrl Pts',(1.29464480627643,3.13732902335779,-0.0498254759356273)); #140610=CARTESIAN_POINT('Ctrl Pts',(1.2915668217388,3.13732902335779,-0.0498254759356272)); #140611=CARTESIAN_POINT('Origin',(1.29166692492533,3.14767660160791,-0.03)); #140612=CARTESIAN_POINT('Origin',(1.67087576334843,2.4946935973769,0.03)); #140613=CARTESIAN_POINT('',(2.01298459721145,2.6080643176853,0.15056779654304)); #140614=CARTESIAN_POINT('Ctrl Pts',(2.01298459721145,2.6080643176853,0.15056779654304)); #140615=CARTESIAN_POINT('Ctrl Pts',(1.95112052766277,2.58835906339065,0.172122476007195)); #140616=CARTESIAN_POINT('Ctrl Pts',(1.88708195013019,2.56781861690484,0.186667439103775)); #140617=CARTESIAN_POINT('Ctrl Pts',(1.82234189506869,2.54691548750684,0.193869921956785)); #140618=CARTESIAN_POINT('',(2.01689691488161,2.60601828123987,-0.0301745240643728)); #140619=CARTESIAN_POINT('Ctrl Pts',(2.01689691488161,2.60601828123987,-0.0301745240643728)); #140620=CARTESIAN_POINT('Ctrl Pts',(2.01559299120343,2.60670035265264,0.0300729194111397)); #140621=CARTESIAN_POINT('Ctrl Pts',(2.01428888538121,2.60738236482319,0.0903203596152358)); #140622=CARTESIAN_POINT('Ctrl Pts',(2.01298459721145,2.6080643176853,0.150567796543041)); #140623=CARTESIAN_POINT('',(0.416701968423783,2.08608342532336,-0.0301745240643728)); #140624=CARTESIAN_POINT('Ctrl Pts',(2.01291159627193,2.61848388249881,0.159883826476322)); #140625=CARTESIAN_POINT('Ctrl Pts',(1.95039623991832,2.59858167494798,0.181664420208848)); #140626=CARTESIAN_POINT('Ctrl Pts',(1.88568301791709,2.5778374051815,0.196361828789391)); #140627=CARTESIAN_POINT('Ctrl Pts',(1.82026043559672,2.55672842380987,0.20363980064374)); #140628=CARTESIAN_POINT('Ctrl Pts',(2.01369069421236,2.61602505654166,0.159872060048511)); #140629=CARTESIAN_POINT('Ctrl Pts',(1.95117924609561,2.59612233701172,0.18165423809429)); #140630=CARTESIAN_POINT('Ctrl Pts',(1.88647366391707,2.57537839628363,0.196353123486836)); #140631=CARTESIAN_POINT('Ctrl Pts',(1.82105425440559,2.55426801974445,0.203634487036963)); #140632=CARTESIAN_POINT('Ctrl Pts',(2.0146052582606,2.61094058312068,0.157848731986481)); #140633=CARTESIAN_POINT('Ctrl Pts',(1.95224185413636,2.59107938771575,0.179582189317723)); #140634=CARTESIAN_POINT('Ctrl Pts',(1.88768506584437,2.57037643067435,0.194250674176689)); #140635=CARTESIAN_POINT('Ctrl Pts',(1.82242023220873,2.54930816856286,0.201518142796512)); #140636=CARTESIAN_POINT('Ctrl Pts',(2.01374442385599,2.60835612093783,0.153015340509796)); #140637=CARTESIAN_POINT('Ctrl Pts',(1.95171335535365,2.5885976511972,0.17462997988905)); #140638=CARTESIAN_POINT('Ctrl Pts',(1.88750240621721,2.56800208423475,0.18921611992974)); #140639=CARTESIAN_POINT('Ctrl Pts',(1.82258693198427,2.54704228271345,0.196440455867561)); #140640=CARTESIAN_POINT('Ctrl Pts',(2.01298459721145,2.6080643176853,0.15056779654304)); #140641=CARTESIAN_POINT('Ctrl Pts',(1.95112052719523,2.58835906324172,0.172122476170095)); #140642=CARTESIAN_POINT('Ctrl Pts',(1.88708194916224,2.56781861659437,0.186667439323623)); #140643=CARTESIAN_POINT('Ctrl Pts',(1.82234189360087,2.54691548703291,0.193869922120083)); #140644=CARTESIAN_POINT('',(2.01291159627193,2.6184838824988,0.159883826476322)); #140645=CARTESIAN_POINT('Ctrl Pts',(1.82026043559672,2.55672842380987,0.20363980064374)); #140646=CARTESIAN_POINT('Ctrl Pts',(1.88568301791709,2.5778374051815,0.196361828789391)); #140647=CARTESIAN_POINT('Ctrl Pts',(1.95039623991832,2.59858167494798,0.181664420208848)); #140648=CARTESIAN_POINT('Ctrl Pts',(2.01291159627193,2.61848388249881,0.159883826476322)); #140649=CARTESIAN_POINT('Origin',(2.00989489791555,2.61757343434311,0.150393272478667)); #140650=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.755109509104582)); #140651=CARTESIAN_POINT('',(1.95574045222575,2.8003367668847,0.159883826476322)); #140652=CARTESIAN_POINT('Origin',(1.66699103137574,2.70590100381164,-0.755109509104582)); #140653=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.159883826476322)); #140654=CARTESIAN_POINT('Ctrl Pts',(1.38918153840074,3.40263846379999,0.159883826476322)); #140655=CARTESIAN_POINT('Ctrl Pts',(1.81377468963983,3.23441523878385,0.159883826476322)); #140656=CARTESIAN_POINT('Ctrl Pts',(1.95574045222612,2.80033676688359,0.159883826476322)); #140657=CARTESIAN_POINT('Ctrl Pts',(1.34815040400665,3.29907643394573,0.196869355875746)); #140658=CARTESIAN_POINT('Ctrl Pts',(1.72414753399735,3.15010688599316,0.196869355875745)); #140659=CARTESIAN_POINT('Ctrl Pts',(1.84986486865903,2.76571006011657,0.196869355875745)); #140660=CARTESIAN_POINT('Ctrl Pts',(1.30504913647796,3.19028941597809,0.206047746872251)); #140661=CARTESIAN_POINT('Ctrl Pts',(1.62999844281993,3.06154494584221,0.206047746872251)); #140662=CARTESIAN_POINT('Ctrl Pts',(1.7386475718558,2.7293363411336,0.206047746872251)); #140663=CARTESIAN_POINT('',(1.32637220866736,3.18145662528176,0.206047746872026)); #140664=CARTESIAN_POINT('Ctrl Pts',(1.32637220866627,3.18145662527912,0.206047746872251)); #140665=CARTESIAN_POINT('Ctrl Pts',(1.34756968011582,3.17266575623202,0.206020592045905)); #140666=CARTESIAN_POINT('Ctrl Pts',(1.36833331193024,3.16296477284112,0.205989450647622)); #140667=CARTESIAN_POINT('Ctrl Pts',(1.38872377201012,3.15231803045825,0.205953985322719)); #140668=CARTESIAN_POINT('',(1.38918153840074,3.40263846379999,0.159883826476322)); #140669=CARTESIAN_POINT('Ctrl Pts',(1.38918153840074,3.40263846379999,0.159883826476322)); #140670=CARTESIAN_POINT('Ctrl Pts',(1.36903090062142,3.33100772523594,0.184459165621239)); #140671=CARTESIAN_POINT('Ctrl Pts',(1.34789376937066,3.25655513508412,0.199971041937038)); #140672=CARTESIAN_POINT('Ctrl Pts',(1.32637220866846,3.1814566252844,0.206047746871802)); #140673=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.159883826476323)); #140674=CARTESIAN_POINT('Ctrl Pts',(1.38872377201012,3.15231803045825,0.205953985322719)); #140675=CARTESIAN_POINT('Ctrl Pts',(1.36833331193024,3.16296477284112,0.205989450647622)); #140676=CARTESIAN_POINT('Ctrl Pts',(1.34756968011582,3.17266575623202,0.206020592045905)); #140677=CARTESIAN_POINT('Ctrl Pts',(1.32637220866627,3.18145662527912,0.206047746872251)); #140678=CARTESIAN_POINT('Ctrl Pts',(1.38738831209449,3.14976074543779,0.206183818164838)); #140679=CARTESIAN_POINT('Ctrl Pts',(1.36707817481067,3.16037762890954,0.20621686495203)); #140680=CARTESIAN_POINT('Ctrl Pts',(1.34639425987911,3.17005126602106,0.206246507681935)); #140681=CARTESIAN_POINT('Ctrl Pts',(1.32527738971115,3.17881704648967,0.206271868367503)); #140682=CARTESIAN_POINT('Ctrl Pts',(1.38601437465625,3.14713418030558,0.205086245278289)); #140683=CARTESIAN_POINT('Ctrl Pts',(1.36578710988173,3.15772082994896,0.205127489187079)); #140684=CARTESIAN_POINT('Ctrl Pts',(1.34518561778295,3.16736679935085,0.205164004863065)); #140685=CARTESIAN_POINT('Ctrl Pts',(1.32415175784569,3.17610717742106,0.205195121356177)); #140686=CARTESIAN_POINT('Ctrl Pts',(1.38506724265716,3.14532781952426,0.203032958960834)); #140687=CARTESIAN_POINT('Ctrl Pts',(1.36489402629971,3.15588813767252,0.203087708325623)); #140688=CARTESIAN_POINT('Ctrl Pts',(1.34434803266626,3.16551023616618,0.203135154511723)); #140689=CARTESIAN_POINT('Ctrl Pts',(1.3233699623921,3.17422895647872,0.203175884585681)); #140690=CARTESIAN_POINT('',(1.32336996239271,3.17422895647854,0.203175884585748)); #140691=CARTESIAN_POINT('Ctrl Pts',(1.38506724265716,3.14532781952426,0.203032958960834)); #140692=CARTESIAN_POINT('Ctrl Pts',(1.36489402629971,3.15588813767252,0.203087708325623)); #140693=CARTESIAN_POINT('Ctrl Pts',(1.34434803266626,3.16551023616618,0.203135154511723)); #140694=CARTESIAN_POINT('Ctrl Pts',(1.3233699623921,3.17422895647872,0.203175884585681)); #140695=CARTESIAN_POINT('Origin',(1.3260617868081,3.18073889854285,0.196078368426128)); #140696=CARTESIAN_POINT('Ctrl Pts',(1.32336996239274,3.17422895647861,0.203175884585816)); #140697=CARTESIAN_POINT('Ctrl Pts',(1.31852276590362,3.16508759646571,0.192952938375034)); #140698=CARTESIAN_POINT('Ctrl Pts',(1.3136755694145,3.15594623645281,0.182729992164253)); #140699=CARTESIAN_POINT('Ctrl Pts',(1.30882837292538,3.14680487643991,0.172507045953472)); #140700=CARTESIAN_POINT('Ctrl Pts',(1.34436008542079,3.16554965870749,0.203175884585816)); #140701=CARTESIAN_POINT('Ctrl Pts',(1.33967010897781,3.15641835657032,0.192952938375035)); #140702=CARTESIAN_POINT('Ctrl Pts',(1.33498012615692,3.14728703664495,0.182729992164253)); #140703=CARTESIAN_POINT('Ctrl Pts',(1.33029014971394,3.13815573450778,0.172507045953472)); #140704=CARTESIAN_POINT('Ctrl Pts',(1.364973351268,3.15594632356627,0.203175884585816)); #140705=CARTESIAN_POINT('Ctrl Pts',(1.36043696665793,3.14680733731544,0.192952938375034)); #140706=CARTESIAN_POINT('Ctrl Pts',(1.35590057533426,3.13766833340521,0.182729992164253)); #140707=CARTESIAN_POINT('Ctrl Pts',(1.35136419072419,3.12852934715438,0.172507045953472)); #140708=CARTESIAN_POINT('Ctrl Pts',(1.38512860450359,3.14545593361699,0.203175884585816)); #140709=CARTESIAN_POINT('Ctrl Pts',(1.38073961646851,3.13629240204092,0.192952938375034)); #140710=CARTESIAN_POINT('Ctrl Pts',(1.37635062843343,3.12712887046485,0.182729992164253)); #140711=CARTESIAN_POINT('Ctrl Pts',(1.37196164039835,3.11796533888878,0.172507045953472)); #140712=CARTESIAN_POINT('Ctrl Pts',(1.32336996239274,3.1742289564786,0.203175884585815)); #140713=CARTESIAN_POINT('Ctrl Pts',(1.32035137498523,3.16433689181659,0.192957905013807)); #140714=CARTESIAN_POINT('Ctrl Pts',(1.31733357364713,3.15445025676899,0.182734747062554)); #140715=CARTESIAN_POINT('Ctrl Pts',(1.31431644902491,3.14456840420023,0.172507045953472)); #140716=CARTESIAN_POINT('Ctrl Pts',(1.31431644902491,3.14456840420023,0.172507045953472)); #140717=CARTESIAN_POINT('Ctrl Pts',(1.31733357364713,3.15445025676899,0.182734747062554)); #140718=CARTESIAN_POINT('Ctrl Pts',(1.32035137498523,3.16433689181659,0.192957905013807)); #140719=CARTESIAN_POINT('Ctrl Pts',(1.32336996239274,3.1742289564786,0.203175884585815)); #140720=CARTESIAN_POINT('Ctrl Pts',(1.31199664656407,3.14539766515367,0.172390662553415)); #140721=CARTESIAN_POINT('Ctrl Pts',(1.31501422711419,3.1552797015794,0.182617962512246)); #140722=CARTESIAN_POINT('Ctrl Pts',(1.31803260589644,3.16516654369149,0.192840632759485)); #140723=CARTESIAN_POINT('Ctrl Pts',(1.32105192603491,3.17505891896279,0.203057992754736)); #140724=CARTESIAN_POINT('Ctrl Pts',(1.3078918062808,3.14829949663244,0.170798871187037)); #140725=CARTESIAN_POINT('Ctrl Pts',(1.3109103795423,3.15818097509135,0.181025402462751)); #140726=CARTESIAN_POINT('Ctrl Pts',(1.31393007806161,3.16806724781968,0.191247176842107)); #140727=CARTESIAN_POINT('Ctrl Pts',(1.31695104723147,3.17795895276189,0.201463541698728)); #140728=CARTESIAN_POINT('Ctrl Pts',(1.3069425512791,3.15216700313322,0.16734265246645)); #140729=CARTESIAN_POINT('Ctrl Pts',(1.30996125189803,3.16204685738316,0.177568618555688)); #140730=CARTESIAN_POINT('Ctrl Pts',(1.31298113724464,3.17193126323206,0.187789901917673)); #140731=CARTESIAN_POINT('Ctrl Pts',(1.31600244863024,3.18182083691081,0.198005841786864)); #140732=CARTESIAN_POINT('Ctrl Pts',(1.30739147598539,3.1538435524435,0.16559041067369)); #140733=CARTESIAN_POINT('Ctrl Pts',(1.31040994934704,3.16372266576919,0.175816104395696)); #140734=CARTESIAN_POINT('Ctrl Pts',(1.31342961434068,3.17360622932997,0.186037147270644)); #140735=CARTESIAN_POINT('Ctrl Pts',(1.31645063389689,3.1834948522921,0.196252892490636)); #140736=CARTESIAN_POINT('',(1.31645063389841,3.18349485229745,0.196252892490058)); #140737=CARTESIAN_POINT('Ctrl Pts',(1.30739147598539,3.1538435524435,0.16559041067369)); #140738=CARTESIAN_POINT('Ctrl Pts',(1.31040994934704,3.16372266576919,0.175816104395696)); #140739=CARTESIAN_POINT('Ctrl Pts',(1.31342961434068,3.17360622932997,0.186037147270644)); #140740=CARTESIAN_POINT('Ctrl Pts',(1.31645063389689,3.1834948522921,0.196252892490636)); #140741=CARTESIAN_POINT('Origin',(1.32606178680815,3.18073889854298,0.196078368426263)); #140742=CARTESIAN_POINT('Origin',(1.38449035011019,3.431305704449,0.03)); #140743=CARTESIAN_POINT('',(1.37633458857626,3.4066738075166,-0.0301745240643736)); #140744=CARTESIAN_POINT('',(0.757076119516235,1.24706287804588,-0.0301745240643728)); #140745=CARTESIAN_POINT('',(1.37840970559252,3.40246487714243,0.15056779654304)); #140746=CARTESIAN_POINT('Ctrl Pts',(1.37840970559252,3.40246487714243,0.150567796543041)); #140747=CARTESIAN_POINT('Ctrl Pts',(1.37771857460712,3.40386985556903,0.0903203963784853)); #140748=CARTESIAN_POINT('Ctrl Pts',(1.37702686687487,3.40527282518787,0.0300729559848085)); #140749=CARTESIAN_POINT('Ctrl Pts',(1.37633458857626,3.4066738075166,-0.0301745240643736)); #140750=CARTESIAN_POINT('Ctrl Pts',(1.31645063389841,3.18349485229745,0.196252892490058)); #140751=CARTESIAN_POINT('Ctrl Pts',(1.33766290163348,3.25785164438539,0.190239216753506)); #140752=CARTESIAN_POINT('Ctrl Pts',(1.35851882311145,3.33155700553013,0.174888233740484)); #140753=CARTESIAN_POINT('Ctrl Pts',(1.37840970559252,3.40246487714243,0.15056779654304)); #140754=CARTESIAN_POINT('Origin',(0.747464966604975,1.24981883179501,-0.03)); #140755=CARTESIAN_POINT('Origin',(1.366723435665,3.40942976126572,-0.03)); #140756=CARTESIAN_POINT('Ctrl Pts',(-1.31431644929517,3.14456840410365, 0.172507045967058)); #140757=CARTESIAN_POINT('Ctrl Pts',(-1.33826417763752,3.14480207179283, 0.181793430297946)); #140758=CARTESIAN_POINT('Ctrl Pts',(-1.36185813195064,3.14505597274646, 0.192003521495614)); #140759=CARTESIAN_POINT('Ctrl Pts',(-1.38506724265692,3.14532781952438, 0.203032958960829)); #140760=CARTESIAN_POINT('Ctrl Pts',(-1.31498819062856,3.14243420257534, 0.170799446939442)); #140761=CARTESIAN_POINT('Ctrl Pts',(-1.33898070184567,3.14262585721735, 0.180103102097893)); #140762=CARTESIAN_POINT('Ctrl Pts',(-1.36261759039229,3.14283735133569, 0.19033135504723)); #140763=CARTESIAN_POINT('Ctrl Pts',(-1.38586555029614,3.14306312544763, 0.201381588017103)); #140764=CARTESIAN_POINT('Ctrl Pts',(-1.31599126897493,3.14113496236873, 0.168216623970203)); #140765=CARTESIAN_POINT('Ctrl Pts',(-1.34006163111685,3.14129670280865, 0.1775178585265)); #140766=CARTESIAN_POINT('Ctrl Pts',(-1.36377489194108,3.14147656158239, 0.187745063105492)); #140767=CARTESIAN_POINT('Ctrl Pts',(-1.38709822621448,3.14166870969195, 0.198794987638245)); #140768=CARTESIAN_POINT('Ctrl Pts',(-1.31700262916943,3.14108912164536, 0.165590410687432)); #140769=CARTESIAN_POINT('Ctrl Pts',(-1.34115200710013,3.14125140387999, 0.174887553682739)); #140770=CARTESIAN_POINT('Ctrl Pts',(-1.36494242768766,3.14142987976856, 0.185112430491016)); #140771=CARTESIAN_POINT('Ctrl Pts',(-1.38834258566486,3.14162271761756, 0.19616010346067)); #140772=CARTESIAN_POINT('',(-1.38834258566486,3.14162271761756,0.19616010346067)); #140773=CARTESIAN_POINT('',(-1.38506724265692,3.14532781952438,0.203032958960832)); #140774=CARTESIAN_POINT('Origin',(-1.38834258566486,3.15162119456912,0.195985579396298)); #140775=CARTESIAN_POINT('',(-1.31431644916004,3.14456840415194,0.172507045960264)); #140776=CARTESIAN_POINT('Ctrl Pts',(-1.38506724265692,3.14532781952438, 0.203032958960829)); #140777=CARTESIAN_POINT('Ctrl Pts',(-1.36185813195064,3.14505597274646, 0.192003521495614)); #140778=CARTESIAN_POINT('Ctrl Pts',(-1.33826417763752,3.14480207179283, 0.181793430297946)); #140779=CARTESIAN_POINT('Ctrl Pts',(-1.31431644929517,3.14456840410365, 0.172507045967058)); #140780=CARTESIAN_POINT('',(-1.31700262916943,3.14108912164536,0.165590410687432)); #140781=CARTESIAN_POINT('Origin',(-1.31700262916943,3.15108759859693,0.165415886623059)); #140782=CARTESIAN_POINT('Ctrl Pts',(-1.31700262916943,3.14108912164536, 0.165590410687432)); #140783=CARTESIAN_POINT('Ctrl Pts',(-1.34115200710013,3.14125140387999, 0.174887553682739)); #140784=CARTESIAN_POINT('Ctrl Pts',(-1.36494242768766,3.14142987976856, 0.185112430491016)); #140785=CARTESIAN_POINT('Ctrl Pts',(-1.38834258566486,3.14162271761756, 0.19616010346067)); #140786=CARTESIAN_POINT('Ctrl Pts',(-1.28547595578232,3.13732902335779, -0.0498254759355982)); #140787=CARTESIAN_POINT('Ctrl Pts',(-1.28576599701835,3.13764353169982, -0.0468055778336931)); #140788=CARTESIAN_POINT('Ctrl Pts',(-1.28779628567049,3.14080344940129, -0.0407999859269058)); #140789=CARTESIAN_POINT('Ctrl Pts',(-1.28982657432267,3.14430971950632, -0.0402637326373247)); #140790=CARTESIAN_POINT('Ctrl Pts',(-1.29156682173881,3.14732750030935, -0.04)); #140791=CARTESIAN_POINT('Ctrl Pts',(-1.28547595578232,3.13732902335778, -0.0498254759356078)); #140792=CARTESIAN_POINT('Ctrl Pts',(-1.28576599701835,3.1374481759123,-0.0478156305918446)); #140793=CARTESIAN_POINT('Ctrl Pts',(-1.2877962856705,3.13858203497714,-0.043410096439467)); #140794=CARTESIAN_POINT('Ctrl Pts',(-1.28982657432267,3.14009985313696, -0.0417112675119027)); #140795=CARTESIAN_POINT('Ctrl Pts',(-1.2915668217388,3.14204783470252,-0.0398669883841909)); #140796=CARTESIAN_POINT('Ctrl Pts',(-1.28547595578232,3.13732902335779, -0.0498254759356175)); #140797=CARTESIAN_POINT('Ctrl Pts',(-1.28576599701835,3.13734667189999, -0.0488212994244483)); #140798=CARTESIAN_POINT('Ctrl Pts',(-1.2877962856705,3.13738215638621,-0.0466013230324279)); #140799=CARTESIAN_POINT('Ctrl Pts',(-1.28982657432267,3.13735637972395, -0.0457199813365394)); #140800=CARTESIAN_POINT('Ctrl Pts',(-1.29156682173881,3.13728817487032, -0.0445442930746655)); #140801=CARTESIAN_POINT('Ctrl Pts',(-1.28547595578232,3.13732902335779, -0.0498254759356272)); #140802=CARTESIAN_POINT('Ctrl Pts',(-1.28576599701834,3.13732902335779, -0.0498254759356245)); #140803=CARTESIAN_POINT('Ctrl Pts',(-1.2877962856705,3.13732902335778,-0.0498254759356282)); #140804=CARTESIAN_POINT('Ctrl Pts',(-1.28982657432266,3.13732902335779, -0.0498254759356262)); #140805=CARTESIAN_POINT('Ctrl Pts',(-1.2915668217388,3.13732902335779,-0.0498254759356272)); #140806=CARTESIAN_POINT('',(-1.2915668217388,3.13732902335779,-0.0498254759356272)); #140807=CARTESIAN_POINT('Origin',(-1.2915668217388,3.14732750030935,-0.05)); #140808=CARTESIAN_POINT('',(-1.28547595578232,3.13732902335779,-0.0498254759356272)); #140809=CARTESIAN_POINT('Origin',(-1.29120365185485,3.14732750030935,-0.05)); #140810=CARTESIAN_POINT('Ctrl Pts',(-1.28547595578232,3.13732902335779, -0.0498254759356272)); #140811=CARTESIAN_POINT('Ctrl Pts',(-1.28576599701834,3.13732902335779, -0.0498254759356245)); #140812=CARTESIAN_POINT('Ctrl Pts',(-1.2877962856705,3.13732902335778,-0.0498254759356282)); #140813=CARTESIAN_POINT('Ctrl Pts',(-1.28982657432266,3.13732902335779, -0.0498254759356262)); #140814=CARTESIAN_POINT('Ctrl Pts',(-1.2915668217388,3.13732902335779,-0.0498254759356272)); #140815=CARTESIAN_POINT('Origin',(-1.31317191232929,3.14820094663366,3.97064063059008E-5)); #140816=CARTESIAN_POINT('',(-1.30285684483695,3.15042646269929,-0.0301745240643729)); #140817=CARTESIAN_POINT('',(-1.31246799774821,3.1376720319986,-0.0301745240643728)); #140818=CARTESIAN_POINT('Origin',(-1.31246799774821,3.14767050895017,-0.0303490481287457)); #140819=CARTESIAN_POINT('',(-1.31317191232929,3.1382024696821,0.000214230470678734)); #140820=CARTESIAN_POINT('',(-1.30739147597736,3.15384355241303,0.165590410712593)); #140821=CARTESIAN_POINT('Origin',(-1.31700262886958,3.15108759859739,0.165415886649822)); #140822=CARTESIAN_POINT('',(-1.30356075941802,3.15095690038279,0.000214230470678737)); #140823=CARTESIAN_POINT('Ctrl Pts',(-1.2915668217388,3.14732750030935,-0.04)); #140824=CARTESIAN_POINT('Ctrl Pts',(-1.29446467941382,3.14804109925376, -0.0400000000000015)); #140825=CARTESIAN_POINT('Ctrl Pts',(-1.29859153344805,3.14910634606061, -0.0384895438503741)); #140826=CARTESIAN_POINT('Ctrl Pts',(-1.30207708173178,3.15010314295441, -0.0342214446967211)); #140827=CARTESIAN_POINT('Ctrl Pts',(-1.30281313831842,3.15036770883336, -0.0316536730668505)); #140828=CARTESIAN_POINT('Ctrl Pts',(-1.30285684483695,3.15042646269929, -0.0301745240643675)); #140829=CARTESIAN_POINT('Ctrl Pts',(-1.2915668217388,3.14473859525223,-0.0399999999999997)); #140830=CARTESIAN_POINT('Ctrl Pts',(-1.29447094248681,3.14533358368122, -0.0398111842060612)); #140831=CARTESIAN_POINT('Ctrl Pts',(-1.29838852414586,3.14625881402838, -0.0379836514044449)); #140832=CARTESIAN_POINT('Ctrl Pts',(-1.3013719889398,3.14716718611281,-0.0338064547399117)); #140833=CARTESIAN_POINT('Ctrl Pts',(-1.30198006414712,3.14740968853366, -0.0314456222814005)); #140834=CARTESIAN_POINT('Ctrl Pts',(-1.30200855140547,3.14746359255435, -0.0301031573984802)); #140835=CARTESIAN_POINT('Ctrl Pts',(-1.2915668217388,3.13958308719194,-0.0421192279574873)); #140836=CARTESIAN_POINT('Ctrl Pts',(-1.29502757336357,3.1397950882098,-0.0417730474026502)); #140837=CARTESIAN_POINT('Ctrl Pts',(-1.29949226672422,3.14016329268812, -0.0393421506458654)); #140838=CARTESIAN_POINT('Ctrl Pts',(-1.30267245674732,3.14057885928417, -0.0342830665314646)); #140839=CARTESIAN_POINT('Ctrl Pts',(-1.30325899440207,3.14070638526363, -0.0315627967184224)); #140840=CARTESIAN_POINT('Ctrl Pts',(-1.30327840310928,3.1407456526912,-0.0300153097268807)); #140841=CARTESIAN_POINT('Ctrl Pts',(-1.2915668217388,3.13737420598107,-0.0472369651812819)); #140842=CARTESIAN_POINT('Ctrl Pts',(-1.29630778552901,3.13737255441113, -0.0471168730745998)); #140843=CARTESIAN_POINT('Ctrl Pts',(-1.30290222310571,3.13742891983979, -0.0442839478246858)); #140844=CARTESIAN_POINT('Ctrl Pts',(-1.30824006081472,3.13754950910181, -0.0369117012871624)); #140845=CARTESIAN_POINT('Ctrl Pts',(-1.30933440301026,3.13763024999936, -0.0325857629577853)); #140846=CARTESIAN_POINT('Ctrl Pts',(-1.30938608280943,3.13767327770839, -0.0301031573984855)); #140847=CARTESIAN_POINT('Ctrl Pts',(-1.2915668217388,3.13732902335779,-0.0498254759356272)); #140848=CARTESIAN_POINT('Ctrl Pts',(-1.29695329467966,3.13732902335779, -0.0498254759356273)); #140849=CARTESIAN_POINT('Ctrl Pts',(-1.30461121368235,3.13738175357811, -0.0468045636363786)); #140850=CARTESIAN_POINT('Ctrl Pts',(-1.31105338146272,3.13753075347381, -0.0382683653290741)); #140851=CARTESIAN_POINT('Ctrl Pts',(-1.3123994727582,3.13762039471485,-0.0331328220693402)); #140852=CARTESIAN_POINT('Ctrl Pts',(-1.31246799774821,3.1376720319986,-0.0301745240643743)); #140853=CARTESIAN_POINT('Origin',(-1.29166692492533,3.14767660160792,-0.03)); #140854=CARTESIAN_POINT('Origin',(-1.29166692492533,3.13767812465635,-0.0298254759356272)); #140855=CARTESIAN_POINT('Origin',(-1.31700262888771,3.15108759866512,0.165415886579036)); #140856=CARTESIAN_POINT('Origin',(-1.31700262888771,3.15108759866512,0.165415886579036)); #140857=CARTESIAN_POINT('Ctrl Pts',(-1.38872377201015,3.15231803045837, 0.205953985322709)); #140858=CARTESIAN_POINT('Ctrl Pts',(-1.41662705683052,3.1524095902738,0.204880578661813)); #140859=CARTESIAN_POINT('Ctrl Pts',(-1.44453323386446,3.15249835415133, 0.203425940703504)); #140860=CARTESIAN_POINT('Ctrl Pts',(-1.4724195555128,3.15257857965714,0.201454879862293)); #140861=CARTESIAN_POINT('Ctrl Pts',(-1.38872916990485,3.14961944712328, 0.20614242188199)); #140862=CARTESIAN_POINT('Ctrl Pts',(-1.41663515286603,3.14969483425706, 0.205100739510782)); #140863=CARTESIAN_POINT('Ctrl Pts',(-1.44454429120482,3.1497670282274,0.203677273704286)); #140864=CARTESIAN_POINT('Ctrl Pts',(-1.47243623796568,3.14983161368275, 0.201740287702825)); #140865=CARTESIAN_POINT('Ctrl Pts',(-1.38865128343693,3.14408840671494, 0.204199671869713)); #140866=CARTESIAN_POINT('Ctrl Pts',(-1.41653963087552,3.14410435490386, 0.203187902909087)); #140867=CARTESIAN_POINT('Ctrl Pts',(-1.44442984389934,3.14411483261985, 0.20180007276895)); #140868=CARTESIAN_POINT('Ctrl Pts',(-1.47229977875828,3.14411753319892, 0.199897656056282)); #140869=CARTESIAN_POINT('Ctrl Pts',(-1.38844584384836,3.14166989475926, 0.19886288009877)); #140870=CARTESIAN_POINT('Ctrl Pts',(-1.41628441632305,3.14165099785947, 0.197818282004807)); #140871=CARTESIAN_POINT('Ctrl Pts',(-1.44411439417541,3.14162756925871, 0.196395874850013)); #140872=CARTESIAN_POINT('Ctrl Pts',(-1.47191482481018,3.14159308156558, 0.19446225518012)); #140873=CARTESIAN_POINT('Ctrl Pts',(-1.38834258566514,3.14162271761756, 0.196160103460666)); #140874=CARTESIAN_POINT('Ctrl Pts',(-1.41615598818378,3.14160417557334, 0.195097830458868)); #140875=CARTESIAN_POINT('Ctrl Pts',(-1.44395601380169,3.14157904813345, 0.193658280391972)); #140876=CARTESIAN_POINT('Ctrl Pts',(-1.471721245,3.14154499999624,0.191707663917532)); #140877=CARTESIAN_POINT('',(-1.4724195555128,3.15257857965714,0.201454879862293)); #140878=CARTESIAN_POINT('Origin',(-1.471721245,3.15154347694781,0.191533139853159)); #140879=CARTESIAN_POINT('',(-1.38872377201015,3.1523180304583,0.205953985322714)); #140880=CARTESIAN_POINT('Ctrl Pts',(-1.4724195555128,3.15257857965714,0.201454879862293)); #140881=CARTESIAN_POINT('Ctrl Pts',(-1.44453323386446,3.15249835415133, 0.203425940703504)); #140882=CARTESIAN_POINT('Ctrl Pts',(-1.41662705683052,3.1524095902738,0.204880578661813)); #140883=CARTESIAN_POINT('Ctrl Pts',(-1.38872377201015,3.15231803045837, 0.205953985322709)); #140884=CARTESIAN_POINT('Origin',(-1.38834258566514,3.15162119456912,0.195985579396293)); #140885=CARTESIAN_POINT('Ctrl Pts',(-1.38834258566514,3.14162271761756, 0.196160103460666)); #140886=CARTESIAN_POINT('Ctrl Pts',(-1.41615598818378,3.14160417557334, 0.195097830458868)); #140887=CARTESIAN_POINT('Ctrl Pts',(-1.44395601380169,3.14157904813345, 0.193658280391972)); #140888=CARTESIAN_POINT('Ctrl Pts',(-1.471721245,3.14154499999624,0.191707663917532)); #140889=CARTESIAN_POINT('Ctrl Pts',(-1.4724195555128,3.15257857965715,0.201454879862293)); #140890=CARTESIAN_POINT('Ctrl Pts',(-1.55059570388734,3.15280348278798, 0.195929233750878)); #140891=CARTESIAN_POINT('Ctrl Pts',(-1.68036676431756,3.10750636962161, 0.185041158554274)); #140892=CARTESIAN_POINT('Ctrl Pts',(-1.79718291378571,2.96088875470118, 0.183261208318845)); #140893=CARTESIAN_POINT('Ctrl Pts',(-1.8208636464354,2.8567886347195,0.18883934996285)); #140894=CARTESIAN_POINT('Ctrl Pts',(-1.82078037910717,2.80471934379302, 0.192398802343208)); #140895=CARTESIAN_POINT('Ctrl Pts',(-1.47243623796568,3.14983161368275, 0.201740287702825)); #140896=CARTESIAN_POINT('Ctrl Pts',(-1.55000915424966,3.14998718893241, 0.196357403952046)); #140897=CARTESIAN_POINT('Ctrl Pts',(-1.67868000882548,3.10495687570094, 0.185696864979392)); #140898=CARTESIAN_POINT('Ctrl Pts',(-1.7944644635488,2.95960661561086,0.183956542629387)); #140899=CARTESIAN_POINT('Ctrl Pts',(-1.81799637566856,2.85639617079634, 0.189413606988386)); #140900=CARTESIAN_POINT('Ctrl Pts',(-1.8179459120497,2.80474956167491,0.192893496617543)); #140901=CARTESIAN_POINT('Ctrl Pts',(-1.47229977601454,3.14411756841714, 0.199897616386794)); #140902=CARTESIAN_POINT('Ctrl Pts',(-1.54858290322095,3.14404625463664, 0.194653261170468)); #140903=CARTESIAN_POINT('Ctrl Pts',(-1.67487516843202,3.09947302593774, 0.184239983735172)); #140904=CARTESIAN_POINT('Ctrl Pts',(-1.78851114878676,2.95672489941566, 0.182541537553441)); #140905=CARTESIAN_POINT('Ctrl Pts',(-1.81179441064834,2.8553919465852,0.187872682456616)); #140906=CARTESIAN_POINT('Ctrl Pts',(-1.81185905504049,2.8046322625031,0.191271502924739)); #140907=CARTESIAN_POINT('Ctrl Pts',(-1.47191482481018,3.14159308156558, 0.19446225518012)); #140908=CARTESIAN_POINT('Ctrl Pts',(-1.54755321976719,3.14145247302636, 0.189072515385022)); #140909=CARTESIAN_POINT('Ctrl Pts',(-1.67293218309802,3.09715863689038, 0.178422952941835)); #140910=CARTESIAN_POINT('Ctrl Pts',(-1.78585838118362,2.95529497351011, 0.176683918618883)); #140911=CARTESIAN_POINT('Ctrl Pts',(-1.80901791742445,2.85463167531323, 0.182137034957719)); #140912=CARTESIAN_POINT('Ctrl Pts',(-1.80910964365135,2.80424515105698, 0.185615945248674)); #140913=CARTESIAN_POINT('Ctrl Pts',(-1.471721245,3.14154499999624,0.191707663917532)); #140914=CARTESIAN_POINT('Ctrl Pts',(-1.54731832275858,3.14144954989493, 0.186239331275793)); #140915=CARTESIAN_POINT('Ctrl Pts',(-1.67278519943044,3.09726527868158, 0.175464190088177)); #140916=CARTESIAN_POINT('Ctrl Pts',(-1.78588644382711,2.95521918295643, 0.173702701969537)); #140917=CARTESIAN_POINT('Ctrl Pts',(-1.80899805412641,2.85445590359776, 0.179222985646253)); #140918=CARTESIAN_POINT('Ctrl Pts',(-1.80905954016505,2.80405027,0.182745518440747)); #140919=CARTESIAN_POINT('',(-1.82078037910717,2.80471934379302,0.192398802343208)); #140920=CARTESIAN_POINT('Origin',(-1.81905801711661,2.80405027,0.182570994376374)); #140921=CARTESIAN_POINT('Ctrl Pts',(-1.82078037910717,2.80471934379302, 0.192398802343208)); #140922=CARTESIAN_POINT('Ctrl Pts',(-1.8208636464354,2.8567886347195,0.18883934996285)); #140923=CARTESIAN_POINT('Ctrl Pts',(-1.79718291378571,2.96088875470118, 0.183261208318845)); #140924=CARTESIAN_POINT('Ctrl Pts',(-1.68036676431756,3.10750636962161, 0.185041158554274)); #140925=CARTESIAN_POINT('Ctrl Pts',(-1.55059570388734,3.15280348278798, 0.195929233750878)); #140926=CARTESIAN_POINT('Ctrl Pts',(-1.4724195555128,3.15257857965715,0.201454879862293)); #140927=CARTESIAN_POINT('Ctrl Pts',(-1.82078037910717,2.80471934379302, 0.192398802343208)); #140928=CARTESIAN_POINT('Ctrl Pts',(-1.82075450799334,2.78854144327038, 0.193504722272208)); #140929=CARTESIAN_POINT('Ctrl Pts',(-1.82072848325966,2.77235833930122, 0.194478864724626)); #140930=CARTESIAN_POINT('Ctrl Pts',(-1.82070315015768,2.75617269331967, 0.195339062528547)); #140931=CARTESIAN_POINT('Ctrl Pts',(-1.8179459120497,2.80474956167491,0.192893496617543)); #140932=CARTESIAN_POINT('Ctrl Pts',(-1.81792519391183,2.78856810313891, 0.193984994471718)); #140933=CARTESIAN_POINT('Ctrl Pts',(-1.81790442898807,2.77238244122861, 0.194946443690132)); #140934=CARTESIAN_POINT('Ctrl Pts',(-1.81788387312783,2.75619408657682, 0.195793881004173)); #140935=CARTESIAN_POINT('Ctrl Pts',(-1.8118590129086,2.80463226590921,0.191271553844423)); #140936=CARTESIAN_POINT('Ctrl Pts',(-1.81186278066465,2.78845937159013, 0.192347286163341)); #140937=CARTESIAN_POINT('Ctrl Pts',(-1.81186528958873,2.77228116880277, 0.193292645333758)); #140938=CARTESIAN_POINT('Ctrl Pts',(-1.81186658956202,2.75610060265814, 0.194126494441006)); #140939=CARTESIAN_POINT('Ctrl Pts',(-1.80910964365135,2.80424515105698, 0.185615945248674)); #140940=CARTESIAN_POINT('Ctrl Pts',(-1.80912896259059,2.78810390521795, 0.186703728104766)); #140941=CARTESIAN_POINT('Ctrl Pts',(-1.80914506174648,2.7719552536991,0.187661596214712)); #140942=CARTESIAN_POINT('Ctrl Pts',(-1.8091601017904,2.75580170166468,0.188506690098897)); #140943=CARTESIAN_POINT('Ctrl Pts',(-1.80905954016505,2.80405027,0.182745518440747)); #140944=CARTESIAN_POINT('Ctrl Pts',(-1.80907864384399,2.78792508546103, 0.183839967474392)); #140945=CARTESIAN_POINT('Ctrl Pts',(-1.80909547119665,2.77179111543985, 0.184804005862456)); #140946=CARTESIAN_POINT('Ctrl Pts',(-1.80911033026758,2.7556512619985,0.185655281466194)); #140947=CARTESIAN_POINT('',(-1.8207031501576,2.75617269331991,0.195339062528547)); #140948=CARTESIAN_POINT('Origin',(-1.81910880721914,2.7556512619985,0.185480757401821)); #140949=CARTESIAN_POINT('Ctrl Pts',(-1.82070315015768,2.75617269331967, 0.195339062528547)); #140950=CARTESIAN_POINT('Ctrl Pts',(-1.82072848325966,2.77235833930122, 0.194478864724626)); #140951=CARTESIAN_POINT('Ctrl Pts',(-1.82075450799334,2.78854144327038, 0.193504722272208)); #140952=CARTESIAN_POINT('Ctrl Pts',(-1.82078037910717,2.80471934379302, 0.192398802343208)); #140953=CARTESIAN_POINT('Ctrl Pts',(-1.82070315015768,2.75617269331979, 0.195339062528541)); #140954=CARTESIAN_POINT('Ctrl Pts',(-1.82056333713501,2.68971891005963, 0.198876586824982)); #140955=CARTESIAN_POINT('Ctrl Pts',(-1.8204146985873,2.62323001182941,0.20159241222278)); #140956=CARTESIAN_POINT('Ctrl Pts',(-1.82026043559688,2.55672842380982, 0.203639800643726)); #140957=CARTESIAN_POINT('Ctrl Pts',(-1.81788387312783,2.75619408657694, 0.195793881004167)); #140958=CARTESIAN_POINT('Ctrl Pts',(-1.81776791907248,2.68973336473601, 0.199267311676158)); #140959=CARTESIAN_POINT('Ctrl Pts',(-1.81764542890418,2.62324045119183, 0.201927062989741)); #140960=CARTESIAN_POINT('Ctrl Pts',(-1.81751724135139,2.5567354392268,0.203917712791824)); #140961=CARTESIAN_POINT('Ctrl Pts',(-1.8118665753419,2.75610060354055,0.194126511416936)); #140962=CARTESIAN_POINT('Ctrl Pts',(-1.81185982883892,2.68965136852598, 0.19753350757024)); #140963=CARTESIAN_POINT('Ctrl Pts',(-1.81184339592155,2.62316921552529, 0.200127132787324)); #140964=CARTESIAN_POINT('Ctrl Pts',(-1.81181780644607,2.55667584478052, 0.202063145943285)); #140965=CARTESIAN_POINT('Ctrl Pts',(-1.8091601017904,2.7558017016648,0.18850669009889)); #140966=CARTESIAN_POINT('Ctrl Pts',(-1.8092220733188,2.68940207365968,0.191974361651319)); #140967=CARTESIAN_POINT('Ctrl Pts',(-1.80926578671134,2.62296382819037, 0.194630918851787)); #140968=CARTESIAN_POINT('Ctrl Pts',(-1.80930181554076,2.55650866757757, 0.196625465419296)); #140969=CARTESIAN_POINT('Ctrl Pts',(-1.80911033026758,2.75565126199862, 0.185655281466187)); #140970=CARTESIAN_POINT('Ctrl Pts',(-1.80917143752014,2.68927684970716, 0.189156113620677)); #140971=CARTESIAN_POINT('Ctrl Pts',(-1.80921835073248,2.62286040445131, 0.191843769755834)); #140972=CARTESIAN_POINT('Ctrl Pts',(-1.80925371735356,2.55642460369068, 0.19386992212007)); #140973=CARTESIAN_POINT('',(-1.82026043559673,2.55672842380982,0.203639800643741)); #140974=CARTESIAN_POINT('Origin',(-1.81925219430512,2.55642460369068,0.193695398055697)); #140975=CARTESIAN_POINT('Ctrl Pts',(-1.82026043559688,2.55672842380982, 0.203639800643726)); #140976=CARTESIAN_POINT('Ctrl Pts',(-1.8204146985873,2.62323001182941,0.20159241222278)); #140977=CARTESIAN_POINT('Ctrl Pts',(-1.82056333713501,2.68971891005963, 0.198876586824982)); #140978=CARTESIAN_POINT('Ctrl Pts',(-1.82070315015768,2.75617269331979, 0.195339062528541)); #140979=CARTESIAN_POINT('Origin',(-1.38834258566486,3.15162119456912,0.195985579396303)); #140980=CARTESIAN_POINT('Origin',(-1.38834258566486,3.15162119456912,0.195985579396303)); #140981=CARTESIAN_POINT('Origin',(-1.81574528996979,2.55159776396681,-0.0072150167580804)); #140982=CARTESIAN_POINT('',(-1.82234189505684,2.54691548750071,0.193869921832523)); #140983=CARTESIAN_POINT('Origin',(-1.81925219430075,2.55642460368475,0.19369539780502)); #140984=CARTESIAN_POINT('',(-1.81843118324606,2.5415328560042,-0.0301745240643728)); #140985=CARTESIAN_POINT('Origin',(-1.81534148395016,2.55104197266202,-0.0303490481287457)); #140986=CARTESIAN_POINT('',(-1.81883498926569,2.54208864730899,-0.00704049269370757)); #140987=CARTESIAN_POINT('Origin',(-1.81925219430509,2.55642460369077,0.193695398055697)); #140988=CARTESIAN_POINT('Origin',(-1.81925219430509,2.55642460369077,0.193695398055697)); #140989=CARTESIAN_POINT('Ctrl Pts',(-1.81499847530935,2.52990448076966, -0.0399999999999999)); #140990=CARTESIAN_POINT('Ctrl Pts',(-1.81349083579637,2.52892475722449, -0.04)); #140991=CARTESIAN_POINT('Ctrl Pts',(-1.81149285721775,2.52761845916424, -0.0402161152678666)); #140992=CARTESIAN_POINT('Ctrl Pts',(-1.80906327026381,2.52598558658895, -0.0416880887914979)); #140993=CARTESIAN_POINT('Ctrl Pts',(-1.80762189432405,2.52500586304378, -0.0428611907143814)); #140994=CARTESIAN_POINT('Ctrl Pts',(-1.80645612169518,2.52418942675612, -0.0444650215789073)); #140995=CARTESIAN_POINT('Ctrl Pts',(-1.80565926596741,2.52361792135479, -0.0459273481965478)); #140996=CARTESIAN_POINT('Ctrl Pts',(-1.80524692064767,2.52329134683971, -0.0475242313412873)); #140997=CARTESIAN_POINT('Ctrl Pts',(-1.80502965714201,2.52312805958225, -0.0481263253253238)); #140998=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52304641595347, -0.0498254759356122)); #140999=CARTESIAN_POINT('Ctrl Pts',(-1.81240957025223,2.52990448076966, -0.0400000000000001)); #141000=CARTESIAN_POINT('Ctrl Pts',(-1.81115231873161,2.52892475722449, -0.0403988449005842)); #141001=CARTESIAN_POINT('Ctrl Pts',(-1.80960223113867,2.52761845916425, -0.0410116809371245)); #141002=CARTESIAN_POINT('Ctrl Pts',(-1.80782752873291,2.52598558658896, -0.0426501844445678)); #141003=CARTESIAN_POINT('Ctrl Pts',(-1.80680459087411,2.52500586304379, -0.0438245731586202)); #141004=CARTESIAN_POINT('Ctrl Pts',(-1.80599647952455,2.52418942675613, -0.0452808840449064)); #141005=CARTESIAN_POINT('Ctrl Pts',(-1.80545303386179,2.5236179213548,-0.0465534601084328)); #141006=CARTESIAN_POINT('Ctrl Pts',(-1.8051715204286,2.52329134683972,-0.0479033716546431)); #141007=CARTESIAN_POINT('Ctrl Pts',(-1.80502471401131,2.52312805958225, -0.0484095170937075)); #141008=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52304641595347, -0.0498254759356147)); #141009=CARTESIAN_POINT('Ctrl Pts',(-1.80725837154841,2.52990448076966, -0.0421236131904997)); #141010=CARTESIAN_POINT('Ctrl Pts',(-1.80681057866406,2.52892475722449, -0.0428551643928092)); #141011=CARTESIAN_POINT('Ctrl Pts',(-1.80631800448802,2.52761845916426, -0.0437228290947426)); #141012=CARTESIAN_POINT('Ctrl Pts',(-1.80579324724744,2.52598558658897, -0.0451296680671318)); #141013=CARTESIAN_POINT('Ctrl Pts',(-1.80550400207091,2.5250058630438,-0.0460326787959247)); #141014=CARTESIAN_POINT('Ctrl Pts',(-1.80528145605653,2.52418942675615, -0.0470239782352308)); #141015=CARTESIAN_POINT('Ctrl Pts',(-1.80513424761638,2.52361792135482, -0.0478393391291628)); #141016=CARTESIAN_POINT('Ctrl Pts',(-1.80505470310652,2.52329134683974, -0.0486676709275253)); #141017=CARTESIAN_POINT('Ctrl Pts',(-1.8050148277499,2.52312805958225,-0.048975900630475)); #141018=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52304641595347, -0.0498254759356197)); #141019=CARTESIAN_POINT('Ctrl Pts',(-1.80504518098107,2.52990448076966, -0.0472369651812821)); #141020=CARTESIAN_POINT('Ctrl Pts',(-1.80504868227045,2.52892475722449, -0.0474904612552799)); #141021=CARTESIAN_POINT('Ctrl Pts',(-1.80503619690904,2.52761845916427, -0.04778293283419)); #141022=CARTESIAN_POINT('Ctrl Pts',(-1.80503120766413,2.52598558658899, -0.048256832919226)); #141023=CARTESIAN_POINT('Ctrl Pts',(-1.80502454101751,2.52500586304382, -0.0485592942981146)); #141024=CARTESIAN_POINT('Ctrl Pts',(-1.80501730264474,2.52418942675617, -0.0488905698045904)); #141025=CARTESIAN_POINT('Ctrl Pts',(-1.80501195643218,2.52361792135483, -0.0491629614784979)); #141026=CARTESIAN_POINT('Ctrl Pts',(-1.8050069117351,2.52329134683977,-0.0494393877693306)); #141027=CARTESIAN_POINT('Ctrl Pts',(-1.80500494148849,2.52312805958226, -0.0495422841672426)); #141028=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52304641595347, -0.0498254759356247)); #141029=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52990448076966, -0.0498254759356271)); #141030=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52892475722449, -0.049825475935627)); #141031=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52761845916428, -0.0498254759356298)); #141032=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52598558658899, -0.0498254759356304)); #141033=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52500586304383, -0.0498254759356302)); #141034=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52418942675618, -0.0498254759356324)); #141035=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52361792135484, -0.0498254759356301)); #141036=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52329134683978, -0.049825475935632)); #141037=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52312805958226, -0.0498254759356264)); #141038=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52304641595347, -0.0498254759356272)); #141039=CARTESIAN_POINT('Origin',(-1.81499847530935,2.52953741394179,-0.05)); #141040=CARTESIAN_POINT('Origin',(-1.81499847530935,2.52990448076966,-0.0499999999999999)); #141041=CARTESIAN_POINT('Ctrl Pts',(-1.81843118324606,2.5415328560042,-0.0301745240643779)); #141042=CARTESIAN_POINT('Ctrl Pts',(-1.81838647148392,2.54149796777707, -0.0312838858162401)); #141043=CARTESIAN_POINT('Ctrl Pts',(-1.81814858623626,2.54091796948683, -0.0338660603066485)); #141044=CARTESIAN_POINT('Ctrl Pts',(-1.81740309639337,2.53851741945376, -0.0370506326746396)); #141045=CARTESIAN_POINT('Ctrl Pts',(-1.81627527334245,2.53459414664701, -0.0394138327265871)); #141046=CARTESIAN_POINT('Ctrl Pts',(-1.81545562711476,2.53160852460668, -0.0399999999999994)); #141047=CARTESIAN_POINT('Ctrl Pts',(-1.81499847530935,2.52990448076967, -0.04)); #141048=CARTESIAN_POINT('Ctrl Pts',(-1.81544447022093,2.54056379822751, -0.0300991093406483)); #141049=CARTESIAN_POINT('Ctrl Pts',(-1.81540335416748,2.54054134720454, -0.0310903980560096)); #141050=CARTESIAN_POINT('Ctrl Pts',(-1.81518265203795,2.54008397041498, -0.0334166044991643)); #141051=CARTESIAN_POINT('Ctrl Pts',(-1.81449499313554,2.53807882738097, -0.0364888144540921)); #141052=CARTESIAN_POINT('Ctrl Pts',(-1.81348967295883,2.5345252872203,-0.0390563894989518)); #141053=CARTESIAN_POINT('Ctrl Pts',(-1.81279073678371,2.53161206551036, -0.0398790392253704)); #141054=CARTESIAN_POINT('Ctrl Pts',(-1.81240957025222,2.52990448076967, -0.0400000000000006)); #141055=CARTESIAN_POINT('Ctrl Pts',(-1.80854249938182,2.54168397322459, -0.0300055470118758)); #141056=CARTESIAN_POINT('Ctrl Pts',(-1.80851301593206,2.54166957105528, -0.0311353988469028)); #141057=CARTESIAN_POINT('Ctrl Pts',(-1.80839315496491,2.54125394559834, -0.0337806425237793)); #141058=CARTESIAN_POINT('Ctrl Pts',(-1.80807597328197,2.53921324098201, -0.0374640985720148)); #141059=CARTESIAN_POINT('Ctrl Pts',(-1.80765426281809,2.53528904330362, -0.040742995857027)); #141060=CARTESIAN_POINT('Ctrl Pts',(-1.80738985086656,2.53192676347435, -0.0418974291769766)); #141061=CARTESIAN_POINT('Ctrl Pts',(-1.80725403697723,2.52990448076967, -0.0421192022988179)); #141062=CARTESIAN_POINT('Ctrl Pts',(-1.8053443233675,2.54790198445563,-0.0300991093406432)); #141063=CARTESIAN_POINT('Ctrl Pts',(-1.80531226213323,2.54786204903824, -0.0319503424472771)); #141064=CARTESIAN_POINT('Ctrl Pts',(-1.80523386758982,2.54703388852234, -0.0362686848785727)); #141065=CARTESIAN_POINT('Ctrl Pts',(-1.80513824665422,2.54346044065206, -0.0417388327749281)); #141066=CARTESIAN_POINT('Ctrl Pts',(-1.80506907049205,2.53740278505423, -0.0459882564675937)); #141067=CARTESIAN_POINT('Ctrl Pts',(-1.80504412293833,2.53265054682659, -0.0471600307577997)); #141068=CARTESIAN_POINT('Ctrl Pts',(-1.80504518098107,2.52990448076966, -0.0472369651812825)); #141069=CARTESIAN_POINT('Ctrl Pts',(-1.8053430069986,2.55104197266202,-0.0301745240643713)); #141070=CARTESIAN_POINT('Ctrl Pts',(-1.80530427903579,2.55098866819418, -0.0323932475680958)); #141071=CARTESIAN_POINT('Ctrl Pts',(-1.80521413498901,2.54995396924222, -0.0375575965489177)); #141072=CARTESIAN_POINT('Ctrl Pts',(-1.80510296115411,2.54560119527401, -0.0439267412849046)); #141073=CARTESIAN_POINT('Ctrl Pts',(-1.80502046153342,2.53846074775503, -0.0486531413888009)); #141074=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.53301549319218, -0.0498254759356274)); #141075=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.52990448076966, -0.0498254759356272)); #141076=CARTESIAN_POINT('Origin',(-1.81534757660792,2.53001791065755,-0.03)); #141077=CARTESIAN_POINT('Origin',(-0.747464966604975,1.24981883179501,-0.03)); #141078=CARTESIAN_POINT('',(-1.37633458857626,3.4066738075166,-0.0301745240643729)); #141079=CARTESIAN_POINT('',(-0.757076119516236,1.24706287804588,-0.0301745240643729)); #141080=CARTESIAN_POINT('Origin',(-1.366723435665,3.40942976126573,-0.03)); #141081=CARTESIAN_POINT('Origin',(-1.38449035011019,3.431305704449,0.03)); #141082=CARTESIAN_POINT('',(-1.31645063389689,3.18349485229211,0.196252892490636)); #141083=CARTESIAN_POINT('Ctrl Pts',(-1.31645063389689,3.18349485229211, 0.196252892490636)); #141084=CARTESIAN_POINT('Ctrl Pts',(-1.31342961434103,3.1736062293311,0.186037147271812)); #141085=CARTESIAN_POINT('Ctrl Pts',(-1.31040994934773,3.16372266577145, 0.175816104398033)); #141086=CARTESIAN_POINT('Ctrl Pts',(-1.30739147598643,3.1538435524469,0.1655904106772)); #141087=CARTESIAN_POINT('',(-1.37840970559252,3.40246487714243,0.150567796543041)); #141088=CARTESIAN_POINT('Ctrl Pts',(-1.37840970559252,3.40246487714243, 0.150567796543041)); #141089=CARTESIAN_POINT('Ctrl Pts',(-1.35851882311145,3.33155700553013, 0.174888233740484)); #141090=CARTESIAN_POINT('Ctrl Pts',(-1.33766290163348,3.25785164438539, 0.190239216753506)); #141091=CARTESIAN_POINT('Ctrl Pts',(-1.31645063389841,3.18349485229745, 0.196252892490058)); #141092=CARTESIAN_POINT('Ctrl Pts',(-1.37633458857626,3.4066738075166,-0.0301745240643729)); #141093=CARTESIAN_POINT('Ctrl Pts',(-1.37702686687487,3.40527282518787, 0.0300729559848088)); #141094=CARTESIAN_POINT('Ctrl Pts',(-1.37771857460712,3.40386985556903, 0.0903203963784852)); #141095=CARTESIAN_POINT('Ctrl Pts',(-1.37840970559252,3.40246487714243, 0.150567796543041)); #141096=CARTESIAN_POINT('Ctrl Pts',(-1.30739147597645,3.15384355241425, 0.165590410643409)); #141097=CARTESIAN_POINT('Ctrl Pts',(-1.31040994934108,3.16372266574968, 0.175816104375516)); #141098=CARTESIAN_POINT('Ctrl Pts',(-1.3134296143377,3.17360622932021,0.186037147260559)); #141099=CARTESIAN_POINT('Ctrl Pts',(-1.31645063389689,3.18349485229211, 0.196252892490636)); #141100=CARTESIAN_POINT('Ctrl Pts',(-1.30694255127016,3.15216700310397, 0.167342652436168)); #141101=CARTESIAN_POINT('Ctrl Pts',(-1.30996125189207,3.16204685736365, 0.177568618535508)); #141102=CARTESIAN_POINT('Ctrl Pts',(-1.31298113724166,3.1719312632223,0.187789901907589)); #141103=CARTESIAN_POINT('Ctrl Pts',(-1.31600244863024,3.18182083691081, 0.198005841786864)); #141104=CARTESIAN_POINT('Ctrl Pts',(-1.30789180627187,3.14829949660318, 0.170798871156753)); #141105=CARTESIAN_POINT('Ctrl Pts',(-1.31091037953634,3.15818097507184, 0.18102540244257)); #141106=CARTESIAN_POINT('Ctrl Pts',(-1.31393007805863,3.16806724780992, 0.191247176832022)); #141107=CARTESIAN_POINT('Ctrl Pts',(-1.31695104723147,3.17795895276189, 0.201463541698728)); #141108=CARTESIAN_POINT('Ctrl Pts',(-1.31199664655514,3.14539766512441, 0.172390662523129)); #141109=CARTESIAN_POINT('Ctrl Pts',(-1.31501422710823,3.15527970155988, 0.182617962492063)); #141110=CARTESIAN_POINT('Ctrl Pts',(-1.31803260589346,3.16516654368173, 0.192840632749399)); #141111=CARTESIAN_POINT('Ctrl Pts',(-1.32105192603491,3.17505891896279, 0.203057992754735)); #141112=CARTESIAN_POINT('Ctrl Pts',(-1.31431644901597,3.14456840417097, 0.172507045923185)); #141113=CARTESIAN_POINT('Ctrl Pts',(-1.31733357364117,3.15445025674947, 0.18273474704237)); #141114=CARTESIAN_POINT('Ctrl Pts',(-1.32035137498225,3.16433689180683, 0.192957905003721)); #141115=CARTESIAN_POINT('Ctrl Pts',(-1.32336996239274,3.17422895647861, 0.203175884585815)); #141116=CARTESIAN_POINT('',(-1.32336996239271,3.17422895647855,0.203175884585748)); #141117=CARTESIAN_POINT('Ctrl Pts',(-1.31431644902491,3.14456840420023, 0.172507045953471)); #141118=CARTESIAN_POINT('Ctrl Pts',(-1.31733357364713,3.15445025676899, 0.182734747062551)); #141119=CARTESIAN_POINT('Ctrl Pts',(-1.32035137498523,3.1643368918166,0.192957905013806)); #141120=CARTESIAN_POINT('Ctrl Pts',(-1.32336996239274,3.17422895647861, 0.203175884585815)); #141121=CARTESIAN_POINT('Origin',(-1.32606178680815,3.18073889854298,0.196078368426263)); #141122=CARTESIAN_POINT('Ctrl Pts',(-1.32336996239274,3.17422895647861, 0.203175884585815)); #141123=CARTESIAN_POINT('Ctrl Pts',(-1.31852276590362,3.16508759646571, 0.192952938375034)); #141124=CARTESIAN_POINT('Ctrl Pts',(-1.3136755694145,3.15594623645281,0.182729992164252)); #141125=CARTESIAN_POINT('Ctrl Pts',(-1.30882837292538,3.14680487643991, 0.17250704595347)); #141126=CARTESIAN_POINT('Ctrl Pts',(-1.34436008542079,3.1655496587075,0.203175884585815)); #141127=CARTESIAN_POINT('Ctrl Pts',(-1.33967010897781,3.15641835657032, 0.192952938375034)); #141128=CARTESIAN_POINT('Ctrl Pts',(-1.33498012615692,3.14728703664495, 0.182729992164252)); #141129=CARTESIAN_POINT('Ctrl Pts',(-1.33029014971394,3.13815573450778, 0.17250704595347)); #141130=CARTESIAN_POINT('Ctrl Pts',(-1.36497335126801,3.15594632356628, 0.203175884585815)); #141131=CARTESIAN_POINT('Ctrl Pts',(-1.36043696665793,3.14680733731545, 0.192952938375034)); #141132=CARTESIAN_POINT('Ctrl Pts',(-1.35590057533426,3.13766833340521, 0.182729992164252)); #141133=CARTESIAN_POINT('Ctrl Pts',(-1.35136419072419,3.12852934715438, 0.17250704595347)); #141134=CARTESIAN_POINT('Ctrl Pts',(-1.38512860450359,3.14545593361699, 0.203175884585815)); #141135=CARTESIAN_POINT('Ctrl Pts',(-1.38073961646851,3.13629240204092, 0.192952938375034)); #141136=CARTESIAN_POINT('Ctrl Pts',(-1.37635062843343,3.12712887046485, 0.182729992164252)); #141137=CARTESIAN_POINT('Ctrl Pts',(-1.37196164039835,3.11796533888878, 0.17250704595347)); #141138=CARTESIAN_POINT('Ctrl Pts',(-1.3233699623921,3.17422895647873,0.203175884585681)); #141139=CARTESIAN_POINT('Ctrl Pts',(-1.34434803266626,3.16551023616618, 0.203135154511723)); #141140=CARTESIAN_POINT('Ctrl Pts',(-1.36489402629972,3.15588813767252, 0.203087708325623)); #141141=CARTESIAN_POINT('Ctrl Pts',(-1.38506724265716,3.14532781952426, 0.203032958960834)); #141142=CARTESIAN_POINT('Ctrl Pts',(-1.38506724265716,3.14532781952426, 0.203032958960834)); #141143=CARTESIAN_POINT('Ctrl Pts',(-1.36489402629972,3.15588813767252, 0.203087708325623)); #141144=CARTESIAN_POINT('Ctrl Pts',(-1.34434803266626,3.16551023616618, 0.203135154511723)); #141145=CARTESIAN_POINT('Ctrl Pts',(-1.3233699623921,3.17422895647873,0.203175884585681)); #141146=CARTESIAN_POINT('Ctrl Pts',(-1.38601437465625,3.14713418030558, 0.205086245278289)); #141147=CARTESIAN_POINT('Ctrl Pts',(-1.36578710988173,3.15772082994897, 0.205127489187079)); #141148=CARTESIAN_POINT('Ctrl Pts',(-1.34518561778295,3.16736679935085, 0.205164004863064)); #141149=CARTESIAN_POINT('Ctrl Pts',(-1.3241517578457,3.17610717742106,0.205195121356176)); #141150=CARTESIAN_POINT('Ctrl Pts',(-1.38738831209449,3.14976074543779, 0.206183818164837)); #141151=CARTESIAN_POINT('Ctrl Pts',(-1.36707817481068,3.16037762890954, 0.206216864952029)); #141152=CARTESIAN_POINT('Ctrl Pts',(-1.34639425987911,3.17005126602106, 0.206246507681934)); #141153=CARTESIAN_POINT('Ctrl Pts',(-1.32527738971116,3.17881704648968, 0.206271868367503)); #141154=CARTESIAN_POINT('Ctrl Pts',(-1.38872377201012,3.15231803045825, 0.205953985322719)); #141155=CARTESIAN_POINT('Ctrl Pts',(-1.36833331193025,3.16296477284113, 0.205989450647622)); #141156=CARTESIAN_POINT('Ctrl Pts',(-1.34756968011582,3.17266575623202, 0.206020592045905)); #141157=CARTESIAN_POINT('Ctrl Pts',(-1.32637220866627,3.18145662527912, 0.20604774687225)); #141158=CARTESIAN_POINT('',(-1.32637220866736,3.18145662528176,0.206047746872026)); #141159=CARTESIAN_POINT('Ctrl Pts',(-1.38872377201012,3.15231803045825, 0.205953985322719)); #141160=CARTESIAN_POINT('Ctrl Pts',(-1.36833331193025,3.16296477284113, 0.205989450647622)); #141161=CARTESIAN_POINT('Ctrl Pts',(-1.34756968011582,3.17266575623202, 0.206020592045905)); #141162=CARTESIAN_POINT('Ctrl Pts',(-1.32637220866627,3.18145662527912, 0.20604774687225)); #141163=CARTESIAN_POINT('Origin',(-1.3260617868081,3.18073889854286,0.196078368426128)); #141164=CARTESIAN_POINT('Ctrl Pts',(-1.38918153840074,3.40263846379999, 0.159883826476322)); #141165=CARTESIAN_POINT('Ctrl Pts',(-1.81377468963951,3.23441523878399, 0.159883826476322)); #141166=CARTESIAN_POINT('Ctrl Pts',(-1.95574045222595,2.80033676688414, 0.159883826476322)); #141167=CARTESIAN_POINT('Ctrl Pts',(-1.34815040400665,3.29907643394574, 0.196869355875745)); #141168=CARTESIAN_POINT('Ctrl Pts',(-1.72414753399706,3.15010688599327, 0.196869355875745)); #141169=CARTESIAN_POINT('Ctrl Pts',(-1.84986486865888,2.76571006011705, 0.196869355875745)); #141170=CARTESIAN_POINT('Ctrl Pts',(-1.30504913647796,3.1902894159781,0.206047746872251)); #141171=CARTESIAN_POINT('Ctrl Pts',(-1.62999844281968,3.06154494584231, 0.206047746872251)); #141172=CARTESIAN_POINT('Ctrl Pts',(-1.73864757185567,2.72933634113401, 0.206047746872251)); #141173=CARTESIAN_POINT('',(-1.95574045222576,2.80033676688471,0.159883826476322)); #141174=CARTESIAN_POINT('Origin',(-1.66699103137575,2.70590100381165,-0.755109509104582)); #141175=CARTESIAN_POINT('',(-1.38918153840074,3.40263846379999,0.159883826476322)); #141176=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.159883826476322)); #141177=CARTESIAN_POINT('Ctrl Pts',(-1.32637220866846,3.1814566252844,0.206047746871802)); #141178=CARTESIAN_POINT('Ctrl Pts',(-1.34789376937066,3.25655513508412, 0.199971041937038)); #141179=CARTESIAN_POINT('Ctrl Pts',(-1.36903090062142,3.33100772523594, 0.18445916562124)); #141180=CARTESIAN_POINT('Ctrl Pts',(-1.38918153840074,3.40263846379999, 0.159883826476322)); #141181=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.755109509104582)); #141182=CARTESIAN_POINT('',(-2.01291159627194,2.61848388249881,0.159883826476322)); #141183=CARTESIAN_POINT('Ctrl Pts',(-2.01291159627194,2.61848388249881, 0.159883826476322)); #141184=CARTESIAN_POINT('Ctrl Pts',(-1.95039623991832,2.59858167494798, 0.181664420208849)); #141185=CARTESIAN_POINT('Ctrl Pts',(-1.88568301791709,2.5778374051815,0.196361828789393)); #141186=CARTESIAN_POINT('Ctrl Pts',(-1.82026043559672,2.55672842380987, 0.203639800643741)); #141187=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.159883826476321)); #141188=CARTESIAN_POINT('Ctrl Pts',(-1.82026043559672,2.55672842380987, 0.203639800643741)); #141189=CARTESIAN_POINT('Ctrl Pts',(-1.88568301791709,2.5778374051815,0.196361828789393)); #141190=CARTESIAN_POINT('Ctrl Pts',(-1.95039623991832,2.59858167494798, 0.181664420208849)); #141191=CARTESIAN_POINT('Ctrl Pts',(-2.01291159627194,2.61848388249881, 0.159883826476322)); #141192=CARTESIAN_POINT('Ctrl Pts',(-1.82105425440558,2.55426801974445, 0.203634487036965)); #141193=CARTESIAN_POINT('Ctrl Pts',(-1.88647366391706,2.57537839628363, 0.196353123486838)); #141194=CARTESIAN_POINT('Ctrl Pts',(-1.95117924609561,2.59612233701172, 0.18165423809429)); #141195=CARTESIAN_POINT('Ctrl Pts',(-2.01369069421237,2.61602505654166, 0.159872060048511)); #141196=CARTESIAN_POINT('Ctrl Pts',(-1.82242023220872,2.54930816856286, 0.201518142796513)); #141197=CARTESIAN_POINT('Ctrl Pts',(-1.88768506584436,2.57037643067435, 0.194250674176691)); #141198=CARTESIAN_POINT('Ctrl Pts',(-1.95224185413637,2.59107938771575, 0.179582189317724)); #141199=CARTESIAN_POINT('Ctrl Pts',(-2.01460525826061,2.61094058312068, 0.157848731986481)); #141200=CARTESIAN_POINT('Ctrl Pts',(-1.82258693198426,2.54704228271344, 0.196440455867562)); #141201=CARTESIAN_POINT('Ctrl Pts',(-1.88750240621721,2.56800208423475, 0.189216119929742)); #141202=CARTESIAN_POINT('Ctrl Pts',(-1.95171335535366,2.5885976511972,0.174629979889051)); #141203=CARTESIAN_POINT('Ctrl Pts',(-2.013744423856,2.60835612093783,0.153015340509796)); #141204=CARTESIAN_POINT('Ctrl Pts',(-1.82234189360086,2.54691548703291, 0.193869922120085)); #141205=CARTESIAN_POINT('Ctrl Pts',(-1.88708194916224,2.56781861659437, 0.186667439323625)); #141206=CARTESIAN_POINT('Ctrl Pts',(-1.95112052719523,2.58835906324172, 0.172122476170097)); #141207=CARTESIAN_POINT('Ctrl Pts',(-2.01298459721146,2.6080643176853,0.150567796543041)); #141208=CARTESIAN_POINT('',(-2.01298459721146,2.6080643176853,0.15056779654304)); #141209=CARTESIAN_POINT('Ctrl Pts',(-1.82234189506869,2.54691548750684, 0.193869921956786)); #141210=CARTESIAN_POINT('Ctrl Pts',(-1.88708195013019,2.56781861690484, 0.186667439103777)); #141211=CARTESIAN_POINT('Ctrl Pts',(-1.95112052766277,2.58835906339065, 0.172122476007196)); #141212=CARTESIAN_POINT('Ctrl Pts',(-2.01298459721146,2.6080643176853,0.150567796543041)); #141213=CARTESIAN_POINT('Origin',(-2.00989489791556,2.61757343434311,0.150393272478668)); #141214=CARTESIAN_POINT('Origin',(-1.67087576334843,2.4946935973769,0.03)); #141215=CARTESIAN_POINT('',(-2.01689691488162,2.60601828123987,-0.030174524064373)); #141216=CARTESIAN_POINT('',(-0.416701968423784,2.08608342532336,-0.0301745240643728)); #141217=CARTESIAN_POINT('Ctrl Pts',(-2.01298459721146,2.6080643176853,0.150567796543041)); #141218=CARTESIAN_POINT('Ctrl Pts',(-2.01428888538122,2.60738236482319, 0.0903203596152357)); #141219=CARTESIAN_POINT('Ctrl Pts',(-2.01559299120343,2.60670035265264, 0.0300729194111396)); #141220=CARTESIAN_POINT('Ctrl Pts',(-2.01689691488162,2.60601828123987, -0.030174524064373)); #141221=CARTESIAN_POINT('Origin',(-0.419791667719683,2.07657430866555,-0.03)); #141222=CARTESIAN_POINT('Origin',(-2.01998661417752,2.59650916458206,-0.03)); #141223=CARTESIAN_POINT('Origin',(1.24859078628542,0.312887597358981,-0.0417388509788944)); #141224=CARTESIAN_POINT('',(1.24859078628542,0.302889120407417,-0.0419133750432672)); #141225=CARTESIAN_POINT('',(1.23859230933385,0.312887597358981,-0.0419133750432672)); #141226=CARTESIAN_POINT('Origin',(1.2385594367392,0.306305979999999,-0.0400301053567116)); #141227=CARTESIAN_POINT('',(1.23856543406483,0.352240837910038,-0.0403736919122633)); #141228=CARTESIAN_POINT('Origin',(1.2485639110164,0.352240837910038,-0.0401991678478905)); #141229=CARTESIAN_POINT('',(1.2485639110164,0.362239314861602,-0.0403736919122633)); #141230=CARTESIAN_POINT('Ctrl Pts',(-1.93192668317269,0.817114027870475, 2.54624586542443E-5)); #141231=CARTESIAN_POINT('Ctrl Pts',(-1.93198123258541,0.817085128972672, 2.55121505661315E-5)); #141232=CARTESIAN_POINT('Ctrl Pts',(-1.9320357706693,0.817056212315517, 2.55613678326995E-5)); #141233=CARTESIAN_POINT('Ctrl Pts',(-1.93452698411326,0.815734459047598, 2.78551403156598E-5)); #141234=CARTESIAN_POINT('Ctrl Pts',(-1.93935931898439,0.813087276209008, 3.07316156742999E-5)); #141235=CARTESIAN_POINT('Ctrl Pts',(-1.94650005351471,0.80889629330389, 3.93521650851495E-5)); #141236=CARTESIAN_POINT('Ctrl Pts',(-1.95352116384243,0.804576258482587, 4.10871481909614E-5)); #141237=CARTESIAN_POINT('Ctrl Pts',(-1.96051720189851,0.800142728325304, 5.83178632450189E-5)); #141238=CARTESIAN_POINT('Ctrl Pts',(-1.9653466687548,0.798112286008187, -6.90861383104248E-6)); #141239=CARTESIAN_POINT('Ctrl Pts',(-1.96754016176936,0.797527667429547, -0.000201430122412367)); #141240=CARTESIAN_POINT('Ctrl Pts',(-1.93192668317269,0.817114027870476, 2.54624586543357E-5)); #141241=CARTESIAN_POINT('Ctrl Pts',(-1.93198365633503,0.817090862862658, 2.59087672792363E-5)); #141242=CARTESIAN_POINT('Ctrl Pts',(-1.9320416810764,0.817066481243664, 2.63522211039989E-5)); #141243=CARTESIAN_POINT('Ctrl Pts',(-1.93464542594899,0.816005877855384, 4.66509157675997E-5)); #141244=CARTESIAN_POINT('Ctrl Pts',(-1.9397888413191,0.813811454014229, 8.12033406552594E-5)); #141245=CARTESIAN_POINT('Ctrl Pts',(-1.94738555726904,0.810540836371775, 0.000122194818981199)); #141246=CARTESIAN_POINT('Ctrl Pts',(-1.95517220221773,0.807198605464332, 0.00012689147730447)); #141247=CARTESIAN_POINT('Ctrl Pts',(-1.96275917044304,0.804816362350921, 2.71427143765569E-5)); #141248=CARTESIAN_POINT('Ctrl Pts',(-1.96584845953356,0.803650726085886, -0.000679296726254014)); #141249=CARTESIAN_POINT('Ctrl Pts',(-1.96721638755847,0.803188516100857, -0.00118295005316712)); #141250=CARTESIAN_POINT('Ctrl Pts',(-1.93192668317269,0.817114027870477, 2.54624586544274E-5)); #141251=CARTESIAN_POINT('Ctrl Pts',(-1.93198729191648,0.817096428188049, 2.64864681781972E-5)); #141252=CARTESIAN_POINT('Ctrl Pts',(-1.93204613729938,0.817076950403651, 2.68950370904635E-5)); #141253=CARTESIAN_POINT('Ctrl Pts',(-1.93481404475683,0.816270374533755, 7.75802660281919E-5)); #141254=CARTESIAN_POINT('Ctrl Pts',(-1.94028562268256,0.814547907305571, 0.000200811750946889)); #141255=CARTESIAN_POINT('Ctrl Pts',(-1.94835028060492,0.812148448439255, 0.000731452411184857)); #141256=CARTESIAN_POINT('Ctrl Pts',(-1.95700453471326,0.809808755777463, 0.00162382021355544)); #141257=CARTESIAN_POINT('Ctrl Pts',(-1.96479595719158,0.809207646363893, 0.00425316740462765)); #141258=CARTESIAN_POINT('Ctrl Pts',(-1.9668785036147,0.80912987144592,0.00409681907648227)); #141259=CARTESIAN_POINT('Ctrl Pts',(-1.96771745877768,0.809159499532852, 0.0036798296251637)); #141260=CARTESIAN_POINT('Ctrl Pts',(-1.93192668317269,0.817114027870479, 2.54624586545188E-5)); #141261=CARTESIAN_POINT('Ctrl Pts',(-1.93198949470838,0.817101203260922, 2.6700463733334E-5)); #141262=CARTESIAN_POINT('Ctrl Pts',(-1.93205231332366,0.817088369987434, 2.79359930022498E-5)); #141263=CARTESIAN_POINT('Ctrl Pts',(-1.93492309826876,0.816501980129511, 9.21805822516785E-5)); #141264=CARTESIAN_POINT('Ctrl Pts',(-1.94072877020911,0.815337756205516, 0.000403332167115035)); #141265=CARTESIAN_POINT('Ctrl Pts',(-1.94909662665786,0.813487907387721, 0.00167168684307106)); #141266=CARTESIAN_POINT('Ctrl Pts',(-1.9581275735217,0.811647559073325, 0.00415811874159093)); #141267=CARTESIAN_POINT('Ctrl Pts',(-1.96488130681248,0.809777478477744, 0.00947823170774579)); #141268=CARTESIAN_POINT('Ctrl Pts',(-1.96715696763783,0.80924198134104, 0.00952297152155287)); #141269=CARTESIAN_POINT('Ctrl Pts',(-1.96852699892434,0.809287249853307, 0.00937559501711347)); #141270=CARTESIAN_POINT('',(-1.96754016176936,0.797527667429547,-0.000201430122412367)); #141271=CARTESIAN_POINT('',(-1.96852699892434,0.809287249853307,0.00937559501711348)); #141272=CARTESIAN_POINT('Origin',(-1.96887594073775,0.799294863703752,0.00955011908148633)); #141273=CARTESIAN_POINT('',(-1.93192668318393,0.817114027868339,2.54624588849939E-5)); #141274=CARTESIAN_POINT('Ctrl Pts',(-1.96852699892434,0.809287249853307, 0.00937559501711347)); #141275=CARTESIAN_POINT('Ctrl Pts',(-1.96715696763783,0.80924198134104, 0.00952297152155287)); #141276=CARTESIAN_POINT('Ctrl Pts',(-1.96488130681248,0.809777478477744, 0.00947823170774579)); #141277=CARTESIAN_POINT('Ctrl Pts',(-1.9581275735217,0.811647559073325, 0.00415811874159093)); #141278=CARTESIAN_POINT('Ctrl Pts',(-1.94909662665786,0.813487907387721, 0.00167168684307106)); #141279=CARTESIAN_POINT('Ctrl Pts',(-1.94072877020911,0.815337756205516, 0.000403332167115035)); #141280=CARTESIAN_POINT('Ctrl Pts',(-1.93492309826876,0.816501980129511, 9.21805822516785E-5)); #141281=CARTESIAN_POINT('Ctrl Pts',(-1.93205231332366,0.817088369987434, 2.79359930022498E-5)); #141282=CARTESIAN_POINT('Ctrl Pts',(-1.93198949470838,0.817101203260922, 2.6700463733334E-5)); #141283=CARTESIAN_POINT('Ctrl Pts',(-1.93192668317269,0.817114027870479, 2.54624586545188E-5)); #141284=CARTESIAN_POINT('Ctrl Pts',(-1.93192668317269,0.817114027870475, 2.54624586542443E-5)); #141285=CARTESIAN_POINT('Ctrl Pts',(-1.93198123258541,0.817085128972672, 2.55121505661315E-5)); #141286=CARTESIAN_POINT('Ctrl Pts',(-1.9320357706693,0.817056212315517, 2.55613678326995E-5)); #141287=CARTESIAN_POINT('Ctrl Pts',(-1.93452698411326,0.815734459047598, 2.78551403156598E-5)); #141288=CARTESIAN_POINT('Ctrl Pts',(-1.93935931898439,0.813087276209008, 3.07316156742999E-5)); #141289=CARTESIAN_POINT('Ctrl Pts',(-1.94650005351471,0.80889629330389, 3.93521650851495E-5)); #141290=CARTESIAN_POINT('Ctrl Pts',(-1.95352116384243,0.804576258482587, 4.10871481909614E-5)); #141291=CARTESIAN_POINT('Ctrl Pts',(-1.96051720189851,0.800142728325304, 5.83178632450189E-5)); #141292=CARTESIAN_POINT('Ctrl Pts',(-1.9653466687548,0.798112286008187, -6.90861383104248E-6)); #141293=CARTESIAN_POINT('Ctrl Pts',(-1.96754016176936,0.797527667429547, -0.000201430122412367)); #141294=CARTESIAN_POINT('Ctrl Pts',(-1.96754016176936,0.797527667429547, -0.000201430122412377)); #141295=CARTESIAN_POINT('Ctrl Pts',(-1.97137296363321,0.796506133664464, -0.000541327444035251)); #141296=CARTESIAN_POINT('Ctrl Pts',(-1.9775541160598,0.794825873604434, -0.00168842714785116)); #141297=CARTESIAN_POINT('Ctrl Pts',(-1.98348597068179,0.793154160361634, -0.00474959352770864)); #141298=CARTESIAN_POINT('Ctrl Pts',(-1.98596371715988,0.792419800587343, -0.00756900835936052)); #141299=CARTESIAN_POINT('Ctrl Pts',(-1.98645653026069,0.792250571160248, -0.0091634174798402)); #141300=CARTESIAN_POINT('Ctrl Pts',(-1.98648454774519,0.79222515601392, -0.00996473449473343)); #141301=CARTESIAN_POINT('Ctrl Pts',(-1.96744765485196,0.799145052764207, -0.000481864388342305)); #141302=CARTESIAN_POINT('Ctrl Pts',(-1.97122289849817,0.798197319397479, -0.00102380409563903)); #141303=CARTESIAN_POINT('Ctrl Pts',(-1.97720841019194,0.796575148707658, -0.00256539347652702)); #141304=CARTESIAN_POINT('Ctrl Pts',(-1.98242984233958,0.794771682026489, -0.00577848275012337)); #141305=CARTESIAN_POINT('Ctrl Pts',(-1.98437892383522,0.793929836219038, -0.00820535554080282)); #141306=CARTESIAN_POINT('Ctrl Pts',(-1.98473766984327,0.793738587153708, -0.00941680041683959)); #141307=CARTESIAN_POINT('Ctrl Pts',(-1.98475815596088,0.793714452570663, -0.0100204294274176)); #141308=CARTESIAN_POINT('Ctrl Pts',(-1.96737336924876,0.802524407830524, -0.000234819336875057)); #141309=CARTESIAN_POINT('Ctrl Pts',(-1.97112607231721,0.801842842276326, -0.00109666908282872)); #141310=CARTESIAN_POINT('Ctrl Pts',(-1.9769366321323,0.800590908899583, -0.00332091361268638)); #141311=CARTESIAN_POINT('Ctrl Pts',(-1.98112776876839,0.798956253499099, -0.00705853096871447)); #141312=CARTESIAN_POINT('Ctrl Pts',(-1.98223063227628,0.798127018063612, -0.009088454331948)); #141313=CARTESIAN_POINT('Ctrl Pts',(-1.98236592056466,0.797937230687406, -0.00979347285770811)); #141314=CARTESIAN_POINT('Ctrl Pts',(-1.98237312476732,0.797917415661159, -0.0101335167968462)); #141315=CARTESIAN_POINT('Ctrl Pts',(-1.96761978756957,0.806825833076257, 0.00246643901931928)); #141316=CARTESIAN_POINT('Ctrl Pts',(-1.9716252780362,0.806640408063965, 0.00147514735029676)); #141317=CARTESIAN_POINT('Ctrl Pts',(-1.97787166145328,0.806249407164044, -0.0011652381009662)); #141318=CARTESIAN_POINT('Ctrl Pts',(-1.98234485192497,0.805576209602391, -0.00589877985679575)); #141319=CARTESIAN_POINT('Ctrl Pts',(-1.9834564773001,0.80518657022034,-0.00866804911095968)); #141320=CARTESIAN_POINT('Ctrl Pts',(-1.98357419892008,0.805087967831493, -0.00972066982423916)); #141321=CARTESIAN_POINT('Ctrl Pts',(-1.98357802696555,0.805072586802263, -0.0102326969511601)); #141322=CARTESIAN_POINT('Ctrl Pts',(-1.96807485444264,0.808912132806476, 0.00612544676260353)); #141323=CARTESIAN_POINT('Ctrl Pts',(-1.97247362898548,0.809010616473532, 0.00527400080349853)); #141324=CARTESIAN_POINT('Ctrl Pts',(-1.97953316657129,0.80913777264946, 0.00276054897618059)); #141325=CARTESIAN_POINT('Ctrl Pts',(-1.9856015516174,0.80915514241527,-0.00276282996386185)); #141326=CARTESIAN_POINT('Ctrl Pts',(-1.98774355820962,0.809105731372169, -0.00701883564237136)); #141327=CARTESIAN_POINT('Ctrl Pts',(-1.98811028875729,0.809069757633444, -0.00915476322151997)); #141328=CARTESIAN_POINT('Ctrl Pts',(-1.98812569294415,0.809050847713409, -0.010219096684283)); #141329=CARTESIAN_POINT('Ctrl Pts',(-1.96841135033196,0.809268999807528, 0.00856191424683499)); #141330=CARTESIAN_POINT('Ctrl Pts',(-1.97307968452133,0.809418992211302, 0.00783918892277)); #141331=CARTESIAN_POINT('Ctrl Pts',(-1.98070625726203,0.809646070734297, 0.00549031871443384)); #141332=CARTESIAN_POINT('Ctrl Pts',(-1.98798336807878,0.809794854436504, -0.000482142003532047)); #141333=CARTESIAN_POINT('Ctrl Pts',(-1.99093703872385,0.809804810089368, -0.00577589606492679)); #141334=CARTESIAN_POINT('Ctrl Pts',(-1.99150315932593,0.809773873616407, -0.00870214650856382)); #141335=CARTESIAN_POINT('Ctrl Pts',(-1.9915283306525,0.809749087071155, -0.0101705380456952)); #141336=CARTESIAN_POINT('Ctrl Pts',(-1.96852699892434,0.809287249853307, 0.00937559501711354)); #141337=CARTESIAN_POINT('Ctrl Pts',(-1.9732855511034,0.809441549064663, 0.00869580037386765)); #141338=CARTESIAN_POINT('Ctrl Pts',(-1.98110324974391,0.809674479307781, 0.00640160096623607)); #141339=CARTESIAN_POINT('Ctrl Pts',(-1.98878325226903,0.809835740050436, 0.000279268206521069)); #141340=CARTESIAN_POINT('Ctrl Pts',(-1.99200673579842,0.809849820442743, -0.00535956145678268)); #141341=CARTESIAN_POINT('Ctrl Pts',(-1.99263938932423,0.809816218233382, -0.00854837969774212)); #141342=CARTESIAN_POINT('Ctrl Pts',(-1.99266780065982,0.809789219246596, -0.0101510137275286)); #141343=CARTESIAN_POINT('',(-1.98648454774519,0.792225156013919,-0.00996473449473338)); #141344=CARTESIAN_POINT('',(-1.99266780065982,0.809789219246596,-0.0101510137275286)); #141345=CARTESIAN_POINT('Origin',(-1.99301674247323,0.799796833097041,-0.00997648966315576)); #141346=CARTESIAN_POINT('Ctrl Pts',(-1.99266780065982,0.809789219246596, -0.0101510137275286)); #141347=CARTESIAN_POINT('Ctrl Pts',(-1.99263938932423,0.809816218233382, -0.00854837969774212)); #141348=CARTESIAN_POINT('Ctrl Pts',(-1.99200673579842,0.809849820442743, -0.00535956145678268)); #141349=CARTESIAN_POINT('Ctrl Pts',(-1.98878325226903,0.809835740050436, 0.000279268206521069)); #141350=CARTESIAN_POINT('Ctrl Pts',(-1.98110324974391,0.809674479307781, 0.00640160096623607)); #141351=CARTESIAN_POINT('Ctrl Pts',(-1.9732855511034,0.809441549064663, 0.00869580037386765)); #141352=CARTESIAN_POINT('Ctrl Pts',(-1.96852699892434,0.809287249853307, 0.00937559501711354)); #141353=CARTESIAN_POINT('Ctrl Pts',(-1.96754016176936,0.797527667429547, -0.000201430122412377)); #141354=CARTESIAN_POINT('Ctrl Pts',(-1.97137296363321,0.796506133664464, -0.000541327444035251)); #141355=CARTESIAN_POINT('Ctrl Pts',(-1.9775541160598,0.794825873604434, -0.00168842714785116)); #141356=CARTESIAN_POINT('Ctrl Pts',(-1.98348597068179,0.793154160361634, -0.00474959352770864)); #141357=CARTESIAN_POINT('Ctrl Pts',(-1.98596371715988,0.792419800587343, -0.00756900835936052)); #141358=CARTESIAN_POINT('Ctrl Pts',(-1.98645653026069,0.792250571160248, -0.0091634174798402)); #141359=CARTESIAN_POINT('Ctrl Pts',(-1.98648454774519,0.79222515601392, -0.00996473449473343)); #141360=CARTESIAN_POINT('Ctrl Pts',(-2.0214148330987,0.810443363024098, -0.0301745240643728)); #141361=CARTESIAN_POINT('Ctrl Pts',(-2.01592713031065,0.810251728219997, -0.0301745240643728)); #141362=CARTESIAN_POINT('Ctrl Pts',(-2.00676808891537,0.80996549666665, -0.0282502260859855)); #141363=CARTESIAN_POINT('Ctrl Pts',(-1.99757516046523,0.809756484849889, -0.0218369538246926)); #141364=CARTESIAN_POINT('Ctrl Pts',(-1.9935273324294,0.809723815892792, -0.015614226748969)); #141365=CARTESIAN_POINT('Ctrl Pts',(-1.99270023920916,0.809758393241887, -0.0119808158804544)); #141366=CARTESIAN_POINT('Ctrl Pts',(-1.99266780065982,0.809789219246596, -0.0101510137275285)); #141367=CARTESIAN_POINT('Ctrl Pts',(-2.02141573415631,0.81041756011024, -0.0316536730668558)); #141368=CARTESIAN_POINT('Ctrl Pts',(-2.01571321380941,0.810216634161236, -0.031784039421272)); #141369=CARTESIAN_POINT('Ctrl Pts',(-2.00613720549725,0.809909770144718, -0.0300186255096335)); #141370=CARTESIAN_POINT('Ctrl Pts',(-1.99612058862854,0.809676363732032, -0.0234290451480893)); #141371=CARTESIAN_POINT('Ctrl Pts',(-1.99143753819008,0.809634418723367, -0.0165480114640872)); #141372=CARTESIAN_POINT('Ctrl Pts',(-1.99042899077311,0.809673006311266, -0.0123263869447461)); #141373=CARTESIAN_POINT('Ctrl Pts',(-1.99038886064518,0.809708954895713, -0.0101900623638618)); #141374=CARTESIAN_POINT('Ctrl Pts',(-2.02144084045992,0.809698609641037, -0.0345992361216252)); #141375=CARTESIAN_POINT('Ctrl Pts',(-2.01531289012049,0.809358064218489, -0.0349883183404063)); #141376=CARTESIAN_POINT('Ctrl Pts',(-2.00491785357219,0.808788227927737, -0.0335376891180156)); #141377=CARTESIAN_POINT('Ctrl Pts',(-1.99326765516034,0.808154052149436, -0.0265903845738983)); #141378=CARTESIAN_POINT('Ctrl Pts',(-1.98732113323218,0.807886335002615, -0.0183890262654797)); #141379=CARTESIAN_POINT('Ctrl Pts',(-1.9859517653061,0.807882693914909, -0.012989476738322)); #141380=CARTESIAN_POINT('Ctrl Pts',(-1.98589634834219,0.807925422066669, -0.010239658649686)); #141381=CARTESIAN_POINT('Ctrl Pts',(-2.02154103046124,0.806829543386833, -0.038128099785329)); #141382=CARTESIAN_POINT('Ctrl Pts',(-2.01490983348481,0.805998303554629, -0.0386751244262732)); #141383=CARTESIAN_POINT('Ctrl Pts',(-2.00362073163998,0.804514316861415, -0.0372316351468736)); #141384=CARTESIAN_POINT('Ctrl Pts',(-1.99071394555111,0.802641407218793, -0.0294046448152918)); #141385=CARTESIAN_POINT('Ctrl Pts',(-1.98398449028402,0.801704843063619, -0.0198387541615221)); #141386=CARTESIAN_POINT('Ctrl Pts',(-1.9824148700952,0.80155229092581,-0.0134514590508543)); #141387=CARTESIAN_POINT('Ctrl Pts',(-1.9823530347939,0.80159288476231,-0.0101957922276797)); #141388=CARTESIAN_POINT('Ctrl Pts',(-2.02166050219402,0.803408320586888, -0.0397549247608945)); #141389=CARTESIAN_POINT('Ctrl Pts',(-2.01480079287499,0.802092491673676, -0.0401176263179575)); #141390=CARTESIAN_POINT('Ctrl Pts',(-2.00326302816699,0.799788176286795, -0.0380738871234724)); #141391=CARTESIAN_POINT('Ctrl Pts',(-1.99083729307496,0.797107450916569, -0.029221562121212)); #141392=CARTESIAN_POINT('Ctrl Pts',(-1.9847918971134,0.795833696208296, -0.0194168858685678)); #141393=CARTESIAN_POINT('Ctrl Pts',(-1.98345460766887,0.79561051861678, -0.0132199332329351)); #141394=CARTESIAN_POINT('Ctrl Pts',(-1.98340607374572,0.795644359464001, -0.0100769114380296)); #141395=CARTESIAN_POINT('Ctrl Pts',(-2.02173796020253,0.801190213436289, -0.0400000000000002)); #141396=CARTESIAN_POINT('Ctrl Pts',(-2.01484524076016,0.799643655696765, -0.0401010136495457)); #141397=CARTESIAN_POINT('Ctrl Pts',(-2.00341539017422,0.797021280048359, -0.037439060872808)); #141398=CARTESIAN_POINT('Ctrl Pts',(-1.99190194007074,0.79430682817315, -0.0279961691476906)); #141399=CARTESIAN_POINT('Ctrl Pts',(-1.98672864827353,0.793131562653154, -0.0185103922276246)); #141400=CARTESIAN_POINT('Ctrl Pts',(-1.98565654277019,0.792938565972714, -0.0128492077188193)); #141401=CARTESIAN_POINT('Ctrl Pts',(-1.98562135185304,0.792969804292291, -0.00999258196107562)); #141402=CARTESIAN_POINT('Ctrl Pts',(-2.0217637749121,0.800450976874542, -0.0400000000000002)); #141403=CARTESIAN_POINT('Ctrl Pts',(-2.01487132844029,0.79883906376122, -0.0400000000000001)); #141404=CARTESIAN_POINT('Ctrl Pts',(-2.00350989789517,0.796143863283422, -0.0371135530324191)); #141405=CARTESIAN_POINT('Ctrl Pts',(-1.99234911311569,0.793477134742855, -0.0274936446404797)); #141406=CARTESIAN_POINT('Ctrl Pts',(-1.98749271446752,0.792369174041993, -0.0181595540268942)); #141407=CARTESIAN_POINT('Ctrl Pts',(-1.98651513156331,0.792194509711701, -0.0127094377241224)); #141408=CARTESIAN_POINT('Ctrl Pts',(-1.98648454774519,0.79222515601392, -0.00996473449473352)); #141409=CARTESIAN_POINT('Ctrl Pts',(-1.98648454774519,0.79222515601392, -0.00996473449473352)); #141410=CARTESIAN_POINT('Ctrl Pts',(-1.98651513156331,0.792194509711701, -0.0127094377241224)); #141411=CARTESIAN_POINT('Ctrl Pts',(-1.98749271446752,0.792369174041993, -0.0181595540268942)); #141412=CARTESIAN_POINT('Ctrl Pts',(-1.99234911311569,0.793477134742855, -0.0274936446404797)); #141413=CARTESIAN_POINT('Ctrl Pts',(-2.00350989789517,0.796143863283422, -0.0371135530324191)); #141414=CARTESIAN_POINT('Ctrl Pts',(-2.01487132844029,0.79883906376122, -0.0400000000000001)); #141415=CARTESIAN_POINT('Ctrl Pts',(-2.0217637749121,0.800450976874542, -0.0400000000000002)); #141416=CARTESIAN_POINT('',(-2.0214148330987,0.810443363024098,-0.0301745240643728)); #141417=CARTESIAN_POINT('Origin',(-2.0217637749121,0.800450976874543,-0.03)); #141418=CARTESIAN_POINT('Ctrl Pts',(-2.0214148330987,0.810443363024098, -0.0301745240643728)); #141419=CARTESIAN_POINT('Ctrl Pts',(-2.01592713031065,0.810251728219997, -0.0301745240643728)); #141420=CARTESIAN_POINT('Ctrl Pts',(-2.00676808891537,0.80996549666665, -0.0282502260859855)); #141421=CARTESIAN_POINT('Ctrl Pts',(-1.99757516046523,0.809756484849889, -0.0218369538246926)); #141422=CARTESIAN_POINT('Ctrl Pts',(-1.9935273324294,0.809723815892792, -0.015614226748969)); #141423=CARTESIAN_POINT('Ctrl Pts',(-1.99270023920916,0.809758393241887, -0.0119808158804544)); #141424=CARTESIAN_POINT('Ctrl Pts',(-1.99266780065982,0.809789219246596, -0.0101510137275285)); #141425=CARTESIAN_POINT('Origin',(-0.928112273159169,0.762259824877527, -0.03)); #141426=CARTESIAN_POINT('',(-2.34464286900284,0.821730734759176,-0.0301745240643729)); #141427=CARTESIAN_POINT('Origin',(-2.34499181081624,0.811738348609621,-0.03)); #141428=CARTESIAN_POINT('',(-0.927763331345768,0.772252211027082,-0.0301745240643729)); #141429=CARTESIAN_POINT('Ctrl Pts',(-2.34499181081624,0.811738348609621, -0.04)); #141430=CARTESIAN_POINT('Ctrl Pts',(-2.35035274718299,0.811925556632744, -0.04)); #141431=CARTESIAN_POINT('Ctrl Pts',(-2.36085963836607,0.816865116746989, -0.04)); #141432=CARTESIAN_POINT('Ctrl Pts',(-2.36463138486006,0.827844891097075, -0.04)); #141433=CARTESIAN_POINT('Ctrl Pts',(-2.36423667152847,0.833194553418952, -0.04)); #141434=CARTESIAN_POINT('Ctrl Pts',(-2.34490145933274,0.814325676575735, -0.0400000000000001)); #141435=CARTESIAN_POINT('Ctrl Pts',(-2.34956777784305,0.814480447408011, -0.0399997443107445)); #141436=CARTESIAN_POINT('Ctrl Pts',(-2.35872871167954,0.818776372968374, -0.0399994529527161)); #141437=CARTESIAN_POINT('Ctrl Pts',(-2.36200648737807,0.828348435515144, -0.0399997443109472)); #141438=CARTESIAN_POINT('Ctrl Pts',(-2.36165478471099,0.83300405445169, -0.04)); #141439=CARTESIAN_POINT('Ctrl Pts',(-2.34472166365266,0.819474351209728, -0.0378770119007408)); #141440=CARTESIAN_POINT('Ctrl Pts',(-2.34800983565255,0.819565310740609, -0.0378910454287762)); #141441=CARTESIAN_POINT('Ctrl Pts',(-2.35449198855617,0.822576345938532, -0.0379073382233354)); #141442=CARTESIAN_POINT('Ctrl Pts',(-2.35678276368796,0.829346298190145, -0.0378910454176477)); #141443=CARTESIAN_POINT('Ctrl Pts',(-2.35651693773561,0.832624969459996, -0.0378770119007407)); #141444=CARTESIAN_POINT('Ctrl Pts',(-2.34464444585366,0.821685579659925, -0.032763034818718)); #141445=CARTESIAN_POINT('Ctrl Pts',(-2.34733305055967,0.821765885158343, -0.0328033682041371)); #141446=CARTESIAN_POINT('Ctrl Pts',(-2.35263321371907,0.824243505076804, -0.0328504084597457)); #141447=CARTESIAN_POINT('Ctrl Pts',(-2.35452181791906,0.829780682839953, -0.0328033681721532)); #141448=CARTESIAN_POINT('Ctrl Pts',(-2.35431035949707,0.832462161829244, -0.0327630348187179)); #141449=CARTESIAN_POINT('Ctrl Pts',(-2.34464286900284,0.821730734759176, -0.0301745240643728)); #141450=CARTESIAN_POINT('Ctrl Pts',(-2.34732424875218,0.821823521153639, -0.0302231593587708)); #141451=CARTESIAN_POINT('Ctrl Pts',(-2.35257876097617,0.824292344508375, -0.0302800680285145)); #141452=CARTESIAN_POINT('Ctrl Pts',(-2.35446356758999,0.829783188386452, -0.0302231593202036)); #141453=CARTESIAN_POINT('Ctrl Pts',(-2.35426529935895,0.832458837163841, -0.0301745240643728)); #141454=CARTESIAN_POINT('',(-2.35426529935895,0.832458837163841,-0.0301745240643711)); #141455=CARTESIAN_POINT('Origin',(-2.36423667152847,0.833194553418952,-0.03)); #141456=CARTESIAN_POINT('Ctrl Pts',(-2.35426529935895,0.832458837163841, -0.0301745240643728)); #141457=CARTESIAN_POINT('Ctrl Pts',(-2.35446356758999,0.829783188386452, -0.0302231593202036)); #141458=CARTESIAN_POINT('Ctrl Pts',(-2.35257876097617,0.824292344508375, -0.0302800680285145)); #141459=CARTESIAN_POINT('Ctrl Pts',(-2.34732424875218,0.821823521153639, -0.0302231593587708)); #141460=CARTESIAN_POINT('Ctrl Pts',(-2.34464286900284,0.821730734759176, -0.0301745240643728)); #141461=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.03)); #141462=CARTESIAN_POINT('',(-2.22858159985659,1.78334935421521,-0.0301745240643728)); #141463=CARTESIAN_POINT('Origin',(-2.2384016980729,1.78522957025943,-0.03)); #141464=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.0301745240643728)); #141465=CARTESIAN_POINT('Ctrl Pts',(-2.2384016980729,1.78522957025943,-0.04)); #141466=CARTESIAN_POINT('Ctrl Pts',(-2.23742643064627,1.79032325188505, -0.04)); #141467=CARTESIAN_POINT('Ctrl Pts',(-2.23130456090494,1.79966460114748, -0.04)); #141468=CARTESIAN_POINT('Ctrl Pts',(-2.22039648351959,1.80206286873917, -0.04)); #141469=CARTESIAN_POINT('Ctrl Pts',(-2.21528906703611,1.80116229341142, -0.04)); #141470=CARTESIAN_POINT('Ctrl Pts',(-2.23585898061135,1.78474272602816, -0.04)); #141471=CARTESIAN_POINT('Ctrl Pts',(-2.2350174948374,1.78917753412425,-0.0399997656411969)); #141472=CARTESIAN_POINT('Ctrl Pts',(-2.22969013328231,1.79732264792367, -0.0399995004450246)); #141473=CARTESIAN_POINT('Ctrl Pts',(-2.22018267779921,1.79940393511743, -0.0399997656410299)); #141474=CARTESIAN_POINT('Ctrl Pts',(-2.21573862568143,1.79861271963935, -0.04)); #141475=CARTESIAN_POINT('Ctrl Pts',(-2.23079954468957,1.78377401549337, -0.0378765294232289)); #141476=CARTESIAN_POINT('Ctrl Pts',(-2.23022299247132,1.78690151924202, -0.0378893922274427)); #141477=CARTESIAN_POINT('Ctrl Pts',(-2.22648037348174,1.79266644209656, -0.0379041844402651)); #141478=CARTESIAN_POINT('Ctrl Pts',(-2.21976116477537,1.79411339418598, -0.0378893922366099)); #141479=CARTESIAN_POINT('Ctrl Pts',(-2.21663314628527,1.79353964120154, -0.0378765294232289)); #141480=CARTESIAN_POINT('Ctrl Pts',(-2.22862597639518,1.78335785081861, -0.0327630348187179)); #141481=CARTESIAN_POINT('Ctrl Pts',(-2.22814918484635,1.7859142274828,-0.0328000034582944)); #141482=CARTESIAN_POINT('Ctrl Pts',(-2.22507431445898,1.79062675668806, -0.0328426952466188)); #141483=CARTESIAN_POINT('Ctrl Pts',(-2.21957620171935,1.79182402488838, -0.0328000034846416)); #141484=CARTESIAN_POINT('Ctrl Pts',(-2.217017438458,1.79136021198892,-0.0327630348187179)); #141485=CARTESIAN_POINT('Ctrl Pts',(-2.22858159985659,1.78334935421521, -0.0301745240643728)); #141486=CARTESIAN_POINT('Ctrl Pts',(-2.2280945861759,1.78589709447158,-0.0302191020399285)); #141487=CARTESIAN_POINT('Ctrl Pts',(-2.2250343694813,1.79056881091752,-0.0302707361791321)); #141488=CARTESIAN_POINT('Ctrl Pts',(-2.219579612613,1.79176690289928,-0.0302191020716988)); #141489=CARTESIAN_POINT('Ctrl Pts',(-2.21702528433819,1.79131571579121, -0.0301745240643728)); #141490=CARTESIAN_POINT('',(-2.21702528433819,1.79131571579121,-0.0301745240643753)); #141491=CARTESIAN_POINT('Origin',(-2.21528906703611,1.80116229341142,-0.03)); #141492=CARTESIAN_POINT('Ctrl Pts',(-2.21702528433819,1.79131571579121, -0.0301745240643728)); #141493=CARTESIAN_POINT('Ctrl Pts',(-2.219579612613,1.79176690289928,-0.0302191020716988)); #141494=CARTESIAN_POINT('Ctrl Pts',(-2.2250343694813,1.79056881091752,-0.0302707361791321)); #141495=CARTESIAN_POINT('Ctrl Pts',(-2.2280945861759,1.78589709447158,-0.0302191020399285)); #141496=CARTESIAN_POINT('Ctrl Pts',(-2.22858159985659,1.78334935421521, -0.0301745240643728)); #141497=CARTESIAN_POINT('Origin',(-0.929291523758535,1.57440622940678,-0.03)); #141498=CARTESIAN_POINT('',(-1.78041027940366,1.71432871023909,-0.0301745240646736)); #141499=CARTESIAN_POINT('Origin',(-1.77867406210158,1.7241752878593,-0.03)); #141500=CARTESIAN_POINT('',(-0.931027741060619,1.56455965178657,-0.0301745240643729)); #141501=CARTESIAN_POINT('Ctrl Pts',(-1.77867406210151,1.72417528785929, -0.04)); #141502=CARTESIAN_POINT('Ctrl Pts',(-1.77378421970558,1.72331307671342, -0.04)); #141503=CARTESIAN_POINT('Ctrl Pts',(-1.76461415275505,1.71802377640931, -0.04)); #141504=CARTESIAN_POINT('Ctrl Pts',(-1.76134307999819,1.70789904512052, -0.04)); #141505=CARTESIAN_POINT('Ctrl Pts',(-1.76170798453326,1.70294719582986, -0.04)); #141506=CARTESIAN_POINT('Ctrl Pts',(-1.77912362074686,1.72162571408723, -0.04)); #141507=CARTESIAN_POINT('Ctrl Pts',(-1.77480925709068,1.72096893048724, -0.0399967982364877)); #141508=CARTESIAN_POINT('Ctrl Pts',(-1.76659976095257,1.71645207430914, -0.0399969052965092)); #141509=CARTESIAN_POINT('Ctrl Pts',(-1.76363084627694,1.70749312805814, -0.0400000364880234)); #141510=CARTESIAN_POINT('Ctrl Pts',(-1.76395185209002,1.70311254768116, -0.04)); #141511=CARTESIAN_POINT('Ctrl Pts',(-1.78001818971655,1.71655236135331, -0.0378768128549753)); #141512=CARTESIAN_POINT('Ctrl Pts',(-1.77684676495815,1.71629643074546, -0.0380525419364148)); #141513=CARTESIAN_POINT('Ctrl Pts',(-1.77055539967602,1.71331529377476, -0.0383238142570038)); #141514=CARTESIAN_POINT('Ctrl Pts',(-1.76819324790506,1.7066854387258,-0.0384145878133794)); #141515=CARTESIAN_POINT('Ctrl Pts',(-1.76842691301181,1.70344231741549, -0.0384172122037289)); #141516=CARTESIAN_POINT('Ctrl Pts',(-1.7804024335235,1.71437320643681,-0.0327630348187411)); #141517=CARTESIAN_POINT('Ctrl Pts',(-1.77776491487702,1.71408074244132, -0.0332680930445255)); #141518=CARTESIAN_POINT('Ctrl Pts',(-1.77265226997659,1.71155380273745, -0.0340890487628324)); #141519=CARTESIAN_POINT('Ctrl Pts',(-1.77076015220531,1.70622671660549, -0.0343774727334404)); #141520=CARTESIAN_POINT('Ctrl Pts',(-1.7709472845419,1.70362804499874,-0.034385665583072)); #141521=CARTESIAN_POINT('Ctrl Pts',(-1.7804102794037,1.7143287102391,-0.0301745240644008)); #141522=CARTESIAN_POINT('Ctrl Pts',(-1.77780622041267,1.71388033877521, -0.0307835395299729)); #141523=CARTESIAN_POINT('Ctrl Pts',(-1.77297523918568,1.71121048767143, -0.0318084733426811)); #141524=CARTESIAN_POINT('Ctrl Pts',(-1.77125865801437,1.70614041454272, -0.0321798778779602)); #141525=CARTESIAN_POINT('Ctrl Pts',(-1.77143876993338,1.70366426283126, -0.032190349381335)); #141526=CARTESIAN_POINT('',(-1.77143876993338,1.70366426283126,-0.0321903493813351)); #141527=CARTESIAN_POINT('Ctrl Pts',(-1.77147131558452,1.70364947891477, -0.0321935514743929)); #141528=CARTESIAN_POINT('Ctrl Pts',(-1.7710336367277,1.70515355194157,-0.0336240971258636)); #141529=CARTESIAN_POINT('Ctrl Pts',(-1.77064250426959,1.70670525580916, -0.0349593863200109)); #141530=CARTESIAN_POINT('Ctrl Pts',(-1.77028972461688,1.70841607260397, -0.0361349930443257)); #141531=CARTESIAN_POINT('Ctrl Pts',(-1.76994644065003,1.71008083975078, -0.0372789562425698)); #141532=CARTESIAN_POINT('Ctrl Pts',(-1.76964731350812,1.71185969823087, -0.0382441718195955)); #141533=CARTESIAN_POINT('Ctrl Pts',(-1.76939284833218,1.71376572267571, -0.0389268802948169)); #141534=CARTESIAN_POINT('Ctrl Pts',(-1.76914418468049,1.71562829187364, -0.0395940237727264)); #141535=CARTESIAN_POINT('Ctrl Pts',(-1.7689416556887,1.71758113142872,-0.0399782656293038)); #141536=CARTESIAN_POINT('Ctrl Pts',(-1.76879631588228,1.71956160179729, -0.039977730231976)); #141537=CARTESIAN_POINT('Ctrl Pts',(-1.77143876993338,1.70366426283126, -0.032190349381335)); #141538=CARTESIAN_POINT('Ctrl Pts',(-1.77125865801437,1.70614041454272, -0.0321798778779602)); #141539=CARTESIAN_POINT('Ctrl Pts',(-1.77297523918568,1.71121048767143, -0.0318084733426811)); #141540=CARTESIAN_POINT('Ctrl Pts',(-1.77780622041267,1.71388033877521, -0.0307835395299729)); #141541=CARTESIAN_POINT('Ctrl Pts',(-1.7804102794037,1.7143287102391,-0.0301745240644008)); #141542=CARTESIAN_POINT('Ctrl Pts',(-2.03871780259436,0.782299520883239, -0.04)); #141543=CARTESIAN_POINT('Ctrl Pts',(-2.02865256233246,0.793969352932235, -0.04)); #141544=CARTESIAN_POINT('Ctrl Pts',(-2.01777669139747,0.804850259885145, -0.04)); #141545=CARTESIAN_POINT('Ctrl Pts',(-2.00608113192929,0.814940187263284, -0.04)); #141546=CARTESIAN_POINT('Ctrl Pts',(-2.03191567207439,0.776432677411212, -0.04)); #141547=CARTESIAN_POINT('Ctrl Pts',(-2.02213987254404,0.787766926340734, -0.04)); #141548=CARTESIAN_POINT('Ctrl Pts',(-2.01157269228489,0.798338998296904, -0.04)); #141549=CARTESIAN_POINT('Ctrl Pts',(-2.00021345576583,0.808138775029291, -0.04)); #141550=CARTESIAN_POINT('Ctrl Pts',(-2.02014231835069,0.766278149615115, -0.0324511680131003)); #141551=CARTESIAN_POINT('Ctrl Pts',(-2.01086366502537,0.777035997727029, -0.0324511680131003)); #141552=CARTESIAN_POINT('Ctrl Pts',(-2.00083905168261,0.787065253366996, -0.0324511680131003)); #141553=CARTESIAN_POINT('Ctrl Pts',(-1.9900574867197,0.796366664538855, -0.0324511680131003)); #141554=CARTESIAN_POINT('Ctrl Pts',(-2.0159943754336,0.762700545252183, -0.0167017520281654)); #141555=CARTESIAN_POINT('Ctrl Pts',(-2.006892651498,0.773253258046019,-0.0167017520281654)); #141556=CARTESIAN_POINT('Ctrl Pts',(-1.99705535198269,0.783095111807311, -0.0167017520281654)); #141557=CARTESIAN_POINT('Ctrl Pts',(-1.98647937458108,0.792219159632946, -0.0167017520281654)); #141558=CARTESIAN_POINT('Ctrl Pts',(-2.01600037244784,0.762705717682167, -0.00996473449473333)); #141559=CARTESIAN_POINT('Ctrl Pts',(-2.00689760978528,0.773259634795907, -0.00996473449473333)); #141560=CARTESIAN_POINT('Ctrl Pts',(-1.99706173212177,0.783100066913628, -0.00996473449473333)); #141561=CARTESIAN_POINT('Ctrl Pts',(-1.98648454774519,0.79222515601392, -0.00996473449473333)); #141562=CARTESIAN_POINT('',(-2.00560839202752,0.774111957172222,-0.00996473449473333)); #141563=CARTESIAN_POINT('Ctrl Pts',(-1.98648454774519,0.79222515601392, -0.00996473449473333)); #141564=CARTESIAN_POINT('Ctrl Pts',(-1.99315338994433,0.786471849926364, -0.00996473449473333)); #141565=CARTESIAN_POINT('Ctrl Pts',(-1.9995275467123,0.780434179537482, -0.00996473449473333)); #141566=CARTESIAN_POINT('Ctrl Pts',(-2.00560907117593,0.774112610531805, -0.00996473449473333)); #141567=CARTESIAN_POINT('Ctrl Pts',(-2.03871780259423,0.782299520883447, -0.04)); #141568=CARTESIAN_POINT('Ctrl Pts',(-2.02977337672915,0.780299417183502, -0.0400000000000098)); #141569=CARTESIAN_POINT('Ctrl Pts',(-2.01740198764518,0.777345723629555, -0.0356497326883018)); #141570=CARTESIAN_POINT('Ctrl Pts',(-2.00724819489773,0.77467479097857, -0.0216155164027475)); #141571=CARTESIAN_POINT('Ctrl Pts',(-2.00556259401499,0.774152970673983, -0.0138500165163953)); #141572=CARTESIAN_POINT('Ctrl Pts',(-2.00560839202752,0.774111957172221, -0.00996473449473333)); #141573=CARTESIAN_POINT('Origin',(-1.80114719033798,0.577394661799789,-0.00995297932631109)); #141574=CARTESIAN_POINT('',(-2.00391708701344,0.760296327304268,2.54624618639813E-5)); #141575=CARTESIAN_POINT('Origin',(-1.80114719033798,0.577394661799789,2.54624586544956E-5)); #141576=CARTESIAN_POINT('',(-2.00554595660069,0.7741064725085,-0.00896626646345445)); #141577=CARTESIAN_POINT('Ctrl Pts',(-2.00554595660069,0.7741064725085,-0.00896626646345446)); #141578=CARTESIAN_POINT('Ctrl Pts',(-2.00520450059434,0.774154641149255, -0.00682188684043165)); #141579=CARTESIAN_POINT('Ctrl Pts',(-2.00447856400508,0.772818159909101, -0.00368252360831189)); #141580=CARTESIAN_POINT('Ctrl Pts',(-2.00378814627162,0.767530806841849, -0.000471193145480481)); #141581=CARTESIAN_POINT('Ctrl Pts',(-2.00384826175943,0.76322272699402, 0.000141220923823119)); #141582=CARTESIAN_POINT('Ctrl Pts',(-2.00391601598788,0.76034233044763, 2.74096948889878E-5)); #141583=CARTESIAN_POINT('Ctrl Pts',(-2.00391654930941,0.7603193246821,2.64492786402159E-5)); #141584=CARTESIAN_POINT('Ctrl Pts',(-2.00391708692656,0.760296327327759, 2.54624586558055E-5)); #141585=CARTESIAN_POINT('Ctrl Pts',(-2.00560839202752,0.774111957172221, -0.00996473449473333)); #141586=CARTESIAN_POINT('Ctrl Pts',(-2.00561961840624,0.774100854195175, -0.00963129572387522)); #141587=CARTESIAN_POINT('Ctrl Pts',(-2.00559869587182,0.774099032665716, -0.00929747463154931)); #141588=CARTESIAN_POINT('Ctrl Pts',(-2.00554595660069,0.7741064725085,-0.00896626646345436)); #141589=CARTESIAN_POINT('Ctrl Pts',(-1.93192668317268,0.817114027870481, 2.54624586545038E-5)); #141590=CARTESIAN_POINT('Ctrl Pts',(-1.93966397744992,0.815640168167598, 0.000184078135719929)); #141591=CARTESIAN_POINT('Ctrl Pts',(-1.95015447007849,0.813537285913148, 0.00132977554281038)); #141592=CARTESIAN_POINT('Ctrl Pts',(-1.96048938529832,0.811464753183881, 0.006402302405988)); #141593=CARTESIAN_POINT('Ctrl Pts',(-1.96269340107721,0.811065023310003, 0.00982991485631684)); #141594=CARTESIAN_POINT('Ctrl Pts',(-1.96269595858748,0.811100919363323, 0.0116048928156924)); #141595=CARTESIAN_POINT('Ctrl Pts',(-1.93201978292386,0.814625005355702, -0.000115312184038912)); #141596=CARTESIAN_POINT('Ctrl Pts',(-1.93977800917482,0.813467568272217, -0.000384154536709978)); #141597=CARTESIAN_POINT('Ctrl Pts',(-1.95048984231823,0.811983508749555, 0.000387438682182048)); #141598=CARTESIAN_POINT('Ctrl Pts',(-1.9612521831929,0.810677864038701, 0.00578380304406803)); #141599=CARTESIAN_POINT('Ctrl Pts',(-1.96356865839434,0.810456612921938, 0.00962079338739145)); #141600=CARTESIAN_POINT('Ctrl Pts',(-1.96357294227359,0.810494837699859, 0.0116110546018407)); #141601=CARTESIAN_POINT('Ctrl Pts',(-1.93226690815203,0.80978120933875, -0.00235501050430161)); #141602=CARTESIAN_POINT('Ctrl Pts',(-1.94025193466289,0.809566359720595, -0.00294026038032642)); #141603=CARTESIAN_POINT('Ctrl Pts',(-1.95150369210733,0.809415012743338, -0.0022207524543825)); #141604=CARTESIAN_POINT('Ctrl Pts',(-1.96301325178886,0.809446731107128, 0.00438629495290926)); #141605=CARTESIAN_POINT('Ctrl Pts',(-1.96551359699304,0.809521504599284, 0.00914466202821147)); #141606=CARTESIAN_POINT('Ctrl Pts',(-1.96552233052847,0.809565872288889, 0.0116173706426249)); #141607=CARTESIAN_POINT('Ctrl Pts',(-1.93250485326569,0.80773833107473, -0.00728549829145829)); #141608=CARTESIAN_POINT('Ctrl Pts',(-1.94098032615496,0.808042337794826, -0.00729521790222189)); #141609=CARTESIAN_POINT('Ctrl Pts',(-1.9528652981642,0.808476844802079, -0.00564736278627222)); #141610=CARTESIAN_POINT('Ctrl Pts',(-1.96500873407011,0.80905285594597, 0.00279535369911066)); #141611=CARTESIAN_POINT('Ctrl Pts',(-1.967650627376,0.809243131652744,0.00860165563767237)); #141612=CARTESIAN_POINT('Ctrl Pts',(-1.96766484328871,0.80929618659176, 0.0116110546018407)); #141613=CARTESIAN_POINT('Ctrl Pts',(-1.93258992812181,0.807697760704234, -0.00977845526193823)); #141614=CARTESIAN_POINT('Ctrl Pts',(-1.94132094426129,0.808007770390752, -0.00948554497804008)); #141615=CARTESIAN_POINT('Ctrl Pts',(-1.95353081869121,0.808471101162897, -0.00736982376446898)); #141616=CARTESIAN_POINT('Ctrl Pts',(-1.96599924264047,0.809070114027004, 0.00199744251754911)); #141617=CARTESIAN_POINT('Ctrl Pts',(-1.96871329145628,0.809275442630833, 0.00832710018124034)); #141618=CARTESIAN_POINT('Ctrl Pts',(-1.9687302348624,0.809333283265386, 0.0116048928156924)); #141619=CARTESIAN_POINT('',(-1.9687302348624,0.809333283265386,0.0116048928156924)); #141620=CARTESIAN_POINT('Ctrl Pts',(-1.96851618970541,0.809286907591703, 0.00937761066087343)); #141621=CARTESIAN_POINT('Ctrl Pts',(-1.96865228019174,0.809304458611444, 0.0101103973166865)); #141622=CARTESIAN_POINT('Ctrl Pts',(-1.9687263569315,0.809320044960005, 0.0108546863180336)); #141623=CARTESIAN_POINT('Ctrl Pts',(-1.9687302348624,0.809333283265386, 0.0116048928156924)); #141624=CARTESIAN_POINT('',(-1.96269595858748,0.811100919363323,0.0116048928156924)); #141625=CARTESIAN_POINT('Origin',(-1.968381293049,0.819325669414941,0.0114303687513196)); #141626=CARTESIAN_POINT('Ctrl Pts',(-1.96269595858748,0.811100919363323, 0.0116048928156924)); #141627=CARTESIAN_POINT('Ctrl Pts',(-1.96269340107721,0.811065023310003, 0.00982991485631684)); #141628=CARTESIAN_POINT('Ctrl Pts',(-1.96048938529832,0.811464753183881, 0.006402302405988)); #141629=CARTESIAN_POINT('Ctrl Pts',(-1.95015447007849,0.813537285913148, 0.00132977554281038)); #141630=CARTESIAN_POINT('Ctrl Pts',(-1.93966397744992,0.815640168167598, 0.000184078135719929)); #141631=CARTESIAN_POINT('Ctrl Pts',(-1.93192668317268,0.817114027870481, 2.54624586545038E-5)); #141632=CARTESIAN_POINT('Ctrl Pts',(1.76170798453325,1.70294719582986,-0.04)); #141633=CARTESIAN_POINT('Ctrl Pts',(1.76134307999819,1.70789904512056,-0.04)); #141634=CARTESIAN_POINT('Ctrl Pts',(1.76461415275511,1.71802377640942,-0.04)); #141635=CARTESIAN_POINT('Ctrl Pts',(1.77378421970574,1.72331307671351,-0.04)); #141636=CARTESIAN_POINT('Ctrl Pts',(1.77867406210173,1.72417528785933,-0.04)); #141637=CARTESIAN_POINT('Ctrl Pts',(1.76395185209002,1.70311254768116,-0.04)); #141638=CARTESIAN_POINT('Ctrl Pts',(1.76363084627693,1.70749312805817,-0.0400000364880234)); #141639=CARTESIAN_POINT('Ctrl Pts',(1.76659976095263,1.71645207430924,-0.0399969052965091)); #141640=CARTESIAN_POINT('Ctrl Pts',(1.77480925709083,1.72096893048731,-0.0399967982364876)); #141641=CARTESIAN_POINT('Ctrl Pts',(1.77912362074705,1.72162571408726,-0.04)); #141642=CARTESIAN_POINT('Ctrl Pts',(1.7684269130118,1.70344231741549,-0.0384172122037289)); #141643=CARTESIAN_POINT('Ctrl Pts',(1.76819324790505,1.70668543872583,-0.0384145878133793)); #141644=CARTESIAN_POINT('Ctrl Pts',(1.77055539967605,1.71331529377483,-0.0383238142570023)); #141645=CARTESIAN_POINT('Ctrl Pts',(1.77684676495826,1.7162964307455,-0.0380525419364095)); #141646=CARTESIAN_POINT('Ctrl Pts',(1.78001818971669,1.71655236135332,-0.0378768128549672)); #141647=CARTESIAN_POINT('Ctrl Pts',(1.77094728454189,1.70362804499874,-0.0343856655830719)); #141648=CARTESIAN_POINT('Ctrl Pts',(1.7707601522053,1.70622671660551,-0.0343774727334403)); #141649=CARTESIAN_POINT('Ctrl Pts',(1.77265226997662,1.7115538027375,-0.0340890487628274)); #141650=CARTESIAN_POINT('Ctrl Pts',(1.7777649148771,1.71408074244136,-0.0332680930445096)); #141651=CARTESIAN_POINT('Ctrl Pts',(1.78040243352361,1.71437320643683,-0.0327630348187179)); #141652=CARTESIAN_POINT('Ctrl Pts',(1.77143876993337,1.70366426283126,-0.032190349381335)); #141653=CARTESIAN_POINT('Ctrl Pts',(1.77125865801437,1.70614041454274,-0.0321798778779601)); #141654=CARTESIAN_POINT('Ctrl Pts',(1.7729752391857,1.71121048767149,-0.0318084733426747)); #141655=CARTESIAN_POINT('Ctrl Pts',(1.77780622041275,1.71388033877525,-0.0307835395299532)); #141656=CARTESIAN_POINT('Ctrl Pts',(1.78041027940381,1.71432871023911,-0.0301745240643728)); #141657=CARTESIAN_POINT('',(1.77143876993337,1.70366426283126,-0.032190349381335)); #141658=CARTESIAN_POINT('Ctrl Pts',(1.76879572420917,1.71956101196867,-0.0399685778963271)); #141659=CARTESIAN_POINT('Ctrl Pts',(1.76894108175687,1.71758061907213,-0.0399687846191902)); #141660=CARTESIAN_POINT('Ctrl Pts',(1.76914356400401,1.71562784238036,-0.039584245427002)); #141661=CARTESIAN_POINT('Ctrl Pts',(1.76939213986502,1.71376535083883,-0.0389168217503234)); #141662=CARTESIAN_POINT('Ctrl Pts',(1.76964651520207,1.71185940586217,-0.0382338265390673)); #141663=CARTESIAN_POINT('Ctrl Pts',(1.76994551180076,1.71008064080762,-0.0372683473163011)); #141664=CARTESIAN_POINT('Ctrl Pts',(1.77028865242021,1.70841599525168,-0.0361241312060231)); #141665=CARTESIAN_POINT('Ctrl Pts',(1.77064128473658,1.70670530352631,-0.0349482646529912)); #141666=CARTESIAN_POINT('Ctrl Pts',(1.7710322592778,1.70515375286561,-0.0336127362769521)); #141667=CARTESIAN_POINT('Ctrl Pts',(1.77146979333492,1.70364986194189,-0.0321819433884643)); #141668=CARTESIAN_POINT('',(1.78041027940381,1.71432871023911,-0.0301745240643729)); #141669=CARTESIAN_POINT('Origin',(1.77867406210173,1.72417528785933,-0.03)); #141670=CARTESIAN_POINT('Ctrl Pts',(1.78041027940381,1.71432871023911,-0.0301745240643728)); #141671=CARTESIAN_POINT('Ctrl Pts',(1.77780622041275,1.71388033877525,-0.0307835395299532)); #141672=CARTESIAN_POINT('Ctrl Pts',(1.7729752391857,1.71121048767149,-0.0318084733426747)); #141673=CARTESIAN_POINT('Ctrl Pts',(1.77125865801437,1.70614041454274,-0.0321798778779601)); #141674=CARTESIAN_POINT('Ctrl Pts',(1.77143876993337,1.70366426283126,-0.032190349381335)); #141675=CARTESIAN_POINT('Origin',(0.929291523758534,1.57440622940678,-0.03)); #141676=CARTESIAN_POINT('',(2.21702528433818,1.7913157157912,-0.0301745240643729)); #141677=CARTESIAN_POINT('Origin',(2.2152890670361,1.80116229341142,-0.03)); #141678=CARTESIAN_POINT('',(0.931027741060618,1.56455965178657,-0.0301745240643729)); #141679=CARTESIAN_POINT('Ctrl Pts',(2.2152890670361,1.80116229341142,-0.04)); #141680=CARTESIAN_POINT('Ctrl Pts',(2.22039648351958,1.80206286873917,-0.04)); #141681=CARTESIAN_POINT('Ctrl Pts',(2.23130457107535,1.79966459413665,-0.04)); #141682=CARTESIAN_POINT('Ctrl Pts',(2.23742643064626,1.79032325188505,-0.04)); #141683=CARTESIAN_POINT('Ctrl Pts',(2.23840169807289,1.78522957025943,-0.04)); #141684=CARTESIAN_POINT('Ctrl Pts',(2.21573862568142,1.79861271963935,-0.04)); #141685=CARTESIAN_POINT('Ctrl Pts',(2.2201826777992,1.79940393511743,-0.0399997656410299)); #141686=CARTESIAN_POINT('Ctrl Pts',(2.22969014214321,1.79732264181554,-0.039999500445025)); #141687=CARTESIAN_POINT('Ctrl Pts',(2.23501749483739,1.78917753412425,-0.0399997656411969)); #141688=CARTESIAN_POINT('Ctrl Pts',(2.23585898061134,1.78474272602816,-0.04)); #141689=CARTESIAN_POINT('Ctrl Pts',(2.21663314628526,1.79353964120154,-0.037876529423229)); #141690=CARTESIAN_POINT('Ctrl Pts',(2.21976116477536,1.79411339418598,-0.0378893922366101)); #141691=CARTESIAN_POINT('Ctrl Pts',(2.22648037974391,1.79266643777983,-0.0379041844402656)); #141692=CARTESIAN_POINT('Ctrl Pts',(2.23022299247131,1.78690151924202,-0.0378893922274429)); #141693=CARTESIAN_POINT('Ctrl Pts',(2.23079954468956,1.78377401549337,-0.037876529423229)); #141694=CARTESIAN_POINT('Ctrl Pts',(2.21701743845799,1.79136021198892,-0.0327630348187179)); #141695=CARTESIAN_POINT('Ctrl Pts',(2.21957620171934,1.79182402488838,-0.0328000034846416)); #141696=CARTESIAN_POINT('Ctrl Pts',(2.22507431957186,1.79062675316357,-0.0328426952466201)); #141697=CARTESIAN_POINT('Ctrl Pts',(2.22814918484634,1.7859142274828,-0.0328000034582944)); #141698=CARTESIAN_POINT('Ctrl Pts',(2.22862597639517,1.78335785081861,-0.0327630348187179)); #141699=CARTESIAN_POINT('Ctrl Pts',(2.21702528433818,1.7913157157912,-0.0301745240643729)); #141700=CARTESIAN_POINT('Ctrl Pts',(2.21957961261299,1.79176690289928,-0.0302191020716988)); #141701=CARTESIAN_POINT('Ctrl Pts',(2.22503437456651,1.79056880741211,-0.0302707361791338)); #141702=CARTESIAN_POINT('Ctrl Pts',(2.22809458617589,1.78589709447158,-0.0302191020399285)); #141703=CARTESIAN_POINT('Ctrl Pts',(2.22858159985658,1.78334935421521,-0.0301745240643729)); #141704=CARTESIAN_POINT('',(2.22858159985658,1.78334935421521,-0.0301745240643742)); #141705=CARTESIAN_POINT('Origin',(2.23840169807289,1.78522957025943,-0.03)); #141706=CARTESIAN_POINT('Ctrl Pts',(2.22858159985658,1.78334935421521,-0.0301745240643729)); #141707=CARTESIAN_POINT('Ctrl Pts',(2.22809458617589,1.78589709447158,-0.0302191020399285)); #141708=CARTESIAN_POINT('Ctrl Pts',(2.22503437456651,1.79056880741211,-0.0302707361791338)); #141709=CARTESIAN_POINT('Ctrl Pts',(2.21957961261299,1.79176690289928,-0.0302191020716988)); #141710=CARTESIAN_POINT('Ctrl Pts',(2.21702528433818,1.7913157157912,-0.0301745240643729)); #141711=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.03)); #141712=CARTESIAN_POINT('',(2.35426529935894,0.832458837163841,-0.0301745240643729)); #141713=CARTESIAN_POINT('Origin',(2.36423667152846,0.833194553418952,-0.03)); #141714=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.0301745240643729)); #141715=CARTESIAN_POINT('Ctrl Pts',(2.36423667152846,0.833194553418952, -0.04)); #141716=CARTESIAN_POINT('Ctrl Pts',(2.36463138486006,0.827844891096914, -0.04)); #141717=CARTESIAN_POINT('Ctrl Pts',(2.36085924807218,0.816864681603468, -0.04)); #141718=CARTESIAN_POINT('Ctrl Pts',(2.35035274718315,0.81192555663275,-0.04)); #141719=CARTESIAN_POINT('Ctrl Pts',(2.34499181081623,0.811738348609621, -0.04)); #141720=CARTESIAN_POINT('Ctrl Pts',(2.36165478471098,0.83300405445169,-0.04)); #141721=CARTESIAN_POINT('Ctrl Pts',(2.36200648737807,0.828348435515004, -0.0399997443109472)); #141722=CARTESIAN_POINT('Ctrl Pts',(2.35872837153583,0.818775993737673, -0.0399994529527655)); #141723=CARTESIAN_POINT('Ctrl Pts',(2.34956777784318,0.814480447408015, -0.0399997443107444)); #141724=CARTESIAN_POINT('Ctrl Pts',(2.34490145933273,0.814325676575735, -0.04)); #141725=CARTESIAN_POINT('Ctrl Pts',(2.3565169377356,0.832624969459996,-0.0378770119007407)); #141726=CARTESIAN_POINT('Ctrl Pts',(2.35678276368796,0.829346298190046, -0.0378910454176482)); #141727=CARTESIAN_POINT('Ctrl Pts',(2.35449174794237,0.822576077675321, -0.0379073382233358)); #141728=CARTESIAN_POINT('Ctrl Pts',(2.34800983565264,0.819565310740612, -0.0378910454287765)); #141729=CARTESIAN_POINT('Ctrl Pts',(2.34472166365265,0.819474351209728, -0.0378770119007406)); #141730=CARTESIAN_POINT('Ctrl Pts',(2.35431035949706,0.832462161829243, -0.0327630348187179)); #141731=CARTESIAN_POINT('Ctrl Pts',(2.35452181791905,0.829780682839872, -0.0328033681721545)); #141732=CARTESIAN_POINT('Ctrl Pts',(2.35263301734489,0.824243286135695, -0.0328504084597613)); #141733=CARTESIAN_POINT('Ctrl Pts',(2.34733305055974,0.821765885158345, -0.0328033682041383)); #141734=CARTESIAN_POINT('Ctrl Pts',(2.34464444585365,0.821685579659924, -0.0327630348187179)); #141735=CARTESIAN_POINT('Ctrl Pts',(2.35426529935894,0.832458837163841, -0.0301745240643728)); #141736=CARTESIAN_POINT('Ctrl Pts',(2.35446356758998,0.829783188386372, -0.0302231593202051)); #141737=CARTESIAN_POINT('Ctrl Pts',(2.35257856582922,0.824292126936613, -0.0302800680285391)); #141738=CARTESIAN_POINT('Ctrl Pts',(2.34732424875225,0.821823521153641, -0.0302231593587722)); #141739=CARTESIAN_POINT('Ctrl Pts',(2.34464286900283,0.821730734759175, -0.0301745240643729)); #141740=CARTESIAN_POINT('',(2.34464286900283,0.821730734759175,-0.0301745240643712)); #141741=CARTESIAN_POINT('Origin',(2.34499181081623,0.811738348609621,-0.03)); #141742=CARTESIAN_POINT('Ctrl Pts',(2.34464286900283,0.821730734759175, -0.0301745240643729)); #141743=CARTESIAN_POINT('Ctrl Pts',(2.34732424875225,0.821823521153641, -0.0302231593587722)); #141744=CARTESIAN_POINT('Ctrl Pts',(2.35257856582922,0.824292126936613, -0.0302800680285391)); #141745=CARTESIAN_POINT('Ctrl Pts',(2.35446356758998,0.829783188386372, -0.0302231593202051)); #141746=CARTESIAN_POINT('Ctrl Pts',(2.35426529935894,0.832458837163841, -0.0301745240643728)); #141747=CARTESIAN_POINT('Origin',(0.928112273159169,0.762259824877527,-0.03)); #141748=CARTESIAN_POINT('',(2.02141483095369,0.810443362949192,-0.0301745240643729)); #141749=CARTESIAN_POINT('Origin',(2.02176377276709,0.800450976799637,-0.03)); #141750=CARTESIAN_POINT('',(0.927763331345767,0.772252211027082,-0.0301745240643729)); #141751=CARTESIAN_POINT('Ctrl Pts',(1.99266780065982,0.809789219246596, -0.0101510137275285)); #141752=CARTESIAN_POINT('Ctrl Pts',(1.99270024042503,0.809758392086458, -0.0119808844656357)); #141753=CARTESIAN_POINT('Ctrl Pts',(1.99353370862094,0.809724047791966, -0.0156136978462098)); #141754=CARTESIAN_POINT('Ctrl Pts',(1.99655547926456,0.809748068290482, -0.0202801032174689)); #141755=CARTESIAN_POINT('Ctrl Pts',(2.00203581575697,0.80985337532405,-0.0252080769792532)); #141756=CARTESIAN_POINT('Ctrl Pts',(2.01045209578679,0.81007887783354,-0.0291243498762024)); #141757=CARTESIAN_POINT('Ctrl Pts',(2.01775622619847,0.810315601657986, -0.0301745239434517)); #141758=CARTESIAN_POINT('Ctrl Pts',(2.02141483185157,0.810443362980547, -0.0301745240643728)); #141759=CARTESIAN_POINT('Ctrl Pts',(1.99038886064518,0.809708954895713, -0.0101900623638618)); #141760=CARTESIAN_POINT('Ctrl Pts',(1.99042899227727,0.809673004963831, -0.0123264670190909)); #141761=CARTESIAN_POINT('Ctrl Pts',(1.99144444218626,0.809634902784733, -0.0165445965790599)); #141762=CARTESIAN_POINT('Ctrl Pts',(1.99494046042593,0.809665527565908, -0.0217107313686664)); #141763=CARTESIAN_POINT('Ctrl Pts',(2.001008654237,0.809786090687956,-0.0269207846434907)); #141764=CARTESIAN_POINT('Ctrl Pts',(2.00998842423056,0.810031173327025, -0.0308242394577511)); #141765=CARTESIAN_POINT('Ctrl Pts',(2.01761391012906,0.810283604413081, -0.0317405871316944)); #141766=CARTESIAN_POINT('Ctrl Pts',(2.02141573286036,0.810417560064466, -0.0316536730965115)); #141767=CARTESIAN_POINT('Ctrl Pts',(1.98589634834219,0.807925422066669, -0.010239658649686)); #141768=CARTESIAN_POINT('Ctrl Pts',(1.98595176738325,0.807882692313361, -0.0129895798078105)); #141769=CARTESIAN_POINT('Ctrl Pts',(1.98732878812709,0.807887251613724, -0.0183798013165681)); #141770=CARTESIAN_POINT('Ctrl Pts',(1.99176839303492,0.808085879559285, -0.0245482162979782)); #141771=CARTESIAN_POINT('Ctrl Pts',(1.99900259161082,0.808464233873333, -0.0303257992051178)); #141772=CARTESIAN_POINT('Ctrl Pts',(2.00909789651221,0.80901796520753,-0.0342075684279182)); #141773=CARTESIAN_POINT('Ctrl Pts',(2.01735538570152,0.809471570772219, -0.0348586339439994)); #141774=CARTESIAN_POINT('Ctrl Pts',(2.0214408390673,0.809698609563608,-0.0345992362100118)); #141775=CARTESIAN_POINT('Ctrl Pts',(1.9823530347939,0.801592884762311,-0.0101957922276797)); #141776=CARTESIAN_POINT('Ctrl Pts',(1.98241487241293,0.801552289404261, -0.0134515810807134)); #141777=CARTESIAN_POINT('Ctrl Pts',(1.98399322818462,0.801707313547586, -0.0198269724959312)); #141778=CARTESIAN_POINT('Ctrl Pts',(1.98901691389492,0.802403927071969, -0.0270237328502927)); #141779=CARTESIAN_POINT('Ctrl Pts',(1.99708302026805,0.803568060056154, -0.0336345439481051)); #141780=CARTESIAN_POINT('Ctrl Pts',(2.00816018298896,0.805118597266303, -0.0379036368113732)); #141781=CARTESIAN_POINT('Ctrl Pts',(2.01712006525136,0.806275362532709, -0.0384927965214194)); #141782=CARTESIAN_POINT('Ctrl Pts',(2.02154102895423,0.806829543197879, -0.0381280999096887)); #141783=CARTESIAN_POINT('Ctrl Pts',(1.98340607374572,0.795644359464002, -0.0100769114380297)); #141784=CARTESIAN_POINT('Ctrl Pts',(1.98345460948803,0.795610517348347, -0.0132200510406003)); #141785=CARTESIAN_POINT('Ctrl Pts',(1.98480034567059,0.79583649773221,-0.0194098026139567)); #141786=CARTESIAN_POINT('Ctrl Pts',(1.98931322940228,0.796785230455119, -0.0267754191242923)); #141787=CARTESIAN_POINT('Ctrl Pts',(1.99692493747587,0.798423056632693, -0.0339487564010997)); #141788=CARTESIAN_POINT('Ctrl Pts',(2.00790041754967,0.800724635321479, -0.0390145303197287)); #141789=CARTESIAN_POINT('Ctrl Pts',(2.0170871896697,0.802531068125624,-0.0399967347759165)); #141790=CARTESIAN_POINT('Ctrl Pts',(2.02166050063511,0.803408320287864, -0.0397549248432748)); #141791=CARTESIAN_POINT('Ctrl Pts',(1.98562135185304,0.792969804292292, -0.00999258196107566)); #141792=CARTESIAN_POINT('Ctrl Pts',(1.98565654408923,0.79293856480183,-0.0128493147917042)); #141793=CARTESIAN_POINT('Ctrl Pts',(1.98673654272811,0.793133950802651, -0.0185080297119602)); #141794=CARTESIAN_POINT('Ctrl Pts',(1.99059848879959,0.794010221843629, -0.025624663734875)); #141795=CARTESIAN_POINT('Ctrl Pts',(1.99749926210526,0.795625388228939, -0.0329800140678585)); #141796=CARTESIAN_POINT('Ctrl Pts',(2.00800268215504,0.798080412709559, -0.0386518843376717)); #141797=CARTESIAN_POINT('Ctrl Pts',(2.01714264010837,0.800159135943981, -0.0400673448017921)); #141798=CARTESIAN_POINT('Ctrl Pts',(2.02173795863607,0.801190213084804, -0.0400000000230364)); #141799=CARTESIAN_POINT('Ctrl Pts',(1.98648454774519,0.79222515601392,-0.00996473449473356)); #141800=CARTESIAN_POINT('Ctrl Pts',(1.98651513270966,0.792194508563008, -0.0127095406018943)); #141801=CARTESIAN_POINT('Ctrl Pts',(1.98750015652334,0.792371187536343, -0.0181587606727555)); #141802=CARTESIAN_POINT('Ctrl Pts',(1.99112582693462,0.793197802765136, -0.0251583687296441)); #141803=CARTESIAN_POINT('Ctrl Pts',(1.99775802053663,0.794766435701776, -0.0325503293723207)); #141804=CARTESIAN_POINT('Ctrl Pts',(2.00806699005933,0.797229853564527, -0.0384247387177444)); #141805=CARTESIAN_POINT('Ctrl Pts',(2.01716863680956,0.799376327487193, -0.0399999998186184)); #141806=CARTESIAN_POINT('Ctrl Pts',(2.02176377334574,0.800450976508222, -0.0400000000000001)); #141807=CARTESIAN_POINT('',(1.9864845477452,0.792225156013915,-0.00996473449473343)); #141808=CARTESIAN_POINT('Ctrl Pts',(2.02176377307629,0.800450976445206, -0.0400000000000001)); #141809=CARTESIAN_POINT('Ctrl Pts',(2.01716863663166,0.799376327445238, -0.0399999997878283)); #141810=CARTESIAN_POINT('Ctrl Pts',(2.00806698997873,0.797229853545267, -0.038424738671816)); #141811=CARTESIAN_POINT('Ctrl Pts',(1.99775802053663,0.794766435701776, -0.0325503293723207)); #141812=CARTESIAN_POINT('Ctrl Pts',(1.99112582693462,0.793197802765136, -0.0251583687296441)); #141813=CARTESIAN_POINT('Ctrl Pts',(1.98750015652334,0.792371187536343, -0.0181587606727555)); #141814=CARTESIAN_POINT('Ctrl Pts',(1.98651513270966,0.792194508563008, -0.0127095406018943)); #141815=CARTESIAN_POINT('Ctrl Pts',(1.98648454774519,0.79222515601392,-0.00996473449473356)); #141816=CARTESIAN_POINT('',(1.99266780065982,0.809789219246596,-0.0101510137275285)); #141817=CARTESIAN_POINT('Origin',(1.99301674247323,0.799796833097041,-0.0099764896631557)); #141818=CARTESIAN_POINT('Ctrl Pts',(1.99266780065982,0.809789219246596, -0.0101510137275285)); #141819=CARTESIAN_POINT('Ctrl Pts',(1.99270024042503,0.809758392086458, -0.0119808844656357)); #141820=CARTESIAN_POINT('Ctrl Pts',(1.99353370862094,0.809724047791966, -0.0156136978462098)); #141821=CARTESIAN_POINT('Ctrl Pts',(1.99655547926456,0.809748068290482, -0.0202801032174689)); #141822=CARTESIAN_POINT('Ctrl Pts',(2.00203581575697,0.80985337532405,-0.0252080769792532)); #141823=CARTESIAN_POINT('Ctrl Pts',(2.01045209551139,0.810078877826161, -0.0291243497480531)); #141824=CARTESIAN_POINT('Ctrl Pts',(2.01775622560095,0.810315601638621, -0.0301745238575415)); #141825=CARTESIAN_POINT('Ctrl Pts',(2.02141483095369,0.810443362949192, -0.0301745240643728)); #141826=CARTESIAN_POINT('Ctrl Pts',(1.96852699892434,0.809287249853307, 0.0093755950171144)); #141827=CARTESIAN_POINT('Ctrl Pts',(1.97328557992863,0.80944154999934,0.00869579625596889)); #141828=CARTESIAN_POINT('Ctrl Pts',(1.98110306465694,0.809674475211279, 0.00640173648199878)); #141829=CARTESIAN_POINT('Ctrl Pts',(1.98878345005012,0.809835746894114, 0.000279264600048001)); #141830=CARTESIAN_POINT('Ctrl Pts',(1.9920068114899,0.809849821861557,-0.00535963155933071)); #141831=CARTESIAN_POINT('Ctrl Pts',(1.99263938915213,0.809816218396931, -0.00854836998968228)); #141832=CARTESIAN_POINT('Ctrl Pts',(1.99266780065982,0.809789219246596, -0.0101510137275287)); #141833=CARTESIAN_POINT('Ctrl Pts',(1.96829570173958,0.809250749761748, 0.00774823347655743)); #141834=CARTESIAN_POINT('Ctrl Pts',(1.97287381059432,0.809397831047638, 0.0069825661753499)); #141835=CARTESIAN_POINT('Ctrl Pts',(1.98030938885524,0.809612883628596, 0.00457936183088468)); #141836=CARTESIAN_POINT('Ctrl Pts',(1.98718384082116,0.809752740427394, -0.00124353683999898)); #141837=CARTESIAN_POINT('Ctrl Pts',(1.98986739137131,0.809761116372048, -0.00619235900265662)); #141838=CARTESIAN_POINT('Ctrl Pts',(1.99036693421482,0.809731462349823, -0.00885591443300012)); #141839=CARTESIAN_POINT('Ctrl Pts',(1.99038886064518,0.809708954895713, -0.0101900623638619)); #141840=CARTESIAN_POINT('Ctrl Pts',(1.96786225949556,0.808350237476718, 0.004509746836451)); #141841=CARTESIAN_POINT('Ctrl Pts',(1.9720833985488,0.808367523989977,0.00357420967213437)); #141842=CARTESIAN_POINT('Ctrl Pts',(1.97876905560724,0.808343189206392, 0.000954723181762223)); #141843=CARTESIAN_POINT('Ctrl Pts',(1.98404250938705,0.808149237419295, -0.00426486568021052)); #141844=CARTESIAN_POINT('Ctrl Pts',(1.98565138347985,0.807995004916781, -0.00783060859454258)); #141845=CARTESIAN_POINT('Ctrl Pts',(1.98588731946674,0.807941608480965, -0.00944288882250649)); #141846=CARTESIAN_POINT('Ctrl Pts',(1.98589634858049,0.807925436793671, -0.0102396588935619)); #141847=CARTESIAN_POINT('Ctrl Pts',(1.96745332861514,0.80484223549399,0.000850993570025419)); #141848=CARTESIAN_POINT('Ctrl Pts',(1.97129904224479,0.804413635600928, -0.00011966663003123)); #141849=CARTESIAN_POINT('Ctrl Pts',(1.97724236999763,0.803586417141932, -0.00263280476061701)); #141850=CARTESIAN_POINT('Ctrl Pts',(1.98133647575689,0.802395057960383, -0.00686421749694467)); #141851=CARTESIAN_POINT('Ctrl Pts',(1.98226863478963,0.801759619077957, -0.0091041855258902)); #141852=CARTESIAN_POINT('Ctrl Pts',(1.98234991289851,0.801609744037342, -0.00984208399181353)); #141853=CARTESIAN_POINT('Ctrl Pts',(1.98235303559649,0.801592893158369, -0.0101957923548967)); #141854=CARTESIAN_POINT('Ctrl Pts',(1.96739549283497,0.800816563258362, -0.000467599681326148)); #141855=CARTESIAN_POINT('Ctrl Pts',(1.97114692984699,0.799986499711182, -0.00118193458547967)); #141856=CARTESIAN_POINT('Ctrl Pts',(1.97701429889266,0.798513079081583, -0.00308147784748676)); #141857=CARTESIAN_POINT('Ctrl Pts',(1.98166176230207,0.796732966375762, -0.00653080689567265)); #141858=CARTESIAN_POINT('Ctrl Pts',(1.98315337810914,0.795864444541903, -0.00870466974251439)); #141859=CARTESIAN_POINT('Ctrl Pts',(1.98339256358972,0.795666589049742, -0.00962450276258097)); #141860=CARTESIAN_POINT('Ctrl Pts',(1.98340607471539,0.795644365049892, -0.0100769115149427)); #141861=CARTESIAN_POINT('Ctrl Pts',(1.96749390831066,0.798336360096878, -0.000341647255376741)); #141862=CARTESIAN_POINT('Ctrl Pts',(1.97129827231988,0.797352140915858, -0.000781979349090988)); #141863=CARTESIAN_POINT('Ctrl Pts',(1.97737850835155,0.795697783754998, -0.00212357482686679)); #141864=CARTESIAN_POINT('Ctrl Pts',(1.98295712483491,0.793961993545305, -0.0052603341857997)); #141865=CARTESIAN_POINT('Ctrl Pts',(1.985171841654,0.793175372458138,-0.00788519539486251)); #141866=CARTESIAN_POINT('Ctrl Pts',(1.98559707664004,0.792994556444051, -0.00928929886867598)); #141867=CARTESIAN_POINT('Ctrl Pts',(1.98562135185304,0.792969804292292, -0.00999258196107555)); #141868=CARTESIAN_POINT('Ctrl Pts',(1.96754016176936,0.797527667429548, -0.0002014301224119)); #141869=CARTESIAN_POINT('Ctrl Pts',(1.97137298685064,0.796506127476458, -0.000541329502983096)); #141870=CARTESIAN_POINT('Ctrl Pts',(1.97755397458601,0.794825913609606, -0.0016883593899696)); #141871=CARTESIAN_POINT('Ctrl Pts',(1.98348612725864,0.793154118480333, -0.00474959533094482)); #141872=CARTESIAN_POINT('Ctrl Pts',(1.98596377695923,0.792419783812819, -0.00756904341063431)); #141873=CARTESIAN_POINT('Ctrl Pts',(1.98645653009097,0.792250571314202, -0.00916341262581026)); #141874=CARTESIAN_POINT('Ctrl Pts',(1.98648454774519,0.79222515601392,-0.00996473449473348)); #141875=CARTESIAN_POINT('',(1.96754016176936,0.797527667429548,-0.000201430122411929)); #141876=CARTESIAN_POINT('Ctrl Pts',(1.98648454774519,0.79222515601392,-0.00996473449473348)); #141877=CARTESIAN_POINT('Ctrl Pts',(1.98645653009097,0.792250571314202, -0.00916341262581026)); #141878=CARTESIAN_POINT('Ctrl Pts',(1.98596377695923,0.792419783812819, -0.00756904341063431)); #141879=CARTESIAN_POINT('Ctrl Pts',(1.98348612725864,0.793154118480333, -0.00474959533094482)); #141880=CARTESIAN_POINT('Ctrl Pts',(1.97755397458601,0.794825913609606, -0.0016883593899696)); #141881=CARTESIAN_POINT('Ctrl Pts',(1.97137298685064,0.796506127476458, -0.000541329502983096)); #141882=CARTESIAN_POINT('Ctrl Pts',(1.96754016176936,0.797527667429548, -0.0002014301224119)); #141883=CARTESIAN_POINT('',(1.96852699892434,0.809287249853307,0.00937559501711385)); #141884=CARTESIAN_POINT('Origin',(1.96887594073774,0.799294863703752,0.00955011908148723)); #141885=CARTESIAN_POINT('Ctrl Pts',(1.96852699892434,0.809287249853307, 0.0093755950171144)); #141886=CARTESIAN_POINT('Ctrl Pts',(1.97328557992863,0.80944154999934,0.00869579625596889)); #141887=CARTESIAN_POINT('Ctrl Pts',(1.98110306465694,0.809674475211279, 0.00640173648199878)); #141888=CARTESIAN_POINT('Ctrl Pts',(1.98878345005012,0.809835746894114, 0.000279264600048001)); #141889=CARTESIAN_POINT('Ctrl Pts',(1.9920068114899,0.809849821861557,-0.00535963155933071)); #141890=CARTESIAN_POINT('Ctrl Pts',(1.99263938915213,0.809816218396931, -0.00854836998968228)); #141891=CARTESIAN_POINT('Ctrl Pts',(1.99266780065982,0.809789219246596, -0.0101510137275287)); #141892=CARTESIAN_POINT('Ctrl Pts',(1.96754016176936,0.797527667429548, -0.000201430122411973)); #141893=CARTESIAN_POINT('Ctrl Pts',(1.9653466687548,0.798112286008188,-6.90861383098917E-6)); #141894=CARTESIAN_POINT('Ctrl Pts',(1.96172159727036,0.799620106901398, 4.47291420520955E-5)); #141895=CARTESIAN_POINT('Ctrl Pts',(1.95701324904862,0.802369356747315, 4.80826807081079E-5)); #141896=CARTESIAN_POINT('Ctrl Pts',(1.95235035632637,0.805277611219081, 4.3967542039255E-5)); #141897=CARTESIAN_POINT('Ctrl Pts',(1.94649682745259,0.808899424892056, 3.86832518355417E-5)); #141898=CARTESIAN_POINT('Ctrl Pts',(1.93935932493211,0.813078952062626, 3.21701062488816E-5)); #141899=CARTESIAN_POINT('Ctrl Pts',(1.93452676708985,0.815734509221543, 2.78377700626537E-5)); #141900=CARTESIAN_POINT('Ctrl Pts',(1.93203577383087,0.817056211176106, 2.55616914707598E-5)); #141901=CARTESIAN_POINT('Ctrl Pts',(1.93198123258536,0.817085128972703, 2.55121505662033E-5)); #141902=CARTESIAN_POINT('Ctrl Pts',(1.93192668317269,0.817114027870481, 2.54624586545134E-5)); #141903=CARTESIAN_POINT('Ctrl Pts',(1.96737827466391,0.800358091765203, -0.000692190087789104)); #141904=CARTESIAN_POINT('Ctrl Pts',(1.9656423813582,0.80087465490228,-0.000345857044403855)); #141905=CARTESIAN_POINT('Ctrl Pts',(1.96259272411271,0.80209178604719,-4.41804190313154E-5)); #141906=CARTESIAN_POINT('Ctrl Pts',(1.95802214144011,0.804175035660026, 7.50607073393358E-5)); #141907=CARTESIAN_POINT('Ctrl Pts',(1.95309251435115,0.806524204799274, 0.000106118946837347)); #141908=CARTESIAN_POINT('Ctrl Pts',(1.94696438430697,0.809704994076581, 8.9561143154574E-5)); #141909=CARTESIAN_POINT('Ctrl Pts',(1.93956320526417,0.813452914977988, 6.60799575804955E-5)); #141910=CARTESIAN_POINT('Ctrl Pts',(1.93459323137196,0.815862626998718, 3.80462076935768E-5)); #141911=CARTESIAN_POINT('Ctrl Pts',(1.93203852383606,0.817061568906703, 2.59459977947067E-5)); #141912=CARTESIAN_POINT('Ctrl Pts',(1.93198260960585,0.817087808020741, 2.5710202760496E-5)); #141913=CARTESIAN_POINT('Ctrl Pts',(1.93192668317269,0.817114027870481, 2.54624586545151E-5)); #141914=CARTESIAN_POINT('Ctrl Pts',(1.96741043859265,0.806449778355775, 0.000915642778752171)); #141915=CARTESIAN_POINT('Ctrl Pts',(1.96639800716735,0.806615499162129, 0.00136971475296552)); #141916=CARTESIAN_POINT('Ctrl Pts',(1.96434801595254,0.807070288025827, 0.001855112840374)); #141917=CARTESIAN_POINT('Ctrl Pts',(1.96001692927449,0.807781208469684, 0.00137605843751813)); #141918=CARTESIAN_POINT('Ctrl Pts',(1.9545553821259,0.809011881190428,0.00076318603003196)); #141919=CARTESIAN_POINT('Ctrl Pts',(1.94788851277604,0.811312809377663, 0.000395231503903612)); #141920=CARTESIAN_POINT('Ctrl Pts',(1.93996561533717,0.814203851832826, 0.000136007133729252)); #141921=CARTESIAN_POINT('Ctrl Pts',(1.93472570452342,0.816119318740732, 5.69359747871494E-5)); #141922=CARTESIAN_POINT('Ctrl Pts',(1.93204402966693,0.81707227686293,2.67545229062639E-5)); #141923=CARTESIAN_POINT('Ctrl Pts',(1.93198536364684,0.817093166116818, 2.61063071490817E-5)); #141924=CARTESIAN_POINT('Ctrl Pts',(1.93192668317269,0.817114027870481, 2.54624586545187E-5)); #141925=CARTESIAN_POINT('Ctrl Pts',(1.96812222885101,0.80922337469308,0.00652771232113898)); #141926=CARTESIAN_POINT('Ctrl Pts',(1.96700119271799,0.80916931643239,0.00676163222336888)); #141927=CARTESIAN_POINT('Ctrl Pts',(1.96515333798826,0.809426343238232, 0.0068736720655286)); #141928=CARTESIAN_POINT('Ctrl Pts',(1.96129058482026,0.8100784883348,0.00484185488056887)); #141929=CARTESIAN_POINT('Ctrl Pts',(1.95578568753692,0.811057916018962, 0.0025690324750418)); #141930=CARTESIAN_POINT('Ctrl Pts',(1.94874559407252,0.812787360752165, 0.00117759226336442)); #141931=CARTESIAN_POINT('Ctrl Pts',(1.94037244867429,0.814941621594393, 0.000291621971282125)); #141932=CARTESIAN_POINT('Ctrl Pts',(1.93485767635979,0.816373676972077, 7.99497981969018E-5)); #141933=CARTESIAN_POINT('Ctrl Pts',(1.93204954183157,0.817083016013493, 2.75422653458105E-5)); #141934=CARTESIAN_POINT('Ctrl Pts',(1.93198811768782,0.817098524212895, 2.65024115376676E-5)); #141935=CARTESIAN_POINT('Ctrl Pts',(1.93192668317269,0.817114027870481, 2.54624586545225E-5)); #141936=CARTESIAN_POINT('Ctrl Pts',(1.96852699892434,0.809287249853307, 0.00937559501711373)); #141937=CARTESIAN_POINT('Ctrl Pts',(1.96715696763783,0.809241981341041, 0.00952297152155255)); #141938=CARTESIAN_POINT('Ctrl Pts',(1.96517254090472,0.809621191165603, 0.00948129379668798)); #141939=CARTESIAN_POINT('Ctrl Pts',(1.96161584863023,0.810722845393102, 0.00680796252060578)); #141940=CARTESIAN_POINT('Ctrl Pts',(1.95628700554678,0.811926538846203, 0.00368301830342313)); #141941=CARTESIAN_POINT('Ctrl Pts',(1.94914220984048,0.813491160573224, 0.00166372766393496)); #141942=CARTESIAN_POINT('Ctrl Pts',(1.94057511290929,0.815325422111529, 0.00038334620520594)); #141943=CARTESIAN_POINT('Ctrl Pts',(1.93492324386043,0.816501961748045, 9.12116529655088E-5)); #141944=CARTESIAN_POINT('Ctrl Pts',(1.93205230365636,0.817088369649748, 2.79491315904785E-5)); #141945=CARTESIAN_POINT('Ctrl Pts',(1.93198949470832,0.817101203260933, 2.67004637319605E-5)); #141946=CARTESIAN_POINT('Ctrl Pts',(1.93192668317269,0.817114027870481, 2.54624586545243E-5)); #141947=CARTESIAN_POINT('',(1.93192668318393,0.817114027868339,2.54624588849967E-5)); #141948=CARTESIAN_POINT('Ctrl Pts',(1.96754016176936,0.797527667429548, -0.000201430122411973)); #141949=CARTESIAN_POINT('Ctrl Pts',(1.9653466687548,0.798112286008188,-6.90861383098917E-6)); #141950=CARTESIAN_POINT('Ctrl Pts',(1.96172159727036,0.799620106901398, 4.47291420520955E-5)); #141951=CARTESIAN_POINT('Ctrl Pts',(1.95701324904862,0.802369356747315, 4.80826807081079E-5)); #141952=CARTESIAN_POINT('Ctrl Pts',(1.95235035632637,0.805277611219081, 4.3967542039255E-5)); #141953=CARTESIAN_POINT('Ctrl Pts',(1.94649682745259,0.808899424892056, 3.86832518355417E-5)); #141954=CARTESIAN_POINT('Ctrl Pts',(1.93935932493211,0.813078952062626, 3.21701062488816E-5)); #141955=CARTESIAN_POINT('Ctrl Pts',(1.93452676708985,0.815734509221543, 2.78377700626537E-5)); #141956=CARTESIAN_POINT('Ctrl Pts',(1.93203577383087,0.817056211176106, 2.55616914707598E-5)); #141957=CARTESIAN_POINT('Ctrl Pts',(1.93198123258536,0.817085128972703, 2.55121505662033E-5)); #141958=CARTESIAN_POINT('Ctrl Pts',(1.93192668317269,0.817114027870481, 2.54624586545134E-5)); #141959=CARTESIAN_POINT('Ctrl Pts',(1.93192668317269,0.817114027870481, 2.54624586545243E-5)); #141960=CARTESIAN_POINT('Ctrl Pts',(1.93198949470832,0.817101203260933, 2.67004637319605E-5)); #141961=CARTESIAN_POINT('Ctrl Pts',(1.93205230365636,0.817088369649748, 2.79491315904785E-5)); #141962=CARTESIAN_POINT('Ctrl Pts',(1.93492324386043,0.816501961748045, 9.12116529655088E-5)); #141963=CARTESIAN_POINT('Ctrl Pts',(1.94057511290929,0.815325422111529, 0.00038334620520594)); #141964=CARTESIAN_POINT('Ctrl Pts',(1.94914220984048,0.813491160573224, 0.00166372766393496)); #141965=CARTESIAN_POINT('Ctrl Pts',(1.95628700554678,0.811926538846203, 0.00368301830342313)); #141966=CARTESIAN_POINT('Ctrl Pts',(1.96161584863023,0.810722845393102, 0.00680796252060578)); #141967=CARTESIAN_POINT('Ctrl Pts',(1.96517254090472,0.809621191165603, 0.00948129379668798)); #141968=CARTESIAN_POINT('Ctrl Pts',(1.96715696763783,0.809241981341041, 0.00952297152155255)); #141969=CARTESIAN_POINT('Ctrl Pts',(1.96852699892434,0.809287249853307, 0.00937559501711373)); #141970=CARTESIAN_POINT('Origin',(1.80114719033798,0.57739466179979,-0.00995297932631109)); #141971=CARTESIAN_POINT('',(2.00560839202752,0.774111957172222,-0.00996473449473332)); #141972=CARTESIAN_POINT('Ctrl Pts',(2.00560907936424,0.774112618363878, -0.00996473449473332)); #141973=CARTESIAN_POINT('Ctrl Pts',(1.99951583005224,0.780446382869517, -0.00996473449473332)); #141974=CARTESIAN_POINT('Ctrl Pts',(1.99314063678797,0.786482852257798, -0.00996473449473332)); #141975=CARTESIAN_POINT('Ctrl Pts',(1.98648454774519,0.79222515601392,-0.00996473449473332)); #141976=CARTESIAN_POINT('',(2.00554595660069,0.7741064725085,-0.00896626646345433)); #141977=CARTESIAN_POINT('Ctrl Pts',(2.00554595660069,0.7741064725085,-0.00896626646345433)); #141978=CARTESIAN_POINT('Ctrl Pts',(2.00559869587182,0.774099032665716, -0.00929747463154934)); #141979=CARTESIAN_POINT('Ctrl Pts',(2.00561961840624,0.774100854195175, -0.00963129572387518)); #141980=CARTESIAN_POINT('Ctrl Pts',(2.00560839202752,0.774111957172221, -0.00996473449473333)); #141981=CARTESIAN_POINT('',(2.00391708799032,0.760296327039884,2.54624979257914E-5)); #141982=CARTESIAN_POINT('Ctrl Pts',(2.00391708692013,0.760296327597138, 2.54624702084393E-5)); #141983=CARTESIAN_POINT('Ctrl Pts',(2.00391654930525,0.760319324861724, 2.64492861389386E-5)); #141984=CARTESIAN_POINT('Ctrl Pts',(2.00391601598577,0.760342330537349, 2.74096984339261E-5)); #141985=CARTESIAN_POINT('Ctrl Pts',(2.0038482617595,0.763222726993953,0.000141220923827608)); #141986=CARTESIAN_POINT('Ctrl Pts',(2.00378814627164,0.767530806841832, -0.000471193145478991)); #141987=CARTESIAN_POINT('Ctrl Pts',(2.00447856400511,0.772818159909075, -0.00368252360831039)); #141988=CARTESIAN_POINT('Ctrl Pts',(2.00520450059434,0.774154641149255, -0.00682188684043161)); #141989=CARTESIAN_POINT('Ctrl Pts',(2.00554595660069,0.7741064725085,-0.00896626646345434)); #141990=CARTESIAN_POINT('Origin',(1.80114719033798,0.57739466179979,2.54624586545038E-5)); #141991=CARTESIAN_POINT('Ctrl Pts',(2.00608113192929,0.814940187263284, -0.04)); #141992=CARTESIAN_POINT('Ctrl Pts',(2.01774112369971,0.804880944649597, -0.04)); #141993=CARTESIAN_POINT('Ctrl Pts',(2.02862155012057,0.794005309083768, -0.04)); #141994=CARTESIAN_POINT('Ctrl Pts',(2.03871780259425,0.782299520883363, -0.04)); #141995=CARTESIAN_POINT('Ctrl Pts',(2.00021345576583,0.808138775029291, -0.04)); #141996=CARTESIAN_POINT('Ctrl Pts',(2.0115381473884,0.798368800675994,-0.04)); #141997=CARTESIAN_POINT('Ctrl Pts',(2.02210975213375,0.787801848520446, -0.04)); #141998=CARTESIAN_POINT('Ctrl Pts',(2.03191567207429,0.776432677411332, -0.04)); #141999=CARTESIAN_POINT('Ctrl Pts',(1.99005748671947,0.796366664538581, -0.0324511680134019)); #142000=CARTESIAN_POINT('Ctrl Pts',(2.00080626355924,0.787093540152164, -0.0324511680134019)); #142001=CARTESIAN_POINT('Ctrl Pts',(2.01083507638185,0.777069143946486, -0.0324511680134019)); #142002=CARTESIAN_POINT('Ctrl Pts',(2.02014231835032,0.766278149614993, -0.0324511680134019)); #142003=CARTESIAN_POINT('Ctrl Pts',(1.98647937458108,0.792219159632946, -0.0167017520281654)); #142004=CARTESIAN_POINT('Ctrl Pts',(1.99702318907772,0.783122859208049, -0.0167017520281654)); #142005=CARTESIAN_POINT('Ctrl Pts',(2.00686460799542,0.773285772219206, -0.0167017520281654)); #142006=CARTESIAN_POINT('Ctrl Pts',(2.01599437543351,0.762700545252294, -0.0167017520281654)); #142007=CARTESIAN_POINT('Ctrl Pts',(1.98648454774519,0.79222515601392,-0.00996473449473332)); #142008=CARTESIAN_POINT('Ctrl Pts',(1.99702956554623,0.783127817481015, -0.00996473449473332)); #142009=CARTESIAN_POINT('Ctrl Pts',(2.00686956308226,0.773292152679748, -0.00996473449473332)); #142010=CARTESIAN_POINT('Ctrl Pts',(2.01600037244775,0.762705717682279, -0.00996473449473332)); #142011=CARTESIAN_POINT('Ctrl Pts',(2.00560839202752,0.774111957172222, -0.00996473449473333)); #142012=CARTESIAN_POINT('Ctrl Pts',(2.00554732801092,0.774166641841147, -0.0151451105150763)); #142013=CARTESIAN_POINT('Ctrl Pts',(2.00869088167004,0.775085332499059, -0.0253593656646129)); #142014=CARTESIAN_POINT('Ctrl Pts',(2.02093192751618,0.778202782880265, -0.036989642589662)); #142015=CARTESIAN_POINT('Ctrl Pts',(2.03200948320645,0.780799443110955, -0.0400000000000028)); #142016=CARTESIAN_POINT('Ctrl Pts',(2.0387178025942,0.782299520883441,-0.04)); #142017=CARTESIAN_POINT('Ctrl Pts',(0.906357033363753,-0.498004755656689, -5.46369598732853E-18)); #142018=CARTESIAN_POINT('Ctrl Pts',(0.906405318397111,-0.498026127433216, -5.46166078343922E-18)); #142019=CARTESIAN_POINT('Ctrl Pts',(0.906453605201905,-0.498047496153777, -7.29360749880727E-8)); #142020=CARTESIAN_POINT('Ctrl Pts',(0.911238730572281,-0.500165971712804, -1.44548976713807E-5)); #142021=CARTESIAN_POINT('Ctrl Pts',(0.916042805678102,-0.502286419667916, -0.000730095603315056)); #142022=CARTESIAN_POINT('Ctrl Pts',(0.92042483424662,-0.504388545341665, -0.00220121512016892)); #142023=CARTESIAN_POINT('Ctrl Pts',(0.906357033363753,-0.49800475565669, -5.46369598732853E-18)); #142024=CARTESIAN_POINT('Ctrl Pts',(0.906401408146838,-0.498032382663162, 1.37611952017449E-7)); #142025=CARTESIAN_POINT('Ctrl Pts',(0.906445804252955,-0.498060021284285, -2.30356360565658E-7)); #142026=CARTESIAN_POINT('Ctrl Pts',(0.910843750429355,-0.500798722045786, -1.30790090148216E-5)); #142027=CARTESIAN_POINT('Ctrl Pts',(0.915260470951279,-0.503541833400917, -0.000910592155291537)); #142028=CARTESIAN_POINT('Ctrl Pts',(0.919111536720044,-0.506103129327365, -0.00263849391182595)); #142029=CARTESIAN_POINT('Ctrl Pts',(0.906357033363753,-0.49800475565669, -5.46369598742958E-18)); #142030=CARTESIAN_POINT('Ctrl Pts',(0.906397513081241,-0.498038628521551, 1.75744293624577E-7)); #142031=CARTESIAN_POINT('Ctrl Pts',(0.906437986327246,-0.498072555759539, -2.61492436571335E-7)); #142032=CARTESIAN_POINT('Ctrl Pts',(0.91044948780904,-0.501431281916865, -1.01230775722203E-5)); #142033=CARTESIAN_POINT('Ctrl Pts',(0.914475465531348,-0.504800098942166, -0.000834163730748978)); #142034=CARTESIAN_POINT('Ctrl Pts',(0.917911316394565,-0.508073971239984, -0.00237596904380122)); #142035=CARTESIAN_POINT('Ctrl Pts',(0.906357033363752,-0.498004755656691, -5.46369598732853E-18)); #142036=CARTESIAN_POINT('Ctrl Pts',(0.90639360673433,-0.498044907598463, -2.89927627644336E-18)); #142037=CARTESIAN_POINT('Ctrl Pts',(0.906430180826509,-0.49808505660503, -4.86240499653423E-8)); #142038=CARTESIAN_POINT('Ctrl Pts',(0.910054864554676,-0.50206479905147, -9.63659844737984E-6)); #142039=CARTESIAN_POINT('Ctrl Pts',(0.91369591513439,-0.506054144880157, -0.000486730402210047)); #142040=CARTESIAN_POINT('Ctrl Pts',(0.917070303622711,-0.509896908606652, -0.0014674767467793)); #142041=CARTESIAN_POINT('',(0.92042483424662,-0.504388545341665,-0.00220121512016892)); #142042=CARTESIAN_POINT('',(0.917070303622711,-0.509896908606652,-0.00146747674677927)); #142043=CARTESIAN_POINT('Origin',(0.92187072071807,-0.50785925244237,0.00706504650644144)); #142044=CARTESIAN_POINT('Ctrl Pts',(0.917070303622711,-0.509896908606652, -0.0014674767467793)); #142045=CARTESIAN_POINT('Ctrl Pts',(0.91369591513439,-0.506054144880157, -0.000486730402210047)); #142046=CARTESIAN_POINT('Ctrl Pts',(0.910054864554676,-0.50206479905147, -9.63659844737984E-6)); #142047=CARTESIAN_POINT('Ctrl Pts',(0.906430180826509,-0.49808505660503, -4.86240499653423E-8)); #142048=CARTESIAN_POINT('Ctrl Pts',(0.90639360673433,-0.498044907598463, -2.89927627644336E-18)); #142049=CARTESIAN_POINT('Ctrl Pts',(0.906357033363752,-0.498004755656691, -5.46369598732853E-18)); #142050=CARTESIAN_POINT('Ctrl Pts',(0.906357033363753,-0.498004755656689, -5.46369598732853E-18)); #142051=CARTESIAN_POINT('Ctrl Pts',(0.906405318397111,-0.498026127433216, -5.46166078343922E-18)); #142052=CARTESIAN_POINT('Ctrl Pts',(0.906453605201905,-0.498047496153777, -7.29360749880727E-8)); #142053=CARTESIAN_POINT('Ctrl Pts',(0.911238730572281,-0.500165971712804, -1.44548976713807E-5)); #142054=CARTESIAN_POINT('Ctrl Pts',(0.916042805678102,-0.502286419667916, -0.000730095603315056)); #142055=CARTESIAN_POINT('Ctrl Pts',(0.92042483424662,-0.504388545341665, -0.00220121512016892)); #142056=CARTESIAN_POINT('Ctrl Pts',(0.92042483360082,-0.504388545031865, -0.00220121490336315)); #142057=CARTESIAN_POINT('Ctrl Pts',(0.920828101077146,-0.504581998513925, -0.00233659844241647)); #142058=CARTESIAN_POINT('Ctrl Pts',(0.921298608695133,-0.504730504521655, -0.00247272094717457)); #142059=CARTESIAN_POINT('Ctrl Pts',(0.921796650813521,-0.504871760349639, -0.00261650176401455)); #142060=CARTESIAN_POINT('Ctrl Pts',(0.921887549394617,-0.504897036585035, -0.00264265880841891)); #142061=CARTESIAN_POINT('Ctrl Pts',(0.922001378626463,-0.504928180221451, -0.00267540850142063)); #142062=CARTESIAN_POINT('Ctrl Pts',(0.922115596606424,-0.504958696005143, -0.00270824626295227)); #142063=CARTESIAN_POINT('Ctrl Pts',(0.922207099325372,-0.504982824636843, -0.00273458398899256)); #142064=CARTESIAN_POINT('Ctrl Pts',(0.922252901255874,-0.504994792538279, -0.00274777664295508)); #142065=CARTESIAN_POINT('Ctrl Pts',(0.922275809650724,-0.505000756469492, -0.00275437944725125)); #142066=CARTESIAN_POINT('Ctrl Pts',(0.91911153622608,-0.506103129170628, -0.00263849375206892)); #142067=CARTESIAN_POINT('Ctrl Pts',(0.91954363959349,-0.50657386586814, -0.00289953317885275)); #142068=CARTESIAN_POINT('Ctrl Pts',(0.920000942051101,-0.506956340132186, -0.00313637731387995)); #142069=CARTESIAN_POINT('Ctrl Pts',(0.920453415658726,-0.507300819960226, -0.00338437706928922)); #142070=CARTESIAN_POINT('Ctrl Pts',(0.920535505493737,-0.507362318869949, -0.00342916768233626)); #142071=CARTESIAN_POINT('Ctrl Pts',(0.920637464471121,-0.507437429187852, -0.00348472988618855)); #142072=CARTESIAN_POINT('Ctrl Pts',(0.920738770674652,-0.507510220417029, -0.00353945599005529)); #142073=CARTESIAN_POINT('Ctrl Pts',(0.920819192191761,-0.507567190358614, -0.00358328055527559)); #142074=CARTESIAN_POINT('Ctrl Pts',(0.920859267639718,-0.507595258653459, -0.00360502503524361)); #142075=CARTESIAN_POINT('Ctrl Pts',(0.920879242225847,-0.507609193626851, -0.00361593263760876)); #142076=CARTESIAN_POINT('Ctrl Pts',(0.917911316051118,-0.508073971232863, -0.0023759689092461)); #142077=CARTESIAN_POINT('Ctrl Pts',(0.918400379366989,-0.508881708155962, -0.00261717579821238)); #142078=CARTESIAN_POINT('Ctrl Pts',(0.918877938199934,-0.509572619220495, -0.00278547622477458)); #142079=CARTESIAN_POINT('Ctrl Pts',(0.919328214936072,-0.510204092511792, -0.00294661177482812)); #142080=CARTESIAN_POINT('Ctrl Pts',(0.919409456874269,-0.510317148937073, -0.00297521193157212)); #142081=CARTESIAN_POINT('Ctrl Pts',(0.919509634393661,-0.510455510144057, -0.00301006416949448)); #142082=CARTESIAN_POINT('Ctrl Pts',(0.919608217957069,-0.510589983735305, -0.00304342786572352)); #142083=CARTESIAN_POINT('Ctrl Pts',(0.919685915545055,-0.510695456966027, -0.00306983632995442)); #142084=CARTESIAN_POINT('Ctrl Pts',(0.919724457290953,-0.510747472348965, -0.00308276737003787)); #142085=CARTESIAN_POINT('Ctrl Pts',(0.91974362025557,-0.510773317315252, -0.0030892433993845)); #142086=CARTESIAN_POINT('Ctrl Pts',(0.917070303405967,-0.509896908701274, -0.00146747660224204)); #142087=CARTESIAN_POINT('Ctrl Pts',(0.91767976685398,-0.510954775968165, -0.00155773221086514)); #142088=CARTESIAN_POINT('Ctrl Pts',(0.918254546417776,-0.511834844875892, -0.0015175055416553)); #142089=CARTESIAN_POINT('Ctrl Pts',(0.918798630659011,-0.512607875503811, -0.0014499155739061)); #142090=CARTESIAN_POINT('Ctrl Pts',(0.918896688455551,-0.512745813089931, -0.00143671463769467)); #142091=CARTESIAN_POINT('Ctrl Pts',(0.919017485831068,-0.512913619544179, -0.00141939459977617)); #142092=CARTESIAN_POINT('Ctrl Pts',(0.919136090392817,-0.513075539377707, -0.00140086945307561)); #142093=CARTESIAN_POINT('Ctrl Pts',(0.919229676759714,-0.513201746197143, -0.00138557688487104)); #142094=CARTESIAN_POINT('Ctrl Pts',(0.919276051803439,-0.513263763905345, -0.00137776543310541)); #142095=CARTESIAN_POINT('Ctrl Pts',(0.9192991347555,-0.513294508963864, -0.00137382969210317)); #142096=CARTESIAN_POINT('',(0.922275809650724,-0.505000756469492,-0.00275437944725125)); #142097=CARTESIAN_POINT('',(0.9192991347555,-0.513294508963864,-0.00137382969210308)); #142098=CARTESIAN_POINT('Origin',(0.92388781834753,-0.508863527673181,0.00632749407033178)); #142099=CARTESIAN_POINT('Ctrl Pts',(0.9192991347555,-0.513294508963864, -0.00137382969210317)); #142100=CARTESIAN_POINT('Ctrl Pts',(0.919276051803439,-0.513263763905345, -0.00137776543310541)); #142101=CARTESIAN_POINT('Ctrl Pts',(0.919229676759714,-0.513201746197143, -0.00138557688487104)); #142102=CARTESIAN_POINT('Ctrl Pts',(0.919136090392817,-0.513075539377707, -0.00140086945307561)); #142103=CARTESIAN_POINT('Ctrl Pts',(0.919017485831068,-0.512913619544179, -0.00141939459977617)); #142104=CARTESIAN_POINT('Ctrl Pts',(0.918896688455551,-0.512745813089931, -0.00143671463769467)); #142105=CARTESIAN_POINT('Ctrl Pts',(0.918798630659011,-0.512607875503811, -0.0014499155739061)); #142106=CARTESIAN_POINT('Ctrl Pts',(0.918254546417776,-0.511834844875892, -0.0015175055416553)); #142107=CARTESIAN_POINT('Ctrl Pts',(0.91767976685398,-0.510954775968165, -0.00155773221086514)); #142108=CARTESIAN_POINT('Ctrl Pts',(0.917070303405967,-0.509896908701274, -0.00146747660224204)); #142109=CARTESIAN_POINT('Ctrl Pts',(0.920424834246619,-0.504388545341664, -0.00220121512016876)); #142110=CARTESIAN_POINT('Ctrl Pts',(0.920828101555546,-0.504581998664922, -0.0023365985808222)); #142111=CARTESIAN_POINT('Ctrl Pts',(0.921298608936821,-0.504730504590203, -0.00247272101694826)); #142112=CARTESIAN_POINT('Ctrl Pts',(0.921796650813521,-0.504871760349639, -0.00261650176401455)); #142113=CARTESIAN_POINT('Ctrl Pts',(0.921887549394617,-0.504897036585035, -0.00264265880841891)); #142114=CARTESIAN_POINT('Ctrl Pts',(0.922001378626463,-0.504928180221451, -0.00267540850142063)); #142115=CARTESIAN_POINT('Ctrl Pts',(0.922115596606424,-0.504958696005143, -0.00270824626295227)); #142116=CARTESIAN_POINT('Ctrl Pts',(0.922207099325372,-0.504982824636843, -0.00273458398899256)); #142117=CARTESIAN_POINT('Ctrl Pts',(0.922252901255874,-0.504994792538279, -0.00274777664295508)); #142118=CARTESIAN_POINT('Ctrl Pts',(0.922275809650724,-0.505000756469492, -0.00275437944725125)); #142119=CARTESIAN_POINT('Ctrl Pts',(0.933549332950297,-0.511104809258342, -0.0102084176728951)); #142120=CARTESIAN_POINT('Ctrl Pts',(0.934593656371036,-0.513389469596316, -0.0130686744868873)); #142121=CARTESIAN_POINT('Ctrl Pts',(0.935432282871113,-0.515162345902865, -0.01641180577746)); #142122=CARTESIAN_POINT('Ctrl Pts',(0.93600563782212,-0.516297393024449, -0.0200000000000001)); #142123=CARTESIAN_POINT('Ctrl Pts',(0.931161416064186,-0.512138523649539, -0.0102375893936486)); #142124=CARTESIAN_POINT('Ctrl Pts',(0.932201587900297,-0.51442130165173, -0.0131015689107176)); #142125=CARTESIAN_POINT('Ctrl Pts',(0.933036721064805,-0.51619459869119, -0.0164539348378899)); #142126=CARTESIAN_POINT('Ctrl Pts',(0.933604435850552,-0.517325582584695, -0.020042683919894)); #142127=CARTESIAN_POINT('Ctrl Pts',(0.927033490113857,-0.515680969029137, -0.00888134658576941)); #142128=CARTESIAN_POINT('Ctrl Pts',(0.928139160871531,-0.518134361868938, -0.0119596738639641)); #142129=CARTESIAN_POINT('Ctrl Pts',(0.929020465195501,-0.520034691727607, -0.0155528793387967)); #142130=CARTESIAN_POINT('Ctrl Pts',(0.929616338160881,-0.521250143232199, -0.0194071264298732)); #142131=CARTESIAN_POINT('Ctrl Pts',(0.926526614810358,-0.520125299139517, -0.00550213162228668)); #142132=CARTESIAN_POINT('Ctrl Pts',(0.927805880920545,-0.522979955435555, -0.0090694296155001)); #142133=CARTESIAN_POINT('Ctrl Pts',(0.928826444160996,-0.525197418845748, -0.0132392556067779)); #142134=CARTESIAN_POINT('Ctrl Pts',(0.92951463742395,-0.52661919654286, -0.0177118517356716)); #142135=CARTESIAN_POINT('Ctrl Pts',(0.927263182674406,-0.521937220013464, -0.00378574762823294)); #142136=CARTESIAN_POINT('Ctrl Pts',(0.928632647238579,-0.52499310866176, -0.00759942338022253)); #142137=CARTESIAN_POINT('Ctrl Pts',(0.929725150951614,-0.527367756608368, -0.0120569317676527)); #142138=CARTESIAN_POINT('Ctrl Pts',(0.930463028077163,-0.528892359797224, -0.0168411907310396)); #142139=CARTESIAN_POINT('',(0.936005637822121,-0.516297393024449,-0.0200000000000001)); #142140=CARTESIAN_POINT('',(0.930463028077163,-0.528892359797224,-0.0168411907310396)); #142141=CARTESIAN_POINT('Origin',(0.93966667463821,-0.524985643614765,-0.0166666666666668)); #142142=CARTESIAN_POINT('',(0.927263182671063,-0.52193722000524,-0.00378574763602298)); #142143=CARTESIAN_POINT('Ctrl Pts',(0.930463028077163,-0.528892359797224, -0.0168411907310396)); #142144=CARTESIAN_POINT('Ctrl Pts',(0.929725150951614,-0.527367756608368, -0.0120569317676527)); #142145=CARTESIAN_POINT('Ctrl Pts',(0.928632647238579,-0.52499310866176, -0.00759942338022253)); #142146=CARTESIAN_POINT('Ctrl Pts',(0.927263182674406,-0.521937220013464, -0.00378574762823294)); #142147=CARTESIAN_POINT('',(0.933549332950297,-0.511104809258342,-0.0102084176728951)); #142148=CARTESIAN_POINT('Origin',(0.936466829235453,-0.518030503831005, -0.00361122356386013)); #142149=CARTESIAN_POINT('Ctrl Pts',(0.933549332950297,-0.511104809258342, -0.0102084176728951)); #142150=CARTESIAN_POINT('Ctrl Pts',(0.934593656371036,-0.513389469596316, -0.0130686744868873)); #142151=CARTESIAN_POINT('Ctrl Pts',(0.935432282871113,-0.515162345902865, -0.01641180577746)); #142152=CARTESIAN_POINT('Ctrl Pts',(0.93600563782212,-0.516297393024449, -0.0200000000000001)); #142153=CARTESIAN_POINT('Ctrl Pts',(0.938045215785669,-0.546159236927054, -0.0301745240643728)); #142154=CARTESIAN_POINT('Ctrl Pts',(0.936441900075439,-0.542382061817947, -0.0301745240643729)); #142155=CARTESIAN_POINT('Ctrl Pts',(0.93320005798419,-0.53486165105498, -0.0275579313909903)); #142156=CARTESIAN_POINT('Ctrl Pts',(0.931059608391227,-0.530125015232307, -0.0207093072725569)); #142157=CARTESIAN_POINT('Ctrl Pts',(0.930463028077163,-0.528892359797224, -0.0168411907310396)); #142158=CARTESIAN_POINT('Ctrl Pts',(0.938086806609694,-0.54614158266967, -0.0327630348187179)); #142159=CARTESIAN_POINT('Ctrl Pts',(0.936275585445466,-0.541874643628538, -0.0327639011382432)); #142160=CARTESIAN_POINT('Ctrl Pts',(0.932613866055427,-0.533379368785883, -0.029815600531368)); #142161=CARTESIAN_POINT('Ctrl Pts',(0.930191611752552,-0.528018241347384, -0.0220838825059383)); #142162=CARTESIAN_POINT('Ctrl Pts',(0.92951463742395,-0.52661919654286, -0.0177118517356716)); #142163=CARTESIAN_POINT('Ctrl Pts',(0.940121595992908,-0.545277865820206, -0.037879109932028)); #142164=CARTESIAN_POINT('Ctrl Pts',(0.937899330249528,-0.540038619239835, -0.0378816099987166)); #142165=CARTESIAN_POINT('Ctrl Pts',(0.933409325636741,-0.529597912379557, -0.0342689691571562)); #142166=CARTESIAN_POINT('Ctrl Pts',(0.930442619579253,-0.522983205640714, -0.0247789046926574)); #142167=CARTESIAN_POINT('Ctrl Pts',(0.929616492865024,-0.521250200732501, -0.0194070842461633)); #142168=CARTESIAN_POINT('Ctrl Pts',(0.944865762676505,-0.543264086539118, -0.04)); #142169=CARTESIAN_POINT('Ctrl Pts',(0.942466777873365,-0.537601436102562, -0.0400013630472437)); #142170=CARTESIAN_POINT('Ctrl Pts',(0.937636547413674,-0.526326234836343, -0.0360961463193341)); #142171=CARTESIAN_POINT('Ctrl Pts',(0.934475613894597,-0.519195188789206, -0.0258438852606442)); #142172=CARTESIAN_POINT('Ctrl Pts',(0.933604435850551,-0.517325582584695, -0.0200426839198939)); #142173=CARTESIAN_POINT('Ctrl Pts',(0.947248862346716,-0.542252520744596, -0.0400000000000001)); #142174=CARTESIAN_POINT('Ctrl Pts',(0.944848636589509,-0.536584756812357, -0.0400000000000002)); #142175=CARTESIAN_POINT('Ctrl Pts',(0.940016465555904,-0.525291245433928, -0.0360751109899262)); #142176=CARTESIAN_POINT('Ctrl Pts',(0.936867487516062,-0.518160290402913, -0.025802174812276)); #142177=CARTESIAN_POINT('Ctrl Pts',(0.93600563782212,-0.51629739302445, -0.0200000000000001)); #142178=CARTESIAN_POINT('Ctrl Pts',(0.93600563782212,-0.51629739302445, -0.0200000000000001)); #142179=CARTESIAN_POINT('Ctrl Pts',(0.936867487516062,-0.518160290402913, -0.025802174812276)); #142180=CARTESIAN_POINT('Ctrl Pts',(0.940016465555904,-0.525291245433928, -0.0360751109899262)); #142181=CARTESIAN_POINT('Ctrl Pts',(0.944848636589509,-0.536584756812357, -0.0400000000000002)); #142182=CARTESIAN_POINT('Ctrl Pts',(0.947248862346716,-0.542252520744596, -0.0400000000000001)); #142183=CARTESIAN_POINT('',(0.938045215785669,-0.546159236927054,-0.0301745240643728)); #142184=CARTESIAN_POINT('Origin',(0.947248862346716,-0.542252520744596, -0.03)); #142185=CARTESIAN_POINT('Ctrl Pts',(0.938045215785669,-0.546159236927054, -0.0301745240643728)); #142186=CARTESIAN_POINT('Ctrl Pts',(0.936441900075439,-0.542382061817947, -0.0301745240643729)); #142187=CARTESIAN_POINT('Ctrl Pts',(0.93320005798419,-0.53486165105498, -0.0275579313909903)); #142188=CARTESIAN_POINT('Ctrl Pts',(0.931059608391227,-0.530125015232307, -0.0207093072725569)); #142189=CARTESIAN_POINT('Ctrl Pts',(0.930463028077163,-0.528892359797224, -0.0168411907310396)); #142190=CARTESIAN_POINT('Origin',(1.00682031237247,-0.682594062223319,-0.03)); #142191=CARTESIAN_POINT('',(1.06717309667818,-0.850365460621497,-0.0301745240643746)); #142192=CARTESIAN_POINT('Origin',(1.07637674323923,-0.846458744439038,-0.03)); #142193=CARTESIAN_POINT('',(0.997616665811422,-0.686500778405777,-0.0301745240643728)); #142194=CARTESIAN_POINT('Ctrl Pts',(1.07637674323923,-0.846458744439038, -0.04)); #142195=CARTESIAN_POINT('Ctrl Pts',(1.07837228802079,-0.851159953333768, -0.04)); #142196=CARTESIAN_POINT('Ctrl Pts',(1.07855240240412,-0.862132767141215, -0.04)); #142197=CARTESIAN_POINT('Ctrl Pts',(1.07110533494758,-0.870192913335329, -0.04)); #142198=CARTESIAN_POINT('Ctrl Pts',(1.06648483473534,-0.872368813571035, -0.04)); #142199=CARTESIAN_POINT('Ctrl Pts',(1.07399364356902,-0.847470310233559, -0.04)); #142200=CARTESIAN_POINT('Ctrl Pts',(1.07573016696409,-0.851562045124729, -0.040000009068956)); #142201=CARTESIAN_POINT('Ctrl Pts',(1.07587771303109,-0.86111648665187, -0.0399997496708316)); #142202=CARTESIAN_POINT('Ctrl Pts',(1.06938752137628,-0.868116395546849, -0.0399997573219774)); #142203=CARTESIAN_POINT('Ctrl Pts',(1.0653694514311,-0.870000309395436, -0.04)); #142204=CARTESIAN_POINT('Ctrl Pts',(1.0692502091055,-0.849483778705665, -0.0378783004712732)); #142205=CARTESIAN_POINT('Ctrl Pts',(1.07047284973728,-0.85236630464659, -0.0378778027208015)); #142206=CARTESIAN_POINT('Ctrl Pts',(1.07056021548648,-0.859095312088061, -0.0378665350687731)); #142207=CARTESIAN_POINT('Ctrl Pts',(1.06597293493839,-0.863981706531097, -0.0378408089501055)); #142208=CARTESIAN_POINT('Ctrl Pts',(1.06314985224051,-0.865287014933264, -0.037827769809257)); #142209=CARTESIAN_POINT('Ctrl Pts',(1.06721468750222,-0.850347806364108, -0.0327630348187277)); #142210=CARTESIAN_POINT('Ctrl Pts',(1.0682145420763,-0.852704548343147, -0.0327616042474867)); #142211=CARTESIAN_POINT('Ctrl Pts',(1.06828654593868,-0.858221075037246, -0.0327290032998297)); #142212=CARTESIAN_POINT('Ctrl Pts',(1.06453560040101,-0.862246582497701, -0.0326551817771697)); #142213=CARTESIAN_POINT('Ctrl Pts',(1.06222438434386,-0.863321794036306, -0.0326179938779915)); #142214=CARTESIAN_POINT('Ctrl Pts',(1.0671730966782,-0.850365460621492, -0.0301745240643861)); #142215=CARTESIAN_POINT('Ctrl Pts',(1.06817084180758,-0.852716077906961, -0.0301727990355362)); #142216=CARTESIAN_POINT('Ctrl Pts',(1.06826027640743,-0.858202778005645, -0.0301334030671865)); #142217=CARTESIAN_POINT('Ctrl Pts',(1.06453533917334,-0.862233512047951, -0.0300445929827298)); #142218=CARTESIAN_POINT('Ctrl Pts',(1.06222438434386,-0.863321794036306, -0.03)); #142219=CARTESIAN_POINT('',(1.06222438434386,-0.863321794036306,-0.03)); #142220=CARTESIAN_POINT('Origin',(1.06648483473534,-0.872368813571035,-0.03)); #142221=CARTESIAN_POINT('Ctrl Pts',(1.06222438434386,-0.863321794036306, -0.03)); #142222=CARTESIAN_POINT('Ctrl Pts',(1.06453533917334,-0.862233512047951, -0.0300445929827298)); #142223=CARTESIAN_POINT('Ctrl Pts',(1.06826027640743,-0.858202778005645, -0.0301334030671865)); #142224=CARTESIAN_POINT('Ctrl Pts',(1.06817084180758,-0.852716077906961, -0.0301727990355362)); #142225=CARTESIAN_POINT('Ctrl Pts',(1.0671730966782,-0.850365460621492, -0.0301745240643861)); #142226=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #142227=CARTESIAN_POINT('',(0.0294873595302114,-1.10074649295881,-0.0299999999999993)); #142228=CARTESIAN_POINT('Origin',(0.0296056296756774,-1.11074579354298, -0.03)); #142229=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #142230=CARTESIAN_POINT('Ctrl Pts',(0.0296056296756774,-1.11074579354298, -0.04)); #142231=CARTESIAN_POINT('Ctrl Pts',(0.024330384802906,-1.11080818830482, -0.04)); #142232=CARTESIAN_POINT('Ctrl Pts',(0.013778639547283,-1.10652214816264, -0.04)); #142233=CARTESIAN_POINT('Ctrl Pts',(0.00936604282386706,-1.0960228782877, -0.04)); #142234=CARTESIAN_POINT('Ctrl Pts',(0.00936604282386706,-1.09074726443015, -0.04)); #142235=CARTESIAN_POINT('Ctrl Pts',(0.0295746666239995,-1.10812798277162, -0.04)); #142236=CARTESIAN_POINT('Ctrl Pts',(0.024990958064982,-1.10818993891783, -0.0399997494898135)); #142237=CARTESIAN_POINT('Ctrl Pts',(0.01580554652694,-1.10447762638344, -0.0399997282325579)); #142238=CARTESIAN_POINT('Ctrl Pts',(0.0119548563819494,-1.09533880986027, -0.039999997138403)); #142239=CARTESIAN_POINT('Ctrl Pts',(0.011954947880993,-1.09074726443015, -0.04)); #142240=CARTESIAN_POINT('Ctrl Pts',(0.0295130297716227,-1.10291681632257, -0.0378295248297634)); #142241=CARTESIAN_POINT('Ctrl Pts',(0.0263007976700675,-1.10297736202361, -0.0378429847944171)); #142242=CARTESIAN_POINT('Ctrl Pts',(0.0198355008045531,-1.10041160605125, -0.037869490735612)); #142243=CARTESIAN_POINT('Ctrl Pts',(0.017109427260654,-1.09398161251233, -0.0378801539465668)); #142244=CARTESIAN_POINT('Ctrl Pts',(0.0171096941986308,-1.09074726443015, -0.0378799968875544)); #142245=CARTESIAN_POINT('Ctrl Pts',(0.0294873595302113,-1.1007464929588, -0.0326179938779874)); #142246=CARTESIAN_POINT('Ctrl Pts',(0.0268545870365701,-1.10078995521957, -0.0326563819753554)); #142247=CARTESIAN_POINT('Ctrl Pts',(0.0215546323376825,-1.09866321644186, -0.032732532122679)); #142248=CARTESIAN_POINT('Ctrl Pts',(0.0193191852318672,-1.09339174659127, -0.0327634862177977)); #142249=CARTESIAN_POINT('Ctrl Pts',(0.0193193371521505,-1.09074726443015, -0.032763034818715)); #142250=CARTESIAN_POINT('Ctrl Pts',(0.0294873595302113,-1.1007464929588, -0.0299999999999944)); #142251=CARTESIAN_POINT('Ctrl Pts',(0.0268489335992799,-1.10077769984332, -0.0300460321717704)); #142252=CARTESIAN_POINT('Ctrl Pts',(0.0215714617360564,-1.09863469868584, -0.0301376523073225)); #142253=CARTESIAN_POINT('Ctrl Pts',(0.0193645102744416,-1.09338507147127, -0.0301750683759125)); #142254=CARTESIAN_POINT('Ctrl Pts',(0.019364519775435,-1.09074726443015, -0.0301745240643689)); #142255=CARTESIAN_POINT('',(0.019364519775431,-1.09074726443015,-0.0301745240643689)); #142256=CARTESIAN_POINT('Origin',(0.00936604282386706,-1.09074726443015, -0.029999999999996)); #142257=CARTESIAN_POINT('Ctrl Pts',(0.019364519775435,-1.09074726443015, -0.0301745240643689)); #142258=CARTESIAN_POINT('Ctrl Pts',(0.0193645102744416,-1.09338507147127, -0.0301750683759125)); #142259=CARTESIAN_POINT('Ctrl Pts',(0.0215714617360564,-1.09863469868584, -0.0301376523073225)); #142260=CARTESIAN_POINT('Ctrl Pts',(0.0268489335992799,-1.10077769984332, -0.0300460321717704)); #142261=CARTESIAN_POINT('Ctrl Pts',(0.0294873595302113,-1.1007464929588, -0.0299999999999944)); #142262=CARTESIAN_POINT('Origin',(0.00936604282386726,-0.795,-0.03)); #142263=CARTESIAN_POINT('',(0.0193645197754312,-0.733716173820132,-0.0301745240643728)); #142264=CARTESIAN_POINT('Origin',(0.00936604282386731,-0.733716173820132, -0.03)); #142265=CARTESIAN_POINT('',(0.0193645197754312,-0.795,-0.0301745240643728)); #142266=CARTESIAN_POINT('Ctrl Pts',(0.0195972539744741,-0.714858940854457, -0.0168411907310394)); #142267=CARTESIAN_POINT('Ctrl Pts',(0.0195297355276895,-0.716226809639805, -0.0207093199566996)); #142268=CARTESIAN_POINT('Ctrl Pts',(0.0194101988058534,-0.721422845657696, -0.027557574164155)); #142269=CARTESIAN_POINT('Ctrl Pts',(0.0193645197754312,-0.729612754823613, -0.0301745240643728)); #142270=CARTESIAN_POINT('Ctrl Pts',(0.0193645197754312,-0.733716173820132, -0.0301745240643728)); #142271=CARTESIAN_POINT('Ctrl Pts',(0.0195820433326047,-0.712393952293393, -0.0177126078201183)); #142272=CARTESIAN_POINT('Ctrl Pts',(0.0195055237060024,-0.713946697139406, -0.0220850017179231)); #142273=CARTESIAN_POINT('Ctrl Pts',(0.0193706046788422,-0.719828671889489, -0.029816543912596)); #142274=CARTESIAN_POINT('Ctrl Pts',(0.019319355451462,-0.729080688191623, -0.0327643597033736)); #142275=CARTESIAN_POINT('Ctrl Pts',(0.0193193371521467,-0.733716173820132, -0.0327630348187179)); #142276=CARTESIAN_POINT('Ctrl Pts',(0.0173891815922519,-0.707485436459617, -0.0194101072027991)); #142277=CARTESIAN_POINT('Ctrl Pts',(0.0173061284059614,-0.709404447555578, -0.0247829667279888)); #142278=CARTESIAN_POINT('Ctrl Pts',(0.0171608072708404,-0.716656123682266, -0.0342740103853369)); #142279=CARTESIAN_POINT('Ctrl Pts',(0.0171088104149115,-0.728025063647476, -0.0378853025338442)); #142280=CARTESIAN_POINT('Ctrl Pts',(0.0171111507822082,-0.733716173820132, -0.0378814791177749)); #142281=CARTESIAN_POINT('Ctrl Pts',(0.0121755370864059,-0.705436047491328, -0.0200438187146525)); #142282=CARTESIAN_POINT('Ctrl Pts',(0.0121048982466845,-0.707498002579791, -0.0258456002887537)); #142283=CARTESIAN_POINT('Ctrl Pts',(0.0119844971406158,-0.715298212067144, -0.036097706510184)); #142284=CARTESIAN_POINT('Ctrl Pts',(0.0119483769182875,-0.727566267478326, -0.0400020845430876)); #142285=CARTESIAN_POINT('Ctrl Pts',(0.0119549478809923,-0.733716173820132, -0.04)); #142286=CARTESIAN_POINT('Ctrl Pts',(0.00956137921258134,-0.705430924610693, -0.0199999999999998)); #142287=CARTESIAN_POINT('Ctrl Pts',(0.00949685732664542,-0.707482581990722, -0.0258021938384901)); #142288=CARTESIAN_POINT('Ctrl Pts',(0.00938800466955547,-0.715276360296982, -0.0360745751496732)); #142289=CARTESIAN_POINT('Ctrl Pts',(0.00935816322074137,-0.727561075587465, -0.04)); #142290=CARTESIAN_POINT('Ctrl Pts',(0.00936604282386734,-0.733716173820132, -0.04)); #142291=CARTESIAN_POINT('',(0.0095613792125943,-0.705430924610693,-0.0199999999999999)); #142292=CARTESIAN_POINT('Ctrl Pts',(0.00936604282386734,-0.733716173820132, -0.04)); #142293=CARTESIAN_POINT('Ctrl Pts',(0.00935816322074137,-0.727561075587465, -0.04)); #142294=CARTESIAN_POINT('Ctrl Pts',(0.00938800466955547,-0.715276360296982, -0.0360745751496732)); #142295=CARTESIAN_POINT('Ctrl Pts',(0.00949685732664542,-0.707482581990722, -0.0258021938384901)); #142296=CARTESIAN_POINT('Ctrl Pts',(0.00956137921258134,-0.705430924610693, -0.0199999999999998)); #142297=CARTESIAN_POINT('',(0.0195972539744741,-0.714858940854457,-0.0168411907310394)); #142298=CARTESIAN_POINT('Origin',(0.00959877702291024,-0.714858940854457, -0.0166666666666666)); #142299=CARTESIAN_POINT('Ctrl Pts',(0.0195972539744741,-0.714858940854457, -0.0168411907310394)); #142300=CARTESIAN_POINT('Ctrl Pts',(0.0195297355276895,-0.716226809639805, -0.0207093199566996)); #142301=CARTESIAN_POINT('Ctrl Pts',(0.0194101988058534,-0.721422845657696, -0.027557574164155)); #142302=CARTESIAN_POINT('Ctrl Pts',(0.0193645197754312,-0.729612754823613, -0.0301745240643728)); #142303=CARTESIAN_POINT('Ctrl Pts',(0.0193645197754312,-0.733716173820132, -0.0301745240643728)); #142304=CARTESIAN_POINT('Ctrl Pts',(0.0198253813800021,-0.707193813529173, -0.00377178042141822)); #142305=CARTESIAN_POINT('Ctrl Pts',(0.0197587595147535,-0.710547824444356, -0.00758854452527869)); #142306=CARTESIAN_POINT('Ctrl Pts',(0.0196808657500017,-0.713165034325527, -0.0120510753191876)); #142307=CARTESIAN_POINT('Ctrl Pts',(0.0195972539744741,-0.714858940854457, -0.0168411907310393)); #142308=CARTESIAN_POINT('Ctrl Pts',(0.0197953861511433,-0.705237700890494, -0.00549020593184748)); #142309=CARTESIAN_POINT('Ctrl Pts',(0.0197331748557282,-0.708370569714982, -0.0090603658285105)); #142310=CARTESIAN_POINT('Ctrl Pts',(0.019660084085626,-0.710814433967486, -0.0132347535786907)); #142311=CARTESIAN_POINT('Ctrl Pts',(0.0195820433326047,-0.712393952293393, -0.0177126078201181)); #142312=CARTESIAN_POINT('Ctrl Pts',(0.0175917374197972,-0.701342078834727, -0.00887504904923245)); #142313=CARTESIAN_POINT('Ctrl Pts',(0.0175322045643821,-0.704036457511532, -0.0119557847542376)); #142314=CARTESIAN_POINT('Ctrl Pts',(0.0174631974233619,-0.706132374406681, -0.015551839868818)); #142315=CARTESIAN_POINT('Ctrl Pts',(0.0173893600977723,-0.707485267506744, -0.0194101700646538)); #142316=CARTESIAN_POINT('Ctrl Pts',(0.0123999594821031,-0.6996983711946, -0.010228847003621)); #142317=CARTESIAN_POINT('Ctrl Pts',(0.0123334441582702,-0.702210155512791, -0.0130950967680612)); #142318=CARTESIAN_POINT('Ctrl Pts',(0.0122567759965011,-0.704171772682375, -0.0164510254018692)); #142319=CARTESIAN_POINT('Ctrl Pts',(0.0121755370864061,-0.705436047491328, -0.0200438187146525)); #142320=CARTESIAN_POINT('Ctrl Pts',(0.00979630173915991,-0.699681822515921, -0.010197942267784)); #142321=CARTESIAN_POINT('Ctrl Pts',(0.00972651429574442,-0.702197411450179, -0.0130605153456816)); #142322=CARTESIAN_POINT('Ctrl Pts',(0.00964631849101109,-0.704160406533195, -0.0164074134411125)); #142323=CARTESIAN_POINT('Ctrl Pts',(0.00956137921258158,-0.705430924610693, -0.0199999999999998)); #142324=CARTESIAN_POINT('',(0.00979630173915991,-0.699681822515921,-0.010197942267784)); #142325=CARTESIAN_POINT('Ctrl Pts',(0.00956137921258158,-0.705430924610693, -0.0199999999999998)); #142326=CARTESIAN_POINT('Ctrl Pts',(0.00964631849101109,-0.704160406533195, -0.0164074134411125)); #142327=CARTESIAN_POINT('Ctrl Pts',(0.00972651429574442,-0.702197411450179, -0.0130605153456816)); #142328=CARTESIAN_POINT('Ctrl Pts',(0.00979630173915991,-0.699681822515921, -0.010197942267784)); #142329=CARTESIAN_POINT('',(0.0198253813798618,-0.707193813520025,-0.00377178042945471)); #142330=CARTESIAN_POINT('Origin',(0.0098269044284382,-0.707193813529173, -0.00359725635704533)); #142331=CARTESIAN_POINT('Ctrl Pts',(0.0198253813800021,-0.707193813529173, -0.00377178042141822)); #142332=CARTESIAN_POINT('Ctrl Pts',(0.0197587595147535,-0.710547824444356, -0.00758854452527869)); #142333=CARTESIAN_POINT('Ctrl Pts',(0.0196808657500017,-0.713165034325527, -0.0120510753191876)); #142334=CARTESIAN_POINT('Ctrl Pts',(0.0195972539744741,-0.714858940854457, -0.0168411907310393)); #142335=CARTESIAN_POINT('Ctrl Pts',(0.922275809650724,-0.505000756469492, -0.00275437944725114)); #142336=CARTESIAN_POINT('Ctrl Pts',(0.923379470849074,-0.50528808168425, -0.00307248374700129)); #142337=CARTESIAN_POINT('Ctrl Pts',(0.925598749253837,-0.505811639937005, -0.00375347706013014)); #142338=CARTESIAN_POINT('Ctrl Pts',(0.930315418963295,-0.507433104158853, -0.00575698197885802)); #142339=CARTESIAN_POINT('Ctrl Pts',(0.932802206186063,-0.509470324335301, -0.00816214122620712)); #142340=CARTESIAN_POINT('Ctrl Pts',(0.933549332950222,-0.511104809258178, -0.0102084176726905)); #142341=CARTESIAN_POINT('Ctrl Pts',(0.921577525938285,-0.506304975048171, -0.00318515604242988)); #142342=CARTESIAN_POINT('Ctrl Pts',(0.922612374675769,-0.506783743655952, -0.00360252662831128)); #142343=CARTESIAN_POINT('Ctrl Pts',(0.924594397359467,-0.507523712196608, -0.00441140030559029)); #142344=CARTESIAN_POINT('Ctrl Pts',(0.928563677505834,-0.509181489346568, -0.00649091839423636)); #142345=CARTESIAN_POINT('Ctrl Pts',(0.930532770482262,-0.510794630266942, -0.00854400054899179)); #142346=CARTESIAN_POINT('Ctrl Pts',(0.931161416064091,-0.512138523649324, -0.0102375893933815)); #142347=CARTESIAN_POINT('Ctrl Pts',(0.920298713895252,-0.509192420844792, -0.00338700961333046)); #142348=CARTESIAN_POINT('Ctrl Pts',(0.921238379057839,-0.510151545681599, -0.00381321515835397)); #142349=CARTESIAN_POINT('Ctrl Pts',(0.922831605907864,-0.511525369377517, -0.00454532035983451)); #142350=CARTESIAN_POINT('Ctrl Pts',(0.925536440498991,-0.513745592131799, -0.00627806946348518)); #142351=CARTESIAN_POINT('Ctrl Pts',(0.926594714129956,-0.514804671596779, -0.00772384304226359)); #142352=CARTESIAN_POINT('Ctrl Pts',(0.9270334308707,-0.515680954273318, -0.008881379682659)); #142353=CARTESIAN_POINT('Ctrl Pts',(0.919521377505535,-0.512033913139558, -0.00223153654574372)); #142354=CARTESIAN_POINT('Ctrl Pts',(0.920540856092205,-0.513396603026725, -0.00228827990926989)); #142355=CARTESIAN_POINT('Ctrl Pts',(0.922183769468199,-0.515234947187168, -0.00230083139548659)); #142356=CARTESIAN_POINT('Ctrl Pts',(0.924944519106978,-0.517977046827546, -0.00292002820788713)); #142357=CARTESIAN_POINT('Ctrl Pts',(0.926099520089761,-0.51922620106011, -0.00426938359919184)); #142358=CARTESIAN_POINT('Ctrl Pts',(0.926526614810182,-0.520125299139113, -0.00550213162180095)); #142359=CARTESIAN_POINT('Ctrl Pts',(0.9192991347555,-0.513294508963864, -0.00137382969210307)); #142360=CARTESIAN_POINT('Ctrl Pts',(0.920411205622991,-0.514775718291363, -0.00118421690345142)); #142361=CARTESIAN_POINT('Ctrl Pts',(0.922198698417125,-0.516671795688412, -0.000771536421617364)); #142362=CARTESIAN_POINT('Ctrl Pts',(0.925362527626054,-0.519431347509461, -0.000868797184703824)); #142363=CARTESIAN_POINT('Ctrl Pts',(0.926794135408307,-0.520895523310727, -0.00236856832250562)); #142364=CARTESIAN_POINT('Ctrl Pts',(0.927263182674218,-0.521937220013044, -0.00378574762773056)); #142365=CARTESIAN_POINT('Ctrl Pts',(0.927263182674218,-0.521937220013044, -0.00378574762773056)); #142366=CARTESIAN_POINT('Ctrl Pts',(0.926794135408307,-0.520895523310727, -0.00236856832250562)); #142367=CARTESIAN_POINT('Ctrl Pts',(0.925362527626054,-0.519431347509461, -0.000868797184703824)); #142368=CARTESIAN_POINT('Ctrl Pts',(0.922198698417125,-0.516671795688412, -0.000771536421617364)); #142369=CARTESIAN_POINT('Ctrl Pts',(0.920411205622991,-0.514775718291363, -0.00118421690345142)); #142370=CARTESIAN_POINT('Ctrl Pts',(0.9192991347555,-0.513294508963864, -0.00137382969210307)); #142371=CARTESIAN_POINT('Ctrl Pts',(0.922275809650724,-0.505000756469492, -0.00275437944725114)); #142372=CARTESIAN_POINT('Ctrl Pts',(0.923379470849074,-0.50528808168425, -0.00307248374700129)); #142373=CARTESIAN_POINT('Ctrl Pts',(0.925598749253837,-0.505811639937005, -0.00375347706013014)); #142374=CARTESIAN_POINT('Ctrl Pts',(0.930315418963295,-0.507433104158853, -0.00575698197885802)); #142375=CARTESIAN_POINT('Ctrl Pts',(0.932802206186063,-0.509470324335301, -0.00816214122620712)); #142376=CARTESIAN_POINT('Ctrl Pts',(0.933549332950222,-0.511104809258178, -0.0102084176726905)); #142377=CARTESIAN_POINT('Ctrl Pts',(0.0245078653730552,-0.692113270917277, -0.00146463180868458)); #142378=CARTESIAN_POINT('Ctrl Pts',(0.024360188306136,-0.693327215313774, -0.00155477972165751)); #142379=CARTESIAN_POINT('Ctrl Pts',(0.0241748613609352,-0.694363431357195, -0.00151392562531656)); #142380=CARTESIAN_POINT('Ctrl Pts',(0.0239759516069061,-0.695288798923679, -0.0014456231873407)); #142381=CARTESIAN_POINT('Ctrl Pts',(0.0239395618801001,-0.69545429723292, -0.00143228938937955)); #142382=CARTESIAN_POINT('Ctrl Pts',(0.0238939045861343,-0.695656216113573, -0.0014148012531277)); #142383=CARTESIAN_POINT('Ctrl Pts',(0.0238479646657513,-0.695851845193146, -0.00139610441976045)); #142384=CARTESIAN_POINT('Ctrl Pts',(0.0238111057417936,-0.69600476893022, -0.00138067337818516)); #142385=CARTESIAN_POINT('Ctrl Pts',(0.0237926368071456,-0.696080065402451, -0.0013727922498207)); #142386=CARTESIAN_POINT('Ctrl Pts',(0.023783395497461,-0.696117429305789, -0.00136882160800663)); #142387=CARTESIAN_POINT('Ctrl Pts',(0.0230207691126396,-0.690765191303672, -0.00237241399613089)); #142388=CARTESIAN_POINT('Ctrl Pts',(0.0228860974619015,-0.69170135478144, -0.00261366461949527)); #142389=CARTESIAN_POINT('Ctrl Pts',(0.0227162693771024,-0.692525176910132, -0.00278175484952899)); #142390=CARTESIAN_POINT('Ctrl Pts',(0.0225483359073377,-0.693283464271815, -0.00294262825546888)); #142391=CARTESIAN_POINT('Ctrl Pts',(0.0225176922692284,-0.693419468888287, -0.00297117889771397)); #142392=CARTESIAN_POINT('Ctrl Pts',(0.0224794973032161,-0.69358620646315, -0.00300596716964932)); #142393=CARTESIAN_POINT('Ctrl Pts',(0.0224412504784318,-0.693748733958967, -0.00303926352631171)); #142394=CARTESIAN_POINT('Ctrl Pts',(0.0224109068203747,-0.693876356110288, -0.00306561738982302)); #142395=CARTESIAN_POINT('Ctrl Pts',(0.0223957358578727,-0.693939381696049, -0.00307852055220886)); #142396=CARTESIAN_POINT('Ctrl Pts',(0.022388186150714,-0.693970702174244, -0.00308498265084085)); #142397=CARTESIAN_POINT('Ctrl Pts',(0.0211458209311638,-0.689421309260142, -0.0026344190716943)); #142398=CARTESIAN_POINT('Ctrl Pts',(0.0209318258126168,-0.690024423898705, -0.00289547840056268)); #142399=CARTESIAN_POINT('Ctrl Pts',(0.0206599786919864,-0.690555940659551, -0.00313225411459595)); #142400=CARTESIAN_POINT('Ctrl Pts',(0.0203777107663982,-0.691050512363683, -0.00338017176014989)); #142401=CARTESIAN_POINT('Ctrl Pts',(0.0203261090516729,-0.691139319055477, -0.00342494682547508)); #142402=CARTESIAN_POINT('Ctrl Pts',(0.0202615189789866,-0.691248445229629, -0.00348048858480133)); #142403=CARTESIAN_POINT('Ctrl Pts',(0.0201966226125381,-0.691355176818296, -0.00353519252315373)); #142404=CARTESIAN_POINT('Ctrl Pts',(0.0201447855968629,-0.691439153325106, -0.00357899931760217)); #142405=CARTESIAN_POINT('Ctrl Pts',(0.0201188287158289,-0.691480704282037, -0.00360073457406023)); #142406=CARTESIAN_POINT('Ctrl Pts',(0.0201058696234455,-0.691501363609111, -0.00361163762055087)); #142407=CARTESIAN_POINT('Ctrl Pts',(0.0192673013490192,-0.688357060500664, -0.0021969477130269)); #142408=CARTESIAN_POINT('Ctrl Pts',(0.0189715204133799,-0.68869307402271, -0.00233216957243094)); #142409=CARTESIAN_POINT('Ctrl Pts',(0.0185960628233503,-0.6890139421767, -0.00246806884591062)); #142410=CARTESIAN_POINT('Ctrl Pts',(0.0181923933270461,-0.689338890081482, -0.00261159139074072)); #142411=CARTESIAN_POINT('Ctrl Pts',(0.018118520218692,-0.689397731874159, -0.00263770098776119)); #142412=CARTESIAN_POINT('Ctrl Pts',(0.0180258118675495,-0.689470948308651, -0.00267039061612132)); #142413=CARTESIAN_POINT('Ctrl Pts',(0.0179324983756267,-0.689543738119093, -0.00270316733175439)); #142414=CARTESIAN_POINT('Ctrl Pts',(0.0178576177947646,-0.689601758399582, -0.00272945569106775)); #142415=CARTESIAN_POINT('Ctrl Pts',(0.017820092992492,-0.689630699459398, -0.00274262348613838)); #142416=CARTESIAN_POINT('Ctrl Pts',(0.017801315883688,-0.68964515444229, -0.00274921381923295)); #142417=CARTESIAN_POINT('',(0.023783395497461,-0.696117429305789,-0.0013688216080064)); #142418=CARTESIAN_POINT('',(0.017801315883688,-0.68964515444229,-0.00274921381923298)); #142419=CARTESIAN_POINT('Origin',(0.0178324024440764,-0.69382693974899, 0.00633438157435609)); #142420=CARTESIAN_POINT('',(0.0192673013490192,-0.688357060500664,-0.00219694771302684)); #142421=CARTESIAN_POINT('Ctrl Pts',(0.017801315883688,-0.68964515444229, -0.00274921381923295)); #142422=CARTESIAN_POINT('Ctrl Pts',(0.017820092992492,-0.689630699459398, -0.00274262348613838)); #142423=CARTESIAN_POINT('Ctrl Pts',(0.0178576177947646,-0.689601758399582, -0.00272945569106775)); #142424=CARTESIAN_POINT('Ctrl Pts',(0.0179324983756267,-0.689543738119093, -0.00270316733175439)); #142425=CARTESIAN_POINT('Ctrl Pts',(0.0180258118675495,-0.689470948308651, -0.00267039061612132)); #142426=CARTESIAN_POINT('Ctrl Pts',(0.018118520218692,-0.689397731874159, -0.00263770098776119)); #142427=CARTESIAN_POINT('Ctrl Pts',(0.0181923933270461,-0.689338890081482, -0.00261159139074072)); #142428=CARTESIAN_POINT('Ctrl Pts',(0.0185960628233503,-0.6890139421767, -0.00246806884591062)); #142429=CARTESIAN_POINT('Ctrl Pts',(0.0189715204133799,-0.68869307402271, -0.00233216957243094)); #142430=CARTESIAN_POINT('Ctrl Pts',(0.0192673013490192,-0.688357060500664, -0.0021969477130269)); #142431=CARTESIAN_POINT('',(0.0245078653730552,-0.692113270917277,-0.00146463180868458)); #142432=CARTESIAN_POINT('Origin',(0.0192975400628004,-0.692113270974437, 0.00707073638263087)); #142433=CARTESIAN_POINT('Ctrl Pts',(0.0245078653730552,-0.692113270917277, -0.00146463180868458)); #142434=CARTESIAN_POINT('Ctrl Pts',(0.024360188306136,-0.693327215313774, -0.00155477972165751)); #142435=CARTESIAN_POINT('Ctrl Pts',(0.0241748613609352,-0.694363431357195, -0.00151392562531656)); #142436=CARTESIAN_POINT('Ctrl Pts',(0.0239759516069061,-0.695288798923679, -0.0014456231873407)); #142437=CARTESIAN_POINT('Ctrl Pts',(0.0239395618801001,-0.69545429723292, -0.00143228938937955)); #142438=CARTESIAN_POINT('Ctrl Pts',(0.0238939045861343,-0.695656216113573, -0.0014148012531277)); #142439=CARTESIAN_POINT('Ctrl Pts',(0.0238479646657513,-0.695851845193146, -0.00139610441976045)); #142440=CARTESIAN_POINT('Ctrl Pts',(0.0238111057417936,-0.69600476893022, -0.00138067337818516)); #142441=CARTESIAN_POINT('Ctrl Pts',(0.0237926368071456,-0.696080065402451, -0.0013727922498207)); #142442=CARTESIAN_POINT('Ctrl Pts',(0.023783395497461,-0.696117429305789, -0.00136882160800663)); #142443=CARTESIAN_POINT('Ctrl Pts',(0.0297181906833084,-0.676980238061693, -1.36592399683213E-18)); #142444=CARTESIAN_POINT('Ctrl Pts',(0.0297002221583182,-0.677031513040179, -3.98104878256138E-18)); #142445=CARTESIAN_POINT('Ctrl Pts',(0.0296822518226141,-0.677082785608713, -4.85508702151826E-8)); #142446=CARTESIAN_POINT('Ctrl Pts',(0.0279024473717667,-0.682162439976194, -9.62611437327271E-6)); #142447=CARTESIAN_POINT('Ctrl Pts',(0.0261114316875797,-0.687257078024209, -0.00048575169854006)); #142448=CARTESIAN_POINT('Ctrl Pts',(0.0245078653730552,-0.692113270974437, -0.00146463180868453)); #142449=CARTESIAN_POINT('Ctrl Pts',(0.0297181906833079,-0.676980238061693, -1.3659239969397E-18)); #142450=CARTESIAN_POINT('Ctrl Pts',(0.0296941703888769,-0.677027261552549, 1.7502143994475E-7)); #142451=CARTESIAN_POINT('Ctrl Pts',(0.0296701764833665,-0.677074332715592, -2.60682789177785E-7)); #142452=CARTESIAN_POINT('Ctrl Pts',(0.0272916705936768,-0.681733892383519, -1.01350157225494E-5)); #142453=CARTESIAN_POINT('Ctrl Pts',(0.0249038743073669,-0.686408172842337, -0.000832775802043539)); #142454=CARTESIAN_POINT('Ctrl Pts',(0.0230207691154739,-0.690765191343566, -0.00237241400342865)); #142455=CARTESIAN_POINT('Ctrl Pts',(0.0297181906833074,-0.676980238061693, -1.36592399683186E-18)); #142456=CARTESIAN_POINT('Ctrl Pts',(0.0296881415628255,-0.677023036170384, 1.37051744018321E-7)); #142457=CARTESIAN_POINT('Ctrl Pts',(0.0296580769901937,-0.677065853783004, -2.29692297418298E-7)); #142458=CARTESIAN_POINT('Ctrl Pts',(0.0266815878864319,-0.68130608344072, -1.30843516653975E-5)); #142459=CARTESIAN_POINT('Ctrl Pts',(0.0236896868752477,-0.685557517518674, -0.000909049488919659)); #142460=CARTESIAN_POINT('Ctrl Pts',(0.0211458209326321,-0.689421309278607, -0.00263441907918295)); #142461=CARTESIAN_POINT('Ctrl Pts',(0.029718190683307,-0.676980238061692, -1.36592399683213E-18)); #142462=CARTESIAN_POINT('Ctrl Pts',(0.0296820951265586,-0.677018808363052, -6.63094307938601E-18)); #142463=CARTESIAN_POINT('Ctrl Pts',(0.0296459967463289,-0.677057376550621, -7.28263053506276E-8)); #142464=CARTESIAN_POINT('Ctrl Pts',(0.0260707712531484,-0.680878386741194, -1.44391715605203E-5)); #142465=CARTESIAN_POINT('Ctrl Pts',(0.0224790684508226,-0.684708423864265, -0.000728627547810139)); #142466=CARTESIAN_POINT('Ctrl Pts',(0.0192673013490193,-0.688357060500664, -0.00219694771302686)); #142467=CARTESIAN_POINT('Ctrl Pts',(0.0192673013490193,-0.688357060500664, -0.00219694771302686)); #142468=CARTESIAN_POINT('Ctrl Pts',(0.0224790684508226,-0.684708423864265, -0.000728627547810139)); #142469=CARTESIAN_POINT('Ctrl Pts',(0.0260707712531484,-0.680878386741194, -1.44391715605203E-5)); #142470=CARTESIAN_POINT('Ctrl Pts',(0.0296459967463289,-0.677057376550621, -7.28263053506276E-8)); #142471=CARTESIAN_POINT('Ctrl Pts',(0.0296820951265586,-0.677018808363052, -6.63094307938601E-18)); #142472=CARTESIAN_POINT('Ctrl Pts',(0.029718190683307,-0.676980238061692, -1.36592399683213E-18)); #142473=CARTESIAN_POINT('Ctrl Pts',(0.0297181906833084,-0.676980238061693, -1.36592399683213E-18)); #142474=CARTESIAN_POINT('Ctrl Pts',(0.0297002221583182,-0.677031513040179, -3.98104878256138E-18)); #142475=CARTESIAN_POINT('Ctrl Pts',(0.0296822518226141,-0.677082785608713, -4.85508702151826E-8)); #142476=CARTESIAN_POINT('Ctrl Pts',(0.0279024473777793,-0.682162439959034, -9.62611434091716E-6)); #142477=CARTESIAN_POINT('Ctrl Pts',(0.0261114316998041,-0.687257077989436, -0.000485751695290298)); #142478=CARTESIAN_POINT('Ctrl Pts',(0.0245078653894728,-0.692113270924719, -0.00146463179866261)); #142479=CARTESIAN_POINT('Ctrl Pts',(0.00979630173916517,-0.699681822515734, -0.0101979422675708)); #142480=CARTESIAN_POINT('Ctrl Pts',(0.00983372129455707,-0.698332980731462, -0.00866304993069363)); #142481=CARTESIAN_POINT('Ctrl Pts',(0.0108446225033325,-0.695817710656287, -0.00636466088721948)); #142482=CARTESIAN_POINT('Ctrl Pts',(0.0136664232910186,-0.692863166204567, -0.00441979818326028)); #142483=CARTESIAN_POINT('Ctrl Pts',(0.0159673493970283,-0.691013207091736, -0.00340015081409051)); #142484=CARTESIAN_POINT('Ctrl Pts',(0.0171981367387534,-0.690109493458052, -0.00296091581884909)); #142485=CARTESIAN_POINT('Ctrl Pts',(0.0178013158836873,-0.68964515444229, -0.0027492138192332)); #142486=CARTESIAN_POINT('Ctrl Pts',(0.0123999594821069,-0.699698371194356, -0.0102288470033426)); #142487=CARTESIAN_POINT('Ctrl Pts',(0.0124407453718843,-0.698585493551474, -0.00895869850631826)); #142488=CARTESIAN_POINT('Ctrl Pts',(0.0132520487570262,-0.696565950110394, -0.00702239242935469)); #142489=CARTESIAN_POINT('Ctrl Pts',(0.0155158196142891,-0.693990316316997, -0.00512585566602145)); #142490=CARTESIAN_POINT('Ctrl Pts',(0.0174103641021337,-0.692150420737601, -0.00399926811029066)); #142491=CARTESIAN_POINT('Ctrl Pts',(0.0184425706490447,-0.691137383669808, -0.00345843593059329)); #142492=CARTESIAN_POINT('Ctrl Pts',(0.0189535927535661,-0.690573259025701, -0.00318042571989222)); #142493=CARTESIAN_POINT('Ctrl Pts',(0.0175918413120944,-0.701342000539024, -0.00887511966488364)); #142494=CARTESIAN_POINT('Ctrl Pts',(0.017638428427337,-0.700608611756514, -0.00800725830429325)); #142495=CARTESIAN_POINT('Ctrl Pts',(0.018044768768518,-0.699333508551474, -0.0066625045441704)); #142496=CARTESIAN_POINT('Ctrl Pts',(0.0192092960541093,-0.697064971864187, -0.00515009544710244)); #142497=CARTESIAN_POINT('Ctrl Pts',(0.0202964966149831,-0.694944797935599, -0.00417484504742892)); #142498=CARTESIAN_POINT('Ctrl Pts',(0.0209320642628191,-0.693566714565874, -0.0036670968929545)); #142499=CARTESIAN_POINT('Ctrl Pts',(0.0212593322620318,-0.692732101881606, -0.00338320106922278)); #142500=CARTESIAN_POINT('Ctrl Pts',(0.0197953861511485,-0.705237700890034, -0.00549020593134133)); #142501=CARTESIAN_POINT('Ctrl Pts',(0.0198269458912418,-0.704491707474507, -0.00456541606753911)); #142502=CARTESIAN_POINT('Ctrl Pts',(0.0202346067259478,-0.703039199329389, -0.00325167573388537)); #142503=CARTESIAN_POINT('Ctrl Pts',(0.0213240476504737,-0.700429885786624, -0.00245042731722418)); #142504=CARTESIAN_POINT('Ctrl Pts',(0.022277688020363,-0.697893081687404, -0.00227530494303772)); #142505=CARTESIAN_POINT('Ctrl Pts',(0.0228148485518746,-0.696147423582569, -0.00226394583274108)); #142506=CARTESIAN_POINT('Ctrl Pts',(0.0230857908240872,-0.695044065740017, -0.00222690212942385)); #142507=CARTESIAN_POINT('Ctrl Pts',(0.0198253813800113,-0.707193813528695, -0.00377178042089477)); #142508=CARTESIAN_POINT('Ctrl Pts',(0.0198439446934691,-0.70633664404556, -0.00270828890257546)); #142509=CARTESIAN_POINT('Ctrl Pts',(0.020348358873929,-0.704613178962388, -0.00122768566710462)); #142510=CARTESIAN_POINT('Ctrl Pts',(0.0217467348456331,-0.701812811097783, -0.000692084792213126)); #142511=CARTESIAN_POINT('Ctrl Pts',(0.0228813075515145,-0.699169178117359, -0.000946607075251652)); #142512=CARTESIAN_POINT('Ctrl Pts',(0.0234865358833518,-0.697317674109273, -0.00124127224142129)); #142513=CARTESIAN_POINT('Ctrl Pts',(0.0237833954974607,-0.69611742930579, -0.0013688216080066)); #142514=CARTESIAN_POINT('Ctrl Pts',(0.0237833954974607,-0.69611742930579, -0.0013688216080066)); #142515=CARTESIAN_POINT('Ctrl Pts',(0.0234865358833518,-0.697317674109273, -0.00124127224142129)); #142516=CARTESIAN_POINT('Ctrl Pts',(0.0228813075515145,-0.699169178117359, -0.000946607075251652)); #142517=CARTESIAN_POINT('Ctrl Pts',(0.0217467348456331,-0.701812811097783, -0.000692084792213126)); #142518=CARTESIAN_POINT('Ctrl Pts',(0.020348358873929,-0.704613178962388, -0.00122768566710462)); #142519=CARTESIAN_POINT('Ctrl Pts',(0.0198439446934691,-0.70633664404556, -0.00270828890257546)); #142520=CARTESIAN_POINT('Ctrl Pts',(0.0198253813800113,-0.707193813528695, -0.00377178042089477)); #142521=CARTESIAN_POINT('Ctrl Pts',(0.00979630173916517,-0.699681822515734, -0.0101979422675708)); #142522=CARTESIAN_POINT('Ctrl Pts',(0.00983372129455707,-0.698332980731462, -0.00866304993069363)); #142523=CARTESIAN_POINT('Ctrl Pts',(0.0108446225033325,-0.695817710656287, -0.00636466088721948)); #142524=CARTESIAN_POINT('Ctrl Pts',(0.0136664232910186,-0.692863166204567, -0.00441979818326028)); #142525=CARTESIAN_POINT('Ctrl Pts',(0.0159673493970283,-0.691013207091736, -0.00340015081409051)); #142526=CARTESIAN_POINT('Ctrl Pts',(0.0171981367387534,-0.690109493458052, -0.00296091581884909)); #142527=CARTESIAN_POINT('Ctrl Pts',(0.0178013158836873,-0.68964515444229, -0.0027492138192332)); #142528=CARTESIAN_POINT('Ctrl Pts',(0.913614015156242,-0.515061175816469, 0.000500819428521902)); #142529=CARTESIAN_POINT('Ctrl Pts',(0.914542117273809,-0.517249951857794, 0.00121606708767363)); #142530=CARTESIAN_POINT('Ctrl Pts',(0.916160638632886,-0.521207138455005, 0.00419895198109039)); #142531=CARTESIAN_POINT('Ctrl Pts',(0.916697462062211,-0.522835758151348, 0.00912317673358077)); #142532=CARTESIAN_POINT('Ctrl Pts',(0.916641085267704,-0.522905795319061, 0.0116048928156924)); #142533=CARTESIAN_POINT('Ctrl Pts',(0.915999124013479,-0.514064849040586, 0.000496704067891965)); #142534=CARTESIAN_POINT('Ctrl Pts',(0.916928245993446,-0.516261062353905, 0.00121094257057322)); #142535=CARTESIAN_POINT('Ctrl Pts',(0.918555447873362,-0.520237689423096, 0.00421479860237999)); #142536=CARTESIAN_POINT('Ctrl Pts',(0.919099042084572,-0.521858789805419, 0.00916577612161487)); #142537=CARTESIAN_POINT('Ctrl Pts',(0.919044534513714,-0.521917775731408, 0.0116497372882987)); #142538=CARTESIAN_POINT('Ctrl Pts',(0.92097931621804,-0.512644469605566, -0.00153562439300895)); #142539=CARTESIAN_POINT('Ctrl Pts',(0.922077077276685,-0.515259955324316, -0.000688090271414499)); #142540=CARTESIAN_POINT('Ctrl Pts',(0.924011639322466,-0.519979597837241, 0.00287304267678012)); #142541=CARTESIAN_POINT('Ctrl Pts',(0.924686392043167,-0.521873156676969, 0.00875027967008968)); #142542=CARTESIAN_POINT('Ctrl Pts',(0.924642403827202,-0.521928039303611, 0.0117016904456375)); #142543=CARTESIAN_POINT('Ctrl Pts',(0.92358330814864,-0.51315004049058, -0.00642579567961825)); #142544=CARTESIAN_POINT('Ctrl Pts',(0.925076498695116,-0.516719849013337, -0.00526363678118221)); #142545=CARTESIAN_POINT('Ctrl Pts',(0.92771791012925,-0.52316018623776, -0.000404987150179615)); #142546=CARTESIAN_POINT('Ctrl Pts',(0.928672788272017,-0.525767771519969, 0.00761356150068197)); #142547=CARTESIAN_POINT('Ctrl Pts',(0.928632976053227,-0.525853797765448, 0.0116497372882987)); #142548=CARTESIAN_POINT('Ctrl Pts',(0.923914097724516,-0.513818774072088, -0.00890062939016541)); #142549=CARTESIAN_POINT('Ctrl Pts',(0.92560820913949,-0.517868855402374, -0.00757980537846816)); #142550=CARTESIAN_POINT('Ctrl Pts',(0.928604375516129,-0.525173456597795, -0.00207141127057746)); #142551=CARTESIAN_POINT('Ctrl Pts',(0.929691776834362,-0.528141441546838, 0.00702199044680399)); #142552=CARTESIAN_POINT('Ctrl Pts',(0.929649052636995,-0.528245520859715, 0.0116048928156924)); #142553=CARTESIAN_POINT('',(0.929649052636995,-0.528245520859715,0.0116048928156924)); #142554=CARTESIAN_POINT('Ctrl Pts',(0.927260160053701,-0.521930446873731, -0.00377796418551875)); #142555=CARTESIAN_POINT('Ctrl Pts',(0.927576957640252,-0.522712679710318, -0.002974243142004)); #142556=CARTESIAN_POINT('Ctrl Pts',(0.929009779364262,-0.526279977713197, 0.00131878146418095)); #142557=CARTESIAN_POINT('Ctrl Pts',(0.929691776834362,-0.528141441546838, 0.00702199044680399)); #142558=CARTESIAN_POINT('Ctrl Pts',(0.929649052636995,-0.528245520859715, 0.0116048928156924)); #142559=CARTESIAN_POINT('',(0.916641085267704,-0.522905795319061,0.0116048928156924)); #142560=CARTESIAN_POINT('Origin',(0.920445406075948,-0.532152237042173, 0.0114303687513196)); #142561=CARTESIAN_POINT('',(0.913614015156242,-0.515061175816469,0.000500819428521902)); #142562=CARTESIAN_POINT('Ctrl Pts',(0.916641085267704,-0.522905795319061, 0.0116048928156924)); #142563=CARTESIAN_POINT('Ctrl Pts',(0.916697462062211,-0.522835758151348, 0.00912317673358077)); #142564=CARTESIAN_POINT('Ctrl Pts',(0.916160638632886,-0.521207138455005, 0.00419895198109039)); #142565=CARTESIAN_POINT('Ctrl Pts',(0.914542117273809,-0.517249951857794, 0.00121606708767363)); #142566=CARTESIAN_POINT('Ctrl Pts',(0.913614015156242,-0.515061175816469, 0.000500819428521902)); #142567=CARTESIAN_POINT('Origin',(0.914710451163469,-0.517725490254547, -0.00907515345453825)); #142568=CARTESIAN_POINT('Origin',(1.07216751881292,-0.864819748053415,0.03)); #142569=CARTESIAN_POINT('',(1.06433352387865,-0.851700498308959,0.149457080790568)); #142570=CARTESIAN_POINT('Origin',(0.00920364656104308,1.39620671618246, 5.4731181968202)); #142571=CARTESIAN_POINT('',(0.944682274291097,-0.572040837741164,0.199174524064373)); #142572=CARTESIAN_POINT('Ctrl Pts',(0.944682274291097,-0.572040837741165, 0.199174524064373)); #142573=CARTESIAN_POINT('Ctrl Pts',(0.985000972272507,-0.667025737767604, 0.199174524064373)); #142574=CARTESIAN_POINT('Ctrl Pts',(1.02558652523519,-0.761886252964332, 0.182317392250569)); #142575=CARTESIAN_POINT('Ctrl Pts',(1.06433352387865,-0.851700498308959, 0.149457080790568)); #142576=CARTESIAN_POINT('',(0.927899585462678,-0.532503300559851,0.199174524064373)); #142577=CARTESIAN_POINT('',(0.264679665530864,1.02994491687296,0.199174524064373)); #142578=CARTESIAN_POINT('Ctrl Pts',(0.929649052636995,-0.528245520859715, 0.0116048928156924)); #142579=CARTESIAN_POINT('Ctrl Pts',(0.929066178895571,-0.529665444515179, 0.0741280907921426)); #142580=CARTESIAN_POINT('Ctrl Pts',(0.928483022794234,-0.531084703529081, 0.136651301230511)); #142581=CARTESIAN_POINT('Ctrl Pts',(0.927899585462683,-0.532503300559863, 0.199174524064373)); #142582=CARTESIAN_POINT('Ctrl Pts',(1.06717309667818,-0.850365460621497, -0.0301745240643863)); #142583=CARTESIAN_POINT('Ctrl Pts',(1.06622685369262,-0.850811071130454, 0.0297012290242493)); #142584=CARTESIAN_POINT('Ctrl Pts',(1.06528032917423,-0.851256083811362, 0.0895784465762909)); #142585=CARTESIAN_POINT('Ctrl Pts',(1.06433352387865,-0.851700498308959, 0.149457080790559)); #142586=CARTESIAN_POINT('Ctrl Pts',(1.06817086183619,-0.852715970970259, -0.0301762490336016)); #142587=CARTESIAN_POINT('Ctrl Pts',(1.06722491450658,-0.853162224545366, 0.0296995227705351)); #142588=CARTESIAN_POINT('Ctrl Pts',(1.06627862049209,-0.853607889765912, 0.0895767578698336)); #142589=CARTESIAN_POINT('Ctrl Pts',(1.06533211091003,-0.854052947327446, 0.14945541082997)); #142590=CARTESIAN_POINT('Ctrl Pts',(1.06826023247787,-0.858200962249273, -0.0302156573631999)); #142591=CARTESIAN_POINT('Ctrl Pts',(1.06731369642495,-0.858648942939277, 0.0296601296571917)); #142592=CARTESIAN_POINT('Ctrl Pts',(1.06636689484941,-0.859096336465392, 0.0895373814519235)); #142593=CARTESIAN_POINT('Ctrl Pts',(1.06541979534864,-0.859543121483307, 0.149416049663348)); #142594=CARTESIAN_POINT('Ctrl Pts',(1.06453973738728,-0.862231433889946, -0.0303044497812854)); #142595=CARTESIAN_POINT('Ctrl Pts',(1.06359078447751,-0.862679534392311, 0.0295713149353446)); #142596=CARTESIAN_POINT('Ctrl Pts',(1.06264152599341,-0.863126970816561, 0.0894485438375353)); #142597=CARTESIAN_POINT('Ctrl Pts',(1.06169200802658,-0.863573872742419, 0.149327189702815)); #142598=CARTESIAN_POINT('Ctrl Pts',(1.06222992272236,-0.863319185877683, -0.0303490481287592)); #142599=CARTESIAN_POINT('Ctrl Pts',(1.0612798691898,-0.86376659087264,0.0295267049598765)); #142600=CARTESIAN_POINT('Ctrl Pts',(1.06032953299066,-0.864213395632077, 0.0894039225119181)); #142601=CARTESIAN_POINT('Ctrl Pts',(1.05937891488364,-0.864659599799303, 0.149282556726186)); #142602=CARTESIAN_POINT('',(1.05937891488364,-0.864659599799303,0.149282556726195)); #142603=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,5.47294367275583)); #142604=CARTESIAN_POINT('Origin',(1.0551298773176,-0.855607214491418,0.149282556726186)); #142605=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #142606=CARTESIAN_POINT('',(0.0326293503341726,-1.10070734975311,0.149282556726195)); #142607=CARTESIAN_POINT('Origin',(-4.19611851826831E-15,1.3923,-1.71255400398275)); #142608=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.149282556726195)); #142609=CARTESIAN_POINT('Ctrl Pts',(0.0225000011013351,-1.09070820616748, 0.149457080790642)); #142610=CARTESIAN_POINT('Ctrl Pts',(0.0214548473606028,-1.09072188550444, 0.0895802630858442)); #142611=CARTESIAN_POINT('Ctrl Pts',(0.0204096849829607,-1.09073490494839, 0.029702950572813)); #142612=CARTESIAN_POINT('Ctrl Pts',(0.0193645148017065,-1.09074726448896, -0.0301748090088537)); #142613=CARTESIAN_POINT('Ctrl Pts',(0.0225000116250009,-1.09334800932381, 0.149457683691053)); #142614=CARTESIAN_POINT('Ctrl Pts',(0.0214548810398443,-1.09336098076023, 0.0895808459889259)); #142615=CARTESIAN_POINT('Ctrl Pts',(0.020409671385542,-1.09337331170361, 0.0297035148264894)); #142616=CARTESIAN_POINT('Ctrl Pts',(0.0193645243023094,-1.09338496336665, -0.0301742647196755)); #142617=CARTESIAN_POINT('Ctrl Pts',(0.0247087675212735,-1.09860175649695, 0.149420329973951)); #142618=CARTESIAN_POINT('Ctrl Pts',(0.0236623699786277,-1.09861337562346, 0.0895434755520616)); #142619=CARTESIAN_POINT('Ctrl Pts',(0.0226159799125665,-1.09862431073657, 0.029666125936327)); #142620=CARTESIAN_POINT('Ctrl Pts',(0.0215695671263047,-1.09863460992023, -0.0302116702906938)); #142621=CARTESIAN_POINT('Ctrl Pts',(0.0299901752747545,-1.10074189219745, 0.149328631589785)); #142622=CARTESIAN_POINT('Ctrl Pts',(0.0289414964358754,-1.10075448120849, 0.0894518012750972)); #142623=CARTESIAN_POINT('Ctrl Pts',(0.0278928184620406,-1.10076648452335, 0.0295744765044769)); #142624=CARTESIAN_POINT('Ctrl Pts',(0.026844124580183,-1.10077775023925, -0.0303032956617616)); #142625=CARTESIAN_POINT('Ctrl Pts',(0.0326293503341739,-1.10070734975311, 0.149282556726269)); #142626=CARTESIAN_POINT('Ctrl Pts',(0.0315799877300569,-1.10072108417714, 0.0894057390214714)); #142627=CARTESIAN_POINT('Ctrl Pts',(0.0305306164542491,-1.10073415605075, 0.0295284265084401)); #142628=CARTESIAN_POINT('Ctrl Pts',(0.0294812373434039,-1.10074656536354, -0.0303493330732265)); #142629=CARTESIAN_POINT('',(0.0225000011013338,-1.09070820616748,0.149457080790568)); #142630=CARTESIAN_POINT('Origin',(-0.00999847695156793,1.3923,-1.71237947991838)); #142631=CARTESIAN_POINT('Origin',(0.0324984780528985,-1.09070820616748, 0.149282556726238)); #142632=CARTESIAN_POINT('Origin',(0.0204148699999995,-0.69,0.03)); #142633=CARTESIAN_POINT('',(0.0200937822097359,-0.713918008781547,0.0116048928156924)); #142634=CARTESIAN_POINT('Ctrl Pts',(0.0200937822097358,-0.713918008781548, 0.0116048928156925)); #142635=CARTESIAN_POINT('Ctrl Pts',(0.0200138191031391,-0.713839034258953, 0.00702380950688968)); #142636=CARTESIAN_POINT('Ctrl Pts',(0.0199143844392871,-0.711862308734393, 0.00132720143004521)); #142637=CARTESIAN_POINT('Ctrl Pts',(0.0198394994183597,-0.708025938214561, -0.00296295854560348)); #142638=CARTESIAN_POINT('Ctrl Pts',(0.0198255164118805,-0.707186429353975, -0.00376404445027712)); #142639=CARTESIAN_POINT('',(0.0233678223017433,-0.717149413512975,0.199174524064367)); #142640=CARTESIAN_POINT('Ctrl Pts',(0.0233678223017433,-0.717149413512988, 0.199174524064367)); #142641=CARTESIAN_POINT('Ctrl Pts',(0.022276475388522,-0.71607299689596, 0.136651301280071)); #142642=CARTESIAN_POINT('Ctrl Pts',(0.0211851286908017,-0.714995862944493, 0.0741280908418222)); #142643=CARTESIAN_POINT('Ctrl Pts',(0.0200937822097358,-0.713918008781547, 0.0116048928156924)); #142644=CARTESIAN_POINT('',(0.0233678223017433,-0.786691828011332,0.199174524064373)); #142645=CARTESIAN_POINT('',(0.0233678223017452,1.23255899376605,0.199174524064373)); #142646=CARTESIAN_POINT('Ctrl Pts',(0.0225000011013338,-1.09070820616748, 0.149457080790568)); #142647=CARTESIAN_POINT('Ctrl Pts',(0.0230734459604758,-0.992948110562142, 0.182309714768163)); #142648=CARTESIAN_POINT('Ctrl Pts',(0.0233678223017432,-0.889826015801017, 0.199174524064373)); #142649=CARTESIAN_POINT('Ctrl Pts',(0.0233678223017433,-0.786691828011332, 0.199174524064373)); #142650=CARTESIAN_POINT('Ctrl Pts',(0.0299678839328085,-0.703920305437605, 0.0116048928156924)); #142651=CARTESIAN_POINT('Ctrl Pts',(0.0298887032642017,-0.703877985803391, 0.00912416178416783)); #142652=CARTESIAN_POINT('Ctrl Pts',(0.0297484645119343,-0.702170594664425, 0.00420179520136762)); #142653=CARTESIAN_POINT('Ctrl Pts',(0.0296969236091684,-0.697898431572896, 0.00121865024726618)); #142654=CARTESIAN_POINT('Ctrl Pts',(0.0296986880573991,-0.695522275241207, 0.00050251365099468)); #142655=CARTESIAN_POINT('Ctrl Pts',(0.0273717205899001,-0.703953383800022, 0.0116496387686546)); #142656=CARTESIAN_POINT('Ctrl Pts',(0.0272985677731722,-0.703920460640455, 0.00916592288744778)); #142657=CARTESIAN_POINT('Ctrl Pts',(0.0271671633352102,-0.702216272954955, 0.00421545679341342)); #142658=CARTESIAN_POINT('Ctrl Pts',(0.0271151975061018,-0.697921206317557, 0.00121195372194684)); #142659=CARTESIAN_POINT('Ctrl Pts',(0.0271145447150318,-0.69553740080425, 0.000497436831026527)); #142660=CARTESIAN_POINT('Ctrl Pts',(0.022227658420179,-0.70615063811074, 0.0117015496520374)); #142661=CARTESIAN_POINT('Ctrl Pts',(0.0221657483827411,-0.706117341773137, 0.00874995930105387)); #142662=CARTESIAN_POINT('Ctrl Pts',(0.0220475919827758,-0.704111226141824, 0.00287361268687368)); #142663=CARTESIAN_POINT('Ctrl Pts',(0.021985679150878,-0.699012176576738, -0.000685638285298446)); #142664=CARTESIAN_POINT('Ctrl Pts',(0.021974993748454,-0.696176460605048, -0.00153310838693052)); #142665=CARTESIAN_POINT('Ctrl Pts',(0.0200945632532501,-0.71132163483485, 0.0116496387686546)); #142666=CARTESIAN_POINT('Ctrl Pts',(0.0200243218161586,-0.711258105390408, 0.00761460169080622)); #142667=CARTESIAN_POINT('Ctrl Pts',(0.0198844782795835,-0.708486406084194, -0.000401758956314415)); #142668=CARTESIAN_POINT('Ctrl Pts',(0.0197994712014717,-0.701529657643932, -0.00526044590422752)); #142669=CARTESIAN_POINT('Ctrl Pts',(0.0197790926242978,-0.697662059590339, -0.00642366145964585)); #142670=CARTESIAN_POINT('Ctrl Pts',(0.0200937822097358,-0.713918008781548, 0.0116048928156925)); #142671=CARTESIAN_POINT('Ctrl Pts',(0.0200138191031391,-0.713839034258953, 0.00702380950688968)); #142672=CARTESIAN_POINT('Ctrl Pts',(0.0198551530814032,-0.710684810541632, -0.00206616079046095)); #142673=CARTESIAN_POINT('Ctrl Pts',(0.019758995321885,-0.702795561661062, -0.00757503514374967)); #142674=CARTESIAN_POINT('Ctrl Pts',(0.0197359115992817,-0.698407918862589, -0.00889750072599619)); #142675=CARTESIAN_POINT('',(0.0296986880573991,-0.695522275241208,0.000502513650994895)); #142676=CARTESIAN_POINT('Origin',(0.0297343885508457,-0.69840791886259, -0.00907202479036857)); #142677=CARTESIAN_POINT('',(0.0299678839328086,-0.703920305437604,0.0116048928156924)); #142678=CARTESIAN_POINT('Ctrl Pts',(0.0296986880573991,-0.695522275241207, 0.00050251365099468)); #142679=CARTESIAN_POINT('Ctrl Pts',(0.0296969236091684,-0.697898431572896, 0.00121865024726618)); #142680=CARTESIAN_POINT('Ctrl Pts',(0.0297484645119343,-0.702170594664425, 0.00420179520136762)); #142681=CARTESIAN_POINT('Ctrl Pts',(0.0298887032642017,-0.703877985803391, 0.00912416178416783)); #142682=CARTESIAN_POINT('Ctrl Pts',(0.0299678839328085,-0.703920305437605, 0.0116048928156924)); #142683=CARTESIAN_POINT('Origin',(0.0300922591612998,-0.713918008781547, 0.0114303687513196)); #142684=CARTESIAN_POINT('Ctrl Pts',(0.0296986880573991,-0.695522275241208, 0.000502513650994799)); #142685=CARTESIAN_POINT('Ctrl Pts',(0.0296995075371493,-0.6944186939838, 0.000169911408099663)); #142686=CARTESIAN_POINT('Ctrl Pts',(0.0297060941981907,-0.693266023746584, -7.8034597982349E-12)); #142687=CARTESIAN_POINT('Ctrl Pts',(0.0297181906841495,-0.692113270927792, -2.59525559398105E-17)); #142688=CARTESIAN_POINT('Ctrl Pts',(0.0275859666489087,-0.695534641467311, 0.000498362988739376)); #142689=CARTESIAN_POINT('Ctrl Pts',(0.0275858598970361,-0.694427083972994, 0.000166029835375121)); #142690=CARTESIAN_POINT('Ctrl Pts',(0.0275911273376183,-0.693269177479659, -2.57607075972424E-6)); #142691=CARTESIAN_POINT('Ctrl Pts',(0.0276015762342629,-0.692113270927542, 1.77686302686718E-13)); #142692=CARTESIAN_POINT('Ctrl Pts',(0.0254812876591659,-0.695746399084148, -0.000167463758201016)); #142693=CARTESIAN_POINT('Ctrl Pts',(0.0254794674543049,-0.694570048957935, -0.00051971375010965)); #142694=CARTESIAN_POINT('Ctrl Pts',(0.0254826064128325,-0.693340472870747, -0.000697705404626196)); #142695=CARTESIAN_POINT('Ctrl Pts',(0.0254905877096479,-0.692113270922596, -0.000693688709990791)); #142696=CARTESIAN_POINT('Ctrl Pts',(0.023783395497461,-0.69611742930579, -0.00136882160800623)); #142697=CARTESIAN_POINT('Ctrl Pts',(0.0237797238204132,-0.694820512497896, -0.00175728656969611)); #142698=CARTESIAN_POINT('Ctrl Pts',(0.0237807840256255,-0.693466312546358, -0.00195343221109919)); #142699=CARTESIAN_POINT('Ctrl Pts',(0.0237865416559108,-0.692113270913895, -0.0019491901142956)); #142700=CARTESIAN_POINT('Origin',(0.0297181906833099,-0.692113270860091, -0.01)); #142701=CARTESIAN_POINT('Ctrl Pts',(0.0297181906841495,-0.692113270927792, -2.59525559398105E-17)); #142702=CARTESIAN_POINT('Ctrl Pts',(0.0297060941981907,-0.693266023746584, -7.8034597982349E-12)); #142703=CARTESIAN_POINT('Ctrl Pts',(0.0296995075371493,-0.6944186939838, 0.000169911408099663)); #142704=CARTESIAN_POINT('Ctrl Pts',(0.0296986880573991,-0.695522275241208, 0.000502513650994799)); #142705=CARTESIAN_POINT('Origin',(0.0297181906833104,-0.0905806366363238, -0.01)); #142706=CARTESIAN_POINT('Ctrl Pts',(-0.0297181906833071,-0.676980238061693, -7.10280478352708E-17)); #142707=CARTESIAN_POINT('Ctrl Pts',(-0.0296820951265491,-0.677018808363069, -7.10283321704512E-17)); #142708=CARTESIAN_POINT('Ctrl Pts',(-0.0296459967463274,-0.677057376550623, -7.28263052876302E-8)); #142709=CARTESIAN_POINT('Ctrl Pts',(-0.0260707712670452,-0.680878386726565, -1.44391715068254E-5)); #142710=CARTESIAN_POINT('Ctrl Pts',(-0.0224790684789667,-0.684708423834333, -0.000728627542260219)); #142711=CARTESIAN_POINT('Ctrl Pts',(-0.0192673013868246,-0.688357060457718, -0.002196947695744)); #142712=CARTESIAN_POINT('Ctrl Pts',(-0.0297181906833076,-0.676980238061694, -7.10280478352708E-17)); #142713=CARTESIAN_POINT('Ctrl Pts',(-0.029688141562815,-0.677023036170401, 1.37051741640801E-7)); #142714=CARTESIAN_POINT('Ctrl Pts',(-0.029658076990193,-0.677065853783006, -2.29692295034533E-7)); #142715=CARTESIAN_POINT('Ctrl Pts',(-0.0266815878980333,-0.681306083424489, -1.30843516796717E-5)); #142716=CARTESIAN_POINT('Ctrl Pts',(-0.0236896868987043,-0.685557517485437, -0.000909049481848986)); #142717=CARTESIAN_POINT('Ctrl Pts',(-0.0211458209625755,-0.68942130923313, -0.00263441905893365)); #142718=CARTESIAN_POINT('Ctrl Pts',(-0.029718190683308,-0.676980238061694, -7.10280478353627E-17)); #142719=CARTESIAN_POINT('Ctrl Pts',(-0.0296941703888677,-0.677027261552565, 1.75021436985633E-7)); #142720=CARTESIAN_POINT('Ctrl Pts',(-0.0296701764833647,-0.677074332715593, -2.60682786208653E-7)); #142721=CARTESIAN_POINT('Ctrl Pts',(-0.0272916706029217,-0.681733892365722, -1.01350157648049E-5)); #142722=CARTESIAN_POINT('Ctrl Pts',(-0.024903874326066,-0.686408172805794, -0.000832775795514413)); #142723=CARTESIAN_POINT('Ctrl Pts',(-0.0230207691376471,-0.690765191292289, -0.00237241398537989)); #142724=CARTESIAN_POINT('Ctrl Pts',(-0.0297181906833085,-0.676980238061694, -7.10280478352708E-17)); #142725=CARTESIAN_POINT('Ctrl Pts',(-0.0297002221583077,-0.677031513040194, -6.85858474368747E-17)); #142726=CARTESIAN_POINT('Ctrl Pts',(-0.0296822518226133,-0.677082785608714, -4.85508701552097E-8)); #142727=CARTESIAN_POINT('Ctrl Pts',(-0.0279024473787124,-0.68216243995678, -9.62611433753186E-6)); #142728=CARTESIAN_POINT('Ctrl Pts',(-0.0261114317016155,-0.687257077984393, -0.00048575169484012)); #142729=CARTESIAN_POINT('Ctrl Pts',(-0.024507865391931,-0.692113270917277, -0.00146463179716263)); #142730=CARTESIAN_POINT('',(-0.0192673013868246,-0.688357060457718,-0.002196947695744)); #142731=CARTESIAN_POINT('',(-0.0245078653919311,-0.692113270917277,-0.00146463179716262)); #142732=CARTESIAN_POINT('Origin',(-0.0192975401005511,-0.692113270917277, 0.00707073640567471)); #142733=CARTESIAN_POINT('Ctrl Pts',(-0.024507865391931,-0.692113270917277, -0.00146463179716263)); #142734=CARTESIAN_POINT('Ctrl Pts',(-0.0261114317016155,-0.687257077984393, -0.00048575169484012)); #142735=CARTESIAN_POINT('Ctrl Pts',(-0.0279024473787124,-0.68216243995678, -9.62611433753186E-6)); #142736=CARTESIAN_POINT('Ctrl Pts',(-0.0296822518226133,-0.677082785608714, -4.85508701552097E-8)); #142737=CARTESIAN_POINT('Ctrl Pts',(-0.0297002221583077,-0.677031513040194, -6.85858474368747E-17)); #142738=CARTESIAN_POINT('Ctrl Pts',(-0.0297181906833085,-0.676980238061694, -7.10280478352708E-17)); #142739=CARTESIAN_POINT('Ctrl Pts',(-0.0297181906833071,-0.676980238061693, -7.10280478352708E-17)); #142740=CARTESIAN_POINT('Ctrl Pts',(-0.0296820951265491,-0.677018808363069, -7.10283321704512E-17)); #142741=CARTESIAN_POINT('Ctrl Pts',(-0.0296459967463274,-0.677057376550623, -7.28263052876302E-8)); #142742=CARTESIAN_POINT('Ctrl Pts',(-0.0260707712670452,-0.680878386726565, -1.44391715068254E-5)); #142743=CARTESIAN_POINT('Ctrl Pts',(-0.0224790684789667,-0.684708423834333, -0.000728627542260219)); #142744=CARTESIAN_POINT('Ctrl Pts',(-0.0192673013868246,-0.688357060457718, -0.002196947695744)); #142745=CARTESIAN_POINT('Ctrl Pts',(-0.0192673013490666,-0.688357060500612, -0.00219694771300568)); #142746=CARTESIAN_POINT('Ctrl Pts',(-0.0189715204134234,-0.688693074022674, -0.00233216957241545)); #142747=CARTESIAN_POINT('Ctrl Pts',(-0.0185960628233802,-0.689013942176677, -0.00246806884590028)); #142748=CARTESIAN_POINT('Ctrl Pts',(-0.0181923933270615,-0.68933889008147, -0.00261159139073571)); #142749=CARTESIAN_POINT('Ctrl Pts',(-0.0181185202187047,-0.68939773187415, -0.00263770098775714)); #142750=CARTESIAN_POINT('Ctrl Pts',(-0.0180258118675587,-0.689470948308645, -0.00267039061611849)); #142751=CARTESIAN_POINT('Ctrl Pts',(-0.0179324983756325,-0.689543738119089, -0.0027031673317528)); #142752=CARTESIAN_POINT('Ctrl Pts',(-0.0178576177947675,-0.68960175839958, -0.00272945569106716)); #142753=CARTESIAN_POINT('Ctrl Pts',(-0.0178200929924935,-0.689630699459397, -0.00274262348613829)); #142754=CARTESIAN_POINT('Ctrl Pts',(-0.0178013158836888,-0.68964515444229, -0.00274921381923311)); #142755=CARTESIAN_POINT('Ctrl Pts',(-0.0211458209311984,-0.689421309260047, -0.00263441907165356)); #142756=CARTESIAN_POINT('Ctrl Pts',(-0.0209318258126482,-0.690024423898643, -0.00289547840053415)); #142757=CARTESIAN_POINT('Ctrl Pts',(-0.0206599786920079,-0.690555940659515, -0.00313225411457771)); #142758=CARTESIAN_POINT('Ctrl Pts',(-0.0203777107664094,-0.691050512363666, -0.00338017176014128)); #142759=CARTESIAN_POINT('Ctrl Pts',(-0.0203261090516822,-0.691139319055464, -0.00342494682546819)); #142760=CARTESIAN_POINT('Ctrl Pts',(-0.0202615189789935,-0.691248445229619, -0.00348048858479659)); #142761=CARTESIAN_POINT('Ctrl Pts',(-0.0201966226125425,-0.691355176818291, -0.0035351925231511)); #142762=CARTESIAN_POINT('Ctrl Pts',(-0.0201447855968654,-0.691439153325104, -0.00357899931760121)); #142763=CARTESIAN_POINT('Ctrl Pts',(-0.0201188287158304,-0.691480704282036, -0.00360073457406009)); #142764=CARTESIAN_POINT('Ctrl Pts',(-0.0201058696234464,-0.691501363609111, -0.00361163762055115)); #142765=CARTESIAN_POINT('Ctrl Pts',(-0.0230207691126618,-0.690765191303524, -0.00237241399609326)); #142766=CARTESIAN_POINT('Ctrl Pts',(-0.0228860974619212,-0.691701354781344, -0.00261366461947426)); #142767=CARTESIAN_POINT('Ctrl Pts',(-0.0227162693771159,-0.692525176910075, -0.00278175484951654)); #142768=CARTESIAN_POINT('Ctrl Pts',(-0.0225483359073449,-0.693283464271789, -0.00294262825546332)); #142769=CARTESIAN_POINT('Ctrl Pts',(-0.0225176922692344,-0.693419468888266, -0.00297117889770959)); #142770=CARTESIAN_POINT('Ctrl Pts',(-0.0224794973032207,-0.693586206463135, -0.00300596716964638)); #142771=CARTESIAN_POINT('Ctrl Pts',(-0.022441250478435,-0.693748733958959, -0.00303926352631008)); #142772=CARTESIAN_POINT('Ctrl Pts',(-0.0224109068203767,-0.693876356110285, -0.00306561738982241)); #142773=CARTESIAN_POINT('Ctrl Pts',(-0.0223957358578741,-0.693939381696048, -0.00307852055220875)); #142774=CARTESIAN_POINT('Ctrl Pts',(-0.0223881861507151,-0.693970702174245, -0.00308498265084098)); #142775=CARTESIAN_POINT('Ctrl Pts',(-0.0245078653730793,-0.692113270917086, -0.00146463180867045)); #142776=CARTESIAN_POINT('Ctrl Pts',(-0.0243601883061579,-0.693327215313652, -0.0015547797216614)); #142777=CARTESIAN_POINT('Ctrl Pts',(-0.0241748613609506,-0.694363431357125, -0.00151392562532042)); #142778=CARTESIAN_POINT('Ctrl Pts',(-0.0239759516069143,-0.695288798923647, -0.00144562318734312)); #142779=CARTESIAN_POINT('Ctrl Pts',(-0.023939561880107,-0.695454297232895, -0.00143228938938159)); #142780=CARTESIAN_POINT('Ctrl Pts',(-0.0238939045861396,-0.695656216113555, -0.0014148012531292)); #142781=CARTESIAN_POINT('Ctrl Pts',(-0.0238479646657549,-0.695851845193137, -0.00139610441976128)); #142782=CARTESIAN_POINT('Ctrl Pts',(-0.0238111057417957,-0.696004768930217, -0.00138067337818542)); #142783=CARTESIAN_POINT('Ctrl Pts',(-0.023792636807147,-0.696080065402451, -0.00137279224982066)); #142784=CARTESIAN_POINT('Ctrl Pts',(-0.0237833954974621,-0.696117429305789, -0.00136882160800644)); #142785=CARTESIAN_POINT('',(-0.0178013158836888,-0.68964515444229,-0.00274921381923311)); #142786=CARTESIAN_POINT('',(-0.0237833954974619,-0.69611742930579,-0.00136882160800637)); #142787=CARTESIAN_POINT('Origin',(-0.0178324024440771,-0.69382693974899, 0.00633438157435593)); #142788=CARTESIAN_POINT('Ctrl Pts',(-0.0237833954974621,-0.696117429305789, -0.00136882160800644)); #142789=CARTESIAN_POINT('Ctrl Pts',(-0.023792636807147,-0.696080065402451, -0.00137279224982066)); #142790=CARTESIAN_POINT('Ctrl Pts',(-0.0238111057417957,-0.696004768930217, -0.00138067337818542)); #142791=CARTESIAN_POINT('Ctrl Pts',(-0.0238479646657549,-0.695851845193137, -0.00139610441976128)); #142792=CARTESIAN_POINT('Ctrl Pts',(-0.0238939045861396,-0.695656216113555, -0.0014148012531292)); #142793=CARTESIAN_POINT('Ctrl Pts',(-0.023939561880107,-0.695454297232895, -0.00143228938938159)); #142794=CARTESIAN_POINT('Ctrl Pts',(-0.0239759516069143,-0.695288798923647, -0.00144562318734312)); #142795=CARTESIAN_POINT('Ctrl Pts',(-0.0241748613609506,-0.694363431357125, -0.00151392562532042)); #142796=CARTESIAN_POINT('Ctrl Pts',(-0.0243601883061579,-0.693327215313652, -0.0015547797216614)); #142797=CARTESIAN_POINT('Ctrl Pts',(-0.0245078653730793,-0.692113270917086, -0.00146463180867045)); #142798=CARTESIAN_POINT('Ctrl Pts',(-0.0192673013490666,-0.688357060500612, -0.00219694771300568)); #142799=CARTESIAN_POINT('Ctrl Pts',(-0.0189715204134234,-0.688693074022674, -0.00233216957241545)); #142800=CARTESIAN_POINT('Ctrl Pts',(-0.0185960628233802,-0.689013942176677, -0.00246806884590028)); #142801=CARTESIAN_POINT('Ctrl Pts',(-0.0181923933270615,-0.68933889008147, -0.00261159139073571)); #142802=CARTESIAN_POINT('Ctrl Pts',(-0.0181185202187047,-0.68939773187415, -0.00263770098775714)); #142803=CARTESIAN_POINT('Ctrl Pts',(-0.0180258118675587,-0.689470948308645, -0.00267039061611849)); #142804=CARTESIAN_POINT('Ctrl Pts',(-0.0179324983756325,-0.689543738119089, -0.0027031673317528)); #142805=CARTESIAN_POINT('Ctrl Pts',(-0.0178576177947675,-0.68960175839958, -0.00272945569106716)); #142806=CARTESIAN_POINT('Ctrl Pts',(-0.0178200929924935,-0.689630699459397, -0.00274262348613829)); #142807=CARTESIAN_POINT('Ctrl Pts',(-0.0178013158836888,-0.68964515444229, -0.00274921381923311)); #142808=CARTESIAN_POINT('Ctrl Pts',(-0.00979630173916097,-0.699681822515921, -0.0101979422677841)); #142809=CARTESIAN_POINT('Ctrl Pts',(-0.00972651429574552,-0.702197411450177, -0.0130605153456795)); #142810=CARTESIAN_POINT('Ctrl Pts',(-0.00964631849101221,-0.704160406533194, -0.0164074134411112)); #142811=CARTESIAN_POINT('Ctrl Pts',(-0.00956137921258268,-0.705430924610693, -0.02)); #142812=CARTESIAN_POINT('Ctrl Pts',(-0.0123999594821041,-0.6996983711946, -0.010228847003621)); #142813=CARTESIAN_POINT('Ctrl Pts',(-0.0123334441582713,-0.702210155512789, -0.0130950967680591)); #142814=CARTESIAN_POINT('Ctrl Pts',(-0.0122567759965022,-0.704171772682375, -0.0164510254018678)); #142815=CARTESIAN_POINT('Ctrl Pts',(-0.0121755370864072,-0.705436047491328, -0.0200438187146527)); #142816=CARTESIAN_POINT('Ctrl Pts',(-0.0175917374197983,-0.701342078834727, -0.00887504904923249)); #142817=CARTESIAN_POINT('Ctrl Pts',(-0.0175322045643832,-0.70403645751153, -0.011955784754236)); #142818=CARTESIAN_POINT('Ctrl Pts',(-0.017463197423363,-0.706132374406681, -0.015551839868817)); #142819=CARTESIAN_POINT('Ctrl Pts',(-0.0173893600977734,-0.707485267506743, -0.019410170064654)); #142820=CARTESIAN_POINT('Ctrl Pts',(-0.0197953861511443,-0.705237700890494, -0.0054902059318475)); #142821=CARTESIAN_POINT('Ctrl Pts',(-0.0197331748557293,-0.708370569714981, -0.00906036582851003)); #142822=CARTESIAN_POINT('Ctrl Pts',(-0.0196600840856271,-0.710814433967486, -0.0132347535786904)); #142823=CARTESIAN_POINT('Ctrl Pts',(-0.0195820433326058,-0.712393952293393, -0.0177126078201184)); #142824=CARTESIAN_POINT('Ctrl Pts',(-0.0198253813800032,-0.707193813529173, -0.00377178042141823)); #142825=CARTESIAN_POINT('Ctrl Pts',(-0.0197587595147546,-0.710547824444357, -0.00758854452527882)); #142826=CARTESIAN_POINT('Ctrl Pts',(-0.0196808657500028,-0.713165034325527, -0.0120510753191878)); #142827=CARTESIAN_POINT('Ctrl Pts',(-0.0195972539744753,-0.714858940854457, -0.0168411907310395)); #142828=CARTESIAN_POINT('',(-0.00956137921258242,-0.705430924610693,-0.02)); #142829=CARTESIAN_POINT('',(-0.0195972539744752,-0.714858940854457,-0.0168411907310395)); #142830=CARTESIAN_POINT('Origin',(-0.00959877702291135,-0.714858940854457, -0.0166666666666667)); #142831=CARTESIAN_POINT('',(-0.0198253813798629,-0.707193813520025,-0.00377178042945469)); #142832=CARTESIAN_POINT('Ctrl Pts',(-0.0195972539744753,-0.714858940854457, -0.0168411907310395)); #142833=CARTESIAN_POINT('Ctrl Pts',(-0.0196808657500028,-0.713165034325527, -0.0120510753191878)); #142834=CARTESIAN_POINT('Ctrl Pts',(-0.0197587595147546,-0.710547824444357, -0.00758854452527882)); #142835=CARTESIAN_POINT('Ctrl Pts',(-0.0198253813800032,-0.707193813529173, -0.00377178042141823)); #142836=CARTESIAN_POINT('',(-0.00979630173916097,-0.699681822515921,-0.0101979422677841)); #142837=CARTESIAN_POINT('Origin',(-0.00982690442843927,-0.707193813529173, -0.0035972563570454)); #142838=CARTESIAN_POINT('Ctrl Pts',(-0.00979630173916097,-0.699681822515921, -0.0101979422677841)); #142839=CARTESIAN_POINT('Ctrl Pts',(-0.00972651429574552,-0.702197411450177, -0.0130605153456795)); #142840=CARTESIAN_POINT('Ctrl Pts',(-0.00964631849101221,-0.704160406533194, -0.0164074134411112)); #142841=CARTESIAN_POINT('Ctrl Pts',(-0.00956137921258268,-0.705430924610693, -0.02)); #142842=CARTESIAN_POINT('Ctrl Pts',(-0.0193645197754324,-0.733716173820132, -0.0301745240643728)); #142843=CARTESIAN_POINT('Ctrl Pts',(-0.0193645197754324,-0.729612754875629, -0.0301745240643728)); #142844=CARTESIAN_POINT('Ctrl Pts',(-0.0194101921574331,-0.721423383966216, -0.0275579550519638)); #142845=CARTESIAN_POINT('Ctrl Pts',(-0.0195297355285465,-0.716226809622466, -0.0207093199076669)); #142846=CARTESIAN_POINT('Ctrl Pts',(-0.0195972539744752,-0.714858940854457, -0.0168411907310396)); #142847=CARTESIAN_POINT('Ctrl Pts',(-0.0193193371521479,-0.733716173820132, -0.032763034818718)); #142848=CARTESIAN_POINT('Ctrl Pts',(-0.0193193554514629,-0.729080688250383, -0.0327643597033569)); #142849=CARTESIAN_POINT('Ctrl Pts',(-0.0193705971545773,-0.719829280639161, -0.0298169736592252)); #142850=CARTESIAN_POINT('Ctrl Pts',(-0.0195055237069734,-0.713946697119723, -0.0220850016624983)); #142851=CARTESIAN_POINT('Ctrl Pts',(-0.0195820433326058,-0.712393952293393, -0.0177126078201184)); #142852=CARTESIAN_POINT('Ctrl Pts',(-0.0171111507822092,-0.733716173820132, -0.0378814791177751)); #142853=CARTESIAN_POINT('Ctrl Pts',(-0.0171088104149422,-0.728025063719618, -0.0378853025337958)); #142854=CARTESIAN_POINT('Ctrl Pts',(-0.0171607993472934,-0.716656872148352, -0.0342745371313188)); #142855=CARTESIAN_POINT('Ctrl Pts',(-0.0173061284070153,-0.709404447531252, -0.0247829666598819)); #142856=CARTESIAN_POINT('Ctrl Pts',(-0.0173891815922531,-0.707485436459617, -0.0194101072027993)); #142857=CARTESIAN_POINT('Ctrl Pts',(-0.0119549478809932,-0.733716173820132, -0.0400000000000001)); #142858=CARTESIAN_POINT('Ctrl Pts',(-0.0119483769183717,-0.727566267556284, -0.0400020845430613)); #142859=CARTESIAN_POINT('Ctrl Pts',(-0.0119844908365925,-0.715299019859864, -0.0360982765309462)); #142860=CARTESIAN_POINT('Ctrl Pts',(-0.0121048982475812,-0.707498002553654, -0.0258456002152098)); #142861=CARTESIAN_POINT('Ctrl Pts',(-0.0121755370864072,-0.705436047491328, -0.0200438187146527)); #142862=CARTESIAN_POINT('Ctrl Pts',(-0.00936604282386818,-0.733716173820132, -0.04)); #142863=CARTESIAN_POINT('Ctrl Pts',(-0.00935816322084209,-0.727561075665488, -0.04)); #142864=CARTESIAN_POINT('Ctrl Pts',(-0.0093879990870368,-0.715277167742745, -0.0360751464813864)); #142865=CARTESIAN_POINT('Ctrl Pts',(-0.00949685732746467,-0.707482581964715, -0.025802193764941)); #142866=CARTESIAN_POINT('Ctrl Pts',(-0.0095613792125827,-0.705430924610693, -0.02)); #142867=CARTESIAN_POINT('Ctrl Pts',(-0.0095613792125827,-0.705430924610693, -0.02)); #142868=CARTESIAN_POINT('Ctrl Pts',(-0.00949685732746467,-0.707482581964715, -0.025802193764941)); #142869=CARTESIAN_POINT('Ctrl Pts',(-0.0093879990870368,-0.715277167742745, -0.0360751464813864)); #142870=CARTESIAN_POINT('Ctrl Pts',(-0.00935816322084209,-0.727561075665488, -0.04)); #142871=CARTESIAN_POINT('Ctrl Pts',(-0.00936604282386818,-0.733716173820132, -0.04)); #142872=CARTESIAN_POINT('',(-0.0193645197754324,-0.733716173820132,-0.0301745240643728)); #142873=CARTESIAN_POINT('Origin',(-0.00936604282386844,-0.733716173820132, -0.03)); #142874=CARTESIAN_POINT('Ctrl Pts',(-0.0193645197754324,-0.733716173820132, -0.0301745240643728)); #142875=CARTESIAN_POINT('Ctrl Pts',(-0.0193645197754324,-0.729612754875629, -0.0301745240643728)); #142876=CARTESIAN_POINT('Ctrl Pts',(-0.0194101921574331,-0.721423383966216, -0.0275579550519638)); #142877=CARTESIAN_POINT('Ctrl Pts',(-0.0195297355285465,-0.716226809622466, -0.0207093199076669)); #142878=CARTESIAN_POINT('Ctrl Pts',(-0.0195972539744752,-0.714858940854457, -0.0168411907310396)); #142879=CARTESIAN_POINT('Origin',(-0.00936604282386866,-1.005,-0.03)); #142880=CARTESIAN_POINT('',(-0.0193645197754326,-1.09074726443015,-0.0301745240643726)); #142881=CARTESIAN_POINT('Origin',(-0.00936604282386872,-1.09074726443015, -0.03)); #142882=CARTESIAN_POINT('',(-0.0193645197754326,-1.005,-0.0301745240643728)); #142883=CARTESIAN_POINT('Ctrl Pts',(-0.00936604282386883,-1.09074726443015, -0.04)); #142884=CARTESIAN_POINT('Ctrl Pts',(-0.00936604282386883,-1.09602287831351, -0.04)); #142885=CARTESIAN_POINT('Ctrl Pts',(-0.0137790402976224,-1.10652254319304, -0.04)); #142886=CARTESIAN_POINT('Ctrl Pts',(-0.0243303847771042,-1.11080818830512, -0.04)); #142887=CARTESIAN_POINT('Ctrl Pts',(-0.0296056296756793,-1.11074579354298, -0.04)); #142888=CARTESIAN_POINT('Ctrl Pts',(-0.0119549478809953,-1.09074726443015, -0.04)); #142889=CARTESIAN_POINT('Ctrl Pts',(-0.0119548563819512,-1.09533880988273, -0.039999997138403)); #142890=CARTESIAN_POINT('Ctrl Pts',(-0.0158058957883854,-1.10447796962646, -0.0399997282506437)); #142891=CARTESIAN_POINT('Ctrl Pts',(-0.0249909580425629,-1.10818993891814, -0.0399997494898123)); #142892=CARTESIAN_POINT('Ctrl Pts',(-0.0295746666240013,-1.10812798277162, -0.04)); #142893=CARTESIAN_POINT('Ctrl Pts',(-0.0171096941986342,-1.09074726443015, -0.0378799968875539)); #142894=CARTESIAN_POINT('Ctrl Pts',(-0.0171094272606561,-1.09398161252815, -0.0378801539465671)); #142895=CARTESIAN_POINT('Ctrl Pts',(-0.019835747877096,-1.10041184658355, -0.0378694897373147)); #142896=CARTESIAN_POINT('Ctrl Pts',(-0.0263007976543572,-1.1029773620239, -0.0378429847944825)); #142897=CARTESIAN_POINT('Ctrl Pts',(-0.0295130297716245,-1.10291681632257, -0.0378295248297629)); #142898=CARTESIAN_POINT('Ctrl Pts',(-0.0193193371521543,-1.09074726443015, -0.0327630348187135)); #142899=CARTESIAN_POINT('Ctrl Pts',(-0.0193191852318704,-1.09339174660421, -0.0327634862177984)); #142900=CARTESIAN_POINT('Ctrl Pts',(-0.0215548340152889,-1.0986634135542, -0.0327325292554016)); #142901=CARTESIAN_POINT('Ctrl Pts',(-0.0268545870236943,-1.10078995521978, -0.0326563819755416)); #142902=CARTESIAN_POINT('Ctrl Pts',(-0.0294873595302131,-1.1007464929588, -0.0326179938779858)); #142903=CARTESIAN_POINT('Ctrl Pts',(-0.0193645197754388,-1.09074726443015, -0.0301745240643669)); #142904=CARTESIAN_POINT('Ctrl Pts',(-0.0193645102744454,-1.09338507148418, -0.0301750683759131)); #142905=CARTESIAN_POINT('Ctrl Pts',(-0.021571662171543,-1.09863489620032, -0.0301376488515898)); #142906=CARTESIAN_POINT('Ctrl Pts',(-0.0268489335863765,-1.10077769984348, -0.0300460321719935)); #142907=CARTESIAN_POINT('Ctrl Pts',(-0.0294873595302131,-1.1007464929588, -0.0299999999999923)); #142908=CARTESIAN_POINT('',(-0.0294873595302132,-1.10074649295881,-0.0299999999999923)); #142909=CARTESIAN_POINT('Origin',(-0.0296056296756793,-1.11074579354298, -0.0299999999999923)); #142910=CARTESIAN_POINT('Ctrl Pts',(-0.0294873595302131,-1.1007464929588, -0.0299999999999923)); #142911=CARTESIAN_POINT('Ctrl Pts',(-0.0268489335863765,-1.10077769984348, -0.0300460321719935)); #142912=CARTESIAN_POINT('Ctrl Pts',(-0.021571662171543,-1.09863489620032, -0.0301376488515898)); #142913=CARTESIAN_POINT('Ctrl Pts',(-0.0193645102744454,-1.09338507148418, -0.0301750683759131)); #142914=CARTESIAN_POINT('Ctrl Pts',(-0.0193645197754388,-1.09074726443015, -0.0301745240643669)); #142915=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #142916=CARTESIAN_POINT('',(-1.06222438434386,-0.86332179403631,-0.0299999999999993)); #142917=CARTESIAN_POINT('Origin',(-1.06648483473534,-0.872368813571038, -0.03)); #142918=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #142919=CARTESIAN_POINT('Ctrl Pts',(-1.06648483473534,-0.872368813571038, -0.04)); #142920=CARTESIAN_POINT('Ctrl Pts',(-1.07110533494005,-0.870192913338877, -0.04)); #142921=CARTESIAN_POINT('Ctrl Pts',(-1.07855246044774,-0.862132615594263, -0.04)); #142922=CARTESIAN_POINT('Ctrl Pts',(-1.07837228801753,-0.851159953326108, -0.04)); #142923=CARTESIAN_POINT('Ctrl Pts',(-1.07637674323923,-0.846458744439041, -0.04)); #142924=CARTESIAN_POINT('Ctrl Pts',(-1.0653694514311,-0.870000309395441, -0.04)); #142925=CARTESIAN_POINT('Ctrl Pts',(-1.06938752136974,-0.868116395549924, -0.0399997573219778)); #142926=CARTESIAN_POINT('Ctrl Pts',(-1.07587776372357,-0.86111635486302, -0.0399997496654633)); #142927=CARTESIAN_POINT('Ctrl Pts',(-1.07573016696125,-0.851562045118063, -0.0400000090689559)); #142928=CARTESIAN_POINT('Ctrl Pts',(-1.07399364356902,-0.847470310233563, -0.04)); #142929=CARTESIAN_POINT('Ctrl Pts',(-1.06314985224052,-0.865287014933278, -0.0378277698092557)); #142930=CARTESIAN_POINT('Ctrl Pts',(-1.0659729349338,-0.863981706533237, -0.0378408089500828)); #142931=CARTESIAN_POINT('Ctrl Pts',(-1.0705602515818,-0.859095219492262, -0.0378665353644305)); #142932=CARTESIAN_POINT('Ctrl Pts',(-1.07047284973527,-0.852366304641896, -0.0378778027207945)); #142933=CARTESIAN_POINT('Ctrl Pts',(-1.06925020910549,-0.849483778705672, -0.0378783004712654)); #142934=CARTESIAN_POINT('Ctrl Pts',(-1.06222438434387,-0.863321794036318, -0.0326179938779993)); #142935=CARTESIAN_POINT('Ctrl Pts',(-1.06453560039725,-0.862246582499465, -0.0326551817771167)); #142936=CARTESIAN_POINT('Ctrl Pts',(-1.06828657532367,-0.858220999239503, -0.0327290041484269)); #142937=CARTESIAN_POINT('Ctrl Pts',(-1.06821454207465,-0.852704548339311, -0.0327616042474743)); #142938=CARTESIAN_POINT('Ctrl Pts',(-1.0672146875022,-0.850347806364118, -0.032763034818713)); #142939=CARTESIAN_POINT('Ctrl Pts',(-1.06222438434387,-0.863321794036318, -0.0300000000000105)); #142940=CARTESIAN_POINT('Ctrl Pts',(-1.06453533916958,-0.862233512049736, -0.0300445929826674)); #142941=CARTESIAN_POINT('Ctrl Pts',(-1.06826030544538,-0.858202702224573, -0.0301334040894374)); #142942=CARTESIAN_POINT('Ctrl Pts',(-1.06817084180594,-0.852716077903135, -0.030172799035519)); #142943=CARTESIAN_POINT('Ctrl Pts',(-1.06717309667818,-0.850365460621502, -0.0301745240643662)); #142944=CARTESIAN_POINT('',(-1.06717309667819,-0.850365460621499,-0.0301745240643661)); #142945=CARTESIAN_POINT('Origin',(-1.07637674323923,-0.846458744439041, -0.0299999999999932)); #142946=CARTESIAN_POINT('Ctrl Pts',(-1.06717309667818,-0.850365460621502, -0.0301745240643662)); #142947=CARTESIAN_POINT('Ctrl Pts',(-1.06817084180594,-0.852716077903135, -0.030172799035519)); #142948=CARTESIAN_POINT('Ctrl Pts',(-1.06826030544538,-0.858202702224573, -0.0301334040894374)); #142949=CARTESIAN_POINT('Ctrl Pts',(-1.06453533916958,-0.862233512049736, -0.0300445929826674)); #142950=CARTESIAN_POINT('Ctrl Pts',(-1.06222438434387,-0.863321794036318, -0.0300000000000105)); #142951=CARTESIAN_POINT('Origin',(-1.00682031237247,-0.682594062223319, -0.03)); #142952=CARTESIAN_POINT('',(-0.938045215785668,-0.546159236927053,-0.0301745240643728)); #142953=CARTESIAN_POINT('Origin',(-0.947248862346716,-0.542252520744595, -0.03)); #142954=CARTESIAN_POINT('',(-0.997616665811422,-0.686500778405778,-0.0301745240643728)); #142955=CARTESIAN_POINT('Ctrl Pts',(-0.930463028077163,-0.528892359797224, -0.0168411907310396)); #142956=CARTESIAN_POINT('Ctrl Pts',(-0.931059608395165,-0.530125015240443, -0.0207093072980889)); #142957=CARTESIAN_POINT('Ctrl Pts',(-0.933199841685161,-0.534861158489235, -0.0275575507830777)); #142958=CARTESIAN_POINT('Ctrl Pts',(-0.936441900064856,-0.542382061793015, -0.0301745240643727)); #142959=CARTESIAN_POINT('Ctrl Pts',(-0.938045215785668,-0.546159236927053, -0.0301745240643728)); #142960=CARTESIAN_POINT('Ctrl Pts',(-0.92951463742395,-0.52661919654286, -0.0177118517356717)); #142961=CARTESIAN_POINT('Ctrl Pts',(-0.930191611757021,-0.528018241356618, -0.0220838825347966)); #142962=CARTESIAN_POINT('Ctrl Pts',(-0.932613621476612,-0.533378811833644, -0.0298151710714394)); #142963=CARTESIAN_POINT('Ctrl Pts',(-0.93627558543351,-0.541874643600372, -0.0327639011382488)); #142964=CARTESIAN_POINT('Ctrl Pts',(-0.938086806609693,-0.546141582669669, -0.032763034818718)); #142965=CARTESIAN_POINT('Ctrl Pts',(-0.929616492865023,-0.521250200732501, -0.0194070842461634)); #142966=CARTESIAN_POINT('Ctrl Pts',(-0.930442619584705,-0.522983205652152, -0.024778904728115)); #142967=CARTESIAN_POINT('Ctrl Pts',(-0.933409026094151,-0.52959722723832, -0.0342684427173533)); #142968=CARTESIAN_POINT('Ctrl Pts',(-0.937899330234858,-0.540038619205251, -0.037881609998733)); #142969=CARTESIAN_POINT('Ctrl Pts',(-0.940121595992907,-0.545277865820204, -0.0378791099320282)); #142970=CARTESIAN_POINT('Ctrl Pts',(-0.933604435850552,-0.517325582584694, -0.0200426839198941)); #142971=CARTESIAN_POINT('Ctrl Pts',(-0.934475613900347,-0.519195188801547, -0.025843885298936)); #142972=CARTESIAN_POINT('Ctrl Pts',(-0.937636225976561,-0.526325494471992, -0.0360955766716584)); #142973=CARTESIAN_POINT('Ctrl Pts',(-0.942466777857529,-0.537601436065183, -0.0400013630472527)); #142974=CARTESIAN_POINT('Ctrl Pts',(-0.944865762676504,-0.543264086539117, -0.0400000000000003)); #142975=CARTESIAN_POINT('Ctrl Pts',(-0.93600563782212,-0.516297393024449, -0.0200000000000002)); #142976=CARTESIAN_POINT('Ctrl Pts',(-0.93686748752175,-0.518160290415208, -0.0258021748505742)); #142977=CARTESIAN_POINT('Ctrl Pts',(-0.940016144817033,-0.525290505021757, -0.0360745400780574)); #142978=CARTESIAN_POINT('Ctrl Pts',(-0.944848636573665,-0.536584756774945, -0.0400000000000001)); #142979=CARTESIAN_POINT('Ctrl Pts',(-0.947248862346715,-0.542252520744595, -0.0400000000000003)); #142980=CARTESIAN_POINT('',(-0.936005637822122,-0.516297393024448,-0.0200000000000001)); #142981=CARTESIAN_POINT('Ctrl Pts',(-0.947248862346715,-0.542252520744595, -0.0400000000000003)); #142982=CARTESIAN_POINT('Ctrl Pts',(-0.944848636573665,-0.536584756774945, -0.0400000000000001)); #142983=CARTESIAN_POINT('Ctrl Pts',(-0.940016144817033,-0.525290505021757, -0.0360745400780574)); #142984=CARTESIAN_POINT('Ctrl Pts',(-0.93686748752175,-0.518160290415208, -0.0258021748505742)); #142985=CARTESIAN_POINT('Ctrl Pts',(-0.93600563782212,-0.516297393024449, -0.0200000000000002)); #142986=CARTESIAN_POINT('',(-0.930463028077163,-0.528892359797224,-0.0168411907310396)); #142987=CARTESIAN_POINT('Origin',(-0.93966667463821,-0.524985643614765, -0.0166666666666668)); #142988=CARTESIAN_POINT('Ctrl Pts',(-0.930463028077163,-0.528892359797224, -0.0168411907310396)); #142989=CARTESIAN_POINT('Ctrl Pts',(-0.931059608395165,-0.530125015240443, -0.0207093072980889)); #142990=CARTESIAN_POINT('Ctrl Pts',(-0.933199841685161,-0.534861158489235, -0.0275575507830777)); #142991=CARTESIAN_POINT('Ctrl Pts',(-0.936441900064856,-0.542382061793015, -0.0301745240643727)); #142992=CARTESIAN_POINT('Ctrl Pts',(-0.938045215785668,-0.546159236927053, -0.0301745240643728)); #142993=CARTESIAN_POINT('Ctrl Pts',(-0.927263182674406,-0.521937220013464, -0.00378574762823327)); #142994=CARTESIAN_POINT('Ctrl Pts',(-0.928632647238579,-0.52499310866176, -0.0075994233802228)); #142995=CARTESIAN_POINT('Ctrl Pts',(-0.929725150951614,-0.527367756608368, -0.0120569317676529)); #142996=CARTESIAN_POINT('Ctrl Pts',(-0.930463028077163,-0.528892359797224, -0.0168411907310396)); #142997=CARTESIAN_POINT('Ctrl Pts',(-0.926526614810358,-0.520125299139517, -0.00550213162228697)); #142998=CARTESIAN_POINT('Ctrl Pts',(-0.927805880920545,-0.522979955435555, -0.00906942961550095)); #142999=CARTESIAN_POINT('Ctrl Pts',(-0.928826444160996,-0.525197418845748, -0.0132392556067778)); #143000=CARTESIAN_POINT('Ctrl Pts',(-0.92951463742395,-0.52661919654286, -0.0177118517356716)); #143001=CARTESIAN_POINT('Ctrl Pts',(-0.927033490113857,-0.515680969029137, -0.00888134658576963)); #143002=CARTESIAN_POINT('Ctrl Pts',(-0.928139160871532,-0.518134361868939, -0.0119596738639661)); #143003=CARTESIAN_POINT('Ctrl Pts',(-0.9290204651955,-0.520034691727607, -0.0155528793387959)); #143004=CARTESIAN_POINT('Ctrl Pts',(-0.92961633816088,-0.521250143232199, -0.0194071264298732)); #143005=CARTESIAN_POINT('Ctrl Pts',(-0.931161416064185,-0.512138523649539, -0.0102375893936487)); #143006=CARTESIAN_POINT('Ctrl Pts',(-0.932201587900298,-0.514421301651732, -0.0131015689107202)); #143007=CARTESIAN_POINT('Ctrl Pts',(-0.933036721064805,-0.51619459869119, -0.0164539348378889)); #143008=CARTESIAN_POINT('Ctrl Pts',(-0.933604435850551,-0.517325582584695, -0.0200426839198939)); #143009=CARTESIAN_POINT('Ctrl Pts',(-0.933549332950297,-0.511104809258342, -0.0102084176728953)); #143010=CARTESIAN_POINT('Ctrl Pts',(-0.934593656371037,-0.513389469596318, -0.0130686744868898)); #143011=CARTESIAN_POINT('Ctrl Pts',(-0.935432282871113,-0.515162345902864, -0.016411805777459)); #143012=CARTESIAN_POINT('Ctrl Pts',(-0.93600563782212,-0.516297393024449, -0.02)); #143013=CARTESIAN_POINT('',(-0.933549332950297,-0.511104809258342,-0.0102084176728953)); #143014=CARTESIAN_POINT('Ctrl Pts',(-0.93600563782212,-0.516297393024449, -0.02)); #143015=CARTESIAN_POINT('Ctrl Pts',(-0.935432282871113,-0.515162345902864, -0.016411805777459)); #143016=CARTESIAN_POINT('Ctrl Pts',(-0.934593656371037,-0.513389469596318, -0.0130686744868898)); #143017=CARTESIAN_POINT('Ctrl Pts',(-0.933549332950297,-0.511104809258342, -0.0102084176728953)); #143018=CARTESIAN_POINT('',(-0.927263182671062,-0.521937220005238,-0.00378574763602527)); #143019=CARTESIAN_POINT('Origin',(-0.936466829235453,-0.518030503831005, -0.00361122356386044)); #143020=CARTESIAN_POINT('Ctrl Pts',(-0.927263182674406,-0.521937220013464, -0.00378574762823327)); #143021=CARTESIAN_POINT('Ctrl Pts',(-0.928632647238579,-0.52499310866176, -0.0075994233802228)); #143022=CARTESIAN_POINT('Ctrl Pts',(-0.929725150951614,-0.527367756608368, -0.0120569317676529)); #143023=CARTESIAN_POINT('Ctrl Pts',(-0.930463028077163,-0.528892359797224, -0.0168411907310396)); #143024=CARTESIAN_POINT('Ctrl Pts',(-0.0178013158836884,-0.68964515444229, -0.00274921381923318)); #143025=CARTESIAN_POINT('Ctrl Pts',(-0.0171981245996013,-0.690109502803007, -0.00296092007941252)); #143026=CARTESIAN_POINT('Ctrl Pts',(-0.015967368653674,-0.691013187883124, -0.00340013681638511)); #143027=CARTESIAN_POINT('Ctrl Pts',(-0.0136663009939588,-0.69286324647106, -0.00441983049100054)); #143028=CARTESIAN_POINT('Ctrl Pts',(-0.0108445801947164,-0.695817780387701, -0.00636471568260498)); #143029=CARTESIAN_POINT('Ctrl Pts',(-0.00983372204763723,-0.698332953585634, -0.00866301904054509)); #143030=CARTESIAN_POINT('Ctrl Pts',(-0.00979630173916604,-0.699681822515734, -0.0101979422675708)); #143031=CARTESIAN_POINT('Ctrl Pts',(-0.0189535927535673,-0.690573259025701, -0.00318042571989221)); #143032=CARTESIAN_POINT('Ctrl Pts',(-0.0184425603645794,-0.691137395022978, -0.00345844152562849)); #143033=CARTESIAN_POINT('Ctrl Pts',(-0.0174103792383054,-0.692150406898041, -0.00399925543300202)); #143034=CARTESIAN_POINT('Ctrl Pts',(-0.015515718302918,-0.693990404591773, -0.0051258992705611)); #143035=CARTESIAN_POINT('Ctrl Pts',(-0.0132520152134639,-0.696566008066502, -0.00702244134015723)); #143036=CARTESIAN_POINT('Ctrl Pts',(-0.0124407461927129,-0.698585471154492, -0.00895867294421546)); #143037=CARTESIAN_POINT('Ctrl Pts',(-0.0123999594821077,-0.699698371194356, -0.0102288470033426)); #143038=CARTESIAN_POINT('Ctrl Pts',(-0.021259332262033,-0.692732101881606, -0.00338320106922277)); #143039=CARTESIAN_POINT('Ctrl Pts',(-0.0209320576764579,-0.693566731362693, -0.00366710260643936)); #143040=CARTESIAN_POINT('Ctrl Pts',(-0.0202965036545324,-0.694944791628694, -0.00417483641126631)); #143041=CARTESIAN_POINT('Ctrl Pts',(-0.0192092363672819,-0.697065090767707, -0.00515013842013907)); #143042=CARTESIAN_POINT('Ctrl Pts',(-0.0180447523864688,-0.699333552009531, -0.00666254116291684)); #143043=CARTESIAN_POINT('Ctrl Pts',(-0.0176384293649168,-0.700608596996854, -0.00800724083833454)); #143044=CARTESIAN_POINT('Ctrl Pts',(-0.0175918413120952,-0.701342000539024, -0.00887511966488374)); #143045=CARTESIAN_POINT('Ctrl Pts',(-0.0230857908240883,-0.695044065740017, -0.00222690212942383)); #143046=CARTESIAN_POINT('Ctrl Pts',(-0.0228148430990848,-0.696147445787962, -0.00226394657825621)); #143047=CARTESIAN_POINT('Ctrl Pts',(-0.0222776949333425,-0.697893079032483, -0.00227530086244689)); #143048=CARTESIAN_POINT('Ctrl Pts',(-0.0213239961831254,-0.70043003447383, -0.00245042403418041)); #143049=CARTESIAN_POINT('Ctrl Pts',(-0.0202345907428654,-0.703039252174567, -0.003251707191571)); #143050=CARTESIAN_POINT('Ctrl Pts',(-0.0198269465263915,-0.704491692461175, -0.00456539745587802)); #143051=CARTESIAN_POINT('Ctrl Pts',(-0.0197953861511493,-0.705237700890033, -0.0054902059313415)); #143052=CARTESIAN_POINT('Ctrl Pts',(-0.0237833954974618,-0.696117429305789, -0.00136882160800657)); #143053=CARTESIAN_POINT('Ctrl Pts',(-0.0234865299089681,-0.697317698264544, -0.00124126967445368)); #143054=CARTESIAN_POINT('Ctrl Pts',(-0.022881317084118,-0.699169177296755, -0.000946603743363291)); #143055=CARTESIAN_POINT('Ctrl Pts',(-0.0217466745963414,-0.701812967113862, -0.000692053487999472)); #143056=CARTESIAN_POINT('Ctrl Pts',(-0.0203483377824054,-0.704613240760097, -0.00122771819199874)); #143057=CARTESIAN_POINT('Ctrl Pts',(-0.019843945067062,-0.706336626794778, -0.00270826749950381)); #143058=CARTESIAN_POINT('Ctrl Pts',(-0.0198253813800122,-0.707193813528694, -0.00377178042089496)); #143059=CARTESIAN_POINT('Ctrl Pts',(-0.0198253813800122,-0.707193813528694, -0.00377178042089496)); #143060=CARTESIAN_POINT('Ctrl Pts',(-0.019843945067062,-0.706336626794778, -0.00270826749950381)); #143061=CARTESIAN_POINT('Ctrl Pts',(-0.0203483377824054,-0.704613240760097, -0.00122771819199874)); #143062=CARTESIAN_POINT('Ctrl Pts',(-0.0217466745963414,-0.701812967113862, -0.000692053487999472)); #143063=CARTESIAN_POINT('Ctrl Pts',(-0.022881317084118,-0.699169177296755, -0.000946603743363291)); #143064=CARTESIAN_POINT('Ctrl Pts',(-0.0234865299089681,-0.697317698264544, -0.00124126967445368)); #143065=CARTESIAN_POINT('Ctrl Pts',(-0.0237833954974618,-0.696117429305789, -0.00136882160800657)); #143066=CARTESIAN_POINT('Ctrl Pts',(-0.0178013158836884,-0.68964515444229, -0.00274921381923318)); #143067=CARTESIAN_POINT('Ctrl Pts',(-0.0171981245996013,-0.690109502803007, -0.00296092007941252)); #143068=CARTESIAN_POINT('Ctrl Pts',(-0.015967368653674,-0.691013187883124, -0.00340013681638511)); #143069=CARTESIAN_POINT('Ctrl Pts',(-0.0136663009939588,-0.69286324647106, -0.00441983049100054)); #143070=CARTESIAN_POINT('Ctrl Pts',(-0.0108445801947164,-0.695817780387701, -0.00636471568260498)); #143071=CARTESIAN_POINT('Ctrl Pts',(-0.00983372204763723,-0.698332953585634, -0.00866301904054509)); #143072=CARTESIAN_POINT('Ctrl Pts',(-0.00979630173916604,-0.699681822515734, -0.0101979422675708)); #143073=CARTESIAN_POINT('Ctrl Pts',(-0.917070303404755,-0.509896908699169, -0.00146747660206254)); #143074=CARTESIAN_POINT('Ctrl Pts',(-0.917679766853134,-0.510954775966853, -0.00155773221091182)); #143075=CARTESIAN_POINT('Ctrl Pts',(-0.918254546417255,-0.511834844875129, -0.00151750554170523)); #143076=CARTESIAN_POINT('Ctrl Pts',(-0.918798630658765,-0.512607875503465, -0.00144991557393826)); #143077=CARTESIAN_POINT('Ctrl Pts',(-0.918896688455355,-0.512745813089657, -0.00143671463772212)); #143078=CARTESIAN_POINT('Ctrl Pts',(-0.919017485830932,-0.512913619543992, -0.00141939459979691)); #143079=CARTESIAN_POINT('Ctrl Pts',(-0.919136090392738,-0.513075539377601, -0.00140086945308812)); #143080=CARTESIAN_POINT('Ctrl Pts',(-0.91922967675968,-0.513201746197099, -0.00138557688487656)); #143081=CARTESIAN_POINT('Ctrl Pts',(-0.919276051803427,-0.51326376390533, -0.00137776543310724)); #143082=CARTESIAN_POINT('Ctrl Pts',(-0.919299134755499,-0.513294508963864, -0.00137382969210314)); #143083=CARTESIAN_POINT('Ctrl Pts',(-0.917911316050143,-0.508073971231256, -0.00237596890877067)); #143084=CARTESIAN_POINT('Ctrl Pts',(-0.918400379366295,-0.508881708154936, -0.00261717579794569)); #143085=CARTESIAN_POINT('Ctrl Pts',(-0.918877938199503,-0.509572619219879, -0.00278547622461497)); #143086=CARTESIAN_POINT('Ctrl Pts',(-0.919328214935868,-0.510204092511508, -0.00294661177475613)); #143087=CARTESIAN_POINT('Ctrl Pts',(-0.919409456874106,-0.510317148936848, -0.00297521193151526)); #143088=CARTESIAN_POINT('Ctrl Pts',(-0.919509634393547,-0.510455510143902, -0.00301006416945562)); #143089=CARTESIAN_POINT('Ctrl Pts',(-0.919608217957004,-0.510589983735217, -0.00304342786570129)); #143090=CARTESIAN_POINT('Ctrl Pts',(-0.919685915545027,-0.51069545696599, -0.00306983632994503)); #143091=CARTESIAN_POINT('Ctrl Pts',(-0.919724457290943,-0.510747472348952, -0.00308276737003466)); #143092=CARTESIAN_POINT('Ctrl Pts',(-0.919743620255569,-0.510773317315252, -0.00308924339938435)); #143093=CARTESIAN_POINT('Ctrl Pts',(-0.919111536225219,-0.506103129169691, -0.00263849375155412)); #143094=CARTESIAN_POINT('Ctrl Pts',(-0.91954363959283,-0.506573865867571, -0.00289953317849129)); #143095=CARTESIAN_POINT('Ctrl Pts',(-0.920000942050676,-0.506956340131847, -0.00313637731364588)); #143096=CARTESIAN_POINT('Ctrl Pts',(-0.920453415658521,-0.507300819960072, -0.00338437706917721)); #143097=CARTESIAN_POINT('Ctrl Pts',(-0.920535505493571,-0.507362318869827, -0.00342916768224621)); #143098=CARTESIAN_POINT('Ctrl Pts',(-0.920637464471004,-0.507437429187768, -0.0034847298861255)); #143099=CARTESIAN_POINT('Ctrl Pts',(-0.920738770674584,-0.507510220416981, -0.00353945599001863)); #143100=CARTESIAN_POINT('Ctrl Pts',(-0.920819192191732,-0.507567190358594, -0.00358328055525986)); #143101=CARTESIAN_POINT('Ctrl Pts',(-0.920859267639708,-0.507595258653452, -0.00360502503523823)); #143102=CARTESIAN_POINT('Ctrl Pts',(-0.920879242225846,-0.50760919362685, -0.00361593263760854)); #143103=CARTESIAN_POINT('Ctrl Pts',(-0.920424833600018,-0.504388545031479, -0.00220121490309394)); #143104=CARTESIAN_POINT('Ctrl Pts',(-0.920828101076469,-0.504581998513705, -0.00233659844221916)); #143105=CARTESIAN_POINT('Ctrl Pts',(-0.921298608694675,-0.504730504521517, -0.00247272094704157)); #143106=CARTESIAN_POINT('Ctrl Pts',(-0.921796650813294,-0.504871760349575, -0.00261650176394928)); #143107=CARTESIAN_POINT('Ctrl Pts',(-0.921887549394432,-0.504897036584985, -0.00264265880836596)); #143108=CARTESIAN_POINT('Ctrl Pts',(-0.922001378626333,-0.504928180221416, -0.00267540850138312)); #143109=CARTESIAN_POINT('Ctrl Pts',(-0.922115596606347,-0.504958696005123, -0.00270824626293022)); #143110=CARTESIAN_POINT('Ctrl Pts',(-0.922207099325339,-0.504982824636834, -0.00273458398898297)); #143111=CARTESIAN_POINT('Ctrl Pts',(-0.922252901255862,-0.504994792538276, -0.00274777664295175)); #143112=CARTESIAN_POINT('Ctrl Pts',(-0.922275809650723,-0.505000756469492, -0.00275437944725103)); #143113=CARTESIAN_POINT('',(-0.919299134755499,-0.513294508963864,-0.00137382969210314)); #143114=CARTESIAN_POINT('',(-0.922275809650723,-0.505000756469492,-0.00275437944725111)); #143115=CARTESIAN_POINT('Origin',(-0.923887818347529,-0.508863527673181, 0.00632749407033195)); #143116=CARTESIAN_POINT('',(-0.920424833600018,-0.504388545031479,-0.00220121490309385)); #143117=CARTESIAN_POINT('Ctrl Pts',(-0.922275809650723,-0.505000756469492, -0.00275437944725103)); #143118=CARTESIAN_POINT('Ctrl Pts',(-0.922252901255862,-0.504994792538276, -0.00274777664295175)); #143119=CARTESIAN_POINT('Ctrl Pts',(-0.922207099325339,-0.504982824636834, -0.00273458398898297)); #143120=CARTESIAN_POINT('Ctrl Pts',(-0.922115596606347,-0.504958696005123, -0.00270824626293022)); #143121=CARTESIAN_POINT('Ctrl Pts',(-0.922001378626333,-0.504928180221416, -0.00267540850138312)); #143122=CARTESIAN_POINT('Ctrl Pts',(-0.921887549394432,-0.504897036584985, -0.00264265880836596)); #143123=CARTESIAN_POINT('Ctrl Pts',(-0.921796650813294,-0.504871760349575, -0.00261650176394928)); #143124=CARTESIAN_POINT('Ctrl Pts',(-0.921298608694675,-0.504730504521517, -0.00247272094704157)); #143125=CARTESIAN_POINT('Ctrl Pts',(-0.920828101076469,-0.504581998513705, -0.00233659844221916)); #143126=CARTESIAN_POINT('Ctrl Pts',(-0.920424833600018,-0.504388545031479, -0.00220121490309394)); #143127=CARTESIAN_POINT('',(-0.917070303404755,-0.509896908699169,-0.00146747660206254)); #143128=CARTESIAN_POINT('Origin',(-0.921870720002197,-0.507859251967857, 0.00706504679587484)); #143129=CARTESIAN_POINT('Ctrl Pts',(-0.917070303404755,-0.509896908699169, -0.00146747660206254)); #143130=CARTESIAN_POINT('Ctrl Pts',(-0.917679766853134,-0.510954775966853, -0.00155773221091182)); #143131=CARTESIAN_POINT('Ctrl Pts',(-0.918254546417255,-0.511834844875129, -0.00151750554170523)); #143132=CARTESIAN_POINT('Ctrl Pts',(-0.918798630658765,-0.512607875503465, -0.00144991557393826)); #143133=CARTESIAN_POINT('Ctrl Pts',(-0.918896688455355,-0.512745813089657, -0.00143671463772212)); #143134=CARTESIAN_POINT('Ctrl Pts',(-0.919017485830932,-0.512913619543992, -0.00141939459979691)); #143135=CARTESIAN_POINT('Ctrl Pts',(-0.919136090392738,-0.513075539377601, -0.00140086945308812)); #143136=CARTESIAN_POINT('Ctrl Pts',(-0.91922967675968,-0.513201746197099, -0.00138557688487656)); #143137=CARTESIAN_POINT('Ctrl Pts',(-0.919276051803427,-0.51326376390533, -0.00137776543310724)); #143138=CARTESIAN_POINT('Ctrl Pts',(-0.919299134755499,-0.513294508963864, -0.00137382969210314)); #143139=CARTESIAN_POINT('Ctrl Pts',(-0.906357033363751,-0.49800475565669, -2.73184799366426E-18)); #143140=CARTESIAN_POINT('Ctrl Pts',(-0.906393606734156,-0.498044907598247, -6.5637643315986E-18)); #143141=CARTESIAN_POINT('Ctrl Pts',(-0.906430180826157,-0.498085056604626, -4.86240495310179E-8)); #143142=CARTESIAN_POINT('Ctrl Pts',(-0.91005486438029,-0.502064798860232, -9.63659794815069E-6)); #143143=CARTESIAN_POINT('Ctrl Pts',(-0.913695914777059,-0.5060541444893, -0.000486730355679005)); #143144=CARTESIAN_POINT('Ctrl Pts',(-0.917070303124794,-0.509896908039623, -0.00146747660206254)); #143145=CARTESIAN_POINT('Ctrl Pts',(-0.906357033363752,-0.498004755656689, -2.73184799376745E-18)); #143146=CARTESIAN_POINT('Ctrl Pts',(-0.906397513081046,-0.498038628521365, 1.75744256969245E-7)); #143147=CARTESIAN_POINT('Ctrl Pts',(-0.90643798632686,-0.49807255575919, -2.61492398953961E-7)); #143148=CARTESIAN_POINT('Ctrl Pts',(-0.910449487616015,-0.501431281755628, -1.01230780216527E-5)); #143149=CARTESIAN_POINT('Ctrl Pts',(-0.914475465136249,-0.504800098611981, -0.000834163648674015)); #143150=CARTESIAN_POINT('Ctrl Pts',(-0.91791131588753,-0.508073970757019, -0.00237596881722193)); #143151=CARTESIAN_POINT('Ctrl Pts',(-0.906357033363752,-0.498004755656689, -2.73184799366426E-18)); #143152=CARTESIAN_POINT('Ctrl Pts',(-0.906401408146623,-0.498032382662998, 1.37611922793701E-7)); #143153=CARTESIAN_POINT('Ctrl Pts',(-0.906445804252532,-0.498060021284001, -2.30356330373288E-7)); #143154=CARTESIAN_POINT('Ctrl Pts',(-0.91084375021766,-0.500798721914306, -1.30790091054168E-5)); #143155=CARTESIAN_POINT('Ctrl Pts',(-0.915260470517471,-0.503541833132274, -0.000910592066402164)); #143156=CARTESIAN_POINT('Ctrl Pts',(-0.919111536151802,-0.506103128949449, -0.00263849365760558)); #143157=CARTESIAN_POINT('Ctrl Pts',(-0.906357033363752,-0.498004755656688, -2.73184799366426E-18)); #143158=CARTESIAN_POINT('Ctrl Pts',(-0.906405318396876,-0.498026127433076, -9.15313874301789E-18)); #143159=CARTESIAN_POINT('Ctrl Pts',(-0.906453605201448,-0.498047496153554, -7.2936074331555E-8)); #143160=CARTESIAN_POINT('Ctrl Pts',(-0.911238730341922,-0.500165971611139, -1.44548969228934E-5)); #143161=CARTESIAN_POINT('Ctrl Pts',(-0.91604280520637,-0.502286419460433, -0.000730095533518444)); #143162=CARTESIAN_POINT('Ctrl Pts',(-0.920424833600018,-0.50438854503148, -0.00220121490309377)); #143163=CARTESIAN_POINT('Ctrl Pts',(-0.920424833600018,-0.50438854503148, -0.00220121490309377)); #143164=CARTESIAN_POINT('Ctrl Pts',(-0.91604280520637,-0.502286419460433, -0.000730095533518444)); #143165=CARTESIAN_POINT('Ctrl Pts',(-0.911238730341922,-0.500165971611139, -1.44548969228934E-5)); #143166=CARTESIAN_POINT('Ctrl Pts',(-0.906453605201448,-0.498047496153554, -7.2936074331555E-8)); #143167=CARTESIAN_POINT('Ctrl Pts',(-0.906405318396876,-0.498026127433076, -9.15313874301789E-18)); #143168=CARTESIAN_POINT('Ctrl Pts',(-0.906357033363752,-0.498004755656688, -2.73184799366426E-18)); #143169=CARTESIAN_POINT('Ctrl Pts',(-0.906357033363751,-0.49800475565669, -2.73184799366426E-18)); #143170=CARTESIAN_POINT('Ctrl Pts',(-0.906393606734156,-0.498044907598247, -6.5637643315986E-18)); #143171=CARTESIAN_POINT('Ctrl Pts',(-0.906430180826157,-0.498085056604626, -4.86240495310179E-8)); #143172=CARTESIAN_POINT('Ctrl Pts',(-0.91005486438029,-0.502064798860232, -9.63659794815069E-6)); #143173=CARTESIAN_POINT('Ctrl Pts',(-0.913695914777059,-0.5060541444893, -0.000486730355679005)); #143174=CARTESIAN_POINT('Ctrl Pts',(-0.917070303124794,-0.509896908039623, -0.00146747660206254)); #143175=CARTESIAN_POINT('Ctrl Pts',(-0.933549332950222,-0.511104809258178, -0.0102084176726907)); #143176=CARTESIAN_POINT('Ctrl Pts',(-0.932988999237491,-0.509878970419077, -0.00867374145235428)); #143177=CARTESIAN_POINT('Ctrl Pts',(-0.931079066954383,-0.507961045596461, -0.00637478472543614)); #143178=CARTESIAN_POINT('Ctrl Pts',(-0.927332763760826,-0.506345936440215, -0.00442774974054523)); #143179=CARTESIAN_POINT('Ctrl Pts',(-0.924495735135587,-0.505542940277755, -0.00340647940882326)); #143180=CARTESIAN_POINT('Ctrl Pts',(-0.923011568865935,-0.505192302729193, -0.00296644468093838)); #143181=CARTESIAN_POINT('Ctrl Pts',(-0.922275809650724,-0.505000756469492, -0.00275437944725126)); #143182=CARTESIAN_POINT('Ctrl Pts',(-0.931161416064091,-0.512138523649324, -0.0102375893933817)); #143183=CARTESIAN_POINT('Ctrl Pts',(-0.93068994143655,-0.511130624047023, -0.0089674235118467)); #143184=CARTESIAN_POINT('Ctrl Pts',(-0.929156563740035,-0.509589892757921, -0.00703055757256776)); #143185=CARTESIAN_POINT('Ctrl Pts',(-0.926070955665827,-0.508105053019605, -0.00513253588182755)); #143186=CARTESIAN_POINT('Ctrl Pts',(-0.923611552242741,-0.507152713142931, -0.00400479295975349)); #143187=CARTESIAN_POINT('Ctrl Pts',(-0.922267411109651,-0.506624147649016, -0.00346339745852712)); #143188=CARTESIAN_POINT('Ctrl Pts',(-0.921577525938285,-0.506304975048171, -0.00318515604243)); #143189=CARTESIAN_POINT('Ctrl Pts',(-0.9270334308707,-0.515680954273318, -0.00888137968265922)); #143190=CARTESIAN_POINT('Ctrl Pts',(-0.926704399986021,-0.515023755590174, -0.00801324480321231)); #143191=CARTESIAN_POINT('Ctrl Pts',(-0.925830774382587,-0.514007864546961, -0.00666886632589128)); #143192=CARTESIAN_POINT('Ctrl Pts',(-0.923874461718474,-0.512375866909263, -0.00515555848502332)); #143193=CARTESIAN_POINT('Ctrl Pts',(-0.922047300879055,-0.510850695882093, -0.00417938288137503)); #143194=CARTESIAN_POINT('Ctrl Pts',(-0.920925144636516,-0.509831824439187, -0.00367114088277631)); #143195=CARTESIAN_POINT('Ctrl Pts',(-0.920298713895253,-0.509192420844792, -0.00338700961333058)); #143196=CARTESIAN_POINT('Ctrl Pts',(-0.926526614810182,-0.520125299139113, -0.0055021316218011)); #143197=CARTESIAN_POINT('Ctrl Pts',(-0.926206300264028,-0.519450989251039, -0.00457758934931577)); #143198=CARTESIAN_POINT('Ctrl Pts',(-0.925264170725211,-0.518273624866678, -0.00326369687426583)); #143199=CARTESIAN_POINT('Ctrl Pts',(-0.923243457714596,-0.516298550902463, -0.0024602372545441)); #143200=CARTESIAN_POINT('Ctrl Pts',(-0.921376225891353,-0.514337716804014, -0.00228243146027045)); #143201=CARTESIAN_POINT('Ctrl Pts',(-0.920201016117434,-0.512942354646296, -0.0022693646878212)); #143202=CARTESIAN_POINT('Ctrl Pts',(-0.919521377505535,-0.512033913139558, -0.00223153654574382)); #143203=CARTESIAN_POINT('Ctrl Pts',(-0.927263182674218,-0.521937220013044, -0.00378574762773069)); #143204=CARTESIAN_POINT('Ctrl Pts',(-0.926911404356853,-0.521155963325759, -0.0027228846976416)); #143205=CARTESIAN_POINT('Ctrl Pts',(-0.925774771803592,-0.519767770059984, -0.00124244711106041)); #143206=CARTESIAN_POINT('Ctrl Pts',(-0.92339538830562,-0.517737286882272, -0.000704099529889325)); #143207=CARTESIAN_POINT('Ctrl Pts',(-0.921319806635598,-0.515748322585326, -0.000955115310103486)); #143208=CARTESIAN_POINT('Ctrl Pts',(-0.92004050030314,-0.514281961828922, -0.0012474237291311)); #143209=CARTESIAN_POINT('Ctrl Pts',(-0.9192991347555,-0.513294508963864, -0.00137382969210316)); #143210=CARTESIAN_POINT('Ctrl Pts',(-0.9192991347555,-0.513294508963864, -0.00137382969210316)); #143211=CARTESIAN_POINT('Ctrl Pts',(-0.92004050030314,-0.514281961828922, -0.0012474237291311)); #143212=CARTESIAN_POINT('Ctrl Pts',(-0.921319806635598,-0.515748322585326, -0.000955115310103486)); #143213=CARTESIAN_POINT('Ctrl Pts',(-0.92339538830562,-0.517737286882272, -0.000704099529889325)); #143214=CARTESIAN_POINT('Ctrl Pts',(-0.925774771803592,-0.519767770059984, -0.00124244711106041)); #143215=CARTESIAN_POINT('Ctrl Pts',(-0.926911404356853,-0.521155963325759, -0.0027228846976416)); #143216=CARTESIAN_POINT('Ctrl Pts',(-0.927263182674218,-0.521937220013044, -0.00378574762773069)); #143217=CARTESIAN_POINT('Ctrl Pts',(-0.933549332950222,-0.511104809258178, -0.0102084176726907)); #143218=CARTESIAN_POINT('Ctrl Pts',(-0.932988999237491,-0.509878970419077, -0.00867374145235428)); #143219=CARTESIAN_POINT('Ctrl Pts',(-0.931079066954383,-0.507961045596461, -0.00637478472543614)); #143220=CARTESIAN_POINT('Ctrl Pts',(-0.927332763760826,-0.506345936440215, -0.00442774974054523)); #143221=CARTESIAN_POINT('Ctrl Pts',(-0.924495735135587,-0.505542940277755, -0.00340647940882326)); #143222=CARTESIAN_POINT('Ctrl Pts',(-0.923011568865935,-0.505192302729193, -0.00296644468093838)); #143223=CARTESIAN_POINT('Ctrl Pts',(-0.922275809650724,-0.505000756469492, -0.00275437944725126)); #143224=CARTESIAN_POINT('Ctrl Pts',(-0.0296986880573998,-0.695522275241207, 0.00050251365099468)); #143225=CARTESIAN_POINT('Ctrl Pts',(-0.0296969236005252,-0.697898443213558, 0.0012186537555808)); #143226=CARTESIAN_POINT('Ctrl Pts',(-0.0297484645657225,-0.702170595926419, 0.00420179727863849)); #143227=CARTESIAN_POINT('Ctrl Pts',(-0.0298887032180205,-0.703877985778708, 0.0091241603372681)); #143228=CARTESIAN_POINT('Ctrl Pts',(-0.0299678839328098,-0.703920305437605, 0.0116048928156924)); #143229=CARTESIAN_POINT('Ctrl Pts',(-0.0271145447150326,-0.69553740080425, 0.000497436831026454)); #143230=CARTESIAN_POINT('Ctrl Pts',(-0.0271151975093007,-0.697921217995692, 0.00121195722232654)); #143231=CARTESIAN_POINT('Ctrl Pts',(-0.0271671633862541,-0.702216274222016, 0.00421545888829016)); #143232=CARTESIAN_POINT('Ctrl Pts',(-0.0272985677305068,-0.703920460621253, 0.00916592143880714)); #143233=CARTESIAN_POINT('Ctrl Pts',(-0.0273717205899014,-0.703953383800022, 0.0116496387686546)); #143234=CARTESIAN_POINT('Ctrl Pts',(-0.0219749937484551,-0.696176460605048, -0.00153310838693066)); #143235=CARTESIAN_POINT('Ctrl Pts',(-0.0219856792032264,-0.699012190468758, -0.000685634133588189)); #143236=CARTESIAN_POINT('Ctrl Pts',(-0.0220475920313979,-0.70411122764987, 0.00287361518553958)); #143237=CARTESIAN_POINT('Ctrl Pts',(-0.0221657483466331,-0.706117341753717, 0.00874995757952293)); #143238=CARTESIAN_POINT('Ctrl Pts',(-0.0222276584201804,-0.70615063811074, 0.0117015496520373)); #143239=CARTESIAN_POINT('Ctrl Pts',(-0.0197790926242989,-0.697662059590339, -0.0064236614596459)); #143240=CARTESIAN_POINT('Ctrl Pts',(-0.0197994713013065,-0.701529676591086, -0.00526044020569721)); #143241=CARTESIAN_POINT('Ctrl Pts',(-0.0198844783386425,-0.70848640813936, -0.000401755568195257)); #143242=CARTESIAN_POINT('Ctrl Pts',(-0.0200243217751913,-0.711258105353354, 0.00761459933734913)); #143243=CARTESIAN_POINT('Ctrl Pts',(-0.0200945632532515,-0.711321634834849, 0.0116496387686546)); #143244=CARTESIAN_POINT('Ctrl Pts',(-0.0197359115992828,-0.698407918862589, -0.00889750072599619)); #143245=CARTESIAN_POINT('Ctrl Pts',(-0.0197589954349722,-0.702795583155887, -0.00757502866506201)); #143246=CARTESIAN_POINT('Ctrl Pts',(-0.0198551531483626,-0.710684812872511, -0.00206615695443406)); #143247=CARTESIAN_POINT('Ctrl Pts',(-0.0200138190565016,-0.713839034212891, 0.00702380683494818)); #143248=CARTESIAN_POINT('Ctrl Pts',(-0.0200937822097373,-0.713918008781547, 0.0116048928156924)); #143249=CARTESIAN_POINT('',(-0.020093782209737,-0.713918008781547,0.0116048928156924)); #143250=CARTESIAN_POINT('Ctrl Pts',(-0.0198255163566286,-0.70718643237425, -0.00376404761573171)); #143251=CARTESIAN_POINT('Ctrl Pts',(-0.0198394993934079,-0.70802594291498, -0.00296295997516152)); #143252=CARTESIAN_POINT('Ctrl Pts',(-0.0199143844013012,-0.711862308934694, 0.00132719925375606)); #143253=CARTESIAN_POINT('Ctrl Pts',(-0.0200138190565016,-0.713839034212891, 0.00702380683494818)); #143254=CARTESIAN_POINT('Ctrl Pts',(-0.0200937822097373,-0.713918008781547, 0.0116048928156924)); #143255=CARTESIAN_POINT('',(-0.0299678839328098,-0.703920305437605,0.0116048928156924)); #143256=CARTESIAN_POINT('Origin',(-0.0300922591613009,-0.713918008781547, 0.0114303687513196)); #143257=CARTESIAN_POINT('',(-0.0296986880573999,-0.695522275241208,0.000502513650994799)); #143258=CARTESIAN_POINT('Ctrl Pts',(-0.0299678839328098,-0.703920305437605, 0.0116048928156924)); #143259=CARTESIAN_POINT('Ctrl Pts',(-0.0298887032180205,-0.703877985778708, 0.0091241603372681)); #143260=CARTESIAN_POINT('Ctrl Pts',(-0.0297484645657225,-0.702170595926419, 0.00420179727863849)); #143261=CARTESIAN_POINT('Ctrl Pts',(-0.0296969236005252,-0.697898443213558, 0.0012186537555808)); #143262=CARTESIAN_POINT('Ctrl Pts',(-0.0296986880573998,-0.695522275241207, 0.00050251365099468)); #143263=CARTESIAN_POINT('Origin',(-0.0297343885508468,-0.69840791886259, -0.00907202479036858)); #143264=CARTESIAN_POINT('Origin',(-0.0204148700000009,-1.11,0.03)); #143265=CARTESIAN_POINT('',(-0.0225000011013355,-1.09070820616748,0.149457080790567)); #143266=CARTESIAN_POINT('Origin',(0.0099984769515599,1.3923,-1.71237947991778)); #143267=CARTESIAN_POINT('',(-0.0233678223017446,-0.786691828011332,0.199174524064373)); #143268=CARTESIAN_POINT('Ctrl Pts',(-0.0233678223017446,-0.786691828011332, 0.199174524064373)); #143269=CARTESIAN_POINT('Ctrl Pts',(-0.0233678223017446,-0.889826015801017, 0.199174524064373)); #143270=CARTESIAN_POINT('Ctrl Pts',(-0.0230734459604774,-0.992948110562142, 0.182309714768164)); #143271=CARTESIAN_POINT('Ctrl Pts',(-0.0225000011013355,-1.09070820616748, 0.149457080790567)); #143272=CARTESIAN_POINT('',(-0.0233678223017445,-0.717149413512975,0.199174524064373)); #143273=CARTESIAN_POINT('',(-0.0233678223017431,1.02255899376605,0.199174524064373)); #143274=CARTESIAN_POINT('Ctrl Pts',(-0.020093782209737,-0.713918008781547, 0.0116048928156924)); #143275=CARTESIAN_POINT('Ctrl Pts',(-0.021185128690803,-0.714995862944493, 0.0741280908418241)); #143276=CARTESIAN_POINT('Ctrl Pts',(-0.0222764753885231,-0.716072996895961, 0.136651301280074)); #143277=CARTESIAN_POINT('Ctrl Pts',(-0.0233678223017444,-0.717149413512988, 0.199174524064373)); #143278=CARTESIAN_POINT('Ctrl Pts',(-0.0193645104263874,-1.0907472645407, -0.0301750596708149)); #143279=CARTESIAN_POINT('Ctrl Pts',(-0.0204096820661037,-1.09073490498472, 0.0297027834660874)); #143280=CARTESIAN_POINT('Ctrl Pts',(-0.0214548459021872,-1.09072188552352, 0.0895801795331728)); #143281=CARTESIAN_POINT('Ctrl Pts',(-0.0225000011013369,-1.09070820616748, 0.149457080790644)); #143282=CARTESIAN_POINT('Ctrl Pts',(-0.0193645199269889,-1.09338496341545, -0.0301745153817184)); #143283=CARTESIAN_POINT('Ctrl Pts',(-0.0204096684686514,-1.093373311738, 0.0297033477197096)); #143284=CARTESIAN_POINT('Ctrl Pts',(-0.021454879581461,-1.09336098077833, 0.0895807624362262)); #143285=CARTESIAN_POINT('Ctrl Pts',(-0.0225000116250027,-1.09334800932382, 0.149457683691055)); #143286=CARTESIAN_POINT('Ctrl Pts',(-0.0215695627458055,-1.09863460996331, -0.030211920952809)); #143287=CARTESIAN_POINT('Ctrl Pts',(-0.0226159769922616,-1.09862431076713, 0.0296659588294989)); #143288=CARTESIAN_POINT('Ctrl Pts',(-0.0236623685184765,-1.09861337563967, 0.0895433919993392)); #143289=CARTESIAN_POINT('Ctrl Pts',(-0.0247087675212752,-1.09860175649695, 0.149420329973953)); #143290=CARTESIAN_POINT('Ctrl Pts',(-0.0268441201901252,-1.10077775028652, -0.030303546323775)); #143291=CARTESIAN_POINT('Ctrl Pts',(-0.02789281553536,-1.10076648455675, 0.0295743093977162)); #143292=CARTESIAN_POINT('Ctrl Pts',(-0.0289414949725409,-1.10075448122606, 0.0894517177224078)); #143293=CARTESIAN_POINT('Ctrl Pts',(-0.0299901752747563,-1.10074189219745, 0.149328631589787)); #143294=CARTESIAN_POINT('Ctrl Pts',(-0.0294812329504654,-1.10074656541549, -0.0303495837351877)); #143295=CARTESIAN_POINT('Ctrl Pts',(-0.0305306135256459,-1.10073415608724, 0.0295282594017145)); #143296=CARTESIAN_POINT('Ctrl Pts',(-0.0315799862657683,-1.1007210841963, 0.0894056554687999)); #143297=CARTESIAN_POINT('Ctrl Pts',(-0.0326293503341756,-1.10070734975311, 0.149282556726271)); #143298=CARTESIAN_POINT('',(-0.0326293503341743,-1.10070734975311,0.149282556726195)); #143299=CARTESIAN_POINT('Origin',(-4.19611851826831E-15,1.3923,-1.71255400398214)); #143300=CARTESIAN_POINT('Origin',(-0.0324984780529002,-1.09070820616748, 0.14928255672624)); #143301=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #143302=CARTESIAN_POINT('',(-1.05937891488364,-0.864659599799306,0.149282556726194)); #143303=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.39230000000001, 5.4729436727554)); #143304=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.149282556726195)); #143305=CARTESIAN_POINT('Ctrl Pts',(-1.06433352387865,-0.851700498308962, 0.14945708079058)); #143306=CARTESIAN_POINT('Ctrl Pts',(-1.06528032917423,-0.851256083811364, 0.0895784465763119)); #143307=CARTESIAN_POINT('Ctrl Pts',(-1.06622685369262,-0.850811071130456, 0.0297012290242696)); #143308=CARTESIAN_POINT('Ctrl Pts',(-1.06717309667819,-0.850365460621499, -0.0301745240643661)); #143309=CARTESIAN_POINT('Ctrl Pts',(-1.06533211091003,-0.854052947327449, 0.149455410829991)); #143310=CARTESIAN_POINT('Ctrl Pts',(-1.06627862049209,-0.853607889765915, 0.0895767578698546)); #143311=CARTESIAN_POINT('Ctrl Pts',(-1.06722491450658,-0.853162224545369, 0.0296995227705554)); #143312=CARTESIAN_POINT('Ctrl Pts',(-1.06817086183619,-0.852715970970262, -0.0301762490335814)); #143313=CARTESIAN_POINT('Ctrl Pts',(-1.06541979534864,-0.859543121483309, 0.149416049663369)); #143314=CARTESIAN_POINT('Ctrl Pts',(-1.06636689484941,-0.859096336465394, 0.0895373814519444)); #143315=CARTESIAN_POINT('Ctrl Pts',(-1.06731369642495,-0.858648942939279, 0.029660129657212)); #143316=CARTESIAN_POINT('Ctrl Pts',(-1.06826023247787,-0.858200962249276, -0.0302156573631796)); #143317=CARTESIAN_POINT('Ctrl Pts',(-1.06169200802658,-0.863573872742421, 0.149327189702836)); #143318=CARTESIAN_POINT('Ctrl Pts',(-1.06264152599341,-0.863126970816564, 0.0894485438375563)); #143319=CARTESIAN_POINT('Ctrl Pts',(-1.06359078447751,-0.862679534392314, 0.0295713149353649)); #143320=CARTESIAN_POINT('Ctrl Pts',(-1.06453973738728,-0.862231433889949, -0.0303044497812651)); #143321=CARTESIAN_POINT('Ctrl Pts',(-1.05937891488364,-0.864659599799305, 0.149282556726207)); #143322=CARTESIAN_POINT('Ctrl Pts',(-1.06032953299066,-0.864213395632079, 0.089403922511939)); #143323=CARTESIAN_POINT('Ctrl Pts',(-1.0612798691898,-0.863766590872642, 0.0295267049598968)); #143324=CARTESIAN_POINT('Ctrl Pts',(-1.06222992272236,-0.863319185877686, -0.0303490481287389)); #143325=CARTESIAN_POINT('',(-1.06433352387865,-0.851700498308962,0.149457080790568)); #143326=CARTESIAN_POINT('Origin',(-0.00920364656105097,1.39620671618246, 5.47311819681978)); #143327=CARTESIAN_POINT('Origin',(-1.0551298773176,-0.85560721449142,0.149282556726207)); #143328=CARTESIAN_POINT('Origin',(-1.07216751881292,-0.864819748053415, 0.03)); #143329=CARTESIAN_POINT('',(-0.929649052636995,-0.528245520859715,0.0116048928156924)); #143330=CARTESIAN_POINT('Ctrl Pts',(-0.929649052636995,-0.528245520859715, 0.0116048928156924)); #143331=CARTESIAN_POINT('Ctrl Pts',(-0.929691776809741,-0.528141441606817, 0.00702199308785485)); #143332=CARTESIAN_POINT('Ctrl Pts',(-0.929009779256743,-0.526279977558061, 0.00131878366152695)); #143333=CARTESIAN_POINT('Ctrl Pts',(-0.92757695579279,-0.522712675422495, -0.00297424169765035)); #143334=CARTESIAN_POINT('Ctrl Pts',(-0.927260158828265,-0.521930444127807, -0.00377796102874197)); #143335=CARTESIAN_POINT('',(-0.927899585462678,-0.532503300559851,0.19917452406436)); #143336=CARTESIAN_POINT('Ctrl Pts',(-0.927899585462683,-0.532503300559863, 0.199174524064359)); #143337=CARTESIAN_POINT('Ctrl Pts',(-0.928483022794234,-0.53108470352908, 0.136651301230502)); #143338=CARTESIAN_POINT('Ctrl Pts',(-0.929066178895571,-0.529665444515178, 0.0741280907921383)); #143339=CARTESIAN_POINT('Ctrl Pts',(-0.929649052636995,-0.528245520859715, 0.0116048928156924)); #143340=CARTESIAN_POINT('',(-0.944682274291099,-0.57204083774117,0.199174524064373)); #143341=CARTESIAN_POINT('',(-0.264679665530864,1.02994491687296,0.199174524064373)); #143342=CARTESIAN_POINT('Ctrl Pts',(-1.06433352387865,-0.851700498308961, 0.149457080790568)); #143343=CARTESIAN_POINT('Ctrl Pts',(-1.0255865252352,-0.761886252964336, 0.182317392250569)); #143344=CARTESIAN_POINT('Ctrl Pts',(-0.985000972272509,-0.667025737767608, 0.199174524064373)); #143345=CARTESIAN_POINT('Ctrl Pts',(-0.944682274291099,-0.57204083774117, 0.199174524064373)); #143346=CARTESIAN_POINT('Ctrl Pts',(-0.916641085267705,-0.522905795319061, 0.0116048928156924)); #143347=CARTESIAN_POINT('Ctrl Pts',(-0.916697462029722,-0.522835758191709, 0.00912317816375271)); #143348=CARTESIAN_POINT('Ctrl Pts',(-0.916160638198341,-0.521207137294337, 0.00419894996293636)); #143349=CARTESIAN_POINT('Ctrl Pts',(-0.914542112764176,-0.517249941222566, 0.00121606361229663)); #143350=CARTESIAN_POINT('Ctrl Pts',(-0.913614015156242,-0.515061175816468, 0.000500819428521805)); #143351=CARTESIAN_POINT('Ctrl Pts',(-0.919044534513715,-0.521917775731408, 0.0116497372882988)); #143352=CARTESIAN_POINT('Ctrl Pts',(-0.91909904205316,-0.521858789839412, 0.00916577755308059)); #143353=CARTESIAN_POINT('Ctrl Pts',(-0.91855544743461,-0.520237688259906, 0.00421479656742267)); #143354=CARTESIAN_POINT('Ctrl Pts',(-0.916928241478858,-0.516261051682539, 0.00121093910009967)); #143355=CARTESIAN_POINT('Ctrl Pts',(-0.915999124013479,-0.514064849040586, 0.000496704067891834)); #143356=CARTESIAN_POINT('Ctrl Pts',(-0.924642403827202,-0.521928039303611, 0.0117016904456375)); #143357=CARTESIAN_POINT('Ctrl Pts',(-0.924686392017817,-0.521873156708597, 0.00875028137093886)); #143358=CARTESIAN_POINT('Ctrl Pts',(-0.924011638788982,-0.519979596458618, 0.00287304024938018)); #143359=CARTESIAN_POINT('Ctrl Pts',(-0.922077071942682,-0.515259942615713, -0.000688094389569335)); #143360=CARTESIAN_POINT('Ctrl Pts',(-0.92097931621804,-0.512644469605565, -0.00153562439300909)); #143361=CARTESIAN_POINT('Ctrl Pts',(-0.928632976053227,-0.525853797765448, 0.0116497372882988)); #143362=CARTESIAN_POINT('Ctrl Pts',(-0.928672788249074,-0.525767771569544, 0.00761356382666334)); #143363=CARTESIAN_POINT('Ctrl Pts',(-0.927717909394449,-0.523160184359886, -0.000404990441767142)); #143364=CARTESIAN_POINT('Ctrl Pts',(-0.925076491439728,-0.516719831667695, -0.00526364242809368)); #143365=CARTESIAN_POINT('Ctrl Pts',(-0.92358330814864,-0.51315004049058, -0.00642579567961829)); #143366=CARTESIAN_POINT('Ctrl Pts',(-0.929649052636995,-0.528245520859715, 0.0116048928156924)); #143367=CARTESIAN_POINT('Ctrl Pts',(-0.929691776809741,-0.528141441606817, 0.00702199308785485)); #143368=CARTESIAN_POINT('Ctrl Pts',(-0.928604374682449,-0.525173454467278, -0.00207141499743515)); #143369=CARTESIAN_POINT('Ctrl Pts',(-0.92560820090783,-0.517868835723094, -0.00757981179633083)); #143370=CARTESIAN_POINT('Ctrl Pts',(-0.923914097724516,-0.513818774072088, -0.0089006293901654)); #143371=CARTESIAN_POINT('',(-0.913614015156242,-0.515061175816468,0.000500819428521902)); #143372=CARTESIAN_POINT('Origin',(-0.914710451163469,-0.517725490254547, -0.00907515345453827)); #143373=CARTESIAN_POINT('',(-0.916641085267705,-0.522905795319061,0.0116048928156924)); #143374=CARTESIAN_POINT('Ctrl Pts',(-0.913614015156242,-0.515061175816468, 0.000500819428521805)); #143375=CARTESIAN_POINT('Ctrl Pts',(-0.914542112764176,-0.517249941222566, 0.00121606361229663)); #143376=CARTESIAN_POINT('Ctrl Pts',(-0.916160638198341,-0.521207137294337, 0.00419894996293636)); #143377=CARTESIAN_POINT('Ctrl Pts',(-0.916697462029722,-0.522835758191709, 0.00912317816375271)); #143378=CARTESIAN_POINT('Ctrl Pts',(-0.916641085267705,-0.522905795319061, 0.0116048928156924)); #143379=CARTESIAN_POINT('Origin',(-0.920445406075948,-0.532152237042173, 0.0114303687513196)); #143380=CARTESIAN_POINT('Ctrl Pts',(-0.917735647065996,-0.509614487116784, -0.00195371505519925)); #143381=CARTESIAN_POINT('Ctrl Pts',(-0.918268291793478,-0.51085623180801, -0.00195748865438656)); #143382=CARTESIAN_POINT('Ctrl Pts',(-0.918797029124315,-0.512100744142937, -0.00176149625538712)); #143383=CARTESIAN_POINT('Ctrl Pts',(-0.9192991347555,-0.513294508963865, -0.0013738296921028)); #143384=CARTESIAN_POINT('Ctrl Pts',(-0.916166063576358,-0.510280735661308, -0.000695405670249239)); #143385=CARTESIAN_POINT('Ctrl Pts',(-0.916651335266968,-0.511405810049957, -0.00069898102546818)); #143386=CARTESIAN_POINT('Ctrl Pts',(-0.917133047625431,-0.512534839880261, -0.000521150675444366)); #143387=CARTESIAN_POINT('Ctrl Pts',(-0.917589510113981,-0.513616927856594, -0.000169678443748906)); #143388=CARTESIAN_POINT('Ctrl Pts',(-0.914220579827446,-0.511106544450545, -1.98649612316139E-12)); #143389=CARTESIAN_POINT('Ctrl Pts',(-0.914679997378511,-0.512165084425835, -2.29387606590705E-6)); #143390=CARTESIAN_POINT('Ctrl Pts',(-0.915135417768923,-0.513227411372262, 0.00016600491246988)); #143391=CARTESIAN_POINT('Ctrl Pts',(-0.915566353125397,-0.514245629562327, 0.000497450787803404)); #143392=CARTESIAN_POINT('Ctrl Pts',(-0.912269886484275,-0.511934564645458, 8.46872878035922E-17)); #143393=CARTESIAN_POINT('Ctrl Pts',(-0.912729423493387,-0.512989631183544, 9.76596915648932E-11)); #143394=CARTESIAN_POINT('Ctrl Pts',(-0.913183878438165,-0.514046769184698, 0.000169331896173537)); #143395=CARTESIAN_POINT('Ctrl Pts',(-0.913614015156242,-0.515061175816469, 0.000500819428521958)); #143396=CARTESIAN_POINT('Origin',(-0.912269886807416,-0.511934565430723, -0.00999999999999995)); #143397=CARTESIAN_POINT('Ctrl Pts',(-0.912269886484275,-0.511934564645458, 8.46872878035922E-17)); #143398=CARTESIAN_POINT('Ctrl Pts',(-0.912729423493387,-0.512989631183544, 9.76596915648932E-11)); #143399=CARTESIAN_POINT('Ctrl Pts',(-0.913183878438165,-0.514046769184698, 0.000169331896173537)); #143400=CARTESIAN_POINT('Ctrl Pts',(-0.913614015156242,-0.515061175816469, 0.000500819428521958)); #143401=CARTESIAN_POINT('Origin',(-0.734727619391318,-0.0936711947047923, -0.01)); #143402=CARTESIAN_POINT('Origin',(-1.25443840202216,3.08747651126076,-0.00359656385685355)); #143403=CARTESIAN_POINT('',(-1.24589403699813,3.0910363473429,-0.0498254759356272)); #143404=CARTESIAN_POINT('',(-1.2448272491109,3.09023246500988,-0.00377108792122639)); #143405=CARTESIAN_POINT('',(-1.25550518990939,3.07828191664221,-0.0498254759356272)); #143406=CARTESIAN_POINT('Origin',(-1.25550518990939,3.08828039359378,-0.0496509518712543)); #143407=CARTESIAN_POINT('',(-1.25443840202216,3.07747803430919,-0.00377108792122639)); #143408=CARTESIAN_POINT('Ctrl Pts',(-1.24589403699813,3.0910363473429,-0.0498254759356272)); #143409=CARTESIAN_POINT('Ctrl Pts',(-1.24584264325562,3.09099761938009, -0.0476067524319029)); #143410=CARTESIAN_POINT('Ctrl Pts',(-1.24547468018598,3.09004141824319, -0.0424424031882566)); #143411=CARTESIAN_POINT('Ctrl Pts',(-1.24418182751832,3.0859360388879,-0.0360732582861969)); #143412=CARTESIAN_POINT('Ctrl Pts',(-1.24215603441458,3.07917056372473, -0.0313468576665327)); #143413=CARTESIAN_POINT('Ctrl Pts',(-1.24065157856084,3.07399814207649, -0.0301745240643729)); #143414=CARTESIAN_POINT('Ctrl Pts',(-1.23980317104164,3.07103939343978, -0.0301745240643729)); #143415=CARTESIAN_POINT('Ctrl Pts',(-1.24504574356665,3.08807347719796, -0.0498968426015145)); #143416=CARTESIAN_POINT('Ctrl Pts',(-1.24500403923784,3.08804511387237, -0.0480348884320396)); #143417=CARTESIAN_POINT('Ctrl Pts',(-1.24470126593057,3.08727366893445, -0.0436925316795838)); #143418=CARTESIAN_POINT('Ctrl Pts',(-1.24362936582152,3.08388314975606, -0.0382154283813476)); #143419=CARTESIAN_POINT('Ctrl Pts',(-1.24190888584875,3.07813530390416, -0.0339858352357568)); #143420=CARTESIAN_POINT('Ctrl Pts',(-1.24059243144229,3.07363137492252, -0.0328316588796794)); #143421=CARTESIAN_POINT('Ctrl Pts',(-1.23984660336673,3.07102693942096, -0.032763034818718)); #143422=CARTESIAN_POINT('Ctrl Pts',(-1.24631553574434,3.08135549247866, -0.0499846924349252)); #143423=CARTESIAN_POINT('Ctrl Pts',(-1.24628321371527,3.08134961752098, -0.0488240771912689)); #143424=CARTESIAN_POINT('Ctrl Pts',(-1.24605538984535,3.08096359986323, -0.0461091432855477)); #143425=CARTESIAN_POINT('Ctrl Pts',(-1.24520707439566,3.07904237488844, -0.0424048196321993)); #143426=CARTESIAN_POINT('Ctrl Pts',(-1.24376828615481,3.07539336282185, -0.0391817698953563)); #143427=CARTESIAN_POINT('Ctrl Pts',(-1.24263143350916,3.07228566963488, -0.0380786171527244)); #143428=CARTESIAN_POINT('Ctrl Pts',(-1.24196989014632,3.07041809673427, -0.0378807996928169)); #143429=CARTESIAN_POINT('Ctrl Pts',(-1.25242327497061,3.078283162352,-0.0498968426015145)); #143430=CARTESIAN_POINT('Ctrl Pts',(-1.25237852395342,3.07827376804059, -0.0488899939393243)); #143431=CARTESIAN_POINT('Ctrl Pts',(-1.2520571251636,3.07789292393764,-0.0465289426038886)); #143432=CARTESIAN_POINT('Ctrl Pts',(-1.25091594714828,3.0761544608528,-0.0434442271681817)); #143433=CARTESIAN_POINT('Ctrl Pts',(-1.24909180788771,3.0730532885238,-0.0409040629879342)); #143434=CARTESIAN_POINT('Ctrl Pts',(-1.24770995083008,3.07049853520542, -0.0401078947393944)); #143435=CARTESIAN_POINT('Ctrl Pts',(-1.24692570868707,3.06899703863506, -0.0400000000000002)); #143436=CARTESIAN_POINT('Ctrl Pts',(-1.25550518990939,3.07828191664221, -0.0498254759356272)); #143437=CARTESIAN_POINT('Ctrl Pts',(-1.25545379616688,3.07826255266081, -0.048716114183765)); #143438=CARTESIAN_POINT('Ctrl Pts',(-1.25508583309725,3.07778445209236, -0.0461339395619419)); #143439=CARTESIAN_POINT('Ctrl Pts',(-1.25379298042958,3.07573176241471, -0.0429493671109121)); #143440=CARTESIAN_POINT('Ctrl Pts',(-1.25176718732584,3.07234902483312, -0.04058616680108)); #143441=CARTESIAN_POINT('Ctrl Pts',(-1.2502627314721,3.06976281400901,-0.0400000000000002)); #143442=CARTESIAN_POINT('Ctrl Pts',(-1.2494143239529,3.06828343969065,-0.0400000000000002)); #143443=CARTESIAN_POINT('',(-1.23980317104164,3.07103939343978,-0.0301745240643728)); #143444=CARTESIAN_POINT('Origin',(-1.2494143239529,3.06828343969065,-0.03)); #143445=CARTESIAN_POINT('Origin',(-1.24977749383686,3.06828343969065,-0.05)); #143446=CARTESIAN_POINT('Origin',(-1.2401663409256,3.07103939343978,-0.0501745240643729)); #143447=CARTESIAN_POINT('Origin',(-0.660549191232521,1.01466667036731,-0.03)); #143448=CARTESIAN_POINT('',(-0.650938038321261,1.01742262411644,-0.0301745240643728)); #143449=CARTESIAN_POINT('Ctrl Pts',(-1.22820696224776,3.03411277600252, 0.0253186033445414)); #143450=CARTESIAN_POINT('Ctrl Pts',(-1.22404818885016,3.0185959808952,0.00931529392753081)); #143451=CARTESIAN_POINT('Ctrl Pts',(-1.2213190971746,3.00775813141486,-0.0115350943454794)); #143452=CARTESIAN_POINT('Ctrl Pts',(-1.22047453848755,3.0034045248285,-0.0337736075505043)); #143453=CARTESIAN_POINT('Ctrl Pts',(-1.22766364923841,3.03233605209314, 0.0271825038526588)); #143454=CARTESIAN_POINT('Ctrl Pts',(-1.22340753162976,3.01645566904328, 0.010821398601957)); #143455=CARTESIAN_POINT('Ctrl Pts',(-1.22061177154295,3.00535793337736, -0.0105017840123038)); #143456=CARTESIAN_POINT('Ctrl Pts',(-1.21974547015144,3.00089482820285, -0.0332545992584699)); #143457=CARTESIAN_POINT('Ctrl Pts',(-1.22447015967771,3.02940797402871, 0.0308514566476363)); #143458=CARTESIAN_POINT('Ctrl Pts',(-1.21999961561952,3.01281341941766, 0.0137798828604788)); #143459=CARTESIAN_POINT('Ctrl Pts',(-1.21705233954898,3.00120122730145, -0.0084894073015675)); #143460=CARTESIAN_POINT('Ctrl Pts',(-1.21612706097005,2.99652633940961, -0.032261968128563)); #143461=CARTESIAN_POINT('Ctrl Pts',(-1.21897698080718,3.0294006308852,0.0322860866738478)); #143462=CARTESIAN_POINT('Ctrl Pts',(-1.21439346562608,3.01253423540589, 0.0148992513632633)); #143463=CARTESIAN_POINT('Ctrl Pts',(-1.21136475482984,3.00075368266057, -0.00775689600198739)); #143464=CARTESIAN_POINT('Ctrl Pts',(-1.21039968093942,2.99601791362235, -0.0319449238032533)); #143465=CARTESIAN_POINT('Ctrl Pts',(-1.21645214152296,3.03014055692632, 0.0322247839582221)); #143466=CARTESIAN_POINT('Ctrl Pts',(-1.21186283924397,3.01329642882511, 0.0148298847018482)); #143467=CARTESIAN_POINT('Ctrl Pts',(-1.2088285379137,3.00153791961238,-0.0078335830175233)); #143468=CARTESIAN_POINT('Ctrl Pts',(-1.20786013377082,2.99682194813094, -0.0320058835129853)); #143469=CARTESIAN_POINT('',(-1.2282069622478,3.03411277600266,0.025318603344693)); #143470=CARTESIAN_POINT('Ctrl Pts',(-1.2206281883943,3.00416826069551,-0.0301748604106858)); #143471=CARTESIAN_POINT('Ctrl Pts',(-1.22161875340309,3.00894520868128, -0.00929191824534838)); #143472=CARTESIAN_POINT('Ctrl Pts',(-1.2242733148977,3.0194359484575,0.0101815978715332)); #143473=CARTESIAN_POINT('Ctrl Pts',(-1.22820696224776,3.03411277600252, 0.0253186033445414)); #143474=CARTESIAN_POINT('Origin',(-1.21859580933654,3.03686872975179,0.0251440792803201)); #143475=CARTESIAN_POINT('Origin',(-1.20742360708456,2.96192905805214,0.03)); #143476=CARTESIAN_POINT('',(-1.25709148813027,3.13008650015535,-0.0498254759356272)); #143477=CARTESIAN_POINT('',(-1.25653487229541,3.13082515431664,-0.00750800737682668)); #143478=CARTESIAN_POINT('',(-1.26246869069569,3.15008345405848,-0.0301745240643728)); #143479=CARTESIAN_POINT('Origin',(-1.26283186057965,3.15008345405848,-0.0501745240643729)); #143480=CARTESIAN_POINT('',(-1.34014936799252,3.42098817007076,-0.0301745240643729)); #143481=CARTESIAN_POINT('',(-0.650938038321261,1.01742262411644,-0.0301745240643728)); #143482=CARTESIAN_POINT('',(-1.33627959704272,3.41893839839545,0.150567796543041)); #143483=CARTESIAN_POINT('Ctrl Pts',(-1.33627959704272,3.41893839839545, 0.150567796543041)); #143484=CARTESIAN_POINT('Ctrl Pts',(-1.33756962017949,3.41962200295676, 0.0903203624103984)); #143485=CARTESIAN_POINT('Ctrl Pts',(-1.33885954375848,3.42030525993413, 0.0300729222026333)); #143486=CARTESIAN_POINT('Ctrl Pts',(-1.34014936799252,3.42098817007076, -0.0301745240643729)); #143487=CARTESIAN_POINT('',(-1.27293194881464,3.20091620228609,0.196319910769739)); #143488=CARTESIAN_POINT('Ctrl Pts',(-1.27293194881464,3.20091620228609, 0.196319910769739)); #143489=CARTESIAN_POINT('Ctrl Pts',(-1.29430039617452,3.27505828456381, 0.190342136676211)); #143490=CARTESIAN_POINT('Ctrl Pts',(-1.31562489438641,3.34845048759589, 0.174943137248111)); #143491=CARTESIAN_POINT('Ctrl Pts',(-1.33627959704272,3.41893839839545, 0.15056779654304)); #143492=CARTESIAN_POINT('Ctrl Pts',(-1.2282069622478,3.03411277600266,0.025318603344693)); #143493=CARTESIAN_POINT('Ctrl Pts',(-1.2430648214529,3.08954891906165,0.082492893790798)); #143494=CARTESIAN_POINT('Ctrl Pts',(-1.25795797586159,3.14510051404129, 0.139546585136763)); #143495=CARTESIAN_POINT('Ctrl Pts',(-1.27293194881665,3.20091620229359, 0.196319910777366)); #143496=CARTESIAN_POINT('Ctrl Pts',(-1.27851245499601,3.06552748594152, -0.0301745240643729)); #143497=CARTESIAN_POINT('Ctrl Pts',(-1.27999027674185,3.07068126284339, -0.030174524064373)); #143498=CARTESIAN_POINT('Ctrl Pts',(-1.2828580796322,3.08094808949429,-0.0343688299003501)); #143499=CARTESIAN_POINT('Ctrl Pts',(-1.28394851692083,3.08539430745329, -0.0445290994236647)); #143500=CARTESIAN_POINT('Ctrl Pts',(-1.28389140475557,3.08552140348777, -0.0496813089450455)); #143501=CARTESIAN_POINT('Ctrl Pts',(-1.27846902267093,3.06553993996033, -0.0327630348187179)); #143502=CARTESIAN_POINT('Ctrl Pts',(-1.27976819411162,3.0700766736834,-0.032643606471894)); #143503=CARTESIAN_POINT('Ctrl Pts',(-1.28234920258306,3.07929312921637, -0.0361319581897802)); #143504=CARTESIAN_POINT('Ctrl Pts',(-1.28334955343757,3.08334513401561, -0.0451505421216632)); #143505=CARTESIAN_POINT('Ctrl Pts',(-1.28329760183044,3.08345338031412, -0.0497257411780212)); #143506=CARTESIAN_POINT('Ctrl Pts',(-1.27634155378366,3.0661499818471,-0.0378763724450587)); #143507=CARTESIAN_POINT('Ctrl Pts',(-1.27749380709217,3.06940298987411, -0.0375317203590082)); #143508=CARTESIAN_POINT('Ctrl Pts',(-1.27984292286358,3.07642237988209, -0.0396247014050859)); #143509=CARTESIAN_POINT('Ctrl Pts',(-1.28077394115826,3.07965226041269, -0.04636388154634)); #143510=CARTESIAN_POINT('Ctrl Pts',(-1.28072569367988,3.07972498514843, -0.0497892046291573)); #143511=CARTESIAN_POINT('Ctrl Pts',(-1.27138991735059,3.06756984074624, -0.0400000000000001)); #143512=CARTESIAN_POINT('Ctrl Pts',(-1.27275607256384,3.07018527002275, -0.0398120941821121)); #143513=CARTESIAN_POINT('Ctrl Pts',(-1.27544894168226,3.07570968157432, -0.0416548683153943)); #143514=CARTESIAN_POINT('Ctrl Pts',(-1.27648626544781,3.07821980035483, -0.0470440873688458)); #143515=CARTESIAN_POINT('Ctrl Pts',(-1.27643216432409,3.07828098654051, -0.0497772318510682)); #143516=CARTESIAN_POINT('Ctrl Pts',(-1.26890130208475,3.06828343969065, -0.0400000000000002)); #143517=CARTESIAN_POINT('Ctrl Pts',(-1.27037912383059,3.07086032814158, -0.0400000000000002)); #143518=CARTESIAN_POINT('Ctrl Pts',(-1.27324692672094,3.07599374146703, -0.0420971529179887)); #143519=CARTESIAN_POINT('Ctrl Pts',(-1.27433736400957,3.07821685044653, -0.047177287679646)); #143520=CARTESIAN_POINT('Ctrl Pts',(-1.27428025184431,3.07828039846377, -0.0497533924403363)); #143521=CARTESIAN_POINT('Origin',(-1.2685381322008,3.06828343969065,-0.05)); #143522=CARTESIAN_POINT('Origin',(-1.27814928511206,3.06552748594152,-0.0501745240643729)); #143523=CARTESIAN_POINT('Origin',(-1.38449035011019,3.431305704449,0.03)); #143524=CARTESIAN_POINT('Ctrl Pts',(1.23980317104164,3.07103939343977,-0.0301745240643729)); #143525=CARTESIAN_POINT('Ctrl Pts',(1.24128788420024,3.07621720355402,-0.0301745240643729)); #143526=CARTESIAN_POINT('Ctrl Pts',(1.24344939055025,3.08356397383944,-0.0331953621277254)); #143527=CARTESIAN_POINT('Ctrl Pts',(1.2453683179674,3.08971550210429,-0.0417315915167544)); #143528=CARTESIAN_POINT('Ctrl Pts',(1.24582551200812,3.09098471005915,-0.0468671779306614)); #143529=CARTESIAN_POINT('Ctrl Pts',(1.24589403699813,3.0910363473429,-0.0498254759356272)); #143530=CARTESIAN_POINT('Ctrl Pts',(1.23984660336673,3.07102693942096,-0.032763034818718)); #143531=CARTESIAN_POINT('Ctrl Pts',(1.24115180249895,3.07558470154869,-0.0328831269254005)); #143532=CARTESIAN_POINT('Ctrl Pts',(1.24302367545044,3.08190818741505,-0.0357159681139506)); #143533=CARTESIAN_POINT('Ctrl Pts',(1.2446109041019,3.0870059963115,-0.0430882474962398)); #143534=CARTESIAN_POINT('Ctrl Pts',(1.2449901377949,3.08803565943051,-0.0474142370422147)); #143535=CARTESIAN_POINT('Ctrl Pts',(1.24504574356665,3.08807347719796,-0.0498968426015145)); #143536=CARTESIAN_POINT('Ctrl Pts',(1.24196989014632,3.07041809673427,-0.0378807996928168)); #143537=CARTESIAN_POINT('Ctrl Pts',(1.24312759103128,3.07368634931033,-0.038226980247655)); #143538=CARTESIAN_POINT('Ctrl Pts',(1.2447121604013,3.07787662693707,-0.0406578721159124)); #143539=CARTESIAN_POINT('Ctrl Pts',(1.24598819844187,3.08081904066866,-0.0457169612027113)); #143540=CARTESIAN_POINT('Ctrl Pts',(1.24627243970558,3.08134765920175,-0.0484372054433836)); #143541=CARTESIAN_POINT('Ctrl Pts',(1.24631553574434,3.08135549247866,-0.0499846924349252)); #143542=CARTESIAN_POINT('Ctrl Pts',(1.24692570868707,3.06899703863505,-0.0400000000000002)); #143543=CARTESIAN_POINT('Ctrl Pts',(1.24829813243734,3.07162465763319,-0.04018881579394)); #143544=CARTESIAN_POINT('Ctrl Pts',(1.25026736537145,3.07513548493992,-0.0420162313642448)); #143545=CARTESIAN_POINT('Ctrl Pts',(1.25196290201316,3.07775300042087,-0.046193468697745)); #143546=CARTESIAN_POINT('Ctrl Pts',(1.25236360694769,3.07827063660345,-0.0485543777185943)); #143547=CARTESIAN_POINT('Ctrl Pts',(1.25242327497061,3.078283162352,-0.0498968426015145)); #143548=CARTESIAN_POINT('Ctrl Pts',(1.2494143239529,3.06828343969065,-0.0400000000000002)); #143549=CARTESIAN_POINT('Ctrl Pts',(1.2508990371115,3.07087234474777,-0.0400000000000002)); #143550=CARTESIAN_POINT('Ctrl Pts',(1.25306054346152,3.07454572989048,-0.0415104190316764)); #143551=CARTESIAN_POINT('Ctrl Pts',(1.25497947087866,3.07762149402291,-0.0457785337261909)); #143552=CARTESIAN_POINT('Ctrl Pts',(1.25543666491938,3.07825609800033,-0.0483463269331443)); #143553=CARTESIAN_POINT('Ctrl Pts',(1.25550518990939,3.07828191664221,-0.0498254759356272)); #143554=CARTESIAN_POINT('Origin',(1.2494143239529,3.06828343969065,-0.03)); #143555=CARTESIAN_POINT('Origin',(1.24977749383686,3.06828343969065,-0.05)); #143556=CARTESIAN_POINT('Origin',(0.660549191232521,1.01466667036731,-0.03)); #143557=CARTESIAN_POINT('Origin',(0.735860622500001,3.06828343969065,-0.05)); #143558=CARTESIAN_POINT('Origin',(0.419791667719682,2.07657430866555,-0.03)); #143559=CARTESIAN_POINT('',(0.416701968423783,2.08608342532336,-0.0301745240643728)); #143560=CARTESIAN_POINT('',(0.419791667719682,2.07657430866555,-0.04)); #143561=CARTESIAN_POINT('Origin',(1.67087576334843,2.4946935973769,0.03)); #143562=CARTESIAN_POINT('Origin',(-1.26614602520667,3.12806920056752,-0.00733348331245384)); #143563=CARTESIAN_POINT('',(-1.26670264104154,3.13732902335779,-0.0498254759356272)); #143564=CARTESIAN_POINT('',(-1.26614602520667,3.13806767751908,-0.00750800737682667)); #143565=CARTESIAN_POINT('Origin',(-1.26670264104154,3.12733054640622,-0.0496509518712544)); #143566=CARTESIAN_POINT('Ctrl Pts',(-1.26246869069569,3.15008345405848, -0.0301745240643729)); #143567=CARTESIAN_POINT('Ctrl Pts',(-1.2609839775371,3.14490564394423,-0.0301745240643726)); #143568=CARTESIAN_POINT('Ctrl Pts',(-1.25810343217449,3.13459176747905, -0.0344100692715385)); #143569=CARTESIAN_POINT('Ctrl Pts',(-1.25702339303273,3.13017686540192, -0.0446484544269369)); #143570=CARTESIAN_POINT('Ctrl Pts',(-1.25709148813027,3.13008650015535, -0.0498254759356272)); #143571=CARTESIAN_POINT('Ctrl Pts',(-1.26251212302078,3.15007100003967, -0.032763034818718)); #143572=CARTESIAN_POINT('Ctrl Pts',(-1.26120689325359,3.14551311051433, -0.0326430495508476)); #143573=CARTESIAN_POINT('Ctrl Pts',(-1.25861415352917,3.13625347324749, -0.0361671914872445)); #143574=CARTESIAN_POINT('Ctrl Pts',(-1.25762319402553,3.13222974504672, -0.045256560730232)); #143575=CARTESIAN_POINT('Ctrl Pts',(-1.25768513564898,3.13215500311941, -0.0498537733445598)); #143576=CARTESIAN_POINT('Ctrl Pts',(-1.26463960767772,3.14946095363102, -0.0378763557510254)); #143577=CARTESIAN_POINT('Ctrl Pts',(-1.26348198115477,3.14619277610128, -0.0375300964753465)); #143578=CARTESIAN_POINT('Ctrl Pts',(-1.26112182029524,3.13913836270285, -0.0396480414896383)); #143579=CARTESIAN_POINT('Ctrl Pts',(-1.26019940996216,3.13593032936932, -0.0464433012281035)); #143580=CARTESIAN_POINT('Ctrl Pts',(-1.26025693457394,3.13588428779573, -0.0498850405182389)); #143581=CARTESIAN_POINT('Ctrl Pts',(-1.26959122834112,3.14804109925376, -0.0400000000000001)); #143582=CARTESIAN_POINT('Ctrl Pts',(-1.26821870244109,3.14541347364658, -0.0398112179353291)); #143583=CARTESIAN_POINT('Ctrl Pts',(-1.2655136622167,3.13986209387004,-0.0416744430483899)); #143584=CARTESIAN_POINT('Ctrl Pts',(-1.26448613229969,3.13736903136157, -0.047107520172294)); #143585=CARTESIAN_POINT('Ctrl Pts',(-1.26455063694191,3.13732852942468, -0.0498537733445598)); #143586=CARTESIAN_POINT('Ctrl Pts',(-1.27207984360695,3.14732750030935, -0.0400000000000001)); #143587=CARTESIAN_POINT('Ctrl Pts',(-1.27059513044836,3.14473859525223, -0.04)); #143588=CARTESIAN_POINT('Ctrl Pts',(-1.26771458508575,3.13958165701964, -0.0421177726035829)); #143589=CARTESIAN_POINT('Ctrl Pts',(-1.26663454594399,3.13737420598107, -0.047236965181282)); #143590=CARTESIAN_POINT('Ctrl Pts',(-1.26670264104154,3.13732902335779, -0.0498254759356272)); #143591=CARTESIAN_POINT('Origin',(-1.27207984360695,3.14732750030935,-0.03)); #143592=CARTESIAN_POINT('Origin',(-1.27244301349091,3.14732750030935,-0.05)); #143593=CARTESIAN_POINT('Origin',(-0.660549191232521,1.01466667036731,-0.03)); #143594=CARTESIAN_POINT('Origin',(-1.34976052090378,3.41823221632164,-0.03)); #143595=CARTESIAN_POINT('Ctrl Pts',(-1.33366127999432,3.43324233454085, -0.0301745240643729)); #143596=CARTESIAN_POINT('Ctrl Pts',(-1.33608792724831,3.43244522696068, -0.0302173899671016)); #143597=CARTESIAN_POINT('Ctrl Pts',(-1.34027653133273,3.42890021709934, -0.0302668218098787)); #143598=CARTESIAN_POINT('Ctrl Pts',(-1.34085412336376,3.42344322959371, -0.0302173900238438)); #143599=CARTESIAN_POINT('Ctrl Pts',(-1.34014936799252,3.42098817007076, -0.0301745240643728)); #143600=CARTESIAN_POINT('Ctrl Pts',(-1.33367539297034,3.43328525648576, -0.032763034818718)); #143601=CARTESIAN_POINT('Ctrl Pts',(-1.33611168026469,3.43249678581839, -0.0327985836315194)); #143602=CARTESIAN_POINT('Ctrl Pts',(-1.3403377144536,3.4289326113599,-0.032839459159202)); #143603=CARTESIAN_POINT('Ctrl Pts',(-1.34091011769521,3.42343389374262, -0.0327985836785759)); #143604=CARTESIAN_POINT('Ctrl Pts',(-1.3401928003176,3.42097571605195,-0.032763034818718)); #143605=CARTESIAN_POINT('Ctrl Pts',(-1.33436674438728,3.43538787094403, -0.0378762162665672)); #143606=CARTESIAN_POINT('Ctrl Pts',(-1.33734814924633,3.43442969757612, -0.0378885850587201)); #143607=CARTESIAN_POINT('Ctrl Pts',(-1.34251975911679,3.43008792675965, -0.0379027502066658)); #143608=CARTESIAN_POINT('Ctrl Pts',(-1.34320378884884,3.42337004941761, -0.0378885850750929)); #143609=CARTESIAN_POINT('Ctrl Pts',(-1.34232041673564,3.42036563186141, -0.0378762162665672)); #143610=CARTESIAN_POINT('Ctrl Pts',(-1.33597568989059,3.44028117411076, -0.0400000000000001)); #143611=CARTESIAN_POINT('Ctrl Pts',(-1.34020050717386,3.43889961581687, -0.0399997746420395)); #143612=CARTESIAN_POINT('Ctrl Pts',(-1.34750393070549,3.4327268689101,-0.0399995203742144)); #143613=CARTESIAN_POINT('Ctrl Pts',(-1.34850403126394,3.42321660568943, -0.0399997746417412)); #143614=CARTESIAN_POINT('Ctrl Pts',(-1.34727190563794,3.41894581526604, -0.0400000000000002)); #143615=CARTESIAN_POINT('Ctrl Pts',(-1.33678434490738,3.44274054526181, -0.0400000000000001)); #143616=CARTESIAN_POINT('Ctrl Pts',(-1.34163580400212,3.44114535832386, -0.0400000000000001)); #143617=CARTESIAN_POINT('Ctrl Pts',(-1.35001089562055,3.43405421797371, -0.0400000000000002)); #143618=CARTESIAN_POINT('Ctrl Pts',(-1.3511681962306,3.42314136358864,-0.0400000000000001)); #143619=CARTESIAN_POINT('Ctrl Pts',(-1.34976052090378,3.41823221632163, -0.0400000000000002)); #143620=CARTESIAN_POINT('',(-1.33366127999432,3.43324233454085,-0.0301745240643581)); #143621=CARTESIAN_POINT('Origin',(-1.33678434490738,3.44274054526181,-0.03)); #143622=CARTESIAN_POINT('Ctrl Pts',(-1.33366127999432,3.43324233454085, -0.0301745240643729)); #143623=CARTESIAN_POINT('Ctrl Pts',(-1.33608792724831,3.43244522696068, -0.0302173899671016)); #143624=CARTESIAN_POINT('Ctrl Pts',(-1.34027653133273,3.42890021709934, -0.0302668218098787)); #143625=CARTESIAN_POINT('Ctrl Pts',(-1.34085412336376,3.42344322959371, -0.0302173900238438)); #143626=CARTESIAN_POINT('Ctrl Pts',(-1.34014936799252,3.42098817007076, -0.0301745240643728)); #143627=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.03)); #143628=CARTESIAN_POINT('',(-0.523107735552253,3.61470835611802,-0.0301745240643729)); #143629=CARTESIAN_POINT('Origin',(-0.524332708818824,3.62463150994365,-0.03)); #143630=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.0301745240643729)); #143631=CARTESIAN_POINT('Ctrl Pts',(-0.524332708818824,3.62463150994365, -0.04)); #143632=CARTESIAN_POINT('Ctrl Pts',(-0.519192709585921,3.62526602209182, -0.04)); #143633=CARTESIAN_POINT('Ctrl Pts',(-0.508438613588459,3.62231775786916, -0.04)); #143634=CARTESIAN_POINT('Ctrl Pts',(-0.502801196959733,3.6126968536937, -0.04)); #143635=CARTESIAN_POINT('Ctrl Pts',(-0.502080417363317,3.60756824037685, -0.04)); #143636=CARTESIAN_POINT('Ctrl Pts',(-0.524015526561963,3.62206210829916, -0.04)); #143637=CARTESIAN_POINT('Ctrl Pts',(-0.519542729760264,3.62262178074093, -0.0399997664706158)); #143638=CARTESIAN_POINT('Ctrl Pts',(-0.51016906075281,3.62006107641787, -0.0399995022667491)); #143639=CARTESIAN_POINT('Ctrl Pts',(-0.505264079383812,3.61167277530034, -0.039999766470293)); #143640=CARTESIAN_POINT('Ctrl Pts',(-0.504644127374391,3.60720793443195, -0.04)); #143641=CARTESIAN_POINT('Ctrl Pts',(-0.523384411998478,3.61694963191624, -0.0378764729664521)); #143642=CARTESIAN_POINT('Ctrl Pts',(-0.520235289321685,3.61736032804093, -0.0378892902479329)); #143643=CARTESIAN_POINT('Ctrl Pts',(-0.513609468778668,3.61557442975434, -0.0379040245220271)); #143644=CARTESIAN_POINT('Ctrl Pts',(-0.510165680697182,3.60963885602454, -0.037889290265649)); #143645=CARTESIAN_POINT('Ctrl Pts',(-0.509745278808754,3.60649101435143, -0.0378764729664521)); #143646=CARTESIAN_POINT('Ctrl Pts',(-0.523113271145914,3.61475319835982, -0.0327630348187179)); #143647=CARTESIAN_POINT('Ctrl Pts',(-0.520537532162967,3.61508365496347, -0.0327998726226322)); #143648=CARTESIAN_POINT('Ctrl Pts',(-0.515116498691024,3.61360910743362, -0.0328423965645107)); #143649=CARTESIAN_POINT('Ctrl Pts',(-0.512285977262,3.60875628389075,-0.0327998726735495)); #143650=CARTESIAN_POINT('Ctrl Pts',(-0.511936846915399,3.60618300954041, -0.0327630348187179)); #143651=CARTESIAN_POINT('Ctrl Pts',(-0.523107735552253,3.61470835611802, -0.0301745240643728)); #143652=CARTESIAN_POINT('Ctrl Pts',(-0.520537074234814,3.61502647511953, -0.0302189442740757)); #143653=CARTESIAN_POINT('Ctrl Pts',(-0.515159260105503,3.61355334212196, -0.0302703748711258)); #143654=CARTESIAN_POINT('Ctrl Pts',(-0.512341317920805,3.60874189092166, -0.0302189443354734)); #143655=CARTESIAN_POINT('Ctrl Pts',(-0.511981589824499,3.60617672133462, -0.0301745240643729)); #143656=CARTESIAN_POINT('',(-0.511981589824499,3.60617672133462,-0.0301745240643695)); #143657=CARTESIAN_POINT('Origin',(-0.502080417363317,3.60756824037685,-0.03)); #143658=CARTESIAN_POINT('Ctrl Pts',(-0.511981589824499,3.60617672133462, -0.0301745240643729)); #143659=CARTESIAN_POINT('Ctrl Pts',(-0.512341317920805,3.60874189092166, -0.0302189443354734)); #143660=CARTESIAN_POINT('Ctrl Pts',(-0.515159260105503,3.61355334212196, -0.0302703748711258)); #143661=CARTESIAN_POINT('Ctrl Pts',(-0.520537074234814,3.61502647511953, -0.0302189442740757)); #143662=CARTESIAN_POINT('Ctrl Pts',(-0.523107735552253,3.61470835611802, -0.0301745240643728)); #143663=CARTESIAN_POINT('Origin',(-0.188806178360527,1.37850620537345,-0.03)); #143664=CARTESIAN_POINT('',(-0.444990185098875,3.12950810848999,-0.0301745240648647)); #143665=CARTESIAN_POINT('Origin',(-0.435089012637701,3.13089962753221,-0.03)); #143666=CARTESIAN_POINT('',(-0.198707350821709,1.37711468633123,-0.0301745240643729)); #143667=CARTESIAN_POINT('Ctrl Pts',(-0.435089012637684,3.13089962753209, -0.04)); #143668=CARTESIAN_POINT('Ctrl Pts',(-0.434325231614771,3.12546504316639, -0.04)); #143669=CARTESIAN_POINT('Ctrl Pts',(-0.437152217068906,3.11394488058638, -0.04)); #143670=CARTESIAN_POINT('Ctrl Pts',(-0.447551772291839,3.10806218179396, -0.04)); #143671=CARTESIAN_POINT('Ctrl Pts',(-0.45302040120984,3.10760156651406, -0.04)); #143672=CARTESIAN_POINT('Ctrl Pts',(-0.437652722648756,3.13053932158725, -0.04)); #143673=CARTESIAN_POINT('Ctrl Pts',(-0.43685854034602,3.12577222776981, -0.0399961531557014)); #143674=CARTESIAN_POINT('Ctrl Pts',(-0.439148022751364,3.11549375670006, -0.0399960852409997)); #143675=CARTESIAN_POINT('Ctrl Pts',(-0.448361148231373,3.11020740587188, -0.0399997705596206)); #143676=CARTESIAN_POINT('Ctrl Pts',(-0.453206511158692,3.10981114637962, -0.04)); #143677=CARTESIAN_POINT('Ctrl Pts',(-0.442754166398887,3.12982236042452, -0.0378767733524942)); #143678=CARTESIAN_POINT('Ctrl Pts',(-0.441907782697822,3.12637843281998, -0.0380879077515323)); #143679=CARTESIAN_POINT('Ctrl Pts',(-0.4431312228868,3.11857854813505,-0.0384030356248219)); #143680=CARTESIAN_POINT('Ctrl Pts',(-0.449973722945853,3.1144870323028, -0.0384814226863636)); #143681=CARTESIAN_POINT('Ctrl Pts',(-0.45357773974553,3.11421853682841, -0.0384644454625608)); #143682=CARTESIAN_POINT('Ctrl Pts',(-0.444945442189777,3.12951439669584, -0.0327630348187627)); #143683=CARTESIAN_POINT('Ctrl Pts',(-0.444330988689427,3.1266097601534, -0.0333698505154409)); #143684=CARTESIAN_POINT('Ctrl Pts',(-0.445431122919997,3.12024187215177, -0.0343339071122084)); #143685=CARTESIAN_POINT('Ctrl Pts',(-0.450906665255671,3.11696726179895, -0.034587512702082)); #143686=CARTESIAN_POINT('Ctrl Pts',(-0.453791285874599,3.11675385119536, -0.0345341263812839)); #143687=CARTESIAN_POINT('Ctrl Pts',(-0.444990185098891,3.12950810849005, -0.0301745240644269)); #143688=CARTESIAN_POINT('Ctrl Pts',(-0.444566214517984,3.12658317298054, -0.0309062419637943)); #143689=CARTESIAN_POINT('Ctrl Pts',(-0.445914518276858,3.12051133346196, -0.0321188524182668)); #143690=CARTESIAN_POINT('Ctrl Pts',(-0.451097736874306,3.11749357261548, -0.0324490399239707)); #143691=CARTESIAN_POINT('Ctrl Pts',(-0.453835588686701,3.11727983383203, -0.0323804644713959)); #143692=CARTESIAN_POINT('',(-0.453835588686701,3.11727983383203,-0.0323804644713959)); #143693=CARTESIAN_POINT('Ctrl Pts',(-0.453835588686701,3.11727983383203, -0.0323804644713959)); #143694=CARTESIAN_POINT('Ctrl Pts',(-0.453813884068213,3.11727674737138, -0.0324004458342598)); #143695=CARTESIAN_POINT('Ctrl Pts',(-0.453792167827704,3.1172736732247, -0.0324204117623052)); #143696=CARTESIAN_POINT('Ctrl Pts',(-0.453770439505255,3.11727061143437, -0.032440362104921)); #143697=CARTESIAN_POINT('Ctrl Pts',(-0.45274392953219,3.11712596343768, -0.03338287507201)); #143698=CARTESIAN_POINT('Ctrl Pts',(-0.451700501082273,3.11701066432518, -0.0342815197688929)); #143699=CARTESIAN_POINT('Ctrl Pts',(-0.450595848936637,3.11692236936966, -0.0351225203527468)); #143700=CARTESIAN_POINT('Ctrl Pts',(-0.450415288128577,3.11690793712636, -0.0352599860206493)); #143701=CARTESIAN_POINT('Ctrl Pts',(-0.450233195735163,3.11689421023586, -0.0353958698703573)); #143702=CARTESIAN_POINT('Ctrl Pts',(-0.450049494324268,3.11688115350022, -0.0355300549190741)); #143703=CARTESIAN_POINT('Ctrl Pts',(-0.448888905673932,3.11679866366457, -0.036377809129705)); #143704=CARTESIAN_POINT('Ctrl Pts',(-0.447675614768265,3.11674495794952, -0.0371488008395468)); #143705=CARTESIAN_POINT('Ctrl Pts',(-0.446394124124284,3.1167176702902, -0.0378034109719358)); #143706=CARTESIAN_POINT('Ctrl Pts',(-0.446363656588556,3.11671702152406, -0.0378189743767016)); #143707=CARTESIAN_POINT('Ctrl Pts',(-0.44633315178491,3.11671638756534, -0.0378344703541799)); #143708=CARTESIAN_POINT('Ctrl Pts',(-0.446302609870477,3.11671576858198, -0.0378498985226118)); #143709=CARTESIAN_POINT('Ctrl Pts',(-0.444995542152266,3.11668927865211, -0.0385101603720489)); #143710=CARTESIAN_POINT('Ctrl Pts',(-0.443628193206775,3.1166916658012, -0.0390433339235793)); #143711=CARTESIAN_POINT('Ctrl Pts',(-0.442214965448386,3.11673242722897, -0.039417139221501)); #143712=CARTESIAN_POINT('Ctrl Pts',(-0.441789107287128,3.11674471016538, -0.0395297806806689)); #143713=CARTESIAN_POINT('Ctrl Pts',(-0.441360402399698,3.11676043872731, -0.0396274438415769)); #143714=CARTESIAN_POINT('Ctrl Pts',(-0.440929536057355,3.11677959252226, -0.0397090438577562)); #143715=CARTESIAN_POINT('Ctrl Pts',(-0.439943855791974,3.1168234100959, -0.0398957178160082)); #143716=CARTESIAN_POINT('Ctrl Pts',(-0.438946425374354,3.11688489591535, -0.0399988629817636)); #143717=CARTESIAN_POINT('Ctrl Pts',(-0.437945977704213,3.11696410539013, -0.04)); #143718=CARTESIAN_POINT('Ctrl Pts',(-0.453835588686701,3.11727983383203, -0.0323804644713959)); #143719=CARTESIAN_POINT('Ctrl Pts',(-0.451097736874306,3.11749357261548, -0.0324490399239707)); #143720=CARTESIAN_POINT('Ctrl Pts',(-0.445914518276858,3.12051133346196, -0.0321188524182668)); #143721=CARTESIAN_POINT('Ctrl Pts',(-0.444566214517984,3.12658317298054, -0.0309062419637943)); #143722=CARTESIAN_POINT('Ctrl Pts',(-0.444990185098891,3.12950810849005, -0.0301745240644269)); #143723=CARTESIAN_POINT('Origin',(-0.735860622499998,3.14732750030935,-0.05)); #143724=CARTESIAN_POINT('',(-0.735860622499998,3.13732902335779,-0.0498254759356272)); #143725=CARTESIAN_POINT('Origin',(-1.471721245,3.1375005276782,-0.04)); #143726=CARTESIAN_POINT('Origin',(-1.67087576334843,2.4946935973769,0.03)); #143727=CARTESIAN_POINT('Ctrl Pts',(-1.39324494900195,3.42253504300391, -0.04)); #143728=CARTESIAN_POINT('Ctrl Pts',(-1.3880781661511,3.42456077415652,-0.04)); #143729=CARTESIAN_POINT('Ctrl Pts',(-1.37601459380525,3.42401645335416, -0.04)); #143730=CARTESIAN_POINT('Ctrl Pts',(-1.36825314182933,3.41476448063804, -0.04)); #143731=CARTESIAN_POINT('Ctrl Pts',(-1.366723435665,3.40942976126573,-0.04)); #143732=CARTESIAN_POINT('Ctrl Pts',(-1.39229995731279,3.42012476913934, -0.04)); #143733=CARTESIAN_POINT('Ctrl Pts',(-1.38780614918984,3.42189625430246, -0.0399997203053134)); #143734=CARTESIAN_POINT('Ctrl Pts',(-1.37729122650934,3.42143281156652, -0.0399993981421003)); #143735=CARTESIAN_POINT('Ctrl Pts',(-1.37053488216452,3.41336186879899, -0.0399997203031996)); #143736=CARTESIAN_POINT('Ctrl Pts',(-1.36921205093083,3.40871616232132, -0.04)); #143737=CARTESIAN_POINT('Ctrl Pts',(-1.3904197529524,3.41532916354636,-0.0378762044060118)); #143738=CARTESIAN_POINT('Ctrl Pts',(-1.38726091470326,3.41659566788067, -0.0378915554743115)); #143739=CARTESIAN_POINT('Ctrl Pts',(-1.37982908563564,3.41629670740894, -0.0379095614572186)); #143740=CARTESIAN_POINT('Ctrl Pts',(-1.37507649105358,3.41057488397361, -0.0378915555903311)); #143741=CARTESIAN_POINT('Ctrl Pts',(-1.37416352862931,3.4072963489386,-0.0378762044060118)); #143742=CARTESIAN_POINT('Ctrl Pts',(-1.38961183762378,3.4132685134648,-0.0327630348187179)); #143743=CARTESIAN_POINT('Ctrl Pts',(-1.3870263809538,3.4142981380434,-0.0328071549111681)); #143744=CARTESIAN_POINT('Ctrl Pts',(-1.38094502695542,3.41403827098681, -0.0328591628337084)); #143745=CARTESIAN_POINT('Ctrl Pts',(-1.37704395177791,3.40936544273612, -0.0328071552446171)); #143746=CARTESIAN_POINT('Ctrl Pts',(-1.37629115625117,3.40668626153541, -0.0327630348187179)); #143747=CARTESIAN_POINT('Ctrl Pts',(-1.38959534524474,3.41322644838569, -0.0301745240643729)); #143748=CARTESIAN_POINT('Ctrl Pts',(-1.38701134250422,3.4142405510969,-0.0302277254919961)); #143749=CARTESIAN_POINT('Ctrl Pts',(-1.38097883314445,3.4139698542203,-0.0302906627460812)); #143750=CARTESIAN_POINT('Ctrl Pts',(-1.37709883033871,3.40934240434701, -0.0302277258940796)); #143751=CARTESIAN_POINT('Ctrl Pts',(-1.37633458857626,3.4066738075166,-0.0301745240643728)); #143752=CARTESIAN_POINT('',(-1.38959534524474,3.41322644838569,-0.0301745240643772)); #143753=CARTESIAN_POINT('Ctrl Pts',(-1.37633458857626,3.4066738075166,-0.0301745240643728)); #143754=CARTESIAN_POINT('Ctrl Pts',(-1.37709883033871,3.40934240434701, -0.0302277258940796)); #143755=CARTESIAN_POINT('Ctrl Pts',(-1.38097883314445,3.4139698542203,-0.0302906627460812)); #143756=CARTESIAN_POINT('Ctrl Pts',(-1.38701134250422,3.4142405510969,-0.0302277254919961)); #143757=CARTESIAN_POINT('Ctrl Pts',(-1.38959534524474,3.41322644838569, -0.0301745240643729)); #143758=CARTESIAN_POINT('Origin',(-1.39324494900195,3.42253504300391,-0.03)); #143759=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.03)); #143760=CARTESIAN_POINT('',(-1.96524718816159,2.8034459535968,-0.0301745240643728)); #143761=CARTESIAN_POINT('Origin',(-1.97475033487481,2.80655396645035,-0.03)); #143762=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0301745240643728)); #143763=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.03)); #143764=CARTESIAN_POINT('',(-2.02338033183748,2.61841270318156,-0.0301745240643728)); #143765=CARTESIAN_POINT('Origin',(-2.03295344808924,2.62129800846544,-0.03)); #143766=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.0301745240643728)); #143767=CARTESIAN_POINT('Ctrl Pts',(-2.01998661417752,2.59650916458206, -0.04)); #143768=CARTESIAN_POINT('Ctrl Pts',(-2.02489750878263,2.59810481096538, -0.04)); #143769=CARTESIAN_POINT('Ctrl Pts',(-2.03337289625072,2.60529272239225, -0.04)); #143770=CARTESIAN_POINT('Ctrl Pts',(-2.03444353709147,2.61635406186697, -0.04)); #143771=CARTESIAN_POINT('Ctrl Pts',(-2.03295344808924,2.62129800846545, -0.04)); #143772=CARTESIAN_POINT('Ctrl Pts',(-2.01918659851804,2.5989713596067,-0.0400000000000001)); #143773=CARTESIAN_POINT('Ctrl Pts',(-2.02346319215973,2.60035311717677, -0.0399997682372303)); #143774=CARTESIAN_POINT('Ctrl Pts',(-2.03085440087469,2.60661013032904, -0.0399995060961593)); #143775=CARTESIAN_POINT('Ctrl Pts',(-2.03177870824291,2.61624998386805, -0.0399997682373932)); #143776=CARTESIAN_POINT('Ctrl Pts',(-2.03047468165344,2.62055091653565, -0.04)); #143777=CARTESIAN_POINT('Ctrl Pts',(-2.01759482804713,2.60387032537999, -0.0378762634191397)); #143778=CARTESIAN_POINT('Ctrl Pts',(-2.0206128108304,2.60482819534595,-0.0378889837398297)); #143779=CARTESIAN_POINT('Ctrl Pts',(-2.02584733976238,2.60922929019406, -0.0379035946819422)); #143780=CARTESIAN_POINT('Ctrl Pts',(-2.02647714870607,2.61603911679225, -0.0378889837308859)); #143781=CARTESIAN_POINT('Ctrl Pts',(-2.0255427441719,2.61906444704117,-0.0378762634191395)); #143782=CARTESIAN_POINT('Ctrl Pts',(-2.01691087708006,2.60597531001157, -0.032763034818718)); #143783=CARTESIAN_POINT('Ctrl Pts',(-2.01937705472362,2.60676368237303, -0.0327995939502315)); #143784=CARTESIAN_POINT('Ctrl Pts',(-2.02365418042966,2.6103765170675,-0.032841760600002)); #143785=CARTESIAN_POINT('Ctrl Pts',(-2.02418251962838,2.61595034430649, -0.0327995939245269)); #143786=CARTESIAN_POINT('Ctrl Pts',(-2.02342359227678,2.61842574173357, -0.0327630348187179)); #143787=CARTESIAN_POINT('Ctrl Pts',(-2.01689691488162,2.60601828123987, -0.0301745240643728)); #143788=CARTESIAN_POINT('Ctrl Pts',(-2.01935331628944,2.60681560534716, -0.0302186082419249)); #143789=CARTESIAN_POINT('Ctrl Pts',(-2.02359211374838,2.6104089837112,-0.0302696055693971)); #143790=CARTESIAN_POINT('Ctrl Pts',(-2.02412633044319,2.61594023079831, -0.0302186082109295)); #143791=CARTESIAN_POINT('Ctrl Pts',(-2.02338033183748,2.61841270318156, -0.0301745240643728)); #143792=CARTESIAN_POINT('Ctrl Pts',(-2.02338033183748,2.61841270318156, -0.0301745240643728)); #143793=CARTESIAN_POINT('Ctrl Pts',(-2.02412633044319,2.61594023079831, -0.0302186082109295)); #143794=CARTESIAN_POINT('Ctrl Pts',(-2.02359211374838,2.6104089837112,-0.0302696055693971)); #143795=CARTESIAN_POINT('Ctrl Pts',(-2.01935331628944,2.60681560534716, -0.0302186082419249)); #143796=CARTESIAN_POINT('Ctrl Pts',(-2.01689691488162,2.60601828123987, -0.0301745240643728)); #143797=CARTESIAN_POINT('Ctrl Pts',(-2.01689993553526,2.6060167011613,-0.0303140925701446)); #143798=CARTESIAN_POINT('Ctrl Pts',(-2.01559500525394,2.60669929935846, 0.0299798737456795)); #143799=CARTESIAN_POINT('Ctrl Pts',(-2.01428989254734,2.60738183822192, 0.0902738367850366)); #143800=CARTESIAN_POINT('Ctrl Pts',(-2.01298459721146,2.6080643176853,0.150567796543041)); #143801=CARTESIAN_POINT('Ctrl Pts',(-2.01935477135835,2.60681513487591, -0.0302700022515022)); #143802=CARTESIAN_POINT('Ctrl Pts',(-2.01805004128986,2.60749779149153, 0.0300239732215032)); #143803=CARTESIAN_POINT('Ctrl Pts',(-2.01674511794461,2.60818040565768, 0.0903179449711605)); #143804=CARTESIAN_POINT('Ctrl Pts',(-2.01544002297554,2.60886294357957, 0.150611913898019)); #143805=CARTESIAN_POINT('Ctrl Pts',(-2.0235869586846,2.61041169547038,-0.0302191231369251)); #143806=CARTESIAN_POINT('Ctrl Pts',(-2.0222824965788,2.61109482149234,0.030074863705361)); #143807=CARTESIAN_POINT('Ctrl Pts',(-2.02097785550692,2.61177788747909, 0.0903688473835648)); #143808=CARTESIAN_POINT('Ctrl Pts',(-2.01967302865582,2.61246089525935, 0.150662827695219)); #143809=CARTESIAN_POINT('Ctrl Pts',(-2.02412754687452,2.61593930092691, -0.0302700022515022)); #143810=CARTESIAN_POINT('Ctrl Pts',(-2.02282263122068,2.61662298478389, 0.0300239732215033)); #143811=CARTESIAN_POINT('Ctrl Pts',(-2.02151751360805,2.61730660161864, 0.0903179449711605)); #143812=CARTESIAN_POINT('Ctrl Pts',(-2.02021223258464,2.61799016758965, 0.150611913898019)); #143813=CARTESIAN_POINT('Ctrl Pts',(-2.02338335332035,2.61841112035173, -0.0303140925701446)); #143814=CARTESIAN_POINT('Ctrl Pts',(-2.02207806481327,2.61909490710263, 0.0299798737456795)); #143815=CARTESIAN_POINT('Ctrl Pts',(-2.02077259352469,2.61977863490058, 0.0902738367850366)); #143816=CARTESIAN_POINT('Ctrl Pts',(-2.01946693924997,2.62046230367959, 0.150567796543041)); #143817=CARTESIAN_POINT('',(-2.01946693924997,2.62046230367959,0.150567796543041)); #143818=CARTESIAN_POINT('Ctrl Pts',(-2.02338033183748,2.61841270318156, -0.0301745240643728)); #143819=CARTESIAN_POINT('Ctrl Pts',(-2.0220760502094,2.61909596223157,0.0300729194111397)); #143820=CARTESIAN_POINT('Ctrl Pts',(-2.02077158608162,2.61977916241954, 0.0903203596152358)); #143821=CARTESIAN_POINT('Ctrl Pts',(-2.01946693924997,2.62046230367959, 0.150567796543041)); #143822=CARTESIAN_POINT('Origin',(-2.00989489791556,2.61757343434311,0.150393272478668)); #143823=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #143824=CARTESIAN_POINT('',(-1.96224861322291,2.80246526691132,0.150567796543041)); #143825=CARTESIAN_POINT('',(-1.96541019606036,2.80349926547968,-0.04)); #143826=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.150567796543041)); #143827=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.04)); #143828=CARTESIAN_POINT('',(-1.39170371792066,3.40900441089351,0.150567796543041)); #143829=CARTESIAN_POINT('Ctrl Pts',(-1.39170371792066,3.40900441089351, 0.150567796543041)); #143830=CARTESIAN_POINT('Ctrl Pts',(-1.39100147159147,3.4104137932041,0.0903203963784838)); #143831=CARTESIAN_POINT('Ctrl Pts',(-1.39029867871577,3.41182113167562, 0.0300729559848059)); #143832=CARTESIAN_POINT('Ctrl Pts',(-1.38959534524474,3.41322644838569, -0.0301745240643771)); #143833=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,0.150567796543041)); #143834=CARTESIAN_POINT('Ctrl Pts',(-1.37840970559252,3.40246487714243, 0.150567796543041)); #143835=CARTESIAN_POINT('Ctrl Pts',(-1.37771791539085,3.40387119566904, 0.0902629310538823)); #143836=CARTESIAN_POINT('Ctrl Pts',(-1.37702554734157,3.40527550155397, 0.0299580252588235)); #143837=CARTESIAN_POINT('Ctrl Pts',(-1.37633260764289,3.40667781637649, -0.030346920266877)); #143838=CARTESIAN_POINT('Ctrl Pts',(-1.37917693216242,3.40513712520256, 0.150621312492458)); #143839=CARTESIAN_POINT('Ctrl Pts',(-1.37848465908738,3.40654148272256, 0.0903163422749028)); #143840=CARTESIAN_POINT('Ctrl Pts',(-1.37779160670069,3.40794397179607, 0.0300113383695275)); #143841=CARTESIAN_POINT('Ctrl Pts',(-1.37709818700509,3.40934433933822, -0.0302937106285415)); #143842=CARTESIAN_POINT('Ctrl Pts',(-1.38307116885578,3.40977099168528, 0.150684702029372)); #143843=CARTESIAN_POINT('Ctrl Pts',(-1.38237415015824,3.41117275414138, 0.0903795981159874)); #143844=CARTESIAN_POINT('Ctrl Pts',(-1.38167660358598,3.41257240630997, 0.0300744517919833)); #143845=CARTESIAN_POINT('Ctrl Pts',(-1.38097848011135,3.41397019205081, -0.0302307291822152)); #143846=CARTESIAN_POINT('Ctrl Pts',(-1.38911864315937,3.41002760920417, 0.150621312492458)); #143847=CARTESIAN_POINT('Ctrl Pts',(-1.38841631761977,3.41143443176592, 0.0903163422749027)); #143848=CARTESIAN_POINT('Ctrl Pts',(-1.38771356329607,3.41283945614204, 0.0300113383695276)); #143849=CARTESIAN_POINT('Ctrl Pts',(-1.38701016123258,3.41424224123886, -0.0302937106285415)); #143850=CARTESIAN_POINT('Ctrl Pts',(-1.39170371792066,3.40900441089351, 0.150567796543041)); #143851=CARTESIAN_POINT('Ctrl Pts',(-1.39100080177313,3.41041513750463, 0.0902629310538823)); #143852=CARTESIAN_POINT('Ctrl Pts',(-1.39029733803599,3.41182381637591, 0.0299580252588235)); #143853=CARTESIAN_POINT('Ctrl Pts',(-1.38959333267744,3.41323046964818, -0.030346920266877)); #143854=CARTESIAN_POINT('Origin',(-1.38802085850378,3.39970892339331,0.150393272478668)); #143855=CARTESIAN_POINT('Ctrl Pts',(-1.73904411398655,2.47501631150682, -0.0301745240643729)); #143856=CARTESIAN_POINT('Ctrl Pts',(-1.7442219241008,2.47669868399629,-0.0301745240643728)); #143857=CARTESIAN_POINT('Ctrl Pts',(-1.75230867920215,2.47938721112488, -0.0334971407058772)); #143858=CARTESIAN_POINT('Ctrl Pts',(-1.75803973006639,2.4814138510834,-0.0424605577259044)); #143859=CARTESIAN_POINT('Ctrl Pts',(-1.75900233992686,2.48182107185517, -0.0476067524319028)); #143860=CARTESIAN_POINT('Ctrl Pts',(-1.75904106788967,2.48187437632301, -0.0498254759356272)); #143861=CARTESIAN_POINT('Ctrl Pts',(-1.7390301517881,2.47505928273512,-0.0327630348187181)); #143862=CARTESIAN_POINT('Ctrl Pts',(-1.74360113598701,2.47654253867156, -0.032897670059813)); #143863=CARTESIAN_POINT('Ctrl Pts',(-1.75056364230545,2.47887062809003, -0.0360486209625672)); #143864=CARTESIAN_POINT('Ctrl Pts',(-1.75525214137885,2.48052651639898, -0.0437473556495616)); #143865=CARTESIAN_POINT('Ctrl Pts',(-1.75602628149185,2.4808624857779,-0.0480496575527229)); #143866=CARTESIAN_POINT('Ctrl Pts',(-1.75605435486454,2.48090531854632, -0.0499008906593568)); #143867=CARTESIAN_POINT('Ctrl Pts',(-1.73834758665503,2.47716000220821, -0.037880827035216)); #143868=CARTESIAN_POINT('Ctrl Pts',(-1.74163992525739,2.47847965342157, -0.0382689299984375)); #143869=CARTESIAN_POINT('Ctrl Pts',(-1.74623411724617,2.48045021975431, -0.0410448445309615)); #143870=CARTESIAN_POINT('Ctrl Pts',(-1.74877244269852,2.48174650261095, -0.0462302472570347)); #143871=CARTESIAN_POINT('Ctrl Pts',(-1.74914774784011,2.48199293406383, -0.0488646036688838)); #143872=CARTESIAN_POINT('Ctrl Pts',(-1.74915233423005,2.48202542500593, -0.0499944555039107)); #143873=CARTESIAN_POINT('Ctrl Pts',(-1.73675443035012,2.48206323313998, -0.0400000000000005)); #143874=CARTESIAN_POINT('Ctrl Pts',(-1.73939032000309,2.48362105447108, -0.0402116813556021)); #143875=CARTESIAN_POINT('Ctrl Pts',(-1.74323463931155,2.48606983289059, -0.0422716820830976)); #143876=CARTESIAN_POINT('Ctrl Pts',(-1.74556432358411,2.48784262242765, -0.0465919262938002)); #143877=CARTESIAN_POINT('Ctrl Pts',(-1.74594556137867,2.48819746333624, -0.0489096019439955)); #143878=CARTESIAN_POINT('Ctrl Pts',(-1.74595420801111,2.48824350477443, -0.0499008906593568)); #143879=CARTESIAN_POINT('Ctrl Pts',(-1.73595441469065,2.48452542816463, -0.0400000000000003)); #143880=CARTESIAN_POINT('Ctrl Pts',(-1.73854331974777,2.4862078006541,-0.0400000000000003)); #143881=CARTESIAN_POINT('Ctrl Pts',(-1.74258669729845,2.48889632778269, -0.0416613083207523)); #143882=CARTESIAN_POINT('Ctrl Pts',(-1.74545222273057,2.49092296774121, -0.0461430168307658)); #143883=CARTESIAN_POINT('Ctrl Pts',(-1.7459335276608,2.49133018851298,-0.048716114183765)); #143884=CARTESIAN_POINT('Ctrl Pts',(-1.74595289164221,2.49138349298082, -0.0498254759356272)); #143885=CARTESIAN_POINT('',(-1.73595441469065,2.48452542816463,-0.04)); #143886=CARTESIAN_POINT('Origin',(-1.73595441469065,2.48452542816463,-0.03)); #143887=CARTESIAN_POINT('Origin',(-1.73595441469065,2.4848924949925,-0.05)); #143888=CARTESIAN_POINT('Origin',(-0.611036221202476,2.11901735044958,-0.03)); #143889=CARTESIAN_POINT('',(-1.69860532454699,2.47238997314058,-0.04)); #143890=CARTESIAN_POINT('Origin',(-1.69860532454699,2.47238997314058,-0.03)); #143891=CARTESIAN_POINT('',(-0.611036221202476,2.11901735044958,-0.04)); #143892=CARTESIAN_POINT('Ctrl Pts',(-1.70139753763223,2.46278424189869, -0.0301769511494667)); #143893=CARTESIAN_POINT('Ctrl Pts',(-1.70149669610299,2.46281643074241, -0.0301753331078195)); #143894=CARTESIAN_POINT('Ctrl Pts',(-1.70159586215496,2.46284863689725, -0.0301745240643728)); #143895=CARTESIAN_POINT('Ctrl Pts',(-1.70169502384289,2.46288085648277, -0.0301745240643728)); #143896=CARTESIAN_POINT('Ctrl Pts',(-1.70142177134515,2.46283957615069, -0.0327628694490735)); #143897=CARTESIAN_POINT('Ctrl Pts',(-1.70150819684943,2.46286762055916, -0.0327622255454898)); #143898=CARTESIAN_POINT('Ctrl Pts',(-1.7015946551123,2.46289576368076,-0.0327622667941406)); #143899=CARTESIAN_POINT('Ctrl Pts',(-1.70168106164445,2.46292382771106, -0.0327630348187179)); #143900=CARTESIAN_POINT('Ctrl Pts',(-1.70081509925132,2.46496522997184, -0.0378713050726868)); #143901=CARTESIAN_POINT('Ctrl Pts',(-1.70087583340342,2.46498636713323, -0.0378725189349256)); #143902=CARTESIAN_POINT('Ctrl Pts',(-1.70093650008919,2.46500748610109, -0.0378742465368196)); #143903=CARTESIAN_POINT('Ctrl Pts',(-1.70099717126567,2.46502862587107, -0.0378764629392043)); #143904=CARTESIAN_POINT('Ctrl Pts',(-1.6992582526953,2.46986801823288,-0.0399976789311177)); #143905=CARTESIAN_POINT('Ctrl Pts',(-1.69930720065026,2.46988790152032, -0.0399980708916001)); #143906=CARTESIAN_POINT('Ctrl Pts',(-1.69935642835618,2.46990788053373, -0.0399987916077698)); #143907=CARTESIAN_POINT('Ctrl Pts',(-1.69940534020647,2.46992777811593, -0.0399999999999999)); #143908=CARTESIAN_POINT('Ctrl Pts',(-1.69845966138805,2.4723282747307,-0.040001213542547)); #143909=CARTESIAN_POINT('Ctrl Pts',(-1.69850821528028,2.47234882756559, -0.0400004045217234)); #143910=CARTESIAN_POINT('Ctrl Pts',(-1.69855677161587,2.47236939453071, -0.0399999999999999)); #143911=CARTESIAN_POINT('Ctrl Pts',(-1.69860532454699,2.47238997314058, -0.0399999999999999)); #143912=CARTESIAN_POINT('',(-1.69845966138805,2.4723282747307,-0.040001213542547)); #143913=CARTESIAN_POINT('Ctrl Pts',(-1.69860532454699,2.47238997314058, -0.0399999999999999)); #143914=CARTESIAN_POINT('Ctrl Pts',(-1.69855677161587,2.47236939453071, -0.0399999999999999)); #143915=CARTESIAN_POINT('Ctrl Pts',(-1.69850821528028,2.47234882756559, -0.0400004045217234)); #143916=CARTESIAN_POINT('Ctrl Pts',(-1.69845966138805,2.4723282747307,-0.040001213542547)); #143917=CARTESIAN_POINT('Origin',(-1.69830783833633,2.4722933585565,-0.0300024270850939)); #143918=CARTESIAN_POINT('Ctrl Pts',(-1.69845966138805,2.47232827473069, -0.040001213542547)); #143919=CARTESIAN_POINT('Ctrl Pts',(-1.69293475637089,2.46998958558717, -0.0400932713159184)); #143920=CARTESIAN_POINT('Ctrl Pts',(-1.69169562589215,2.45934290323435, -0.0449465298975345)); #143921=CARTESIAN_POINT('Ctrl Pts',(-1.6929994358483,2.45116420406123,-0.0491004522869438)); #143922=CARTESIAN_POINT('Ctrl Pts',(-1.69300366324883,2.45113638965993, -0.0491145397330554)); #143923=CARTESIAN_POINT('Ctrl Pts',(-1.69300795034283,2.45110898546114, -0.0491284425715602)); #143924=CARTESIAN_POINT('Ctrl Pts',(-1.6992582526953,2.46986801823288,-0.0399976789311177)); #143925=CARTESIAN_POINT('Ctrl Pts',(-1.69510429464883,2.46817062370402, -0.0399264767337522)); #143926=CARTESIAN_POINT('Ctrl Pts',(-1.69182159397122,2.4587251075806,-0.0441004126415068)); #143927=CARTESIAN_POINT('Ctrl Pts',(-1.69300096499075,2.45115948140141, -0.0490961796129611)); #143928=CARTESIAN_POINT('Ctrl Pts',(-1.69300432683481,2.45113411771167, -0.0491122395664156)); #143929=CARTESIAN_POINT('Ctrl Pts',(-1.69300795034285,2.45110898546106, -0.0491284425714817)); #143930=CARTESIAN_POINT('Ctrl Pts',(-1.70081509928096,2.46496522988521, -0.0378713051657124)); #143931=CARTESIAN_POINT('Ctrl Pts',(-1.69813382769893,2.4642406670038,-0.0378351933211446)); #143932=CARTESIAN_POINT('Ctrl Pts',(-1.6920969884996,2.4574921743311,-0.0426133378599667)); #143933=CARTESIAN_POINT('Ctrl Pts',(-1.69300394684758,2.45115002538573, -0.0490871577495578)); #143934=CARTESIAN_POINT('Ctrl Pts',(-1.69300565400677,2.45112957381513, -0.0491076392331359)); #143935=CARTESIAN_POINT('Ctrl Pts',(-1.69300795034289,2.4511089854609,-0.0491284425713246)); #143936=CARTESIAN_POINT('Ctrl Pts',(-1.70142177134514,2.46283957615069, -0.0327628694490729)); #143937=CARTESIAN_POINT('Ctrl Pts',(-1.69711109240576,2.46161653752787, -0.0332403320709568)); #143938=CARTESIAN_POINT('Ctrl Pts',(-1.69259804711365,2.45605311444376, -0.0413993085118167)); #143939=CARTESIAN_POINT('Ctrl Pts',(-1.6930063621357,2.45114103913218,-0.0490774984592805)); #143940=CARTESIAN_POINT('Ctrl Pts',(-1.69300698117873,2.4511250299186,-0.0491030388998561)); #143941=CARTESIAN_POINT('Ctrl Pts',(-1.69300795034294,2.45110898546075, -0.0491284425711674)); #143942=CARTESIAN_POINT('Ctrl Pts',(-1.70139753763222,2.46278424189868, -0.0301769511494661)); #143943=CARTESIAN_POINT('Ctrl Pts',(-1.69570380397425,2.46094689840244, -0.0308668916930141)); #143944=CARTESIAN_POINT('Ctrl Pts',(-1.6928897443129,2.45523973349775,-0.0407976260812166)); #143945=CARTESIAN_POINT('Ctrl Pts',(-1.69300746345603,2.45113676085144, -0.0490726555425855)); #143946=CARTESIAN_POINT('Ctrl Pts',(-1.69300764476471,2.45112275797033, -0.0491007387332162)); #143947=CARTESIAN_POINT('Ctrl Pts',(-1.69300795034296,2.45110898546067, -0.0491284425710889)); #143948=CARTESIAN_POINT('Ctrl Pts',(-1.69845966138805,2.47232827473069, -0.040001213542547)); #143949=CARTESIAN_POINT('Ctrl Pts',(-1.69293475637089,2.46998958558717, -0.0400932713159184)); #143950=CARTESIAN_POINT('Ctrl Pts',(-1.69169562589215,2.45934290323435, -0.0449465298975345)); #143951=CARTESIAN_POINT('Ctrl Pts',(-1.6929994358483,2.45116420406123,-0.0491004522869438)); #143952=CARTESIAN_POINT('Ctrl Pts',(-1.69300366324883,2.45113638965993, -0.0491145397330554)); #143953=CARTESIAN_POINT('Ctrl Pts',(-1.69300795034283,2.45110898546114, -0.0491284425715602)); #143954=CARTESIAN_POINT('Ctrl Pts',(-1.69300795034296,2.45110898546067, -0.0491284425710889)); #143955=CARTESIAN_POINT('Ctrl Pts',(-1.69300764476471,2.45112275797033, -0.0491007387332162)); #143956=CARTESIAN_POINT('Ctrl Pts',(-1.69300746345603,2.45113676085144, -0.0490726555425855)); #143957=CARTESIAN_POINT('Ctrl Pts',(-1.6928897443129,2.45523973349775,-0.0407976260812166)); #143958=CARTESIAN_POINT('Ctrl Pts',(-1.69570380397425,2.46094689840244, -0.0308668916930141)); #143959=CARTESIAN_POINT('Ctrl Pts',(-1.70139753763222,2.46278424189868, -0.0301769511494661)); #143960=CARTESIAN_POINT('Origin',(-0.98653611807887,2.30860052606037,-0.05)); #143961=CARTESIAN_POINT('Origin',(-0.98653611807887,2.30860052606037,-0.04)); #143962=CARTESIAN_POINT('Origin',(-7.07548630359044E-16,-0.9,-0.04)); #143963=CARTESIAN_POINT('',(-1.73595441469065,0.952025135000002,-0.04)); #143964=CARTESIAN_POINT('Origin',(-1.73595441469065,0.952025135000002,-0.05)); #143965=CARTESIAN_POINT('Ctrl Pts',(-1.75725793571577,1.76157296088792, -0.04)); #143966=CARTESIAN_POINT('Ctrl Pts',(-1.75763198034635,1.75678441888581, -0.04)); #143967=CARTESIAN_POINT('Ctrl Pts',(-1.76135222275948,1.74909796327745, -0.04)); #143968=CARTESIAN_POINT('Ctrl Pts',(-1.7713958845977,1.74340888051875,-0.04)); #143969=CARTESIAN_POINT('Ctrl Pts',(-1.77794707244311,1.74306815823065, -0.04)); #143970=CARTESIAN_POINT('Ctrl Pts',(-1.78110051126791,1.74362419457748, -0.04)); #143971=CARTESIAN_POINT('Ctrl Pts',(-1.75951865247875,1.76174955095773, -0.04)); #143972=CARTESIAN_POINT('Ctrl Pts',(-1.75983659572948,1.75751498273761, -0.0399997339233416)); #143973=CARTESIAN_POINT('Ctrl Pts',(-1.76315862148936,1.75071342352641, -0.0399952751825877)); #143974=CARTESIAN_POINT('Ctrl Pts',(-1.77211016375696,1.74580408861579, -0.0399979242176375)); #143975=CARTESIAN_POINT('Ctrl Pts',(-1.77789305306173,1.7456227119547,-0.0399980052935039)); #143976=CARTESIAN_POINT('Ctrl Pts',(-1.78065095262259,1.74617376834955, -0.04)); #143977=CARTESIAN_POINT('Ctrl Pts',(-1.76402688901767,1.76210170024868, -0.0383912406373756)); #143978=CARTESIAN_POINT('Ctrl Pts',(-1.76423363125546,1.75897002490602, -0.0384100895672207)); #143979=CARTESIAN_POINT('Ctrl Pts',(-1.76675555974307,1.75393899111131, -0.0383771678863226)); #143980=CARTESIAN_POINT('Ctrl Pts',(-1.7735303703054,1.75057644175414,-0.0381738397368217)); #143981=CARTESIAN_POINT('Ctrl Pts',(-1.77778250189467,1.75071011520698, -0.0379862776070433)); #143982=CARTESIAN_POINT('Ctrl Pts',(-1.77975638619473,1.7512471066683,-0.0378767979596714)); #143983=CARTESIAN_POINT('Ctrl Pts',(-1.76653626873121,1.7622977139847,-0.0343045666144864)); #143984=CARTESIAN_POINT('Ctrl Pts',(-1.7667019308839,1.75978518175238,-0.0343631750655557)); #143985=CARTESIAN_POINT('Ctrl Pts',(-1.7687279148662,1.75574560222329,-0.0342570595325344)); #143986=CARTESIAN_POINT('Ctrl Pts',(-1.77420563164611,1.75299105209485, -0.0336335145186539)); #143987=CARTESIAN_POINT('Ctrl Pts',(-1.77771585312921,1.75302669668042, -0.0330776873281837)); #143988=CARTESIAN_POINT('Ctrl Pts',(-1.77937213984602,1.75342627599998, -0.0327630348187179)); #143989=CARTESIAN_POINT('Ctrl Pts',(-1.76700805577531,1.76233456641505, -0.0320868914312006)); #143990=CARTESIAN_POINT('Ctrl Pts',(-1.76717940795192,1.75993620827207, -0.0321615951369184)); #143991=CARTESIAN_POINT('Ctrl Pts',(-1.76906718642192,1.75610028069033, -0.0320248622015977)); #143992=CARTESIAN_POINT('Ctrl Pts',(-1.77425942188391,1.75329973600906, -0.031237821243124)); #143993=CARTESIAN_POINT('Ctrl Pts',(-1.77769451993592,1.75316962104967, -0.0305539421883881)); #143994=CARTESIAN_POINT('Ctrl Pts',(-1.77936429396583,1.75347077219769, -0.0301745240643728)); #143995=CARTESIAN_POINT('',(-1.76700805577531,1.76233456641505,-0.0320868914312005)); #143996=CARTESIAN_POINT('Ctrl Pts',(-1.76681408298275,1.74621894821611, -0.0399716122807922)); #143997=CARTESIAN_POINT('Ctrl Pts',(-1.76666637389979,1.74817974250712, -0.0399712559387503)); #143998=CARTESIAN_POINT('Ctrl Pts',(-1.76657710318631,1.75011944317355, -0.0395874872097209)); #143999=CARTESIAN_POINT('Ctrl Pts',(-1.76654288249688,1.75197721995279, -0.0389212270759153)); #144000=CARTESIAN_POINT('Ctrl Pts',(-1.76653192789685,1.75257192455715, -0.0387079463558088)); #144001=CARTESIAN_POINT('Ctrl Pts',(-1.7665267491565,1.75315480699363,-0.0384671472137015)); #144002=CARTESIAN_POINT('Ctrl Pts',(-1.7665272391915,1.75372636968741,-0.0382020517234569)); #144003=CARTESIAN_POINT('Ctrl Pts',(-1.76652832001262,1.75498700826096, -0.0376173571583379)); #144004=CARTESIAN_POINT('Ctrl Pts',(-1.76655702842677,1.75619258291041, -0.0369144854497521)); #144005=CARTESIAN_POINT('Ctrl Pts',(-1.76661219468595,1.75734849414429, -0.0361278832590996)); #144006=CARTESIAN_POINT('Ctrl Pts',(-1.76669695265297,1.75912444719423, -0.0349193401472822)); #144007=CARTESIAN_POINT('Ctrl Pts',(-1.76684218299875,1.76074299450815, -0.0335431909688748)); #144008=CARTESIAN_POINT('Ctrl Pts',(-1.76703715964518,1.76232372521088, -0.0320753858520432)); #144009=CARTESIAN_POINT('',(-1.77936429396583,1.75347077219769,-0.0301745240643728)); #144010=CARTESIAN_POINT('Origin',(-1.78110051126791,1.74362419457748,-0.03)); #144011=CARTESIAN_POINT('Ctrl Pts',(-1.77936429396583,1.75347077219769, -0.0301745240643728)); #144012=CARTESIAN_POINT('Ctrl Pts',(-1.77769451993592,1.75316962104967, -0.0305539421883881)); #144013=CARTESIAN_POINT('Ctrl Pts',(-1.77425942188391,1.75329973600906, -0.031237821243124)); #144014=CARTESIAN_POINT('Ctrl Pts',(-1.76906718642192,1.75610028069033, -0.0320248622015977)); #144015=CARTESIAN_POINT('Ctrl Pts',(-1.76717940795192,1.75993620827207, -0.0321615951369184)); #144016=CARTESIAN_POINT('Ctrl Pts',(-1.76700805577531,1.76233456641505, -0.0320868914312006)); #144017=CARTESIAN_POINT('Origin',(-0.679836792968853,1.54944168816603,-0.03)); #144018=CARTESIAN_POINT('',(-2.20924833652343,1.82927092747662,-0.0301745240643728)); #144019=CARTESIAN_POINT('Origin',(-2.21098455382551,1.81942434985641,-0.03)); #144020=CARTESIAN_POINT('',(-0.678100575666769,1.55928826578624,-0.0301745240643728)); #144021=CARTESIAN_POINT('Ctrl Pts',(-2.21098455382551,1.81942434985641, -0.04)); #144022=CARTESIAN_POINT('Ctrl Pts',(-2.21621139636674,1.82034598322034, -0.04)); #144023=CARTESIAN_POINT('Ctrl Pts',(-2.22583743549529,1.82658072477182, -0.04)); #144024=CARTESIAN_POINT('Ctrl Pts',(-2.22816015176905,1.83781128600307, -0.04)); #144025=CARTESIAN_POINT('Ctrl Pts',(-2.22712520331957,1.8430168765282,-0.04)); #144026=CARTESIAN_POINT('Ctrl Pts',(-2.21053499518019,1.82197392362848, -0.04)); #144027=CARTESIAN_POINT('Ctrl Pts',(-2.21508568974934,1.82276825851175, -0.0399997512834152)); #144028=CARTESIAN_POINT('Ctrl Pts',(-2.2234797044113,1.82819370417472,-0.0399994682621335)); #144029=CARTESIAN_POINT('Ctrl Pts',(-2.2254945893153,1.83798277889704,-0.0399997512836058)); #144030=CARTESIAN_POINT('Ctrl Pts',(-2.2245859959556,1.84251204451892,-0.04)); #144031=CARTESIAN_POINT('Ctrl Pts',(-2.20964047796132,1.82704698286917, -0.0378765095867375)); #144032=CARTESIAN_POINT('Ctrl Pts',(-2.21284959742689,1.82758927830615, -0.0378901604191047)); #144033=CARTESIAN_POINT('Ctrl Pts',(-2.21879227203622,1.8314004872933,-0.0379059603677473)); #144034=CARTESIAN_POINT('Ctrl Pts',(-2.22019094762984,1.83832001134756, -0.0378901604086421)); #144035=CARTESIAN_POINT('Ctrl Pts',(-2.21953356345771,1.8415075461523,-0.0378765095867375)); #144036=CARTESIAN_POINT('Ctrl Pts',(-2.20925618240362,1.82922643127891, -0.0327630348187179)); #144037=CARTESIAN_POINT('Ctrl Pts',(-2.21187933896374,1.8296755566609,-0.0328022683088119)); #144038=CARTESIAN_POINT('Ctrl Pts',(-2.21673650421237,1.8328068860605,-0.0328478799210121)); #144039=CARTESIAN_POINT('Ctrl Pts',(-2.21789487513296,1.83846836873362, -0.0328022682787416)); #144040=CARTESIAN_POINT('Ctrl Pts',(-2.2173629765494,1.84107600134592,-0.0327630348187179)); #144041=CARTESIAN_POINT('Ctrl Pts',(-2.20924833652343,1.82927092747662, -0.0301745240643729)); #144042=CARTESIAN_POINT('Ctrl Pts',(-2.21186273228625,1.82973107746709, -0.030221833069584)); #144043=CARTESIAN_POINT('Ctrl Pts',(-2.21667688837924,1.83284767069489, -0.0302770085373718)); #144044=CARTESIAN_POINT('Ctrl Pts',(-2.21783710998665,1.83846372885896, -0.0302218330333243)); #144045=CARTESIAN_POINT('Ctrl Pts',(-2.21731866127045,1.84106719081252, -0.0301745240643729)); #144046=CARTESIAN_POINT('',(-2.21731866127045,1.84106719081252,-0.0301745240643762)); #144047=CARTESIAN_POINT('Origin',(-2.22712520331957,1.8430168765282,-0.03)); #144048=CARTESIAN_POINT('Ctrl Pts',(-2.21731866127045,1.84106719081252, -0.0301745240643729)); #144049=CARTESIAN_POINT('Ctrl Pts',(-2.21783710998665,1.83846372885896, -0.0302218330333243)); #144050=CARTESIAN_POINT('Ctrl Pts',(-2.21667688837924,1.83284767069489, -0.0302770085373718)); #144051=CARTESIAN_POINT('Ctrl Pts',(-2.21186273228625,1.82973107746709, -0.030221833069584)); #144052=CARTESIAN_POINT('Ctrl Pts',(-2.20924833652343,1.82927092747662, -0.0301745240643729)); #144053=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.03)); #144054=CARTESIAN_POINT('',(-2.04015340686854,2.5620400317602,-0.0301745240643728)); #144055=CARTESIAN_POINT('Origin',(-2.04974671133387,2.56485748642469,-0.03)); #144056=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.0301745240643728)); #144057=CARTESIAN_POINT('Ctrl Pts',(-2.04974671133387,2.56485748642469, -0.04)); #144058=CARTESIAN_POINT('Ctrl Pts',(-2.04824475956094,2.56997156362051, -0.04)); #144059=CARTESIAN_POINT('Ctrl Pts',(-2.04092998419,2.5788776927453,-0.04)); #144060=CARTESIAN_POINT('Ctrl Pts',(-2.02944990148233,2.57988789257871, -0.04)); #144061=CARTESIAN_POINT('Ctrl Pts',(-2.02438070381141,2.57824081041133, -0.04)); #144062=CARTESIAN_POINT('Ctrl Pts',(-2.0472627175651,2.56412796305184,-0.04)); #144063=CARTESIAN_POINT('Ctrl Pts',(-2.04596317027652,2.56858139719511, -0.0399997485263554)); #144064=CARTESIAN_POINT('Ctrl Pts',(-2.03959589940076,2.57634906315816, -0.0399994619132139)); #144065=CARTESIAN_POINT('Ctrl Pts',(-2.02959034100407,2.57721984290501, -0.0399997485261601)); #144066=CARTESIAN_POINT('Ctrl Pts',(-2.02518071947089,2.57577861538668, -0.04)); #144067=CARTESIAN_POINT('Ctrl Pts',(-2.04232036646307,2.56267644546306, -0.0378762771338383)); #144068=CARTESIAN_POINT('Ctrl Pts',(-2.04142187733154,2.56581900743898, -0.0378900792876817)); #144069=CARTESIAN_POINT('Ctrl Pts',(-2.0369436787205,2.57132203386002,-0.0379060738926959)); #144070=CARTESIAN_POINT('Ctrl Pts',(-2.02987367673564,2.57191193752566, -0.0378900792984047)); #144071=CARTESIAN_POINT('Ctrl Pts',(-2.02677249410654,2.57087963679564, -0.0378762771338383)); #144072=CARTESIAN_POINT('Ctrl Pts',(-2.04019675853738,2.56205276369861, -0.0327630348187179)); #144073=CARTESIAN_POINT('Ctrl Pts',(-2.03945645035593,2.56462085724295, -0.0328027032177959)); #144074=CARTESIAN_POINT('Ctrl Pts',(-2.03578012706593,2.56911663267732, -0.0328488789178363)); #144075=CARTESIAN_POINT('Ctrl Pts',(-2.02999414310016,2.56961325203641, -0.0328027032486145)); #144076=CARTESIAN_POINT('Ctrl Pts',(-2.02745644090887,2.56877466498182, -0.0327630348187179)); #144077=CARTESIAN_POINT('Ctrl Pts',(-2.04015340686854,2.5620400317602,-0.0301745240643729)); #144078=CARTESIAN_POINT('Ctrl Pts',(-2.03940299000496,2.56459812989897, -0.0302223574968359)); #144079=CARTESIAN_POINT('Ctrl Pts',(-2.03574625514434,2.56905243178992, -0.0302782172379145)); #144080=CARTESIAN_POINT('Ctrl Pts',(-2.03000556096609,2.56955629437889, -0.0302223575339979)); #144081=CARTESIAN_POINT('Ctrl Pts',(-2.02747040310731,2.56873169375352, -0.0301745240643728)); #144082=CARTESIAN_POINT('Origin',(-2.02438070381141,2.57824081041133,-0.03)); #144083=CARTESIAN_POINT('Ctrl Pts',(-2.02747040310731,2.56873169375352, -0.0301745240643728)); #144084=CARTESIAN_POINT('Ctrl Pts',(-2.03000556096609,2.56955629437889, -0.0302223575339979)); #144085=CARTESIAN_POINT('Ctrl Pts',(-2.03574625514434,2.56905243178992, -0.0302782172379145)); #144086=CARTESIAN_POINT('Ctrl Pts',(-2.03940299000496,2.56459812989897, -0.0302223574968359)); #144087=CARTESIAN_POINT('Ctrl Pts',(-2.04015340686854,2.5620400317602,-0.0301745240643729)); #144088=CARTESIAN_POINT('Origin',(-0.611036221202476,2.11901735044958,-0.03)); #144089=CARTESIAN_POINT('Origin',(-1.81499847530935,2.51020840032987,-0.03)); #144090=CARTESIAN_POINT('Ctrl Pts',(-1.79809122070212,2.49456254011268, -0.0498254759356272)); #144091=CARTESIAN_POINT('Ctrl Pts',(-1.79818158594869,2.49449688591799, -0.0446484544269371)); #144092=CARTESIAN_POINT('Ctrl Pts',(-1.80259639977256,2.49574343565823, -0.0344099794643689)); #144093=CARTESIAN_POINT('Ctrl Pts',(-1.812910364491,2.49901691118259,-0.0301745240643729)); #144094=CARTESIAN_POINT('Ctrl Pts',(-1.81808817460525,2.50069928367206, -0.0301745240643729)); #144095=CARTESIAN_POINT('Ctrl Pts',(-1.80008245475899,2.49521001848349, -0.0498520218337429)); #144096=CARTESIAN_POINT('Ctrl Pts',(-1.80015761973403,2.49514998375706, -0.045245163600439)); #144097=CARTESIAN_POINT('Ctrl Pts',(-1.80420208964711,2.49629942745657, -0.0361374560007065)); #144098=CARTESIAN_POINT('Ctrl Pts',(-1.81350310306669,2.49925896498369, -0.0326285193545982)); #144099=CARTESIAN_POINT('Ctrl Pts',(-1.81807421240681,2.50074225490036, -0.0327630348187182)); #144100=CARTESIAN_POINT('Ctrl Pts',(-1.8036350570167,2.49779902598243,-0.0498811993398334)); #144101=CARTESIAN_POINT('Ctrl Pts',(-1.80368243066584,2.49774295641618, -0.0464110375428128)); #144102=CARTESIAN_POINT('Ctrl Pts',(-1.80694480792058,2.49881925004663, -0.0395615481041798)); #144103=CARTESIAN_POINT('Ctrl Pts',(-1.81409803241583,2.50152754766493, -0.0374882039543491)); #144104=CARTESIAN_POINT('Ctrl Pts',(-1.81739030144899,2.50284711639611, -0.0378763951714923)); #144105=CARTESIAN_POINT('Ctrl Pts',(-1.80499953499741,2.50197779882517, -0.0498520218337429)); #144106=CARTESIAN_POINT('Ctrl Pts',(-1.80504079281297,2.50191539659379, -0.0470891594025697)); #144107=CARTESIAN_POINT('Ctrl Pts',(-1.80756370572575,2.50310527461058, -0.0416251015953369)); #144108=CARTESIAN_POINT('Ctrl Pts',(-1.81316260223063,2.50618827066984, -0.0397883564582768)); #144109=CARTESIAN_POINT('Ctrl Pts',(-1.81579849096883,2.50774620530523, -0.0400000000000006)); #144110=CARTESIAN_POINT('Ctrl Pts',(-1.80499999835779,2.50407165677049, -0.0498254759356272)); #144111=CARTESIAN_POINT('Ctrl Pts',(-1.80504518098107,2.5040060025758,-0.0472369651812822)); #144112=CARTESIAN_POINT('Ctrl Pts',(-1.80725258789301,2.50525255231604, -0.0421177276999982)); #144113=CARTESIAN_POINT('Ctrl Pts',(-1.81240957025223,2.5085260278404,-0.0400000000000004)); #144114=CARTESIAN_POINT('Ctrl Pts',(-1.81499847530935,2.51020840032987, -0.0400000000000004)); #144115=CARTESIAN_POINT('Origin',(-1.81499847530935,2.51057546715775,-0.05)); #144116=CARTESIAN_POINT('Origin',(-1.81499847530936,0.818522097153875,-0.05)); #144117=CARTESIAN_POINT('Ctrl Pts',(-1.68160263277389,0.0177164995704367, 9.83465277719135E-17)); #144118=CARTESIAN_POINT('Ctrl Pts',(-1.6816035140559,0.0176636291686446, 9.83507141601377E-17)); #144119=CARTESIAN_POINT('Ctrl Pts',(-1.68160439186362,0.0176107583188062, -7.25562647854182E-8)); #144120=CARTESIAN_POINT('Ctrl Pts',(-1.68169204162576,0.0123793293122618, -1.44004874234338E-5)); #144121=CARTESIAN_POINT('Ctrl Pts',(-1.68177412713272,0.00713061919743472, -0.000725095447358811)); #144122=CARTESIAN_POINT('Ctrl Pts',(-1.68200170456162,0.00227334086840752, -0.00218667869076807)); #144123=CARTESIAN_POINT('Ctrl Pts',(-1.6816026327739,0.017716499570437, 9.83465277719133E-17)); #144124=CARTESIAN_POINT('Ctrl Pts',(-1.68161080123867,0.0176647970119506, 1.3570366424368E-7)); #144125=CARTESIAN_POINT('Ctrl Pts',(-1.68161897232707,0.0176130682687077, -2.28086344781106E-7)); #144126=CARTESIAN_POINT('Ctrl Pts',(-1.68242782036165,0.0124967132225811, -1.30961489869757E-5)); #144127=CARTESIAN_POINT('Ctrl Pts',(-1.68323330225914,0.00736216703942518, -0.000905336760123413)); #144128=CARTESIAN_POINT('Ctrl Pts',(-1.6840902429673,0.00281372654235315, -0.00262460898423432)); #144129=CARTESIAN_POINT('Ctrl Pts',(-1.6816026327739,0.0177164995704373, 9.83465277710497E-17)); #144130=CARTESIAN_POINT('Ctrl Pts',(-1.68161807456676,0.0176659539559401, 1.73283174030505E-7)); #144131=CARTESIAN_POINT('Ctrl Pts',(-1.68163356731794,0.0176153907735781, -2.58728007399608E-7)); #144132=CARTESIAN_POINT('Ctrl Pts',(-1.68316316318086,0.0126134965112222, -1.01627493881188E-5)); #144133=CARTESIAN_POINT('Ctrl Pts',(-1.68469608981284,0.00759509880196893, -0.000829435315042605)); #144134=CARTESIAN_POINT('Ctrl Pts',(-1.68637040318657,0.00315188715687159, -0.00236385465236771)); #144135=CARTESIAN_POINT('Ctrl Pts',(-1.6816026327739,0.0177164995704375, 9.83465277719135E-17)); #144136=CARTESIAN_POINT('Ctrl Pts',(-1.68162538170223,0.017667107471981, 1.05836489545129E-16)); #144137=CARTESIAN_POINT('Ctrl Pts',(-1.68164812768022,0.0176177158312789, -4.83708431306038E-8)); #144138=CARTESIAN_POINT('Ctrl Pts',(-1.68389949484378,0.0127302129418706, -9.60032494825422E-6)); #144139=CARTESIAN_POINT('Ctrl Pts',(-1.68615296582782,0.0078247461416129, -0.0004833969649059)); #144140=CARTESIAN_POINT('Ctrl Pts',(-1.68837549162691,0.00321860942129067, -0.00145778579384521)); #144141=CARTESIAN_POINT('',(-1.68200170456162,0.00227334086840755,-0.00218667869076805)); #144142=CARTESIAN_POINT('',(-1.68837549162691,0.00321860942129171,-0.00145778579384494)); #144143=CARTESIAN_POINT('Origin',(-1.68463557652292,-0.000392984613361061, 0.00708442841230923)); #144144=CARTESIAN_POINT('Ctrl Pts',(-1.68837549162691,0.00321860942129067, -0.00145778579384521)); #144145=CARTESIAN_POINT('Ctrl Pts',(-1.68615296582782,0.0078247461416129, -0.0004833969649059)); #144146=CARTESIAN_POINT('Ctrl Pts',(-1.68389949484378,0.0127302129418706, -9.60032494825422E-6)); #144147=CARTESIAN_POINT('Ctrl Pts',(-1.68164812768022,0.0176177158312789, -4.83708431306038E-8)); #144148=CARTESIAN_POINT('Ctrl Pts',(-1.68162538170223,0.017667107471981, 1.05836489545129E-16)); #144149=CARTESIAN_POINT('Ctrl Pts',(-1.6816026327739,0.0177164995704375, 9.83465277719135E-17)); #144150=CARTESIAN_POINT('Ctrl Pts',(-1.68160263277389,0.0177164995704367, 9.83465277719135E-17)); #144151=CARTESIAN_POINT('Ctrl Pts',(-1.6816035140559,0.0176636291686446, 9.83507141601377E-17)); #144152=CARTESIAN_POINT('Ctrl Pts',(-1.68160439186362,0.0176107583188062, -7.25562647854182E-8)); #144153=CARTESIAN_POINT('Ctrl Pts',(-1.68169204162576,0.0123793293122618, -1.44004874234338E-5)); #144154=CARTESIAN_POINT('Ctrl Pts',(-1.68177412713272,0.00713061919743472, -0.000725095447358811)); #144155=CARTESIAN_POINT('Ctrl Pts',(-1.68200170456162,0.00227334086840752, -0.00218667869076807)); #144156=CARTESIAN_POINT('Ctrl Pts',(-1.682001704545,0.00227334122299603, -0.00218667858407048)); #144157=CARTESIAN_POINT('Ctrl Pts',(-1.68202269957406,0.00182523521108375, -0.00232151627371249)); #144158=CARTESIAN_POINT('Ctrl Pts',(-1.68197540157393,0.00133238112060599, -0.00245688267795081)); #144159=CARTESIAN_POINT('Ctrl Pts',(-1.68191057709642,0.000816946780682617, -0.00259978827027509)); #144160=CARTESIAN_POINT('Ctrl Pts',(-1.68189827644239,0.000723068911412295, -0.00262578451645458)); #144161=CARTESIAN_POINT('Ctrl Pts',(-1.68188240096074,0.000605707734153045, -0.00265833064032526)); #144162=CARTESIAN_POINT('Ctrl Pts',(-1.68186578940178,0.00048823077170963, -0.00269096149330218)); #144163=CARTESIAN_POINT('Ctrl Pts',(-1.6818521862035,0.000394241131078867, -0.00271713189073575)); #144164=CARTESIAN_POINT('Ctrl Pts',(-1.68184527516962,0.000347236969588258, -0.0027302402836874)); #144165=CARTESIAN_POINT('Ctrl Pts',(-1.68184179815602,0.000323735816976879, -0.00273680081562898)); #144166=CARTESIAN_POINT('Ctrl Pts',(-1.68409024299113,0.002813726797969, -0.00262460890560482)); #144167=CARTESIAN_POINT('Ctrl Pts',(-1.68435658605906,0.00222924521359766, -0.00288572004123092)); #144168=CARTESIAN_POINT('Ctrl Pts',(-1.68453094976729,0.00165613612171189, -0.00312233478815959)); #144169=CARTESIAN_POINT('Ctrl Pts',(-1.684671977555,0.00110247651817372, -0.00337006036748285)); #144170=CARTESIAN_POINT('Ctrl Pts',(-1.68469663673002,0.00100242059335979, -0.00341479900326821)); #144171=CARTESIAN_POINT('Ctrl Pts',(-1.68472608308198,0.000878649587208917, -0.00347029285989429)); #144172=CARTESIAN_POINT('Ctrl Pts',(-1.68475363586282,0.000756394969526874, -0.00352494485909054)); #144173=CARTESIAN_POINT('Ctrl Pts',(-1.68477475231635,0.000659664163007937, -0.00356870994064084)); #144174=CARTESIAN_POINT('Ctrl Pts',(-1.68478497749995,0.000611588000633574, -0.00359042355341187)); #144175=CARTESIAN_POINT('Ctrl Pts',(-1.68479002296605,0.000587647206067808, -0.00360131589593081)); #144176=CARTESIAN_POINT('Ctrl Pts',(-1.6863704032495,0.00315188731479325, -0.00236385458614154)); #144177=CARTESIAN_POINT('Ctrl Pts',(-1.68692627629623,0.00238205629410556, -0.00260521374687334)); #144178=CARTESIAN_POINT('Ctrl Pts',(-1.68737813331495,0.00166897979671229, -0.00277279882738187)); #144179=CARTESIAN_POINT('Ctrl Pts',(-1.68778556867678,0.0010046515666915, -0.00293304236084612)); #144180=CARTESIAN_POINT('Ctrl Pts',(-1.68785826512902,0.000885135748865548, -0.00296147398105613)); #144181=CARTESIAN_POINT('Ctrl Pts',(-1.68794693319311,0.000738180529063358, -0.00299610850509187)); #144182=CARTESIAN_POINT('Ctrl Pts',(-1.6880326247029,0.000594232768090068, -0.0030292430398619)); #144183=CARTESIAN_POINT('Ctrl Pts',(-1.68809968587841,0.000480985750158918, -0.00305546559582526)); #144184=CARTESIAN_POINT('Ctrl Pts',(-1.68813266880352,0.000424930761507846, -0.00306830173033997)); #144185=CARTESIAN_POINT('Ctrl Pts',(-1.68814905183948,0.000397067126018207, -0.00307473031661896)); #144186=CARTESIAN_POINT('Ctrl Pts',(-1.68837549171179,0.00321860950163192, -0.00145778572271367)); #144187=CARTESIAN_POINT('Ctrl Pts',(-1.6891159526543,0.00223922586093996, -0.00154767747393145)); #144188=CARTESIAN_POINT('Ctrl Pts',(-1.68970482886994,0.00136224465288491, -0.00150530917206533)); #144189=CARTESIAN_POINT('Ctrl Pts',(-1.69020636105665,0.00055610568763528, -0.00143528553392529)); #144190=CARTESIAN_POINT('Ctrl Pts',(-1.69029546514101,0.000411366573868842, -0.00142163074939345)); #144191=CARTESIAN_POINT('Ctrl Pts',(-1.69040326073038,0.000233907961266121, -0.00140373644531943)); #144192=CARTESIAN_POINT('Ctrl Pts',(-1.69050645789345,6.080068868229E-5, -0.00138462471885003)); #144193=CARTESIAN_POINT('Ctrl Pts',(-1.69058643763728,-7.51708786924614E-5, -0.00136885902263828)); #144194=CARTESIAN_POINT('Ctrl Pts',(-1.69062558489107,-0.000142341468087069, -0.0013608094945227)); #144195=CARTESIAN_POINT('Ctrl Pts',(-1.69064495494696,-0.00017572589922806, -0.00135675450069715)); #144196=CARTESIAN_POINT('',(-1.68184179815602,0.000323735816976879,-0.00273680081562898)); #144197=CARTESIAN_POINT('',(-1.69064495494696,-0.000175725899226868,-0.00135675450069767)); #144198=CARTESIAN_POINT('Origin',(-1.68477252279291,-0.00264677944244414, 0.00635093224582792)); #144199=CARTESIAN_POINT('Ctrl Pts',(-1.69064495494696,-0.00017572589922806, -0.00135675450069715)); #144200=CARTESIAN_POINT('Ctrl Pts',(-1.69062558489107,-0.000142341468087069, -0.0013608094945227)); #144201=CARTESIAN_POINT('Ctrl Pts',(-1.69058643763728,-7.51708786924614E-5, -0.00136885902263828)); #144202=CARTESIAN_POINT('Ctrl Pts',(-1.69050645789345,6.080068868229E-5, -0.00138462471885003)); #144203=CARTESIAN_POINT('Ctrl Pts',(-1.69040326073038,0.000233907961266121, -0.00140373644531943)); #144204=CARTESIAN_POINT('Ctrl Pts',(-1.69029546514101,0.000411366573868842, -0.00142163074939345)); #144205=CARTESIAN_POINT('Ctrl Pts',(-1.69020636105665,0.00055610568763528, -0.00143528553392529)); #144206=CARTESIAN_POINT('Ctrl Pts',(-1.68970482886994,0.00136224465288491, -0.00150530917206533)); #144207=CARTESIAN_POINT('Ctrl Pts',(-1.6891159526543,0.00223922586093996, -0.00154767747393145)); #144208=CARTESIAN_POINT('Ctrl Pts',(-1.68837549171179,0.00321860950163192, -0.00145778572271367)); #144209=CARTESIAN_POINT('Ctrl Pts',(-1.68200170456162,0.00227334086840776, -0.00218667869076814)); #144210=CARTESIAN_POINT('Ctrl Pts',(-1.6820226995503,0.00182523496346622, -0.00232151634172267)); #144211=CARTESIAN_POINT('Ctrl Pts',(-1.68197540155839,0.00133238099701039, -0.00245688271221803)); #144212=CARTESIAN_POINT('Ctrl Pts',(-1.68191057709642,0.000816946780682617, -0.00259978827027509)); #144213=CARTESIAN_POINT('Ctrl Pts',(-1.68189827644239,0.000723068911412295, -0.00262578451645458)); #144214=CARTESIAN_POINT('Ctrl Pts',(-1.68188240096074,0.000605707734153045, -0.00265833064032526)); #144215=CARTESIAN_POINT('Ctrl Pts',(-1.68186578940178,0.00048823077170963, -0.00269096149330218)); #144216=CARTESIAN_POINT('Ctrl Pts',(-1.6818521862035,0.000394241131078867, -0.00271713189073575)); #144217=CARTESIAN_POINT('Ctrl Pts',(-1.68184527516962,0.000347236969588258, -0.0027302402836874)); #144218=CARTESIAN_POINT('Ctrl Pts',(-1.68184179815602,0.000323735816976879, -0.00273680081562898)); #144219=CARTESIAN_POINT('Ctrl Pts',(-1.68305524048591,-0.0125006273819021, -0.0101725830155563)); #144220=CARTESIAN_POINT('Ctrl Pts',(-1.6847580639434,-0.0143685140754413, -0.0130407491266585)); #144221=CARTESIAN_POINT('Ctrl Pts',(-1.68606802518215,-0.0158438039351435, -0.0163967686730902)); #144222=CARTESIAN_POINT('Ctrl Pts',(-1.68689117532981,-0.0168207876798351, -0.0199999999999999)); #144223=CARTESIAN_POINT('Ctrl Pts',(-1.68493924846124,-0.0106976920630583, -0.010207689687208)); #144224=CARTESIAN_POINT('Ctrl Pts',(-1.6866413450804,-0.0125594677425909, -0.013079424962596)); #144225=CARTESIAN_POINT('Ctrl Pts',(-1.68795246465115,-0.0140303652137225, -0.0164439800793185)); #144226=CARTESIAN_POINT('Ctrl Pts',(-1.6887735997127,-0.0149996013854016, -0.0200465707593724)); #144227=CARTESIAN_POINT('Ctrl Pts',(-1.68982053435709,-0.0082639873999568, -0.00885378575028911)); #144228=CARTESIAN_POINT('Ctrl Pts',(-1.69165547305047,-0.0102511365278252, -0.0119403439984588)); #144229=CARTESIAN_POINT('Ctrl Pts',(-1.69306635403421,-0.0118127033880583, -0.0155461493362595)); #144230=CARTESIAN_POINT('Ctrl Pts',(-1.69395469300002,-0.0128397669120673, -0.0194143673297405)); #144231=CARTESIAN_POINT('Ctrl Pts',(-1.69411890871561,-0.00952819644006477, -0.00546134125825026)); #144232=CARTESIAN_POINT('Ctrl Pts',(-1.69625928797813,-0.0118343324175013, -0.00903841418992202)); #144233=CARTESIAN_POINT('Ctrl Pts',(-1.69791081061422,-0.0136500642396697, -0.0132238478982799)); #144234=CARTESIAN_POINT('Ctrl Pts',(-1.69895489434434,-0.0148438966045672, -0.0177144424865453)); #144235=CARTESIAN_POINT('Ctrl Pts',(-1.69550008725881,-0.0109151445397895, -0.00373796808511468)); #144236=CARTESIAN_POINT('Ctrl Pts',(-1.69779201314902,-0.0133845966035079, -0.00756218956658419)); #144237=CARTESIAN_POINT('Ctrl Pts',(-1.6995614241612,-0.015329313422284, -0.0120368822951598)); #144238=CARTESIAN_POINT('Ctrl Pts',(-1.70068148361909,-0.01660988945839, -0.0168411907310394)); #144239=CARTESIAN_POINT('',(-1.68689117532981,-0.0168207876798343,-0.0199999999999999)); #144240=CARTESIAN_POINT('',(-1.70068148361909,-0.0166098894583901,-0.0168411907310394)); #144241=CARTESIAN_POINT('Origin',(-1.69348918120507,-0.0235554151646353, -0.0166666666666666)); #144242=CARTESIAN_POINT('',(-1.69550008725187,-0.0109151445328231,-0.00373796809377108)); #144243=CARTESIAN_POINT('Ctrl Pts',(-1.70068148361909,-0.01660988945839, -0.0168411907310394)); #144244=CARTESIAN_POINT('Ctrl Pts',(-1.6995614241612,-0.015329313422284, -0.0120368822951598)); #144245=CARTESIAN_POINT('Ctrl Pts',(-1.69779201314902,-0.0133845966035079, -0.00756218956658419)); #144246=CARTESIAN_POINT('Ctrl Pts',(-1.69550008725881,-0.0109151445397895, -0.00373796808511468)); #144247=CARTESIAN_POINT('',(-1.68305524048591,-0.0125006273819021,-0.0101725830155563)); #144248=CARTESIAN_POINT('Origin',(-1.68830778484478,-0.0178606702460348, -0.00356344402074179)); #144249=CARTESIAN_POINT('Ctrl Pts',(-1.68305524048591,-0.0125006273819021, -0.0101725830155563)); #144250=CARTESIAN_POINT('Ctrl Pts',(-1.6847580639434,-0.0143685140754413, -0.0130407491266585)); #144251=CARTESIAN_POINT('Ctrl Pts',(-1.68606802518215,-0.0158438039351435, -0.0163967686730902)); #144252=CARTESIAN_POINT('Ctrl Pts',(-1.68689117532981,-0.0168207876798351, -0.0199999999999999)); #144253=CARTESIAN_POINT('Ctrl Pts',(-1.71361415837758,-0.0303371002474205, -0.0301745240643728)); #144254=CARTESIAN_POINT('Ctrl Pts',(-1.71076359431627,-0.0273852547505373, -0.0301745240643728)); #144255=CARTESIAN_POINT('Ctrl Pts',(-1.70510750036924,-0.0214624480055577, -0.027557874304242)); #144256=CARTESIAN_POINT('Ctrl Pts',(-1.70158329611046,-0.0176409413881457, -0.0207093651652711)); #144257=CARTESIAN_POINT('Ctrl Pts',(-1.70068148361909,-0.0166098894583901, -0.0168411907310395)); #144258=CARTESIAN_POINT('Ctrl Pts',(-1.71358165671837,-0.0303684867348843, -0.0327630348187179)); #144259=CARTESIAN_POINT('Ctrl Pts',(-1.71036151361472,-0.0270338825422552, -0.0327654687403001)); #144260=CARTESIAN_POINT('Ctrl Pts',(-1.70397061945331,-0.0203422248370279, -0.0298201602154248)); #144261=CARTESIAN_POINT('Ctrl Pts',(-1.69997914577832,-0.0160147361479975, -0.0220877334453478)); #144262=CARTESIAN_POINT('Ctrl Pts',(-1.69895489434434,-0.0148438966045673, -0.0177144424865453)); #144263=CARTESIAN_POINT('Ctrl Pts',(-1.71199119801574,-0.0319043748508008, -0.0378786182046858)); #144264=CARTESIAN_POINT('Ctrl Pts',(-1.70803464215011,-0.0278134306098157, -0.0378856421330321)); #144265=CARTESIAN_POINT('Ctrl Pts',(-1.70016998476289,-0.0196002335458666, -0.0342819414694066)); #144266=CARTESIAN_POINT('Ctrl Pts',(-1.6952301174993,-0.0142792848560407, -0.0247897349100323)); #144267=CARTESIAN_POINT('Ctrl Pts',(-1.69395468757538,-0.0128398917822125, -0.0194143353106062)); #144268=CARTESIAN_POINT('Ctrl Pts',(-1.70828415841044,-0.0354842213854101, -0.0399999999999998)); #144269=CARTESIAN_POINT('Ctrl Pts',(-1.70400330640636,-0.0310686468240566, -0.0400038294763155)); #144270=CARTESIAN_POINT('Ctrl Pts',(-1.69549811080262,-0.0222251675202638, -0.0361033049630437)); #144271=CARTESIAN_POINT('Ctrl Pts',(-1.6901574662751,-0.016531636585861, -0.0258497806013992)); #144272=CARTESIAN_POINT('Ctrl Pts',(-1.6887735997127,-0.0149996013854017, -0.0200465707593725)); #144273=CARTESIAN_POINT('Ctrl Pts',(-1.70642185596355,-0.0372826259536655, -0.0399999999999998)); #144274=CARTESIAN_POINT('Ctrl Pts',(-1.70213566803173,-0.0328649866858763, -0.0399999999999998)); #144275=CARTESIAN_POINT('Ctrl Pts',(-1.69361443936524,-0.0240171015042055, -0.0360750253598037)); #144276=CARTESIAN_POINT('Ctrl Pts',(-1.68827174512006,-0.0183400795199565, -0.0258022616513473)); #144277=CARTESIAN_POINT('Ctrl Pts',(-1.68689117532981,-0.0168207876798352, -0.02)); #144278=CARTESIAN_POINT('Ctrl Pts',(-1.68689117532981,-0.0168207876798352, -0.02)); #144279=CARTESIAN_POINT('Ctrl Pts',(-1.68827174512006,-0.0183400795199565, -0.0258022616513473)); #144280=CARTESIAN_POINT('Ctrl Pts',(-1.69361443936524,-0.0240171015042055, -0.0360750253598037)); #144281=CARTESIAN_POINT('Ctrl Pts',(-1.70213566803173,-0.0328649866858763, -0.0399999999999998)); #144282=CARTESIAN_POINT('Ctrl Pts',(-1.70642185596355,-0.0372826259536655, -0.0399999999999998)); #144283=CARTESIAN_POINT('',(-1.71361415837758,-0.0303371002474205,-0.0301745240643728)); #144284=CARTESIAN_POINT('Origin',(-1.70642185596355,-0.0372826259536656, -0.03)); #144285=CARTESIAN_POINT('Ctrl Pts',(-1.71361415837758,-0.0303371002474205, -0.0301745240643728)); #144286=CARTESIAN_POINT('Ctrl Pts',(-1.71076359431627,-0.0273852547505373, -0.0301745240643728)); #144287=CARTESIAN_POINT('Ctrl Pts',(-1.70510750036924,-0.0214624480055577, -0.027557874304242)); #144288=CARTESIAN_POINT('Ctrl Pts',(-1.70158329611046,-0.0176409413881457, -0.0207093651652711)); #144289=CARTESIAN_POINT('Ctrl Pts',(-1.70068148361909,-0.0166098894583901, -0.0168411907310395)); #144290=CARTESIAN_POINT('Origin',(-1.49212239370229,0.184630963446886,-0.03)); #144291=CARTESIAN_POINT('',(-1.89161762448639,-0.214665085362888,-0.0301745240643729)); #144292=CARTESIAN_POINT('Origin',(-1.88442532207236,-0.221610611069133, -0.03)); #144293=CARTESIAN_POINT('',(-1.49931469611632,0.191576489153132,-0.0301745240643729)); #144294=CARTESIAN_POINT('Ctrl Pts',(-1.88442532207236,-0.221610611069133, -0.04)); #144295=CARTESIAN_POINT('Ctrl Pts',(-1.88829956534744,-0.225622507423478, -0.04)); #144296=CARTESIAN_POINT('Ctrl Pts',(-1.8995702060004,-0.230150173498461, -0.04)); #144297=CARTESIAN_POINT('Ctrl Pts',(-1.91050795451721,-0.224870052028381, -0.04)); #144298=CARTESIAN_POINT('Ctrl Pts',(-1.9141017659477,-0.220605126153924, -0.04)); #144299=CARTESIAN_POINT('Ctrl Pts',(-1.88628762451925,-0.219812206500878, -0.04)); #144300=CARTESIAN_POINT('Ctrl Pts',(-1.8896531786627,-0.223310385503722, -0.0399997165807129)); #144301=CARTESIAN_POINT('Ctrl Pts',(-1.89947248386928,-0.227267063256372, -0.0399993913913486)); #144302=CARTESIAN_POINT('Ctrl Pts',(-1.90900094835879,-0.222654852407675, -0.0399997165815665)); #144303=CARTESIAN_POINT('Ctrl Pts',(-1.91212200844893,-0.218936896661055, -0.04)); #144304=CARTESIAN_POINT('Ctrl Pts',(-1.8899942475848,-0.216232762214037, -0.0378780289505702)); #144305=CARTESIAN_POINT('Ctrl Pts',(-1.89235099264002,-0.218711302392403, -0.0378935844439083)); #144306=CARTESIAN_POINT('Ctrl Pts',(-1.89927817881467,-0.22153444894346, -0.0379118581403082)); #144307=CARTESIAN_POINT('Ctrl Pts',(-1.90599803012657,-0.218248919095226, -0.037893584397057)); #144308=CARTESIAN_POINT('Ctrl Pts',(-1.90818160939002,-0.215616545593898, -0.0378780289505702)); #144309=CARTESIAN_POINT('Ctrl Pts',(-1.89158512282718,-0.214696471850352, -0.0327630348187179)); #144310=CARTESIAN_POINT('Ctrl Pts',(-1.89351704551649,-0.216718696706296, -0.0328077424436721)); #144311=CARTESIAN_POINT('Ctrl Pts',(-1.89919277319702,-0.219014726008674, -0.0328605279840579)); #144312=CARTESIAN_POINT('Ctrl Pts',(-1.90469978092883,-0.216339807888429, -0.0328077423090181)); #144313=CARTESIAN_POINT('Ctrl Pts',(-1.90649039795657,-0.214191457524423, -0.0327630348187179)); #144314=CARTESIAN_POINT('Ctrl Pts',(-1.89161762448639,-0.214665085362888, -0.0301745240643728)); #144315=CARTESIAN_POINT('Ctrl Pts',(-1.89355479368959,-0.216672437419432, -0.030228433957601)); #144316=CARTESIAN_POINT('Ctrl Pts',(-1.89919017037826,-0.218937934006,-0.0302923153998878)); #144317=CARTESIAN_POINT('Ctrl Pts',(-1.90465898827433,-0.216296209717655, -0.030228433795231)); #144318=CARTESIAN_POINT('Ctrl Pts',(-1.90645584642406,-0.214162342905283, -0.0301745240643728)); #144319=CARTESIAN_POINT('',(-1.90645584642406,-0.214162342905283,-0.0301745240643732)); #144320=CARTESIAN_POINT('Origin',(-1.9141017659477,-0.220605126153924,-0.03)); #144321=CARTESIAN_POINT('Ctrl Pts',(-1.90645584642406,-0.214162342905283, -0.0301745240643728)); #144322=CARTESIAN_POINT('Ctrl Pts',(-1.90465898827433,-0.216296209717655, -0.030228433795231)); #144323=CARTESIAN_POINT('Ctrl Pts',(-1.89919017037826,-0.218937934006,-0.0302923153998878)); #144324=CARTESIAN_POINT('Ctrl Pts',(-1.89355479368959,-0.216672437419432, -0.030228433957601)); #144325=CARTESIAN_POINT('Ctrl Pts',(-1.89161762448639,-0.214665085362888, -0.0301745240643728)); #144326=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #144327=CARTESIAN_POINT('',(-2.28233536749554,0.389184640823298,-0.0301745240643728)); #144328=CARTESIAN_POINT('Origin',(-2.29148876728634,0.385161605449267,-0.03)); #144329=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.0301745240643728)); #144330=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.03)); #144331=CARTESIAN_POINT('',(-2.3576304314822,0.773348055669669,-0.0301745240643729)); #144332=CARTESIAN_POINT('Origin',(-2.36762526139056,0.773618086138207,-0.03)); #144333=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.0301745240643728)); #144334=CARTESIAN_POINT('Ctrl Pts',(-2.36762526139056,0.773618086138207, -0.04)); #144335=CARTESIAN_POINT('Ctrl Pts',(-2.3674831661888,0.778877556967871, -0.04)); #144336=CARTESIAN_POINT('Ctrl Pts',(-2.36281487732928,0.789227912582941, -0.04)); #144337=CARTESIAN_POINT('Ctrl Pts',(-2.35219590282404,0.793246417362275, -0.04)); #144338=CARTESIAN_POINT('Ctrl Pts',(-2.34693771794704,0.793062797500241, -0.04)); #144339=CARTESIAN_POINT('Ctrl Pts',(-2.36503730066212,0.773548167164651, -0.04)); #144340=CARTESIAN_POINT('Ctrl Pts',(-2.36492139722122,0.778126083692346, -0.0399997568286088)); #144341=CARTESIAN_POINT('Ctrl Pts',(-2.36086161579676,0.78714975327839, -0.0399994810608623)); #144342=CARTESIAN_POINT('Ctrl Pts',(-2.35160438535148,0.79064305816231, -0.0399997568269086)); #144343=CARTESIAN_POINT('Ctrl Pts',(-2.34702806943054,0.790475469534127, -0.0400000000000001)); #144344=CARTESIAN_POINT('Ctrl Pts',(-2.3598873330528,0.773409030413025, -0.0378770463132184)); #144345=CARTESIAN_POINT('Ctrl Pts',(-2.35982287645253,0.776634723648085, -0.03789039279713)); #144346=CARTESIAN_POINT('Ctrl Pts',(-2.35697801535812,0.78301782323477, -0.0379058023849669)); #144347=CARTESIAN_POINT('Ctrl Pts',(-2.35043136671158,0.785462025929057, -0.0378903928904478)); #144348=CARTESIAN_POINT('Ctrl Pts',(-2.34720786629082,0.785326761103686, -0.0378770463132184)); #144349=CARTESIAN_POINT('Ctrl Pts',(-2.35767559762468,0.773349275924013, -0.0327630348187179)); #144350=CARTESIAN_POINT('Ctrl Pts',(-2.35761725341029,0.775986826106749, -0.0328013935891027)); #144351=CARTESIAN_POINT('Ctrl Pts',(-2.35527591888165,0.78120688879474, -0.0328458741822116)); #144352=CARTESIAN_POINT('Ctrl Pts',(-2.34992118909005,0.783220539073134, -0.0328013938573045)); #144353=CARTESIAN_POINT('Ctrl Pts',(-2.34728508290963,0.783115566449937, -0.032763034818718)); #144354=CARTESIAN_POINT('Ctrl Pts',(-2.3576304314822,0.773348055669669, -0.0301745240643729)); #144355=CARTESIAN_POINT('Ctrl Pts',(-2.35756016897994,0.77597862636364, -0.030220778304403)); #144356=CARTESIAN_POINT('Ctrl Pts',(-2.35522693778463,0.781154775779911, -0.0302745819103907)); #144357=CARTESIAN_POINT('Ctrl Pts',(-2.34991653730305,0.783163056566682, -0.0302207786278094)); #144358=CARTESIAN_POINT('Ctrl Pts',(-2.34728665976044,0.783070411350686, -0.0301745240643729)); #144359=CARTESIAN_POINT('',(-2.34728665976044,0.783070411350686,-0.0301745240643741)); #144360=CARTESIAN_POINT('Origin',(-2.34693771794704,0.79306279750024,-0.03)); #144361=CARTESIAN_POINT('Ctrl Pts',(-2.34728665976044,0.783070411350686, -0.0301745240643729)); #144362=CARTESIAN_POINT('Ctrl Pts',(-2.34991653730305,0.783163056566682, -0.0302207786278094)); #144363=CARTESIAN_POINT('Ctrl Pts',(-2.35522693778463,0.781154775779911, -0.0302745819103907)); #144364=CARTESIAN_POINT('Ctrl Pts',(-2.35756016897994,0.77597862636364, -0.030220778304403)); #144365=CARTESIAN_POINT('Ctrl Pts',(-2.3576304314822,0.773348055669669, -0.0301745240643729)); #144366=CARTESIAN_POINT('Origin',(-1.15305354483222,0.751371443491055,-0.03)); #144367=CARTESIAN_POINT('',(-2.03906674440782,0.772307134733891,-0.0301745240643729)); #144368=CARTESIAN_POINT('Origin',(-2.03871780259442,0.782299520883447,-0.03)); #144369=CARTESIAN_POINT('',(-1.15340248664562,0.741379057341499,-0.0301745240643729)); #144370=CARTESIAN_POINT('Ctrl Pts',(-2.01316354635225,0.771052850409626, -0.0101510137275284)); #144371=CARTESIAN_POINT('Ctrl Pts',(-2.01311734727186,0.771096476560813, -0.0127412017419697)); #144372=CARTESIAN_POINT('Ctrl Pts',(-2.01444471176183,0.771233249101401, -0.0179182016662045)); #144373=CARTESIAN_POINT('Ctrl Pts',(-2.02248474779516,0.771677424996709, -0.0272743458565741)); #144374=CARTESIAN_POINT('Ctrl Pts',(-2.03216170720211,0.772066005521299, -0.0301745240643794)); #144375=CARTESIAN_POINT('Ctrl Pts',(-2.03906674440762,0.772307134733884, -0.0301745240643728)); #144376=CARTESIAN_POINT('Ctrl Pts',(-2.01176500461395,0.771003591070743, -0.0101268987418664)); #144377=CARTESIAN_POINT('Ctrl Pts',(-2.01172011069332,0.771051541372203, -0.0129605281287298)); #144378=CARTESIAN_POINT('Ctrl Pts',(-2.01309679156555,0.771202498515648, -0.0186255440802684)); #144379=CARTESIAN_POINT('Ctrl Pts',(-2.02145263302944,0.771670363527776, -0.0289819701308956)); #144380=CARTESIAN_POINT('Ctrl Pts',(-2.03161584849949,0.772096073468485, -0.0324794263968666)); #144381=CARTESIAN_POINT('Ctrl Pts',(-2.03906516755681,0.772352289833136, -0.0327630348187192)); #144382=CARTESIAN_POINT('Ctrl Pts',(-2.0089481880519,0.771501837273125, -0.0100682655854705)); #144383=CARTESIAN_POINT('Ctrl Pts',(-2.00890604763608,0.771553450146022, -0.0133885565323475)); #144384=CARTESIAN_POINT('Ctrl Pts',(-2.01038034221706,0.771782999283224, -0.0200317442119385)); #144385=CARTESIAN_POINT('Ctrl Pts',(-2.01935425983667,0.772729583025935, -0.0323754929396684)); #144386=CARTESIAN_POINT('Ctrl Pts',(-2.03047667314146,0.773764720359809, -0.0370588527213807)); #144387=CARTESIAN_POINT('Ctrl Pts',(-2.03898795976149,0.774563231815564, -0.0378773035901554)); #144388=CARTESIAN_POINT('Ctrl Pts',(-2.00657846584648,0.773103637626524, -0.00999901988976876)); #144389=CARTESIAN_POINT('Ctrl Pts',(-2.00653508635189,0.773149292339923, -0.0137219158984214)); #144390=CARTESIAN_POINT('Ctrl Pts',(-2.00814081145397,0.773566550024399, -0.0211614392561511)); #144391=CARTESIAN_POINT('Ctrl Pts',(-2.01786321116161,0.775629429139619, -0.0348282677681334)); #144392=CARTESIAN_POINT('Ctrl Pts',(-2.0298592615296,0.777992235126443, -0.0395537770229154)); #144393=CARTESIAN_POINT('Ctrl Pts',(-2.03880815407773,0.779712192917332, -0.0400000000000019)); #144394=CARTESIAN_POINT('Ctrl Pts',(-2.00560839202752,0.774111957172221, -0.00996473449473333)); #144395=CARTESIAN_POINT('Ctrl Pts',(-2.00556259401499,0.774152970673983, -0.0138500165163953)); #144396=CARTESIAN_POINT('Ctrl Pts',(-2.00724819489773,0.77467479097857, -0.0216155164027475)); #144397=CARTESIAN_POINT('Ctrl Pts',(-2.01740198764518,0.777345723629555, -0.0356497326883018)); #144398=CARTESIAN_POINT('Ctrl Pts',(-2.02977337672915,0.780299417183502, -0.0400000000000098)); #144399=CARTESIAN_POINT('Ctrl Pts',(-2.03871780259423,0.782299520883447, -0.04)); #144400=CARTESIAN_POINT('',(-2.01316354635225,0.771052850409626,-0.0101510137275284)); #144401=CARTESIAN_POINT('Origin',(-2.01281460453885,0.78104523655918,-0.00997648966315556)); #144402=CARTESIAN_POINT('Ctrl Pts',(-2.01316354635225,0.771052850409626, -0.0101510137275284)); #144403=CARTESIAN_POINT('Ctrl Pts',(-2.01311734727186,0.771096476560813, -0.0127412017419697)); #144404=CARTESIAN_POINT('Ctrl Pts',(-2.01444471176183,0.771233249101401, -0.0179182016662045)); #144405=CARTESIAN_POINT('Ctrl Pts',(-2.02248474779516,0.771677424996709, -0.0272743458565741)); #144406=CARTESIAN_POINT('Ctrl Pts',(-2.03216170720211,0.772066005521299, -0.0301745240643794)); #144407=CARTESIAN_POINT('Ctrl Pts',(-2.03906674440762,0.772307134733884, -0.0301745240643728)); #144408=CARTESIAN_POINT('Ctrl Pts',(-2.01306499338633,0.771014530968919, -0.0081540776649706)); #144409=CARTESIAN_POINT('Ctrl Pts',(-2.01314241540981,0.771028804173581, -0.00881649400116047)); #144410=CARTESIAN_POINT('Ctrl Pts',(-2.01317544090546,0.771041618290453, -0.00948413618581219)); #144411=CARTESIAN_POINT('Ctrl Pts',(-2.01316354635225,0.771052850409626, -0.0101510137275284)); #144412=CARTESIAN_POINT('Ctrl Pts',(-2.01028012154787,0.770923000473547, -0.00848154067352751)); #144413=CARTESIAN_POINT('Ctrl Pts',(-2.0103497467058,0.770934944136979, -0.00901882509326705)); #144414=CARTESIAN_POINT('Ctrl Pts',(-2.01037867485989,0.770945351946577, -0.00956147758530823)); #144415=CARTESIAN_POINT('Ctrl Pts',(-2.01036646287565,0.77095433173186, -0.0101027837562044)); #144416=CARTESIAN_POINT('Ctrl Pts',(-2.00747430593044,0.772076794251559, -0.00878461832563238)); #144417=CARTESIAN_POINT('Ctrl Pts',(-2.00753618594109,0.772080025128348, -0.0091980698834546)); #144418=CARTESIAN_POINT('Ctrl Pts',(-2.00756105841763,0.772086161309584, -0.00961645846231466)); #144419=CARTESIAN_POINT('Ctrl Pts',(-2.00754853966543,0.772095318080826, -0.0100333052848042)); #144420=CARTESIAN_POINT('Ctrl Pts',(-2.00554595660069,0.7741064725085,-0.00896626646345436)); #144421=CARTESIAN_POINT('Ctrl Pts',(-2.00559869587182,0.774099032665716, -0.00929747463154931)); #144422=CARTESIAN_POINT('Ctrl Pts',(-2.00561961840624,0.774100854195175, -0.00963129572387522)); #144423=CARTESIAN_POINT('Ctrl Pts',(-2.00560839202752,0.774111957172221, -0.00996473449473333)); #144424=CARTESIAN_POINT('',(-2.01306499338633,0.771014530968919,-0.00815407766497064)); #144425=CARTESIAN_POINT('Origin',(-2.01271605157293,0.781006917118474,-0.00797955360059777)); #144426=CARTESIAN_POINT('Ctrl Pts',(-2.01306499338633,0.771014530968919, -0.0081540776649706)); #144427=CARTESIAN_POINT('Ctrl Pts',(-2.01314241540981,0.771028804173581, -0.00881649400116047)); #144428=CARTESIAN_POINT('Ctrl Pts',(-2.01317544090546,0.771041618290453, -0.00948413618581219)); #144429=CARTESIAN_POINT('Ctrl Pts',(-2.01316354635225,0.771052850409626, -0.0101510137275284)); #144430=CARTESIAN_POINT('Ctrl Pts',(-2.00554595660069,0.7741064725085,-0.00896626646345446)); #144431=CARTESIAN_POINT('Ctrl Pts',(-2.00520450059434,0.774154641149255, -0.00682188684043165)); #144432=CARTESIAN_POINT('Ctrl Pts',(-2.00447856400508,0.772818159909101, -0.00368252360831189)); #144433=CARTESIAN_POINT('Ctrl Pts',(-2.00378814627162,0.767530806841849, -0.000471193145480481)); #144434=CARTESIAN_POINT('Ctrl Pts',(-2.00384826175943,0.76322272699402, 0.000141220923823119)); #144435=CARTESIAN_POINT('Ctrl Pts',(-2.00391601598788,0.76034233044763, 2.74096948889878E-5)); #144436=CARTESIAN_POINT('Ctrl Pts',(-2.00391654930941,0.7603193246821,2.64492786402159E-5)); #144437=CARTESIAN_POINT('Ctrl Pts',(-2.00391708692656,0.760296327327759, 2.54624586558055E-5)); #144438=CARTESIAN_POINT('Ctrl Pts',(-2.00747430593044,0.772076794251559, -0.00878461832563246)); #144439=CARTESIAN_POINT('Ctrl Pts',(-2.00725753554259,0.771971047491144, -0.0068770012115239)); #144440=CARTESIAN_POINT('Ctrl Pts',(-2.00680171621506,0.770848598621556, -0.00430108635586727)); #144441=CARTESIAN_POINT('Ctrl Pts',(-2.00574912732748,0.766844462441384, -0.000888891349592087)); #144442=CARTESIAN_POINT('Ctrl Pts',(-2.00464424311896,0.762972006900581, 0.00016807956711452)); #144443=CARTESIAN_POINT('Ctrl Pts',(-2.00392845246558,0.760338264613559, 2.78076514690036E-5)); #144444=CARTESIAN_POINT('Ctrl Pts',(-2.00392277486645,0.760317307059816, 2.66644367937964E-5)); #144445=CARTESIAN_POINT('Ctrl Pts',(-2.00391708692657,0.760296327327753, 2.54624586564036E-5)); #144446=CARTESIAN_POINT('Ctrl Pts',(-2.01028012154787,0.770923000473547, -0.00848154067352753)); #144447=CARTESIAN_POINT('Ctrl Pts',(-2.01028518919014,0.770770153705053, -0.00652178632509237)); #144448=CARTESIAN_POINT('Ctrl Pts',(-2.00994504353755,0.7697068280946,-0.0038809405523567)); #144449=CARTESIAN_POINT('Ctrl Pts',(-2.00777519164093,0.766203966290485, -0.000674214174874718)); #144450=CARTESIAN_POINT('Ctrl Pts',(-2.005435278106,0.762716185197394,0.00019557756949449)); #144451=CARTESIAN_POINT('Ctrl Pts',(-2.00394091404834,0.760334224897098, 2.82481768310249E-5)); #144452=CARTESIAN_POINT('Ctrl Pts',(-2.00392900042348,0.760315289437533, 2.68795949474132E-5)); #144453=CARTESIAN_POINT('Ctrl Pts',(-2.00391708692659,0.760296327327748, 2.54624586570023E-5)); #144454=CARTESIAN_POINT('Ctrl Pts',(-2.01306499338633,0.771014530968919, -0.00815407766497059)); #144455=CARTESIAN_POINT('Ctrl Pts',(-2.01321206635401,0.770979974245954, -0.00588147400196401)); #144456=CARTESIAN_POINT('Ctrl Pts',(-2.01273347912175,0.769810501374842, -0.00257666215341588)); #144457=CARTESIAN_POINT('Ctrl Pts',(-2.00963597235036,0.765672305061848, 0.000157529269208088)); #144458=CARTESIAN_POINT('Ctrl Pts',(-2.00622944100403,0.762452751678205, 0.000224739796736)); #144459=CARTESIAN_POINT('Ctrl Pts',(-2.00395335585481,0.760330225224121, 2.87255825153166E-5)); #144460=CARTESIAN_POINT('Ctrl Pts',(-2.00393522598052,0.760313271815249, 2.70947531010651E-5)); #144461=CARTESIAN_POINT('Ctrl Pts',(-2.00391708692661,0.760296327327742, 2.54624586576004E-5)); #144462=CARTESIAN_POINT('Ctrl Pts',(-2.00391708696127,0.760296327360124, 2.54624617770103E-5)); #144463=CARTESIAN_POINT('Ctrl Pts',(-2.00393522600361,0.760313271836849, 2.70947551788052E-5)); #144464=CARTESIAN_POINT('Ctrl Pts',(-2.00395335586638,0.760330225234911, 2.87255835117204E-5)); #144465=CARTESIAN_POINT('Ctrl Pts',(-2.00622944100403,0.762452751678205, 0.000224739796736)); #144466=CARTESIAN_POINT('Ctrl Pts',(-2.00963597235036,0.765672305061848, 0.000157529269208088)); #144467=CARTESIAN_POINT('Ctrl Pts',(-2.01273347912175,0.769810501374842, -0.00257666215341588)); #144468=CARTESIAN_POINT('Ctrl Pts',(-2.01321206635401,0.770979974245954, -0.00588147400196401)); #144469=CARTESIAN_POINT('Ctrl Pts',(-2.01306499338633,0.771014530968919, -0.00815407766497059)); #144470=CARTESIAN_POINT('Ctrl Pts',(-1.68184179815602,0.000323735816977458, -0.00273680081562892)); #144471=CARTESIAN_POINT('Ctrl Pts',(-1.68167419381474,-0.000809102778479702, -0.00305304163636876)); #144472=CARTESIAN_POINT('Ctrl Pts',(-1.681286788249,-0.0030661605225795, -0.00372985982578915)); #144473=CARTESIAN_POINT('Ctrl Pts',(-1.68093340217797,-0.00806495334324224, -0.00572382449991827)); #144474=CARTESIAN_POINT('Ctrl Pts',(-1.68183980458967,-0.0111673731756995, -0.00812535255713075)); #144475=CARTESIAN_POINT('Ctrl Pts',(-1.68305524048577,-0.0125006273817488, -0.0101725830153209)); #144476=CARTESIAN_POINT('Ctrl Pts',(-1.68331591056103,0.000455691511522895, -0.00316905835577992)); #144477=CARTESIAN_POINT('Ctrl Pts',(-1.68335245544007,-0.000689229286317451, -0.00358523608393062)); #144478=CARTESIAN_POINT('Ctrl Pts',(-1.68325819808642,-0.00281243145392918, -0.00439108059765758)); #144479=CARTESIAN_POINT('Ctrl Pts',(-1.68323117442449,-0.00713422095923396, -0.00646406094888106)); #144480=CARTESIAN_POINT('Ctrl Pts',(-1.68394702574744,-0.00958909589999577, -0.0085142042741999)); #144481=CARTESIAN_POINT('Ctrl Pts',(-1.68493924846105,-0.0106976920628613, -0.0102076896869008)); #144482=CARTESIAN_POINT('Ctrl Pts',(-1.68647557118116,0.000503518445708622, -0.00337247243226348)); #144483=CARTESIAN_POINT('Ctrl Pts',(-1.68699407498289,-0.000742554026284681, -0.00379745869023943)); #144484=CARTESIAN_POINT('Ctrl Pts',(-1.68763873912082,-0.00275526349062704, -0.00452621840513823)); #144485=CARTESIAN_POINT('Ctrl Pts',(-1.68862812552113,-0.00612601575677176, -0.00625386551900845)); #144486=CARTESIAN_POINT('Ctrl Pts',(-1.68918738749764,-0.00751653983711256, -0.00769754298664745)); #144487=CARTESIAN_POINT('Ctrl Pts',(-1.68982054299867,-0.00826393257678333, -0.00885381597190877)); #144488=CARTESIAN_POINT('Ctrl Pts',(-1.68939700339322,0.000110670613395349, -0.0022157424086581)); #144489=CARTESIAN_POINT('Ctrl Pts',(-1.69025754636485,-0.00136701286797953, -0.00226846424863404)); #144490=CARTESIAN_POINT('Ctrl Pts',(-1.69131146236925,-0.00360560065169209, -0.00227245383154681)); #144491=CARTESIAN_POINT('Ctrl Pts',(-1.6927596354345,-0.00722848862832451, -0.00287947816192676)); #144492=CARTESIAN_POINT('Ctrl Pts',(-1.69345833780291,-0.00878273591609782, -0.00422748726427979)); #144493=CARTESIAN_POINT('Ctrl Pts',(-1.69411890871526,-0.00952819643969522, -0.00546134125769225)); #144494=CARTESIAN_POINT('Ctrl Pts',(-1.69064495494696,-0.000175725899227879, -0.00135675450069712)); #144495=CARTESIAN_POINT('Ctrl Pts',(-1.69157866008345,-0.00178497344164775, -0.00116128947028396)); #144496=CARTESIAN_POINT('Ctrl Pts',(-1.69262825186008,-0.00417826312117772, -0.000737102688629745)); #144497=CARTESIAN_POINT('Ctrl Pts',(-1.69393254730367,-0.00817860360078374, -0.000818792514693089)); #144498=CARTESIAN_POINT('Ctrl Pts',(-1.69472269686923,-0.0100744516762976, -0.00231795119322129)); #144499=CARTESIAN_POINT('Ctrl Pts',(-1.69550008725845,-0.010915144539402, -0.00373796808453776)); #144500=CARTESIAN_POINT('Ctrl Pts',(-1.69550008725845,-0.010915144539402, -0.00373796808453776)); #144501=CARTESIAN_POINT('Ctrl Pts',(-1.69472269686923,-0.0100744516762976, -0.00231795119322129)); #144502=CARTESIAN_POINT('Ctrl Pts',(-1.69393254730367,-0.00817860360078374, -0.000818792514693089)); #144503=CARTESIAN_POINT('Ctrl Pts',(-1.69262825186008,-0.00417826312117772, -0.000737102688629745)); #144504=CARTESIAN_POINT('Ctrl Pts',(-1.69157866008345,-0.00178497344164775, -0.00116128947028396)); #144505=CARTESIAN_POINT('Ctrl Pts',(-1.69064495494696,-0.000175725899227879, -0.00135675450069712)); #144506=CARTESIAN_POINT('Ctrl Pts',(-1.68184179815602,0.000323735816977458, -0.00273680081562892)); #144507=CARTESIAN_POINT('Ctrl Pts',(-1.68167419381474,-0.000809102778479702, -0.00305304163636876)); #144508=CARTESIAN_POINT('Ctrl Pts',(-1.681286788249,-0.0030661605225795, -0.00372985982578915)); #144509=CARTESIAN_POINT('Ctrl Pts',(-1.68093340217797,-0.00806495334324224, -0.00572382449991827)); #144510=CARTESIAN_POINT('Ctrl Pts',(-1.68183980458967,-0.0111673731756995, -0.00812535255713075)); #144511=CARTESIAN_POINT('Ctrl Pts',(-1.68305524048577,-0.0125006273817488, -0.0101725830153209)); #144512=CARTESIAN_POINT('Origin',(0.,1.705,-0.01)); #144513=CARTESIAN_POINT('',(-1.67317845138214,-0.0301491382940182,-0.0199999999999999)); #144514=CARTESIAN_POINT('Ctrl Pts',(-1.67317850324834,-0.0301491920818042, -0.02)); #144515=CARTESIAN_POINT('Ctrl Pts',(-1.67776743329223,-0.0257241868858524, -0.02)); #144516=CARTESIAN_POINT('Ctrl Pts',(-1.68233791775386,-0.0212818129693439, -0.02)); #144517=CARTESIAN_POINT('Ctrl Pts',(-1.68689122824172,-0.0168208416867959, -0.02)); #144518=CARTESIAN_POINT('Ctrl Pts',(-1.69298895326561,-0.0503383444027797, -0.0399999999999999)); #144519=CARTESIAN_POINT('Ctrl Pts',(-1.68871427254504,-0.0459099346746649, -0.0399999999999999)); #144520=CARTESIAN_POINT('Ctrl Pts',(-1.68014972266495,-0.0371031014731778, -0.036074585106266)); #144521=CARTESIAN_POINT('Ctrl Pts',(-1.67465150727145,-0.0315784360486475, -0.0258021570660403)); #144522=CARTESIAN_POINT('Ctrl Pts',(-1.67317845138215,-0.0301491382940116, -0.0199999999999998)); #144523=CARTESIAN_POINT('Ctrl Pts',(-1.70156887889148,-0.00231719744164241, -0.02)); #144524=CARTESIAN_POINT('Ctrl Pts',(-1.68736326736154,-0.0164749804951895, -0.02)); #144525=CARTESIAN_POINT('Ctrl Pts',(-1.67300141468922,-0.0304357806907209, -0.02)); #144526=CARTESIAN_POINT('Ctrl Pts',(-1.65844378689733,-0.0442377962969063, -0.02)); #144527=CARTESIAN_POINT('Ctrl Pts',(-1.70012062764303,-0.000864053643957159, -0.0141972016575526)); #144528=CARTESIAN_POINT('Ctrl Pts',(-1.68592698426246,-0.0150099088433671, -0.0141972016575526)); #144529=CARTESIAN_POINT('Ctrl Pts',(-1.67157760365066,-0.0289585852606991, -0.0141972016575527)); #144530=CARTESIAN_POINT('Ctrl Pts',(-1.65703224057989,-0.0427489727448597, -0.0141972016575527)); #144531=CARTESIAN_POINT('Ctrl Pts',(-1.69462399508489,0.00465114789207861, -0.0039132489215893)); #144532=CARTESIAN_POINT('Ctrl Pts',(-1.68047641686642,-0.00944879724073067, -0.0039132489215893)); #144533=CARTESIAN_POINT('Ctrl Pts',(-1.66617307280046,-0.0233527226726499, -0.00391324892158937)); #144534=CARTESIAN_POINT('Ctrl Pts',(-1.65167491639044,-0.0370983537529788, -0.00391324892158937)); #144535=CARTESIAN_POINT('Ctrl Pts',(-1.68594738651925,0.0133570681773869, 6.58445846824877E-17)); #144536=CARTESIAN_POINT('Ctrl Pts',(-1.6718721680202,-0.000670760861921164, 6.6529536354724E-17)); #144537=CARTESIAN_POINT('Ctrl Pts',(-1.65764221410712,-0.0145033455506897, -7.79331684379125E-18)); #144538=CARTESIAN_POINT('Ctrl Pts',(-1.64321821049652,-0.0281786727250896, -5.18346315278315E-18)); #144539=CARTESIAN_POINT('Ctrl Pts',(-1.6816026327739,0.0177164995704427, 6.55643518479423E-17)); #144540=CARTESIAN_POINT('Ctrl Pts',(-1.66756371019765,0.00372484425020874, 6.62475598018985E-17)); #144541=CARTESIAN_POINT('Ctrl Pts',(-1.65337037981597,-0.0100721396131364, -8.06850919235941E-18)); #144542=CARTESIAN_POINT('Ctrl Pts',(-1.63898357154422,-0.0237122020689496, -5.46369598732853E-18)); #144543=CARTESIAN_POINT('',(-1.65440537989547,-0.0246225176200408,-0.00220728843944074)); #144544=CARTESIAN_POINT('Ctrl Pts',(-1.65440537989547,-0.0246225176200409, -0.00220728843944098)); #144545=CARTESIAN_POINT('Ctrl Pts',(-1.64956190923426,-0.0242323713431505, -0.000732185704439683)); #144546=CARTESIAN_POINT('Ctrl Pts',(-1.64431605423999,-0.0239773748799716, -1.44774447524174E-5)); #144547=CARTESIAN_POINT('Ctrl Pts',(-1.63908898752359,-0.0237174316579956, -7.30945366965073E-8)); #144548=CARTESIAN_POINT('Ctrl Pts',(-1.63903627925697,-0.0237148186135298, -6.26803131427972E-18)); #144549=CARTESIAN_POINT('Ctrl Pts',(-1.63898357154423,-0.0237122020689425, 0.)); #144550=CARTESIAN_POINT('',(-1.65635016189671,-0.0245307412054549,-0.00276173987990587)); #144551=CARTESIAN_POINT('Ctrl Pts',(-1.65635016189671,-0.0245307412054548, -0.00276173987990594)); #144552=CARTESIAN_POINT('Ctrl Pts',(-1.65632667178256,-0.0245333775839056, -0.00275511923071608)); #144553=CARTESIAN_POINT('Ctrl Pts',(-1.65627969102575,-0.0245386077722153, -0.00274189100616139)); #144554=CARTESIAN_POINT('Ctrl Pts',(-1.65618575441899,-0.0245488526344681, -0.00271548263976194)); #144555=CARTESIAN_POINT('Ctrl Pts',(-1.65606835568477,-0.0245612710286126, -0.00268255752281442)); #144556=CARTESIAN_POINT('Ctrl Pts',(-1.65595109342956,-0.0245729664877025, -0.0026497218726382)); #144557=CARTESIAN_POINT('Ctrl Pts',(-1.65585730771888,-0.0245819275896376, -0.00262349692348192)); #144558=CARTESIAN_POINT('Ctrl Pts',(-1.6553424505356,-0.0246284559944067, -0.00247934645397166)); #144559=CARTESIAN_POINT('Ctrl Pts',(-1.65485067183125,-0.0246583863215988, -0.00234290428230283)); #144560=CARTESIAN_POINT('Ctrl Pts',(-1.65440537989547,-0.0246225176200409, -0.00220728843944081)); #144561=CARTESIAN_POINT('',(-1.66903664102758,-0.0261886730876,-0.0102232660822393)); #144562=CARTESIAN_POINT('Ctrl Pts',(-1.66903664102746,-0.0261886730874812, -0.0102232660820465)); #144563=CARTESIAN_POINT('Ctrl Pts',(-1.66807632385905,-0.0252460065031165, -0.00868890573445283)); #144564=CARTESIAN_POINT('Ctrl Pts',(-1.66561165381553,-0.0241413711109389, -0.00638915689383352)); #144565=CARTESIAN_POINT('Ctrl Pts',(-1.66154382813931,-0.0239758751841457, -0.0044390559949786)); #144566=CARTESIAN_POINT('Ctrl Pts',(-1.65861297198792,-0.0242420926588253, -0.00341548746105624)); #144567=CARTESIAN_POINT('Ctrl Pts',(-1.65710439824831,-0.0244460905966062, -0.00297432012790653)); #144568=CARTESIAN_POINT('Ctrl Pts',(-1.65635016189671,-0.0245307412054547, -0.00276173987990576)); #144569=CARTESIAN_POINT('Ctrl Pts',(-1.67317845138215,-0.0301491382940116, -0.0199999999999998)); #144570=CARTESIAN_POINT('Ctrl Pts',(-1.67223872947405,-0.029296003312221, -0.0164180269249756)); #144571=CARTESIAN_POINT('Ctrl Pts',(-1.67082474456721,-0.02794391136869, -0.0130802338203149)); #144572=CARTESIAN_POINT('Ctrl Pts',(-1.66903664102758,-0.0261886730875998, -0.0102232660822394)); #144573=CARTESIAN_POINT('Origin',(-1.15476737861982,0.56327037562055,-0.01)); #144574=CARTESIAN_POINT('Origin',(-1.69211540697769,0.00683020320037505, -0.01)); #144575=CARTESIAN_POINT('Ctrl Pts',(-1.69211540668094,0.00683020349819867, -1.50251639651534E-17)); #144576=CARTESIAN_POINT('Ctrl Pts',(-1.69290851391036,0.00598681934418227, 4.86130744098569E-11)); #144577=CARTESIAN_POINT('Ctrl Pts',(-1.69370555997859,0.00514736623096435, 0.000171316421153857)); #144578=CARTESIAN_POINT('Ctrl Pts',(-1.69447236617146,0.00434780686896339, 0.000506620262803038)); #144579=CARTESIAN_POINT('Ctrl Pts',(-1.69059725424931,0.00536414073437488, -1.38909426764836E-12)); #144580=CARTESIAN_POINT('Ctrl Pts',(-1.69139405698964,0.00451993080578365, -3.25929481899601E-6)); #144581=CARTESIAN_POINT('Ctrl Pts',(-1.69219614395171,0.0036777867050951, 0.00016609200346286)); #144582=CARTESIAN_POINT('Ctrl Pts',(-1.69296694165842,0.0028760284560467, 0.000500578088620966)); #144583=CARTESIAN_POINT('Ctrl Pts',(-1.68908309093053,0.00390193017180013, -0.000689554076555353)); #144584=CARTESIAN_POINT('Ctrl Pts',(-1.68993157530868,0.00300869274712615, -0.00069464083592071)); #144585=CARTESIAN_POINT('Ctrl Pts',(-1.6907854382389,0.00211692876290413, -0.000516258163758514)); #144586=CARTESIAN_POINT('Ctrl Pts',(-1.69160574408385,0.00126725911525023, -0.000162120811454244)); #144587=CARTESIAN_POINT('Ctrl Pts',(-1.68785920019312,0.00272003255044187, -0.00193828795879102)); #144588=CARTESIAN_POINT('Ctrl Pts',(-1.68879701239809,0.00173834633309298, -0.00194366835550942)); #144589=CARTESIAN_POINT('Ctrl Pts',(-1.6897392755294,0.000758881197723778, -0.00174715396210511)); #144590=CARTESIAN_POINT('Ctrl Pts',(-1.69064495494696,-0.000175725899226909, -0.00135675450069771)); #144591=CARTESIAN_POINT('',(-1.69447236617146,0.0043478068689641,0.000506620262802739)); #144592=CARTESIAN_POINT('Origin',(-1.69651738710102,0.00229532764399122, -0.00906444124722362)); #144593=CARTESIAN_POINT('Ctrl Pts',(-1.69447236617146,0.00434780686896339, 0.000506620262803038)); #144594=CARTESIAN_POINT('Ctrl Pts',(-1.69370555997859,0.00514736623096435, 0.000171316421153857)); #144595=CARTESIAN_POINT('Ctrl Pts',(-1.69290851391036,0.00598681934418227, 4.86130744098569E-11)); #144596=CARTESIAN_POINT('Ctrl Pts',(-1.69211540668094,0.00683020349819867, -1.50251639651534E-17)); #144597=CARTESIAN_POINT('Ctrl Pts',(-1.69447236617146,0.00434780686896434, 0.000506620262802634)); #144598=CARTESIAN_POINT('Ctrl Pts',(-1.69611502259635,0.00263498642581796, 0.00122490998828933)); #144599=CARTESIAN_POINT('Ctrl Pts',(-1.69910664643004,-0.000405396546964217, 0.0042087251753583)); #144600=CARTESIAN_POINT('Ctrl Pts',(-1.7003877172026,-0.00153693057576014, 0.00912653052842546)); #144601=CARTESIAN_POINT('Ctrl Pts',(-1.70047373013457,-0.00151229270088139, 0.0116048928156924)); #144602=CARTESIAN_POINT('Ctrl Pts',(-1.69262567693746,0.00254239097037512, 0.000499208388002302)); #144603=CARTESIAN_POINT('Ctrl Pts',(-1.69427685034741,0.000825798003344596, 0.00121440993930993)); #144604=CARTESIAN_POINT('Ctrl Pts',(-1.69728877993977,-0.00223214114230627, 0.00421717360259598)); #144605=CARTESIAN_POINT('Ctrl Pts',(-1.69856369170647,-0.00336883901638238, 0.00916627265558058)); #144606=CARTESIAN_POINT('Ctrl Pts',(-1.69863893680734,-0.00334169029488943, 0.0116494012496412)); #144607=CARTESIAN_POINT('Ctrl Pts',(-1.6893810561495,-0.00148481490950415, -0.00153545028432988)); #144608=CARTESIAN_POINT('Ctrl Pts',(-1.69135600490508,-0.00351723099457938, -0.000688115343375809)); #144609=CARTESIAN_POINT('Ctrl Pts',(-1.69494024123434,-0.00714443272672302, 0.00287070458090691)); #144610=CARTESIAN_POINT('Ctrl Pts',(-1.69641916910431,-0.00850770574275216, 0.00874887792337023)); #144611=CARTESIAN_POINT('Ctrl Pts',(-1.69648672848874,-0.00848863142643262, 0.0117009029318173)); #144612=CARTESIAN_POINT('Ctrl Pts',(-1.68883626554421,-0.00408191013026794, -0.00641849101528631)); #144613=CARTESIAN_POINT('Ctrl Pts',(-1.69153457004831,-0.00684663724325141, -0.00525270915862432)); #144614=CARTESIAN_POINT('Ctrl Pts',(-1.69642196748234,-0.0117853079241305, -0.00039383929812205)); #144615=CARTESIAN_POINT('Ctrl Pts',(-1.69844513699809,-0.013679236526882, 0.00761710155444253)); #144616=CARTESIAN_POINT('Ctrl Pts',(-1.69853959162878,-0.0136759965173512, 0.0116494012496412)); #144617=CARTESIAN_POINT('Ctrl Pts',(-1.68932508468699,-0.0046501980622539, -0.00888991718285089)); #144618=CARTESIAN_POINT('Ctrl Pts',(-1.69238565129049,-0.00778617732375752, -0.00756347548865027)); #144619=CARTESIAN_POINT('Ctrl Pts',(-1.69792603564432,-0.013384957640353, -0.00205336343848052)); #144620=CARTESIAN_POINT('Ctrl Pts',(-1.70022678031513,-0.0155392514332235, 0.00702818378795588)); #144621=CARTESIAN_POINT('Ctrl Pts',(-1.70033887659491,-0.0155403290309539, 0.0116048928156924)); #144622=CARTESIAN_POINT('',(-1.70033887659491,-0.015540329030954,0.0116048928156924)); #144623=CARTESIAN_POINT('Ctrl Pts',(-1.6954950731574,-0.0109097594884598, -0.00373029533941922)); #144624=CARTESIAN_POINT('Ctrl Pts',(-1.69608097699723,-0.0114965120480311, -0.00293560483999258)); #144625=CARTESIAN_POINT('Ctrl Pts',(-1.69878764806774,-0.014191725257463, 0.00134761104317631)); #144626=CARTESIAN_POINT('Ctrl Pts',(-1.70022678031513,-0.0155392514332235, 0.00702818378795588)); #144627=CARTESIAN_POINT('Ctrl Pts',(-1.70033887659491,-0.0155403290309539, 0.0116048928156924)); #144628=CARTESIAN_POINT('',(-1.70047373013457,-0.00151229270088139,0.0116048928156924)); #144629=CARTESIAN_POINT('Origin',(-1.70753117900894,-0.00859480332470884, 0.0114303687513196)); #144630=CARTESIAN_POINT('Ctrl Pts',(-1.70047373013457,-0.00151229270088139, 0.0116048928156924)); #144631=CARTESIAN_POINT('Ctrl Pts',(-1.7003877172026,-0.00153693057576014, 0.00912653052842546)); #144632=CARTESIAN_POINT('Ctrl Pts',(-1.69910664643004,-0.000405396546964217, 0.0042087251753583)); #144633=CARTESIAN_POINT('Ctrl Pts',(-1.69611502259635,0.00263498642581796, 0.00122490998828933)); #144634=CARTESIAN_POINT('Ctrl Pts',(-1.69447236617146,0.00434780686896434, 0.000506620262802634)); #144635=CARTESIAN_POINT('Ctrl Pts',(-0.961036905136381,-0.474706652818176, 5.46369598732853E-17)); #144636=CARTESIAN_POINT('Ctrl Pts',(-0.961018762164323,-0.474756289097559, 5.46349941281194E-17)); #144637=CARTESIAN_POINT('Ctrl Pts',(-0.961000615777652,-0.474805924541247, -7.27170911666848E-8)); #144638=CARTESIAN_POINT('Ctrl Pts',(-0.959204689314118,-0.479720607447057, -1.442343413727E-5)); #144639=CARTESIAN_POINT('Ctrl Pts',(-0.957397228332167,-0.484649784931662, -0.000727164120142249)); #144640=CARTESIAN_POINT('Ctrl Pts',(-0.955867480761627,-0.489264416439646, -0.00219269286764897)); #144641=CARTESIAN_POINT('Ctrl Pts',(-0.961036905136381,-0.474706652818176, 5.46369598732853E-17)); #144642=CARTESIAN_POINT('Ctrl Pts',(-0.961025981656302,-0.4747578150187, 1.36493402695104E-7)); #144643=CARTESIAN_POINT('Ctrl Pts',(-0.96101505143634,-0.474809001790787, -2.29030633060476E-7)); #144644=CARTESIAN_POINT('Ctrl Pts',(-0.959933898762209,-0.479875250760249, -1.30896060524026E-5)); #144645=CARTESIAN_POINT('Ctrl Pts',(-0.958842999136746,-0.484957602805123, -0.000907511441996333)); #144646=CARTESIAN_POINT('Ctrl Pts',(-0.958011862706963,-0.489509373015386, -0.00263035517214569)); #144647=CARTESIAN_POINT('Ctrl Pts',(-0.961036905136382,-0.474706652818176, 5.46369598732324E-17)); #144648=CARTESIAN_POINT('Ctrl Pts',(-0.961033184121799,-0.474759345821983, 1.74301286951697E-7)); #144649=CARTESIAN_POINT('Ctrl Pts',(-0.961029505344787,-0.474812072852235, -2.59876233715209E-7)); #144650=CARTESIAN_POINT('Ctrl Pts',(-0.960662480512576,-0.480030290084974, -1.01468488547395E-5)); #144651=CARTESIAN_POINT('Ctrl Pts',(-0.960292654264397,-0.485265446919095, -0.000831391989362181)); #144652=CARTESIAN_POINT('Ctrl Pts',(-0.960262405099509,-0.490012576334063, -0.00236886833965605)); #144653=CARTESIAN_POINT('Ctrl Pts',(-0.961036905136382,-0.474706652818176, 5.46369598732853E-17)); #144654=CARTESIAN_POINT('Ctrl Pts',(-0.961040417497362,-0.474760891794949, 5.64873627122118E-17)); #144655=CARTESIAN_POINT('Ctrl Pts',(-0.961043927258585,-0.474815129272625, -4.84780608226189E-8)); #144656=CARTESIAN_POINT('Ctrl Pts',(-0.961391977924965,-0.480185741573897, -9.61562275838322E-6)); #144657=CARTESIAN_POINT('Ctrl Pts',(-0.961735560229382,-0.485574257404223, -0.000484776080094838)); #144658=CARTESIAN_POINT('Ctrl Pts',(-0.962158107591656,-0.49067095039693, -0.00146179524509938)); #144659=CARTESIAN_POINT('',(-0.955867480761627,-0.489264416439646,-0.00219269286764897)); #144660=CARTESIAN_POINT('',(-0.962158107591656,-0.490670950396931,-0.00146179524509942)); #144661=CARTESIAN_POINT('Origin',(-0.957366257838134,-0.492704969940361, 0.0070764095098014)); #144662=CARTESIAN_POINT('Ctrl Pts',(-0.962158107591656,-0.49067095039693, -0.00146179524509938)); #144663=CARTESIAN_POINT('Ctrl Pts',(-0.961735560229382,-0.485574257404223, -0.000484776080094838)); #144664=CARTESIAN_POINT('Ctrl Pts',(-0.961391977924965,-0.480185741573897, -9.61562275838322E-6)); #144665=CARTESIAN_POINT('Ctrl Pts',(-0.961043927258585,-0.474815129272625, -4.84780608226189E-8)); #144666=CARTESIAN_POINT('Ctrl Pts',(-0.961040417497362,-0.474760891794949, 5.64873627122118E-17)); #144667=CARTESIAN_POINT('Ctrl Pts',(-0.961036905136382,-0.474706652818176, 5.46369598732853E-17)); #144668=CARTESIAN_POINT('Ctrl Pts',(-0.961036905136381,-0.474706652818176, 5.46369598732853E-17)); #144669=CARTESIAN_POINT('Ctrl Pts',(-0.961018762164323,-0.474756289097559, 5.46349941281194E-17)); #144670=CARTESIAN_POINT('Ctrl Pts',(-0.961000615777652,-0.474805924541247, -7.27170911666848E-8)); #144671=CARTESIAN_POINT('Ctrl Pts',(-0.959204689314118,-0.479720607447057, -1.442343413727E-5)); #144672=CARTESIAN_POINT('Ctrl Pts',(-0.957397228332167,-0.484649784931662, -0.000727164120142249)); #144673=CARTESIAN_POINT('Ctrl Pts',(-0.955867480761627,-0.489264416439646, -0.00219269286764897)); #144674=CARTESIAN_POINT('Ctrl Pts',(-0.95586748074152,-0.489264416500301, -0.00219269288691191)); #144675=CARTESIAN_POINT('Ctrl Pts',(-0.955726500573097,-0.489689696827795, -0.00232775471167846)); #144676=CARTESIAN_POINT('Ctrl Pts',(-0.955506040130484,-0.490132213633455, -0.00246343243954742)); #144677=CARTESIAN_POINT('Ctrl Pts',(-0.955261171802028,-0.490589517689791, -0.0026066985569603)); #144678=CARTESIAN_POINT('Ctrl Pts',(-0.955216114303831,-0.490672630712607, -0.00263276104314949)); #144679=CARTESIAN_POINT('Ctrl Pts',(-0.955159322420438,-0.4907763560954, -0.00266539103017543)); #144680=CARTESIAN_POINT('Ctrl Pts',(-0.955101804760912,-0.490879925453266, -0.00269810712682138)); #144681=CARTESIAN_POINT('Ctrl Pts',(-0.955055495700606,-0.490962675972081, -0.00272434646338236)); #144682=CARTESIAN_POINT('Ctrl Pts',(-0.955032236083716,-0.491004020693474, -0.00273748957234526)); #144683=CARTESIAN_POINT('Ctrl Pts',(-0.955020586611214,-0.491024684487503, -0.00274406752085017)); #144684=CARTESIAN_POINT('Ctrl Pts',(-0.958011862686444,-0.489509373056805, -0.00263035518634078)); #144685=CARTESIAN_POINT('Ctrl Pts',(-0.958050750765754,-0.490149115840455, -0.00289143528390093)); #144686=CARTESIAN_POINT('Ctrl Pts',(-0.958008176591582,-0.490745441646989, -0.00312814345784182)); #144687=CARTESIAN_POINT('Ctrl Pts',(-0.957941523205163,-0.491311761527945, -0.00337598063409524)); #144688=CARTESIAN_POINT('Ctrl Pts',(-0.957928708610234,-0.491413809984144, -0.00342074043682312)); #144689=CARTESIAN_POINT('Ctrl Pts',(-0.957911872232325,-0.491539668990018, -0.00347626214291016)); #144690=CARTESIAN_POINT('Ctrl Pts',(-0.957893815463328,-0.491663439425421, -0.003530944368347)); #144691=CARTESIAN_POINT('Ctrl Pts',(-0.95787889204041,-0.491761125512056, -0.00357473370507034)); #144692=CARTESIAN_POINT('Ctrl Pts',(-0.957871223694668,-0.491809580253269, -0.00359645991022836)); #144693=CARTESIAN_POINT('Ctrl Pts',(-0.957867361852045,-0.491833692980536, -0.00360735847600666)); #144694=CARTESIAN_POINT('Ctrl Pts',(-0.960262405078718,-0.490012576356544, -0.00236886835161189)); #144695=CARTESIAN_POINT('Ctrl Pts',(-0.960504743540668,-0.490928402365405, -0.00261016334893299)); #144696=CARTESIAN_POINT('Ctrl Pts',(-0.960670613693218,-0.491754311008032, -0.00277804396400093)); #144697=CARTESIAN_POINT('Ctrl Pts',(-0.960812563711987,-0.492519003327141, -0.00293865628145794)); #144698=CARTESIAN_POINT('Ctrl Pts',(-0.960837540278475,-0.492656360657128, -0.00296715758753378)); #144699=CARTESIAN_POINT('Ctrl Pts',(-0.96086758245131,-0.492824999649884, -0.00300188214180893)); #144700=CARTESIAN_POINT('Ctrl Pts',(-0.960895928432089,-0.492989775531822, -0.00303511145746577)); #144701=CARTESIAN_POINT('Ctrl Pts',(-0.96091789949951,-0.493119283573016, -0.00306141090747276)); #144702=CARTESIAN_POINT('Ctrl Pts',(-0.960928578324234,-0.493183312702361, -0.00307428629904007)); #144703=CARTESIAN_POINT('Ctrl Pts',(-0.960933875401492,-0.493215135920827, -0.00308073450962343)); #144704=CARTESIAN_POINT('Ctrl Pts',(-0.962158107572185,-0.490670950404966, -0.00146179525794128)); #144705=CARTESIAN_POINT('Ctrl Pts',(-0.96249728674027,-0.491847972496891, -0.00155183648197513)); #144706=CARTESIAN_POINT('Ctrl Pts',(-0.962732068171034,-0.492875676834086, -0.0015103557512803)); #144707=CARTESIAN_POINT('Ctrl Pts',(-0.962910893883282,-0.493806358398536, -0.00144134128126657)); #144708=CARTESIAN_POINT('Ctrl Pts',(-0.962942123346661,-0.493973123963951, -0.00142787470333564)); #144709=CARTESIAN_POINT('Ctrl Pts',(-0.962979062794505,-0.49417707646211, -0.0014102185575053)); #144710=CARTESIAN_POINT('Ctrl Pts',(-0.963013277910307,-0.494375336817788, -0.00139135011469835)); #144711=CARTESIAN_POINT('Ctrl Pts',(-0.963039149324001,-0.494530684507589, -0.00137578065773596)); #144712=CARTESIAN_POINT('Ctrl Pts',(-0.96305159217264,-0.494607298670546, -0.00136782987943302)); #144713=CARTESIAN_POINT('Ctrl Pts',(-0.963057695923461,-0.494645346108828, -0.00136382435021933)); #144714=CARTESIAN_POINT('',(-0.955020586611214,-0.491024684487503,-0.00274406752085017)); #144715=CARTESIAN_POINT('',(-0.963057695923461,-0.494645346108828,-0.00136382435021951)); #144716=CARTESIAN_POINT('Origin',(-0.956686866615831,-0.494856216574568, 0.00634124330553314)); #144717=CARTESIAN_POINT('Ctrl Pts',(-0.963057695923461,-0.494645346108828, -0.00136382435021933)); #144718=CARTESIAN_POINT('Ctrl Pts',(-0.96305159217264,-0.494607298670546, -0.00136782987943302)); #144719=CARTESIAN_POINT('Ctrl Pts',(-0.963039149324001,-0.494530684507589, -0.00137578065773596)); #144720=CARTESIAN_POINT('Ctrl Pts',(-0.963013277910307,-0.494375336817788, -0.00139135011469835)); #144721=CARTESIAN_POINT('Ctrl Pts',(-0.962979062794505,-0.49417707646211, -0.0014102185575053)); #144722=CARTESIAN_POINT('Ctrl Pts',(-0.962942123346661,-0.493973123963951, -0.00142787470333564)); #144723=CARTESIAN_POINT('Ctrl Pts',(-0.962910893883282,-0.493806358398536, -0.00144134128126657)); #144724=CARTESIAN_POINT('Ctrl Pts',(-0.962732068171034,-0.492875676834086, -0.0015103557512803)); #144725=CARTESIAN_POINT('Ctrl Pts',(-0.96249728674027,-0.491847972496891, -0.00155183648197513)); #144726=CARTESIAN_POINT('Ctrl Pts',(-0.962158107572185,-0.490670950404966, -0.00146179525794128)); #144727=CARTESIAN_POINT('Ctrl Pts',(-0.95586748074152,-0.489264416500301, -0.00219269288691191)); #144728=CARTESIAN_POINT('Ctrl Pts',(-0.955726500573097,-0.489689696827795, -0.00232775471167846)); #144729=CARTESIAN_POINT('Ctrl Pts',(-0.955506040130484,-0.490132213633455, -0.00246343243954742)); #144730=CARTESIAN_POINT('Ctrl Pts',(-0.955261171802028,-0.490589517689791, -0.0026066985569603)); #144731=CARTESIAN_POINT('Ctrl Pts',(-0.955216114303831,-0.490672630712607, -0.00263276104314949)); #144732=CARTESIAN_POINT('Ctrl Pts',(-0.955159322420438,-0.4907763560954, -0.00266539103017543)); #144733=CARTESIAN_POINT('Ctrl Pts',(-0.955101804760912,-0.490879925453266, -0.00269810712682138)); #144734=CARTESIAN_POINT('Ctrl Pts',(-0.955055495700606,-0.490962675972081, -0.00272434646338236)); #144735=CARTESIAN_POINT('Ctrl Pts',(-0.955032236083716,-0.491004020693474, -0.00273748957234526)); #144736=CARTESIAN_POINT('Ctrl Pts',(-0.955020586611214,-0.491024684487503, -0.00274406752085017)); #144737=CARTESIAN_POINT('Ctrl Pts',(-0.951566943216096,-0.503408176285204, -0.0101874608037945)); #144738=CARTESIAN_POINT('Ctrl Pts',(-0.952486304457511,-0.50575566873995, -0.0130523480415202)); #144739=CARTESIAN_POINT('Ctrl Pts',(-0.953179930172268,-0.507597443132168, -0.0164030157560634)); #144740=CARTESIAN_POINT('Ctrl Pts',(-0.95359822026517,-0.508801955143935, -0.02)); #144741=CARTESIAN_POINT('Ctrl Pts',(-0.95397085276969,-0.502403629832424, -0.0102201011491767)); #144742=CARTESIAN_POINT('Ctrl Pts',(-0.954891734191799,-0.504745852999541, -0.0130886199641855)); #144743=CARTESIAN_POINT('Ctrl Pts',(-0.955588047317653,-0.506584552548487, -0.0164481137871675)); #144744=CARTESIAN_POINT('Ctrl Pts',(-0.956007275100198,-0.507781557438089, -0.0200449553451181)); #144745=CARTESIAN_POINT('Ctrl Pts',(-0.959394651124739,-0.501886466648261, -0.00886540130187335)); #144746=CARTESIAN_POINT('Ctrl Pts',(-0.96039384856864,-0.504393753683557, -0.0119485449235626)); #144747=CARTESIAN_POINT('Ctrl Pts',(-0.961150231834784,-0.506353241633289, -0.015549034525)); #144748=CARTESIAN_POINT('Ctrl Pts',(-0.96161113745363,-0.50762867648309, -0.0194114509750262)); #144749=CARTESIAN_POINT('Ctrl Pts',(-0.962949863831996,-0.504608248015191, -0.00547827466881619)); #144750=CARTESIAN_POINT('Ctrl Pts',(-0.964118741154282,-0.507521279736009, -0.00905129446106793)); #144751=CARTESIAN_POINT('Ctrl Pts',(-0.965007805302882,-0.509803074121491, -0.013230247256125)); #144752=CARTESIAN_POINT('Ctrl Pts',(-0.965553785238844,-0.511289278538385, -0.0177133653811726)); #144753=CARTESIAN_POINT('Ctrl Pts',(-0.963741987917999,-0.506397517175816, -0.0037578051360989)); #144754=CARTESIAN_POINT('Ctrl Pts',(-0.964993462785407,-0.509516450693595, -0.00757765478639981)); #144755=CARTESIAN_POINT('Ctrl Pts',(-0.965946053351776,-0.511960191841293, -0.0120452117391241)); #144756=CARTESIAN_POINT('Ctrl Pts',(-0.96653171422358,-0.513554172341591, -0.0168411907310396)); #144757=CARTESIAN_POINT('',(-0.953598220265169,-0.508801955143935,-0.02)); #144758=CARTESIAN_POINT('',(-0.96653171422358,-0.513554172341591,-0.0168411907310395)); #144759=CARTESIAN_POINT('Origin',(-0.957328067662533,-0.51746088852405, -0.0166666666666667)); #144760=CARTESIAN_POINT('',(-0.963741987914183,-0.506397517167195,-0.00375780514438776)); #144761=CARTESIAN_POINT('Ctrl Pts',(-0.96653171422358,-0.513554172341591, -0.0168411907310396)); #144762=CARTESIAN_POINT('Ctrl Pts',(-0.965946053351776,-0.511960191841293, -0.0120452117391241)); #144763=CARTESIAN_POINT('Ctrl Pts',(-0.964993462785407,-0.509516450693595, -0.00757765478639981)); #144764=CARTESIAN_POINT('Ctrl Pts',(-0.963741987917999,-0.506397517175816, -0.0037578051360989)); #144765=CARTESIAN_POINT('',(-0.951566943216096,-0.503408176285204,-0.0101874608037945)); #144766=CARTESIAN_POINT('Origin',(-0.954538341356952,-0.510304233358274, -0.00358328107172604)); #144767=CARTESIAN_POINT('Ctrl Pts',(-0.951566943216096,-0.503408176285204, -0.0101874608037945)); #144768=CARTESIAN_POINT('Ctrl Pts',(-0.952486304457511,-0.50575566873995, -0.0130523480415202)); #144769=CARTESIAN_POINT('Ctrl Pts',(-0.953179930172268,-0.507597443132168, -0.0164030157560634)); #144770=CARTESIAN_POINT('Ctrl Pts',(-0.95359822026517,-0.508801955143935, -0.02)); #144771=CARTESIAN_POINT('Ctrl Pts',(-0.973685755471218,-0.531003675061413, -0.0301745240643728)); #144772=CARTESIAN_POINT('Ctrl Pts',(-0.972082402352051,-0.527226411822373, -0.0301745240643728)); #144773=CARTESIAN_POINT('Ctrl Pts',(-0.96892458103916,-0.519670158772586, -0.027557894643094)); #144774=CARTESIAN_POINT('Ctrl Pts',(-0.967004072713716,-0.514839780205589, -0.0207093361107496)); #144775=CARTESIAN_POINT('Ctrl Pts',(-0.96653171422358,-0.513554172341591, -0.0168411907310396)); #144776=CARTESIAN_POINT('Ctrl Pts',(-0.973644164647193,-0.531021329318797, -0.0327630348187179)); #144777=CARTESIAN_POINT('Ctrl Pts',(-0.971832939158047,-0.526754286421568, -0.0327648182736335)); #144778=CARTESIAN_POINT('Ctrl Pts',(-0.968265016765492,-0.518217697807493, -0.0298182619962626)); #144779=CARTESIAN_POINT('Ctrl Pts',(-0.966090202259574,-0.512748865420186, -0.0220861269148798)); #144780=CARTESIAN_POINT('Ctrl Pts',(-0.965553785238843,-0.511289278538385, -0.0177133653811727)); #144781=CARTESIAN_POINT('Ctrl Pts',(-0.971609353588938,-0.531885055368771, -0.0378790859705215)); #144782=CARTESIAN_POINT('Ctrl Pts',(-0.969382739983258,-0.526647545315786, -0.0378842327507743)); #144783=CARTESIAN_POINT('Ctrl Pts',(-0.964986885495758,-0.51616278002989, -0.0342767287022037)); #144784=CARTESIAN_POINT('Ctrl Pts',(-0.962285075870613,-0.50942851363799, -0.0247853216664612)); #144785=CARTESIAN_POINT('Ctrl Pts',(-0.961611083767315,-0.507628799725355, -0.0194114165704147)); #144786=CARTESIAN_POINT('Ctrl Pts',(-0.966865208580382,-0.533898825449349, -0.04)); #144787=CARTESIAN_POINT('Ctrl Pts',(-0.96445408681074,-0.528241216367665, -0.0400028060470023)); #144788=CARTESIAN_POINT('Ctrl Pts',(-0.959689547933902,-0.516935922223971, -0.0361003252663017)); #144789=CARTESIAN_POINT('Ctrl Pts',(-0.956748859532594,-0.50970743137869, -0.0258473231306732)); #144790=CARTESIAN_POINT('Ctrl Pts',(-0.956007275100197,-0.507781557438089, -0.0200449553451182)); #144791=CARTESIAN_POINT('Ctrl Pts',(-0.964482108910171,-0.534910391243871, -0.0400000000000001)); #144792=CARTESIAN_POINT('Ctrl Pts',(-0.962067336956174,-0.529248691307667, -0.0400000000000001)); #144793=CARTESIAN_POINT('Ctrl Pts',(-0.95729005640267,-0.517931771623765, -0.0360750558680818)); #144794=CARTESIAN_POINT('Ctrl Pts',(-0.954341363674363,-0.510715460498526, -0.0258022180695653)); #144795=CARTESIAN_POINT('Ctrl Pts',(-0.953598220265169,-0.508801955143935, -0.0200000000000002)); #144796=CARTESIAN_POINT('Ctrl Pts',(-0.953598220265169,-0.508801955143935, -0.0200000000000002)); #144797=CARTESIAN_POINT('Ctrl Pts',(-0.954341363674363,-0.510715460498526, -0.0258022180695653)); #144798=CARTESIAN_POINT('Ctrl Pts',(-0.95729005640267,-0.517931771623765, -0.0360750558680818)); #144799=CARTESIAN_POINT('Ctrl Pts',(-0.962067336956174,-0.529248691307667, -0.0400000000000001)); #144800=CARTESIAN_POINT('Ctrl Pts',(-0.964482108910171,-0.534910391243871, -0.0400000000000001)); #144801=CARTESIAN_POINT('',(-0.973685755471218,-0.531003675061413,-0.0301745240643728)); #144802=CARTESIAN_POINT('Origin',(-0.964482108910171,-0.534910391243871, -0.03)); #144803=CARTESIAN_POINT('Ctrl Pts',(-0.973685755471218,-0.531003675061413, -0.0301745240643728)); #144804=CARTESIAN_POINT('Ctrl Pts',(-0.972082402352051,-0.527226411822373, -0.0301745240643728)); #144805=CARTESIAN_POINT('Ctrl Pts',(-0.96892458103916,-0.519670158772586, -0.027557894643094)); #144806=CARTESIAN_POINT('Ctrl Pts',(-0.967004072713716,-0.514839780205589, -0.0207093361107496)); #144807=CARTESIAN_POINT('Ctrl Pts',(-0.96653171422358,-0.513554172341591, -0.0168411907310396)); #144808=CARTESIAN_POINT('Origin',(-0.948847545892312,-0.498077668969627, -0.03)); #144809=CARTESIAN_POINT('',(-1.10159628870184,-0.832342007386558,-0.0301745240643728)); #144810=CARTESIAN_POINT('Origin',(-1.0923926421408,-0.836248723569016,-0.03)); #144811=CARTESIAN_POINT('',(-0.958051192453359,-0.494170952787168,-0.0301745240643728)); #144812=CARTESIAN_POINT('Ctrl Pts',(-1.09239264214079,-0.836248723569016, -0.04)); #144813=CARTESIAN_POINT('Ctrl Pts',(-1.09451938418172,-0.841259013837624, -0.04)); #144814=CARTESIAN_POINT('Ctrl Pts',(-1.10308760569365,-0.849382968000753, -0.04)); #144815=CARTESIAN_POINT('Ctrl Pts',(-1.11487765897109,-0.848754650816247, -0.04)); #144816=CARTESIAN_POINT('Ctrl Pts',(-1.11974562992307,-0.846319717738116, -0.04)); #144817=CARTESIAN_POINT('Ctrl Pts',(-1.09477574181101,-0.835237157774494, -0.04)); #144818=CARTESIAN_POINT('Ctrl Pts',(-1.09661899360105,-0.839601326135268, -0.0399997343468957)); #144819=CARTESIAN_POINT('Ctrl Pts',(-1.1040794023552,-0.846689121128108, -0.0399994316504024)); #144820=CARTESIAN_POINT('Ctrl Pts',(-1.11435429800072,-0.846131221448082, -0.0399997343476337)); #144821=CARTESIAN_POINT('Ctrl Pts',(-1.11858747582333,-0.844004310975566, -0.04)); #144822=CARTESIAN_POINT('Ctrl Pts',(-1.09951914198344,-0.833223703858091, -0.0378782625628666)); #144823=CARTESIAN_POINT('Ctrl Pts',(-1.10080046772062,-0.836305739958087, -0.037892842957648)); #144824=CARTESIAN_POINT('Ctrl Pts',(-1.10605155839238,-0.841332492936143, -0.0379098436031843)); #144825=CARTESIAN_POINT('Ctrl Pts',(-1.11330825148195,-0.840910933983487, -0.0378928429171417)); #144826=CARTESIAN_POINT('Ctrl Pts',(-1.11628224771009,-0.839395648998888, -0.0378782625628666)); #144827=CARTESIAN_POINT('Ctrl Pts',(-1.10155469787782,-0.832359661643942, -0.0327630348187179)); #144828=CARTESIAN_POINT('Ctrl Pts',(-1.10260814351868,-0.834877518810235, -0.0328049399391408)); #144829=CARTESIAN_POINT('Ctrl Pts',(-1.10691707193961,-0.838981646052934, -0.0328540331252083)); #144830=CARTESIAN_POINT('Ctrl Pts',(-1.11285832199413,-0.838651493618505, -0.0328049398227227)); #144831=CARTESIAN_POINT('Ctrl Pts',(-1.11529299516904,-0.837417913890995, -0.0327630348187179)); #144832=CARTESIAN_POINT('Ctrl Pts',(-1.10159628870184,-0.832342007386558, -0.0301745240643728)); #144833=CARTESIAN_POINT('Ctrl Pts',(-1.1026592146512,-0.834848361341374, -0.0302250546074297)); #144834=CARTESIAN_POINT('Ctrl Pts',(-1.10694280221534,-0.838911759417125, -0.0302844544023492)); #144835=CARTESIAN_POINT('Ctrl Pts',(-1.11283835204712,-0.838596179827327, -0.030225054467049)); #144836=CARTESIAN_POINT('Ctrl Pts',(-1.11527278259298,-0.837377504471107, -0.0301745240643729)); #144837=CARTESIAN_POINT('',(-1.11527278259298,-0.837377504471107,-0.030174524064375)); #144838=CARTESIAN_POINT('Origin',(-1.11974562992307,-0.846319717738116, -0.03)); #144839=CARTESIAN_POINT('Ctrl Pts',(-1.11527278259298,-0.837377504471107, -0.0301745240643729)); #144840=CARTESIAN_POINT('Ctrl Pts',(-1.11283835204712,-0.838596179827327, -0.030225054467049)); #144841=CARTESIAN_POINT('Ctrl Pts',(-1.10694280221534,-0.838911759417125, -0.0302844544023492)); #144842=CARTESIAN_POINT('Ctrl Pts',(-1.1026592146512,-0.834848361341374, -0.0302250546074297)); #144843=CARTESIAN_POINT('Ctrl Pts',(-1.10159628870184,-0.832342007386558, -0.0301745240643728)); #144844=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #144845=CARTESIAN_POINT('',(-1.86776984208315,-0.258981614547286,-0.0301745240643728)); #144846=CARTESIAN_POINT('Origin',(-1.87526060979646,-0.265604147326829, -0.03)); #144847=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.0301745240643728)); #144848=CARTESIAN_POINT('Ctrl Pts',(-1.87526060979646,-0.265604147326829, -0.04)); #144849=CARTESIAN_POINT('Ctrl Pts',(-1.87855389836716,-0.261879098238024, -0.04)); #144850=CARTESIAN_POINT('Ctrl Pts',(-1.88219749731047,-0.251876708964617, -0.04)); #144851=CARTESIAN_POINT('Ctrl Pts',(-1.87811758742112,-0.242044657021457, -0.04)); #144852=CARTESIAN_POINT('Ctrl Pts',(-1.87466367919789,-0.238468030355251, -0.04)); #144853=CARTESIAN_POINT('Ctrl Pts',(-1.87332102574694,-0.26388937529835, -0.04)); #144854=CARTESIAN_POINT('Ctrl Pts',(-1.87619244451338,-0.26065167549365, -0.0399997893086971)); #144855=CARTESIAN_POINT('Ctrl Pts',(-1.87937453585439,-0.251938835740647, -0.0399995540029386)); #144856=CARTESIAN_POINT('Ctrl Pts',(-1.87581239256498,-0.243374735026264, -0.0399997893082559)); #144857=CARTESIAN_POINT('Ctrl Pts',(-1.87280137675101,-0.240266434923507, -0.04)); #144858=CARTESIAN_POINT('Ctrl Pts',(-1.86946059158898,-0.260476393781254, -0.0378780209298149)); #144859=CARTESIAN_POINT('Ctrl Pts',(-1.87149468096193,-0.25820530165602, -0.0378895847411302)); #144860=CARTESIAN_POINT('Ctrl Pts',(-1.87376063455229,-0.25206238445046, -0.0379027362642415)); #144861=CARTESIAN_POINT('Ctrl Pts',(-1.87122675015298,-0.246025321891129, -0.0378895847653449)); #144862=CARTESIAN_POINT('Ctrl Pts',(-1.86909475935528,-0.243845873735067, -0.0378780209298149)); #144863=CARTESIAN_POINT('Ctrl Pts',(-1.8678036924923,-0.259011541445674, -0.0327630348187179)); #144864=CARTESIAN_POINT('Ctrl Pts',(-1.86946331081885,-0.257151229354966, -0.0327962700577482)); #144865=CARTESIAN_POINT('Ctrl Pts',(-1.87130771140258,-0.25211636766539, -0.0328342114585799)); #144866=CARTESIAN_POINT('Ctrl Pts',(-1.86924369677312,-0.247167702057736, -0.0327962701273429)); #144867=CARTESIAN_POINT('Ctrl Pts',(-1.86750387844308,-0.245382169574033, -0.032763034818718)); #144868=CARTESIAN_POINT('Ctrl Pts',(-1.86776984208315,-0.258981614547286, -0.0301745240643729)); #144869=CARTESIAN_POINT('Ctrl Pts',(-1.86941743860323,-0.257119069055964, -0.0302146001853784)); #144870=CARTESIAN_POINT('Ctrl Pts',(-1.87124032809875,-0.252117850440698, -0.0302604753776413)); #144871=CARTESIAN_POINT('Ctrl Pts',(-1.8691992831322,-0.247201848447636, -0.030214600269298)); #144872=CARTESIAN_POINT('Ctrl Pts',(-1.86747137678386,-0.245413556061496, -0.0301745240643729)); #144873=CARTESIAN_POINT('',(-1.86747137678386,-0.245413556061496,-0.0301745240643705)); #144874=CARTESIAN_POINT('Origin',(-1.87466367919789,-0.238468030355251, -0.03)); #144875=CARTESIAN_POINT('Ctrl Pts',(-1.86747137678386,-0.245413556061496, -0.0301745240643729)); #144876=CARTESIAN_POINT('Ctrl Pts',(-1.8691992831322,-0.247201848447636, -0.030214600269298)); #144877=CARTESIAN_POINT('Ctrl Pts',(-1.87124032809875,-0.252117850440698, -0.0302604753776413)); #144878=CARTESIAN_POINT('Ctrl Pts',(-1.86941743860323,-0.257119069055964, -0.0302146001853784)); #144879=CARTESIAN_POINT('Ctrl Pts',(-1.86776984208315,-0.258981614547286, -0.0301745240643729)); #144880=CARTESIAN_POINT('Origin',(-1.60021287378285,0.0457340982962679, -0.03)); #144881=CARTESIAN_POINT('',(-1.68579665085158,-0.057283870109025,-0.0301745240643728)); #144882=CARTESIAN_POINT('Origin',(-1.69298895326561,-0.0503383444027799, -0.03)); #144883=CARTESIAN_POINT('',(-1.59302057136882,0.0387885725900228,-0.0301745240643728)); #144884=CARTESIAN_POINT('Ctrl Pts',(-1.67253051971,-0.0438814232034189, -0.0168411907310395)); #144885=CARTESIAN_POINT('Ctrl Pts',(-1.67352912441056,-0.0448183126367343, -0.0207092954417331)); #144886=CARTESIAN_POINT('Ctrl Pts',(-1.67722434182939,-0.0484727416375433, -0.0275575808018836)); #144887=CARTESIAN_POINT('Ctrl Pts',(-1.68294624762102,-0.0543321911572519, -0.0301745240643729)); #144888=CARTESIAN_POINT('Ctrl Pts',(-1.68579665085158,-0.057283870109025, -0.0301745240643729)); #144889=CARTESIAN_POINT('Ctrl Pts',(-1.6708324152976,-0.0421011339130881, -0.0177107817902794)); #144890=CARTESIAN_POINT('Ctrl Pts',(-1.67196539501244,-0.0431642553336475, -0.022082305446488)); #144891=CARTESIAN_POINT('Ctrl Pts',(-1.67614642425346,-0.0472995762866942, -0.0298132922605628)); #144892=CARTESIAN_POINT('Ctrl Pts',(-1.68260914651115,-0.0539180740906755, -0.0327632506965792)); #144893=CARTESIAN_POINT('Ctrl Pts',(-1.6858291525108,-0.0572524836215612, -0.0327630348187179)); #144894=CARTESIAN_POINT('Ctrl Pts',(-1.66900412591198,-0.0370597826545099, -0.0194038401710828)); #144895=CARTESIAN_POINT('Ctrl Pts',(-1.67039574434769,-0.0383803766341381, -0.0247741981475644)); #144896=CARTESIAN_POINT('Ctrl Pts',(-1.67553304994832,-0.0434875639583031, -0.0342626087546891)); #144897=CARTESIAN_POINT('Ctrl Pts',(-1.68346659055114,-0.0516225747447445, -0.0378792418518293)); #144898=CARTESIAN_POINT('Ctrl Pts',(-1.68741961074942,-0.0557165959537249, -0.0378786188610803)); #144899=CARTESIAN_POINT('Ctrl Pts',(-1.67131040311101,-0.0319706446668628, -0.0200410774068638)); #144900=CARTESIAN_POINT('Ctrl Pts',(-1.67279394769342,-0.0334025271016202, -0.0258414662760445)); #144901=CARTESIAN_POINT('Ctrl Pts',(-1.67830112659812,-0.0389218736743247, -0.0360926267913304)); #144902=CARTESIAN_POINT('Ctrl Pts',(-1.68685542326483,-0.0477122220597997, -0.0400003396572687)); #144903=CARTESIAN_POINT('Ctrl Pts',(-1.69112665081872,-0.0521367489710352, -0.0399999999999999)); #144904=CARTESIAN_POINT('Ctrl Pts',(-1.67317845138215,-0.0301491382940116, -0.0199999999999998)); #144905=CARTESIAN_POINT('Ctrl Pts',(-1.67465150727145,-0.0315784360486475, -0.0258021570660403)); #144906=CARTESIAN_POINT('Ctrl Pts',(-1.68014972266495,-0.0371031014731778, -0.036074585106266)); #144907=CARTESIAN_POINT('Ctrl Pts',(-1.68871427254504,-0.0459099346746649, -0.0399999999999999)); #144908=CARTESIAN_POINT('Ctrl Pts',(-1.69298895326561,-0.0503383444027797, -0.0399999999999999)); #144909=CARTESIAN_POINT('',(-1.67253051971,-0.0438814232034189,-0.0168411907310395)); #144910=CARTESIAN_POINT('Origin',(-1.67972282212403,-0.0369358974971737, -0.0166666666666666)); #144911=CARTESIAN_POINT('Ctrl Pts',(-1.67253051971,-0.0438814232034189, -0.0168411907310395)); #144912=CARTESIAN_POINT('Ctrl Pts',(-1.67352912441056,-0.0448183126367343, -0.0207092954417331)); #144913=CARTESIAN_POINT('Ctrl Pts',(-1.67722434182939,-0.0484727416375433, -0.0275575808018836)); #144914=CARTESIAN_POINT('Ctrl Pts',(-1.68294624762102,-0.0543321911572519, -0.0301745240643729)); #144915=CARTESIAN_POINT('Ctrl Pts',(-1.68579665085158,-0.057283870109025, -0.0301745240643729)); #144916=CARTESIAN_POINT('Ctrl Pts',(-1.66706333995748,-0.0385475467032555, -0.00380554550735855)); #144917=CARTESIAN_POINT('Ctrl Pts',(-1.66943106927908,-0.0409036840467911, -0.00761483582478609)); #144918=CARTESIAN_POINT('Ctrl Pts',(-1.67129753855857,-0.0427246421351994, -0.0120652266309992)); #144919=CARTESIAN_POINT('Ctrl Pts',(-1.67253051971,-0.043881423203419,-0.0168411907310393)); #144920=CARTESIAN_POINT('Ctrl Pts',(-1.66572675407307,-0.0371204156294732, -0.00551903801186782)); #144921=CARTESIAN_POINT('Ctrl Pts',(-1.66793882283851,-0.0393216755813348, -0.00908227312969409)); #144922=CARTESIAN_POINT('Ctrl Pts',(-1.6696823353545,-0.0410222280542337, -0.0132456341410285)); #144923=CARTESIAN_POINT('Ctrl Pts',(-1.6708324152976,-0.0421011339130882, -0.0177107817902793)); #144924=CARTESIAN_POINT('Ctrl Pts',(-1.66460568016594,-0.032793310656824, -0.00889227872241822)); #144925=CARTESIAN_POINT('Ctrl Pts',(-1.66651282189902,-0.0346838126648944, -0.0119671889006984)); #144926=CARTESIAN_POINT('Ctrl Pts',(-1.66801359557819,-0.036139620006292, -0.0155554111381605)); #144927=CARTESIAN_POINT('Ctrl Pts',(-1.66900400143876,-0.0370597840931536, -0.0194038719571128)); #144928=CARTESIAN_POINT('Ctrl Pts',(-1.66718141087597,-0.0280098344548422, -0.0102499840569415)); #144929=CARTESIAN_POINT('Ctrl Pts',(-1.66896559453923,-0.0297650763383999, -0.0131107413104741)); #144930=CARTESIAN_POINT('Ctrl Pts',(-1.67037701136494,-0.0311190731567308, -0.0164580579815062)); #144931=CARTESIAN_POINT('Ctrl Pts',(-1.67131040311101,-0.0319706446668628, -0.0200410774068638)); #144932=CARTESIAN_POINT('Ctrl Pts',(-1.66903664102758,-0.0261886730875998, -0.0102232660822394)); #144933=CARTESIAN_POINT('Ctrl Pts',(-1.67082474456721,-0.02794391136869, -0.0130802338203149)); #144934=CARTESIAN_POINT('Ctrl Pts',(-1.67223872947405,-0.029296003312221, -0.0164180269249756)); #144935=CARTESIAN_POINT('Ctrl Pts',(-1.67317845138215,-0.0301491382940116, -0.0199999999999998)); #144936=CARTESIAN_POINT('',(-1.66706333995167,-0.0385475466970508,-0.00380554551480813)); #144937=CARTESIAN_POINT('Origin',(-1.67425564237151,-0.0316020209970103, -0.0036310214429857)); #144938=CARTESIAN_POINT('Ctrl Pts',(-1.66706333995748,-0.0385475467032555, -0.00380554550735855)); #144939=CARTESIAN_POINT('Ctrl Pts',(-1.66943106927908,-0.0409036840467911, -0.00761483582478609)); #144940=CARTESIAN_POINT('Ctrl Pts',(-1.67129753855857,-0.0427246421351994, -0.0120652266309992)); #144941=CARTESIAN_POINT('Ctrl Pts',(-1.67253051971,-0.043881423203419,-0.0168411907310393)); #144942=CARTESIAN_POINT('Ctrl Pts',(-0.955020586611214,-0.491024684487503, -0.00274406752085026)); #144943=CARTESIAN_POINT('Ctrl Pts',(-0.954459157866156,-0.492020544853471, -0.00306108182113941)); #144944=CARTESIAN_POINT('Ctrl Pts',(-0.953290900811668,-0.493985455389812, -0.00373963381163891)); #144945=CARTESIAN_POINT('Ctrl Pts',(-0.951174220155208,-0.498516929873686, -0.00573756590503164)); #144946=CARTESIAN_POINT('Ctrl Pts',(-0.950910097164251,-0.501730988819757, -0.0081406159011139)); #144947=CARTESIAN_POINT('Ctrl Pts',(-0.951566943216024,-0.503408176285021, -0.0101874608035722)); #144948=CARTESIAN_POINT('Ctrl Pts',(-0.956443974231629,-0.491429188734019, -0.00317571299842852)); #144949=CARTESIAN_POINT('Ctrl Pts',(-0.956068498300817,-0.492509096395495, -0.00359238811846655)); #144950=CARTESIAN_POINT('Ctrl Pts',(-0.955221408163874,-0.494453919030375, -0.0043994932421197)); #144951=CARTESIAN_POINT('Ctrl Pts',(-0.953651201170178,-0.498471418089297, -0.00647519425264094)); #144952=CARTESIAN_POINT('Ctrl Pts',(-0.953441407834943,-0.501015074166081, -0.00852656481283052)); #144953=CARTESIAN_POINT('Ctrl Pts',(-0.953970852769594,-0.502403629832188, -0.0102201011488866)); #144954=CARTESIAN_POINT('Ctrl Pts',(-0.959410280861548,-0.49251611213732, -0.00337853068428382)); #144955=CARTESIAN_POINT('Ctrl Pts',(-0.959447662301888,-0.49386237353301, -0.00380402690563884)); #144956=CARTESIAN_POINT('Ctrl Pts',(-0.959328638235788,-0.495968368579245, -0.00453420761074555)); #144957=CARTESIAN_POINT('Ctrl Pts',(-0.959045335636147,-0.499464233330498, -0.00626396918929573)); #144958=CARTESIAN_POINT('Ctrl Pts',(-0.95907045896543,-0.500961901177045, -0.00770863370207958)); #144959=CARTESIAN_POINT('Ctrl Pts',(-0.959394683613728,-0.5018864103323, -0.00886543663928585)); #144960=CARTESIAN_POINT('Ctrl Pts',(-0.961995785662477,-0.493930241014828, -0.00222227942992145)); #144961=CARTESIAN_POINT('Ctrl Pts',(-0.96226888271205,-0.495614877130577, -0.00227666961927515)); #144962=CARTESIAN_POINT('Ctrl Pts',(-0.962450332826955,-0.4980788426128, -0.00228421292161732)); #144963=CARTESIAN_POINT('Ctrl Pts',(-0.962504422938867,-0.501975719708647, -0.00289629675407944)); #144964=CARTESIAN_POINT('Ctrl Pts',(-0.962600101527535,-0.503675942254053, -0.00424487205428081)); #144965=CARTESIAN_POINT('Ctrl Pts',(-0.962949863831813,-0.504608248014747, -0.00547827466828898)); #144966=CARTESIAN_POINT('Ctrl Pts',(-0.963057695923461,-0.494645346108828, -0.00136382435021938)); #144967=CARTESIAN_POINT('Ctrl Pts',(-0.963351856982086,-0.496478984972963, -0.00117078391519377)); #144968=CARTESIAN_POINT('Ctrl Pts',(-0.963474067162868,-0.499086339769794, -0.000751369408455324)); #144969=CARTESIAN_POINT('Ctrl Pts',(-0.963259457299005,-0.503284562328026, -0.000839529748779262)); #144970=CARTESIAN_POINT('Ctrl Pts',(-0.963317914941985,-0.505335079867649, -0.00233895645839179)); #144971=CARTESIAN_POINT('Ctrl Pts',(-0.963741987917813,-0.506397517175353, -0.00375780513555374)); #144972=CARTESIAN_POINT('Ctrl Pts',(-0.963741987917813,-0.506397517175353, -0.00375780513555374)); #144973=CARTESIAN_POINT('Ctrl Pts',(-0.963317914941985,-0.505335079867649, -0.00233895645839179)); #144974=CARTESIAN_POINT('Ctrl Pts',(-0.963259457299005,-0.503284562328026, -0.000839529748779262)); #144975=CARTESIAN_POINT('Ctrl Pts',(-0.963474067162868,-0.499086339769794, -0.000751369408455324)); #144976=CARTESIAN_POINT('Ctrl Pts',(-0.963351856982086,-0.496478984972963, -0.00117078391519377)); #144977=CARTESIAN_POINT('Ctrl Pts',(-0.963057695923461,-0.494645346108828, -0.00136382435021938)); #144978=CARTESIAN_POINT('Ctrl Pts',(-0.955020586611214,-0.491024684487503, -0.00274406752085026)); #144979=CARTESIAN_POINT('Ctrl Pts',(-0.954459157866156,-0.492020544853471, -0.00306108182113941)); #144980=CARTESIAN_POINT('Ctrl Pts',(-0.953290900811668,-0.493985455389812, -0.00373963381163891)); #144981=CARTESIAN_POINT('Ctrl Pts',(-0.951174220155208,-0.498516929873686, -0.00573756590503164)); #144982=CARTESIAN_POINT('Ctrl Pts',(-0.950910097164251,-0.501730988819757, -0.0081406159011139)); #144983=CARTESIAN_POINT('Ctrl Pts',(-0.951566943216024,-0.503408176285021, -0.0101874608035722)); #144984=CARTESIAN_POINT('Ctrl Pts',(-1.65325159311964,-0.0309703799786119, -0.00147152562629385)); #144985=CARTESIAN_POINT('Ctrl Pts',(-1.65419767619991,-0.0317375010694278, -0.00156193619302091)); #144986=CARTESIAN_POINT('Ctrl Pts',(-1.65504806074761,-0.0323516892947494, -0.00152260076133423)); #144987=CARTESIAN_POINT('Ctrl Pts',(-1.6558317358078,-0.0328772960616533, -0.00145602218547936)); #144988=CARTESIAN_POINT('Ctrl Pts',(-1.65597248162311,-0.0329707386832722, -0.00144300983656528)); #144989=CARTESIAN_POINT('Ctrl Pts',(-1.65614511408125,-0.033083881664553, -0.00142592838467324)); #144990=CARTESIAN_POINT('Ctrl Pts',(-1.65631360287241,-0.033192330501054, -0.00140764689314587)); #144991=CARTESIAN_POINT('Ctrl Pts',(-1.65644599748942,-0.033276455318109, -0.00139255083128555)); #144992=CARTESIAN_POINT('Ctrl Pts',(-1.65651141777626,-0.0333176568137079, -0.00138483825361138)); #144993=CARTESIAN_POINT('Ctrl Pts',(-1.65654393637205,-0.0333380494495452, -0.00138095203727819)); #144994=CARTESIAN_POINT('Ctrl Pts',(-1.65338147318512,-0.0289664233432807, -0.00238102634647621)); #144995=CARTESIAN_POINT('Ctrl Pts',(-1.65412590727056,-0.0295437640547586, -0.0026221727309621)); #144996=CARTESIAN_POINT('Ctrl Pts',(-1.65481793777782,-0.0300165725511557, -0.0027907731943549)); #144997=CARTESIAN_POINT('Ctrl Pts',(-1.65546336326511,-0.0304438287624277, -0.00295228228010893)); #144998=CARTESIAN_POINT('Ctrl Pts',(-1.6555795019352,-0.0305201004333757, -0.00298095298746275)); #144999=CARTESIAN_POINT('Ctrl Pts',(-1.65572233825038,-0.0306131767636922, -0.00301589633852446)); #145000=CARTESIAN_POINT('Ctrl Pts',(-1.6558623017707,-0.0307032038924881, -0.00304935590359787)); #145001=CARTESIAN_POINT('Ctrl Pts',(-1.65597243126507,-0.0307736834524698, -0.00307584212529013)); #145002=CARTESIAN_POINT('Ctrl Pts',(-1.65602695252311,-0.0308083615580362, -0.00308881285519059)); #145003=CARTESIAN_POINT('Ctrl Pts',(-1.65605405447599,-0.0308255877120388, -0.00309530872392268)); #145004=CARTESIAN_POINT('Ctrl Pts',(-1.65379431176741,-0.0266950829608589, -0.00264429100077806)); #145005=CARTESIAN_POINT('Ctrl Pts',(-1.6543652938045,-0.0269788919098862, -0.00290530400153266)); #145006=CARTESIAN_POINT('Ctrl Pts',(-1.65492819439533,-0.0271716383530388, -0.00314224768943334)); #145007=CARTESIAN_POINT('Ctrl Pts',(-1.65547303347785,-0.0273307505179473, -0.00339036664951376)); #145008=CARTESIAN_POINT('Ctrl Pts',(-1.65557152348605,-0.0273586887671401, -0.00343517982981684)); #145009=CARTESIAN_POINT('Ctrl Pts',(-1.65569339753998,-0.027392203684049, -0.00349077167142909)); #145010=CARTESIAN_POINT('Ctrl Pts',(-1.65581383476147,-0.0274237933888489, -0.00354552983978863)); #145011=CARTESIAN_POINT('Ctrl Pts',(-1.65590915203871,-0.0274481123062106, -0.0035893801506415)); #145012=CARTESIAN_POINT('Ctrl Pts',(-1.65595653577113,-0.0274599322644377, -0.00361113797789464)); #145013=CARTESIAN_POINT('Ctrl Pts',(-1.65598013331733,-0.0274657724995396, -0.00362205218177855)); #145014=CARTESIAN_POINT('Ctrl Pts',(-1.65440537989547,-0.0246225176200409, -0.00220728843944081)); #145015=CARTESIAN_POINT('Ctrl Pts',(-1.65485067183125,-0.0246583863215988, -0.00234290428230283)); #145016=CARTESIAN_POINT('Ctrl Pts',(-1.6553424505356,-0.0246284559944067, -0.00247934645397166)); #145017=CARTESIAN_POINT('Ctrl Pts',(-1.65585730771888,-0.0245819275896376, -0.00262349692348192)); #145018=CARTESIAN_POINT('Ctrl Pts',(-1.65595109342956,-0.0245729664877025, -0.0026497218726382)); #145019=CARTESIAN_POINT('Ctrl Pts',(-1.65606835568477,-0.0245612710286126, -0.00268255752281442)); #145020=CARTESIAN_POINT('Ctrl Pts',(-1.65618575441899,-0.0245488526344681, -0.00271548263976194)); #145021=CARTESIAN_POINT('Ctrl Pts',(-1.65627969102575,-0.0245386077722153, -0.00274189100616139)); #145022=CARTESIAN_POINT('Ctrl Pts',(-1.65632667178256,-0.0245333775839056, -0.00275511923071608)); #145023=CARTESIAN_POINT('Ctrl Pts',(-1.65635016189671,-0.0245307412054548, -0.00276173987990594)); #145024=CARTESIAN_POINT('',(-1.65654393637205,-0.0333380494495452,-0.00138095203727819)); #145025=CARTESIAN_POINT('Origin',(-1.65924888947595,-0.0275575398703826, 0.00631768016012545)); #145026=CARTESIAN_POINT('',(-1.65325159311964,-0.0309703799786109,-0.00147152562629385)); #145027=CARTESIAN_POINT('Origin',(-1.65700769911006,-0.0273431506440135, 0.00705694874741233)); #145028=CARTESIAN_POINT('Ctrl Pts',(-1.65325159311964,-0.0309703799786119, -0.00147152562629385)); #145029=CARTESIAN_POINT('Ctrl Pts',(-1.65419767619991,-0.0317375010694278, -0.00156193619302091)); #145030=CARTESIAN_POINT('Ctrl Pts',(-1.65504806074761,-0.0323516892947494, -0.00152260076133423)); #145031=CARTESIAN_POINT('Ctrl Pts',(-1.6558317358078,-0.0328772960616533, -0.00145602218547936)); #145032=CARTESIAN_POINT('Ctrl Pts',(-1.65597248162311,-0.0329707386832722, -0.00144300983656528)); #145033=CARTESIAN_POINT('Ctrl Pts',(-1.65614511408125,-0.033083881664553, -0.00142592838467324)); #145034=CARTESIAN_POINT('Ctrl Pts',(-1.65631360287241,-0.033192330501054, -0.00140764689314587)); #145035=CARTESIAN_POINT('Ctrl Pts',(-1.65644599748942,-0.033276455318109, -0.00139255083128555)); #145036=CARTESIAN_POINT('Ctrl Pts',(-1.65651141777626,-0.0333176568137079, -0.00138483825361138)); #145037=CARTESIAN_POINT('Ctrl Pts',(-1.65654393637205,-0.0333380494495452, -0.00138095203727819)); #145038=CARTESIAN_POINT('Ctrl Pts',(-1.63898357154423,-0.0237122020689426, 0.)); #145039=CARTESIAN_POINT('Ctrl Pts',(-1.63903209052866,-0.0237365470963092, -6.19613001405453E-18)); #145040=CARTESIAN_POINT('Ctrl Pts',(-1.63908060912496,-0.0237608891110665, -4.87296911415204E-8)); #145041=CARTESIAN_POINT('Ctrl Pts',(-1.64389252458882,-0.0261756305450822, -9.65162983519088E-6)); #145042=CARTESIAN_POINT('Ctrl Pts',(-1.64872351382208,-0.028593626944884, -0.000488123802959845)); #145043=CARTESIAN_POINT('Ctrl Pts',(-1.65325159314829,-0.0309703800082797, -0.00147152562629417)); #145044=CARTESIAN_POINT('Ctrl Pts',(-1.63898357154423,-0.0237122020689426, -6.30134604462249E-31)); #145045=CARTESIAN_POINT('Ctrl Pts',(-1.63903348257576,-0.0237292854077648, 1.76774427126151E-7)); #145046=CARTESIAN_POINT('Ctrl Pts',(-1.63908340606351,-0.0237464224783192, -2.62648760140471E-7)); #145047=CARTESIAN_POINT('Ctrl Pts',(-1.6440335056151,-0.0254423354490121, -1.01062424936986E-5)); #145048=CARTESIAN_POINT('Ctrl Pts',(-1.64900105122545,-0.0271426183278032, -0.000836139224277991)); #145049=CARTESIAN_POINT('Ctrl Pts',(-1.65338147320364,-0.0289664233654156, -0.00238102635175187)); #145050=CARTESIAN_POINT('Ctrl Pts',(-1.63898357154423,-0.0237122020689425, -6.24502254070168E-31)); #145051=CARTESIAN_POINT('Ctrl Pts',(-1.63903487562628,-0.0237220592580858, 1.38409901602643E-7)); #145052=CARTESIAN_POINT('Ctrl Pts',(-1.63908620292574,-0.0237319195117133, -2.31305012651164E-7)); #145053=CARTESIAN_POINT('Ctrl Pts',(-1.64417448210535,-0.0247100768692057, -1.30715954252496E-5)); #145054=CARTESIAN_POINT('Ctrl Pts',(-1.64928220782308,-0.0256856730724706, -0.00091278798691565)); #145055=CARTESIAN_POINT('Ctrl Pts',(-1.65379431177589,-0.0266950829711817, -0.00264429100619237)); #145056=CARTESIAN_POINT('Ctrl Pts',(-1.63898357154423,-0.0237122020689425, 0.)); #145057=CARTESIAN_POINT('Ctrl Pts',(-1.63903627925697,-0.0237148186135298, -6.26803131427972E-18)); #145058=CARTESIAN_POINT('Ctrl Pts',(-1.63908898752359,-0.0237174316579956, -7.30945366965073E-8)); #145059=CARTESIAN_POINT('Ctrl Pts',(-1.64431605423999,-0.0239773748799716, -1.44774447524174E-5)); #145060=CARTESIAN_POINT('Ctrl Pts',(-1.64956190923426,-0.0242323713431505, -0.000732185704439683)); #145061=CARTESIAN_POINT('Ctrl Pts',(-1.65440537989547,-0.0246225176200409, -0.00220728843944098)); #145062=CARTESIAN_POINT('Ctrl Pts',(-1.63898357154423,-0.0237122020689426, 0.)); #145063=CARTESIAN_POINT('Ctrl Pts',(-1.63903209052866,-0.0237365470963092, -6.19613001405453E-18)); #145064=CARTESIAN_POINT('Ctrl Pts',(-1.63908060912496,-0.0237608891110665, -4.87296911415204E-8)); #145065=CARTESIAN_POINT('Ctrl Pts',(-1.6438925245771,-0.0261756305391987, -9.65162981179348E-6)); #145066=CARTESIAN_POINT('Ctrl Pts',(-1.6487235137983,-0.0285936269329811, -0.000488123800604507)); #145067=CARTESIAN_POINT('Ctrl Pts',(-1.65325159311485,-0.0309703799907299, -0.0014715256190328)); #145068=CARTESIAN_POINT('Ctrl Pts',(-1.66903664102746,-0.0261886730874812, -0.0102232660820465)); #145069=CARTESIAN_POINT('Ctrl Pts',(-1.66807632385905,-0.0252460065031165, -0.00868890573445283)); #145070=CARTESIAN_POINT('Ctrl Pts',(-1.66561165381553,-0.0241413711109389, -0.00638915689383352)); #145071=CARTESIAN_POINT('Ctrl Pts',(-1.66154382813931,-0.0239758751841457, -0.0044390559949786)); #145072=CARTESIAN_POINT('Ctrl Pts',(-1.65861297198792,-0.0242420926588253, -0.00341548746105624)); #145073=CARTESIAN_POINT('Ctrl Pts',(-1.65710439824831,-0.0244460905966062, -0.00297432012790653)); #145074=CARTESIAN_POINT('Ctrl Pts',(-1.65635016189671,-0.0245307412054547, -0.00276173987990576)); #145075=CARTESIAN_POINT('Ctrl Pts',(-1.66718141087581,-0.028009834454686, -0.0102499840566896)); #145076=CARTESIAN_POINT('Ctrl Pts',(-1.66638154020988,-0.0272381396213152, -0.00897980077530463)); #145077=CARTESIAN_POINT('Ctrl Pts',(-1.66440185999324,-0.0263496414188869, -0.0070421275313506)); #145078=CARTESIAN_POINT('Ctrl Pts',(-1.66099591950613,-0.0260688727077076, -0.0051420214797782)); #145079=CARTESIAN_POINT('Ctrl Pts',(-1.65836366506647,-0.026060768960454, -0.00401264775191442)); #145080=CARTESIAN_POINT('Ctrl Pts',(-1.65692213072065,-0.0260488977346531, -0.00347046344446924)); #145081=CARTESIAN_POINT('Ctrl Pts',(-1.65616514760702,-0.0259982568524971, -0.00319189603084209)); #145082=CARTESIAN_POINT('Ctrl Pts',(-1.66460562594218,-0.0327933173504868, -0.00889230828525586)); #145083=CARTESIAN_POINT('Ctrl Pts',(-1.66406331525559,-0.0322970589760524, -0.00802379053303689)); #145084=CARTESIAN_POINT('Ctrl Pts',(-1.66288396219814,-0.0316609210468, -0.00667885785198345)); #145085=CARTESIAN_POINT('Ctrl Pts',(-1.66047625701919,-0.0308388925131327, -0.00516416999042916)); #145086=CARTESIAN_POINT('Ctrl Pts',(-1.6582278700343,-0.0300709228380955, -0.00418649076055344)); #145087=CARTESIAN_POINT('Ctrl Pts',(-1.65681787006784,-0.0295229085975865, -0.00367742267433771)); #145088=CARTESIAN_POINT('Ctrl Pts',(-1.65600564468204,-0.0291513063769931, -0.00339296069326141)); #145089=CARTESIAN_POINT('Ctrl Pts',(-1.66572675407278,-0.0371204156291777, -0.00551903801140946)); #145090=CARTESIAN_POINT('Ctrl Pts',(-1.6651863774818,-0.0366056343312231, -0.00459485372759982)); #145091=CARTESIAN_POINT('Ctrl Pts',(-1.66388596964597,-0.0358442432953106, -0.0032807359158014)); #145092=CARTESIAN_POINT('Ctrl Pts',(-1.66129444471465,-0.0347251675670561, -0.00247416027125396)); #145093=CARTESIAN_POINT('Ctrl Pts',(-1.65885178950527,-0.0335650446768299, -0.00229255408361595)); #145094=CARTESIAN_POINT('Ctrl Pts',(-1.65725719084935,-0.0326850264976105, -0.00227707139205457)); #145095=CARTESIAN_POINT('Ctrl Pts',(-1.65629899542402,-0.032081818580792, -0.00223813038060022)); #145096=CARTESIAN_POINT('Ctrl Pts',(-1.66706333995717,-0.0385475467029503, -0.00380554550688442)); #145097=CARTESIAN_POINT('Ctrl Pts',(-1.66645551666894,-0.0379448117943772, -0.00274358248885631)); #145098=CARTESIAN_POINT('Ctrl Pts',(-1.66489893224421,-0.0370571352703939, -0.00126338949421727)); #145099=CARTESIAN_POINT('Ctrl Pts',(-1.66195305080265,-0.0360143433316747, -0.00072116320878716)); #145100=CARTESIAN_POINT('Ctrl Pts',(-1.65930547921574,-0.0349020046574705, -0.000967207031847552)); #145101=CARTESIAN_POINT('Ctrl Pts',(-1.65758806527228,-0.0339928298886495, -0.0012561707948043)); #145102=CARTESIAN_POINT('Ctrl Pts',(-1.65654393637205,-0.0333380494495452, -0.00138095203727792)); #145103=CARTESIAN_POINT('Ctrl Pts',(-1.65654393637205,-0.0333380494495452, -0.00138095203727792)); #145104=CARTESIAN_POINT('Ctrl Pts',(-1.65758806527228,-0.0339928298886495, -0.0012561707948043)); #145105=CARTESIAN_POINT('Ctrl Pts',(-1.65930547921574,-0.0349020046574705, -0.000967207031847552)); #145106=CARTESIAN_POINT('Ctrl Pts',(-1.66195305080265,-0.0360143433316747, -0.00072116320878716)); #145107=CARTESIAN_POINT('Ctrl Pts',(-1.66489893224421,-0.0370571352703939, -0.00126338949421727)); #145108=CARTESIAN_POINT('Ctrl Pts',(-1.66645551666894,-0.0379448117943772, -0.00274358248885631)); #145109=CARTESIAN_POINT('Ctrl Pts',(-1.66706333995717,-0.0385475467029503, -0.00380554550688442)); #145110=CARTESIAN_POINT('Ctrl Pts',(-0.968262668911671,-0.491789386793482, 0.000504210104637824)); #145111=CARTESIAN_POINT('Ctrl Pts',(-0.969186552689429,-0.493977248920481, 0.00122124052779601)); #145112=CARTESIAN_POINT('Ctrl Pts',(-0.970897719852948,-0.49788885622151, 0.00420467585394966)); #145113=CARTESIAN_POINT('Ctrl Pts',(-0.971691565102878,-0.499405151006262, 0.00912514186418183)); #145114=CARTESIAN_POINT('Ctrl Pts',(-0.971780865871242,-0.499413087591242, 0.0116048928156924)); #145115=CARTESIAN_POINT('Ctrl Pts',(-0.965890592333371,-0.492813047168219, 0.000498169447670913)); #145116=CARTESIAN_POINT('Ctrl Pts',(-0.966820262671204,-0.495007203067321, 0.00121297156910336)); #145117=CARTESIAN_POINT('Ctrl Pts',(-0.968542141394769,-0.49894085770091, 0.00421618633161909)); #145118=CARTESIAN_POINT('Ctrl Pts',(-0.969327344851677,-0.500458540701256, 0.00916606671036078)); #145119=CARTESIAN_POINT('Ctrl Pts',(-0.969407453320514,-0.500460220906915, 0.0116495404297401)); #145120=CARTESIAN_POINT('Ctrl Pts',(-0.961414280221301,-0.495409545583574, -0.00153527488558834)); #145121=CARTESIAN_POINT('Ctrl Pts',(-0.96253111878205,-0.498015276935431, -0.000687859199801315)); #145122=CARTESIAN_POINT('Ctrl Pts',(-0.96457938831744,-0.502685686867849, 0.00287179561604534)); #145123=CARTESIAN_POINT('Ctrl Pts',(-0.965472006131831,-0.504487507489653, 0.0087494659482779)); #145124=CARTESIAN_POINT('Ctrl Pts',(-0.965541967036203,-0.504493943798009, 0.0117012379682075)); #145125=CARTESIAN_POINT('Ctrl Pts',(-0.959972776589212,-0.497636807655351, -0.00642152507815624)); #145126=CARTESIAN_POINT('Ctrl Pts',(-0.961502044702474,-0.501187299304686, -0.00525724359273726)); #145127=CARTESIAN_POINT('Ctrl Pts',(-0.964297027995451,-0.507554301954409, -0.000398460361304213)); #145128=CARTESIAN_POINT('Ctrl Pts',(-0.965508067815292,-0.510049571776967, 0.00761563531297192)); #145129=CARTESIAN_POINT('Ctrl Pts',(-0.965597491059392,-0.510080518925866, 0.0116495404297401)); #145130=CARTESIAN_POINT('Ctrl Pts',(-0.960224878670044,-0.498341191825546, -0.00889436794159909)); #145131=CARTESIAN_POINT('Ctrl Pts',(-0.961959615693608,-0.502368824130655, -0.00757025175903375)); #145132=CARTESIAN_POINT('Ctrl Pts',(-0.965128570381834,-0.509588292573086, -0.00206084118535485)); #145133=CARTESIAN_POINT('Ctrl Pts',(-0.96650600421957,-0.512427405438137, 0.00702561938798377)); #145134=CARTESIAN_POINT('Ctrl Pts',(-0.966610385866337,-0.512468744088369, 0.0116048928156924)); #145135=CARTESIAN_POINT('',(-0.966610385866336,-0.512468744088369,0.0116048928156924)); #145136=CARTESIAN_POINT('Ctrl Pts',(-0.963739228259806,-0.506390671070832, -0.00375008774487281)); #145137=CARTESIAN_POINT('Ctrl Pts',(-0.964078391947052,-0.507154021694589, -0.00295163929289102)); #145138=CARTESIAN_POINT('Ctrl Pts',(-0.965643451997677,-0.510649546463814, 0.00133565697965575)); #145139=CARTESIAN_POINT('Ctrl Pts',(-0.96650600421957,-0.512427405438137, 0.00702561938798377)); #145140=CARTESIAN_POINT('Ctrl Pts',(-0.966610385866337,-0.512468744088369, 0.0116048928156924)); #145141=CARTESIAN_POINT('',(-0.971780865871242,-0.499413087591242,0.0116048928156924)); #145142=CARTESIAN_POINT('Origin',(-0.975814032427383,-0.508562027905911, 0.0114303687513196)); #145143=CARTESIAN_POINT('',(-0.968262668911671,-0.491789386793482,0.000504210104637831)); #145144=CARTESIAN_POINT('Ctrl Pts',(-0.971780865871242,-0.499413087591242, 0.0116048928156924)); #145145=CARTESIAN_POINT('Ctrl Pts',(-0.971691565102878,-0.499405151006262, 0.00912514186418183)); #145146=CARTESIAN_POINT('Ctrl Pts',(-0.970897719852948,-0.49788885622151, 0.00420467585394966)); #145147=CARTESIAN_POINT('Ctrl Pts',(-0.969186552689429,-0.493977248920481, 0.00122124052779601)); #145148=CARTESIAN_POINT('Ctrl Pts',(-0.968262668911671,-0.491789386793482, 0.000504210104637824)); #145149=CARTESIAN_POINT('Origin',(-0.969428525231091,-0.494434475643088, -0.00906889200597183)); #145150=CARTESIAN_POINT('Origin',(-0.959320008179751,-0.494471929088102, 0.03)); #145151=CARTESIAN_POINT('',(-1.10318737000451,-0.828016089237503,0.150567796543041)); #145152=CARTESIAN_POINT('Ctrl Pts',(-1.10318737000451,-0.828016089237503, 0.150567796543041)); #145153=CARTESIAN_POINT('Ctrl Pts',(-1.10265730682241,-0.829458761604656, 0.0903203704726125)); #145154=CARTESIAN_POINT('Ctrl Pts',(-1.10212694598522,-0.8309007333728, 0.0300729302446061)); #145155=CARTESIAN_POINT('Ctrl Pts',(-1.10159628870184,-0.832342007386558, -0.0301745240643729)); #145156=CARTESIAN_POINT('',(-0.986199313780489,-0.550238100177194,0.199174524064373)); #145157=CARTESIAN_POINT('Ctrl Pts',(-0.986199313780489,-0.550238100177194, 0.199174524064373)); #145158=CARTESIAN_POINT('Ctrl Pts',(-1.02613219525287,-0.644314073468062, 0.199174524064373)); #145159=CARTESIAN_POINT('Ctrl Pts',(-1.06579672196579,-0.738493716187825, 0.18270201407062)); #145160=CARTESIAN_POINT('Ctrl Pts',(-1.10318737000451,-0.828016089237503, 0.150567796543041)); #145161=CARTESIAN_POINT('',(-0.970885076080918,-0.514160017061874,0.199174524064373)); #145162=CARTESIAN_POINT('',(-0.232484310983419,1.22540317231915,0.199174524064373)); #145163=CARTESIAN_POINT('Ctrl Pts',(-0.966610385866336,-0.512468744088369, 0.0116048928156924)); #145164=CARTESIAN_POINT('Ctrl Pts',(-0.968035562693902,-0.513033162146703, 0.0741280908912868)); #145165=CARTESIAN_POINT('Ctrl Pts',(-0.969460459059155,-0.513596918924965, 0.136651301329425)); #145166=CARTESIAN_POINT('Ctrl Pts',(-0.970885076080919,-0.514160017061875, 0.199174524064373)); #145167=CARTESIAN_POINT('Ctrl Pts',(-1.10159485506822,-0.832345901155673, -0.0303372896293952)); #145168=CARTESIAN_POINT('Ctrl Pts',(-1.10212599076363,-0.830903330476151, 0.0299644198932968)); #145169=CARTESIAN_POINT('Ctrl Pts',(-1.10265682947979,-0.829460060787533, 0.0902661153097131)); #145170=CARTESIAN_POINT('Ctrl Pts',(-1.10318737000451,-0.828016089237503, 0.150567796543041)); #145171=CARTESIAN_POINT('Ctrl Pts',(-1.10265882489119,-0.834850199314508, -0.0302867518458229)); #145172=CARTESIAN_POINT('Ctrl Pts',(-1.10319023272809,-0.833408338712546, 0.0300149951707758)); #145173=CARTESIAN_POINT('Ctrl Pts',(-1.10372140999166,-0.831965723385771, 0.0903167260785671)); #145174=CARTESIAN_POINT('Ctrl Pts',(-1.10425222332286,-0.830522463837911, 0.150618444977976)); #145175=CARTESIAN_POINT('Ctrl Pts',(-1.10694175660411,-0.838914148979185, -0.0302272557429099)); #145176=CARTESIAN_POINT('Ctrl Pts',(-1.10747502594074,-0.837473062052474, 0.0300745387220054)); #145177=CARTESIAN_POINT('Ctrl Pts',(-1.10800799495122,-0.836031325391193, 0.0903763207585579)); #145178=CARTESIAN_POINT('Ctrl Pts',(-1.10854067595247,-0.834588840775543, 0.150678087339976)); #145179=CARTESIAN_POINT('Ctrl Pts',(-1.11283744451121,-0.838597834204725, -0.0302867518458229)); #145180=CARTESIAN_POINT('Ctrl Pts',(-1.11337250732412,-0.837154705041703, 0.0300149951707757)); #145181=CARTESIAN_POINT('Ctrl Pts',(-1.11390723109961,-0.835710795745456, 0.0903167260785671)); #145182=CARTESIAN_POINT('Ctrl Pts',(-1.11444170882183,-0.834266259886489, 0.150618444977976)); #145183=CARTESIAN_POINT('Ctrl Pts',(-1.11527133806878,-0.837381403687623, -0.0303372896293952)); #145184=CARTESIAN_POINT('Ctrl Pts',(-1.11580650852287,-0.835936814849495, 0.0299644198932968)); #145185=CARTESIAN_POINT('Ctrl Pts',(-1.11634138593245,-0.834491521620207, 0.0902661153097131)); #145186=CARTESIAN_POINT('Ctrl Pts',(-1.11687596909546,-0.83304552111534, 0.150567796543041)); #145187=CARTESIAN_POINT('',(-1.11687596909546,-0.83304552111534,0.150567796543041)); #145188=CARTESIAN_POINT('Ctrl Pts',(-1.11527278259298,-0.837377504471108, -0.030174524064375)); #145189=CARTESIAN_POINT('Ctrl Pts',(-1.11580747101192,-0.835934214104864, 0.0300729302446047)); #145190=CARTESIAN_POINT('Ctrl Pts',(-1.11634186691234,-0.834490220611822, 0.0903203704726118)); #145191=CARTESIAN_POINT('Ctrl Pts',(-1.11687596909546,-0.83304552111534, 0.150567796543041)); #145192=CARTESIAN_POINT('Origin',(-1.11239101656556,-0.824109373055045, 0.150393272478668)); #145193=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #145194=CARTESIAN_POINT('',(-1.86346630283749,-0.25908364921063,0.150567796543041)); #145195=CARTESIAN_POINT('Ctrl Pts',(-1.86346630283749,-0.25908364921063, 0.150567796543041)); #145196=CARTESIAN_POINT('Ctrl Pts',(-1.86490121906068,-0.259050048201666, 0.0903203655944255)); #145197=CARTESIAN_POINT('Ctrl Pts',(-1.86633573166535,-0.259016036161775, 0.0300729253774208)); #145198=CARTESIAN_POINT('Ctrl Pts',(-1.86776984208315,-0.258981614547286, -0.0301745240643729)); #145199=CARTESIAN_POINT('Origin',(-3.58418456768751E-15,1.3923,0.150567796543041)); #145200=CARTESIAN_POINT('Ctrl Pts',(-1.86317562760624,-0.245506789783992, 0.150567796543041)); #145201=CARTESIAN_POINT('Ctrl Pts',(-1.86460895593554,-0.24547610278706, 0.0902777261346185)); #145202=CARTESIAN_POINT('Ctrl Pts',(-1.86604188499945,-0.245445002105482, 0.0299876464446828)); #145203=CARTESIAN_POINT('Ctrl Pts',(-1.86747441620819,-0.245413489200695, -0.0303024424830731)); #145204=CARTESIAN_POINT('Ctrl Pts',(-1.86490320266924,-0.247296755255431, 0.150607956615794)); #145205=CARTESIAN_POINT('Ctrl Pts',(-1.86633606084024,-0.247265606975641, 0.090317859424793)); #145206=CARTESIAN_POINT('Ctrl Pts',(-1.86776856917893,-0.247234017601516, 0.0300277542435394)); #145207=CARTESIAN_POINT('Ctrl Pts',(-1.86920063142273,-0.247202044524061, -0.0302623613580128)); #145208=CARTESIAN_POINT('Ctrl Pts',(-1.86694024252096,-0.252217732190946, 0.150653880213237)); #145209=CARTESIAN_POINT('Ctrl Pts',(-1.86837300832732,-0.252184906456579, 0.0903637502148514)); #145210=CARTESIAN_POINT('Ctrl Pts',(-1.86980535938486,-0.252151667235026, 0.0300736106197667)); #145211=CARTESIAN_POINT('Ctrl Pts',(-1.87123732864752,-0.252118021453892, -0.0302165376467945)); #145212=CARTESIAN_POINT('Ctrl Pts',(-1.86511568508388,-0.257221384408692, 0.150607956615794)); #145213=CARTESIAN_POINT('Ctrl Pts',(-1.86655043921191,-0.25718758723368, 0.0903178594247929)); #145214=CARTESIAN_POINT('Ctrl Pts',(-1.86798483045135,-0.257153422878474, 0.0300277542435395)); #145215=CARTESIAN_POINT('Ctrl Pts',(-1.86941878092432,-0.257118806286151, -0.0302623613580128)); #145216=CARTESIAN_POINT('Ctrl Pts',(-1.86346630283749,-0.25908364921063, 0.150567796543041)); #145217=CARTESIAN_POINT('Ctrl Pts',(-1.86490223460693,-0.25905002442092, 0.0902777261346184)); #145218=CARTESIAN_POINT('Ctrl Pts',(-1.86633776218634,-0.259015988018271, 0.0299876464446827)); #145219=CARTESIAN_POINT('Ctrl Pts',(-1.8677728870104,-0.258981541462108, -0.0303024424830731)); #145220=CARTESIAN_POINT('',(-1.86317562760624,-0.245506789783992,0.150567796543041)); #145221=CARTESIAN_POINT('Ctrl Pts',(-1.86747137678386,-0.245413556061497, -0.0301745240643705)); #145222=CARTESIAN_POINT('Ctrl Pts',(-1.86603985815401,-0.245445046096475, 0.0300729253774224)); #145223=CARTESIAN_POINT('Ctrl Pts',(-1.86460794223055,-0.245476124490086, 0.0903203655944264)); #145224=CARTESIAN_POINT('Ctrl Pts',(-1.86317562760624,-0.245506789783992, 0.150567796543041)); #145225=CARTESIAN_POINT('Origin',(-1.85598332519221,-0.252452315490238, 0.150393272478668)); #145226=CARTESIAN_POINT('Origin',(-1.89186914626524,-0.272190224483006, 0.03)); #145227=CARTESIAN_POINT('',(-1.6715658647356,-0.0435972742032626,0.0116048928156924)); #145228=CARTESIAN_POINT('Ctrl Pts',(-1.6715658647356,-0.0435972742032627, 0.0116048928156924)); #145229=CARTESIAN_POINT('Ctrl Pts',(-1.67156834934912,-0.0434846251334925, 0.00701940997972444)); #145230=CARTESIAN_POINT('Ctrl Pts',(-1.67026150118233,-0.0419878003628952, 0.00130680122980523)); #145231=CARTESIAN_POINT('Ctrl Pts',(-1.66763837363208,-0.0391634974046736, -0.00299026914418895)); #145232=CARTESIAN_POINT('Ctrl Pts',(-1.66705806896061,-0.0385422853287611, -0.00379770938636705)); #145233=CARTESIAN_POINT('',(-1.67146292614126,-0.0481946646372479,0.19880921365768)); #145234=CARTESIAN_POINT('Ctrl Pts',(-1.67146292614126,-0.0481946646372479, 0.19880921365768)); #145235=CARTESIAN_POINT('Ctrl Pts',(-1.67149773940121,-0.0466627190210407, 0.136407760937216)); #145236=CARTESIAN_POINT('Ctrl Pts',(-1.67153205293511,-0.0451302562353773, 0.0740063206343703)); #145237=CARTESIAN_POINT('Ctrl Pts',(-1.6715658647356,-0.0435972742032626, 0.0116048928156924)); #145238=CARTESIAN_POINT('Ctrl Pts',(-1.86317562760624,-0.245506789783993, 0.150567796543041)); #145239=CARTESIAN_POINT('Ctrl Pts',(-1.80119418399706,-0.182061201536161, 0.179940951417314)); #145240=CARTESIAN_POINT('Ctrl Pts',(-1.73637497590971,-0.115348340995563, 0.196233598453725)); #145241=CARTESIAN_POINT('Ctrl Pts',(-1.67146292614125,-0.0481946646372458, 0.19880921365768)); #145242=CARTESIAN_POINT('Ctrl Pts',(-1.65749448950092,-0.0432869127494748, 0.0116048928156924)); #145243=CARTESIAN_POINT('Ctrl Pts',(-1.65752198421518,-0.0432011201814695, 0.00912177936873742)); #145244=CARTESIAN_POINT('Ctrl Pts',(-1.65643769389757,-0.0418695720538996, 0.00419488072737379)); #145245=CARTESIAN_POINT('Ctrl Pts',(-1.65351057227396,-0.0387475331657473, 0.00121239480100479)); #145246=CARTESIAN_POINT('Ctrl Pts',(-1.6518612156819,-0.0370328292886742, 0.000498420089095909)); #145247=CARTESIAN_POINT('Ctrl Pts',(-1.6593831327647,-0.0414974329278462, 0.011649877344745)); #145248=CARTESIAN_POINT('Ctrl Pts',(-1.65941287716342,-0.0414226850860583, 0.00916557132528307)); #145249=CARTESIAN_POINT('Ctrl Pts',(-1.65832569729973,-0.0401020306398922, 0.00421381638850777)); #145250=CARTESIAN_POINT('Ctrl Pts',(-1.65538425080967,-0.0369673962128701, 0.00120949938984457)); #145251=CARTESIAN_POINT('Ctrl Pts',(-1.65373121578947,-0.0352469701888824, 0.000495664417246014)); #145252=CARTESIAN_POINT('Ctrl Pts',(-1.66461731304719,-0.039491466433592, 0.0117019929415488)); #145253=CARTESIAN_POINT('Ctrl Pts',(-1.66463870235433,-0.0394244032929798, 0.00875084332608812)); #145254=CARTESIAN_POINT('Ctrl Pts',(-1.66333094968195,-0.0378980523936802, 0.00287366071848637)); #145255=CARTESIAN_POINT('Ctrl Pts',(-1.65983433253615,-0.03418316612075, -0.000688789545153863)); #145256=CARTESIAN_POINT('Ctrl Pts',(-1.6578722103532,-0.0321332563543637, -0.0015364067621152)); #145257=CARTESIAN_POINT('Ctrl Pts',(-1.66975795810717,-0.0417262624361483, 0.011649877344745)); #145258=CARTESIAN_POINT('Ctrl Pts',(-1.66976421543765,-0.0416315593603376, 0.0076120884621405)); #145259=CARTESIAN_POINT('Ctrl Pts',(-1.66793669267687,-0.0395376090382548, -0.000409626414462434)); #145260=CARTESIAN_POINT('Ctrl Pts',(-1.66315898567278,-0.0344677757870708, -0.00526817645030949)); #145261=CARTESIAN_POINT('Ctrl Pts',(-1.66048382209035,-0.031668340051773, -0.00642881926149014)); #145262=CARTESIAN_POINT('Ctrl Pts',(-1.6715658647356,-0.0435972742032627, 0.0116048928156924)); #145263=CARTESIAN_POINT('Ctrl Pts',(-1.67156834934912,-0.0434846251334925, 0.00701940997972444)); #145264=CARTESIAN_POINT('Ctrl Pts',(-1.66948696257552,-0.0411006670279496, -0.00207892951911375)); #145265=CARTESIAN_POINT('Ctrl Pts',(-1.66406667594081,-0.0353494019570765, -0.00758658686785553)); #145266=CARTESIAN_POINT('Ctrl Pts',(-1.66103128568218,-0.0321730333224627, -0.00890506017030897)); #145267=CARTESIAN_POINT('',(-1.6518612156819,-0.0370328292886742,0.000498420089095909)); #145268=CARTESIAN_POINT('Origin',(-1.65383898326815,-0.0391185590287078, -0.00907958423468178)); #145269=CARTESIAN_POINT('',(-1.65749448950092,-0.0432869127494749,0.0116048928156924)); #145270=CARTESIAN_POINT('Ctrl Pts',(-1.6518612156819,-0.0370328292886742, 0.000498420089095909)); #145271=CARTESIAN_POINT('Ctrl Pts',(-1.65351057227396,-0.0387475331657473, 0.00121239480100479)); #145272=CARTESIAN_POINT('Ctrl Pts',(-1.65643769389757,-0.0418695720538996, 0.00419488072737379)); #145273=CARTESIAN_POINT('Ctrl Pts',(-1.65752198421518,-0.0432011201814695, 0.00912177936873742)); #145274=CARTESIAN_POINT('Ctrl Pts',(-1.65749448950092,-0.0432869127494748, 0.0116048928156924)); #145275=CARTESIAN_POINT('Origin',(-1.66437356232157,-0.0505427999095078, 0.0114303687513196)); #145276=CARTESIAN_POINT('Ctrl Pts',(-1.6518612156819,-0.0370328292886745, 0.00049842008909632)); #145277=CARTESIAN_POINT('Ctrl Pts',(-1.65109909094175,-0.0362405092694468, 0.000168510963076469)); #145278=CARTESIAN_POINT('Ctrl Pts',(-1.6502992769584,-0.0354171908873728, -5.61170719296877E-12)); #145279=CARTESIAN_POINT('Ctrl Pts',(-1.64949548716285,-0.0345976093486776, -2.59525559398105E-17)); #145280=CARTESIAN_POINT('Ctrl Pts',(-1.65339451746737,-0.0355685187064283, 0.000496160583040246)); #145281=CARTESIAN_POINT('Ctrl Pts',(-1.65263025880773,-0.0347732538911112, 0.000165969955461483)); #145282=CARTESIAN_POINT('Ctrl Pts',(-1.65182758114442,-0.0339456984489131, -1.89429445440666E-6)); #145283=CARTESIAN_POINT('Ctrl Pts',(-1.65102247727113,-0.0331230121416125, 9.47124696986976E-14)); #145284=CARTESIAN_POINT('Ctrl Pts',(-1.65506139036417,-0.0342533156907109, -0.000172825390653333)); #145285=CARTESIAN_POINT('Ctrl Pts',(-1.65425017598988,-0.0334078493076793, -0.000523197438875817)); #145286=CARTESIAN_POINT('Ctrl Pts',(-1.65339877188936,-0.0325277031114113, -0.000700799350721018)); #145287=CARTESIAN_POINT('Ctrl Pts',(-1.65254536173314,-0.0316523797063315, -0.000697848465166466)); #145288=CARTESIAN_POINT('Ctrl Pts',(-1.65654393637205,-0.0333380494495458, -0.00138095203727808)); #145289=CARTESIAN_POINT('Ctrl Pts',(-1.65564978873281,-0.032404840055639, -0.00176748802606496)); #145290=CARTESIAN_POINT('Ctrl Pts',(-1.65471279924288,-0.0314339198042104, -0.00196326227186271)); #145291=CARTESIAN_POINT('Ctrl Pts',(-1.65377303878518,-0.0304668257493061, -0.00196015056243257)); #145292=CARTESIAN_POINT('Origin',(-1.64949548712921,-0.0345976093131996, -0.01)); #145293=CARTESIAN_POINT('Ctrl Pts',(-1.64949548716285,-0.0345976093486776, -2.59525559398105E-17)); #145294=CARTESIAN_POINT('Ctrl Pts',(-1.6502992769584,-0.0354171908873728, -5.61170719296877E-12)); #145295=CARTESIAN_POINT('Ctrl Pts',(-1.65109909094175,-0.0362405092694468, 0.000168510963076469)); #145296=CARTESIAN_POINT('Ctrl Pts',(-1.6518612156819,-0.0370328292886745, 0.00049842008909632)); #145297=CARTESIAN_POINT('Origin',(-1.23357763874503,0.39609793073517,-0.01)); #145298=CARTESIAN_POINT('Ctrl Pts',(1.63898357154423,-0.023712202068943, -7.10280478352708E-17)); #145299=CARTESIAN_POINT('Ctrl Pts',(1.6390362792576,-0.0237148186135275, -7.09944033786436E-17)); #145300=CARTESIAN_POINT('Ctrl Pts',(1.6390889875247,-0.0237174316580288, -7.3094538526124E-8)); #145301=CARTESIAN_POINT('Ctrl Pts',(1.64431605476048,-0.0239773749064445, -1.44774463068006E-5)); #145302=CARTESIAN_POINT('Ctrl Pts',(1.64956191030025,-0.0242323713935725, -0.000732185849386385)); #145303=CARTESIAN_POINT('Ctrl Pts',(1.65440538137522,-0.0246225177392363, -0.00220728889010499)); #145304=CARTESIAN_POINT('Ctrl Pts',(1.63898357154423,-0.023712202068943, -7.10280478352708E-17)); #145305=CARTESIAN_POINT('Ctrl Pts',(1.6390348756269,-0.0237220592581738, 1.38409962341985E-7)); #145306=CARTESIAN_POINT('Ctrl Pts',(1.63908620292682,-0.0237319195119012, -2.31305075984005E-7)); #145307=CARTESIAN_POINT('Ctrl Pts',(1.64417448261195,-0.0247100769671991, -1.30715952316427E-5)); #145308=CARTESIAN_POINT('Ctrl Pts',(1.6492822088612,-0.0256856732693488, -0.000912788171436867)); #145309=CARTESIAN_POINT('Ctrl Pts',(1.65379431315437,-0.0266950832795364, -0.00264429153363911)); #145310=CARTESIAN_POINT('Ctrl Pts',(1.63898357154423,-0.023712202068943, -7.10280478352708E-17)); #145311=CARTESIAN_POINT('Ctrl Pts',(1.63903348257637,-0.0237292854079345, 1.76774503343847E-7)); #145312=CARTESIAN_POINT('Ctrl Pts',(1.63908340606456,-0.023746422478671, -2.62648838881388E-7)); #145313=CARTESIAN_POINT('Ctrl Pts',(1.64403350610823,-0.0254423356181918, -1.01062415531449E-5)); #145314=CARTESIAN_POINT('Ctrl Pts',(1.64900105223449,-0.0271426186724672, -0.000836139394646751)); #145315=CARTESIAN_POINT('Ctrl Pts',(1.65338147454193,-0.0289664239223423, -0.00238102682180774)); #145316=CARTESIAN_POINT('Ctrl Pts',(1.63898357154423,-0.023712202068943, -7.10280478352708E-17)); #145317=CARTESIAN_POINT('Ctrl Pts',(1.63903209052926,-0.0237365470965811, -7.11249929868812E-17)); #145318=CARTESIAN_POINT('Ctrl Pts',(1.63908060912598,-0.0237608891115612, -4.87296923383499E-8)); #145319=CARTESIAN_POINT('Ctrl Pts',(1.64389252506823,-0.0261756307861285, -9.65163087126208E-6)); #145320=CARTESIAN_POINT('Ctrl Pts',(1.64872351480323,-0.0285936274349394, -0.000488123899590884)); #145321=CARTESIAN_POINT('Ctrl Pts',(1.65325159453168,-0.0309703807344109, -0.00147152592673671)); #145322=CARTESIAN_POINT('',(1.65440538137522,-0.0246225177392362,-0.00220728889010498)); #145323=CARTESIAN_POINT('',(1.65325159453168,-0.0309703807344106,-0.00147152592673684)); #145324=CARTESIAN_POINT('Origin',(1.65700770084644,-0.0273431510292652, 0.00705694814652667)); #145325=CARTESIAN_POINT('Ctrl Pts',(1.65325159453168,-0.0309703807344109, -0.00147152592673671)); #145326=CARTESIAN_POINT('Ctrl Pts',(1.64872351480323,-0.0285936274349394, -0.000488123899590884)); #145327=CARTESIAN_POINT('Ctrl Pts',(1.64389252506823,-0.0261756307861285, -9.65163087126208E-6)); #145328=CARTESIAN_POINT('Ctrl Pts',(1.63908060912598,-0.0237608891115612, -4.87296923383499E-8)); #145329=CARTESIAN_POINT('Ctrl Pts',(1.63903209052926,-0.0237365470965811, -7.11249929868812E-17)); #145330=CARTESIAN_POINT('Ctrl Pts',(1.63898357154423,-0.023712202068943, -7.10280478352708E-17)); #145331=CARTESIAN_POINT('Ctrl Pts',(1.63898357154423,-0.023712202068943, -7.10280478352708E-17)); #145332=CARTESIAN_POINT('Ctrl Pts',(1.6390362792576,-0.0237148186135275, -7.09944033786436E-17)); #145333=CARTESIAN_POINT('Ctrl Pts',(1.6390889875247,-0.0237174316580288, -7.3094538526124E-8)); #145334=CARTESIAN_POINT('Ctrl Pts',(1.64431605476048,-0.0239773749064445, -1.44774463068006E-5)); #145335=CARTESIAN_POINT('Ctrl Pts',(1.64956191030025,-0.0242323713935725, -0.000732185849386385)); #145336=CARTESIAN_POINT('Ctrl Pts',(1.65440538137522,-0.0246225177392363, -0.00220728889010499)); #145337=CARTESIAN_POINT('Ctrl Pts',(1.65440538045813,-0.0246225176653636, -0.00220728861080006)); #145338=CARTESIAN_POINT('Ctrl Pts',(1.65485067228266,-0.0246583862975584, -0.00234290440795967)); #145339=CARTESIAN_POINT('Ctrl Pts',(1.65534245083701,-0.0246284559716103, -0.00247934653845667)); #145340=CARTESIAN_POINT('Ctrl Pts',(1.65585730786697,-0.0245819275757218, -0.00262349696488606)); #145341=CARTESIAN_POINT('Ctrl Pts',(1.6559510935495,-0.0245729664759082, -0.00264972190620532)); #145342=CARTESIAN_POINT('Ctrl Pts',(1.65606835576956,-0.0245612710197667, -0.00268255754656807)); #145343=CARTESIAN_POINT('Ctrl Pts',(1.65618575446845,-0.0245488526291262, -0.00271548265365602)); #145344=CARTESIAN_POINT('Ctrl Pts',(1.65627969104696,-0.0245386077698575, -0.00274189101213003)); #145345=CARTESIAN_POINT('Ctrl Pts',(1.65632667178963,-0.0245333775831125, -0.00275511923270807)); #145346=CARTESIAN_POINT('Ctrl Pts',(1.65635016189671,-0.0245307412054549, -0.00276173987990592)); #145347=CARTESIAN_POINT('Ctrl Pts',(1.65379431248975,-0.026695083319303, -0.00264429132783647)); #145348=CARTESIAN_POINT('Ctrl Pts',(1.65436529432419,-0.0269788920960192, -0.00290530423121198)); #145349=CARTESIAN_POINT('Ctrl Pts',(1.65492819472279,-0.0271716384567217, -0.00314224783789092)); #145350=CARTESIAN_POINT('Ctrl Pts',(1.65547303363386,-0.0273307505626848, -0.00339036672050293)); #145351=CARTESIAN_POINT('Ctrl Pts',(1.65557152361115,-0.0273586888019345, -0.00343517988686589)); #145352=CARTESIAN_POINT('Ctrl Pts',(1.65569339762726,-0.0273922037073056, -0.00349077171134002)); #145353=CARTESIAN_POINT('Ctrl Pts',(1.65581383481183,-0.0274237934018303, -0.00354552986293687)); #145354=CARTESIAN_POINT('Ctrl Pts',(1.65590915206011,-0.0274481123115711, -0.00358938016050022)); #145355=CARTESIAN_POINT('Ctrl Pts',(1.65595653577823,-0.027459932266195, -0.0036111379811785)); #145356=CARTESIAN_POINT('Ctrl Pts',(1.65598013331733,-0.0274657724995398, -0.00362205218177857)); #145357=CARTESIAN_POINT('Ctrl Pts',(1.65338147412662,-0.0289664240722402, -0.00238102664847147)); #145358=CARTESIAN_POINT('Ctrl Pts',(1.65412590791429,-0.0295437645036689, -0.00262217290061564)); #145359=CARTESIAN_POINT('Ctrl Pts',(1.65481793817154,-0.0300165728174246, -0.00279077329573467)); #145360=CARTESIAN_POINT('Ctrl Pts',(1.65546336344944,-0.0304438288838431, -0.00295228232581081)); #145361=CARTESIAN_POINT('Ctrl Pts',(1.65557950208213,-0.0305201005294159, -0.0029809530235508)); #145362=CARTESIAN_POINT('Ctrl Pts',(1.65572233835211,-0.0306131768294664, -0.00301589636317287)); #145363=CARTESIAN_POINT('Ctrl Pts',(1.655862301829,-0.0307032039298926, -0.003049355917656)); #145364=CARTESIAN_POINT('Ctrl Pts',(1.65597243128972,-0.0307736834681709, -0.00307584213118652)); #145365=CARTESIAN_POINT('Ctrl Pts',(1.65602695253127,-0.0308083615632194, -0.00308881285714503)); #145366=CARTESIAN_POINT('Ctrl Pts',(1.65605405447599,-0.0308255877120391, -0.00309530872392265)); #145367=CARTESIAN_POINT('Ctrl Pts',(1.65325159431507,-0.030970380947919, -0.00147152574053336)); #145368=CARTESIAN_POINT('Ctrl Pts',(1.65419767699857,-0.0317375016534755, -0.00156193616419443)); #145369=CARTESIAN_POINT('Ctrl Pts',(1.65504806122854,-0.032351689627428, -0.00152260073017335)); #145370=CARTESIAN_POINT('Ctrl Pts',(1.65583173603139,-0.0328772962107129, -0.00145602216534625)); #145371=CARTESIAN_POINT('Ctrl Pts',(1.65597248180088,-0.0329707388003151, -0.00144300981936978)); #145372=CARTESIAN_POINT('Ctrl Pts',(1.65614511420394,-0.0330838817439746, -0.00142592837166234)); #145373=CARTESIAN_POINT('Ctrl Pts',(1.65631360294255,-0.033192330545812, -0.00140764688527633)); #145374=CARTESIAN_POINT('Ctrl Pts',(1.65644599751901,-0.0332764553367695, -0.00139255082780704)); #145375=CARTESIAN_POINT('Ctrl Pts',(1.65651141778605,-0.0333176568198438, -0.00138483825244196)); #145376=CARTESIAN_POINT('Ctrl Pts',(1.65654393637205,-0.0333380494495455, -0.00138095203727805)); #145377=CARTESIAN_POINT('',(1.65635016189671,-0.0245307412054549,-0.00276173987990592)); #145378=CARTESIAN_POINT('',(1.65654393637205,-0.0333380494495456,-0.0013809520372781)); #145379=CARTESIAN_POINT('Origin',(1.65924888947595,-0.0275575398703827, 0.00631768016012536)); #145380=CARTESIAN_POINT('Ctrl Pts',(1.65654393637205,-0.0333380494495455, -0.00138095203727805)); #145381=CARTESIAN_POINT('Ctrl Pts',(1.65651141778605,-0.0333176568198438, -0.00138483825244196)); #145382=CARTESIAN_POINT('Ctrl Pts',(1.65644599751901,-0.0332764553367695, -0.00139255082780704)); #145383=CARTESIAN_POINT('Ctrl Pts',(1.65631360294255,-0.033192330545812, -0.00140764688527633)); #145384=CARTESIAN_POINT('Ctrl Pts',(1.65614511420394,-0.0330838817439746, -0.00142592837166234)); #145385=CARTESIAN_POINT('Ctrl Pts',(1.65597248180088,-0.0329707388003151, -0.00144300981936978)); #145386=CARTESIAN_POINT('Ctrl Pts',(1.65583173603139,-0.0328772962107129, -0.00145602216534625)); #145387=CARTESIAN_POINT('Ctrl Pts',(1.65504806122854,-0.032351689627428, -0.00152260073017335)); #145388=CARTESIAN_POINT('Ctrl Pts',(1.65419767699857,-0.0317375016534755, -0.00156193616419443)); #145389=CARTESIAN_POINT('Ctrl Pts',(1.65325159431507,-0.030970380947919, -0.00147152574053336)); #145390=CARTESIAN_POINT('Ctrl Pts',(1.65440538137522,-0.0246225177392361, -0.00220728889010514)); #145391=CARTESIAN_POINT('Ctrl Pts',(1.65485067292573,-0.0246583862584203, -0.00234290458637678)); #145392=CARTESIAN_POINT('Ctrl Pts',(1.65534245115833,-0.0246284559425719, -0.00247934662842104)); #145393=CARTESIAN_POINT('Ctrl Pts',(1.65585730786697,-0.0245819275757218, -0.00262349696488606)); #145394=CARTESIAN_POINT('Ctrl Pts',(1.6559510935495,-0.0245729664759082, -0.00264972190620532)); #145395=CARTESIAN_POINT('Ctrl Pts',(1.65606835576956,-0.0245612710197667, -0.00268255754656807)); #145396=CARTESIAN_POINT('Ctrl Pts',(1.65618575446845,-0.0245488526291262, -0.00271548265365602)); #145397=CARTESIAN_POINT('Ctrl Pts',(1.65627969104696,-0.0245386077698575, -0.00274189101213003)); #145398=CARTESIAN_POINT('Ctrl Pts',(1.65632667178963,-0.0245333775831125, -0.00275511923270807)); #145399=CARTESIAN_POINT('Ctrl Pts',(1.65635016189671,-0.0245307412054549, -0.00276173987990592)); #145400=CARTESIAN_POINT('Ctrl Pts',(1.66903664102758,-0.0261886730876003, -0.0102232660822393)); #145401=CARTESIAN_POINT('Ctrl Pts',(1.6708247445672,-0.0279439113686875, -0.0130802338203099)); #145402=CARTESIAN_POINT('Ctrl Pts',(1.67223872947404,-0.0292960033122199, -0.0164180269249699)); #145403=CARTESIAN_POINT('Ctrl Pts',(1.67317845138215,-0.0301491382940119, -0.02)); #145404=CARTESIAN_POINT('Ctrl Pts',(1.66718141087597,-0.0280098344548428, -0.0102499840569413)); #145405=CARTESIAN_POINT('Ctrl Pts',(1.66896559453922,-0.0297650763383974, -0.0131107413104691)); #145406=CARTESIAN_POINT('Ctrl Pts',(1.67037701136493,-0.0311190731567297, -0.0164580579815006)); #145407=CARTESIAN_POINT('Ctrl Pts',(1.67131040311101,-0.0319706446668632, -0.0200410774068639)); #145408=CARTESIAN_POINT('Ctrl Pts',(1.66460568016594,-0.0327933106568245, -0.0088922787224181)); #145409=CARTESIAN_POINT('Ctrl Pts',(1.66651282189902,-0.0346838126648925, -0.0119671889006945)); #145410=CARTESIAN_POINT('Ctrl Pts',(1.66801359557819,-0.0361396200062912, -0.0155554111381562)); #145411=CARTESIAN_POINT('Ctrl Pts',(1.66900400143876,-0.037059784093154, -0.019403871957113)); #145412=CARTESIAN_POINT('Ctrl Pts',(1.66572675407307,-0.0371204156294735, -0.00551903801186785)); #145413=CARTESIAN_POINT('Ctrl Pts',(1.66793882283851,-0.0393216755813343, -0.00908227312969283)); #145414=CARTESIAN_POINT('Ctrl Pts',(1.6696823353545,-0.0410222280542337, -0.0132456341410272)); #145415=CARTESIAN_POINT('Ctrl Pts',(1.6708324152976,-0.0421011339130886, -0.0177107817902795)); #145416=CARTESIAN_POINT('Ctrl Pts',(1.66706333995748,-0.0385475467032558, -0.00380554550735864)); #145417=CARTESIAN_POINT('Ctrl Pts',(1.66943106927908,-0.0409036840467913, -0.00761483582478615)); #145418=CARTESIAN_POINT('Ctrl Pts',(1.67129753855857,-0.0427246421351998, -0.0120652266309994)); #145419=CARTESIAN_POINT('Ctrl Pts',(1.67253051971,-0.0438814232034194,-0.0168411907310395)); #145420=CARTESIAN_POINT('',(1.67317845138215,-0.0301491382940124,-0.02)); #145421=CARTESIAN_POINT('',(1.67253051971,-0.0438814232034193,-0.0168411907310395)); #145422=CARTESIAN_POINT('Origin',(1.67972282212403,-0.0369358974971741, -0.0166666666666666)); #145423=CARTESIAN_POINT('',(1.66706333995166,-0.0385475466970471,-0.0038055455148132)); #145424=CARTESIAN_POINT('Ctrl Pts',(1.67253051971,-0.0438814232034194,-0.0168411907310395)); #145425=CARTESIAN_POINT('Ctrl Pts',(1.67129753855857,-0.0427246421351998, -0.0120652266309994)); #145426=CARTESIAN_POINT('Ctrl Pts',(1.66943106927908,-0.0409036840467913, -0.00761483582478615)); #145427=CARTESIAN_POINT('Ctrl Pts',(1.66706333995748,-0.0385475467032558, -0.00380554550735864)); #145428=CARTESIAN_POINT('',(1.66903664102758,-0.0261886730876003,-0.0102232660822393)); #145429=CARTESIAN_POINT('Origin',(1.67425564237151,-0.0316020209970107, -0.00363102144298573)); #145430=CARTESIAN_POINT('Ctrl Pts',(1.66903664102758,-0.0261886730876003, -0.0102232660822393)); #145431=CARTESIAN_POINT('Ctrl Pts',(1.6708247445672,-0.0279439113686875, -0.0130802338203099)); #145432=CARTESIAN_POINT('Ctrl Pts',(1.67223872947404,-0.0292960033122199, -0.0164180269249699)); #145433=CARTESIAN_POINT('Ctrl Pts',(1.67317845138215,-0.0301491382940119, -0.02)); #145434=CARTESIAN_POINT('Ctrl Pts',(1.68579665085158,-0.0572838701090251, -0.0301745240643728)); #145435=CARTESIAN_POINT('Ctrl Pts',(1.68294624763809,-0.0543321911749217, -0.0301745240643728)); #145436=CARTESIAN_POINT('Ctrl Pts',(1.67722472030686,-0.0484731239981902, -0.0275579614296943)); #145437=CARTESIAN_POINT('Ctrl Pts',(1.67352912440458,-0.0448183126311259, -0.0207092954185772)); #145438=CARTESIAN_POINT('Ctrl Pts',(1.67253051971,-0.0438814232034191,-0.0168411907310396)); #145439=CARTESIAN_POINT('Ctrl Pts',(1.6858291525108,-0.0572524836215612, -0.0327630348187178)); #145440=CARTESIAN_POINT('Ctrl Pts',(1.68260914653043,-0.0539180741106364, -0.0327632506965779)); #145441=CARTESIAN_POINT('Ctrl Pts',(1.67614685213592,-0.0473000085525057, -0.0298137217843055)); #145442=CARTESIAN_POINT('Ctrl Pts',(1.67196539500566,-0.0431642553272834, -0.0220823054203184)); #145443=CARTESIAN_POINT('Ctrl Pts',(1.6708324152976,-0.0421011339130883, -0.0177107817902796)); #145444=CARTESIAN_POINT('Ctrl Pts',(1.68741961074942,-0.0557165959537251, -0.0378786188610801)); #145445=CARTESIAN_POINT('Ctrl Pts',(1.6834665905748,-0.0516225747692529, -0.0378792418518253)); #145446=CARTESIAN_POINT('Ctrl Pts',(1.67553357506083,-0.0434880959583943, -0.0342631353391768)); #145447=CARTESIAN_POINT('Ctrl Pts',(1.67039574433936,-0.0383803766262325, -0.0247741981154155)); #145448=CARTESIAN_POINT('Ctrl Pts',(1.66900412591198,-0.0370597826545099, -0.0194038401710831)); #145449=CARTESIAN_POINT('Ctrl Pts',(1.69112665081872,-0.0521367489710355, -0.0399999999999998)); #145450=CARTESIAN_POINT('Ctrl Pts',(1.6868554232904,-0.0477122220862868, -0.0400003396572665)); #145451=CARTESIAN_POINT('Ctrl Pts',(1.67830169224121,-0.038922449296262, -0.0360931965328002)); #145452=CARTESIAN_POINT('Ctrl Pts',(1.67279394768454,-0.0334025270930482, -0.0258414662413214)); #145453=CARTESIAN_POINT('Ctrl Pts',(1.67131040311101,-0.0319706446668626, -0.0200410774068642)); #145454=CARTESIAN_POINT('Ctrl Pts',(1.69298895326561,-0.0503383444027801, -0.0399999999999999)); #145455=CARTESIAN_POINT('Ctrl Pts',(1.68871427257062,-0.0459099347011752, -0.0399999999999999)); #145456=CARTESIAN_POINT('Ctrl Pts',(1.68015028785218,-0.0371036774532059, -0.0360751560479822)); #145457=CARTESIAN_POINT('Ctrl Pts',(1.67465150726263,-0.031578436040091, -0.0258021570313066)); #145458=CARTESIAN_POINT('Ctrl Pts',(1.67317845138215,-0.0301491382940114, -0.0200000000000002)); #145459=CARTESIAN_POINT('Ctrl Pts',(1.67317845138215,-0.0301491382940114, -0.0200000000000002)); #145460=CARTESIAN_POINT('Ctrl Pts',(1.67465150726263,-0.031578436040091, -0.0258021570313066)); #145461=CARTESIAN_POINT('Ctrl Pts',(1.68015028785218,-0.0371036774532059, -0.0360751560479822)); #145462=CARTESIAN_POINT('Ctrl Pts',(1.68871427257062,-0.0459099347011752, -0.0399999999999999)); #145463=CARTESIAN_POINT('Ctrl Pts',(1.69298895326561,-0.0503383444027801, -0.0399999999999999)); #145464=CARTESIAN_POINT('',(1.68579665085158,-0.0572838701090251,-0.0301745240643728)); #145465=CARTESIAN_POINT('Origin',(1.69298895326561,-0.0503383444027799, -0.03)); #145466=CARTESIAN_POINT('Ctrl Pts',(1.68579665085158,-0.0572838701090251, -0.0301745240643728)); #145467=CARTESIAN_POINT('Ctrl Pts',(1.68294624763809,-0.0543321911749217, -0.0301745240643728)); #145468=CARTESIAN_POINT('Ctrl Pts',(1.67722472030686,-0.0484731239981902, -0.0275579614296943)); #145469=CARTESIAN_POINT('Ctrl Pts',(1.67352912440458,-0.0448183126311259, -0.0207092954185772)); #145470=CARTESIAN_POINT('Ctrl Pts',(1.67253051971,-0.0438814232034191,-0.0168411907310396)); #145471=CARTESIAN_POINT('Origin',(1.60021287378285,0.0457340982962682,-0.03)); #145472=CARTESIAN_POINT('',(1.86747137678386,-0.245413556061492,-0.0301745240643728)); #145473=CARTESIAN_POINT('Origin',(1.87466367919789,-0.238468030355247,-0.03)); #145474=CARTESIAN_POINT('',(1.59302057136882,0.038788572590023,-0.0301745240643728)); #145475=CARTESIAN_POINT('Ctrl Pts',(1.87466367919789,-0.238468030355247, -0.04)); #145476=CARTESIAN_POINT('Ctrl Pts',(1.87811758742105,-0.242044657021381, -0.04)); #145477=CARTESIAN_POINT('Ctrl Pts',(1.88219750694836,-0.2518771470998,-0.04)); #145478=CARTESIAN_POINT('Ctrl Pts',(1.87855389836709,-0.261879098238093, -0.04)); #145479=CARTESIAN_POINT('Ctrl Pts',(1.87526060979646,-0.265604147326825, -0.04)); #145480=CARTESIAN_POINT('Ctrl Pts',(1.872801376751,-0.240266434923502,-0.04)); #145481=CARTESIAN_POINT('Ctrl Pts',(1.87581239256492,-0.243374735026198, -0.0399997893082559)); #145482=CARTESIAN_POINT('Ctrl Pts',(1.87937454424892,-0.251939217353317, -0.0399995540029386)); #145483=CARTESIAN_POINT('Ctrl Pts',(1.87619244451331,-0.26065167549371, -0.0399997893086971)); #145484=CARTESIAN_POINT('Ctrl Pts',(1.87332102574694,-0.263889375298345, -0.04)); #145485=CARTESIAN_POINT('Ctrl Pts',(1.86909475935527,-0.243845873735063, -0.037878020929815)); #145486=CARTESIAN_POINT('Ctrl Pts',(1.87122675015294,-0.246025321891081, -0.0378895847653447)); #145487=CARTESIAN_POINT('Ctrl Pts',(1.87376064047955,-0.252062653901534, -0.0379027362642406)); #145488=CARTESIAN_POINT('Ctrl Pts',(1.87149468096189,-0.258205301656061, -0.03788958474113)); #145489=CARTESIAN_POINT('Ctrl Pts',(1.86946059158897,-0.260476393781249, -0.037878020929815)); #145490=CARTESIAN_POINT('Ctrl Pts',(1.86750387844307,-0.245382169574028, -0.0327630348187179)); #145491=CARTESIAN_POINT('Ctrl Pts',(1.86924369677308,-0.247167702057696, -0.0327962701273422)); #145492=CARTESIAN_POINT('Ctrl Pts',(1.87130771624391,-0.252116587750425, -0.0328342114585771)); #145493=CARTESIAN_POINT('Ctrl Pts',(1.86946331081881,-0.257151229354999, -0.0327962700577475)); #145494=CARTESIAN_POINT('Ctrl Pts',(1.8678036924923,-0.259011541445669, -0.0327630348187179)); #145495=CARTESIAN_POINT('Ctrl Pts',(1.86747137678386,-0.245413556061492, -0.0301745240643729)); #145496=CARTESIAN_POINT('Ctrl Pts',(1.86919928313217,-0.247201848447596, -0.0302146002692972)); #145497=CARTESIAN_POINT('Ctrl Pts',(1.87124033291769,-0.252118069508288, -0.030260475377638)); #145498=CARTESIAN_POINT('Ctrl Pts',(1.86941743860319,-0.257119069055996, -0.0302146001853776)); #145499=CARTESIAN_POINT('Ctrl Pts',(1.86776984208315,-0.258981614547281, -0.0301745240643729)); #145500=CARTESIAN_POINT('',(1.86776984208315,-0.258981614547281,-0.0301745240643745)); #145501=CARTESIAN_POINT('Origin',(1.87526060979646,-0.265604147326825,-0.03)); #145502=CARTESIAN_POINT('Ctrl Pts',(1.86776984208315,-0.258981614547281, -0.0301745240643729)); #145503=CARTESIAN_POINT('Ctrl Pts',(1.86941743860319,-0.257119069055996, -0.0302146001853776)); #145504=CARTESIAN_POINT('Ctrl Pts',(1.87124033291769,-0.252118069508288, -0.030260475377638)); #145505=CARTESIAN_POINT('Ctrl Pts',(1.86919928313217,-0.247201848447596, -0.0302146002692972)); #145506=CARTESIAN_POINT('Ctrl Pts',(1.86747137678386,-0.245413556061492, -0.0301745240643729)); #145507=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #145508=CARTESIAN_POINT('',(1.11527278259298,-0.837377504471104,-0.0301745240643728)); #145509=CARTESIAN_POINT('Origin',(1.11974562992307,-0.846319717738112,-0.03)); #145510=CARTESIAN_POINT('Origin',(-3.93386111087654E-15,1.3923,-0.0301745240643728)); #145511=CARTESIAN_POINT('Ctrl Pts',(1.11974562992307,-0.846319717738112, -0.04)); #145512=CARTESIAN_POINT('Ctrl Pts',(1.1148776589706,-0.848754650816486, -0.04)); #145513=CARTESIAN_POINT('Ctrl Pts',(1.10308704715473,-0.849382762353885, -0.04)); #145514=CARTESIAN_POINT('Ctrl Pts',(1.09451938418193,-0.841259013838119, -0.04)); #145515=CARTESIAN_POINT('Ctrl Pts',(1.09239264214079,-0.836248723569013, -0.04)); #145516=CARTESIAN_POINT('Ctrl Pts',(1.11858747582333,-0.844004310975563, -0.04)); #145517=CARTESIAN_POINT('Ctrl Pts',(1.1143542980003,-0.846131221448291, -0.0399997343476337)); #145518=CARTESIAN_POINT('Ctrl Pts',(1.10407891557309,-0.846688941901172, -0.0399994316504012)); #145519=CARTESIAN_POINT('Ctrl Pts',(1.09661899360124,-0.839601326135699, -0.0399997343468957)); #145520=CARTESIAN_POINT('Ctrl Pts',(1.094775741811,-0.835237157774491,-0.04)); #145521=CARTESIAN_POINT('Ctrl Pts',(1.11628224771009,-0.839395648998885, -0.0378782625628666)); #145522=CARTESIAN_POINT('Ctrl Pts',(1.11330825148165,-0.840910933983635, -0.0378928429171432)); #145523=CARTESIAN_POINT('Ctrl Pts',(1.10605121402378,-0.841332366144046, -0.0379098436031851)); #145524=CARTESIAN_POINT('Ctrl Pts',(1.10080046772075,-0.83630573995839, -0.0378928429576495)); #145525=CARTESIAN_POINT('Ctrl Pts',(1.09951914198344,-0.833223703858088, -0.0378782625628666)); #145526=CARTESIAN_POINT('Ctrl Pts',(1.11529299516904,-0.837417913890992, -0.0327630348187179)); #145527=CARTESIAN_POINT('Ctrl Pts',(1.11285832199388,-0.838651493618625, -0.0328049398227269)); #145528=CARTESIAN_POINT('Ctrl Pts',(1.10691679089387,-0.838981542575478, -0.0328540331252103)); #145529=CARTESIAN_POINT('Ctrl Pts',(1.10260814351878,-0.834877518810482, -0.032804939939145)); #145530=CARTESIAN_POINT('Ctrl Pts',(1.10155469787782,-0.832359661643938, -0.0327630348187179)); #145531=CARTESIAN_POINT('Ctrl Pts',(1.11527278259298,-0.837377504471104, -0.0301745240643728)); #145532=CARTESIAN_POINT('Ctrl Pts',(1.11283835204688,-0.838596179827446, -0.030225054467054)); #145533=CARTESIAN_POINT('Ctrl Pts',(1.10694252294588,-0.838911656593689, -0.0302844544023514)); #145534=CARTESIAN_POINT('Ctrl Pts',(1.10265921465131,-0.83484836134162, -0.0302250546074347)); #145535=CARTESIAN_POINT('Ctrl Pts',(1.10159628870184,-0.832342007386554, -0.0301745240643728)); #145536=CARTESIAN_POINT('',(1.10159628870184,-0.832342007386554,-0.0301745240643725)); #145537=CARTESIAN_POINT('Origin',(1.09239264214079,-0.836248723569013,-0.03)); #145538=CARTESIAN_POINT('Ctrl Pts',(1.10159628870184,-0.832342007386554, -0.0301745240643728)); #145539=CARTESIAN_POINT('Ctrl Pts',(1.10265921465131,-0.83484836134162, -0.0302250546074347)); #145540=CARTESIAN_POINT('Ctrl Pts',(1.10694252294588,-0.838911656593689, -0.0302844544023514)); #145541=CARTESIAN_POINT('Ctrl Pts',(1.11283835204688,-0.838596179827446, -0.030225054467054)); #145542=CARTESIAN_POINT('Ctrl Pts',(1.11527278259298,-0.837377504471104, -0.0301745240643728)); #145543=CARTESIAN_POINT('Origin',(0.948847545892312,-0.498077668969627, -0.03)); #145544=CARTESIAN_POINT('',(0.973685755471218,-0.531003675061413,-0.0301745240643729)); #145545=CARTESIAN_POINT('Origin',(0.964482108910171,-0.534910391243872, -0.03)); #145546=CARTESIAN_POINT('',(0.958051192453359,-0.494170952787168,-0.0301745240643729)); #145547=CARTESIAN_POINT('Ctrl Pts',(0.966531714223581,-0.513554172341592, -0.0168411907310395)); #145548=CARTESIAN_POINT('Ctrl Pts',(0.967004072717275,-0.514839780215273, -0.0207093361398853)); #145549=CARTESIAN_POINT('Ctrl Pts',(0.968924377030104,-0.519669661159724, -0.0275575141513116)); #145550=CARTESIAN_POINT('Ctrl Pts',(0.972082402339974,-0.527226411793921, -0.0301745240643729)); #145551=CARTESIAN_POINT('Ctrl Pts',(0.973685755471218,-0.531003675061413, -0.0301745240643728)); #145552=CARTESIAN_POINT('Ctrl Pts',(0.965553785238844,-0.511289278538385, -0.0177133653811726)); #145553=CARTESIAN_POINT('Ctrl Pts',(0.966090202263616,-0.51274886543118, -0.0220861269478163)); #145554=CARTESIAN_POINT('Ctrl Pts',(0.968264786038627,-0.518217135008259, -0.0298178327259521)); #145555=CARTESIAN_POINT('Ctrl Pts',(0.971832939144404,-0.526754286389427, -0.032764818273647)); #145556=CARTESIAN_POINT('Ctrl Pts',(0.973644164647193,-0.531021329318797, -0.0327630348187179)); #145557=CARTESIAN_POINT('Ctrl Pts',(0.961611083767316,-0.507628799725356, -0.0194114165704145)); #145558=CARTESIAN_POINT('Ctrl Pts',(0.96228507587569,-0.509428513651546, -0.0247853217069385)); #145559=CARTESIAN_POINT('Ctrl Pts',(0.964986600445505,-0.51616208850962, -0.0342762025900228)); #145560=CARTESIAN_POINT('Ctrl Pts',(0.969382739966486,-0.526647545276335, -0.037884232750813)); #145561=CARTESIAN_POINT('Ctrl Pts',(0.971609353588938,-0.531885055368771, -0.0378790859705214)); #145562=CARTESIAN_POINT('Ctrl Pts',(0.956007275100198,-0.507781557438089, -0.020044955345118)); #145563=CARTESIAN_POINT('Ctrl Pts',(0.956748859538181,-0.509707431393197, -0.0258473231743777)); #145564=CARTESIAN_POINT('Ctrl Pts',(0.959689238095757,-0.516935176946063, -0.0360997558822012)); #145565=CARTESIAN_POINT('Ctrl Pts',(0.964454086792579,-0.528241216325049, -0.0400028060470234)); #145566=CARTESIAN_POINT('Ctrl Pts',(0.966865208580383,-0.533898825449349, -0.04)); #145567=CARTESIAN_POINT('Ctrl Pts',(0.95359822026517,-0.508801955143935, -0.02)); #145568=CARTESIAN_POINT('Ctrl Pts',(0.954341363679961,-0.510715460512939, -0.0258022181132686)); #145569=CARTESIAN_POINT('Ctrl Pts',(0.957289746029427,-0.517931027081915, -0.0360744851304082)); #145570=CARTESIAN_POINT('Ctrl Pts',(0.962067336937985,-0.529248691265021, -0.0400000000000001)); #145571=CARTESIAN_POINT('Ctrl Pts',(0.964482108910172,-0.534910391243871, -0.0400000000000001)); #145572=CARTESIAN_POINT('',(0.953598220265173,-0.508801955143934,-0.02)); #145573=CARTESIAN_POINT('Ctrl Pts',(0.964482108910172,-0.534910391243871, -0.0400000000000001)); #145574=CARTESIAN_POINT('Ctrl Pts',(0.962067336937985,-0.529248691265021, -0.0400000000000001)); #145575=CARTESIAN_POINT('Ctrl Pts',(0.957289746029427,-0.517931027081915, -0.0360744851304082)); #145576=CARTESIAN_POINT('Ctrl Pts',(0.954341363679961,-0.510715460512939, -0.0258022181132686)); #145577=CARTESIAN_POINT('Ctrl Pts',(0.95359822026517,-0.508801955143935, -0.02)); #145578=CARTESIAN_POINT('',(0.966531714223581,-0.513554172341592,-0.0168411907310395)); #145579=CARTESIAN_POINT('Origin',(0.957328067662534,-0.51746088852405,-0.0166666666666667)); #145580=CARTESIAN_POINT('Ctrl Pts',(0.966531714223581,-0.513554172341592, -0.0168411907310395)); #145581=CARTESIAN_POINT('Ctrl Pts',(0.967004072717275,-0.514839780215273, -0.0207093361398853)); #145582=CARTESIAN_POINT('Ctrl Pts',(0.968924377030104,-0.519669661159724, -0.0275575141513116)); #145583=CARTESIAN_POINT('Ctrl Pts',(0.972082402339974,-0.527226411793921, -0.0301745240643729)); #145584=CARTESIAN_POINT('Ctrl Pts',(0.973685755471218,-0.531003675061413, -0.0301745240643728)); #145585=CARTESIAN_POINT('Ctrl Pts',(0.963741987917999,-0.506397517175816, -0.00375780513609865)); #145586=CARTESIAN_POINT('Ctrl Pts',(0.964993462785407,-0.509516450693596, -0.00757765478639959)); #145587=CARTESIAN_POINT('Ctrl Pts',(0.965946053351776,-0.511960191841294, -0.0120452117391239)); #145588=CARTESIAN_POINT('Ctrl Pts',(0.966531714223581,-0.513554172341592, -0.0168411907310395)); #145589=CARTESIAN_POINT('Ctrl Pts',(0.962949863831996,-0.504608248015191, -0.00547827466881596)); #145590=CARTESIAN_POINT('Ctrl Pts',(0.964118741154282,-0.507521279736009, -0.0090512944610683)); #145591=CARTESIAN_POINT('Ctrl Pts',(0.965007805302882,-0.509803074121491, -0.0132302472561248)); #145592=CARTESIAN_POINT('Ctrl Pts',(0.965553785238844,-0.511289278538385, -0.0177133653811725)); #145593=CARTESIAN_POINT('Ctrl Pts',(0.95939465112474,-0.501886466648261, -0.00886540130187315)); #145594=CARTESIAN_POINT('Ctrl Pts',(0.960393848568641,-0.504393753683558, -0.0119485449235641)); #145595=CARTESIAN_POINT('Ctrl Pts',(0.961150231834784,-0.50635324163329, -0.0155490345249997)); #145596=CARTESIAN_POINT('Ctrl Pts',(0.96161113745363,-0.507628676483091, -0.0194114509750261)); #145597=CARTESIAN_POINT('Ctrl Pts',(0.95397085276969,-0.502403629832424, -0.0102201011491766)); #145598=CARTESIAN_POINT('Ctrl Pts',(0.9548917341918,-0.504745852999543, -0.0130886199641876)); #145599=CARTESIAN_POINT('Ctrl Pts',(0.955588047317653,-0.506584552548487, -0.0164481137871671)); #145600=CARTESIAN_POINT('Ctrl Pts',(0.956007275100198,-0.507781557438089, -0.020044955345118)); #145601=CARTESIAN_POINT('Ctrl Pts',(0.951566943216096,-0.503408176285204, -0.0101874608037944)); #145602=CARTESIAN_POINT('Ctrl Pts',(0.952486304457512,-0.505755668739951, -0.0130523480415223)); #145603=CARTESIAN_POINT('Ctrl Pts',(0.953179930172268,-0.507597443132168, -0.016403015756063)); #145604=CARTESIAN_POINT('Ctrl Pts',(0.95359822026517,-0.508801955143935, -0.02)); #145605=CARTESIAN_POINT('',(0.951566943216096,-0.503408176285204,-0.0101874608037944)); #145606=CARTESIAN_POINT('Ctrl Pts',(0.95359822026517,-0.508801955143935, -0.02)); #145607=CARTESIAN_POINT('Ctrl Pts',(0.953179930172268,-0.507597443132168, -0.016403015756063)); #145608=CARTESIAN_POINT('Ctrl Pts',(0.952486304457512,-0.505755668739951, -0.0130523480415223)); #145609=CARTESIAN_POINT('Ctrl Pts',(0.951566943216096,-0.503408176285204, -0.0101874608037944)); #145610=CARTESIAN_POINT('',(0.963741987914184,-0.506397517167197,-0.00375780514438592)); #145611=CARTESIAN_POINT('Origin',(0.954538341356952,-0.510304233358274, -0.00358328107172583)); #145612=CARTESIAN_POINT('Ctrl Pts',(0.963741987917999,-0.506397517175816, -0.00375780513609865)); #145613=CARTESIAN_POINT('Ctrl Pts',(0.964993462785407,-0.509516450693596, -0.00757765478639959)); #145614=CARTESIAN_POINT('Ctrl Pts',(0.965946053351776,-0.511960191841294, -0.0120452117391239)); #145615=CARTESIAN_POINT('Ctrl Pts',(0.966531714223581,-0.513554172341592, -0.0168411907310395)); #145616=CARTESIAN_POINT('Ctrl Pts',(1.65635016189671,-0.0245307412054551, -0.00276173987990589)); #145617=CARTESIAN_POINT('Ctrl Pts',(1.65710441369872,-0.0244460888625526, -0.00297432448257832)); #145618=CARTESIAN_POINT('Ctrl Pts',(1.65861294447677,-0.0242420921886804, -0.00341547318998193)); #145619=CARTESIAN_POINT('Ctrl Pts',(1.66154397446736,-0.0239758473608218, -0.00443908906004999)); #145620=CARTESIAN_POINT('Ctrl Pts',(1.66561173592397,-0.0241413926146354, -0.00638921410512171)); #145621=CARTESIAN_POINT('Ctrl Pts',(1.66807630418711,-0.0252459871927458, -0.00868887430333184)); #145622=CARTESIAN_POINT('Ctrl Pts',(1.66903664102746,-0.0261886730874815, -0.0102232660820463)); #145623=CARTESIAN_POINT('Ctrl Pts',(1.65616514760702,-0.0259982568524976, -0.00319189603084228)); #145624=CARTESIAN_POINT('Ctrl Pts',(1.65692214622732,-0.0260488987720238, -0.0034704691508774)); #145625=CARTESIAN_POINT('Ctrl Pts',(1.65836364433187,-0.0260607695059607, -0.00401263483828639)); #145626=CARTESIAN_POINT('Ctrl Pts',(1.66099605609895,-0.0260688656095784, -0.00514206604622004)); #145627=CARTESIAN_POINT('Ctrl Pts',(1.66440192702596,-0.026349660477354, -0.00704217859576371)); #145628=CARTESIAN_POINT('Ctrl Pts',(1.66638152382466,-0.0272381238132729, -0.00897977475580762)); #145629=CARTESIAN_POINT('Ctrl Pts',(1.66718141087581,-0.0280098344546862, -0.0102499840566893)); #145630=CARTESIAN_POINT('Ctrl Pts',(1.65600564468204,-0.0291513063769939, -0.00339296069326163)); #145631=CARTESIAN_POINT('Ctrl Pts',(1.65681788670614,-0.029522916209798, -0.00367742850149513)); #145632=CARTESIAN_POINT('Ctrl Pts',(1.65822786048541,-0.0300709231878151, -0.00418648196198409)); #145633=CARTESIAN_POINT('Ctrl Pts',(1.66047638478255,-0.0308389373055613, -0.00516421393856835)); #145634=CARTESIAN_POINT('Ctrl Pts',(1.66288400592094,-0.0316609417050959, -0.00667889606146215)); #145635=CARTESIAN_POINT('Ctrl Pts',(1.66406330414644,-0.032297048810281, -0.00802377274159248)); #145636=CARTESIAN_POINT('Ctrl Pts',(1.66460562594218,-0.032793317350487, -0.00889230828525554)); #145637=CARTESIAN_POINT('Ctrl Pts',(1.65629899542402,-0.032081818580793, -0.00223813038060028)); #145638=CARTESIAN_POINT('Ctrl Pts',(1.65725721047782,-0.0326850388542271, -0.00227707218975483)); #145639=CARTESIAN_POINT('Ctrl Pts',(1.65885178260209,-0.0335650475967049, -0.00229254988697725)); #145640=CARTESIAN_POINT('Ctrl Pts',(1.66129458760243,-0.0347252400133567, -0.00247415718580716)); #145641=CARTESIAN_POINT('Ctrl Pts',(1.66388601978784,-0.0358442711783484, -0.00328076867683386)); #145642=CARTESIAN_POINT('Ctrl Pts',(1.66518636641228,-0.0366056237860126, -0.00459483479583557)); #145643=CARTESIAN_POINT('Ctrl Pts',(1.66572675407278,-0.0371204156291779, -0.0055190380114091)); #145644=CARTESIAN_POINT('Ctrl Pts',(1.65654393637205,-0.0333380494495463, -0.00138095203727787)); #145645=CARTESIAN_POINT('Ctrl Pts',(1.65758808666109,-0.0339928433017208, -0.0012561682386808)); #145646=CARTESIAN_POINT('Ctrl Pts',(1.65930547168878,-0.0349020107480581, -0.000967203585495568)); #145647=CARTESIAN_POINT('Ctrl Pts',(1.66195320535872,-0.0360144149543938, -0.000721131616324568)); #145648=CARTESIAN_POINT('Ctrl Pts',(1.66489899262277,-0.037057166074188, -0.00126342333727609)); #145649=CARTESIAN_POINT('Ctrl Pts',(1.66645550421778,-0.0379447994474514, -0.00274356073471721)); #145650=CARTESIAN_POINT('Ctrl Pts',(1.66706333995717,-0.0385475467029505, -0.00380554550688406)); #145651=CARTESIAN_POINT('Ctrl Pts',(1.66706333995717,-0.0385475467029505, -0.00380554550688406)); #145652=CARTESIAN_POINT('Ctrl Pts',(1.66645550421778,-0.0379447994474514, -0.00274356073471721)); #145653=CARTESIAN_POINT('Ctrl Pts',(1.66489899262277,-0.037057166074188, -0.00126342333727609)); #145654=CARTESIAN_POINT('Ctrl Pts',(1.66195320535872,-0.0360144149543938, -0.000721131616324568)); #145655=CARTESIAN_POINT('Ctrl Pts',(1.65930547168878,-0.0349020107480581, -0.000967203585495568)); #145656=CARTESIAN_POINT('Ctrl Pts',(1.65758808666109,-0.0339928433017208, -0.0012561682386808)); #145657=CARTESIAN_POINT('Ctrl Pts',(1.65654393637205,-0.0333380494495463, -0.00138095203727787)); #145658=CARTESIAN_POINT('Ctrl Pts',(1.65635016189671,-0.0245307412054551, -0.00276173987990589)); #145659=CARTESIAN_POINT('Ctrl Pts',(1.65710441369872,-0.0244460888625526, -0.00297432448257832)); #145660=CARTESIAN_POINT('Ctrl Pts',(1.65861294447677,-0.0242420921886804, -0.00341547318998193)); #145661=CARTESIAN_POINT('Ctrl Pts',(1.66154397446736,-0.0239758473608218, -0.00443908906004999)); #145662=CARTESIAN_POINT('Ctrl Pts',(1.66561173592397,-0.0241413926146354, -0.00638921410512171)); #145663=CARTESIAN_POINT('Ctrl Pts',(1.66807630418711,-0.0252459871927458, -0.00868887430333184)); #145664=CARTESIAN_POINT('Ctrl Pts',(1.66903664102746,-0.0261886730874815, -0.0102232660820463)); #145665=CARTESIAN_POINT('Ctrl Pts',(0.962158107572244,-0.490670950405171, -0.00146179525795689)); #145666=CARTESIAN_POINT('Ctrl Pts',(0.962497286740302,-0.491847972497025, -0.00155183648197079)); #145667=CARTESIAN_POINT('Ctrl Pts',(0.962732068171051,-0.492875676834166, -0.00151035575127581)); #145668=CARTESIAN_POINT('Ctrl Pts',(0.96291089388329,-0.493806358398573, -0.0014413412812637)); #145669=CARTESIAN_POINT('Ctrl Pts',(0.962942123346667,-0.493973123963981, -0.0014278747033332)); #145670=CARTESIAN_POINT('Ctrl Pts',(0.962979062794508,-0.49417707646213, -0.00141021855750343)); #145671=CARTESIAN_POINT('Ctrl Pts',(0.963013277910309,-0.4943753368178, -0.00139135011469721)); #145672=CARTESIAN_POINT('Ctrl Pts',(0.963039149324002,-0.494530684507594, -0.00137578065773545)); #145673=CARTESIAN_POINT('Ctrl Pts',(0.96305159217264,-0.494607298670547, -0.00136782987943282)); #145674=CARTESIAN_POINT('Ctrl Pts',(0.963057695923461,-0.494645346108828, -0.00136382435021931)); #145675=CARTESIAN_POINT('Ctrl Pts',(0.96026240507876,-0.490012576356704, -0.00236886835165354)); #145676=CARTESIAN_POINT('Ctrl Pts',(0.960504743540691,-0.490928402365511, -0.0026101633489563)); #145677=CARTESIAN_POINT('Ctrl Pts',(0.960670613693232,-0.491754311008097, -0.00277804396401471)); #145678=CARTESIAN_POINT('Ctrl Pts',(0.960812563711994,-0.492519003327172, -0.00293865628146404)); #145679=CARTESIAN_POINT('Ctrl Pts',(0.960837540278481,-0.492656360657152, -0.00296715758753858)); #145680=CARTESIAN_POINT('Ctrl Pts',(0.960867582451314,-0.492824999649901, -0.00300188214181218)); #145681=CARTESIAN_POINT('Ctrl Pts',(0.960895928432091,-0.492989775531831, -0.00303511145746758)); #145682=CARTESIAN_POINT('Ctrl Pts',(0.960917899499511,-0.49311928357302, -0.00306141090747346)); #145683=CARTESIAN_POINT('Ctrl Pts',(0.960928578324234,-0.493183312702362, -0.00307428629904024)); #145684=CARTESIAN_POINT('Ctrl Pts',(0.960933875401492,-0.493215135920827, -0.00308073450962334)); #145685=CARTESIAN_POINT('Ctrl Pts',(0.958011862686451,-0.489509373056916, -0.00263035518638585)); #145686=CARTESIAN_POINT('Ctrl Pts',(0.958050750765749,-0.490149115840531, -0.00289143528393257)); #145687=CARTESIAN_POINT('Ctrl Pts',(0.958008176591578,-0.490745441647037, -0.00312814345786208)); #145688=CARTESIAN_POINT('Ctrl Pts',(0.957941523205161,-0.491311761527968, -0.00337598063410478)); #145689=CARTESIAN_POINT('Ctrl Pts',(0.957928708610233,-0.491413809984162, -0.00342074043683074)); #145690=CARTESIAN_POINT('Ctrl Pts',(0.957911872232324,-0.49153966899003, -0.00347626214291546)); #145691=CARTESIAN_POINT('Ctrl Pts',(0.957893815463327,-0.491663439425428, -0.00353094436835001)); #145692=CARTESIAN_POINT('Ctrl Pts',(0.95787889204041,-0.491761125512059, -0.00357473370507154)); #145693=CARTESIAN_POINT('Ctrl Pts',(0.957871223694667,-0.49180958025327, -0.00359645991022867)); #145694=CARTESIAN_POINT('Ctrl Pts',(0.957867361852045,-0.491833692980536, -0.00360735847600652)); #145695=CARTESIAN_POINT('Ctrl Pts',(0.955867480741496,-0.489264416500375, -0.00219269288693533)); #145696=CARTESIAN_POINT('Ctrl Pts',(0.955726500573069,-0.489689696827851, -0.00232775471169558)); #145697=CARTESIAN_POINT('Ctrl Pts',(0.955506040130466,-0.490132213633493, -0.00246343243955883)); #145698=CARTESIAN_POINT('Ctrl Pts',(0.95526117180202,-0.49058951768981, -0.00260669855696578)); #145699=CARTESIAN_POINT('Ctrl Pts',(0.955216114303824,-0.490672630712622, -0.00263276104315389)); #145700=CARTESIAN_POINT('Ctrl Pts',(0.955159322420433,-0.49077635609541, -0.00266539103017851)); #145701=CARTESIAN_POINT('Ctrl Pts',(0.955101804760909,-0.490879925453272, -0.00269810712682311)); #145702=CARTESIAN_POINT('Ctrl Pts',(0.955055495700605,-0.490962675972083, -0.00272434646338303)); #145703=CARTESIAN_POINT('Ctrl Pts',(0.955032236083716,-0.491004020693475, -0.00273748957234539)); #145704=CARTESIAN_POINT('Ctrl Pts',(0.955020586611214,-0.491024684487503, -0.00274406752085002)); #145705=CARTESIAN_POINT('',(0.963057695923461,-0.494645346108828,-0.00136382435021929)); #145706=CARTESIAN_POINT('',(0.955020586611214,-0.491024684487503,-0.00274406752085003)); #145707=CARTESIAN_POINT('Origin',(0.956686866615832,-0.494856216574568, 0.00634124330553331)); #145708=CARTESIAN_POINT('',(0.955867480741496,-0.489264416500375,-0.00219269288693537)); #145709=CARTESIAN_POINT('Ctrl Pts',(0.955020586611214,-0.491024684487503, -0.00274406752085002)); #145710=CARTESIAN_POINT('Ctrl Pts',(0.955032236083716,-0.491004020693475, -0.00273748957234539)); #145711=CARTESIAN_POINT('Ctrl Pts',(0.955055495700605,-0.490962675972083, -0.00272434646338303)); #145712=CARTESIAN_POINT('Ctrl Pts',(0.955101804760909,-0.490879925453272, -0.00269810712682311)); #145713=CARTESIAN_POINT('Ctrl Pts',(0.955159322420433,-0.49077635609541, -0.00266539103017851)); #145714=CARTESIAN_POINT('Ctrl Pts',(0.955216114303824,-0.490672630712622, -0.00263276104315389)); #145715=CARTESIAN_POINT('Ctrl Pts',(0.95526117180202,-0.49058951768981, -0.00260669855696578)); #145716=CARTESIAN_POINT('Ctrl Pts',(0.955506040130466,-0.490132213633493, -0.00246343243955883)); #145717=CARTESIAN_POINT('Ctrl Pts',(0.955726500573069,-0.489689696827851, -0.00232775471169558)); #145718=CARTESIAN_POINT('Ctrl Pts',(0.955867480741496,-0.489264416500375, -0.00219269288693533)); #145719=CARTESIAN_POINT('',(0.962158107572244,-0.490670950405171,-0.00146179525795689)); #145720=CARTESIAN_POINT('Origin',(0.957366257824282,-0.492704970015674, 0.00707640948408621)); #145721=CARTESIAN_POINT('Ctrl Pts',(0.962158107572244,-0.490670950405171, -0.00146179525795689)); #145722=CARTESIAN_POINT('Ctrl Pts',(0.962497286740302,-0.491847972497025, -0.00155183648197079)); #145723=CARTESIAN_POINT('Ctrl Pts',(0.962732068171051,-0.492875676834166, -0.00151035575127581)); #145724=CARTESIAN_POINT('Ctrl Pts',(0.96291089388329,-0.493806358398573, -0.0014413412812637)); #145725=CARTESIAN_POINT('Ctrl Pts',(0.962942123346667,-0.493973123963981, -0.0014278747033332)); #145726=CARTESIAN_POINT('Ctrl Pts',(0.962979062794508,-0.49417707646213, -0.00141021855750343)); #145727=CARTESIAN_POINT('Ctrl Pts',(0.963013277910309,-0.4943753368178, -0.00139135011469721)); #145728=CARTESIAN_POINT('Ctrl Pts',(0.963039149324002,-0.494530684507594, -0.00137578065773545)); #145729=CARTESIAN_POINT('Ctrl Pts',(0.96305159217264,-0.494607298670547, -0.00136782987943282)); #145730=CARTESIAN_POINT('Ctrl Pts',(0.963057695923461,-0.494645346108828, -0.00136382435021931)); #145731=CARTESIAN_POINT('Ctrl Pts',(0.961036905136384,-0.474706652818176, 0.)); #145732=CARTESIAN_POINT('Ctrl Pts',(0.961040417497342,-0.474760891794767, -3.45036961506577E-18)); #145733=CARTESIAN_POINT('Ctrl Pts',(0.961043927258553,-0.474815129272096, -4.84780602847494E-8)); #145734=CARTESIAN_POINT('Ctrl Pts',(0.961391977926244,-0.48018574159638, -9.61562275104347E-6)); #145735=CARTESIAN_POINT('Ctrl Pts',(0.961735560232326,-0.485574257451075, -0.000484776084175079)); #145736=CARTESIAN_POINT('Ctrl Pts',(0.962158107597217,-0.490670950464003, -0.0014617952579568)); #145737=CARTESIAN_POINT('Ctrl Pts',(0.961036905136383,-0.474706652818175, -2.11377195413482E-28)); #145738=CARTESIAN_POINT('Ctrl Pts',(0.961033184121807,-0.474759345821807, 1.74301289961796E-7)); #145739=CARTESIAN_POINT('Ctrl Pts',(0.961029505344826,-0.47481207285172, -2.59876235892905E-7)); #145740=CARTESIAN_POINT('Ctrl Pts',(0.960662480510854,-0.480030290106814, -1.01468487280794E-5)); #145741=CARTESIAN_POINT('Ctrl Pts',(0.960292654261135,-0.485265446964636, -0.00083139199657296)); #145742=CARTESIAN_POINT('Ctrl Pts',(0.9602624050991,-0.490012576396531, -0.00236886835980731)); #145743=CARTESIAN_POINT('Ctrl Pts',(0.961036905136382,-0.474706652818175, 2.60696472822057E-44)); #145744=CARTESIAN_POINT('Ctrl Pts',(0.96102598165634,-0.474757815018529, 1.36493405102992E-7)); #145745=CARTESIAN_POINT('Ctrl Pts',(0.961015051436448,-0.474809001790288, -2.29030634563216E-7)); #145746=CARTESIAN_POINT('Ctrl Pts',(0.959933898757448,-0.479875250781506, -1.30896059488461E-5)); #145747=CARTESIAN_POINT('Ctrl Pts',(0.958842999127184,-0.484957602849353, -0.000907511449802726)); #145748=CARTESIAN_POINT('Ctrl Pts',(0.958011862696025,-0.489509373075286, -0.00263035519475247)); #145749=CARTESIAN_POINT('Ctrl Pts',(0.961036905136381,-0.474706652818175, 0.)); #145750=CARTESIAN_POINT('Ctrl Pts',(0.961018762164389,-0.474756289097394, -7.15510676859934E-18)); #145751=CARTESIAN_POINT('Ctrl Pts',(0.961000615777829,-0.474805924540761, -7.27170903996868E-8)); #145752=CARTESIAN_POINT('Ctrl Pts',(0.959204689306365,-0.479720607467683, -1.4423434126227E-5)); #145753=CARTESIAN_POINT('Ctrl Pts',(0.957397228316374,-0.484649784974538, -0.0007271641262627)); #145754=CARTESIAN_POINT('Ctrl Pts',(0.955867480741495,-0.489264416500375, -0.00219269288693533)); #145755=CARTESIAN_POINT('Ctrl Pts',(0.955867480741495,-0.489264416500375, -0.00219269288693533)); #145756=CARTESIAN_POINT('Ctrl Pts',(0.957397228316374,-0.484649784974538, -0.0007271641262627)); #145757=CARTESIAN_POINT('Ctrl Pts',(0.959204689306365,-0.479720607467683, -1.4423434126227E-5)); #145758=CARTESIAN_POINT('Ctrl Pts',(0.961000615777829,-0.474805924540761, -7.27170903996868E-8)); #145759=CARTESIAN_POINT('Ctrl Pts',(0.961018762164389,-0.474756289097394, -7.15510676859934E-18)); #145760=CARTESIAN_POINT('Ctrl Pts',(0.961036905136381,-0.474706652818175, 0.)); #145761=CARTESIAN_POINT('Ctrl Pts',(0.961036905136384,-0.474706652818176, 0.)); #145762=CARTESIAN_POINT('Ctrl Pts',(0.961040417497342,-0.474760891794767, -3.45036961506577E-18)); #145763=CARTESIAN_POINT('Ctrl Pts',(0.961043927258553,-0.474815129272096, -4.84780602847494E-8)); #145764=CARTESIAN_POINT('Ctrl Pts',(0.961391977924929,-0.480185741576089, -9.61562271489729E-6)); #145765=CARTESIAN_POINT('Ctrl Pts',(0.961735560229703,-0.485574257409942, -0.000484776080547985)); #145766=CARTESIAN_POINT('Ctrl Pts',(0.962158107592379,-0.490670950405646, -0.00146179524676982)); #145767=CARTESIAN_POINT('Ctrl Pts',(0.951566943216024,-0.503408176285022, -0.010187460803572)); #145768=CARTESIAN_POINT('Ctrl Pts',(0.95107431851774,-0.502150310812874, -0.0086523577915554)); #145769=CARTESIAN_POINT('Ctrl Pts',(0.951022708450436,-0.499435880208443, -0.00635455448950627)); #145770=CARTESIAN_POINT('Ctrl Pts',(0.952468201425327,-0.495608224116682, -0.00441186637299465)); #145771=CARTESIAN_POINT('Ctrl Pts',(0.953865406106581,-0.493002942581579, -0.00339384347264996)); #145772=CARTESIAN_POINT('Ctrl Pts',(0.954646308257665,-0.491688578136377, -0.0029554061660623)); #145773=CARTESIAN_POINT('Ctrl Pts',(0.955020586611214,-0.491024684487503, -0.00274406752084996)); #145774=CARTESIAN_POINT('Ctrl Pts',(0.953970852769594,-0.502403629832189, -0.0102201011488864)); #145775=CARTESIAN_POINT('Ctrl Pts',(0.953573777000491,-0.501362233885268, -0.00894997426857571)); #145776=CARTESIAN_POINT('Ctrl Pts',(0.953532340330639,-0.499183521127842, -0.00701427951062788)); #145777=CARTESIAN_POINT('Ctrl Pts',(0.954611851090618,-0.495923765870377, -0.00511920868185019)); #145778=CARTESIAN_POINT('Ctrl Pts',(0.955638518577621,-0.493486684523928, -0.00399377282453022)); #145779=CARTESIAN_POINT('Ctrl Pts',(0.956193661944596,-0.492149112793982, -0.00345349086296683)); #145780=CARTESIAN_POINT('Ctrl Pts',(0.956443974231629,-0.491429188734019, -0.0031757129984282)); #145781=CARTESIAN_POINT('Ctrl Pts',(0.959394683613729,-0.5018864103323, -0.00886543663928565)); #145782=CARTESIAN_POINT('Ctrl Pts',(0.95915151998488,-0.501193042316402, -0.00799785176703558)); #145783=CARTESIAN_POINT('Ctrl Pts',(0.959029144173469,-0.499858361050495, -0.0066545429412303)); #145784=CARTESIAN_POINT('Ctrl Pts',(0.959215458001404,-0.497312502677431, -0.00514322010213012)); #145785=CARTESIAN_POINT('Ctrl Pts',(0.959388398857209,-0.494932787204371, -0.00416922609385351)); #145786=CARTESIAN_POINT('Ctrl Pts',(0.95943520132397,-0.493413601806421, -0.00366218916556377)); #145787=CARTESIAN_POINT('Ctrl Pts',(0.959410280861548,-0.492516112137319, -0.00337853068428351)); #145788=CARTESIAN_POINT('Ctrl Pts',(0.962949863831814,-0.504608248014748, -0.00547827466828871)); #145789=CARTESIAN_POINT('Ctrl Pts',(0.962687547343572,-0.503909032661575, -0.00455324118601603)); #145790=CARTESIAN_POINT('Ctrl Pts',(0.962495481966522,-0.502411976722076, -0.00323969781027472)); #145791=CARTESIAN_POINT('Ctrl Pts',(0.962479086349577,-0.499582415672983, -0.00244064900744398)); #145792=CARTESIAN_POINT('Ctrl Pts',(0.962365738760086,-0.496872182583734, -0.00226820602657964)); #145793=CARTESIAN_POINT('Ctrl Pts',(0.962177846725387,-0.495053309324533, -0.00225853883184843)); #145794=CARTESIAN_POINT('Ctrl Pts',(0.961995785662477,-0.493930241014828, -0.00222227942992123)); #145795=CARTESIAN_POINT('Ctrl Pts',(0.963741987917813,-0.506397517175353, -0.00375780513555344)); #145796=CARTESIAN_POINT('Ctrl Pts',(0.963423939539196,-0.50560070511149, -0.00269368988417743)); #145797=CARTESIAN_POINT('Ctrl Pts',(0.963214769698405,-0.503815565785375, -0.00121294409080628)); #145798=CARTESIAN_POINT('Ctrl Pts',(0.963408547573919,-0.500689352796561, -0.000680093356821857)); #145799=CARTESIAN_POINT('Ctrl Pts',(0.963420323146332,-0.497810472453915, -0.000938121003077944)); #145800=CARTESIAN_POINT('Ctrl Pts',(0.963253799378565,-0.495867747599869, -0.00123513329756857)); #145801=CARTESIAN_POINT('Ctrl Pts',(0.963057695923461,-0.494645346108828, -0.00136382435021922)); #145802=CARTESIAN_POINT('Ctrl Pts',(0.963057695923461,-0.494645346108828, -0.00136382435021922)); #145803=CARTESIAN_POINT('Ctrl Pts',(0.963253799378565,-0.495867747599869, -0.00123513329756857)); #145804=CARTESIAN_POINT('Ctrl Pts',(0.963420323146332,-0.497810472453915, -0.000938121003077944)); #145805=CARTESIAN_POINT('Ctrl Pts',(0.963408547573919,-0.500689352796561, -0.000680093356821857)); #145806=CARTESIAN_POINT('Ctrl Pts',(0.963214769698405,-0.503815565785375, -0.00121294409080628)); #145807=CARTESIAN_POINT('Ctrl Pts',(0.963423939539196,-0.50560070511149, -0.00269368988417743)); #145808=CARTESIAN_POINT('Ctrl Pts',(0.963741987917813,-0.506397517175353, -0.00375780513555344)); #145809=CARTESIAN_POINT('Ctrl Pts',(0.951566943216024,-0.503408176285022, -0.010187460803572)); #145810=CARTESIAN_POINT('Ctrl Pts',(0.95107431851774,-0.502150310812874, -0.0086523577915554)); #145811=CARTESIAN_POINT('Ctrl Pts',(0.951022708450436,-0.499435880208443, -0.00635455448950627)); #145812=CARTESIAN_POINT('Ctrl Pts',(0.952468201425327,-0.495608224116682, -0.00441186637299465)); #145813=CARTESIAN_POINT('Ctrl Pts',(0.953865406106581,-0.493002942581579, -0.00339384347264996)); #145814=CARTESIAN_POINT('Ctrl Pts',(0.954646308257665,-0.491688578136377, -0.0029554061660623)); #145815=CARTESIAN_POINT('Ctrl Pts',(0.955020586611214,-0.491024684487503, -0.00274406752084996)); #145816=CARTESIAN_POINT('Ctrl Pts',(1.6518612156819,-0.0370328292886747, 0.000498420089096115)); #145817=CARTESIAN_POINT('Ctrl Pts',(1.65351058032434,-0.0387475415350736, 0.00121239828585584)); #145818=CARTESIAN_POINT('Ctrl Pts',(1.65643769470637,-0.0418695729713323, 0.00419488274294083)); #145819=CARTESIAN_POINT('Ctrl Pts',(1.65752198423101,-0.0432011201320569, 0.00912177793856901)); #145820=CARTESIAN_POINT('Ctrl Pts',(1.65749448950092,-0.0432869127494751, 0.0116048928156924)); #145821=CARTESIAN_POINT('Ctrl Pts',(1.65373121578947,-0.0352469701888829, 0.000495664417246195)); #145822=CARTESIAN_POINT('Ctrl Pts',(1.65538425887799,-0.0369674046101258, 0.00120950287401353)); #145823=CARTESIAN_POINT('Ctrl Pts',(1.6583256981123,-0.0401020315565579, 0.0042138184204235)); #145824=CARTESIAN_POINT('Ctrl Pts',(1.65941287718055,-0.0414226850430069, 0.00916556989442783)); #145825=CARTESIAN_POINT('Ctrl Pts',(1.6593831327647,-0.0414974329278464, 0.011649877344745)); #145826=CARTESIAN_POINT('Ctrl Pts',(1.6578722103532,-0.0321332563543643, -0.00153640676211504)); #145827=CARTESIAN_POINT('Ctrl Pts',(1.65983434211311,-0.0341831761261882, -0.000688785408004977)); #145828=CARTESIAN_POINT('Ctrl Pts',(1.66333095065776,-0.0378980534734309, 0.00287366314199598)); #145829=CARTESIAN_POINT('Ctrl Pts',(1.66463870236665,-0.0394244032543542, 0.0087508416263507)); #145830=CARTESIAN_POINT('Ctrl Pts',(1.66461731304719,-0.039491466433592, 0.0117019929415488)); #145831=CARTESIAN_POINT('Ctrl Pts',(1.66048382209035,-0.0316683400517736, -0.00642881926148997)); #145832=CARTESIAN_POINT('Ctrl Pts',(1.66315899873003,-0.0344677894508826, -0.00526817078530885)); #145833=CARTESIAN_POINT('Ctrl Pts',(1.66793669401654,-0.0395376105079624, -0.000409623127356894)); #145834=CARTESIAN_POINT('Ctrl Pts',(1.66976421544125,-0.0416315593057926, 0.00761208613654477)); #145835=CARTESIAN_POINT('Ctrl Pts',(1.66975795810717,-0.0417262624361483, 0.011649877344745)); #145836=CARTESIAN_POINT('Ctrl Pts',(1.66103128568218,-0.0321730333224633, -0.00890506017030879)); #145837=CARTESIAN_POINT('Ctrl Pts',(1.6640666907563,-0.0353494174606661, -0.00758658043249745)); #145838=CARTESIAN_POINT('Ctrl Pts',(1.66948696409633,-0.0411006686963221, -0.00207892579703339)); #145839=CARTESIAN_POINT('Ctrl Pts',(1.67156834935055,-0.0434846250686114, 0.00701940733868012)); #145840=CARTESIAN_POINT('Ctrl Pts',(1.6715658647356,-0.0435972742032627, 0.0116048928156924)); #145841=CARTESIAN_POINT('',(1.6715658647356,-0.0435972742032629,0.0116048928156924)); #145842=CARTESIAN_POINT('Ctrl Pts',(1.66705807109531,-0.0385422874595317, -0.00379771256115685)); #145843=CARTESIAN_POINT('Ctrl Pts',(1.66763837690575,-0.0391635007579987, -0.00299027060320706)); #145844=CARTESIAN_POINT('Ctrl Pts',(1.67026150132123,-0.0419878004510676, 0.00130679901478188)); #145845=CARTESIAN_POINT('Ctrl Pts',(1.67156834935055,-0.0434846250686114, 0.00701940733868012)); #145846=CARTESIAN_POINT('Ctrl Pts',(1.6715658647356,-0.0435972742032627, 0.0116048928156924)); #145847=CARTESIAN_POINT('',(1.65749448950092,-0.0432869127494751,0.0116048928156924)); #145848=CARTESIAN_POINT('Origin',(1.66437356232157,-0.0505427999095081, 0.0114303687513196)); #145849=CARTESIAN_POINT('',(1.6518612156819,-0.0370328292886747,0.000498420089096115)); #145850=CARTESIAN_POINT('Ctrl Pts',(1.65749448950092,-0.0432869127494751, 0.0116048928156924)); #145851=CARTESIAN_POINT('Ctrl Pts',(1.65752198423101,-0.0432011201320569, 0.00912177793856901)); #145852=CARTESIAN_POINT('Ctrl Pts',(1.65643769470637,-0.0418695729713323, 0.00419488274294083)); #145853=CARTESIAN_POINT('Ctrl Pts',(1.65351058032434,-0.0387475415350736, 0.00121239828585584)); #145854=CARTESIAN_POINT('Ctrl Pts',(1.6518612156819,-0.0370328292886747, 0.000498420089096115)); #145855=CARTESIAN_POINT('Origin',(1.65383898326815,-0.0391185590287085, -0.00907958423468154)); #145856=CARTESIAN_POINT('Origin',(1.89186914626524,-0.272190224483006,0.03)); #145857=CARTESIAN_POINT('',(1.86317562760623,-0.245506789783988,0.150567796543041)); #145858=CARTESIAN_POINT('Ctrl Pts',(1.86317562760623,-0.245506789783988, 0.150567796543041)); #145859=CARTESIAN_POINT('Ctrl Pts',(1.86460794223054,-0.245476124490082, 0.0903203655944259)); #145860=CARTESIAN_POINT('Ctrl Pts',(1.866039858154,-0.24544504609647,0.0300729253774207)); #145861=CARTESIAN_POINT('Ctrl Pts',(1.86747137678386,-0.245413556061492, -0.0301745240643729)); #145862=CARTESIAN_POINT('',(1.67146292614125,-0.0481946646372464,0.19880921365768)); #145863=CARTESIAN_POINT('Ctrl Pts',(1.67146292614125,-0.0481946646372464, 0.19880921365768)); #145864=CARTESIAN_POINT('Ctrl Pts',(1.73637497590971,-0.115348340995561, 0.196233598453725)); #145865=CARTESIAN_POINT('Ctrl Pts',(1.80119418399706,-0.182061201536159, 0.179940951417313)); #145866=CARTESIAN_POINT('Ctrl Pts',(1.86317562760623,-0.245506789783988, 0.150567796543041)); #145867=CARTESIAN_POINT('Ctrl Pts',(1.6715658647356,-0.0435972742032623, 0.0116048928156924)); #145868=CARTESIAN_POINT('Ctrl Pts',(1.67153205293511,-0.0451302562353771, 0.0740063206343726)); #145869=CARTESIAN_POINT('Ctrl Pts',(1.67149773940121,-0.0466627190210407, 0.136407760937221)); #145870=CARTESIAN_POINT('Ctrl Pts',(1.67146292614126,-0.0481946646372479, 0.198809213657687)); #145871=CARTESIAN_POINT('Ctrl Pts',(1.86747441620956,-0.245413489200661, -0.0303024425408306)); #145872=CARTESIAN_POINT('Ctrl Pts',(1.86604188500036,-0.245445002105458, 0.0299876464061776)); #145873=CARTESIAN_POINT('Ctrl Pts',(1.864608955936,-0.245476102787046,0.0902777261153663)); #145874=CARTESIAN_POINT('Ctrl Pts',(1.86317562760623,-0.245506789783988, 0.150567796543041)); #145875=CARTESIAN_POINT('Ctrl Pts',(1.86920063142409,-0.247202044524025, -0.0302623614157704)); #145876=CARTESIAN_POINT('Ctrl Pts',(1.86776856917984,-0.247234017601491, 0.0300277542050343)); #145877=CARTESIAN_POINT('Ctrl Pts',(1.86633606084069,-0.247265606975627, 0.090317859405541)); #145878=CARTESIAN_POINT('Ctrl Pts',(1.86490320266924,-0.247296755255427, 0.150607956615794)); #145879=CARTESIAN_POINT('Ctrl Pts',(1.87123732864889,-0.252118021453855, -0.0302165377045521)); #145880=CARTESIAN_POINT('Ctrl Pts',(1.86980535938577,-0.252151667235,0.0300736105812613)); #145881=CARTESIAN_POINT('Ctrl Pts',(1.86837300832777,-0.252184906456564, 0.0903637501955988)); #145882=CARTESIAN_POINT('Ctrl Pts',(1.86694024252095,-0.252217732190941, 0.150653880213237)); #145883=CARTESIAN_POINT('Ctrl Pts',(1.86941878092569,-0.257118806286113, -0.0302623614157703)); #145884=CARTESIAN_POINT('Ctrl Pts',(1.86798483045226,-0.257153422878448, 0.0300277542050344)); #145885=CARTESIAN_POINT('Ctrl Pts',(1.86655043921236,-0.257187587233664, 0.0903178594055409)); #145886=CARTESIAN_POINT('Ctrl Pts',(1.86511568508388,-0.257221384408687, 0.150607956615794)); #145887=CARTESIAN_POINT('Ctrl Pts',(1.86777288701177,-0.25898154146207, -0.0303024425408306)); #145888=CARTESIAN_POINT('Ctrl Pts',(1.86633776218725,-0.259015988018244, 0.0299876464061776)); #145889=CARTESIAN_POINT('Ctrl Pts',(1.86490223460738,-0.259050024420905, 0.0902777261153663)); #145890=CARTESIAN_POINT('Ctrl Pts',(1.86346630283748,-0.259083649210626, 0.150567796543041)); #145891=CARTESIAN_POINT('',(1.86346630283748,-0.259083649210626,0.150567796543041)); #145892=CARTESIAN_POINT('Ctrl Pts',(1.86776984208315,-0.258981614547281, -0.0301745240643745)); #145893=CARTESIAN_POINT('Ctrl Pts',(1.86633573166535,-0.25901603616177, 0.0300729253774196)); #145894=CARTESIAN_POINT('Ctrl Pts',(1.86490121906067,-0.259050048201662, 0.0903203655944253)); #145895=CARTESIAN_POINT('Ctrl Pts',(1.86346630283748,-0.259083649210626, 0.150567796543041)); #145896=CARTESIAN_POINT('Origin',(1.85598332519221,-0.252452315490233,0.150393272478668)); #145897=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #145898=CARTESIAN_POINT('',(1.11687596909546,-0.833045521115337,0.150567796543041)); #145899=CARTESIAN_POINT('Ctrl Pts',(1.11687596909546,-0.833045521115337, 0.150567796543041)); #145900=CARTESIAN_POINT('Ctrl Pts',(1.11634186691234,-0.834490220611819, 0.0903203704726124)); #145901=CARTESIAN_POINT('Ctrl Pts',(1.11580747101192,-0.83593421410486, 0.0300729302446062)); #145902=CARTESIAN_POINT('Ctrl Pts',(1.11527278259298,-0.837377504471104, -0.0301745240643728)); #145903=CARTESIAN_POINT('Origin',(-4.28353765406556E-15,1.3923,0.150567796543041)); #145904=CARTESIAN_POINT('Ctrl Pts',(1.10318737000451,-0.8280160892375,0.150567796543041)); #145905=CARTESIAN_POINT('Ctrl Pts',(1.10265682947956,-0.829460060788147, 0.0902661152839171)); #145906=CARTESIAN_POINT('Ctrl Pts',(1.10212599076318,-0.830903330477382, 0.0299644198417051)); #145907=CARTESIAN_POINT('Ctrl Pts',(1.10159485506754,-0.832345901157521, -0.030337289706783)); #145908=CARTESIAN_POINT('Ctrl Pts',(1.10425222332286,-0.830522463837907, 0.150618444977976)); #145909=CARTESIAN_POINT('Ctrl Pts',(1.10372140999143,-0.831965723386385, 0.090316726052771)); #145910=CARTESIAN_POINT('Ctrl Pts',(1.10319023272764,-0.833408338713777, 0.030014995119184)); #145911=CARTESIAN_POINT('Ctrl Pts',(1.10265882489051,-0.834850199316355, -0.0302867519232107)); #145912=CARTESIAN_POINT('Ctrl Pts',(1.10854067595247,-0.834588840775539, 0.150678087339976)); #145913=CARTESIAN_POINT('Ctrl Pts',(1.10800799495099,-0.836031325391806, 0.0903763207327619)); #145914=CARTESIAN_POINT('Ctrl Pts',(1.10747502594029,-0.837473062053704, 0.0300745386704136)); #145915=CARTESIAN_POINT('Ctrl Pts',(1.10694175660342,-0.838914148981032, -0.0302272558202978)); #145916=CARTESIAN_POINT('Ctrl Pts',(1.11444170882183,-0.834266259886486, 0.150618444977976)); #145917=CARTESIAN_POINT('Ctrl Pts',(1.11390723109938,-0.83571079574607, 0.090316726052771)); #145918=CARTESIAN_POINT('Ctrl Pts',(1.11337250732366,-0.837154705042935, 0.030014995119184)); #145919=CARTESIAN_POINT('Ctrl Pts',(1.11283744451052,-0.838597834206574, -0.0302867519232107)); #145920=CARTESIAN_POINT('Ctrl Pts',(1.11687596909546,-0.833045521115337, 0.150567796543041)); #145921=CARTESIAN_POINT('Ctrl Pts',(1.11634138593221,-0.834491521620823, 0.0902661152839171)); #145922=CARTESIAN_POINT('Ctrl Pts',(1.11580650852241,-0.835936814850728, 0.0299644198417051)); #145923=CARTESIAN_POINT('Ctrl Pts',(1.1152713380681,-0.837381403689473, -0.030337289706783)); #145924=CARTESIAN_POINT('',(1.10318737000451,-0.8280160892375,0.150567796543041)); #145925=CARTESIAN_POINT('Ctrl Pts',(1.10159628870184,-0.832342007386554, -0.0301745240643725)); #145926=CARTESIAN_POINT('Ctrl Pts',(1.10212694598521,-0.830900733372796, 0.0300729302446065)); #145927=CARTESIAN_POINT('Ctrl Pts',(1.10265730682241,-0.829458761604653, 0.0903203704726125)); #145928=CARTESIAN_POINT('Ctrl Pts',(1.10318737000451,-0.8280160892375,0.150567796543041)); #145929=CARTESIAN_POINT('Origin',(1.11239101656556,-0.824109373055042,0.150393272478668)); #145930=CARTESIAN_POINT('Origin',(0.959320008179751,-0.494471929088102, 0.03)); #145931=CARTESIAN_POINT('',(0.966610385866336,-0.512468744088369,0.0116048928156927)); #145932=CARTESIAN_POINT('Ctrl Pts',(0.966610385866336,-0.512468744088369, 0.0116048928156928)); #145933=CARTESIAN_POINT('Ctrl Pts',(0.966506004279403,-0.512427405461833, 0.0070256220129036)); #145934=CARTESIAN_POINT('Ctrl Pts',(0.965643451942708,-0.510649546237571, 0.0013356591452896)); #145935=CARTESIAN_POINT('Ctrl Pts',(0.964078390163033,-0.507154017428236, -0.00295163787210861)); #145936=CARTESIAN_POINT('Ctrl Pts',(0.963739227145047,-0.506390668305303, -0.00375008462615055)); #145937=CARTESIAN_POINT('',(0.970885076080919,-0.514160017061875,0.199174524064373)); #145938=CARTESIAN_POINT('Ctrl Pts',(0.970885076080919,-0.514160017061875, 0.199174524064373)); #145939=CARTESIAN_POINT('Ctrl Pts',(0.969460459059155,-0.513596918924965, 0.136651301329425)); #145940=CARTESIAN_POINT('Ctrl Pts',(0.968035562693902,-0.513033162146703, 0.0741280908912871)); #145941=CARTESIAN_POINT('Ctrl Pts',(0.966610385866337,-0.512468744088369, 0.0116048928156928)); #145942=CARTESIAN_POINT('',(0.986199313780493,-0.550238100177205,0.199174524064373)); #145943=CARTESIAN_POINT('',(0.232484310983419,1.22540317231915,0.199174524064373)); #145944=CARTESIAN_POINT('Ctrl Pts',(1.10318737000451,-0.8280160892375,0.150567796543041)); #145945=CARTESIAN_POINT('Ctrl Pts',(1.06579672196579,-0.738493716187826, 0.182702014070618)); #145946=CARTESIAN_POINT('Ctrl Pts',(1.02613219525287,-0.644314073468068, 0.199174524064371)); #145947=CARTESIAN_POINT('Ctrl Pts',(0.986199313780493,-0.550238100177205, 0.199174524064373)); #145948=CARTESIAN_POINT('Ctrl Pts',(0.971780865871242,-0.499413087591242, 0.0116048928156926)); #145949=CARTESIAN_POINT('Ctrl Pts',(0.971691565154067,-0.499405151010811, 0.00912514328561857)); #145950=CARTESIAN_POINT('Ctrl Pts',(0.970897719312988,-0.497888855077565, 0.00420467384831259)); #145951=CARTESIAN_POINT('Ctrl Pts',(0.969186548255084,-0.493977238419446, 0.00122123708628031)); #145952=CARTESIAN_POINT('Ctrl Pts',(0.96826266891167,-0.491789386793481, 0.000504210104637507)); #145953=CARTESIAN_POINT('Ctrl Pts',(0.969407453320513,-0.500460220906915, 0.0116495404297402)); #145954=CARTESIAN_POINT('Ctrl Pts',(0.969327344897596,-0.500458540702219, 0.00916606813393147)); #145955=CARTESIAN_POINT('Ctrl Pts',(0.968542140854348,-0.498940856549942, 0.00421618430865522)); #145956=CARTESIAN_POINT('Ctrl Pts',(0.966820258209085,-0.495007192536079, 0.00121296813828271)); #145957=CARTESIAN_POINT('Ctrl Pts',(0.96589059233337,-0.492813047168218, 0.000498169447670512)); #145958=CARTESIAN_POINT('Ctrl Pts',(0.965541967036202,-0.50449394379801, 0.0117012379682077)); #145959=CARTESIAN_POINT('Ctrl Pts',(0.965472006171934,-0.504487507493343, 0.00874946764028534)); #145960=CARTESIAN_POINT('Ctrl Pts',(0.964579387683529,-0.502685685493272, 0.00287179320257798)); #145961=CARTESIAN_POINT('Ctrl Pts',(0.962531113421584,-0.498015264428759, -0.000687863267124561)); #145962=CARTESIAN_POINT('Ctrl Pts',(0.961414280221301,-0.495409545583573, -0.00153527488558888)); #145963=CARTESIAN_POINT('Ctrl Pts',(0.965597491059392,-0.510080518925866, 0.0116495404297403)); #145964=CARTESIAN_POINT('Ctrl Pts',(0.96550806786655,-0.510049571794706, 0.00761563762527707)); #145965=CARTESIAN_POINT('Ctrl Pts',(0.964297027138322,-0.507554300081077, -0.000398463632856485)); #145966=CARTESIAN_POINT('Ctrl Pts',(0.961502037362479,-0.501187282263468, -0.00525724918091451)); #145967=CARTESIAN_POINT('Ctrl Pts',(0.959972776589211,-0.497636807655349, -0.00642152507815685)); #145968=CARTESIAN_POINT('Ctrl Pts',(0.966610385866336,-0.512468744088369, 0.0116048928156928)); #145969=CARTESIAN_POINT('Ctrl Pts',(0.966506004279403,-0.512427405461833, 0.0070256220129036)); #145970=CARTESIAN_POINT('Ctrl Pts',(0.965128569410147,-0.509588290449391, -0.00206084488909802)); #145971=CARTESIAN_POINT('Ctrl Pts',(0.961959607367428,-0.502368804799316, -0.00757025811436616)); #145972=CARTESIAN_POINT('Ctrl Pts',(0.960224878670043,-0.498341191825545, -0.00889436794159974)); #145973=CARTESIAN_POINT('',(0.968262668911671,-0.491789386793482,0.00050421010463784)); #145974=CARTESIAN_POINT('Origin',(0.969428525231091,-0.494434475643089, -0.0090688920059716)); #145975=CARTESIAN_POINT('',(0.971780865871242,-0.499413087591241,0.0116048928156926)); #145976=CARTESIAN_POINT('Ctrl Pts',(0.96826266891167,-0.491789386793481, 0.000504210104637507)); #145977=CARTESIAN_POINT('Ctrl Pts',(0.969186548255084,-0.493977238419446, 0.00122123708628031)); #145978=CARTESIAN_POINT('Ctrl Pts',(0.970897719312988,-0.497888855077565, 0.00420467384831259)); #145979=CARTESIAN_POINT('Ctrl Pts',(0.971691565154067,-0.499405151010811, 0.00912514328561857)); #145980=CARTESIAN_POINT('Ctrl Pts',(0.971780865871242,-0.499413087591242, 0.0116048928156926)); #145981=CARTESIAN_POINT('Origin',(0.975814032427383,-0.50856202790591,0.0114303687513199)); #145982=CARTESIAN_POINT('Ctrl Pts',(0.968262668911671,-0.491789386793482, 0.000504210104637829)); #145983=CARTESIAN_POINT('Ctrl Pts',(0.967832677486805,-0.490771118071759, 0.000170491794230618)); #145984=CARTESIAN_POINT('Ctrl Pts',(0.967388788050425,-0.489705144989168, -8.73763802898038E-12)); #145985=CARTESIAN_POINT('Ctrl Pts',(0.966949957350719,-0.488636930863889, -2.59525559398105E-17)); #145986=CARTESIAN_POINT('Ctrl Pts',(0.966325653124778,-0.492625298384373, 0.000499277360048012)); #145987=CARTESIAN_POINT('Ctrl Pts',(0.965893035762896,-0.491603655120527, 0.000166055242714882)); #145988=CARTESIAN_POINT('Ctrl Pts',(0.965445687245041,-0.490533371775228, -2.85841912625305E-6)); #145989=CARTESIAN_POINT('Ctrl Pts',(0.96500394020872,-0.489462966132277, 2.20001244250723E-13)); #145990=CARTESIAN_POINT('Ctrl Pts',(0.964474049825084,-0.493641269784045, -0.000165252267366115)); #145991=CARTESIAN_POINT('Ctrl Pts',(0.964012730531197,-0.49255704788654, -0.000518281530639023)); #145992=CARTESIAN_POINT('Ctrl Pts',(0.963535174431452,-0.491421732101705, -0.000696434707302084)); #145993=CARTESIAN_POINT('Ctrl Pts',(0.963063071013122,-0.490286816221374, -0.000691976047725389)); #145994=CARTESIAN_POINT('Ctrl Pts',(0.963057695923461,-0.494645346108828, -0.00136382435021927)); #145995=CARTESIAN_POINT('Ctrl Pts',(0.962547376858108,-0.493451017207173, -0.00175308854620797)); #145996=CARTESIAN_POINT('Ctrl Pts',(0.962019009682361,-0.492201940580441, -0.0019493869776183)); #145997=CARTESIAN_POINT('Ctrl Pts',(0.961495490983783,-0.490952214455678, -0.0019446751428704)); #145998=CARTESIAN_POINT('Origin',(0.966949957320191,-0.488636930794634, -0.01)); #145999=CARTESIAN_POINT('Ctrl Pts',(0.966949957350719,-0.488636930863889, -2.59525559398105E-17)); #146000=CARTESIAN_POINT('Ctrl Pts',(0.967388788050425,-0.489705144989168, -8.73763802898038E-12)); #146001=CARTESIAN_POINT('Ctrl Pts',(0.967832677486805,-0.490771118071759, 0.000170491794230618)); #146002=CARTESIAN_POINT('Ctrl Pts',(0.968262668911671,-0.491789386793482, 0.000504210104637829)); #146003=CARTESIAN_POINT('Origin',(0.714223354676759,0.106749633949501,-0.01)); #146004=CARTESIAN_POINT('Ctrl Pts',(1.6816026327739,0.0177164995704403, 0.)); #146005=CARTESIAN_POINT('Ctrl Pts',(1.68162538170191,0.0176671074725767, -1.15335793549067E-18)); #146006=CARTESIAN_POINT('Ctrl Pts',(1.68164812767969,0.0176177158323811, -4.83708422294441E-8)); #146007=CARTESIAN_POINT('Ctrl Pts',(1.68389949474199,0.0127302131647306, -9.60032441430979E-6)); #146008=CARTESIAN_POINT('Ctrl Pts',(1.68615296561905,0.0078247465970392, -0.000483396921143167)); #146009=CARTESIAN_POINT('Ctrl Pts',(1.68837549131672,0.00321861006415206, -0.0014577856578534)); #146010=CARTESIAN_POINT('Ctrl Pts',(1.6816026327739,0.01771649957044,-7.49424580778574E-28)); #146011=CARTESIAN_POINT('Ctrl Pts',(1.68161807456653,0.0176659539565572, 1.73283139598909E-7)); #146012=CARTESIAN_POINT('Ctrl Pts',(1.68163356731756,0.0176153907747001, -2.58727971146925E-7)); #146013=CARTESIAN_POINT('Ctrl Pts',(1.68316316311214,0.012613496739282, -1.0162749691076E-5)); #146014=CARTESIAN_POINT('Ctrl Pts',(1.6846960896708,0.00759509926794966, -0.000829435237778693)); #146015=CARTESIAN_POINT('Ctrl Pts',(1.68637040295301,0.00315188777698697, -0.00236385443907869)); #146016=CARTESIAN_POINT('Ctrl Pts',(1.68160263277389,0.0177164995704398, 3.39055983876142E-31)); #146017=CARTESIAN_POINT('Ctrl Pts',(1.68161080123852,0.0176647970125843, 1.35703636728409E-7)); #146018=CARTESIAN_POINT('Ctrl Pts',(1.68161897232685,0.0176130682698513, -2.28086315396315E-7)); #146019=CARTESIAN_POINT('Ctrl Pts',(1.68242782032596,0.0124967134563492, -1.30961489440978E-5)); #146020=CARTESIAN_POINT('Ctrl Pts',(1.68323330218493,0.00736216751645922, -0.000905336676449628)); #146021=CARTESIAN_POINT('Ctrl Pts',(1.68409024284771,0.00281372717713863, -0.00262460874497724)); #146022=CARTESIAN_POINT('Ctrl Pts',(1.68160263277389,0.0177164995704396, 0.)); #146023=CARTESIAN_POINT('Ctrl Pts',(1.68160351405583,0.0176636291693013, -8.13030398007825E-18)); #146024=CARTESIAN_POINT('Ctrl Pts',(1.68160439186357,0.0176107583199678, -7.2556263411688E-8)); #146025=CARTESIAN_POINT('Ctrl Pts',(1.68169204162299,0.0123793295513309, -1.4400486623006E-5)); #146026=CARTESIAN_POINT('Ctrl Pts',(1.68177412712572,0.00713061968498013, -0.000725095381715055)); #146027=CARTESIAN_POINT('Ctrl Pts',(1.68200170452985,0.00227334154631944, -0.00218667848678065)); #146028=CARTESIAN_POINT('',(1.68837549131672,0.00321861006415228,-0.00145778565785345)); #146029=CARTESIAN_POINT('',(1.68200170452985,0.00227334154631875,-0.00218667848678078)); #146030=CARTESIAN_POINT('Origin',(1.68463557637346,-0.000392983815288293, 0.00708442868429236)); #146031=CARTESIAN_POINT('Ctrl Pts',(1.68200170452985,0.00227334154631944, -0.00218667848678065)); #146032=CARTESIAN_POINT('Ctrl Pts',(1.68177412712572,0.00713061968498013, -0.000725095381715055)); #146033=CARTESIAN_POINT('Ctrl Pts',(1.68169204162299,0.0123793295513309, -1.4400486623006E-5)); #146034=CARTESIAN_POINT('Ctrl Pts',(1.68160439186357,0.0176107583199678, -7.2556263411688E-8)); #146035=CARTESIAN_POINT('Ctrl Pts',(1.68160351405583,0.0176636291693013, -8.13030398007825E-18)); #146036=CARTESIAN_POINT('Ctrl Pts',(1.68160263277389,0.0177164995704396, 0.)); #146037=CARTESIAN_POINT('Ctrl Pts',(1.6816026327739,0.0177164995704403, 0.)); #146038=CARTESIAN_POINT('Ctrl Pts',(1.68162538170191,0.0176671074725767, -1.15335793549067E-18)); #146039=CARTESIAN_POINT('Ctrl Pts',(1.68164812767969,0.0176177158323811, -4.83708422294441E-8)); #146040=CARTESIAN_POINT('Ctrl Pts',(1.68389949474199,0.0127302131647306, -9.60032441430979E-6)); #146041=CARTESIAN_POINT('Ctrl Pts',(1.68615296561905,0.0078247465970392, -0.000483396921143167)); #146042=CARTESIAN_POINT('Ctrl Pts',(1.68837549131672,0.00321861006415206, -0.0014577856578534)); #146043=CARTESIAN_POINT('Ctrl Pts',(1.6883754912991,0.00321861004748224, -0.00145778567261333)); #146044=CARTESIAN_POINT('Ctrl Pts',(1.68911595240708,0.00223922622443772, -0.00154767748783001)); #146045=CARTESIAN_POINT('Ctrl Pts',(1.68970482872965,0.00136224487129785, -0.00150530918664834)); #146046=CARTESIAN_POINT('Ctrl Pts',(1.69020636099394,0.000556105789073867, -0.00143528554325079)); #146047=CARTESIAN_POINT('Ctrl Pts',(1.69029546509181,0.000411366654487335, -0.00142163075734375)); #146048=CARTESIAN_POINT('Ctrl Pts',(1.69040326069704,0.000233908016878941, -0.00140373645132222)); #146049=CARTESIAN_POINT('Ctrl Pts',(1.69050645787467,6.08007204602399E-5, -0.00138462472247665)); #146050=CARTESIAN_POINT('Ctrl Pts',(1.69058643762946,-7.51708652889296E-5, -0.00136885902424006)); #146051=CARTESIAN_POINT('Ctrl Pts',(1.6906255848885,-0.000142341463654375, -0.00136080949506127)); #146052=CARTESIAN_POINT('Ctrl Pts',(1.69064495494696,-0.000175725899225934, -0.00135675450069756)); #146053=CARTESIAN_POINT('Ctrl Pts',(1.68637040293995,0.00315188774421909, -0.00236385445282031)); #146054=CARTESIAN_POINT('Ctrl Pts',(1.68692627610676,0.00238205658688339, -0.00260521367237352)); #146055=CARTESIAN_POINT('Ctrl Pts',(1.68737813320281,0.00166897997556275, -0.00277279878295768)); #146056=CARTESIAN_POINT('Ctrl Pts',(1.68778556862573,0.00100465165037064, -0.00293304234085145)); #146057=CARTESIAN_POINT('Ctrl Pts',(1.68785826508866,0.000885135815555139, -0.00296147396527537)); #146058=CARTESIAN_POINT('Ctrl Pts',(1.68794693316549,0.000738180575220317, -0.00299610849432078)); #146059=CARTESIAN_POINT('Ctrl Pts',(1.6880326246872,0.000594232794534731, -0.00302924303372156)); #146060=CARTESIAN_POINT('Ctrl Pts',(1.68809968587183,0.000480985761336991, -0.0030554655932509)); #146061=CARTESIAN_POINT('Ctrl Pts',(1.68813266880135,0.000424930765207582, -0.00306830172948672)); #146062=CARTESIAN_POINT('Ctrl Pts',(1.68814905183948,0.00039706712602006, -0.00307473031661887)); #146063=CARTESIAN_POINT('Ctrl Pts',(1.68409024284277,0.00281372712409931, -0.00262460876129235)); #146064=CARTESIAN_POINT('Ctrl Pts',(1.6843565859844,0.00222924544715759, -0.00288571993999165)); #146065=CARTESIAN_POINT('Ctrl Pts',(1.68453094972632,0.00165613626861506, -0.00312233472276681)); #146066=CARTESIAN_POINT('Ctrl Pts',(1.68467197753756,0.00110247658809372, -0.00337006033622075)); #146067=CARTESIAN_POINT('Ctrl Pts',(1.68469663671651,0.00100242064940743, -0.00341479897814735)); #146068=CARTESIAN_POINT('Ctrl Pts',(1.68472608307302,0.000878649626299254, -0.00347029284232178)); #146069=CARTESIAN_POINT('Ctrl Pts',(1.68475363585784,0.000756394992069851, -0.00352494484889932)); #146070=CARTESIAN_POINT('Ctrl Pts',(1.6847747523143,0.000659664172587964, -0.00356870993630061)); #146071=CARTESIAN_POINT('Ctrl Pts',(1.68478497749928,0.000611588003812456, -0.00359042355196603)); #146072=CARTESIAN_POINT('Ctrl Pts',(1.68479002296605,0.000587647206069423, -0.00360131589593054)); #146073=CARTESIAN_POINT('Ctrl Pts',(1.6820017045333,0.00227334147274356, -0.00218667850892)); #146074=CARTESIAN_POINT('Ctrl Pts',(1.68202269959169,0.00182523541069545, -0.00232151621871096)); #146075=CARTESIAN_POINT('Ctrl Pts',(1.68197540158869,0.00133238125375957, -0.00245688264099805)); #146076=CARTESIAN_POINT('Ctrl Pts',(1.68191057710489,0.000816946846071567, -0.00259978825217102)); #146077=CARTESIAN_POINT('Ctrl Pts',(1.68189827644948,0.000723068964366142, -0.00262578450177869)); #146078=CARTESIAN_POINT('Ctrl Pts',(1.68188240096599,0.000605707771579887, -0.00265833062994109)); #146079=CARTESIAN_POINT('Ctrl Pts',(1.68186578940492,0.000488230793542013, -0.00269096148722876)); #146080=CARTESIAN_POINT('Ctrl Pts',(1.68185218620488,0.000394241140439784, -0.00271713188812689)); #146081=CARTESIAN_POINT('Ctrl Pts',(1.68184527517008,0.000347236972708697, -0.00273024028281674)); #146082=CARTESIAN_POINT('Ctrl Pts',(1.68184179815602,0.000323735816978401, -0.00273680081562899)); #146083=CARTESIAN_POINT('',(1.69064495494696,-0.000175725899225928,-0.00135675450069757)); #146084=CARTESIAN_POINT('',(1.68184179815602,0.0003237358169781,-0.00273680081562879)); #146085=CARTESIAN_POINT('Origin',(1.68477252279291,-0.00264677944244277, 0.00635093224582833)); #146086=CARTESIAN_POINT('Ctrl Pts',(1.68184179815602,0.000323735816978401, -0.00273680081562899)); #146087=CARTESIAN_POINT('Ctrl Pts',(1.68184527517008,0.000347236972708697, -0.00273024028281674)); #146088=CARTESIAN_POINT('Ctrl Pts',(1.68185218620488,0.000394241140439784, -0.00271713188812689)); #146089=CARTESIAN_POINT('Ctrl Pts',(1.68186578940492,0.000488230793542013, -0.00269096148722876)); #146090=CARTESIAN_POINT('Ctrl Pts',(1.68188240096599,0.000605707771579887, -0.00265833062994109)); #146091=CARTESIAN_POINT('Ctrl Pts',(1.68189827644948,0.000723068964366142, -0.00262578450177869)); #146092=CARTESIAN_POINT('Ctrl Pts',(1.68191057710489,0.000816946846071567, -0.00259978825217102)); #146093=CARTESIAN_POINT('Ctrl Pts',(1.68197540158869,0.00133238125375957, -0.00245688264099805)); #146094=CARTESIAN_POINT('Ctrl Pts',(1.68202269959169,0.00182523541069545, -0.00232151621871096)); #146095=CARTESIAN_POINT('Ctrl Pts',(1.6820017045333,0.00227334147274356, -0.00218667850892)); #146096=CARTESIAN_POINT('Ctrl Pts',(1.6883754912991,0.00321861004748224, -0.00145778567261333)); #146097=CARTESIAN_POINT('Ctrl Pts',(1.68911595240708,0.00223922622443772, -0.00154767748783001)); #146098=CARTESIAN_POINT('Ctrl Pts',(1.68970482872965,0.00136224487129785, -0.00150530918664834)); #146099=CARTESIAN_POINT('Ctrl Pts',(1.69020636099394,0.000556105789073867, -0.00143528554325079)); #146100=CARTESIAN_POINT('Ctrl Pts',(1.69029546509181,0.000411366654487335, -0.00142163075734375)); #146101=CARTESIAN_POINT('Ctrl Pts',(1.69040326069704,0.000233908016878941, -0.00140373645132222)); #146102=CARTESIAN_POINT('Ctrl Pts',(1.69050645787467,6.08007204602399E-5, -0.00138462472247665)); #146103=CARTESIAN_POINT('Ctrl Pts',(1.69058643762946,-7.51708652889296E-5, -0.00136885902424006)); #146104=CARTESIAN_POINT('Ctrl Pts',(1.6906255848885,-0.000142341463654375, -0.00136080949506127)); #146105=CARTESIAN_POINT('Ctrl Pts',(1.69064495494696,-0.000175725899225934, -0.00135675450069756)); #146106=CARTESIAN_POINT('Ctrl Pts',(1.69550008725881,-0.0109151445397898, -0.00373796808511476)); #146107=CARTESIAN_POINT('Ctrl Pts',(1.69779201314902,-0.0133845966035083, -0.00756218956658429)); #146108=CARTESIAN_POINT('Ctrl Pts',(1.6995614241612,-0.0153293134222843, -0.0120368822951599)); #146109=CARTESIAN_POINT('Ctrl Pts',(1.70068148361909,-0.0166098894583902, -0.0168411907310395)); #146110=CARTESIAN_POINT('Ctrl Pts',(1.69411890871561,-0.00952819644006505, -0.00546134125825037)); #146111=CARTESIAN_POINT('Ctrl Pts',(1.69625928797813,-0.0118343324175021, -0.00903841418992289)); #146112=CARTESIAN_POINT('Ctrl Pts',(1.69791081061422,-0.0136500642396701, -0.0132238478982808)); #146113=CARTESIAN_POINT('Ctrl Pts',(1.69895489434434,-0.0148438966045674, -0.0177144424865454)); #146114=CARTESIAN_POINT('Ctrl Pts',(1.68982053435709,-0.00826398739995703, -0.00885378575028931)); #146115=CARTESIAN_POINT('Ctrl Pts',(1.69165547305047,-0.0102511365278269, -0.0119403439984613)); #146116=CARTESIAN_POINT('Ctrl Pts',(1.69306635403421,-0.011812703388059, -0.015546149336262)); #146117=CARTESIAN_POINT('Ctrl Pts',(1.69395469300002,-0.0128397669120674, -0.0194143673297406)); #146118=CARTESIAN_POINT('Ctrl Pts',(1.68493924846124,-0.0106976920630585, -0.0102076896872082)); #146119=CARTESIAN_POINT('Ctrl Pts',(1.6866413450804,-0.012559467742593, -0.0130794249625991)); #146120=CARTESIAN_POINT('Ctrl Pts',(1.68795246465115,-0.0140303652137234, -0.0164439800793217)); #146121=CARTESIAN_POINT('Ctrl Pts',(1.6887735997127,-0.0149996013854017, -0.0200465707593726)); #146122=CARTESIAN_POINT('Ctrl Pts',(1.68305524048591,-0.0125006273819023, -0.0101725830155565)); #146123=CARTESIAN_POINT('Ctrl Pts',(1.6847580639434,-0.0143685140754434, -0.0130407491266616)); #146124=CARTESIAN_POINT('Ctrl Pts',(1.68606802518215,-0.0158438039351445, -0.0163967686730934)); #146125=CARTESIAN_POINT('Ctrl Pts',(1.68689117532981,-0.0168207876798353, -0.0200000000000001)); #146126=CARTESIAN_POINT('',(1.70068148361909,-0.0166098894583902,-0.0168411907310395)); #146127=CARTESIAN_POINT('',(1.68689117532981,-0.0168207876798343,-0.02)); #146128=CARTESIAN_POINT('Origin',(1.69348918120507,-0.0235554151646355, -0.0166666666666666)); #146129=CARTESIAN_POINT('',(1.68305524048591,-0.0125006273819022,-0.0101725830155565)); #146130=CARTESIAN_POINT('Ctrl Pts',(1.68689117532981,-0.0168207876798353, -0.0200000000000001)); #146131=CARTESIAN_POINT('Ctrl Pts',(1.68606802518215,-0.0158438039351445, -0.0163967686730934)); #146132=CARTESIAN_POINT('Ctrl Pts',(1.6847580639434,-0.0143685140754434, -0.0130407491266616)); #146133=CARTESIAN_POINT('Ctrl Pts',(1.68305524048591,-0.0125006273819023, -0.0101725830155565)); #146134=CARTESIAN_POINT('',(1.69550008725187,-0.010915144532822,-0.00373796809377279)); #146135=CARTESIAN_POINT('Origin',(1.68830778484478,-0.017860670246035,-0.00356344402074191)); #146136=CARTESIAN_POINT('Ctrl Pts',(1.69550008725881,-0.0109151445397898, -0.00373796808511476)); #146137=CARTESIAN_POINT('Ctrl Pts',(1.69779201314902,-0.0133845966035083, -0.00756218956658429)); #146138=CARTESIAN_POINT('Ctrl Pts',(1.6995614241612,-0.0153293134222843, -0.0120368822951599)); #146139=CARTESIAN_POINT('Ctrl Pts',(1.70068148361909,-0.0166098894583902, -0.0168411907310395)); #146140=CARTESIAN_POINT('Ctrl Pts',(1.70068148361909,-0.0166098894583904, -0.0168411907310396)); #146141=CARTESIAN_POINT('Ctrl Pts',(1.7015832961105,-0.0176409413881945, -0.0207093651654533)); #146142=CARTESIAN_POINT('Ctrl Pts',(1.70510750036924,-0.0214624480055515, -0.0275578743041139)); #146143=CARTESIAN_POINT('Ctrl Pts',(1.71076359431614,-0.0273852547503981, -0.0301745240643728)); #146144=CARTESIAN_POINT('Ctrl Pts',(1.71361415837758,-0.0303371002474207, -0.0301745240643728)); #146145=CARTESIAN_POINT('Ctrl Pts',(1.69895489434434,-0.0148438966045677, -0.0177144424865453)); #146146=CARTESIAN_POINT('Ctrl Pts',(1.69997914577837,-0.016014736148053, -0.0220877334455538)); #146147=CARTESIAN_POINT('Ctrl Pts',(1.70397061945331,-0.0203422248370208, -0.02982016021528)); #146148=CARTESIAN_POINT('Ctrl Pts',(1.71036151361457,-0.027033882542098, -0.0327654687403002)); #146149=CARTESIAN_POINT('Ctrl Pts',(1.71358165671837,-0.0303684867348845, -0.0327630348187178)); #146150=CARTESIAN_POINT('Ctrl Pts',(1.69395468757538,-0.0128398917822129, -0.0194143353106062)); #146151=CARTESIAN_POINT('Ctrl Pts',(1.69523011749936,-0.0142792848561088, -0.0247897349102853)); #146152=CARTESIAN_POINT('Ctrl Pts',(1.70016998476288,-0.0196002335458577, -0.0342819414692287)); #146153=CARTESIAN_POINT('Ctrl Pts',(1.70803464214992,-0.0278134306096228, -0.0378856421330324)); #146154=CARTESIAN_POINT('Ctrl Pts',(1.71199119801574,-0.031904374850801, -0.0378786182046858)); #146155=CARTESIAN_POINT('Ctrl Pts',(1.6887735997127,-0.0149996013854017, -0.0200465707593724)); #146156=CARTESIAN_POINT('Ctrl Pts',(1.69015746627517,-0.0165316365859331, -0.0258497806016725)); #146157=CARTESIAN_POINT('Ctrl Pts',(1.69549811080261,-0.0222251675202546, -0.0361033049628516)); #146158=CARTESIAN_POINT('Ctrl Pts',(1.70400330640616,-0.0310686468238483, -0.0400038294763158)); #146159=CARTESIAN_POINT('Ctrl Pts',(1.70828415841044,-0.0354842213854103, -0.0399999999999999)); #146160=CARTESIAN_POINT('Ctrl Pts',(1.68689117532981,-0.016820787679835, -0.02)); #146161=CARTESIAN_POINT('Ctrl Pts',(1.68827174512013,-0.0183400795200278, -0.0258022616516206)); #146162=CARTESIAN_POINT('Ctrl Pts',(1.69361443936523,-0.0240171015041966, -0.0360750253596115)); #146163=CARTESIAN_POINT('Ctrl Pts',(1.70213566803152,-0.032864986685668, -0.04)); #146164=CARTESIAN_POINT('Ctrl Pts',(1.70642185596355,-0.0372826259536657, -0.04)); #146165=CARTESIAN_POINT('',(1.71361415837758,-0.0303371002474207,-0.0301745240643728)); #146166=CARTESIAN_POINT('Origin',(1.70642185596355,-0.037282625953666,-0.03)); #146167=CARTESIAN_POINT('Ctrl Pts',(1.70642185596355,-0.0372826259536657, -0.04)); #146168=CARTESIAN_POINT('Ctrl Pts',(1.70213566803152,-0.032864986685668, -0.04)); #146169=CARTESIAN_POINT('Ctrl Pts',(1.69361443936523,-0.0240171015041966, -0.0360750253596115)); #146170=CARTESIAN_POINT('Ctrl Pts',(1.68827174512013,-0.0183400795200278, -0.0258022616516206)); #146171=CARTESIAN_POINT('Ctrl Pts',(1.68689117532981,-0.016820787679835, -0.02)); #146172=CARTESIAN_POINT('Ctrl Pts',(1.70068148361909,-0.0166098894583904, -0.0168411907310396)); #146173=CARTESIAN_POINT('Ctrl Pts',(1.7015832961105,-0.0176409413881945, -0.0207093651654533)); #146174=CARTESIAN_POINT('Ctrl Pts',(1.70510750036924,-0.0214624480055515, -0.0275578743041139)); #146175=CARTESIAN_POINT('Ctrl Pts',(1.71076359431614,-0.0273852547503981, -0.0301745240643728)); #146176=CARTESIAN_POINT('Ctrl Pts',(1.71361415837758,-0.0303371002474207, -0.0301745240643728)); #146177=CARTESIAN_POINT('Origin',(1.49212239370229,0.184630963446887,-0.03)); #146178=CARTESIAN_POINT('',(1.89161762448638,-0.214665085362883,-0.0301745240643728)); #146179=CARTESIAN_POINT('Origin',(1.88442532207236,-0.221610611069128,-0.03)); #146180=CARTESIAN_POINT('',(1.49931469611632,0.191576489153132,-0.0301745240643729)); #146181=CARTESIAN_POINT('Ctrl Pts',(1.9141017659477,-0.220605126153919, -0.04)); #146182=CARTESIAN_POINT('Ctrl Pts',(1.91050795451721,-0.224870052028376, -0.04)); #146183=CARTESIAN_POINT('Ctrl Pts',(1.89957020600039,-0.230150173498453, -0.04)); #146184=CARTESIAN_POINT('Ctrl Pts',(1.88829956534744,-0.225622507423473, -0.04)); #146185=CARTESIAN_POINT('Ctrl Pts',(1.88442532207236,-0.221610611069128, -0.04)); #146186=CARTESIAN_POINT('Ctrl Pts',(1.91212200844893,-0.218936896661051, -0.04)); #146187=CARTESIAN_POINT('Ctrl Pts',(1.90900094835879,-0.222654852407671, -0.0399997165815665)); #146188=CARTESIAN_POINT('Ctrl Pts',(1.89947248386926,-0.227267063256364, -0.0399993913913486)); #146189=CARTESIAN_POINT('Ctrl Pts',(1.8896531786627,-0.223310385503717, -0.0399997165807129)); #146190=CARTESIAN_POINT('Ctrl Pts',(1.88628762451924,-0.219812206500873, -0.04)); #146191=CARTESIAN_POINT('Ctrl Pts',(1.90818160939002,-0.215616545593894, -0.0378780289505702)); #146192=CARTESIAN_POINT('Ctrl Pts',(1.90599803012657,-0.218248919095222, -0.0378935843970571)); #146193=CARTESIAN_POINT('Ctrl Pts',(1.89927817881466,-0.221534448943453, -0.0379118581403082)); #146194=CARTESIAN_POINT('Ctrl Pts',(1.89235099264001,-0.218711302392398, -0.0378935844439083)); #146195=CARTESIAN_POINT('Ctrl Pts',(1.88999424758479,-0.216232762214033, -0.0378780289505702)); #146196=CARTESIAN_POINT('Ctrl Pts',(1.90649039795657,-0.214191457524419, -0.0327630348187179)); #146197=CARTESIAN_POINT('Ctrl Pts',(1.90469978092882,-0.216339807888424, -0.0328077423090182)); #146198=CARTESIAN_POINT('Ctrl Pts',(1.89919277319701,-0.219014726008667, -0.0328605279840578)); #146199=CARTESIAN_POINT('Ctrl Pts',(1.89351704551648,-0.216718696706291, -0.0328077424436721)); #146200=CARTESIAN_POINT('Ctrl Pts',(1.89158512282717,-0.214696471850347, -0.0327630348187179)); #146201=CARTESIAN_POINT('Ctrl Pts',(1.90645584642405,-0.214162342905279, -0.0301745240643728)); #146202=CARTESIAN_POINT('Ctrl Pts',(1.90465898827432,-0.21629620971765, -0.030228433795231)); #146203=CARTESIAN_POINT('Ctrl Pts',(1.89919017037825,-0.218937934005994, -0.0302923153998878)); #146204=CARTESIAN_POINT('Ctrl Pts',(1.89355479368958,-0.216672437419427, -0.030228433957601)); #146205=CARTESIAN_POINT('Ctrl Pts',(1.89161762448638,-0.214665085362883, -0.0301745240643728)); #146206=CARTESIAN_POINT('',(1.90645584642405,-0.214162342905278,-0.0301745240643751)); #146207=CARTESIAN_POINT('Ctrl Pts',(1.89161762448638,-0.214665085362883, -0.0301745240643728)); #146208=CARTESIAN_POINT('Ctrl Pts',(1.89355479368958,-0.216672437419427, -0.030228433957601)); #146209=CARTESIAN_POINT('Ctrl Pts',(1.89919017037825,-0.218937934005994, -0.0302923153998878)); #146210=CARTESIAN_POINT('Ctrl Pts',(1.90465898827432,-0.21629620971765, -0.030228433795231)); #146211=CARTESIAN_POINT('Ctrl Pts',(1.90645584642405,-0.214162342905279, -0.0301745240643728)); #146212=CARTESIAN_POINT('Origin',(1.9141017659477,-0.220605126153919,-0.03)); #146213=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.03)); #146214=CARTESIAN_POINT('',(2.28233536749553,0.389184640823295,-0.0301745240643729)); #146215=CARTESIAN_POINT('Origin',(2.29148876728633,0.385161605449263,-0.03)); #146216=CARTESIAN_POINT('Origin',(-4.19611851826831E-15,1.39230000000001, -0.0301745240643728)); #146217=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.03)); #146218=CARTESIAN_POINT('',(2.35763043148219,0.773348055669669,-0.0301745240643729)); #146219=CARTESIAN_POINT('Origin',(2.36762526139055,0.773618086138207,-0.03)); #146220=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0301745240643728)); #146221=CARTESIAN_POINT('Ctrl Pts',(2.34693771794703,0.79306279750024,-0.04)); #146222=CARTESIAN_POINT('Ctrl Pts',(2.35219590282403,0.793246417362274, -0.04)); #146223=CARTESIAN_POINT('Ctrl Pts',(2.36281487732927,0.789227912582941, -0.04)); #146224=CARTESIAN_POINT('Ctrl Pts',(2.36748316618879,0.778877556967871, -0.04)); #146225=CARTESIAN_POINT('Ctrl Pts',(2.36762526139055,0.773618086138207, -0.04)); #146226=CARTESIAN_POINT('Ctrl Pts',(2.34702806943053,0.790475469534126, -0.04)); #146227=CARTESIAN_POINT('Ctrl Pts',(2.35160438535147,0.790643058162309, -0.0399997568269085)); #146228=CARTESIAN_POINT('Ctrl Pts',(2.36086161579675,0.78714975327839,-0.0399994810608623)); #146229=CARTESIAN_POINT('Ctrl Pts',(2.36492139722122,0.778126083692345, -0.0399997568286088)); #146230=CARTESIAN_POINT('Ctrl Pts',(2.36503730066211,0.773548167164651, -0.04)); #146231=CARTESIAN_POINT('Ctrl Pts',(2.34720786629081,0.785326761103686, -0.0378770463132183)); #146232=CARTESIAN_POINT('Ctrl Pts',(2.35043136671158,0.785462025929056, -0.0378903928904476)); #146233=CARTESIAN_POINT('Ctrl Pts',(2.35697801535811,0.78301782323477,-0.0379058023849668)); #146234=CARTESIAN_POINT('Ctrl Pts',(2.35982287645252,0.776634723648084, -0.03789039279713)); #146235=CARTESIAN_POINT('Ctrl Pts',(2.35988733305279,0.773409030413025, -0.0378770463132184)); #146236=CARTESIAN_POINT('Ctrl Pts',(2.34728508290962,0.783115566449936, -0.0327630348187179)); #146237=CARTESIAN_POINT('Ctrl Pts',(2.34992118909004,0.783220539073133, -0.0328013938573045)); #146238=CARTESIAN_POINT('Ctrl Pts',(2.35527591888164,0.78120688879474,-0.0328458741822116)); #146239=CARTESIAN_POINT('Ctrl Pts',(2.35761725341028,0.775986826106748, -0.0328013935891027)); #146240=CARTESIAN_POINT('Ctrl Pts',(2.35767559762467,0.773349275924013, -0.0327630348187179)); #146241=CARTESIAN_POINT('Ctrl Pts',(2.34728665976043,0.783070411350685, -0.0301745240643729)); #146242=CARTESIAN_POINT('Ctrl Pts',(2.34991653730304,0.783163056566682, -0.0302207786278094)); #146243=CARTESIAN_POINT('Ctrl Pts',(2.35522693778462,0.781154775779911, -0.0302745819103907)); #146244=CARTESIAN_POINT('Ctrl Pts',(2.35756016897993,0.77597862636364,-0.030220778304403)); #146245=CARTESIAN_POINT('Ctrl Pts',(2.35763043148219,0.773348055669669, -0.0301745240643729)); #146246=CARTESIAN_POINT('',(2.34728665976043,0.783070411350685,-0.0301745240643719)); #146247=CARTESIAN_POINT('Ctrl Pts',(2.35763043148219,0.773348055669669, -0.0301745240643729)); #146248=CARTESIAN_POINT('Ctrl Pts',(2.35756016897993,0.77597862636364,-0.030220778304403)); #146249=CARTESIAN_POINT('Ctrl Pts',(2.35522693778462,0.781154775779911, -0.0302745819103907)); #146250=CARTESIAN_POINT('Ctrl Pts',(2.34991653730304,0.783163056566682, -0.0302207786278094)); #146251=CARTESIAN_POINT('Ctrl Pts',(2.34728665976043,0.783070411350685, -0.0301745240643729)); #146252=CARTESIAN_POINT('Origin',(2.34693771794703,0.79306279750024,-0.03)); #146253=CARTESIAN_POINT('Origin',(1.15305354483222,0.751371443491055,-0.03)); #146254=CARTESIAN_POINT('',(2.03906674440768,0.772307134733886,-0.0301745240643729)); #146255=CARTESIAN_POINT('Origin',(2.03871780259428,0.782299520883441,-0.03)); #146256=CARTESIAN_POINT('',(1.15340248664562,0.741379057341499,-0.0301745240643729)); #146257=CARTESIAN_POINT('Ctrl Pts',(2.0390667444076,0.772307134733884,-0.0301745240643729)); #146258=CARTESIAN_POINT('Ctrl Pts',(2.033887966512,0.772126287824743,-0.0301745240643747)); #146259=CARTESIAN_POINT('Ctrl Pts',(2.02526043381833,0.771789955735491, -0.0281676191241476)); #146260=CARTESIAN_POINT('Ctrl Pts',(2.01559164767196,0.771316893629366, -0.0204141011741148)); #146261=CARTESIAN_POINT('Ctrl Pts',(2.0131019475785,0.771111018611113,-0.0136045977410904)); #146262=CARTESIAN_POINT('Ctrl Pts',(2.01316354635225,0.771052850409626, -0.0101510137275284)); #146263=CARTESIAN_POINT('Ctrl Pts',(2.03906516755679,0.772352289833135, -0.0327630348187185)); #146264=CARTESIAN_POINT('Ctrl Pts',(2.03347817827301,0.772160127559964, -0.0325503285026764)); #146265=CARTESIAN_POINT('Ctrl Pts',(2.02435407229204,0.771791340937537, -0.0300402704472668)); #146266=CARTESIAN_POINT('Ctrl Pts',(2.01428381610384,0.7712926294936,-0.0213584026795185)); #146267=CARTESIAN_POINT('Ctrl Pts',(2.0117051460532,0.771067524805918,-0.0139050712514561)); #146268=CARTESIAN_POINT('Ctrl Pts',(2.01176500461395,0.771003591070743, -0.0101268987418664)); #146269=CARTESIAN_POINT('Ctrl Pts',(2.03898795976146,0.774563231815562, -0.0378773035901532)); #146270=CARTESIAN_POINT('Ctrl Pts',(2.03260449480697,0.773964348224733, -0.0372634654395803)); #146271=CARTESIAN_POINT('Ctrl Pts',(2.02250320690152,0.773019323051731, -0.0337608565150052)); #146272=CARTESIAN_POINT('Ctrl Pts',(2.01164584826138,0.77193839508711,-0.0232383697344128)); #146273=CARTESIAN_POINT('Ctrl Pts',(2.0088920008309,0.771570654436874,-0.0144953201740086)); #146274=CARTESIAN_POINT('Ctrl Pts',(2.0089481880519,0.771501837273126,-0.0100682655854705)); #146275=CARTESIAN_POINT('Ctrl Pts',(2.03880815407771,0.779712192917327, -0.0400000000000008)); #146276=CARTESIAN_POINT('Ctrl Pts',(2.03209648467767,0.778422224576287, -0.0396653327677332)); #146277=CARTESIAN_POINT('Ctrl Pts',(2.02126751162709,0.776301455084004, -0.0362553324305743)); #146278=CARTESIAN_POINT('Ctrl Pts',(2.00951453006705,0.773883120557997, -0.0247521106395854)); #146279=CARTESIAN_POINT('Ctrl Pts',(2.00652062652045,0.773164510577622, -0.0149628812264561)); #146280=CARTESIAN_POINT('Ctrl Pts',(2.00657846584648,0.773103637626524, -0.00999901988976876)); #146281=CARTESIAN_POINT('Ctrl Pts',(2.0387178025942,0.782299520883441,-0.04)); #146282=CARTESIAN_POINT('Ctrl Pts',(2.03200948320645,0.780799443110955, -0.0400000000000028)); #146283=CARTESIAN_POINT('Ctrl Pts',(2.02093192751618,0.778202782880265, -0.036989642589662)); #146284=CARTESIAN_POINT('Ctrl Pts',(2.00869088167004,0.775085332499059, -0.0253593656646129)); #146285=CARTESIAN_POINT('Ctrl Pts',(2.00554732801092,0.774166641841147, -0.0151451105150763)); #146286=CARTESIAN_POINT('Ctrl Pts',(2.00560839202752,0.774111957172222, -0.00996473449473333)); #146287=CARTESIAN_POINT('',(2.01316354635225,0.771052850409626,-0.0101510137275284)); #146288=CARTESIAN_POINT('Origin',(2.01281460453885,0.781045236559181,-0.00997648966315555)); #146289=CARTESIAN_POINT('Ctrl Pts',(2.0390667444076,0.772307134733884,-0.0301745240643729)); #146290=CARTESIAN_POINT('Ctrl Pts',(2.033887966512,0.772126287824743,-0.0301745240643747)); #146291=CARTESIAN_POINT('Ctrl Pts',(2.02526043381833,0.771789955735491, -0.0281676191241476)); #146292=CARTESIAN_POINT('Ctrl Pts',(2.01559164767196,0.771316893629366, -0.0204141011741148)); #146293=CARTESIAN_POINT('Ctrl Pts',(2.0131019475785,0.771111018611113,-0.0136045977410904)); #146294=CARTESIAN_POINT('Ctrl Pts',(2.01316354635225,0.771052850409626, -0.0101510137275284)); #146295=CARTESIAN_POINT('Ctrl Pts',(2.00554595660069,0.7741064725085,-0.00896626646345433)); #146296=CARTESIAN_POINT('Ctrl Pts',(2.00559869587182,0.774099032665716, -0.00929747463154934)); #146297=CARTESIAN_POINT('Ctrl Pts',(2.00561961840624,0.774100854195175, -0.00963129572387518)); #146298=CARTESIAN_POINT('Ctrl Pts',(2.00560839202752,0.774111957172221, -0.00996473449473333)); #146299=CARTESIAN_POINT('Ctrl Pts',(2.00747430593044,0.772076794251559, -0.00878461832563233)); #146300=CARTESIAN_POINT('Ctrl Pts',(2.00753618594109,0.772080025128348, -0.00919806988345462)); #146301=CARTESIAN_POINT('Ctrl Pts',(2.00756105841763,0.772086161309584, -0.0096164584623146)); #146302=CARTESIAN_POINT('Ctrl Pts',(2.00754853966543,0.772095318080826, -0.0100333052848042)); #146303=CARTESIAN_POINT('Ctrl Pts',(2.01028012154787,0.770923000473547, -0.0084815406735274)); #146304=CARTESIAN_POINT('Ctrl Pts',(2.01034974670581,0.770934944136979, -0.00901882509326705)); #146305=CARTESIAN_POINT('Ctrl Pts',(2.01037867485989,0.770945351946577, -0.00956147758530817)); #146306=CARTESIAN_POINT('Ctrl Pts',(2.01036646287565,0.77095433173186,-0.0101027837562044)); #146307=CARTESIAN_POINT('Ctrl Pts',(2.01306499338633,0.771014530968919, -0.00815407766497043)); #146308=CARTESIAN_POINT('Ctrl Pts',(2.01314241540981,0.771028804173581, -0.00881649400116043)); #146309=CARTESIAN_POINT('Ctrl Pts',(2.01317544090546,0.771041618290453, -0.00948413618581211)); #146310=CARTESIAN_POINT('Ctrl Pts',(2.01316354635225,0.771052850409625, -0.0101510137275284)); #146311=CARTESIAN_POINT('',(2.01306499338633,0.771014530968919,-0.00815407766497033)); #146312=CARTESIAN_POINT('Ctrl Pts',(2.01316354635225,0.771052850409625, -0.0101510137275284)); #146313=CARTESIAN_POINT('Ctrl Pts',(2.01317544090546,0.771041618290453, -0.00948413618581211)); #146314=CARTESIAN_POINT('Ctrl Pts',(2.01314241540981,0.771028804173581, -0.00881649400116043)); #146315=CARTESIAN_POINT('Ctrl Pts',(2.01306499338633,0.771014530968919, -0.00815407766497043)); #146316=CARTESIAN_POINT('Origin',(2.01271605157293,0.781006917118474,-0.00797955360059753)); #146317=CARTESIAN_POINT('Ctrl Pts',(2.00391708692641,0.760296327328161, 2.54624586666223E-5)); #146318=CARTESIAN_POINT('Ctrl Pts',(2.00391654930941,0.76031932468234,2.64492786502722E-5)); #146319=CARTESIAN_POINT('Ctrl Pts',(2.00391601598788,0.760342330447737, 2.74096948931759E-5)); #146320=CARTESIAN_POINT('Ctrl Pts',(2.0038482617595,0.763222726993953,0.000141220923827608)); #146321=CARTESIAN_POINT('Ctrl Pts',(2.00378814627164,0.767530806841832, -0.000471193145478991)); #146322=CARTESIAN_POINT('Ctrl Pts',(2.00447856400511,0.772818159909075, -0.00368252360831039)); #146323=CARTESIAN_POINT('Ctrl Pts',(2.00520450059434,0.774154641149255, -0.00682188684043161)); #146324=CARTESIAN_POINT('Ctrl Pts',(2.00554595660069,0.7741064725085,-0.00896626646345434)); #146325=CARTESIAN_POINT('Ctrl Pts',(2.00391708692651,0.760296327328129, 2.54624586700485E-5)); #146326=CARTESIAN_POINT('Ctrl Pts',(2.00392288268296,0.760317531171375, 2.68888736908997E-5)); #146327=CARTESIAN_POINT('Ctrl Pts',(2.00392832246125,0.760337995282656, 2.75286179486348E-5)); #146328=CARTESIAN_POINT('Ctrl Pts',(2.00466056220096,0.763005117137471, 0.000201797384346502)); #146329=CARTESIAN_POINT('Ctrl Pts',(2.00573614733419,0.766821862504779, -0.000884472625719686)); #146330=CARTESIAN_POINT('Ctrl Pts',(2.00680588743912,0.770863485561847, -0.0042743021323919)); #146331=CARTESIAN_POINT('Ctrl Pts',(2.00723362568591,0.771935426246234, -0.00687211204414199)); #146332=CARTESIAN_POINT('Ctrl Pts',(2.00747430593044,0.772076794251559, -0.00878461832563234)); #146333=CARTESIAN_POINT('Ctrl Pts',(2.00391708692661,0.760296327328097, 2.54624586734747E-5)); #146334=CARTESIAN_POINT('Ctrl Pts',(2.00392879180144,0.76031556542903,2.71853399332542E-5)); #146335=CARTESIAN_POINT('Ctrl Pts',(2.00394117270474,0.760333891636575, 2.78647998378015E-5)); #146336=CARTESIAN_POINT('Ctrl Pts',(2.00540585581698,0.762756809743113, 0.000242691482447171)); #146337=CARTESIAN_POINT('Ctrl Pts',(2.00776532694378,0.766181612604813, -0.000666631143917495)); #146338=CARTESIAN_POINT('Ctrl Pts',(2.00993302221132,0.769724496845078, -0.0038493998593123)); #146339=CARTESIAN_POINT('Ctrl Pts',(2.0102707640691,0.770734984542768,-0.00651297210354201)); #146340=CARTESIAN_POINT('Ctrl Pts',(2.01028012154787,0.770923000473547, -0.00848154067352742)); #146341=CARTESIAN_POINT('Ctrl Pts',(2.00391708692671,0.760296327328065, 2.54624586769009E-5)); #146342=CARTESIAN_POINT('Ctrl Pts',(2.00393522598076,0.760313271815307, 2.70947531153058E-5)); #146343=CARTESIAN_POINT('Ctrl Pts',(2.00395335585498,0.760330225224173, 2.87255825254379E-5)); #146344=CARTESIAN_POINT('Ctrl Pts',(2.00622944100429,0.762452751678202, 0.000224739796748131)); #146345=CARTESIAN_POINT('Ctrl Pts',(2.00963597235045,0.765672305061839, 0.000157529269212135)); #146346=CARTESIAN_POINT('Ctrl Pts',(2.01273347912185,0.769810501374839, -0.0025766621534113)); #146347=CARTESIAN_POINT('Ctrl Pts',(2.01321206635401,0.770979974245954, -0.00588147400196393)); #146348=CARTESIAN_POINT('Ctrl Pts',(2.01306499338633,0.771014530968919, -0.00815407766497045)); #146349=CARTESIAN_POINT('Ctrl Pts',(2.01306499338633,0.771014530968919, -0.00815407766497045)); #146350=CARTESIAN_POINT('Ctrl Pts',(2.01321206635401,0.770979974245954, -0.00588147400196393)); #146351=CARTESIAN_POINT('Ctrl Pts',(2.01273347912185,0.769810501374839, -0.0025766621534113)); #146352=CARTESIAN_POINT('Ctrl Pts',(2.00963597235045,0.765672305061839, 0.000157529269212135)); #146353=CARTESIAN_POINT('Ctrl Pts',(2.00622944100429,0.762452751678202, 0.000224739796748131)); #146354=CARTESIAN_POINT('Ctrl Pts',(2.0039533559966,0.76033022535624,2.87255947217437E-5)); #146355=CARTESIAN_POINT('Ctrl Pts',(2.00393522626349,0.76031327207969,2.70947785475144E-5)); #146356=CARTESIAN_POINT('Ctrl Pts',(2.00391708735102,0.76029632772443,2.54624968594894E-5)); #146357=CARTESIAN_POINT('Ctrl Pts',(1.69064495494696,-0.000175725899225864, -0.00135675450069756)); #146358=CARTESIAN_POINT('Ctrl Pts',(1.6912674250379,-0.00124855759408145, -0.00122644448043327)); #146359=CARTESIAN_POINT('Ctrl Pts',(1.692120324361,-0.0030049755889613, -0.000926102527110856)); #146360=CARTESIAN_POINT('Ctrl Pts',(1.69314169484975,-0.00569995647385712, -0.000663072698585246)); #146361=CARTESIAN_POINT('Ctrl Pts',(1.69408114019816,-0.00869100150742469, -0.0011920658426894)); #146362=CARTESIAN_POINT('Ctrl Pts',(1.69491704446658,-0.0102846248921271, -0.0026729554161414)); #146363=CARTESIAN_POINT('Ctrl Pts',(1.69550008725845,-0.0109151445394017, -0.00373796808453805)); #146364=CARTESIAN_POINT('Ctrl Pts',(1.68939700339322,0.000110670613397133, -0.00221574240865825)); #146365=CARTESIAN_POINT('Ctrl Pts',(1.68997069870759,-0.000874451707435884, -0.00225089030197263)); #146366=CARTESIAN_POINT('Ctrl Pts',(1.69079933415318,-0.00250779040906997, -0.00225814299432816)); #146367=CARTESIAN_POINT('Ctrl Pts',(1.69187769414704,-0.00500082705980658, -0.0024267785971281)); #146368=CARTESIAN_POINT('Ctrl Pts',(1.69290758327332,-0.00763928385337105, -0.00322271577320843)); #146369=CARTESIAN_POINT('Ctrl Pts',(1.69362348053104,-0.00896910104704464, -0.00453595076271183)); #146370=CARTESIAN_POINT('Ctrl Pts',(1.69411890871526,-0.00952819643969512, -0.00546134125769238)); #146371=CARTESIAN_POINT('Ctrl Pts',(1.68647557118116,0.000503518445709968, -0.00337247243226321)); #146372=CARTESIAN_POINT('Ctrl Pts',(1.68682124038229,-0.000327196535548381, -0.00365579660422312)); #146373=CARTESIAN_POINT('Ctrl Pts',(1.68732289671417,-0.00176509827546732, -0.00416194131162589)); #146374=CARTESIAN_POINT('Ctrl Pts',(1.68801526274327,-0.00405303918565334, -0.00513440159216941)); #146375=CARTESIAN_POINT('Ctrl Pts',(1.68875406268909,-0.00650012086979138, -0.00664428750595319)); #146376=CARTESIAN_POINT('Ctrl Pts',(1.68934567637294,-0.00770338802207799, -0.00798661123303641)); #146377=CARTESIAN_POINT('Ctrl Pts',(1.68982054299867,-0.00826393257678337, -0.00885381597190859)); #146378=CARTESIAN_POINT('Ctrl Pts',(1.68331591056103,0.000455691511523971, -0.00316905835577975)); #146379=CARTESIAN_POINT('Ctrl Pts',(1.68334027381372,-0.000307589020304714, -0.00344651017452329)); #146380=CARTESIAN_POINT('Ctrl Pts',(1.68330115807268,-0.00175796252326678, -0.0039859797976881)); #146381=CARTESIAN_POINT('Ctrl Pts',(1.683215510937,-0.00440630295794462, -0.00510982756000668)); #146382=CARTESIAN_POINT('Ctrl Pts',(1.68337538937911,-0.00784315465290992, -0.0070027949939929)); #146383=CARTESIAN_POINT('Ctrl Pts',(1.6841950814259,-0.00986624494078266, -0.00893757562748304)); #146384=CARTESIAN_POINT('Ctrl Pts',(1.68493924846105,-0.010697692062861, -0.0102076896869006)); #146385=CARTESIAN_POINT('Ctrl Pts',(1.68184179815602,0.000323735816978454, -0.00273680081562891)); #146386=CARTESIAN_POINT('Ctrl Pts',(1.68173006192851,-0.00043148991326207, -0.00294762802943753)); #146387=CARTESIAN_POINT('Ctrl Pts',(1.68147145569043,-0.00194099986548659, -0.00338491360025437)); #146388=CARTESIAN_POINT('Ctrl Pts',(1.6810996968809,-0.00487956647715972, -0.00440067363245567)); #146389=CARTESIAN_POINT('Ctrl Pts',(1.68112149793298,-0.00897935700779522, -0.00634028139871384)); #146390=CARTESIAN_POINT('Ctrl Pts',(1.68214366356377,-0.0115006867272965, -0.00863716017180889)); #146391=CARTESIAN_POINT('Ctrl Pts',(1.68305524048578,-0.0125006273817484, -0.0101725830153208)); #146392=CARTESIAN_POINT('Ctrl Pts',(1.68305524048578,-0.0125006273817484, -0.0101725830153208)); #146393=CARTESIAN_POINT('Ctrl Pts',(1.68214366356377,-0.0115006867272965, -0.00863716017180889)); #146394=CARTESIAN_POINT('Ctrl Pts',(1.68112149793298,-0.00897935700779522, -0.00634028139871384)); #146395=CARTESIAN_POINT('Ctrl Pts',(1.6810996968809,-0.00487956647715972, -0.00440067363245567)); #146396=CARTESIAN_POINT('Ctrl Pts',(1.68147145569043,-0.00194099986548659, -0.00338491360025437)); #146397=CARTESIAN_POINT('Ctrl Pts',(1.68173006192851,-0.00043148991326207, -0.00294762802943753)); #146398=CARTESIAN_POINT('Ctrl Pts',(1.68184179815602,0.000323735816978454, -0.00273680081562891)); #146399=CARTESIAN_POINT('Ctrl Pts',(1.69064495494696,-0.000175725899225864, -0.00135675450069756)); #146400=CARTESIAN_POINT('Ctrl Pts',(1.6912674250379,-0.00124855759408145, -0.00122644448043327)); #146401=CARTESIAN_POINT('Ctrl Pts',(1.692120324361,-0.0030049755889613, -0.000926102527110856)); #146402=CARTESIAN_POINT('Ctrl Pts',(1.69314169484975,-0.00569995647385712, -0.000663072698585246)); #146403=CARTESIAN_POINT('Ctrl Pts',(1.69408114019816,-0.00869100150742469, -0.0011920658426894)); #146404=CARTESIAN_POINT('Ctrl Pts',(1.69491704446658,-0.0102846248921271, -0.0026729554161414)); #146405=CARTESIAN_POINT('Ctrl Pts',(1.69550008725845,-0.0109151445394017, -0.00373796808453805)); #146406=CARTESIAN_POINT('Ctrl Pts',(2.34728915669931,0.783073067888306, -0.0303216319342466)); #146407=CARTESIAN_POINT('Ctrl Pts',(2.34626571402523,0.781984207455014, 0.0299748779346803)); #146408=CARTESIAN_POINT('Ctrl Pts',(2.34524036508423,0.78089528103934,0.0902713542563822)); #146409=CARTESIAN_POINT('Ctrl Pts',(2.34421308912606,0.77980628792519,0.150567796543041)); #146410=CARTESIAN_POINT('Ctrl Pts',(2.34991781327633,0.783164054625182, -0.0302753713599575)); #146411=CARTESIAN_POINT('Ctrl Pts',(2.34889638179195,0.782075329081669, 0.0300212306619055)); #146412=CARTESIAN_POINT('Ctrl Pts',(2.34787300341709,0.780986336456363, 0.0903177949601444)); #146413=CARTESIAN_POINT('Ctrl Pts',(2.34684775331024,0.779897479676839, 0.150614330538373)); #146414=CARTESIAN_POINT('Ctrl Pts',(2.3552234966294,0.781150933340716,-0.0302216691697034)); #146415=CARTESIAN_POINT('Ctrl Pts',(2.35420603944828,0.780058750214435, 0.0300750480811666)); #146416=CARTESIAN_POINT('Ctrl Pts',(2.35318673405045,0.77896651016129,0.0903717344325235)); #146417=CARTESIAN_POINT('Ctrl Pts',(2.35216548586132,0.777874160548144, 0.150668386765892)); #146418=CARTESIAN_POINT('Ctrl Pts',(2.35756107769193,0.775979990687273, -0.0302753713599575)); #146419=CARTESIAN_POINT('Ctrl Pts',(2.3565411275726,0.774881222362327,0.0300212306619056)); #146420=CARTESIAN_POINT('Ctrl Pts',(2.35551905327782,0.773782363234727, 0.0903177949601446)); #146421=CARTESIAN_POINT('Ctrl Pts',(2.35449526679901,0.772683378279178, 0.150614330538373)); #146422=CARTESIAN_POINT('Ctrl Pts',(2.35763292763108,0.773350741446059, -0.0303216319342466)); #146423=CARTESIAN_POINT('Ctrl Pts',(2.35660980877314,0.772249896674044, 0.0299748779346803)); #146424=CARTESIAN_POINT('Ctrl Pts',(2.35558476325446,0.771148942403679, 0.0902713542563822)); #146425=CARTESIAN_POINT('Ctrl Pts',(2.35455776986856,0.770047877441529, 0.150567796543041)); #146426=CARTESIAN_POINT('',(2.35455776986856,0.770047877441529,0.150567796543041)); #146427=CARTESIAN_POINT('Ctrl Pts',(2.35763043148219,0.773348055669669, -0.0301745240643729)); #146428=CARTESIAN_POINT('Ctrl Pts',(2.35660814154144,0.772248105978424, 0.0300729497933869)); #146429=CARTESIAN_POINT('Ctrl Pts',(2.35558392805516,0.771148046965886, 0.0903203901580679)); #146430=CARTESIAN_POINT('Ctrl Pts',(2.35455776986856,0.770047877441529, 0.150567796543041)); #146431=CARTESIAN_POINT('',(2.34421308912606,0.77980628792519,0.150567796543041)); #146432=CARTESIAN_POINT('Origin',(2.34456203093946,0.769813901775635,0.150393272478668)); #146433=CARTESIAN_POINT('Ctrl Pts',(2.34421308912606,0.77980628792519,0.150567796543041)); #146434=CARTESIAN_POINT('Ctrl Pts',(2.34523952965513,0.780894395418941, 0.0903203901580682)); #146435=CARTESIAN_POINT('Ctrl Pts',(2.34626404630002,0.781982436322656, 0.0300729497933876)); #146436=CARTESIAN_POINT('Ctrl Pts',(2.34728665976043,0.783070411350685, -0.0301745240643719)); #146437=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #146438=CARTESIAN_POINT('',(2.27944714993511,0.390454049095524,0.150567796543041)); #146439=CARTESIAN_POINT('',(2.28249237616977,0.389115633518368,-0.04)); #146440=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.150567796543041)); #146441=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #146442=CARTESIAN_POINT('',(1.9063037297088,-0.209443022082297,0.150567796543041)); #146443=CARTESIAN_POINT('Ctrl Pts',(1.9063037297088,-0.209443022082297, 0.150567796543041)); #146444=CARTESIAN_POINT('Ctrl Pts',(1.90635500842293,-0.211016730686096, 0.0903203723491898)); #146445=CARTESIAN_POINT('Ctrl Pts',(1.90640571317957,-0.212589836772909, 0.0300729321164993)); #146446=CARTESIAN_POINT('Ctrl Pts',(1.90645584642405,-0.214162342905279, -0.030174524064375)); #146447=CARTESIAN_POINT('Origin',(-4.37095678986282E-15,1.3923,0.150567796543041)); #146448=CARTESIAN_POINT('Ctrl Pts',(1.89145643070438,-0.209956552098858, 0.150567796543041)); #146449=CARTESIAN_POINT('Ctrl Pts',(1.89151078919902,-0.211528177509708, 0.0902620616445831)); #146450=CARTESIAN_POINT('Ctrl Pts',(1.8915645710916,-0.213099206235456, 0.0299563106762244)); #146451=CARTESIAN_POINT('Ctrl Pts',(1.89161777883057,-0.214669640809236, -0.0303494562711162)); #146452=CARTESIAN_POINT('Ctrl Pts',(1.89339543548259,-0.211963092428469, 0.150621836696208)); #146453=CARTESIAN_POINT('Ctrl Pts',(1.89344926394129,-0.213534125220194, 0.0903160602085774)); #146454=CARTESIAN_POINT('Ctrl Pts',(1.89350247078349,-0.215104648802615, 0.0300102701524412)); #146455=CARTESIAN_POINT('Ctrl Pts',(1.8935551498792,-0.216674492429636, -0.0302955381867007)); #146456=CARTESIAN_POINT('Ctrl Pts',(1.89903674554927,-0.214229331832567, 0.150685982047625)); #146457=CARTESIAN_POINT('Ctrl Pts',(1.89908844101709,-0.215800345332257, 0.090380152387861)); #146458=CARTESIAN_POINT('Ctrl Pts',(1.89913955082251,-0.217370711288249, 0.0300743052224699)); #146459=CARTESIAN_POINT('Ctrl Pts',(1.89919010363979,-0.218940534365437, -0.0302315560180675)); #146460=CARTESIAN_POINT('Ctrl Pts',(1.90450799493894,-0.211578737480141, 0.150621836696208)); #146461=CARTESIAN_POINT('Ctrl Pts',(1.90455879424925,-0.213152503172631, 0.0903160602085773)); #146462=CARTESIAN_POINT('Ctrl Pts',(1.9046091042769,-0.214725727242656, 0.0300102701524412)); #146463=CARTESIAN_POINT('Ctrl Pts',(1.90465876030725,-0.216298292099439, -0.0302955381867007)); #146464=CARTESIAN_POINT('Ctrl Pts',(1.9063037297088,-0.209443022082297, 0.150567796543041)); #146465=CARTESIAN_POINT('Ctrl Pts',(1.90635505805324,-0.211018253806109, 0.0902620616445831)); #146466=CARTESIAN_POINT('Ctrl Pts',(1.90640581132863,-0.212592881846074, 0.0299563106762244)); #146467=CARTESIAN_POINT('Ctrl Pts',(1.90645599198742,-0.214166908772182, -0.0303494562711162)); #146468=CARTESIAN_POINT('',(1.89145643070438,-0.209956552098858,0.150567796543041)); #146469=CARTESIAN_POINT('Ctrl Pts',(1.89161762448638,-0.214665085362883, -0.0301745240643728)); #146470=CARTESIAN_POINT('Ctrl Pts',(1.8915644670857,-0.213096168122781, 0.0300729321165007)); #146471=CARTESIAN_POINT('Ctrl Pts',(1.89151073663881,-0.211526657876704, 0.0903203723491905)); #146472=CARTESIAN_POINT('Ctrl Pts',(1.89145643070438,-0.209956552098858, 0.150567796543041)); #146473=CARTESIAN_POINT('Origin',(1.89864873311841,-0.203011026392613,0.150393272478668)); #146474=CARTESIAN_POINT('Origin',(1.67810917362407,0.00794142629018646, 0.03)); #146475=CARTESIAN_POINT('',(1.70033887659491,-0.015540329030954,0.0116048928156926)); #146476=CARTESIAN_POINT('Ctrl Pts',(1.70033887659491,-0.0155403290309543, 0.0116048928156926)); #146477=CARTESIAN_POINT('Ctrl Pts',(1.70022678037919,-0.0155392514338397, 0.00702818640335871)); #146478=CARTESIAN_POINT('Ctrl Pts',(1.69878764791111,-0.0141917250413753, 0.00134761318768615)); #146479=CARTESIAN_POINT('Ctrl Pts',(1.69608097382098,-0.0114965087236111, -0.00293560343447519)); #146480=CARTESIAN_POINT('Ctrl Pts',(1.69549507113568,-0.0109097573171385, -0.00373029224447365)); #146481=CARTESIAN_POINT('',(1.70491753145639,-0.0155828252278229,0.198604388287614)); #146482=CARTESIAN_POINT('Ctrl Pts',(1.70491753145639,-0.0155828252278229, 0.198604388287614)); #146483=CARTESIAN_POINT('Ctrl Pts',(1.70339180578292,-0.0155691702511974, 0.136271210955429)); #146484=CARTESIAN_POINT('Ctrl Pts',(1.70186558814942,-0.0155550055296686, 0.0739380457765725)); #146485=CARTESIAN_POINT('Ctrl Pts',(1.70033887659491,-0.0155403290309541, 0.0116048928156927)); #146486=CARTESIAN_POINT('Ctrl Pts',(1.89145643070438,-0.209956552098857, 0.150567796543041)); #146487=CARTESIAN_POINT('Ctrl Pts',(1.83144234404831,-0.1470893980026,0.179251374018999)); #146488=CARTESIAN_POINT('Ctrl Pts',(1.76841880006721,-0.0814192574018869, 0.195462675132126)); #146489=CARTESIAN_POINT('Ctrl Pts',(1.70491753145639,-0.0155828252278232, 0.198604388287614)); #146490=CARTESIAN_POINT('Ctrl Pts',(1.70047373013457,-0.00151229270088139, 0.0116048928156925)); #146491=CARTESIAN_POINT('Ctrl Pts',(1.70038771725175,-0.00153693056168062, 0.00912653194470858)); #146492=CARTESIAN_POINT('Ctrl Pts',(1.69910664550267,-0.000405395655132724, 0.00420872317613985)); #146493=CARTESIAN_POINT('Ctrl Pts',(1.69611501477507,0.00263499458117434, 0.00122490656825275)); #146494=CARTESIAN_POINT('Ctrl Pts',(1.69447236617146,0.00434780686896411, 0.000506620262802731)); #146495=CARTESIAN_POINT('Ctrl Pts',(1.69863893680734,-0.00334169029488946, 0.0116494012496414)); #146496=CARTESIAN_POINT('Ctrl Pts',(1.69856369174947,-0.00336883900086803, 0.00916627407458746)); #146497=CARTESIAN_POINT('Ctrl Pts',(1.69728877900784,-0.00223214024302056, 0.00421717158569232)); #146498=CARTESIAN_POINT('Ctrl Pts',(1.69427684248558,0.000825806176663355, 0.00121440653397732)); #146499=CARTESIAN_POINT('Ctrl Pts',(1.69262567693746,0.00254239097037488, 0.000499208388002456)); #146500=CARTESIAN_POINT('Ctrl Pts',(1.69648672848874,-0.00848863142643278, 0.0117009029318174)); #146501=CARTESIAN_POINT('Ctrl Pts',(1.69641916914292,-0.00850770573185215, 0.00874887961033232)); #146502=CARTESIAN_POINT('Ctrl Pts',(1.69494024013017,-0.00714443164900057, 0.00287070217442742)); #146503=CARTESIAN_POINT('Ctrl Pts',(1.69135599550163,-0.00351722131751268, -0.000688119377843136)); #146504=CARTESIAN_POINT('Ctrl Pts',(1.6893810561495,-0.00148481490950439, -0.00153545028432968)); #146505=CARTESIAN_POINT('Ctrl Pts',(1.69853959162878,-0.0136759965173515, 0.0116494012496414)); #146506=CARTESIAN_POINT('Ctrl Pts',(1.69844513705206,-0.0136792365250308, 0.00761710385873761)); #146507=CARTESIAN_POINT('Ctrl Pts',(1.69642196598555,-0.011785306456001, -0.000393842559453485)); #146508=CARTESIAN_POINT('Ctrl Pts',(1.69153455720071,-0.00684662407938743, -0.00525271470933243)); #146509=CARTESIAN_POINT('Ctrl Pts',(1.68883626554421,-0.00408191013026815, -0.00641849101528618)); #146510=CARTESIAN_POINT('Ctrl Pts',(1.70033887659491,-0.0155403290309543, 0.0116048928156926)); #146511=CARTESIAN_POINT('Ctrl Pts',(1.70022678037919,-0.0155392514338397, 0.00702818640335871)); #146512=CARTESIAN_POINT('Ctrl Pts',(1.69792603394836,-0.0133849559769102, -0.00205336713037059)); #146513=CARTESIAN_POINT('Ctrl Pts',(1.69238563671803,-0.00778616239222798, -0.00756348180431789)); #146514=CARTESIAN_POINT('Ctrl Pts',(1.68932508468699,-0.00465019806225406, -0.0088899171828508)); #146515=CARTESIAN_POINT('',(1.69447236617146,0.00434780686896411,0.000506620262802731)); #146516=CARTESIAN_POINT('Origin',(1.69651738710102,0.00229532764399113, -0.00906444124722362)); #146517=CARTESIAN_POINT('',(1.70047373013457,-0.00151229270088132,0.0116048928156925)); #146518=CARTESIAN_POINT('Ctrl Pts',(1.69447236617146,0.00434780686896411, 0.000506620262802731)); #146519=CARTESIAN_POINT('Ctrl Pts',(1.69611501477507,0.00263499458117434, 0.00122490656825275)); #146520=CARTESIAN_POINT('Ctrl Pts',(1.69910664550267,-0.000405395655132724, 0.00420872317613985)); #146521=CARTESIAN_POINT('Ctrl Pts',(1.70038771725175,-0.00153693056168062, 0.00912653194470858)); #146522=CARTESIAN_POINT('Ctrl Pts',(1.70047373013457,-0.00151229270088139, 0.0116048928156925)); #146523=CARTESIAN_POINT('Origin',(1.70753117900894,-0.00859480332470882, 0.0114303687513198)); #146524=CARTESIAN_POINT('Ctrl Pts',(1.69447236617146,0.00434780686896421, 0.00050662026280284)); #146525=CARTESIAN_POINT('Ctrl Pts',(1.69370555984625,0.00514736636895272, 0.000171316363287161)); #146526=CARTESIAN_POINT('Ctrl Pts',(1.69290851363522,0.00598681963398042, -1.0090938310805E-11)); #146527=CARTESIAN_POINT('Ctrl Pts',(1.69211540627032,0.00683020393485274, -2.59525559398105E-17)); #146528=CARTESIAN_POINT('Ctrl Pts',(1.69296694165842,0.00287602845604765, 0.000500578088620834)); #146529=CARTESIAN_POINT('Ctrl Pts',(1.69219614381869,0.0036777868434631, 0.000166091945737359)); #146530=CARTESIAN_POINT('Ctrl Pts',(1.69139405671292,0.00451993109634813, -3.25935275880225E-6)); #146531=CARTESIAN_POINT('Ctrl Pts',(1.69059725383661,0.00536414117162306, 2.88296431823339E-13)); #146532=CARTESIAN_POINT('Ctrl Pts',(1.69160574408385,0.00126725911525131, -0.00016212081145419)); #146533=CARTESIAN_POINT('Ctrl Pts',(1.69078543809733,0.00211692890954078, -0.000516258224875223)); #146534=CARTESIAN_POINT('Ctrl Pts',(1.68993157501408,0.00300869305482187, -0.000694640896947399)); #146535=CARTESIAN_POINT('Ctrl Pts',(1.68908309049108,0.00390193063442708, -0.000689554073931511)); #146536=CARTESIAN_POINT('Ctrl Pts',(1.69064495494696,-0.000175725899225776, -0.00135675450069741)); #146537=CARTESIAN_POINT('Ctrl Pts',(1.6897392753731,0.000758881359018938, -0.00174715402947965)); #146538=CARTESIAN_POINT('Ctrl Pts',(1.68879701207283,0.00173834667121615, -0.00194366842281786)); #146539=CARTESIAN_POINT('Ctrl Pts',(1.68785919970758,0.00272003305870071, -0.00193828795600479)); #146540=CARTESIAN_POINT('Origin',(1.69211540620877,0.00683020399662161, -0.01)); #146541=CARTESIAN_POINT('Ctrl Pts',(1.69211540627032,0.00683020393485274, -2.59525559398105E-17)); #146542=CARTESIAN_POINT('Ctrl Pts',(1.69290851363522,0.00598681963398042, -1.0090938310805E-11)); #146543=CARTESIAN_POINT('Ctrl Pts',(1.69370555984625,0.00514736636895272, 0.000171316363287161)); #146544=CARTESIAN_POINT('Ctrl Pts',(1.69447236617146,0.00434780686896421, 0.00050662026280284)); #146545=CARTESIAN_POINT('Origin',(1.15476737861982,0.56327037562055,-0.01)); #146546=CARTESIAN_POINT('Ctrl Pts',(1.65844378689733,-0.0442377962969063, -0.02)); #146547=CARTESIAN_POINT('Ctrl Pts',(1.67300141527013,-0.0304357801399606, -0.02)); #146548=CARTESIAN_POINT('Ctrl Pts',(1.68736326793349,-0.0164749799251712, -0.02)); #146549=CARTESIAN_POINT('Ctrl Pts',(1.70156887889148,-0.00231719744164254, -0.02)); #146550=CARTESIAN_POINT('Ctrl Pts',(1.6570322405799,-0.0427489727448597, -0.0141972016575527)); #146551=CARTESIAN_POINT('Ctrl Pts',(1.67157760423108,-0.028958584710403, -0.0141972016575527)); #146552=CARTESIAN_POINT('Ctrl Pts',(1.68592698483392,-0.015009908273829, -0.0141972016575527)); #146553=CARTESIAN_POINT('Ctrl Pts',(1.70012062764303,-0.000864053643957298, -0.0141972016575527)); #146554=CARTESIAN_POINT('Ctrl Pts',(1.65167491639044,-0.0370983537529788, -0.00391324892158935)); #146555=CARTESIAN_POINT('Ctrl Pts',(1.666173073379,-0.0233527221241396, -0.00391324892158935)); #146556=CARTESIAN_POINT('Ctrl Pts',(1.68047641743603,-0.00944879667304114, -0.00391324892158953)); #146557=CARTESIAN_POINT('Ctrl Pts',(1.69462399508489,0.00465114789207844, -0.00391324892158953)); #146558=CARTESIAN_POINT('Ctrl Pts',(1.64321821049652,-0.0281786727250896, 1.19090511818722E-17)); #146559=CARTESIAN_POINT('Ctrl Pts',(1.6576422146827,-0.0145033450049849, 1.10198150476255E-17)); #146560=CARTESIAN_POINT('Ctrl Pts',(1.67187216858689,-0.000670760297135129, -2.0983641130025E-16)); #146561=CARTESIAN_POINT('Ctrl Pts',(1.68594738651925,0.0133570681773867, -2.13084143505813E-16)); #146562=CARTESIAN_POINT('Ctrl Pts',(1.63898357154422,-0.0237122020689495, 5.46369598732853E-18)); #146563=CARTESIAN_POINT('Ctrl Pts',(1.65337038039006,-0.0100721390688387, 4.62886478274229E-18)); #146564=CARTESIAN_POINT('Ctrl Pts',(1.66756371076288,0.00372484481353831, -2.09839982484988E-16)); #146565=CARTESIAN_POINT('Ctrl Pts',(1.6816026327739,0.0177164995704424, -2.13084143505813E-16)); #146566=CARTESIAN_POINT('Ctrl Pts',(1.68689122824171,-0.0168208416867779, -0.02)); #146567=CARTESIAN_POINT('Ctrl Pts',(1.68233791781203,-0.0212818129123044, -0.02)); #146568=CARTESIAN_POINT('Ctrl Pts',(1.67776743335069,-0.0257241868294302, -0.02)); #146569=CARTESIAN_POINT('Ctrl Pts',(1.67317850324832,-0.0301491920817789, -0.02)); #146570=CARTESIAN_POINT('Origin',(1.75523987727197,2.49040420918891,-0.00888964134412624)); #146571=CARTESIAN_POINT('',(1.75904106788968,2.48187437632301,-0.0498254759356272)); #146572=CARTESIAN_POINT('',(1.75832957656787,2.4808950925311,-0.00906416540849907)); #146573=CARTESIAN_POINT('Origin',(1.75595136859378,2.49138349298082,-0.0496509518712544)); #146574=CARTESIAN_POINT('Ctrl Pts',(1.75904106788968,2.48187437632301,-0.0498254759356272)); #146575=CARTESIAN_POINT('Ctrl Pts',(1.75898943060592,2.48180330369923,-0.0468671779306614)); #146576=CARTESIAN_POINT('Ctrl Pts',(1.75772783921107,2.48129881736329,-0.0417144135851367)); #146577=CARTESIAN_POINT('Ctrl Pts',(1.75332208433845,2.47975590966969,-0.035645155877636)); #146578=CARTESIAN_POINT('Ctrl Pts',(1.7471768599836,2.47768030127495,-0.0313459951751123)); #146579=CARTESIAN_POINT('Ctrl Pts',(1.74200286262326,2.47597766721509,-0.0301745240643729)); #146580=CARTESIAN_POINT('Ctrl Pts',(1.73904411398655,2.47501631150682,-0.0301745240643729)); #146581=CARTESIAN_POINT('Ctrl Pts',(1.75605435486454,2.48090531854632,-0.0499008906593568)); #146582=CARTESIAN_POINT('Ctrl Pts',(1.75601692370095,2.48084820818842,-0.0474325798505117)); #146583=CARTESIAN_POINT('Ctrl Pts',(1.75500845153681,2.48043645530645,-0.0431146932002467)); #146584=CARTESIAN_POINT('Ctrl Pts',(1.75136946902701,2.4791603035941,-0.0378748310261575)); #146585=CARTESIAN_POINT('Ctrl Pts',(1.746155273679,2.47739923071323,-0.0340114712560695)); #146586=CARTESIAN_POINT('Ctrl Pts',(1.74164214275891,2.47590685755594,-0.0328399692422008)); #146587=CARTESIAN_POINT('Ctrl Pts',(1.7390301517881,2.47505928273512,-0.032763034818718)); #146588=CARTESIAN_POINT('Ctrl Pts',(1.74915233423005,2.48202542500593,-0.0499944555039107)); #146589=CARTESIAN_POINT('Ctrl Pts',(1.74914621904347,2.4819821037498,-0.0484879863905415)); #146590=CARTESIAN_POINT('Ctrl Pts',(1.74866906243278,2.48168169710798,-0.0458258776763047)); #146591=CARTESIAN_POINT('Ctrl Pts',(1.7466181868945,2.48067075068563,-0.0422346525330588)); #146592=CARTESIAN_POINT('Ctrl Pts',(1.74334577577159,2.4792050053461,-0.0392586513874056)); #146593=CARTESIAN_POINT('Ctrl Pts',(1.74022892299924,2.47791408861585,-0.0381026001570565)); #146594=CARTESIAN_POINT('Ctrl Pts',(1.73834758665503,2.47716000220821,-0.0378808270352156)); #146595=CARTESIAN_POINT('Ctrl Pts',(1.74595420801111,2.48824350477443,-0.0499008906593568)); #146596=CARTESIAN_POINT('Ctrl Pts',(1.74594267916786,2.48818211619017,-0.0485791723722084)); #146597=CARTESIAN_POINT('Ctrl Pts',(1.74545508262485,2.48774508308447,-0.0462434416861435)); #146598=CARTESIAN_POINT('Ctrl Pts',(1.7436051478333,2.48638505841036,-0.0432767947024483)); #146599=CARTESIAN_POINT('Ctrl Pts',(1.7408153311891,2.48451872362377,-0.0409434040948244)); #146600=CARTESIAN_POINT('Ctrl Pts',(1.73826065300896,2.48295341675775,-0.0401209607746297)); #146601=CARTESIAN_POINT('Ctrl Pts',(1.73675443035012,2.48206323313998,-0.0400000000000002)); #146602=CARTESIAN_POINT('Ctrl Pts',(1.74595289164221,2.49138349298082,-0.0498254759356272)); #146603=CARTESIAN_POINT('Ctrl Pts',(1.74592707300034,2.49131242035704,-0.0483463269331443)); #146604=CARTESIAN_POINT('Ctrl Pts',(1.74529627730291,2.4908079340211,-0.045769944760382)); #146605=CARTESIAN_POINT('Ctrl Pts',(1.7430933998666,2.4892650263275,-0.0427353159066318)); #146606=CARTESIAN_POINT('Ctrl Pts',(1.74002078768917,2.48718941793276,-0.0405857355553699)); #146607=CARTESIAN_POINT('Ctrl Pts',(1.73743378900901,2.4854867838729,-0.0400000000000003)); #146608=CARTESIAN_POINT('Ctrl Pts',(1.73595441469065,2.48452542816463,-0.0400000000000002)); #146609=CARTESIAN_POINT('',(1.73904411398655,2.47501631150682,-0.0301745240643728)); #146610=CARTESIAN_POINT('',(1.73595441469065,2.48452542816463,-0.04)); #146611=CARTESIAN_POINT('Origin',(1.73595441469065,2.48452542816463,-0.03)); #146612=CARTESIAN_POINT('Origin',(1.73595441469065,2.4848924949925,-0.05)); #146613=CARTESIAN_POINT('Origin',(1.73904411398655,2.47538337833469,-0.0501745240643729)); #146614=CARTESIAN_POINT('Origin',(0.611036221202475,2.11901735044958,-0.03)); #146615=CARTESIAN_POINT('',(1.70169502384289,2.46288085648276,-0.0301745240643729)); #146616=CARTESIAN_POINT('',(1.69860532454699,2.47238997314057,-0.04)); #146617=CARTESIAN_POINT('Origin',(1.69860532454699,2.47238997314057,-0.03)); #146618=CARTESIAN_POINT('',(0.611036221202475,2.11901735044958,-0.04)); #146619=CARTESIAN_POINT('',(0.614125920498374,2.10950823379177,-0.0301745240643729)); #146620=CARTESIAN_POINT('Ctrl Pts',(1.70169502384289,2.46288085648276,-0.0301745240643728)); #146621=CARTESIAN_POINT('Ctrl Pts',(1.70159586215496,2.46284863689724,-0.0301745240643728)); #146622=CARTESIAN_POINT('Ctrl Pts',(1.70149669610299,2.46281643074241,-0.0301753331078195)); #146623=CARTESIAN_POINT('Ctrl Pts',(1.70139753763222,2.46278424189868,-0.0301769511494667)); #146624=CARTESIAN_POINT('Ctrl Pts',(1.70168106164444,2.46292382771106,-0.0327630348187179)); #146625=CARTESIAN_POINT('Ctrl Pts',(1.7015946551123,2.46289576368075,-0.0327622667941407)); #146626=CARTESIAN_POINT('Ctrl Pts',(1.70150819684942,2.46286762055915,-0.0327622255454896)); #146627=CARTESIAN_POINT('Ctrl Pts',(1.70142177134515,2.46283957615069,-0.0327628694490733)); #146628=CARTESIAN_POINT('Ctrl Pts',(1.70099717126566,2.46502862587107,-0.0378764629392044)); #146629=CARTESIAN_POINT('Ctrl Pts',(1.70093650008918,2.46500748610109,-0.0378742465368197)); #146630=CARTESIAN_POINT('Ctrl Pts',(1.70087583340342,2.46498636713323,-0.0378725189349252)); #146631=CARTESIAN_POINT('Ctrl Pts',(1.70081509925132,2.46496522997184,-0.0378713050726864)); #146632=CARTESIAN_POINT('Ctrl Pts',(1.69940534020646,2.46992777811593,-0.04)); #146633=CARTESIAN_POINT('Ctrl Pts',(1.69935642835617,2.46990788053373,-0.03999879160777)); #146634=CARTESIAN_POINT('Ctrl Pts',(1.69930720065026,2.46988790152032,-0.0399980708915996)); #146635=CARTESIAN_POINT('Ctrl Pts',(1.69925825269529,2.46986801823288,-0.0399976789311172)); #146636=CARTESIAN_POINT('Ctrl Pts',(1.69860532454699,2.47238997314057,-0.04)); #146637=CARTESIAN_POINT('Ctrl Pts',(1.69855677161587,2.47236939453071,-0.04)); #146638=CARTESIAN_POINT('Ctrl Pts',(1.69850821528027,2.47234882756559,-0.0400004045217229)); #146639=CARTESIAN_POINT('Ctrl Pts',(1.69845966138804,2.47232827473069,-0.0400012135425465)); #146640=CARTESIAN_POINT('',(1.70139753763222,2.46278424189868,-0.0301769511493731)); #146641=CARTESIAN_POINT('',(1.69845966138804,2.47232827473069,-0.040001213542547)); #146642=CARTESIAN_POINT('Origin',(1.69830783833632,2.47229335855649,-0.0300024270850939)); #146643=CARTESIAN_POINT('Ctrl Pts',(1.69845966138804,2.47232827473069,-0.0400012135425465)); #146644=CARTESIAN_POINT('Ctrl Pts',(1.69850821528027,2.47234882756559,-0.0400004045217229)); #146645=CARTESIAN_POINT('Ctrl Pts',(1.69855677161587,2.47236939453071,-0.04)); #146646=CARTESIAN_POINT('Ctrl Pts',(1.69860532454699,2.47238997314057,-0.04)); #146647=CARTESIAN_POINT('Ctrl Pts',(1.70169502384289,2.46288085648276,-0.0301745240643728)); #146648=CARTESIAN_POINT('Ctrl Pts',(1.70159586215496,2.46284863689724,-0.0301745240643728)); #146649=CARTESIAN_POINT('Ctrl Pts',(1.70149669610299,2.46281643074241,-0.0301753331078195)); #146650=CARTESIAN_POINT('Ctrl Pts',(1.70139753763222,2.46278424189868,-0.0301769511494667)); #146651=CARTESIAN_POINT('Ctrl Pts',(1.69300795034282,2.45110898546113,-0.0491284425715607)); #146652=CARTESIAN_POINT('Ctrl Pts',(1.69300366324877,2.45113638966011,-0.0491145397331987)); #146653=CARTESIAN_POINT('Ctrl Pts',(1.69299938116292,2.45116384828465,-0.0491006119508423)); #146654=CARTESIAN_POINT('Ctrl Pts',(1.69222950839031,2.45617431578798,-0.046561271047389)); #146655=CARTESIAN_POINT('Ctrl Pts',(1.69229906208158,2.46323018720142,-0.0432030260154719)); #146656=CARTESIAN_POINT('Ctrl Pts',(1.69537117610602,2.47010992159096,-0.0404876348202055)); #146657=CARTESIAN_POINT('Ctrl Pts',(1.69735468038461,2.47186053690199,-0.0400196250972212)); #146658=CARTESIAN_POINT('Ctrl Pts',(1.69845966138804,2.47232827473069,-0.040001213542547)); #146659=CARTESIAN_POINT('Ctrl Pts',(1.69300795034286,2.45110898546098,-0.0491284425714037)); #146660=CARTESIAN_POINT('Ctrl Pts',(1.6930034423839,2.45113158145112,-0.0491097126648414)); #146661=CARTESIAN_POINT('Ctrl Pts',(1.69300365085168,2.45115504093526,-0.0490916471555699)); #146662=CARTESIAN_POINT('Ctrl Pts',(1.6923787042466,2.45531395554981,-0.0456943553999055)); #146663=CARTESIAN_POINT('Ctrl Pts',(1.69408419645153,2.46152504358774,-0.0413551197078033)); #146664=CARTESIAN_POINT('Ctrl Pts',(1.69820503998652,2.46636718315029,-0.0398367727384004)); #146665=CARTESIAN_POINT('Ctrl Pts',(1.69950692900025,2.46719406730568,-0.0399555953696758)); #146666=CARTESIAN_POINT('Ctrl Pts',(1.70005684400254,2.46740776173506,-0.0399941443196883)); #146667=CARTESIAN_POINT('Ctrl Pts',(1.69300795034291,2.45110898546082,-0.0491284425712466)); #146668=CARTESIAN_POINT('Ctrl Pts',(1.69300478881909,2.45112703676223,-0.0491050943774858)); #146669=CARTESIAN_POINT('Ctrl Pts',(1.69300629556312,2.45114592468197,-0.0490824344577212)); #146670=CARTESIAN_POINT('Ctrl Pts',(1.69262301800896,2.45448141131988,-0.0448504765445364)); #146671=CARTESIAN_POINT('Ctrl Pts',(1.69468647265626,2.45950828571581,-0.0393109357515857)); #146672=CARTESIAN_POINT('Ctrl Pts',(1.69940040939078,2.46246170758384,-0.0358389473296618)); #146673=CARTESIAN_POINT('Ctrl Pts',(1.70086846785623,2.46276707926286,-0.0354061311216642)); #146674=CARTESIAN_POINT('Ctrl Pts',(1.70144600505806,2.4628949104027,-0.0353487877486797)); #146675=CARTESIAN_POINT('Ctrl Pts',(1.69300795034296,2.45110898546067,-0.0491284425710896)); #146676=CARTESIAN_POINT('Ctrl Pts',(1.69300764476464,2.45112275797065,-0.0491007387332639)); #146677=CARTESIAN_POINT('Ctrl Pts',(1.69300735403385,2.45113656022732,-0.049072983819694)); #146678=CARTESIAN_POINT('Ctrl Pts',(1.69296492987901,2.45365588698228,-0.0440117153948409)); #146679=CARTESIAN_POINT('Ctrl Pts',(1.69406700869978,2.4573294277873,-0.0372153911463888)); #146680=CARTESIAN_POINT('Ctrl Pts',(1.69807613877701,2.46127594692777,-0.0314425870786286)); #146681=CARTESIAN_POINT('Ctrl Pts',(1.70025879090062,2.46241677319943,-0.0303149392581753)); #146682=CARTESIAN_POINT('Ctrl Pts',(1.70139753763221,2.46278424189868,-0.030176951149466)); #146683=CARTESIAN_POINT('Ctrl Pts',(1.70139753763221,2.46278424189868,-0.030176951149466)); #146684=CARTESIAN_POINT('Ctrl Pts',(1.70025879090062,2.46241677319943,-0.0303149392581753)); #146685=CARTESIAN_POINT('Ctrl Pts',(1.69807613877701,2.46127594692777,-0.0314425870786286)); #146686=CARTESIAN_POINT('Ctrl Pts',(1.69406700869978,2.4573294277873,-0.0372153911463888)); #146687=CARTESIAN_POINT('Ctrl Pts',(1.69296492987901,2.45365588698228,-0.0440117153948409)); #146688=CARTESIAN_POINT('Ctrl Pts',(1.69300735403385,2.45113656022732,-0.049072983819694)); #146689=CARTESIAN_POINT('Ctrl Pts',(1.69300764476464,2.45112275797065,-0.0491007387332639)); #146690=CARTESIAN_POINT('Ctrl Pts',(1.69300795034296,2.45110898546067,-0.0491284425710896)); #146691=CARTESIAN_POINT('Ctrl Pts',(1.69300795034282,2.45110898546113,-0.0491284425715607)); #146692=CARTESIAN_POINT('Ctrl Pts',(1.69300366324877,2.45113638966011,-0.0491145397331987)); #146693=CARTESIAN_POINT('Ctrl Pts',(1.69299938116292,2.45116384828465,-0.0491006119508423)); #146694=CARTESIAN_POINT('Ctrl Pts',(1.69222950839031,2.45617431578798,-0.046561271047389)); #146695=CARTESIAN_POINT('Ctrl Pts',(1.69229906208158,2.46323018720142,-0.0432030260154719)); #146696=CARTESIAN_POINT('Ctrl Pts',(1.69537117610602,2.47010992159096,-0.0404876348202055)); #146697=CARTESIAN_POINT('Ctrl Pts',(1.69735468038461,2.47186053690199,-0.0400196250972212)); #146698=CARTESIAN_POINT('Ctrl Pts',(1.69845966138804,2.47232827473069,-0.040001213542547)); #146699=CARTESIAN_POINT('Ctrl Pts',(1.69300795034174,2.45110898546102,-0.0491284425838897)); #146700=CARTESIAN_POINT('Ctrl Pts',(1.69366348716906,2.45118483114151,-0.0416121442455972)); #146701=CARTESIAN_POINT('Ctrl Pts',(1.69431901836457,2.45126067282733,-0.0340958453531049)); #146702=CARTESIAN_POINT('Ctrl Pts',(1.69497454397248,2.45133651055027,-0.026579545910844)); #146703=CARTESIAN_POINT('Ctrl Pts',(1.6924618598521,2.45382524616221,-0.0491079427796279)); #146704=CARTESIAN_POINT('Ctrl Pts',(1.69311763757735,2.45390099146414,-0.0415916642820121)); #146705=CARTESIAN_POINT('Ctrl Pts',(1.69377339259484,2.45397673124727,-0.0340753836870617)); #146706=CARTESIAN_POINT('Ctrl Pts',(1.69442915775389,2.45405246906719,-0.0265591039734288)); #146707=CARTESIAN_POINT('Ctrl Pts',(1.69359970313418,2.45896092136102,-0.049258514566253)); #146708=CARTESIAN_POINT('Ctrl Pts',(1.69425568245521,2.45903629227378,-0.0417422483617584)); #146709=CARTESIAN_POINT('Ctrl Pts',(1.69491165901174,2.45911166062665,-0.0342259818835596)); #146710=CARTESIAN_POINT('Ctrl Pts',(1.69556762458532,2.45918702605931,-0.0267097143921294)); #146711=CARTESIAN_POINT('Ctrl Pts',(1.69771542864797,2.46194519937974,-0.0496473683367297)); #146712=CARTESIAN_POINT('Ctrl Pts',(1.69837121955008,2.46202032824901,-0.0421310804944276)); #146713=CARTESIAN_POINT('Ctrl Pts',(1.69902700486322,2.46209545982877,-0.0346147921923385)); #146714=CARTESIAN_POINT('Ctrl Pts',(1.69968278301565,2.46217058454312,-0.0270985031864476)); #146715=CARTESIAN_POINT('Ctrl Pts',(1.69968350313571,2.46258793441423,-0.0498254759469934)); #146716=CARTESIAN_POINT('Ctrl Pts',(1.7003391910411,2.46266303113986,-0.042309177608701)); #146717=CARTESIAN_POINT('Ctrl Pts',(1.70099487282264,2.46273812586555,-0.0347928787162086)); #146718=CARTESIAN_POINT('Ctrl Pts',(1.70165054852917,2.46281321860726,-0.0272765792739478)); #146719=CARTESIAN_POINT('',(1.70165054852917,2.46281321860726,-0.0272765792739478)); #146720=CARTESIAN_POINT('Ctrl Pts',(1.70139753763222,2.46278424189868,-0.0301769511493723)); #146721=CARTESIAN_POINT('Ctrl Pts',(1.70148187469845,2.46279390083439,-0.0292101605333328)); #146722=CARTESIAN_POINT('Ctrl Pts',(1.70156621166406,2.46280355973724,-0.0282433699081881)); #146723=CARTESIAN_POINT('Ctrl Pts',(1.70165054852917,2.46281321860726,-0.0272765792739478)); #146724=CARTESIAN_POINT('Origin',(1.70474024782507,2.45330410194945,-0.0274511033383206)); #146725=CARTESIAN_POINT('Origin',(2.05440488823945,2.57637883489749,0.03)); #146726=CARTESIAN_POINT('',(1.79809122070212,2.49456254011268,-0.0498254759356272)); #146727=CARTESIAN_POINT('',(1.79887010504739,2.49399664751144,-0.0052032216805565)); #146728=CARTESIAN_POINT('',(1.81808817460525,2.50069928367206,-0.0301745240643729)); #146729=CARTESIAN_POINT('Origin',(1.81808817460525,2.50106635049993,-0.0501745240643728)); #146730=CARTESIAN_POINT('',(2.0274704031073,2.56873169375352,-0.0301745240643711)); #146731=CARTESIAN_POINT('',(0.614125920498374,2.10950823379177,-0.0301745240643729)); #146732=CARTESIAN_POINT('',(2.02535748756117,2.56472794036172,0.150567796543041)); #146733=CARTESIAN_POINT('Ctrl Pts',(2.02535748756117,2.56472794036172,0.150567796543041)); #146734=CARTESIAN_POINT('Ctrl Pts',(2.02606199424198,2.56606259022674,0.0903203601451282)); #146735=CARTESIAN_POINT('Ctrl Pts',(2.02676629934518,2.56739717466509,0.0300729199406724)); #146736=CARTESIAN_POINT('Ctrl Pts',(2.02747040310731,2.56873169375352,-0.0301745240643711)); #146737=CARTESIAN_POINT('',(1.82481750099435,2.49875392614857,0.194953321654336)); #146738=CARTESIAN_POINT('Ctrl Pts',(1.82481750099435,2.49875392614857,0.194953321654336)); #146739=CARTESIAN_POINT('Ctrl Pts',(1.8930139571908,2.52103651136593,0.188185432190324)); #146740=CARTESIAN_POINT('Ctrl Pts',(1.96043267336656,2.54321605279543,0.173263209397845)); #146741=CARTESIAN_POINT('Ctrl Pts',(2.02535748756117,2.56472794036172,0.150567796543041)); #146742=CARTESIAN_POINT('',(1.72902879865998,2.47038697424595,0.0447526522893982)); #146743=CARTESIAN_POINT('Ctrl Pts',(1.72902879865998,2.47038697424595,0.044752652289398)); #146744=CARTESIAN_POINT('Ctrl Pts',(1.74282514148107,2.47438427695527,0.071200196571472)); #146745=CARTESIAN_POINT('Ctrl Pts',(1.77318785852998,2.48330850654081,0.122483240601945)); #146746=CARTESIAN_POINT('Ctrl Pts',(1.80729463388605,2.49349901376071,0.171055097309422)); #146747=CARTESIAN_POINT('Ctrl Pts',(1.82481750099435,2.49875392614857,0.194953321654337)); #146748=CARTESIAN_POINT('',(1.71505151378864,2.46633290967246,0.0181945138769508)); #146749=CARTESIAN_POINT('Ctrl Pts',(1.71505151378864,2.46633290967246,0.0181945138769505)); #146750=CARTESIAN_POINT('Ctrl Pts',(1.71974442975001,2.46769561330033,0.0270276302707748)); #146751=CARTESIAN_POINT('Ctrl Pts',(1.72440129693839,2.46904621855373,0.0358817465512446)); #146752=CARTESIAN_POINT('Ctrl Pts',(1.72902879865998,2.47038697424595,0.0447526522893982)); #146753=CARTESIAN_POINT('Ctrl Pts',(1.70165054852921,2.46281321860727,-0.0272765792735316)); #146754=CARTESIAN_POINT('Ctrl Pts',(1.70303760886937,2.46297207482662,-0.0113760956085946)); #146755=CARTESIAN_POINT('Ctrl Pts',(1.7076311635592,2.46417822839094,0.00422775679049344)); #146756=CARTESIAN_POINT('Ctrl Pts',(1.71505151378864,2.46633290967246,0.0181945138769507)); #146757=CARTESIAN_POINT('Origin',(0.679836792968852,1.54944168816603,-0.03)); #146758=CARTESIAN_POINT('',(1.77936429396571,1.75347077219767,-0.0301745240645978)); #146759=CARTESIAN_POINT('Origin',(1.78110051126779,1.74362419457746,-0.03)); #146760=CARTESIAN_POINT('',(2.20924833652342,1.82927092747662,-0.0301745240643729)); #146761=CARTESIAN_POINT('',(0.678100575666768,1.55928826578624,-0.0301745240643729)); #146762=CARTESIAN_POINT('Origin',(2.2109845538255,1.81942434985641,-0.03)); #146763=CARTESIAN_POINT('Ctrl Pts',(2.22712520331956,1.84301687652819,-0.04)); #146764=CARTESIAN_POINT('Ctrl Pts',(2.22816015176904,1.83781128600306,-0.04)); #146765=CARTESIAN_POINT('Ctrl Pts',(2.22583743549528,1.82658072477181,-0.04)); #146766=CARTESIAN_POINT('Ctrl Pts',(2.21621139636674,1.82034598322034,-0.04)); #146767=CARTESIAN_POINT('Ctrl Pts',(2.2109845538255,1.81942434985641,-0.04)); #146768=CARTESIAN_POINT('Ctrl Pts',(2.22458599595559,1.84251204451892,-0.04)); #146769=CARTESIAN_POINT('Ctrl Pts',(2.2254945893153,1.83798277889703,-0.0399997512836058)); #146770=CARTESIAN_POINT('Ctrl Pts',(2.22347970441129,1.82819370417471,-0.0399994682621335)); #146771=CARTESIAN_POINT('Ctrl Pts',(2.21508568974933,1.82276825851175,-0.0399997512834152)); #146772=CARTESIAN_POINT('Ctrl Pts',(2.21053499518018,1.82197392362848,-0.04)); #146773=CARTESIAN_POINT('Ctrl Pts',(2.2195335634577,1.8415075461523,-0.0378765095867375)); #146774=CARTESIAN_POINT('Ctrl Pts',(2.22019094762983,1.83832001134756,-0.0378901604086421)); #146775=CARTESIAN_POINT('Ctrl Pts',(2.21879227203621,1.83140048729329,-0.0379059603677473)); #146776=CARTESIAN_POINT('Ctrl Pts',(2.21284959742688,1.82758927830614,-0.0378901604191047)); #146777=CARTESIAN_POINT('Ctrl Pts',(2.20964047796131,1.82704698286916,-0.0378765095867375)); #146778=CARTESIAN_POINT('Ctrl Pts',(2.21736297654939,1.84107600134592,-0.0327630348187179)); #146779=CARTESIAN_POINT('Ctrl Pts',(2.21789487513295,1.83846836873361,-0.0328022682787416)); #146780=CARTESIAN_POINT('Ctrl Pts',(2.21673650421236,1.83280688606049,-0.0328478799210121)); #146781=CARTESIAN_POINT('Ctrl Pts',(2.21187933896374,1.8296755566609,-0.0328022683088119)); #146782=CARTESIAN_POINT('Ctrl Pts',(2.20925618240361,1.82922643127891,-0.0327630348187179)); #146783=CARTESIAN_POINT('Ctrl Pts',(2.21731866127045,1.84106719081251,-0.0301745240643728)); #146784=CARTESIAN_POINT('Ctrl Pts',(2.21783710998664,1.83846372885896,-0.0302218330333243)); #146785=CARTESIAN_POINT('Ctrl Pts',(2.21667688837923,1.83284767069489,-0.0302770085373719)); #146786=CARTESIAN_POINT('Ctrl Pts',(2.21186273228624,1.82973107746708,-0.030221833069584)); #146787=CARTESIAN_POINT('Ctrl Pts',(2.20924833652342,1.82927092747662,-0.0301745240643729)); #146788=CARTESIAN_POINT('',(2.21731866127045,1.84106719081251,-0.0301745240643756)); #146789=CARTESIAN_POINT('Ctrl Pts',(2.20924833652342,1.82927092747662,-0.0301745240643729)); #146790=CARTESIAN_POINT('Ctrl Pts',(2.21186273228624,1.82973107746708,-0.030221833069584)); #146791=CARTESIAN_POINT('Ctrl Pts',(2.21667688837923,1.83284767069489,-0.0302770085373719)); #146792=CARTESIAN_POINT('Ctrl Pts',(2.21783710998664,1.83846372885896,-0.0302218330333243)); #146793=CARTESIAN_POINT('Ctrl Pts',(2.21731866127045,1.84106719081251,-0.0301745240643728)); #146794=CARTESIAN_POINT('Origin',(2.22712520331956,1.84301687652819,-0.03)); #146795=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.03)); #146796=CARTESIAN_POINT('',(2.04015340686853,2.5620400317602,-0.0301745240643729)); #146797=CARTESIAN_POINT('Origin',(2.04974671133386,2.56485748642469,-0.03)); #146798=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999971,-0.0301745240643728)); #146799=CARTESIAN_POINT('Ctrl Pts',(2.02438070381141,2.57824081041133,-0.04)); #146800=CARTESIAN_POINT('Ctrl Pts',(2.02944990148233,2.57988789257871,-0.04)); #146801=CARTESIAN_POINT('Ctrl Pts',(2.04092998418999,2.5788776927453,-0.04)); #146802=CARTESIAN_POINT('Ctrl Pts',(2.04824475956093,2.56997156362051,-0.04)); #146803=CARTESIAN_POINT('Ctrl Pts',(2.04974671133386,2.56485748642469,-0.04)); #146804=CARTESIAN_POINT('Ctrl Pts',(2.02518071947088,2.57577861538668,-0.04)); #146805=CARTESIAN_POINT('Ctrl Pts',(2.02959034100406,2.577219842905,-0.0399997485261601)); #146806=CARTESIAN_POINT('Ctrl Pts',(2.03959589940075,2.57634906315816,-0.0399994619132139)); #146807=CARTESIAN_POINT('Ctrl Pts',(2.04596317027651,2.56858139719511,-0.0399997485263555)); #146808=CARTESIAN_POINT('Ctrl Pts',(2.0472627175651,2.56412796305184,-0.04)); #146809=CARTESIAN_POINT('Ctrl Pts',(2.02677249410653,2.57087963679563,-0.0378762771338383)); #146810=CARTESIAN_POINT('Ctrl Pts',(2.02987367673563,2.57191193752566,-0.0378900792984047)); #146811=CARTESIAN_POINT('Ctrl Pts',(2.0369436787205,2.57132203386001,-0.0379060738926959)); #146812=CARTESIAN_POINT('Ctrl Pts',(2.04142187733154,2.56581900743898,-0.0378900792876818)); #146813=CARTESIAN_POINT('Ctrl Pts',(2.04232036646307,2.56267644546305,-0.0378762771338383)); #146814=CARTESIAN_POINT('Ctrl Pts',(2.02745644090886,2.56877466498182,-0.0327630348187179)); #146815=CARTESIAN_POINT('Ctrl Pts',(2.02999414310015,2.56961325203641,-0.0328027032486145)); #146816=CARTESIAN_POINT('Ctrl Pts',(2.03578012706592,2.56911663267732,-0.0328488789178363)); #146817=CARTESIAN_POINT('Ctrl Pts',(2.03945645035592,2.56462085724294,-0.0328027032177959)); #146818=CARTESIAN_POINT('Ctrl Pts',(2.04019675853737,2.56205276369861,-0.0327630348187179)); #146819=CARTESIAN_POINT('Ctrl Pts',(2.0274704031073,2.56873169375352,-0.0301745240643728)); #146820=CARTESIAN_POINT('Ctrl Pts',(2.03000556096608,2.56955629437889,-0.0302223575339979)); #146821=CARTESIAN_POINT('Ctrl Pts',(2.03574625514433,2.56905243178991,-0.0302782172379145)); #146822=CARTESIAN_POINT('Ctrl Pts',(2.03940299000495,2.56459812989897,-0.0302223574968358)); #146823=CARTESIAN_POINT('Ctrl Pts',(2.04015340686853,2.5620400317602,-0.0301745240643728)); #146824=CARTESIAN_POINT('Ctrl Pts',(2.04015340686853,2.5620400317602,-0.0301745240643728)); #146825=CARTESIAN_POINT('Ctrl Pts',(2.03940299000495,2.56459812989897,-0.0302223574968358)); #146826=CARTESIAN_POINT('Ctrl Pts',(2.03574625514433,2.56905243178991,-0.0302782172379145)); #146827=CARTESIAN_POINT('Ctrl Pts',(2.03000556096608,2.56955629437889,-0.0302223575339979)); #146828=CARTESIAN_POINT('Ctrl Pts',(2.0274704031073,2.56873169375352,-0.0301745240643728)); #146829=CARTESIAN_POINT('Origin',(2.02438070381141,2.57824081041133,-0.03)); #146830=CARTESIAN_POINT('Origin',(0.611036221202475,2.11901735044958,-0.03)); #146831=CARTESIAN_POINT('Origin',(1.81499847530935,2.51020840032987,-0.03)); #146832=CARTESIAN_POINT('Ctrl Pts',(1.81808817460525,2.50069928367206,-0.0301745240643729)); #146833=CARTESIAN_POINT('Ctrl Pts',(1.812910364491,2.49901691118259,-0.0301745240643727)); #146834=CARTESIAN_POINT('Ctrl Pts',(1.80259639977256,2.49574343565823,-0.0344099794643686)); #146835=CARTESIAN_POINT('Ctrl Pts',(1.79818158594869,2.49449688591799,-0.0446484544269369)); #146836=CARTESIAN_POINT('Ctrl Pts',(1.79809122070212,2.49456254011268,-0.0498254759356272)); #146837=CARTESIAN_POINT('Ctrl Pts',(1.81807421240681,2.50074225490036,-0.0327630348187177)); #146838=CARTESIAN_POINT('Ctrl Pts',(1.81350310306669,2.49925896498369,-0.0326285193545977)); #146839=CARTESIAN_POINT('Ctrl Pts',(1.80420208964711,2.49629942745657,-0.0361374560007061)); #146840=CARTESIAN_POINT('Ctrl Pts',(1.80015761973403,2.49514998375706,-0.0452451636004388)); #146841=CARTESIAN_POINT('Ctrl Pts',(1.80008245475899,2.49521001848349,-0.0498520218337429)); #146842=CARTESIAN_POINT('Ctrl Pts',(1.81739030144899,2.50284711639611,-0.0378763951714911)); #146843=CARTESIAN_POINT('Ctrl Pts',(1.81409803241583,2.50152754766493,-0.0374882039543479)); #146844=CARTESIAN_POINT('Ctrl Pts',(1.80694480792058,2.49881925004663,-0.0395615481041795)); #146845=CARTESIAN_POINT('Ctrl Pts',(1.80368243066584,2.49774295641618,-0.0464110375428127)); #146846=CARTESIAN_POINT('Ctrl Pts',(1.80363505701669,2.49779902598243,-0.0498811993398334)); #146847=CARTESIAN_POINT('Ctrl Pts',(1.81579849096883,2.50774620530522,-0.0399999999999995)); #146848=CARTESIAN_POINT('Ctrl Pts',(1.81316260223063,2.50618827066984,-0.0397883564582756)); #146849=CARTESIAN_POINT('Ctrl Pts',(1.80756370572575,2.50310527461058,-0.0416251015953364)); #146850=CARTESIAN_POINT('Ctrl Pts',(1.80504079281297,2.50191539659379,-0.0470891594025695)); #146851=CARTESIAN_POINT('Ctrl Pts',(1.80499953499741,2.50197779882517,-0.0498520218337429)); #146852=CARTESIAN_POINT('Ctrl Pts',(1.81499847530935,2.51020840032987,-0.0399999999999996)); #146853=CARTESIAN_POINT('Ctrl Pts',(1.81240957025223,2.5085260278404,-0.0399999999999995)); #146854=CARTESIAN_POINT('Ctrl Pts',(1.80725258789301,2.50525255231604,-0.0421177276999977)); #146855=CARTESIAN_POINT('Ctrl Pts',(1.80504518098107,2.5040060025758,-0.0472369651812819)); #146856=CARTESIAN_POINT('Ctrl Pts',(1.80499999835779,2.50407165677049,-0.0498254759356272)); #146857=CARTESIAN_POINT('',(1.80499999835779,2.50407165677049,-0.0498254759356272)); #146858=CARTESIAN_POINT('Origin',(1.79500152140622,2.50407165677049,-0.0496509518712543)); #146859=CARTESIAN_POINT('Origin',(1.81499847530935,2.51057546715775,-0.05)); #146860=CARTESIAN_POINT('Origin',(1.79578040575149,2.50350576416926,-0.00502869761618368)); #146861=CARTESIAN_POINT('',(1.80577888270305,2.50350576416926,-0.00520322168055651)); #146862=CARTESIAN_POINT('Ctrl Pts',(1.78110051126774,1.74362419457745,-0.04)); #146863=CARTESIAN_POINT('Ctrl Pts',(1.77794707244307,1.74306815823067,-0.04)); #146864=CARTESIAN_POINT('Ctrl Pts',(1.77139588459734,1.74340888051882,-0.04)); #146865=CARTESIAN_POINT('Ctrl Pts',(1.76135222275953,1.74909796327738,-0.04)); #146866=CARTESIAN_POINT('Ctrl Pts',(1.75763198034632,1.756784418886,-0.04)); #146867=CARTESIAN_POINT('Ctrl Pts',(1.75725793571576,1.76157296088791,-0.04)); #146868=CARTESIAN_POINT('Ctrl Pts',(1.78065095262244,1.74617376834952,-0.04)); #146869=CARTESIAN_POINT('Ctrl Pts',(1.7778930530617,1.74562271195471,-0.039998005293504)); #146870=CARTESIAN_POINT('Ctrl Pts',(1.77211016375664,1.74580408861585,-0.0399979242176374)); #146871=CARTESIAN_POINT('Ctrl Pts',(1.7631586214894,1.75071342352636,-0.0399952751825878)); #146872=CARTESIAN_POINT('Ctrl Pts',(1.75983659572946,1.75751498273778,-0.0399997339233416)); #146873=CARTESIAN_POINT('Ctrl Pts',(1.75951865247874,1.76174955095773,-0.04)); #146874=CARTESIAN_POINT('Ctrl Pts',(1.77975638619462,1.75124710666827,-0.0378767979596771)); #146875=CARTESIAN_POINT('Ctrl Pts',(1.77778250189464,1.75071011520699,-0.0379862776070439)); #146876=CARTESIAN_POINT('Ctrl Pts',(1.77353037030516,1.75057644175417,-0.0381738397368309)); #146877=CARTESIAN_POINT('Ctrl Pts',(1.7667555597431,1.75393899111127,-0.0383771678863212)); #146878=CARTESIAN_POINT('Ctrl Pts',(1.76423363125544,1.75897002490614,-0.0384100895672199)); #146879=CARTESIAN_POINT('Ctrl Pts',(1.76402688901766,1.76210170024868,-0.0383912406373756)); #146880=CARTESIAN_POINT('Ctrl Pts',(1.77937213984593,1.75342627599996,-0.0327630348187341)); #146881=CARTESIAN_POINT('Ctrl Pts',(1.77771585312918,1.75302669668043,-0.0330776873281856)); #146882=CARTESIAN_POINT('Ctrl Pts',(1.77420563164592,1.75299105209487,-0.0336335145186814)); #146883=CARTESIAN_POINT('Ctrl Pts',(1.76872791486623,1.75574560222325,-0.0342570595325304)); #146884=CARTESIAN_POINT('Ctrl Pts',(1.76670193088389,1.75978518175248,-0.0343631750655533)); #146885=CARTESIAN_POINT('Ctrl Pts',(1.7665362687312,1.7622977139847,-0.0343045666144863)); #146886=CARTESIAN_POINT('Ctrl Pts',(1.77936429396573,1.75347077219768,-0.0301745240643924)); #146887=CARTESIAN_POINT('Ctrl Pts',(1.77769451993589,1.75316962104968,-0.0305539421883906)); #146888=CARTESIAN_POINT('Ctrl Pts',(1.77425942188372,1.75329973600909,-0.0312378212431579)); #146889=CARTESIAN_POINT('Ctrl Pts',(1.76906718642194,1.7561002806903,-0.0320248622015928)); #146890=CARTESIAN_POINT('Ctrl Pts',(1.76717940795191,1.75993620827217,-0.0321615951369154)); #146891=CARTESIAN_POINT('Ctrl Pts',(1.7670080557753,1.76233456641505,-0.0320868914312005)); #146892=CARTESIAN_POINT('',(1.7670080557753,1.76233456641505,-0.0320868914312006)); #146893=CARTESIAN_POINT('Ctrl Pts',(1.76703484452488,1.7623199439618,-0.0320740348203154)); #146894=CARTESIAN_POINT('Ctrl Pts',(1.76684015530433,1.76073964646564,-0.0335413051068188)); #146895=CARTESIAN_POINT('Ctrl Pts',(1.76669518790332,1.75912148793364,-0.0349169489535785)); #146896=CARTESIAN_POINT('Ctrl Pts',(1.76661065960507,1.7573458701003,-0.0361250127782979)); #146897=CARTESIAN_POINT('Ctrl Pts',(1.76655563616493,1.75619003704111,-0.036911398271845)); #146898=CARTESIAN_POINT('Ctrl Pts',(1.76652706046127,1.75498450198985,-0.0376140490463322)); #146899=CARTESIAN_POINT('Ctrl Pts',(1.76652609760709,1.75372385815713,-0.0381985089814318)); #146900=CARTESIAN_POINT('Ctrl Pts',(1.76652566122683,1.753152515065,-0.0384633951752019)); #146901=CARTESIAN_POINT('Ctrl Pts',(1.76653088618628,1.75256985184537,-0.0387040010401382)); #146902=CARTESIAN_POINT('Ctrl Pts',(1.76654187899497,1.7519753662798,-0.0389171072380179)); #146903=CARTESIAN_POINT('Ctrl Pts',(1.76657622822371,1.75011777726593,-0.0395830001627588)); #146904=CARTESIAN_POINT('Ctrl Pts',(1.7666655767907,1.74817819593838,-0.0399664488152914)); #146905=CARTESIAN_POINT('Ctrl Pts',(1.76681329640326,1.74621745586374,-0.0399665405736598)); #146906=CARTESIAN_POINT('Ctrl Pts',(1.7670080557753,1.76233456641505,-0.0320868914312005)); #146907=CARTESIAN_POINT('Ctrl Pts',(1.76717940795191,1.75993620827217,-0.0321615951369154)); #146908=CARTESIAN_POINT('Ctrl Pts',(1.76906718642194,1.7561002806903,-0.0320248622015928)); #146909=CARTESIAN_POINT('Ctrl Pts',(1.77425942188372,1.75329973600909,-0.0312378212431579)); #146910=CARTESIAN_POINT('Ctrl Pts',(1.77769451993589,1.75316962104968,-0.0305539421883906)); #146911=CARTESIAN_POINT('Ctrl Pts',(1.77936429396573,1.75347077219768,-0.0301745240643924)); #146912=CARTESIAN_POINT('Origin',(1.81499847530935,0.952025134999997,-0.05)); #146913=CARTESIAN_POINT('',(1.80499999835778,0.952025134999997,-0.0498254759356272)); #146914=CARTESIAN_POINT('Origin',(1.80517150267819,2.80405027,-0.04)); #146915=CARTESIAN_POINT('Ctrl Pts',(2.02747219034264,2.5687350811806,-0.0303274509377796)); #146916=CARTESIAN_POINT('Ctrl Pts',(2.02676749117625,2.56739943306044,0.0299709686981675)); #146917=CARTESIAN_POINT('Ctrl Pts',(2.02606259032815,2.5660637194798,0.0902693845270979)); #146918=CARTESIAN_POINT('Ctrl Pts',(2.02535748756117,2.56472794036172,0.150567796543041)); #146919=CARTESIAN_POINT('Ctrl Pts',(2.03000658066029,2.56955767648761,-0.0302796108677244)); #146920=CARTESIAN_POINT('Ctrl Pts',(2.02930208790687,2.5682221031369,0.0300188190963629)); #146921=CARTESIAN_POINT('Ctrl Pts',(2.02859739452762,2.56688644099235,0.0903172447209538)); #146922=CARTESIAN_POINT('Ctrl Pts',(2.02789249833342,2.5655507367075,0.150615667078772)); #146923=CARTESIAN_POINT('Ctrl Pts',(2.03574332472794,2.56904657219051,-0.0302238876283939)); #146924=CARTESIAN_POINT('Ctrl Pts',(2.03503935270324,2.56771074997433,0.0300745553150665)); #146925=CARTESIAN_POINT('Ctrl Pts',(2.03433518182372,2.56637486521112,0.0903729945857969)); #146926=CARTESIAN_POINT('Ctrl Pts',(2.03363080678188,2.56503891174772,0.150671429941491)); #146927=CARTESIAN_POINT('Ctrl Pts',(2.03940355346402,2.56459975471059,-0.0302796108677243)); #146928=CARTESIAN_POINT('Ctrl Pts',(2.03869950230665,2.56326316251361,0.0300188190963631)); #146929=CARTESIAN_POINT('Ctrl Pts',(2.03799522548708,2.56192649992379,0.0903172447209538)); #146930=CARTESIAN_POINT('Ctrl Pts',(2.0372907709478,2.56058977577056,0.150615667078772)); #146931=CARTESIAN_POINT('Ctrl Pts',(2.04015519317122,2.56204342236289,-0.0303274509377796)); #146932=CARTESIAN_POINT('Ctrl Pts',(2.03945086174304,2.56070652211246,0.0299709686981676)); #146933=CARTESIAN_POINT('Ctrl Pts',(2.03874632852998,2.55936955584613,0.0902693845270979)); #146934=CARTESIAN_POINT('Ctrl Pts',(2.03804159329447,2.55803252348622,0.150567796543041)); #146935=CARTESIAN_POINT('',(2.03804159329447,2.55803252348622,0.150567796543041)); #146936=CARTESIAN_POINT('Ctrl Pts',(2.04015340686853,2.5620400317602,-0.0301745240643729)); #146937=CARTESIAN_POINT('Ctrl Pts',(2.03944967053356,2.5607042615991,0.0300729199406713)); #146938=CARTESIAN_POINT('Ctrl Pts',(2.03874573275452,2.5593684255336,0.0903203601451276)); #146939=CARTESIAN_POINT('Ctrl Pts',(2.03804159329447,2.55803252348622,0.150567796543041)); #146940=CARTESIAN_POINT('Origin',(2.02844718685707,2.5552188237039,0.150393272478668)); #146941=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #146942=CARTESIAN_POINT('',(2.21359427355593,1.84361796445693,0.150567796543041)); #146943=CARTESIAN_POINT('Ctrl Pts',(2.21359427355593,1.84361796445693,0.150567796543041)); #146944=CARTESIAN_POINT('Ctrl Pts',(2.21483594224316,1.84276774248506,0.0903203600678653)); #146945=CARTESIAN_POINT('Ctrl Pts',(2.21607740473448,1.84191748458961,0.0300729198634619)); #146946=CARTESIAN_POINT('Ctrl Pts',(2.21731866127045,1.84106719081251,-0.0301745240643756)); #146947=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.150567796543041)); #146948=CARTESIAN_POINT('Ctrl Pts',(2.20552470746772,1.83181788908814,0.150567796543041)); #146949=CARTESIAN_POINT('Ctrl Pts',(2.2067671600508,1.83096822898706,0.090270027031134)); #146950=CARTESIAN_POINT('Ctrl Pts',(2.20800940643842,1.83011853246195,0.0299722537837656)); #146951=CARTESIAN_POINT('Ctrl Pts',(2.20925144687107,1.82926879955532,-0.0303255231932561)); #146952=CARTESIAN_POINT('Ctrl Pts',(2.20813815015295,1.83227954871276,0.150615141990058)); #146953=CARTESIAN_POINT('Ctrl Pts',(2.20938038673708,1.83142985782654,0.0903173623046977)); #146954=CARTESIAN_POINT('Ctrl Pts',(2.21062242708085,1.83058010984767,0.0300195794173039)); #146955=CARTESIAN_POINT('Ctrl Pts',(2.21186425168376,1.82973034617454,-0.030278207719739)); #146956=CARTESIAN_POINT('Ctrl Pts',(2.21294669967655,1.83540155951596,0.150670231038769)); #146957=CARTESIAN_POINT('Ctrl Pts',(2.21418859476667,1.83455140910188,0.0903724385883369)); #146958=CARTESIAN_POINT('Ctrl Pts',(2.21543027996739,1.83370122411257,0.0300746422931652)); #146959=CARTESIAN_POINT('Ctrl Pts',(2.21667176330193,1.83285100137985,-0.0302231575908882)); #146960=CARTESIAN_POINT('Ctrl Pts',(2.21411198177653,1.84101504485074,0.150615141990058)); #146961=CARTESIAN_POINT('Ctrl Pts',(2.2153543004221,1.84016425493202,0.0903173623046977)); #146962=CARTESIAN_POINT('Ctrl Pts',(2.21659643567758,1.83931343613336,0.0300195794173039)); #146963=CARTESIAN_POINT('Ctrl Pts',(2.21783834192495,1.83846257434876,-0.030278207719739)); #146964=CARTESIAN_POINT('Ctrl Pts',(2.21359427355593,1.84361796445693,0.150567796543041)); #146965=CARTESIAN_POINT('Ctrl Pts',(2.21483697958117,1.84276703217677,0.090270027031134)); #146966=CARTESIAN_POINT('Ctrl Pts',(2.21607947906582,1.84191606391297,0.0299722537837656)); #146967=CARTESIAN_POINT('Ctrl Pts',(2.21732177225105,1.8410650597076,-0.0303255231932561)); #146968=CARTESIAN_POINT('',(2.20552470746772,1.83181788908814,0.150567796543041)); #146969=CARTESIAN_POINT('Ctrl Pts',(2.20924833652342,1.82927092747662,-0.0301745240643729)); #146970=CARTESIAN_POINT('Ctrl Pts',(2.20800733252962,1.83011995101544,0.0300729198634638)); #146971=CARTESIAN_POINT('Ctrl Pts',(2.20676612292435,1.83096893823341,0.0903203600678662)); #146972=CARTESIAN_POINT('Ctrl Pts',(2.20552470746772,1.83181788908814,0.150567796543041)); #146973=CARTESIAN_POINT('Origin',(2.20378849016564,1.84166446670836,0.150393272478668)); #146974=CARTESIAN_POINT('Origin',(1.75613458822178,1.75044130189093,0.03)); #146975=CARTESIAN_POINT('',(1.81115827983259,1.76006049081948,0.025318725966862)); #146976=CARTESIAN_POINT('Ctrl Pts',(1.81115827983259,1.76006049081948,0.0253187259668617)); #146977=CARTESIAN_POINT('Ctrl Pts',(1.79570705543046,1.75707078590672,0.010354151602388)); #146978=CARTESIAN_POINT('Ctrl Pts',(1.784546223066,1.75475751807033,-0.00912821068786611)); #146979=CARTESIAN_POINT('Ctrl Pts',(1.77936599842036,1.75347106501143,-0.0301749600515161)); #146980=CARTESIAN_POINT('',(1.98313314062665,1.79341339086671,0.196218884906952)); #146981=CARTESIAN_POINT('Ctrl Pts',(1.98313314062665,1.79341339086671,0.196218884906952)); #146982=CARTESIAN_POINT('Ctrl Pts',(1.92629303227893,1.78237237083973,0.138751273363548)); #146983=CARTESIAN_POINT('Ctrl Pts',(1.86920124423306,1.77129140244843,0.0815335756447659)); #146984=CARTESIAN_POINT('Ctrl Pts',(1.81115827983259,1.76006049081948,0.025318725966862)); #146985=CARTESIAN_POINT('Ctrl Pts',(2.20552470746772,1.83181788908814,0.150567796543041)); #146986=CARTESIAN_POINT('Ctrl Pts',(2.13354048993051,1.81955468576584,0.174803196943441)); #146987=CARTESIAN_POINT('Ctrl Pts',(2.05868604343172,1.80662818262153,0.190169379196497)); #146988=CARTESIAN_POINT('Ctrl Pts',(1.98313314062665,1.79341339086671,0.196218884906953)); #146989=CARTESIAN_POINT('Ctrl Pts',(-0.39473020466236,3.11219364663944, -0.04)); #146990=CARTESIAN_POINT('Ctrl Pts',(-0.399809944624647,3.11182112524749, -0.04)); #146991=CARTESIAN_POINT('Ctrl Pts',(-0.410190348910905,3.11532714279174, -0.04)); #146992=CARTESIAN_POINT('Ctrl Pts',(-0.415332816089892,3.12492257487187, -0.04)); #146993=CARTESIAN_POINT('Ctrl Pts',(-0.416041677721596,3.12996638746345, -0.04)); #146994=CARTESIAN_POINT('Ctrl Pts',(-0.394892133893299,3.11440173026424, -0.04)); #146995=CARTESIAN_POINT('Ctrl Pts',(-0.399392131024004,3.1140713543237, -0.0399999928722003)); #146996=CARTESIAN_POINT('Ctrl Pts',(-0.40859199295595,3.11725936323793, -0.0399965246065593)); #146997=CARTESIAN_POINT('Ctrl Pts',(-0.41296718223956,3.12587034461638, -0.0399964225179119)); #146998=CARTESIAN_POINT('Ctrl Pts',(-0.413477967710521,3.13032669340834, -0.04)); #146999=CARTESIAN_POINT('Ctrl Pts',(-0.395215135754249,3.11880621681559, -0.0384693312925096)); #147000=CARTESIAN_POINT('Ctrl Pts',(-0.398560473094514,3.11855979370071, -0.0384698602821277)); #147001=CARTESIAN_POINT('Ctrl Pts',(-0.405401037392414,3.12110927970693, -0.0383736483345935)); #147002=CARTESIAN_POINT('Ctrl Pts',(-0.408251163173501,3.12775390125036, -0.038073137420771)); #147003=CARTESIAN_POINT('Ctrl Pts',(-0.408376510688144,3.13104365643647, -0.0378767869911418)); #147004=CARTESIAN_POINT('Ctrl Pts',(-0.395401341235037,3.12134533387672, -0.0345495110486562)); #147005=CARTESIAN_POINT('Ctrl Pts',(-0.398077616141458,3.12114814552426, -0.0345511757504406)); #147006=CARTESIAN_POINT('Ctrl Pts',(-0.403562963111707,3.12319175418331, -0.0342422075447809)); #147007=CARTESIAN_POINT('Ctrl Pts',(-0.405990630686968,3.12860216728051, -0.0333273603066112)); #147008=CARTESIAN_POINT('Ctrl Pts',(-0.406185248169514,3.13135161829989, -0.0327630348187179)); #147009=CARTESIAN_POINT('Ctrl Pts',(-0.395440207797839,3.12187532231907, -0.0324002190696149)); #147010=CARTESIAN_POINT('Ctrl Pts',(-0.397980041323608,3.12168853390265, -0.0324023584999913)); #147011=CARTESIAN_POINT('Ctrl Pts',(-0.403176697064127,3.12353770690863, -0.0320017479476374)); #147012=CARTESIAN_POINT('Ctrl Pts',(-0.405770399716998,3.12863912282002, -0.0308550059023024)); #147013=CARTESIAN_POINT('Ctrl Pts',(-0.406140505260413,3.13135790650568, -0.0301745240643728)); #147014=CARTESIAN_POINT('',(-0.39544020779784,3.12187532231907,-0.0324002190696148)); #147015=CARTESIAN_POINT('Ctrl Pts',(-0.411118947841959,3.11906948726688, -0.04)); #147016=CARTESIAN_POINT('Ctrl Pts',(-0.409657449902081,3.11918166770291, -0.0399984698307453)); #147017=CARTESIAN_POINT('Ctrl Pts',(-0.408209639920225,3.11932888731743, -0.0397822985188406)); #147018=CARTESIAN_POINT('Ctrl Pts',(-0.406800510714055,3.11950878331591, -0.0394036595356054)); #147019=CARTESIAN_POINT('Ctrl Pts',(-0.406735928387872,3.1195170281965, -0.0393863059911511)); #147020=CARTESIAN_POINT('Ctrl Pts',(-0.406671449175669,3.11952534030943, -0.039368612223861)); #147021=CARTESIAN_POINT('Ctrl Pts',(-0.406607074507684,3.11953371929177, -0.0393505826515812)); #147022=CARTESIAN_POINT('Ctrl Pts',(-0.405263165980563,3.11970864191545, -0.0389741908706864)); #147023=CARTESIAN_POINT('Ctrl Pts',(-0.403966381044046,3.1199128705333, -0.0384534882704481)); #147024=CARTESIAN_POINT('Ctrl Pts',(-0.402728297053469,3.12013631898575, -0.0378160170408621)); #147025=CARTESIAN_POINT('Ctrl Pts',(-0.402696771664531,3.12014200866386, -0.0377997850819624)); #147026=CARTESIAN_POINT('Ctrl Pts',(-0.402665288742332,3.12014770927795, -0.0377834791365888)); #147027=CARTESIAN_POINT('Ctrl Pts',(-0.402633848429486,3.12015342058905, -0.0377670996263301)); #147028=CARTESIAN_POINT('Ctrl Pts',(-0.401376996019323,3.12038173495613, -0.0371123152084141)); #147029=CARTESIAN_POINT('Ctrl Pts',(-0.400190241432265,3.12062706000271, -0.0363428343836014)); #147030=CARTESIAN_POINT('Ctrl Pts',(-0.399059630322033,3.12089330303328, -0.0354972187449091)); #147031=CARTESIAN_POINT('Ctrl Pts',(-0.397975366579243,3.12114863191002, -0.034686267597276)); #147032=CARTESIAN_POINT('Ctrl Pts',(-0.396957055008124,3.12141978299164, -0.0338153806158131)); #147033=CARTESIAN_POINT('Ctrl Pts',(-0.395970743481065,3.1217140276964, -0.0328995512613294)); #147034=CARTESIAN_POINT('Ctrl Pts',(-0.395813690975264,3.1217608809136, -0.03275372178346)); #147035=CARTESIAN_POINT('Ctrl Pts',(-0.395657350919432,3.12180832313293, -0.0326067101848559)); #147036=CARTESIAN_POINT('Ctrl Pts',(-0.395501468141167,3.12185639170401, -0.032458535038519)); #147037=CARTESIAN_POINT('Ctrl Pts',(-0.395481034361938,3.12186269273726, -0.0324391116085467)); #147038=CARTESIAN_POINT('Ctrl Pts',(-0.395460614444349,3.12186900292012, -0.0324196729555946)); #147039=CARTESIAN_POINT('Ctrl Pts',(-0.395440207797839,3.12187532231907, -0.0324002190696149)); #147040=CARTESIAN_POINT('',(-0.406140505260413,3.13135790650568,-0.0301745240643728)); #147041=CARTESIAN_POINT('Origin',(-0.416041677721596,3.12996638746345,-0.03)); #147042=CARTESIAN_POINT('Ctrl Pts',(-0.406140505260413,3.13135790650568, -0.0301745240643728)); #147043=CARTESIAN_POINT('Ctrl Pts',(-0.405770399716998,3.12863912282002, -0.0308550059023024)); #147044=CARTESIAN_POINT('Ctrl Pts',(-0.403176697064127,3.12353770690863, -0.0320017479476374)); #147045=CARTESIAN_POINT('Ctrl Pts',(-0.397980041323608,3.12168853390265, -0.0324023584999913)); #147046=CARTESIAN_POINT('Ctrl Pts',(-0.395440207797839,3.12187532231907, -0.0324002190696149)); #147047=CARTESIAN_POINT('Origin',(-0.131983789318624,1.10878948891657,-0.03)); #147048=CARTESIAN_POINT('',(-0.473435745354953,3.61018842033494,-0.0301745240643728)); #147049=CARTESIAN_POINT('Origin',(-0.483336917816136,3.60879690129271,-0.03)); #147050=CARTESIAN_POINT('',(-0.122082616857441,1.11018100795879,-0.0301745240643728)); #147051=CARTESIAN_POINT('Ctrl Pts',(-0.483336917816136,3.60879690129272, -0.04)); #147052=CARTESIAN_POINT('Ctrl Pts',(-0.484079689838839,3.6140819988537, -0.04)); #147053=CARTESIAN_POINT('Ctrl Pts',(-0.481080553636774,3.62522787613089, -0.04)); #147054=CARTESIAN_POINT('Ctrl Pts',(-0.471015951521465,3.63087737717963, -0.04)); #147055=CARTESIAN_POINT('Ctrl Pts',(-0.465710607440072,3.63145814450382, -0.04)); #147056=CARTESIAN_POINT('Ctrl Pts',(-0.480773207805062,3.60915720723761, -0.04)); #147057=CARTESIAN_POINT('Ctrl Pts',(-0.481427688293737,3.61375610558525, -0.039999747670635)); #147058=CARTESIAN_POINT('Ctrl Pts',(-0.478823318132796,3.6234721118451, -0.0399994601352768)); #147059=CARTESIAN_POINT('Ctrl Pts',(-0.470047408459431,3.62838714766844, -0.0399997476710184)); #147060=CARTESIAN_POINT('Ctrl Pts',(-0.465428887190644,3.6288846132536, -0.04)); #147061=CARTESIAN_POINT('Ctrl Pts',(-0.475672035907918,3.60987413019399, -0.0378764939941701)); #147062=CARTESIAN_POINT('Ctrl Pts',(-0.476150812978397,3.613111678506,-0.0378903431143088)); #147063=CARTESIAN_POINT('Ctrl Pts',(-0.474335720861022,3.61998148685797, -0.0379063981468966)); #147064=CARTESIAN_POINT('Ctrl Pts',(-0.468124131744137,3.62343117084506, -0.0378903430932637)); #147065=CARTESIAN_POINT('Ctrl Pts',(-0.464868331038414,3.6237638994313, -0.0378764939941701)); #147066=CARTESIAN_POINT('Ctrl Pts',(-0.473480488264054,3.61018213212915, -0.032763034818718)); #147067=CARTESIAN_POINT('Ctrl Pts',(-0.473866199632501,3.61283035690205, -0.032802838202362)); #147068=CARTESIAN_POINT('Ctrl Pts',(-0.472367008556199,3.61845014649713, -0.0328491891054581)); #147069=CARTESIAN_POINT('Ctrl Pts',(-0.46728920587131,3.62128606042744, -0.0328028381418768)); #147070=CARTESIAN_POINT('Ctrl Pts',(-0.464627506863216,3.62156395625385, -0.0327630348187179)); #147071=CARTESIAN_POINT('Ctrl Pts',(-0.473435745354953,3.61018842033494, -0.0301745240643729)); #147072=CARTESIAN_POINT('Ctrl Pts',(-0.473808081208537,3.61283170791929, -0.0302225202655706)); #147073=CARTESIAN_POINT('Ctrl Pts',(-0.472309622775259,3.61840550967606, -0.0302785925698268)); #147074=CARTESIAN_POINT('Ctrl Pts',(-0.467276212048406,3.62122939708114, -0.0302225201926356)); #147075=CARTESIAN_POINT('Ctrl Pts',(-0.464622590166922,3.62151904194049, -0.0301745240643729)); #147076=CARTESIAN_POINT('',(-0.464622590166922,3.62151904194049,-0.0301745240643717)); #147077=CARTESIAN_POINT('Origin',(-0.465710607440072,3.63145814450382,-0.03)); #147078=CARTESIAN_POINT('Ctrl Pts',(-0.464622590166922,3.62151904194049, -0.0301745240643729)); #147079=CARTESIAN_POINT('Ctrl Pts',(-0.467276212048406,3.62122939708114, -0.0302225201926356)); #147080=CARTESIAN_POINT('Ctrl Pts',(-0.472309622775259,3.61840550967606, -0.0302785925698268)); #147081=CARTESIAN_POINT('Ctrl Pts',(-0.473808081208537,3.61283170791929, -0.0302225202655706)); #147082=CARTESIAN_POINT('Ctrl Pts',(-0.473435745354953,3.61018842033494, -0.0301745240643729)); #147083=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.03)); #147084=CARTESIAN_POINT('',(0.464622590166922,3.62151904194049,-0.0301745240643729)); #147085=CARTESIAN_POINT('Origin',(0.465710607440072,3.63145814450381,-0.03)); #147086=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.0301745240643729)); #147087=CARTESIAN_POINT('Ctrl Pts',(0.465710607440073,3.63145814450382, -0.04)); #147088=CARTESIAN_POINT('Ctrl Pts',(0.471015951521776,3.63087737717959, -0.04)); #147089=CARTESIAN_POINT('Ctrl Pts',(0.481080909133905,3.62522741908412, -0.04)); #147090=CARTESIAN_POINT('Ctrl Pts',(0.484079689838883,3.61408199885401, -0.04)); #147091=CARTESIAN_POINT('Ctrl Pts',(0.483336917816135,3.60879690129271, -0.04)); #147092=CARTESIAN_POINT('Ctrl Pts',(0.465428887190644,3.62888461325359, -0.04)); #147093=CARTESIAN_POINT('Ctrl Pts',(0.470047408459702,3.62838714766841, -0.0399997476710184)); #147094=CARTESIAN_POINT('Ctrl Pts',(0.478823627949063,3.62347171352803, -0.039999460135247)); #147095=CARTESIAN_POINT('Ctrl Pts',(0.481427688293776,3.61375610558552, -0.039999747670635)); #147096=CARTESIAN_POINT('Ctrl Pts',(0.480773207805061,3.6091572072376,-0.04)); #147097=CARTESIAN_POINT('Ctrl Pts',(0.464868331038414,3.6237638994313,-0.0378764939941725)); #147098=CARTESIAN_POINT('Ctrl Pts',(0.468124131744327,3.62343117084504, -0.037890343093267)); #147099=CARTESIAN_POINT('Ctrl Pts',(0.47433594001752,3.61998120509831,-0.0379063981468985)); #147100=CARTESIAN_POINT('Ctrl Pts',(0.476150812978423,3.61311167850619, -0.0378903431143121)); #147101=CARTESIAN_POINT('Ctrl Pts',(0.475672035907915,3.60987413019399, -0.0378764939941725)); #147102=CARTESIAN_POINT('Ctrl Pts',(0.464627506863216,3.62156395625385, -0.0327630348187179)); #147103=CARTESIAN_POINT('Ctrl Pts',(0.467289205871466,3.62128606042742, -0.0328028381418791)); #147104=CARTESIAN_POINT('Ctrl Pts',(0.472367187419575,3.61844991653977, -0.0328491891054497)); #147105=CARTESIAN_POINT('Ctrl Pts',(0.473866199632524,3.61283035690221, -0.0328028382023644)); #147106=CARTESIAN_POINT('Ctrl Pts',(0.473480488264054,3.61018213212915, -0.0327630348187179)); #147107=CARTESIAN_POINT('Ctrl Pts',(0.464622590166922,3.62151904194049, -0.0301745240643729)); #147108=CARTESIAN_POINT('Ctrl Pts',(0.467276212048562,3.62122939708112, -0.0302225201926384)); #147109=CARTESIAN_POINT('Ctrl Pts',(0.472309800523824,3.61840528115268, -0.0302785925698132)); #147110=CARTESIAN_POINT('Ctrl Pts',(0.473808081208559,3.61283170791945, -0.0302225202655734)); #147111=CARTESIAN_POINT('Ctrl Pts',(0.473435745354953,3.61018842033494, -0.0301745240643729)); #147112=CARTESIAN_POINT('',(0.473435745354953,3.61018842033494,-0.0301745240643714)); #147113=CARTESIAN_POINT('Origin',(0.483336917816135,3.60879690129271,-0.03)); #147114=CARTESIAN_POINT('Ctrl Pts',(0.473435745354953,3.61018842033494, -0.0301745240643729)); #147115=CARTESIAN_POINT('Ctrl Pts',(0.473808081208559,3.61283170791945, -0.0302225202655734)); #147116=CARTESIAN_POINT('Ctrl Pts',(0.472309800523824,3.61840528115268, -0.0302785925698132)); #147117=CARTESIAN_POINT('Ctrl Pts',(0.467276212048562,3.62122939708112, -0.0302225201926384)); #147118=CARTESIAN_POINT('Ctrl Pts',(0.464622590166922,3.62151904194049, -0.0301745240643729)); #147119=CARTESIAN_POINT('Origin',(0.131983789318624,1.10878948891657,-0.03)); #147120=CARTESIAN_POINT('',(0.40614050526038,3.13135790650537,-0.0301745240649009)); #147121=CARTESIAN_POINT('Origin',(0.416041677721553,3.12996638746315,-0.03)); #147122=CARTESIAN_POINT('',(0.122082616857441,1.11018100795879,-0.0301745240643728)); #147123=CARTESIAN_POINT('Ctrl Pts',(0.416041677721535,3.12996638746302, -0.04)); #147124=CARTESIAN_POINT('Ctrl Pts',(0.415332816089741,3.12492257487153, -0.04)); #147125=CARTESIAN_POINT('Ctrl Pts',(0.410190348910668,3.1153271427916,-0.04)); #147126=CARTESIAN_POINT('Ctrl Pts',(0.399809944624567,3.11182112524749, -0.04)); #147127=CARTESIAN_POINT('Ctrl Pts',(0.39473020466236,3.11219364663944,-0.04)); #147128=CARTESIAN_POINT('Ctrl Pts',(0.413477967710478,3.13032669340796, -0.04)); #147129=CARTESIAN_POINT('Ctrl Pts',(0.412967182239434,3.12587034461608, -0.039996422517912)); #147130=CARTESIAN_POINT('Ctrl Pts',(0.408591992955741,3.1172593632378,-0.0399965246065594)); #147131=CARTESIAN_POINT('Ctrl Pts',(0.399392131023933,3.1140713543237,-0.0399999928722003)); #147132=CARTESIAN_POINT('Ctrl Pts',(0.394892133893299,3.11440173026424, -0.04)); #147133=CARTESIAN_POINT('Ctrl Pts',(0.408376510688133,3.13104365643619, -0.0378767869911585)); #147134=CARTESIAN_POINT('Ctrl Pts',(0.408251163173423,3.12775390125012, -0.0380731374207823)); #147135=CARTESIAN_POINT('Ctrl Pts',(0.405401037392259,3.12110927970683, -0.0383736483345971)); #147136=CARTESIAN_POINT('Ctrl Pts',(0.398560473094462,3.11855979370071, -0.0384698602821277)); #147137=CARTESIAN_POINT('Ctrl Pts',(0.39521513575425,3.11880621681559,-0.0384693312925096)); #147138=CARTESIAN_POINT('Ctrl Pts',(0.406185248169497,3.13135161829965, -0.0327630348187661)); #147139=CARTESIAN_POINT('Ctrl Pts',(0.4059906306869,3.12860216728032,-0.0333273603066453)); #147140=CARTESIAN_POINT('Ctrl Pts',(0.403562963111583,3.12319175418323, -0.0342422075447924)); #147141=CARTESIAN_POINT('Ctrl Pts',(0.398077616141416,3.12114814552426, -0.0345511757504405)); #147142=CARTESIAN_POINT('Ctrl Pts',(0.395401341235037,3.12134533387672, -0.0345495110486562)); #147143=CARTESIAN_POINT('Ctrl Pts',(0.406140505260382,3.13135790650545, -0.030174524064431)); #147144=CARTESIAN_POINT('Ctrl Pts',(0.405770399716921,3.12863912281984, -0.0308550059023448)); #147145=CARTESIAN_POINT('Ctrl Pts',(0.403176697064008,3.12353770690856, -0.0320017479476524)); #147146=CARTESIAN_POINT('Ctrl Pts',(0.397980041323568,3.12168853390266, -0.0324023584999912)); #147147=CARTESIAN_POINT('Ctrl Pts',(0.39544020779784,3.12187532231907,-0.0324002190696148)); #147148=CARTESIAN_POINT('',(0.39544020779784,3.12187532231907,-0.0324002190696148)); #147149=CARTESIAN_POINT('Ctrl Pts',(0.39544020779784,3.12187532231907,-0.0324002190696148)); #147150=CARTESIAN_POINT('Ctrl Pts',(0.395460614445824,3.12186900291967, -0.0324196729570004)); #147151=CARTESIAN_POINT('Ctrl Pts',(0.395481034360456,3.12186269273772, -0.032439111607144)); #147152=CARTESIAN_POINT('Ctrl Pts',(0.395501468141163,3.12185639170401, -0.0324585350385192)); #147153=CARTESIAN_POINT('Ctrl Pts',(0.396480433741855,3.12155451436978, -0.0333890956350465)); #147154=CARTESIAN_POINT('Ctrl Pts',(0.39748154040283,3.1212770515059,-0.0342752204656738)); #147155=CARTESIAN_POINT('Ctrl Pts',(0.398546357682508,3.12101650304011, -0.0351052278694415)); #147156=CARTESIAN_POINT('Ctrl Pts',(0.39871591070171,3.12097501537846,-0.0352373916349576)); #147157=CARTESIAN_POINT('Ctrl Pts',(0.39888698078566,3.12093395957325,-0.0353680893328023)); #147158=CARTESIAN_POINT('Ctrl Pts',(0.399059630322039,3.12089330303328, -0.0354972187449005)); #147159=CARTESIAN_POINT('Ctrl Pts',(0.400190241432259,3.12062706000272, -0.0363428343835893)); #147160=CARTESIAN_POINT('Ctrl Pts',(0.401376996019312,3.12038173495613, -0.0371123152084102)); #147161=CARTESIAN_POINT('Ctrl Pts',(0.402633848429487,3.12015342058905, -0.0377670996263303)); #147162=CARTESIAN_POINT('Ctrl Pts',(0.402665288742331,3.12014770927794, -0.0377834791365879)); #147163=CARTESIAN_POINT('Ctrl Pts',(0.402696771664528,3.12014200866385, -0.0377997850819604)); #147164=CARTESIAN_POINT('Ctrl Pts',(0.402728297053464,3.12013631898575, -0.0378160170408591)); #147165=CARTESIAN_POINT('Ctrl Pts',(0.403966381043956,3.11991287053331, -0.0384534882703999)); #147166=CARTESIAN_POINT('Ctrl Pts',(0.405263165980382,3.11970864191549, -0.038974190870609)); #147167=CARTESIAN_POINT('Ctrl Pts',(0.406607074507396,3.11953371929181, -0.0393505826514982)); #147168=CARTESIAN_POINT('Ctrl Pts',(0.406671449175478,3.11952534030946, -0.0393686122238065)); #147169=CARTESIAN_POINT('Ctrl Pts',(0.406735928387778,3.11951702819652, -0.039386305991124)); #147170=CARTESIAN_POINT('Ctrl Pts',(0.40680051071406,3.11950878331591,-0.0394036595356047)); #147171=CARTESIAN_POINT('Ctrl Pts',(0.408209639920225,3.11932888731743, -0.0397822985188403)); #147172=CARTESIAN_POINT('Ctrl Pts',(0.409657449902076,3.1191816677029,-0.0399984698307454)); #147173=CARTESIAN_POINT('Ctrl Pts',(0.411118947841955,3.11906948726687, -0.04)); #147174=CARTESIAN_POINT('Ctrl Pts',(0.39544020779784,3.12187532231907,-0.0324002190696148)); #147175=CARTESIAN_POINT('Ctrl Pts',(0.397980041323568,3.12168853390266, -0.0324023584999912)); #147176=CARTESIAN_POINT('Ctrl Pts',(0.403176697064008,3.12353770690856, -0.0320017479476524)); #147177=CARTESIAN_POINT('Ctrl Pts',(0.405770399716921,3.12863912281984, -0.0308550059023448)); #147178=CARTESIAN_POINT('Ctrl Pts',(0.406140505260382,3.13135790650545, -0.030174524064431)); #147179=CARTESIAN_POINT('Ctrl Pts',(0.45302040120984,3.10760156651406,-0.04)); #147180=CARTESIAN_POINT('Ctrl Pts',(0.447551772291499,3.10806218179399, -0.04)); #147181=CARTESIAN_POINT('Ctrl Pts',(0.437152217068341,3.11394488058712, -0.04)); #147182=CARTESIAN_POINT('Ctrl Pts',(0.434325231614679,3.12546504316647, -0.04)); #147183=CARTESIAN_POINT('Ctrl Pts',(0.43508901263774,3.13089962753249,-0.04)); #147184=CARTESIAN_POINT('Ctrl Pts',(0.453206511158693,3.10981114637962, -0.0400000000000001)); #147185=CARTESIAN_POINT('Ctrl Pts',(0.448361148231072,3.11020740587191, -0.0399997705596206)); #147186=CARTESIAN_POINT('Ctrl Pts',(0.439148022750872,3.11549375670073, -0.0399960852409999)); #147187=CARTESIAN_POINT('Ctrl Pts',(0.43685854034594,3.12577222776988,-0.0399961531557011)); #147188=CARTESIAN_POINT('Ctrl Pts',(0.437652722648815,3.1305393215876,-0.04)); #147189=CARTESIAN_POINT('Ctrl Pts',(0.45357773974553,3.11421853682841,-0.0384644454625609)); #147190=CARTESIAN_POINT('Ctrl Pts',(0.449973722945629,3.11448703230281, -0.0384814226863648)); #147191=CARTESIAN_POINT('Ctrl Pts',(0.443131222886455,3.11857854813556, -0.0384030356248042)); #147192=CARTESIAN_POINT('Ctrl Pts',(0.441907782697766,3.12637843282004, -0.0380879077515321)); #147193=CARTESIAN_POINT('Ctrl Pts',(0.442754166398949,3.12982236042477, -0.0378767733524787)); #147194=CARTESIAN_POINT('Ctrl Pts',(0.4537912858746,3.11675385119536,-0.0345341263812839)); #147195=CARTESIAN_POINT('Ctrl Pts',(0.450906665255492,3.11696726179896, -0.0345875127020854)); #147196=CARTESIAN_POINT('Ctrl Pts',(0.445431122919716,3.12024187215218, -0.0343339071121544)); #147197=CARTESIAN_POINT('Ctrl Pts',(0.444330988689382,3.12660976015345, -0.0333698505154389)); #147198=CARTESIAN_POINT('Ctrl Pts',(0.444945442189822,3.12951439669606, -0.0327630348187179)); #147199=CARTESIAN_POINT('Ctrl Pts',(0.453835588686701,3.11727983383203, -0.0323804644713958)); #147200=CARTESIAN_POINT('Ctrl Pts',(0.451097736874136,3.1174935726155,-0.0324490399239749)); #147201=CARTESIAN_POINT('Ctrl Pts',(0.445914518276579,3.12051133346235, -0.032118852418199)); #147202=CARTESIAN_POINT('Ctrl Pts',(0.444566214517938,3.12658317298058, -0.0309062419637906)); #147203=CARTESIAN_POINT('Ctrl Pts',(0.444990185098923,3.12950810849027, -0.0301745240643728)); #147204=CARTESIAN_POINT('',(0.453835588686701,3.11727983383203,-0.0323804644713958)); #147205=CARTESIAN_POINT('Ctrl Pts',(0.437945977704044,3.11696410539021, -0.04)); #147206=CARTESIAN_POINT('Ctrl Pts',(0.438946425374074,3.11688489591537, -0.0399988629817638)); #147207=CARTESIAN_POINT('Ctrl Pts',(0.43994385579151,3.11682341009591,-0.0398957178160423)); #147208=CARTESIAN_POINT('Ctrl Pts',(0.440929536056604,3.1167795925223,-0.0397090438578818)); #147209=CARTESIAN_POINT('Ctrl Pts',(0.441360402431474,3.11676043872592, -0.0396274438355584)); #147210=CARTESIAN_POINT('Ctrl Pts',(0.441789107351083,3.11674471016308, -0.0395297806660861)); #147211=CARTESIAN_POINT('Ctrl Pts',(0.442214965544018,3.11673242722629, -0.0394171391961719)); #147212=CARTESIAN_POINT('Ctrl Pts',(0.443628193357254,3.11669166579872, -0.0390433338758855)); #147213=CARTESIAN_POINT('Ctrl Pts',(0.444995542352792,3.11668927865284, -0.0385101602904465)); #147214=CARTESIAN_POINT('Ctrl Pts',(0.446302610115708,3.11671576858715, -0.0378498983986051)); #147215=CARTESIAN_POINT('Ctrl Pts',(0.446333151948119,3.11671638756894, -0.0378344702711428)); #147216=CARTESIAN_POINT('Ctrl Pts',(0.446363656669943,3.11671702152599, -0.0378189743349987)); #147217=CARTESIAN_POINT('Ctrl Pts',(0.446394124124047,3.11671767029039, -0.0378034109719285)); #147218=CARTESIAN_POINT('Ctrl Pts',(0.447675614939058,3.11674495795328, -0.0371488007522093)); #147219=CARTESIAN_POINT('Ctrl Pts',(0.448888905996582,3.11679866367883, -0.0363778089250069)); #147220=CARTESIAN_POINT('Ctrl Pts',(0.450049494786231,3.11688115353312, -0.0355300545815558)); #147221=CARTESIAN_POINT('Ctrl Pts',(0.451173361708018,3.11696103334881, -0.0347091237980573)); #147222=CARTESIAN_POINT('Ctrl Pts',(0.452233298692357,3.11706679080652, -0.0338264339048858)); #147223=CARTESIAN_POINT('Ctrl Pts',(0.453265761821631,3.11720199718056, -0.0328988828448986)); #147224=CARTESIAN_POINT('Ctrl Pts',(0.453434521845329,3.11722409717643, -0.0327472710977804)); #147225=CARTESIAN_POINT('Ctrl Pts',(0.45360265237109,3.11724696814455,-0.0325944195945933)); #147226=CARTESIAN_POINT('Ctrl Pts',(0.453770439500667,3.11727061143372, -0.0324403621091674)); #147227=CARTESIAN_POINT('Ctrl Pts',(0.453792167836757,3.11727367322597, -0.0324204117540415)); #147228=CARTESIAN_POINT('Ctrl Pts',(0.453813884054594,3.11727674736945, -0.0324004458467975)); #147229=CARTESIAN_POINT('Ctrl Pts',(0.453835588686701,3.11727983383203, -0.0323804644713958)); #147230=CARTESIAN_POINT('',(0.444990185098923,3.12950810849027,-0.0301745240643729)); #147231=CARTESIAN_POINT('Origin',(0.43508901263774,3.13089962753249,-0.03)); #147232=CARTESIAN_POINT('Ctrl Pts',(0.444990185098923,3.12950810849027, -0.0301745240643728)); #147233=CARTESIAN_POINT('Ctrl Pts',(0.444566214517938,3.12658317298058, -0.0309062419637906)); #147234=CARTESIAN_POINT('Ctrl Pts',(0.445914518276579,3.12051133346235, -0.032118852418199)); #147235=CARTESIAN_POINT('Ctrl Pts',(0.451097736874136,3.1174935726155,-0.0324490399239749)); #147236=CARTESIAN_POINT('Ctrl Pts',(0.453835588686701,3.11727983383203, -0.0323804644713958)); #147237=CARTESIAN_POINT('Origin',(0.188806178360527,1.37850620537345,-0.03)); #147238=CARTESIAN_POINT('',(0.511981589824499,3.60617672133462,-0.0301745240643728)); #147239=CARTESIAN_POINT('Origin',(0.502080417363317,3.60756824037684,-0.03)); #147240=CARTESIAN_POINT('',(0.198707350821709,1.37711468633123,-0.0301745240643728)); #147241=CARTESIAN_POINT('Ctrl Pts',(0.502080417363317,3.60756824037685, -0.04)); #147242=CARTESIAN_POINT('Ctrl Pts',(0.502801196959731,3.61269685369368, -0.04)); #147243=CARTESIAN_POINT('Ctrl Pts',(0.508438635843148,3.62231777493466, -0.04)); #147244=CARTESIAN_POINT('Ctrl Pts',(0.519192709585934,3.62526602209182, -0.04)); #147245=CARTESIAN_POINT('Ctrl Pts',(0.524332708818824,3.62463150994365, -0.04)); #147246=CARTESIAN_POINT('Ctrl Pts',(0.504644127374391,3.60720793443195, -0.04)); #147247=CARTESIAN_POINT('Ctrl Pts',(0.50526407938381,3.61167277530033,-0.039999766470293)); #147248=CARTESIAN_POINT('Ctrl Pts',(0.510169080142111,3.62006109128612, -0.039999502266752)); #147249=CARTESIAN_POINT('Ctrl Pts',(0.519542729760275,3.62262178074092, -0.0399997664706158)); #147250=CARTESIAN_POINT('Ctrl Pts',(0.524015526561963,3.62206210829916, -0.04)); #147251=CARTESIAN_POINT('Ctrl Pts',(0.509745278808754,3.60649101435142, -0.0378764729664521)); #147252=CARTESIAN_POINT('Ctrl Pts',(0.510165680697181,3.60963885602453, -0.037889290265649)); #147253=CARTESIAN_POINT('Ctrl Pts',(0.513609482481626,3.61557444026213, -0.0379040245220278)); #147254=CARTESIAN_POINT('Ctrl Pts',(0.520235289321693,3.61736032804093, -0.0378892902479329)); #147255=CARTESIAN_POINT('Ctrl Pts',(0.523384411998478,3.61694963191624, -0.0378764729664521)); #147256=CARTESIAN_POINT('Ctrl Pts',(0.511936846915399,3.60618300954041, -0.0327630348187179)); #147257=CARTESIAN_POINT('Ctrl Pts',(0.512285977261998,3.60875628389075, -0.0327998726735494)); #147258=CARTESIAN_POINT('Ctrl Pts',(0.515116509879028,3.61360911601295, -0.0328423965645135)); #147259=CARTESIAN_POINT('Ctrl Pts',(0.520537532162973,3.61508365496347, -0.0327998726226321)); #147260=CARTESIAN_POINT('Ctrl Pts',(0.523113271145914,3.61475319835982, -0.0327630348187179)); #147261=CARTESIAN_POINT('Ctrl Pts',(0.511981589824499,3.60617672133462, -0.0301745240643729)); #147262=CARTESIAN_POINT('Ctrl Pts',(0.512341317920804,3.60874189092165, -0.0302189443354733)); #147263=CARTESIAN_POINT('Ctrl Pts',(0.515159271232847,3.61355335065471, -0.0302703748711295)); #147264=CARTESIAN_POINT('Ctrl Pts',(0.52053707423482,3.61502647511953,-0.0302189442740756)); #147265=CARTESIAN_POINT('Ctrl Pts',(0.523107735552253,3.61470835611802, -0.0301745240643729)); #147266=CARTESIAN_POINT('',(0.523107735552253,3.61470835611802,-0.0301745240643727)); #147267=CARTESIAN_POINT('Origin',(0.524332708818824,3.62463150994365,-0.03)); #147268=CARTESIAN_POINT('Ctrl Pts',(0.523107735552253,3.61470835611802, -0.0301745240643729)); #147269=CARTESIAN_POINT('Ctrl Pts',(0.52053707423482,3.61502647511953,-0.0302189442740756)); #147270=CARTESIAN_POINT('Ctrl Pts',(0.515159271232847,3.61355335065471, -0.0302703748711295)); #147271=CARTESIAN_POINT('Ctrl Pts',(0.512341317920804,3.60874189092165, -0.0302189443354733)); #147272=CARTESIAN_POINT('Ctrl Pts',(0.511981589824499,3.60617672133462, -0.0301745240643729)); #147273=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.03)); #147274=CARTESIAN_POINT('',(1.33366127999432,3.43324233454084,-0.0301745240643728)); #147275=CARTESIAN_POINT('Origin',(1.33678434490738,3.4427405452618,-0.03)); #147276=CARTESIAN_POINT('Origin',(-6.38159691319972E-15,-0.622835979680532, -0.0301745240643728)); #147277=CARTESIAN_POINT('Ctrl Pts',(1.33678434490738,3.44274054526181,-0.04)); #147278=CARTESIAN_POINT('Ctrl Pts',(1.34163580400219,3.44114535832384,-0.04)); #147279=CARTESIAN_POINT('Ctrl Pts',(1.35001097151924,3.43405407462075,-0.04)); #147280=CARTESIAN_POINT('Ctrl Pts',(1.35116819623062,3.42314136358871,-0.04)); #147281=CARTESIAN_POINT('Ctrl Pts',(1.34976052090378,3.41823221632163,-0.04)); #147282=CARTESIAN_POINT('Ctrl Pts',(1.33597568989059,3.44028117411075,-0.04)); #147283=CARTESIAN_POINT('Ctrl Pts',(1.34020050717392,3.43889961581685,-0.0399997746420394)); #147284=CARTESIAN_POINT('Ctrl Pts',(1.34750399683128,3.43272674401549,-0.039999520374198)); #147285=CARTESIAN_POINT('Ctrl Pts',(1.34850403126395,3.42321660568949,-0.0399997746417411)); #147286=CARTESIAN_POINT('Ctrl Pts',(1.34727190563794,3.41894581526604,-0.04)); #147287=CARTESIAN_POINT('Ctrl Pts',(1.33436674438728,3.43538787094403,-0.0378762162665657)); #147288=CARTESIAN_POINT('Ctrl Pts',(1.33734814924638,3.43442969757611,-0.0378885850587189)); #147289=CARTESIAN_POINT('Ctrl Pts',(1.3425198058485,3.4300878384956,-0.0379027502066632)); #147290=CARTESIAN_POINT('Ctrl Pts',(1.34320378884885,3.42337004941765,-0.0378885850750916)); #147291=CARTESIAN_POINT('Ctrl Pts',(1.34232041673564,3.42036563186141,-0.0378762162665657)); #147292=CARTESIAN_POINT('Ctrl Pts',(1.33367539297034,3.43328525648575,-0.0327630348187179)); #147293=CARTESIAN_POINT('Ctrl Pts',(1.33611168026472,3.43249678581837,-0.0327985836315199)); #147294=CARTESIAN_POINT('Ctrl Pts',(1.34033775260875,3.42893253929417,-0.0328394591591958)); #147295=CARTESIAN_POINT('Ctrl Pts',(1.34091011769523,3.42343389374266,-0.0327985836785765)); #147296=CARTESIAN_POINT('Ctrl Pts',(1.3401928003176,3.42097571605195,-0.0327630348187179)); #147297=CARTESIAN_POINT('Ctrl Pts',(1.33366127999432,3.43324233454084,-0.0301745240643729)); #147298=CARTESIAN_POINT('Ctrl Pts',(1.33608792724834,3.43244522696066,-0.0302173899671022)); #147299=CARTESIAN_POINT('Ctrl Pts',(1.34027656928207,3.42890014542286,-0.0302668218098695)); #147300=CARTESIAN_POINT('Ctrl Pts',(1.34085412336377,3.42344322959374,-0.0302173900238445)); #147301=CARTESIAN_POINT('Ctrl Pts',(1.34014936799252,3.42098817007076,-0.0301745240643729)); #147302=CARTESIAN_POINT('Origin',(1.34976052090378,3.41823221632163,-0.03)); #147303=CARTESIAN_POINT('Ctrl Pts',(1.34014936799252,3.42098817007076,-0.0301745240643729)); #147304=CARTESIAN_POINT('Ctrl Pts',(1.34085412336377,3.42344322959374,-0.0302173900238445)); #147305=CARTESIAN_POINT('Ctrl Pts',(1.34027656928207,3.42890014542286,-0.0302668218098695)); #147306=CARTESIAN_POINT('Ctrl Pts',(1.33608792724834,3.43244522696066,-0.0302173899671022)); #147307=CARTESIAN_POINT('Ctrl Pts',(1.33366127999432,3.43324233454084,-0.0301745240643729)); #147308=CARTESIAN_POINT('Origin',(0.660549191232521,1.01466667036731,-0.03)); #147309=CARTESIAN_POINT('Origin',(1.27207984360695,3.14732750030935,-0.03)); #147310=CARTESIAN_POINT('Ctrl Pts',(1.25709148813027,3.13008650015535,-0.0498254759356272)); #147311=CARTESIAN_POINT('Ctrl Pts',(1.25702339303273,3.13017686540192,-0.0446484544269371)); #147312=CARTESIAN_POINT('Ctrl Pts',(1.25810343217449,3.13459176747905,-0.0344100692715389)); #147313=CARTESIAN_POINT('Ctrl Pts',(1.2609839775371,3.14490564394423,-0.0301745240643728)); #147314=CARTESIAN_POINT('Ctrl Pts',(1.26246869069569,3.15008345405848,-0.0301745240643729)); #147315=CARTESIAN_POINT('Ctrl Pts',(1.25768513564898,3.13215500311941,-0.0498537733445599)); #147316=CARTESIAN_POINT('Ctrl Pts',(1.25762319402553,3.13222974504672,-0.0452565607302322)); #147317=CARTESIAN_POINT('Ctrl Pts',(1.25861415352917,3.13625347324748,-0.0361671914872448)); #147318=CARTESIAN_POINT('Ctrl Pts',(1.26120689325359,3.14551311051433,-0.0326430495508477)); #147319=CARTESIAN_POINT('Ctrl Pts',(1.26251212302078,3.15007100003966,-0.0327630348187179)); #147320=CARTESIAN_POINT('Ctrl Pts',(1.26025693457394,3.13588428779573,-0.0498850405182389)); #147321=CARTESIAN_POINT('Ctrl Pts',(1.26019940996216,3.13593032936932,-0.0464433012281035)); #147322=CARTESIAN_POINT('Ctrl Pts',(1.26112182029524,3.13913836270284,-0.0396480414896384)); #147323=CARTESIAN_POINT('Ctrl Pts',(1.26348198115477,3.14619277610127,-0.0375300964753466)); #147324=CARTESIAN_POINT('Ctrl Pts',(1.26463960767772,3.14946095363101,-0.0378763557510253)); #147325=CARTESIAN_POINT('Ctrl Pts',(1.26455063694191,3.13732852942468,-0.0498537733445598)); #147326=CARTESIAN_POINT('Ctrl Pts',(1.26448613229969,3.13736903136157,-0.0471075201722941)); #147327=CARTESIAN_POINT('Ctrl Pts',(1.2655136622167,3.13986209387004,-0.04167444304839)); #147328=CARTESIAN_POINT('Ctrl Pts',(1.26821870244109,3.14541347364658,-0.0398112179353292)); #147329=CARTESIAN_POINT('Ctrl Pts',(1.26959122834112,3.14804109925376,-0.0400000000000001)); #147330=CARTESIAN_POINT('Ctrl Pts',(1.26670264104153,3.13732902335779,-0.0498254759356272)); #147331=CARTESIAN_POINT('Ctrl Pts',(1.26663454594399,3.13737420598107,-0.0472369651812821)); #147332=CARTESIAN_POINT('Ctrl Pts',(1.26771458508575,3.13958165701963,-0.042117772603583)); #147333=CARTESIAN_POINT('Ctrl Pts',(1.27059513044836,3.14473859525222,-0.0400000000000001)); #147334=CARTESIAN_POINT('Ctrl Pts',(1.27207984360695,3.14732750030935,-0.0400000000000001)); #147335=CARTESIAN_POINT('Origin',(1.27244301349091,3.14732750030935,-0.05)); #147336=CARTESIAN_POINT('Origin',(0.649485988382632,3.14732750030935,-0.05)); #147337=CARTESIAN_POINT('Origin',(0.419791667719682,2.07657430866555,-0.03)); #147338=CARTESIAN_POINT('Origin',(2.01998661417751,2.59650916458205,-0.03)); #147339=CARTESIAN_POINT('Ctrl Pts',(2.03295344808924,2.62129800846544,-0.04)); #147340=CARTESIAN_POINT('Ctrl Pts',(2.03444353709146,2.61635406186698,-0.04)); #147341=CARTESIAN_POINT('Ctrl Pts',(2.03337292414381,2.60529277571609,-0.04)); #147342=CARTESIAN_POINT('Ctrl Pts',(2.02489750878261,2.59810481096537,-0.04)); #147343=CARTESIAN_POINT('Ctrl Pts',(2.01998661417751,2.59650916458205,-0.04)); #147344=CARTESIAN_POINT('Ctrl Pts',(2.03047468165344,2.62055091653564,-0.04)); #147345=CARTESIAN_POINT('Ctrl Pts',(2.0317787082429,2.61624998386806,-0.0399997682373932)); #147346=CARTESIAN_POINT('Ctrl Pts',(2.0308544251764,2.60661017678719,-0.0399995060961536)); #147347=CARTESIAN_POINT('Ctrl Pts',(2.02346319215971,2.60035311717676,-0.0399997682372303)); #147348=CARTESIAN_POINT('Ctrl Pts',(2.01918659851803,2.5989713596067,-0.0400000000000001)); #147349=CARTESIAN_POINT('Ctrl Pts',(2.02554274417189,2.61906444704117,-0.0378762634191395)); #147350=CARTESIAN_POINT('Ctrl Pts',(2.02647714870607,2.61603911679226,-0.0378889837308859)); #147351=CARTESIAN_POINT('Ctrl Pts',(2.02584735693705,2.60922932302724,-0.0379035946819423)); #147352=CARTESIAN_POINT('Ctrl Pts',(2.02061281083038,2.60482819534595,-0.0378889837398296)); #147353=CARTESIAN_POINT('Ctrl Pts',(2.01759482804712,2.60387032537998,-0.0378762634191397)); #147354=CARTESIAN_POINT('Ctrl Pts',(2.02342359227677,2.61842574173356,-0.0327630348187179)); #147355=CARTESIAN_POINT('Ctrl Pts',(2.02418251962837,2.6159503443065,-0.0327995939245268)); #147356=CARTESIAN_POINT('Ctrl Pts',(2.02365419445216,2.61037654387481,-0.0328417606000011)); #147357=CARTESIAN_POINT('Ctrl Pts',(2.01937705472361,2.60676368237303,-0.0327995939502314)); #147358=CARTESIAN_POINT('Ctrl Pts',(2.01691087708005,2.60597531001157,-0.032763034818718)); #147359=CARTESIAN_POINT('Ctrl Pts',(2.02338033183747,2.61841270318156,-0.0301745240643728)); #147360=CARTESIAN_POINT('Ctrl Pts',(2.02412633044318,2.61594023079831,-0.0302186082109294)); #147361=CARTESIAN_POINT('Ctrl Pts',(2.02359212769493,2.61040901037311,-0.0302696055693953)); #147362=CARTESIAN_POINT('Ctrl Pts',(2.01935331628942,2.60681560534716,-0.0302186082419248)); #147363=CARTESIAN_POINT('Ctrl Pts',(2.01689691488161,2.60601828123987,-0.0301745240643728)); #147364=CARTESIAN_POINT('',(2.02338033183747,2.61841270318156,-0.0301745240643749)); #147365=CARTESIAN_POINT('Ctrl Pts',(2.01689691488161,2.60601828123987,-0.0301745240643728)); #147366=CARTESIAN_POINT('Ctrl Pts',(2.01935331628942,2.60681560534716,-0.0302186082419248)); #147367=CARTESIAN_POINT('Ctrl Pts',(2.02359212769493,2.61040901037311,-0.0302696055693953)); #147368=CARTESIAN_POINT('Ctrl Pts',(2.02412633044318,2.61594023079831,-0.0302186082109294)); #147369=CARTESIAN_POINT('Ctrl Pts',(2.02338033183747,2.61841270318156,-0.0301745240643728)); #147370=CARTESIAN_POINT('Origin',(2.03295344808924,2.62129800846544,-0.03)); #147371=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.03)); #147372=CARTESIAN_POINT('',(1.96524718816158,2.80344595359679,-0.0301745240643729)); #147373=CARTESIAN_POINT('Origin',(1.97475033487481,2.80655396645035,-0.03)); #147374=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.0301745240643729)); #147375=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.03)); #147376=CARTESIAN_POINT('',(1.38959534524474,3.41322644838569,-0.0301745240643729)); #147377=CARTESIAN_POINT('Origin',(1.39324494900195,3.4225350430039,-0.03)); #147378=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0301745240643728)); #147379=CARTESIAN_POINT('Ctrl Pts',(1.366723435665,3.40942976126572,-0.04)); #147380=CARTESIAN_POINT('Ctrl Pts',(1.36825314182971,3.41476448063937,-0.04)); #147381=CARTESIAN_POINT('Ctrl Pts',(1.37601406380067,3.42401619145533,-0.04)); #147382=CARTESIAN_POINT('Ctrl Pts',(1.38807816614981,3.42456077415703,-0.04)); #147383=CARTESIAN_POINT('Ctrl Pts',(1.39324494900195,3.4225350430039,-0.04)); #147384=CARTESIAN_POINT('Ctrl Pts',(1.36921205093083,3.40871616232132,-0.04)); #147385=CARTESIAN_POINT('Ctrl Pts',(1.37053488216485,3.41336186880015,-0.0399997203031995)); #147386=CARTESIAN_POINT('Ctrl Pts',(1.37729076456791,3.42143258330051,-0.039999398142043)); #147387=CARTESIAN_POINT('Ctrl Pts',(1.38780614918872,3.4218962543029,-0.0399997203053134)); #147388=CARTESIAN_POINT('Ctrl Pts',(1.39229995731279,3.42012476913934,-0.04)); #147389=CARTESIAN_POINT('Ctrl Pts',(1.3741635286293,3.40729634893859,-0.0378762044060118)); #147390=CARTESIAN_POINT('Ctrl Pts',(1.37507649105381,3.41057488397442,-0.037891555590335)); #147391=CARTESIAN_POINT('Ctrl Pts',(1.37982875877746,3.41629654589384,-0.0379095614572193)); #147392=CARTESIAN_POINT('Ctrl Pts',(1.38726091470247,3.41659566788099,-0.0378915554743153)); #147393=CARTESIAN_POINT('Ctrl Pts',(1.3904197529524,3.41532916354636,-0.0378762044060118)); #147394=CARTESIAN_POINT('Ctrl Pts',(1.37629115625117,3.40668626153541,-0.0327630348187179)); #147395=CARTESIAN_POINT('Ctrl Pts',(1.3770439517781,3.40936544273679,-0.0328071552446282)); #147396=CARTESIAN_POINT('Ctrl Pts',(1.38094476022419,3.41403813918214,-0.0328591628336989)); #147397=CARTESIAN_POINT('Ctrl Pts',(1.38702638095315,3.41429813804365,-0.0328071549111792)); #147398=CARTESIAN_POINT('Ctrl Pts',(1.38961183762377,3.4132685134648,-0.0327630348187179)); #147399=CARTESIAN_POINT('Ctrl Pts',(1.37633458857626,3.4066738075166,-0.0301745240643728)); #147400=CARTESIAN_POINT('Ctrl Pts',(1.3770988303389,3.40934240434767,-0.030227725894093)); #147401=CARTESIAN_POINT('Ctrl Pts',(1.38097856814216,3.41396972327088,-0.0302906627460632)); #147402=CARTESIAN_POINT('Ctrl Pts',(1.38701134250357,3.41424055109715,-0.0302277254920094)); #147403=CARTESIAN_POINT('Ctrl Pts',(1.38959534524474,3.41322644838569,-0.0301745240643729)); #147404=CARTESIAN_POINT('Ctrl Pts',(1.38959534524474,3.41322644838569,-0.0301745240643729)); #147405=CARTESIAN_POINT('Ctrl Pts',(1.38701134250357,3.41424055109715,-0.0302277254920094)); #147406=CARTESIAN_POINT('Ctrl Pts',(1.38097856814216,3.41396972327088,-0.0302906627460632)); #147407=CARTESIAN_POINT('Ctrl Pts',(1.3770988303389,3.40934240434767,-0.030227725894093)); #147408=CARTESIAN_POINT('Ctrl Pts',(1.37633458857626,3.4066738075166,-0.0301745240643728)); #147409=CARTESIAN_POINT('Ctrl Pts',(1.37633260764089,3.40667781638054,-0.0303469204408504)); #147410=CARTESIAN_POINT('Ctrl Pts',(1.37702554734024,3.40527550155666,0.0299580251428415)); #147411=CARTESIAN_POINT('Ctrl Pts',(1.37771791539019,3.40387119567039,0.0902629309958915)); #147412=CARTESIAN_POINT('Ctrl Pts',(1.37840970559252,3.40246487714243,0.150567796543041)); #147413=CARTESIAN_POINT('Ctrl Pts',(1.37709818700309,3.40934433934225,-0.0302937108025152)); #147414=CARTESIAN_POINT('Ctrl Pts',(1.37779160669935,3.40794397179876,0.0300113382535453)); #147415=CARTESIAN_POINT('Ctrl Pts',(1.37848465908672,3.40654148272391,0.0903163422169118)); #147416=CARTESIAN_POINT('Ctrl Pts',(1.37917693216242,3.40513712520255,0.150621312492458)); #147417=CARTESIAN_POINT('Ctrl Pts',(1.38097848010933,3.41397019205484,-0.0302307293561892)); #147418=CARTESIAN_POINT('Ctrl Pts',(1.38167660358464,3.41257240631266,0.0300744516760011)); #147419=CARTESIAN_POINT('Ctrl Pts',(1.38237415015757,3.41117275414272,0.0903795980579965)); #147420=CARTESIAN_POINT('Ctrl Pts',(1.38307116885578,3.40977099168528,0.150684702029372)); #147421=CARTESIAN_POINT('Ctrl Pts',(1.38701016123055,3.4142422412429,-0.0302937108025152)); #147422=CARTESIAN_POINT('Ctrl Pts',(1.38771356329472,3.41283945614473,0.0300113382535453)); #147423=CARTESIAN_POINT('Ctrl Pts',(1.38841631761909,3.41143443176727,0.0903163422169117)); #147424=CARTESIAN_POINT('Ctrl Pts',(1.38911864315937,3.41002760920417,0.150621312492458)); #147425=CARTESIAN_POINT('Ctrl Pts',(1.3895933326754,3.41323046965223,-0.0303469204408504)); #147426=CARTESIAN_POINT('Ctrl Pts',(1.39029733803463,3.41182381637861,0.0299580251428416)); #147427=CARTESIAN_POINT('Ctrl Pts',(1.39100080177245,3.41041513750598,0.0902629309958915)); #147428=CARTESIAN_POINT('Ctrl Pts',(1.39170371792066,3.40900441089351,0.150567796543041)); #147429=CARTESIAN_POINT('',(1.39170371792066,3.40900441089351,0.150567796543041)); #147430=CARTESIAN_POINT('Ctrl Pts',(1.38959534524474,3.41322644838569,-0.0301745240643729)); #147431=CARTESIAN_POINT('Ctrl Pts',(1.39029867871577,3.41182113167562,0.030072955984809)); #147432=CARTESIAN_POINT('Ctrl Pts',(1.39100147159146,3.41041379320409,0.0903203963784854)); #147433=CARTESIAN_POINT('Ctrl Pts',(1.39170371792066,3.40900441089351,0.150567796543041)); #147434=CARTESIAN_POINT('Origin',(1.38802085850378,3.3997089233933,0.150393272478668)); #147435=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #147436=CARTESIAN_POINT('',(1.96224861322291,2.80246526691132,0.150567796543041)); #147437=CARTESIAN_POINT('',(1.96541019606035,2.80349926547968,-0.04)); #147438=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.150567796543041)); #147439=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #147440=CARTESIAN_POINT('',(2.01946693924996,2.62046230367959,0.150567796543041)); #147441=CARTESIAN_POINT('Ctrl Pts',(2.01946693924996,2.62046230367959,0.150567796543041)); #147442=CARTESIAN_POINT('Ctrl Pts',(2.02077158608161,2.61977916241954,0.0903203596152351)); #147443=CARTESIAN_POINT('Ctrl Pts',(2.02207605020939,2.61909596223157,0.0300729194111383)); #147444=CARTESIAN_POINT('Ctrl Pts',(2.02338033183747,2.61841270318156,-0.0301745240643749)); #147445=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999971,0.150567796543041)); #147446=CARTESIAN_POINT('Ctrl Pts',(2.01298459721145,2.6080643176853,0.150567796543041)); #147447=CARTESIAN_POINT('Ctrl Pts',(2.01428989254752,2.60738183822182,0.0902738367764177)); #147448=CARTESIAN_POINT('Ctrl Pts',(2.01559500525431,2.60669929935826,0.0299798737284417)); #147449=CARTESIAN_POINT('Ctrl Pts',(2.01689993553582,2.606016701161,-0.0303140925960013)); #147450=CARTESIAN_POINT('Ctrl Pts',(2.01544002297553,2.60886294357956,0.150611913898019)); #147451=CARTESIAN_POINT('Ctrl Pts',(2.01674511794479,2.60818040565758,0.0903179449625417)); #147452=CARTESIAN_POINT('Ctrl Pts',(2.01805004129022,2.60749779149134,0.0300239732042654)); #147453=CARTESIAN_POINT('Ctrl Pts',(2.01935477135891,2.60681513487561,-0.0302700022773588)); #147454=CARTESIAN_POINT('Ctrl Pts',(2.01967302865582,2.61246089525935,0.150662827695219)); #147455=CARTESIAN_POINT('Ctrl Pts',(2.0209778555071,2.61177788747899,0.0903688473749457)); #147456=CARTESIAN_POINT('Ctrl Pts',(2.02228249657916,2.61109482149215,0.0300748636881231)); #147457=CARTESIAN_POINT('Ctrl Pts',(2.02358695868515,2.61041169547009,-0.0302191231627818)); #147458=CARTESIAN_POINT('Ctrl Pts',(2.02021223258464,2.61799016758964,0.150611913898019)); #147459=CARTESIAN_POINT('Ctrl Pts',(2.02151751360823,2.61730660161854,0.0903179449625418)); #147460=CARTESIAN_POINT('Ctrl Pts',(2.02282263122105,2.61662298478369,0.0300239732042655)); #147461=CARTESIAN_POINT('Ctrl Pts',(2.02412754687507,2.61593930092662,-0.0302700022773588)); #147462=CARTESIAN_POINT('Ctrl Pts',(2.01946693924996,2.62046230367959,0.150567796543041)); #147463=CARTESIAN_POINT('Ctrl Pts',(2.02077259352487,2.61977863490048,0.0902738367764177)); #147464=CARTESIAN_POINT('Ctrl Pts',(2.02207806481363,2.61909490710243,0.0299798737284417)); #147465=CARTESIAN_POINT('Ctrl Pts',(2.0233833533209,2.61841112035143,-0.0303140925960013)); #147466=CARTESIAN_POINT('Origin',(2.00989489791555,2.61757343434311,0.150393272478668)); #147467=CARTESIAN_POINT('Ctrl Pts',(1.32637220866846,3.1814566252844,0.206047746871802)); #147468=CARTESIAN_POINT('Ctrl Pts',(1.34789376937066,3.25655513508412,0.199971041937038)); #147469=CARTESIAN_POINT('Ctrl Pts',(1.36903090062142,3.33100772523594,0.184459165621239)); #147470=CARTESIAN_POINT('Ctrl Pts',(1.38918153840074,3.40263846379999,0.159883826476322)); #147471=CARTESIAN_POINT('Ctrl Pts',(1.32386711737034,3.18217340477226,0.206074146039645)); #147472=CARTESIAN_POINT('Ctrl Pts',(1.34537448376588,3.25727810422838,0.200022539707938)); #147473=CARTESIAN_POINT('Ctrl Pts',(1.36650177910613,3.33173560652477,0.184528162418683)); #147474=CARTESIAN_POINT('Ctrl Pts',(1.38664662300285,3.40337762548638,0.159965678527915)); #147475=CARTESIAN_POINT('Ctrl Pts',(1.31881676388629,3.18344480355749,0.203980199562017)); #147476=CARTESIAN_POINT('Ctrl Pts',(1.34022755398685,3.2583949857729,0.197963606296882)); #147477=CARTESIAN_POINT('Ctrl Pts',(1.36127543127101,3.3327042605541,0.18252017181128)); #147478=CARTESIAN_POINT('Ctrl Pts',(1.38134812119919,3.40419835361625,0.158025096972651)); #147479=CARTESIAN_POINT('Ctrl Pts',(1.31654991755202,3.18367660508826,0.198850402998477)); #147480=CARTESIAN_POINT('Ctrl Pts',(1.33781945317976,3.25823497089853,0.192836279351531)); #147481=CARTESIAN_POINT('Ctrl Pts',(1.35873382527724,3.3321431771673,0.17745455390227)); #147482=CARTESIAN_POINT('Ctrl Pts',(1.37868016302353,3.40324924704002,0.153075877975769)); #147483=CARTESIAN_POINT('Ctrl Pts',(1.31645063389841,3.18349485229745,0.196252892490058)); #147484=CARTESIAN_POINT('Ctrl Pts',(1.33766290163348,3.25785164438539,0.190239216753506)); #147485=CARTESIAN_POINT('Ctrl Pts',(1.35851882311145,3.33155700553013,0.174888233740484)); #147486=CARTESIAN_POINT('Ctrl Pts',(1.37840970559252,3.40246487714243,0.15056779654304)); #147487=CARTESIAN_POINT('Origin',(1.38802085850378,3.39970892339331,0.150393272478668)); #147488=CARTESIAN_POINT('Origin',(1.32606178680967,3.18073889854832,0.196078368425685)); #147489=CARTESIAN_POINT('Origin',(1.3260617868081,3.18073889854285,0.196078368426128)); #147490=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.150393272478668)); #147491=CARTESIAN_POINT('Origin',(1.38802085850378,3.3997089233933,0.150393272478668)); #147492=CARTESIAN_POINT('Origin',(1.95274546650968,2.79935725405776,0.150393272478668)); #147493=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.150393272478668)); #147494=CARTESIAN_POINT('Origin',(2.00989489791555,2.61757343434311,0.150393272478668)); #147495=CARTESIAN_POINT('Origin',(1.38802085850378,3.3997089233933,0.150393272478668)); #147496=CARTESIAN_POINT('Origin',(2.00989489791555,2.61757343434311,0.150393272478668)); #147497=CARTESIAN_POINT('Ctrl Pts',(1.97446219948283,1.80201973472533,0.203141643805481)); #147498=CARTESIAN_POINT('Ctrl Pts',(1.91762611512745,1.79110556831001,0.145700131462087)); #147499=CARTESIAN_POINT('Ctrl Pts',(1.86053981342952,1.78018481543669,0.0885154651386285)); #147500=CARTESIAN_POINT('Ctrl Pts',(1.80254982467269,1.76922372383074,0.0323764482320193)); #147501=CARTESIAN_POINT('Ctrl Pts',(1.9749380837811,1.79946934043974,0.203160952756728)); #147502=CARTESIAN_POINT('Ctrl Pts',(1.91808296833498,1.78853089033581,0.145742839239933)); #147503=CARTESIAN_POINT('Ctrl Pts',(1.86097421264626,1.77757946682199,0.0885850679716423)); #147504=CARTESIAN_POINT('Ctrl Pts',(1.80294339597823,1.76657191810307,0.0324998702556958)); #147505=CARTESIAN_POINT('Ctrl Pts',(1.97736418129624,1.79465937332011,0.201685465955581)); #147506=CARTESIAN_POINT('Ctrl Pts',(1.92049635134551,1.78365094546198,0.144281235652187)); #147507=CARTESIAN_POINT('Ctrl Pts',(1.86336892984632,1.77261079818482,0.0871440062401422)); #147508=CARTESIAN_POINT('Ctrl Pts',(1.80530015734913,1.76145629599342,0.0310884937783881)); #147509=CARTESIAN_POINT('Ctrl Pts',(1.98132740384943,1.79312761990228,0.198059808414382)); #147510=CARTESIAN_POINT('Ctrl Pts',(1.92447987550209,1.78208501268699,0.140613991619331)); #147511=CARTESIAN_POINT('Ctrl Pts',(1.86737856661473,1.77100503023906,0.0834231139051181)); #147512=CARTESIAN_POINT('Ctrl Pts',(1.80933092549954,1.75977273982045,0.0272629945928143)); #147513=CARTESIAN_POINT('Ctrl Pts',(1.98313314062665,1.79341339086671,0.196218884906952)); #147514=CARTESIAN_POINT('Ctrl Pts',(1.92629303227893,1.78237237083973,0.138751273363548)); #147515=CARTESIAN_POINT('Ctrl Pts',(1.86920124423306,1.77129140244843,0.0815335756447659)); #147516=CARTESIAN_POINT('Ctrl Pts',(1.81115827983259,1.76006049081948,0.025318725966862)); #147517=CARTESIAN_POINT('',(1.80254982467269,1.76922372383075,0.032376448232019)); #147518=CARTESIAN_POINT('Origin',(1.80942206253051,1.76990706843969,0.0251442019024891)); #147519=CARTESIAN_POINT('',(1.97446219948283,1.80201973472533,0.203141643805481)); #147520=CARTESIAN_POINT('Ctrl Pts',(1.80254982467269,1.76922372383074,0.0323764482320193)); #147521=CARTESIAN_POINT('Ctrl Pts',(1.86053981342952,1.78018481543669,0.0885154651386285)); #147522=CARTESIAN_POINT('Ctrl Pts',(1.91762611512745,1.79110556831001,0.145700131462087)); #147523=CARTESIAN_POINT('Ctrl Pts',(1.97446219948283,1.80201973472533,0.203141643805481)); #147524=CARTESIAN_POINT('Origin',(1.98139692332457,1.80325996848693,0.19604436084258)); #147525=CARTESIAN_POINT('Ctrl Pts',(1.77847367636095,1.75324418710152,-0.0340982353555556)); #147526=CARTESIAN_POINT('Ctrl Pts',(1.78318176816575,1.7544738976874,-0.0115559556008708)); #147527=CARTESIAN_POINT('Ctrl Pts',(1.79475120398085,1.75688583527107,0.00942840554325183)); #147528=CARTESIAN_POINT('Ctrl Pts',(1.81115827983259,1.76006049081948,0.0253187259668617)); #147529=CARTESIAN_POINT('Ctrl Pts',(1.77580560651326,1.75278405605029,-0.0335158920454741)); #147530=CARTESIAN_POINT('Ctrl Pts',(1.78064770220097,1.7540477872453,-0.0104338584252656)); #147531=CARTESIAN_POINT('Ctrl Pts',(1.79252051719171,1.75651966406744,0.0110299007026242)); #147532=CARTESIAN_POINT('Ctrl Pts',(1.80933092549954,1.75977273982045,0.0272629945928139)); #147533=CARTESIAN_POINT('Ctrl Pts',(1.77007560963431,1.75427441979763,-0.0324004487298887)); #147534=CARTESIAN_POINT('Ctrl Pts',(1.77518813399599,1.75556389989426,-0.00824973272729445)); #147535=CARTESIAN_POINT('Ctrl Pts',(1.7876787439217,1.7581073904688,0.0141772125675197)); #147536=CARTESIAN_POINT('Ctrl Pts',(1.80529748013201,1.7614508071353,0.0310923546532267)); #147537=CARTESIAN_POINT('Ctrl Pts',(1.7672223339618,1.7595718594469,-0.0320935070065546)); #147538=CARTESIAN_POINT('Ctrl Pts',(1.7723934738821,1.76080921181745,-0.00754780531497312)); #147539=CARTESIAN_POINT('Ctrl Pts',(1.78504235544497,1.76328645961916,0.0152577534672719)); #147540=CARTESIAN_POINT('Ctrl Pts',(1.80294339597823,1.76657191810307,0.0324998702556954)); #147541=CARTESIAN_POINT('Ctrl Pts',(1.76698549727451,1.76232924184681,-0.0321944610131931)); #147542=CARTESIAN_POINT('Ctrl Pts',(1.77211215233289,1.76354006382302,-0.00769508562119877)); #147543=CARTESIAN_POINT('Ctrl Pts',(1.78470092099487,1.76597949071585,0.0151094975372436)); #147544=CARTESIAN_POINT('Ctrl Pts',(1.80254982467269,1.76922372383074,0.0323764482320188)); #147545=CARTESIAN_POINT('Ctrl Pts',(1.76700805709194,1.76233456663158,-0.0320868917192658)); #147546=CARTESIAN_POINT('Ctrl Pts',(1.77214901630362,1.76354720679141,-0.00762833873316966)); #147547=CARTESIAN_POINT('Ctrl Pts',(1.78472704667603,1.76598423934364,0.0151347714059688)); #147548=CARTESIAN_POINT('Ctrl Pts',(1.80254982467269,1.76922372383074,0.0323764482320188)); #147549=CARTESIAN_POINT('Ctrl Pts',(1.82880907349006,2.48950846432451,0.204734555263797)); #147550=CARTESIAN_POINT('Ctrl Pts',(1.89772684381233,2.51200219220124,0.1978957317047)); #147551=CARTESIAN_POINT('Ctrl Pts',(1.96585890191771,2.53439121837785,0.182817109435535)); #147552=CARTESIAN_POINT('Ctrl Pts',(2.03147093373812,2.55610558162858,0.159883826476322)); #147553=CARTESIAN_POINT('Ctrl Pts',(1.82800420460546,2.49197410026415,0.204742170238478)); #147554=CARTESIAN_POINT('Ctrl Pts',(1.8969200609649,2.51447125914987,0.197909639729498)); #147555=CARTESIAN_POINT('Ctrl Pts',(1.96504720221221,2.53686285171741,0.182838062876432)); #147556=CARTESIAN_POINT('Ctrl Pts',(2.03065999354639,2.55858158207766,0.159910849646496)); #147557=CARTESIAN_POINT('Ctrl Pts',(1.82621056557793,2.49682348467788,0.202634717982061)); #147558=CARTESIAN_POINT('Ctrl Pts',(1.89496375576493,2.51928067227381,0.195823158246796)); #147559=CARTESIAN_POINT('Ctrl Pts',(1.96293597420927,2.54163481734904,0.180791055067579)); #147560=CARTESIAN_POINT('Ctrl Pts',(2.02839581494907,2.56331641821638,0.157921632161804)); #147561=CARTESIAN_POINT('Ctrl Pts',(1.82506313016681,2.49878635069262,0.197535151907204)); #147562=CARTESIAN_POINT('Ctrl Pts',(1.89344543853955,2.52112971886363,0.190752756492375)); #147563=CARTESIAN_POINT('Ctrl Pts',(1.96104796532482,2.54336939069979,0.175793949930799)); #147564=CARTESIAN_POINT('Ctrl Pts',(2.02615137121376,2.56494050927175,0.153040344960113)); #147565=CARTESIAN_POINT('Ctrl Pts',(1.82481750099435,2.49875392614857,0.194953321654336)); #147566=CARTESIAN_POINT('Ctrl Pts',(1.8930139571908,2.52103651136593,0.188185432190324)); #147567=CARTESIAN_POINT('Ctrl Pts',(1.96043267336656,2.54321605279543,0.173263209397845)); #147568=CARTESIAN_POINT('Ctrl Pts',(2.02535748756117,2.56472794036172,0.150567796543041)); #147569=CARTESIAN_POINT('',(2.03147093373812,2.55610558162858,0.159883826476322)); #147570=CARTESIAN_POINT('Origin',(2.02844718685707,2.5552188237039,0.150393272478668)); #147571=CARTESIAN_POINT('',(1.82880907349007,2.48950846432451,0.204734555263797)); #147572=CARTESIAN_POINT('Ctrl Pts',(2.03147093373812,2.55610558162858,0.159883826476322)); #147573=CARTESIAN_POINT('Ctrl Pts',(1.96585890191771,2.53439121837785,0.182817109435535)); #147574=CARTESIAN_POINT('Ctrl Pts',(1.89772684381233,2.51200219220124,0.1978957317047)); #147575=CARTESIAN_POINT('Ctrl Pts',(1.82880907349006,2.48950846432451,0.204734555263797)); #147576=CARTESIAN_POINT('Origin',(1.82790720029025,2.48924480949076,0.194778797589963)); #147577=CARTESIAN_POINT('Ctrl Pts',(1.69497454397252,2.45133651055028,-0.026579545910428)); #147578=CARTESIAN_POINT('Ctrl Pts',(1.69646550793174,2.45159049085735,-0.00930008563206322)); #147579=CARTESIAN_POINT('Ctrl Pts',(1.7014500045395,2.45294340658649,0.00765872695998129)); #147580=CARTESIAN_POINT('Ctrl Pts',(1.70951580924252,2.45528443736562,0.022840030553889)); #147581=CARTESIAN_POINT('Ctrl Pts',(1.69442915775393,2.45405246906719,-0.0265591039730127)); #147582=CARTESIAN_POINT('Ctrl Pts',(1.69594265509607,2.4542951255437,-0.00932334232595673)); #147583=CARTESIAN_POINT('Ctrl Pts',(1.70092320784671,2.45563782776782,0.00757593103501504)); #147584=CARTESIAN_POINT('Ctrl Pts',(1.70896716250756,2.4579727472882,0.0227167879047333)); #147585=CARTESIAN_POINT('Ctrl Pts',(1.69556762230415,2.45918702766653,-0.0267097142087712)); #147586=CARTESIAN_POINT('Ctrl Pts',(1.6970702133575,2.45938526644307,-0.00985117658451803)); #147587=CARTESIAN_POINT('Ctrl Pts',(1.70195635220676,2.46067919922049,0.00668425469880124)); #147588=CARTESIAN_POINT('Ctrl Pts',(1.70982311688538,2.46296325177475,0.021492135126676)); #147589=CARTESIAN_POINT('Ctrl Pts',(1.69968278301568,2.46217058454313,-0.0270985031860314)); #147590=CARTESIAN_POINT('Ctrl Pts',(1.70110615343579,2.46233580931354,-0.0109111557573968)); #147591=CARTESIAN_POINT('Ctrl Pts',(1.70578837520776,2.46356580294161,0.00497232072535315)); #147592=CARTESIAN_POINT('Ctrl Pts',(1.71334242057813,2.46575930233996,0.0191910237391099)); #147593=CARTESIAN_POINT('Ctrl Pts',(1.70165054852921,2.46281321860727,-0.0272765792735316)); #147594=CARTESIAN_POINT('Ctrl Pts',(1.70303760886937,2.46297207482662,-0.0113760956085946)); #147595=CARTESIAN_POINT('Ctrl Pts',(1.7076311635592,2.46417822839094,0.00422775679049344)); #147596=CARTESIAN_POINT('Ctrl Pts',(1.71505151378864,2.46633290967246,0.0181945138769507)); #147597=CARTESIAN_POINT('',(1.70951580924252,2.45528443736562,0.0228400305538889)); #147598=CARTESIAN_POINT('Origin',(1.71814121308454,2.45682379301465,0.0180199898125779)); #147599=CARTESIAN_POINT('Ctrl Pts',(1.70951580924252,2.45528443736562,0.022840030553889)); #147600=CARTESIAN_POINT('Ctrl Pts',(1.7014500045395,2.45294340658649,0.00765872695998129)); #147601=CARTESIAN_POINT('Ctrl Pts',(1.69646550793174,2.45159049085735,-0.00930008563206322)); #147602=CARTESIAN_POINT('Ctrl Pts',(1.69497454397252,2.45133651055028,-0.026579545910428)); #147603=CARTESIAN_POINT('Ctrl Pts',(1.70951580924252,2.45528443736562,0.0228400305538887)); #147604=CARTESIAN_POINT('Ctrl Pts',(1.71418068273474,2.45671613313615,0.0316449990162173)); #147605=CARTESIAN_POINT('Ctrl Pts',(1.71881161681766,2.45813556022581,0.04047270856442)); #147606=CARTESIAN_POINT('Ctrl Pts',(1.72341553131382,2.45954463296856,0.0493195292564734)); #147607=CARTESIAN_POINT('Ctrl Pts',(1.70896716250756,2.4579727472882,0.022716787904733)); #147608=CARTESIAN_POINT('Ctrl Pts',(1.71365339134869,2.45939387991111,0.031513122793747)); #147609=CARTESIAN_POINT('Ctrl Pts',(1.71830402310875,2.46080251058802,0.040333390417482)); #147610=CARTESIAN_POINT('Ctrl Pts',(1.72292837348794,2.46220075224005,0.0491722068304358)); #147611=CARTESIAN_POINT('Ctrl Pts',(1.70982312399404,2.46296324605491,0.0214921322323553)); #147612=CARTESIAN_POINT('Ctrl Pts',(1.71453122141795,2.46434875300771,0.0302912300232597)); #147613=CARTESIAN_POINT('Ctrl Pts',(1.71920439765213,2.4657220717299,0.039112944704546)); #147614=CARTESIAN_POINT('Ctrl Pts',(1.72384867288083,2.46708537552718,0.0479538674837507)); #147615=CARTESIAN_POINT('Ctrl Pts',(1.71334242057813,2.46575930233996,0.0191910237391096)); #147616=CARTESIAN_POINT('Ctrl Pts',(1.71804140334514,2.46712402306936,0.0280138856995872)); #147617=CARTESIAN_POINT('Ctrl Pts',(1.72270459286046,2.4684771356895,0.0368581822726025)); #147618=CARTESIAN_POINT('Ctrl Pts',(1.7273385757186,2.46982003265288,0.0457200438943197)); #147619=CARTESIAN_POINT('Ctrl Pts',(1.71505151378864,2.46633290967246,0.0181945138769505)); #147620=CARTESIAN_POINT('Ctrl Pts',(1.71974442975001,2.46769561330033,0.0270276302707748)); #147621=CARTESIAN_POINT('Ctrl Pts',(1.72440129693839,2.46904621855373,0.0358817465512446)); #147622=CARTESIAN_POINT('Ctrl Pts',(1.72902879865998,2.47038697424595,0.0447526522893982)); #147623=CARTESIAN_POINT('',(1.72341553131382,2.45954463296856,0.0493195292564734)); #147624=CARTESIAN_POINT('Origin',(1.73211849795588,2.46087785758814,0.0445781282250254)); #147625=CARTESIAN_POINT('Ctrl Pts',(1.72341553131382,2.45954463296856,0.0493195292564734)); #147626=CARTESIAN_POINT('Ctrl Pts',(1.71881161681766,2.45813556022581,0.04047270856442)); #147627=CARTESIAN_POINT('Ctrl Pts',(1.71418068273474,2.45671613313615,0.0316449990162173)); #147628=CARTESIAN_POINT('Ctrl Pts',(1.70951580924252,2.45528443736562,0.0228400305538887)); #147629=CARTESIAN_POINT('Ctrl Pts',(1.72341553131382,2.45954463296856,0.0493195292564732)); #147630=CARTESIAN_POINT('Ctrl Pts',(1.73736057368039,2.46343899028976,0.076011068478109)); #147631=CARTESIAN_POINT('Ctrl Pts',(1.76821619300351,2.47199320719773,0.127972379470502)); #147632=CARTESIAN_POINT('Ctrl Pts',(1.80259049627985,2.48203895473098,0.176837090888436)); #147633=CARTESIAN_POINT('Ctrl Pts',(1.82022379191951,2.48723311037008,0.200854840912412)); #147634=CARTESIAN_POINT('Ctrl Pts',(1.72298926821618,2.46186873733111,0.0491906221336902)); #147635=CARTESIAN_POINT('Ctrl Pts',(1.73689621650434,2.4657803719409,0.0758888175341485)); #147636=CARTESIAN_POINT('Ctrl Pts',(1.76762208831003,2.47439300306477,0.127890533022529)); #147637=CARTESIAN_POINT('Ctrl Pts',(1.80194254972587,2.48446180194377,0.176781780472096)); #147638=CARTESIAN_POINT('Ctrl Pts',(1.81955298665832,2.48966537461645,0.200811871022403)); #147639=CARTESIAN_POINT('Ctrl Pts',(1.72367041060619,2.46673494287013,0.0480998225418601)); #147640=CARTESIAN_POINT('Ctrl Pts',(1.73750831063095,2.47070354017524,0.0747491883068399)); #147641=CARTESIAN_POINT('Ctrl Pts',(1.76799714040531,2.47951907199286,0.126636477901122)); #147642=CARTESIAN_POINT('Ctrl Pts',(1.80220090626283,2.48967289455961,0.175489867554771)); #147643=CARTESIAN_POINT('Ctrl Pts',(1.81976369189372,2.49491218835257,0.199503668961599)); #147644=CARTESIAN_POINT('Ctrl Pts',(1.72705687189504,2.46972554238737,0.0458812758284731)); #147645=CARTESIAN_POINT('Ctrl Pts',(1.7408628589905,2.47372532257589,0.0723967368396924)); #147646=CARTESIAN_POINT('Ctrl Pts',(1.77125317946665,2.48265522818933,0.123883045188209)); #147647=CARTESIAN_POINT('Ctrl Pts',(1.80538652735028,2.49285115010891,0.172549268764723)); #147648=CARTESIAN_POINT('Ctrl Pts',(1.82291979767238,2.49810918523265,0.19648654268445)); #147649=CARTESIAN_POINT('Ctrl Pts',(1.72902879865998,2.47038697424595,0.044752652289398)); #147650=CARTESIAN_POINT('Ctrl Pts',(1.74282514148107,2.47438427695527,0.071200196571472)); #147651=CARTESIAN_POINT('Ctrl Pts',(1.77318785852998,2.48330850654081,0.122483240601945)); #147652=CARTESIAN_POINT('Ctrl Pts',(1.80729463388605,2.49349901376071,0.171055097309422)); #147653=CARTESIAN_POINT('Ctrl Pts',(1.82481750099435,2.49875392614857,0.194953321654337)); #147654=CARTESIAN_POINT('',(1.8202237919195,2.48723311037011,0.200854840912411)); #147655=CARTESIAN_POINT('Origin',(1.82790720029025,2.48924480949076,0.194778797589964)); #147656=CARTESIAN_POINT('Ctrl Pts',(1.82022379191951,2.48723311037008,0.200854840912412)); #147657=CARTESIAN_POINT('Ctrl Pts',(1.80259049627985,2.48203895473098,0.176837090888436)); #147658=CARTESIAN_POINT('Ctrl Pts',(1.76821619300351,2.47199320719773,0.127972379470502)); #147659=CARTESIAN_POINT('Ctrl Pts',(1.73736057368039,2.46343899028976,0.076011068478109)); #147660=CARTESIAN_POINT('Ctrl Pts',(1.72341553131382,2.45954463296856,0.0493195292564732)); #147661=CARTESIAN_POINT('Origin',(1.82790720029025,2.48924480949076,0.194778797589964)); #147662=CARTESIAN_POINT('Origin',(1.82790720029025,2.48924480949076,0.194778797589964)); #147663=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.150393272478668)); #147664=CARTESIAN_POINT('Origin',(2.02844718685707,2.5552188237039,0.150393272478668)); #147665=CARTESIAN_POINT('',(2.2068788540288,1.84228012571373,0.159883826476322)); #147666=CARTESIAN_POINT('Origin',(2.20378849016564,1.84166446670836,0.150393272478668)); #147667=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.159883826476322)); #147668=CARTESIAN_POINT('Origin',(2.02844718685707,2.5552188237039,0.150393272478668)); #147669=CARTESIAN_POINT('Ctrl Pts',(2.2068788540288,1.84228012571373,0.159883826476322)); #147670=CARTESIAN_POINT('Ctrl Pts',(2.13414419239616,1.82986820898353,0.184373237555467)); #147671=CARTESIAN_POINT('Ctrl Pts',(2.05850915782802,1.81678638696676,0.199900472414187)); #147672=CARTESIAN_POINT('Ctrl Pts',(1.98216816638845,1.80341418986183,0.206013382855995)); #147673=CARTESIAN_POINT('Ctrl Pts',(2.20732292049456,1.83971639268181,0.159905538419574)); #147674=CARTESIAN_POINT('Ctrl Pts',(2.13458633501495,1.82730756497535,0.184389610568938)); #147675=CARTESIAN_POINT('Ctrl Pts',(2.05895544468545,1.81422987507747,0.199910615377139)); #147676=CARTESIAN_POINT('Ctrl Pts',(1.98261512695754,1.80086103483514,0.206018301677495)); #147677=CARTESIAN_POINT('Ctrl Pts',(2.20754314374479,1.83448362784344,0.157911849023006)); #147678=CARTESIAN_POINT('Ctrl Pts',(2.13497057390911,1.8221139486881,0.182335175258861)); #147679=CARTESIAN_POINT('Ctrl Pts',(2.05950602870973,1.80907599866199,0.197816539330924)); #147680=CARTESIAN_POINT('Ctrl Pts',(1.98334025685287,1.7957481923825,0.203905818378058)); #147681=CARTESIAN_POINT('Ctrl Pts',(2.20632452060737,1.83200268120699,0.153036914666559)); #147682=CARTESIAN_POINT('Ctrl Pts',(2.1341427212125,1.81970586481523,0.17733518823885)); #147683=CARTESIAN_POINT('Ctrl Pts',(2.05908468729635,1.806743928687,0.192739723391268)); #147684=CARTESIAN_POINT('Ctrl Pts',(1.98332604747204,1.79349319755454,0.19880245150703)); #147685=CARTESIAN_POINT('Ctrl Pts',(2.20552470746772,1.83181788908814,0.150567796543041)); #147686=CARTESIAN_POINT('Ctrl Pts',(2.13354048993051,1.81955468576584,0.174803196943441)); #147687=CARTESIAN_POINT('Ctrl Pts',(2.05868604343172,1.80662818262153,0.190169379196497)); #147688=CARTESIAN_POINT('Ctrl Pts',(1.98313314062665,1.79341339086671,0.196218884906953)); #147689=CARTESIAN_POINT('',(1.98216816638845,1.80341418986183,0.206013382855995)); #147690=CARTESIAN_POINT('Origin',(1.98139692332457,1.80325996848692,0.19604436084258)); #147691=CARTESIAN_POINT('Ctrl Pts',(1.98216816638845,1.80341418986183,0.206013382855995)); #147692=CARTESIAN_POINT('Ctrl Pts',(2.05850915782802,1.81678638696676,0.199900472414187)); #147693=CARTESIAN_POINT('Ctrl Pts',(2.13414419239616,1.82986820898353,0.184373237555467)); #147694=CARTESIAN_POINT('Ctrl Pts',(2.2068788540288,1.84228012571373,0.159883826476322)); #147695=CARTESIAN_POINT('Origin',(2.20378849016564,1.84166446670836,0.150393272478668)); #147696=CARTESIAN_POINT('Origin',(2.20378849016564,1.84166446670836,0.150393272478668)); #147697=CARTESIAN_POINT('Origin',(1.98139692332457,1.80325996848692,0.19604436084258)); #147698=CARTESIAN_POINT('Origin',(1.98139692332457,1.80325996848692,0.19604436084258)); #147699=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.755109509104582)); #147700=CARTESIAN_POINT('Ctrl Pts',(1.82880907349006,2.48950846432454,0.204734555263797)); #147701=CARTESIAN_POINT('Ctrl Pts',(1.8586722868123,2.37620611540906,0.205029849736445)); #147702=CARTESIAN_POINT('Ctrl Pts',(1.91478235580993,2.14871288495158,0.205465361562809)); #147703=CARTESIAN_POINT('Ctrl Pts',(1.96137036597683,1.91883494397783,0.2058368170827)); #147704=CARTESIAN_POINT('Ctrl Pts',(1.98216816638845,1.80341418986183,0.206013382855994)); #147705=CARTESIAN_POINT('Ctrl Pts',(1.84058546733976,1.27105783555382,0.0330588372512093)); #147706=CARTESIAN_POINT('Ctrl Pts',(1.83749828161448,1.32448608950223,0.0326871937014892)); #147707=CARTESIAN_POINT('Ctrl Pts',(1.82829647311323,1.48636057037929,0.0328101371222896)); #147708=CARTESIAN_POINT('Ctrl Pts',(1.80568805325492,1.75477140392951,0.0324518154884615)); #147709=CARTESIAN_POINT('Ctrl Pts',(1.76809547683602,2.07606039626664,0.0313866789378112)); #147710=CARTESIAN_POINT('Ctrl Pts',(1.73550548194706,2.28924835833533,0.0274967396599309)); #147711=CARTESIAN_POINT('Ctrl Pts',(1.71864965531255,2.39692692331675,0.0238261043896338)); #147712=CARTESIAN_POINT('Ctrl Pts',(1.81302460051012,1.27020648644983,0.012038039128137)); #147713=CARTESIAN_POINT('Ctrl Pts',(1.810537059363,1.32368471306028,0.0116359828154105)); #147714=CARTESIAN_POINT('Ctrl Pts',(1.80254791800804,1.48529569077539,0.0117272044430217)); #147715=CARTESIAN_POINT('Ctrl Pts',(1.78346514259815,1.75366583889493,0.0115417171714077)); #147716=CARTESIAN_POINT('Ctrl Pts',(1.7519460111003,2.07519775727529,0.01158284049513)); #147717=CARTESIAN_POINT('Ctrl Pts',(1.72506847022992,2.28890416881688,0.0106578796009672)); #147718=CARTESIAN_POINT('Ctrl Pts',(1.71054354567492,2.39644626447179,0.00885625998713516)); #147719=CARTESIAN_POINT('Ctrl Pts',(1.79488352105932,1.26980960771268,-0.0209094659043548)); #147720=CARTESIAN_POINT('Ctrl Pts',(1.79284732154417,1.32343343190151,-0.0209069061815799)); #147721=CARTESIAN_POINT('Ctrl Pts',(1.78578616333464,1.48487400756364,-0.0199952110806407)); #147722=CARTESIAN_POINT('Ctrl Pts',(1.76928367226477,1.75337760401723,-0.0176742978787579)); #147723=CARTESIAN_POINT('Ctrl Pts',(1.74191608415588,2.0751346232537,-0.0130311764196872)); #147724=CARTESIAN_POINT('Ctrl Pts',(1.71861390245752,2.28911814781853,-0.00860264412489037)); #147725=CARTESIAN_POINT('Ctrl Pts',(1.70551540738554,2.39638985127413,-0.00778497440776922)); #147726=CARTESIAN_POINT('Ctrl Pts',(1.79185255371206,1.26999168856568,-0.0554490115431096)); #147727=CARTESIAN_POINT('Ctrl Pts',(1.78983941559239,1.32380779591041,-0.0549843519490121)); #147728=CARTESIAN_POINT('Ctrl Pts',(1.78286811116902,1.48521782734759,-0.0531484367691815)); #147729=CARTESIAN_POINT('Ctrl Pts',(1.7665809701813,1.75397533309126,-0.0480310892384821)); #147730=CARTESIAN_POINT('Ctrl Pts',(1.73960227989422,2.07587033956628,-0.0383644491420832)); #147731=CARTESIAN_POINT('Ctrl Pts',(1.71679729434735,2.28987427732494,-0.0282868369657665)); #147732=CARTESIAN_POINT('Ctrl Pts',(1.70397497682735,2.39676228076113,-0.0247415264685585)); #147733=CARTESIAN_POINT('',(1.80853648514202,1.70870907721486,0.032510887949355)); #147734=CARTESIAN_POINT('Ctrl Pts',(1.80853648514056,1.70870907722598,0.0325108879489729)); #147735=CARTESIAN_POINT('Ctrl Pts',(1.79011390697734,1.70593160060235,0.0153974999035441)); #147736=CARTESIAN_POINT('Ctrl Pts',(1.77696247654677,1.7041425628435,-0.00749429984479004)); #147737=CARTESIAN_POINT('Ctrl Pts',(1.77143876993337,1.70366426283126,-0.032190349381335)); #147738=CARTESIAN_POINT('',(1.84058546733976,1.27105783555382,0.033058837251211)); #147739=CARTESIAN_POINT('Ctrl Pts',(1.80845727574807,1.70870135099335,0.0324460828164408)); #147740=CARTESIAN_POINT('Ctrl Pts',(1.81727410159442,1.61674318979948,0.0326330082712243)); #147741=CARTESIAN_POINT('Ctrl Pts',(1.82917228891284,1.47095357425598,0.0327984355322836)); #147742=CARTESIAN_POINT('Ctrl Pts',(1.83749828161448,1.32448608950223,0.0326871937014892)); #147743=CARTESIAN_POINT('Ctrl Pts',(1.84058546733976,1.27105783555382,0.0330588372512093)); #147744=CARTESIAN_POINT('',(1.79185255371206,1.26999168856567,-0.0554490115431098)); #147745=CARTESIAN_POINT('Origin',(1.91628630719724,1.27474053726786,-0.0663434793865671)); #147746=CARTESIAN_POINT('Ctrl Pts',(1.79185255371206,1.26999168856568,-0.0554490115431096)); #147747=CARTESIAN_POINT('Ctrl Pts',(1.78983941559239,1.32380779591041,-0.0549843519490121)); #147748=CARTESIAN_POINT('Ctrl Pts',(1.78286811116902,1.48521782734759,-0.0531484367691815)); #147749=CARTESIAN_POINT('Ctrl Pts',(1.7665809701813,1.75397533309126,-0.0480310892384821)); #147750=CARTESIAN_POINT('Ctrl Pts',(1.73960227989422,2.07587033956628,-0.0383644491420832)); #147751=CARTESIAN_POINT('Ctrl Pts',(1.71679729434735,2.28987427732494,-0.0282868369657665)); #147752=CARTESIAN_POINT('Ctrl Pts',(1.70397497682735,2.39676228076113,-0.0247415264685585)); #147753=CARTESIAN_POINT('',(1.71864965531255,2.39692692331675,0.0238261043896338)); #147754=CARTESIAN_POINT('Origin',(1.82756964138374,2.41195008717288,-0.035635994312016)); #147755=CARTESIAN_POINT('Ctrl Pts',(1.71864965531255,2.39692692331675,0.0238261043896338)); #147756=CARTESIAN_POINT('Ctrl Pts',(1.73550548194706,2.28924835833533,0.0274967396599309)); #147757=CARTESIAN_POINT('Ctrl Pts',(1.7673570125206,2.08089107215352,0.0312985358940447)); #147758=CARTESIAN_POINT('Ctrl Pts',(1.7920175704831,1.8715741020699,0.0320629570699211)); #147759=CARTESIAN_POINT('Ctrl Pts',(1.80246698487965,1.76921510910842,0.0323113623643836)); #147760=CARTESIAN_POINT('Ctrl Pts',(1.99371515219946,1.73778441932534,0.206112812242523)); #147761=CARTESIAN_POINT('Ctrl Pts',(2.07042585498007,1.75142843881205,0.200069870994813)); #147762=CARTESIAN_POINT('Ctrl Pts',(2.14637813373072,1.76510528076066,0.184508431110435)); #147763=CARTESIAN_POINT('Ctrl Pts',(2.21934208986505,1.77841362809908,0.159883826476322)); #147764=CARTESIAN_POINT('Ctrl Pts',(1.993262254676,1.74033158180152,0.206110175859894)); #147765=CARTESIAN_POINT('Ctrl Pts',(2.0699708159176,1.75397343266008,0.200064623361801)); #147766=CARTESIAN_POINT('Ctrl Pts',(2.14591570197157,1.76764749681898,0.184502569918278)); #147767=CARTESIAN_POINT('Ctrl Pts',(2.21887670526215,1.78095424080144,0.159877281349854)); #147768=CARTESIAN_POINT('Ctrl Pts',(1.99220002957535,1.74536907124248,0.203990858944028)); #147769=CARTESIAN_POINT('Ctrl Pts',(2.06873132365795,1.75897364730654,0.197957013173389)); #147770=CARTESIAN_POINT('Ctrl Pts',(2.14450525019368,1.7726123338853,0.182428052573342)); #147771=CARTESIAN_POINT('Ctrl Pts',(2.2172966181342,1.78588472578101,0.157858768208337)); #147772=CARTESIAN_POINT('Ctrl Pts',(1.99142425187065,1.74747603909226,0.198896255754868)); #147773=CARTESIAN_POINT('Ctrl Pts',(2.06754729995338,1.76100484543808,0.192897978605248)); #147774=CARTESIAN_POINT('Ctrl Pts',(2.14291602393049,1.77456819162928,0.177454976245925)); #147775=CARTESIAN_POINT('Ctrl Pts',(2.21531982911205,1.78776801950478,0.153018699750142)); #147776=CARTESIAN_POINT('Ctrl Pts',(1.99121939261352,1.7474856274616,0.196317282985465)); #147777=CARTESIAN_POINT('Ctrl Pts',(2.06713640046463,1.7609778404266,0.190337020730034)); #147778=CARTESIAN_POINT('Ctrl Pts',(2.1423024539535,1.7745045990626,0.174936988234935)); #147779=CARTESIAN_POINT('Ctrl Pts',(2.21451077537216,1.78766880213707,0.150567796543041)); #147780=CARTESIAN_POINT('',(2.21451077537216,1.78766880213707,0.150567796543041)); #147781=CARTESIAN_POINT('',(2.21934208986505,1.77841362809908,0.159883826476322)); #147782=CARTESIAN_POINT('Origin',(2.21624699267424,1.77782222451686,0.150393272478668)); #147783=CARTESIAN_POINT('',(1.99371515219946,1.73778441932534,0.206112812242523)); #147784=CARTESIAN_POINT('Ctrl Pts',(2.21934208986505,1.77841362809908,0.159883826476322)); #147785=CARTESIAN_POINT('Ctrl Pts',(2.14637813373072,1.76510528076066,0.184508431110435)); #147786=CARTESIAN_POINT('Ctrl Pts',(2.07042585498007,1.75142843881205,0.200069870994813)); #147787=CARTESIAN_POINT('Ctrl Pts',(1.99371515219946,1.73778441932534,0.206112812242523)); #147788=CARTESIAN_POINT('',(1.99121939261352,1.7474856274616,0.196317282985465)); #147789=CARTESIAN_POINT('Origin',(1.99295560991561,1.73763904984138,0.196142758921093)); #147790=CARTESIAN_POINT('Ctrl Pts',(1.99121939261352,1.7474856274616,0.196317282985465)); #147791=CARTESIAN_POINT('Ctrl Pts',(2.06713640046463,1.7609778404266,0.190337020730034)); #147792=CARTESIAN_POINT('Ctrl Pts',(2.1423024539535,1.7745045990626,0.174936988234935)); #147793=CARTESIAN_POINT('Ctrl Pts',(2.21451077537216,1.78766880213707,0.150567796543041)); #147794=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.150393272478668)); #147795=CARTESIAN_POINT('',(2.2260677950033,1.77969875934588,0.150567796543041)); #147796=CARTESIAN_POINT('Origin',(2.21624699267424,1.77782222451686,0.150393272478668)); #147797=CARTESIAN_POINT('',(2.35087672579638,0.835501250509841,0.150567796543041)); #147798=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999971,0.150567796543041)); #147799=CARTESIAN_POINT('',(2.34404810376077,0.834994700390862,0.159883826476322)); #147800=CARTESIAN_POINT('Origin',(2.34090564532035,0.834761591472462,0.150393272478668)); #147801=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,0.159883826476322)); #147802=CARTESIAN_POINT('Ctrl Pts',(1.96269595858748,0.811100919363323, 0.0116048928156924)); #147803=CARTESIAN_POINT('Ctrl Pts',(1.96269254857379,0.811053057958896, 0.00923825553652503)); #147804=CARTESIAN_POINT('Ctrl Pts',(1.95874767857468,0.811806442276937, 0.00491368539317123)); #147805=CARTESIAN_POINT('Ctrl Pts',(1.94717048850847,0.814144392461577, 0.00105851200611509)); #147806=CARTESIAN_POINT('Ctrl Pts',(1.93772965388061,0.816008633093319, 0.000144424216453561)); #147807=CARTESIAN_POINT('Ctrl Pts',(1.93192668317268,0.817114027870481, 2.54624586544997E-5)); #147808=CARTESIAN_POINT('Ctrl Pts',(1.96357294227359,0.810494837699859, 0.0116110546018407)); #147809=CARTESIAN_POINT('Ctrl Pts',(1.96356723043459,0.810443871329298, 0.00895737298257509)); #147810=CARTESIAN_POINT('Ctrl Pts',(1.95942176549912,0.810879292254803, 0.00413481892300872)); #147811=CARTESIAN_POINT('Ctrl Pts',(1.94742769716206,0.812402296163407, 0.000223092170488704)); #147812=CARTESIAN_POINT('Ctrl Pts',(1.93783845261208,0.813756927543088, -0.000316943948542204)); #147813=CARTESIAN_POINT('Ctrl Pts',(1.93201978292386,0.814625005355702, -0.000115312184038871)); #147814=CARTESIAN_POINT('Ctrl Pts',(1.96552233052847,0.809565872288889, 0.0116173706426249)); #147815=CARTESIAN_POINT('Ctrl Pts',(1.96551068581457,0.809506715369415, 0.00832042582340704)); #147816=CARTESIAN_POINT('Ctrl Pts',(1.96103963639922,0.809418432215629, 0.00234776641447084)); #147817=CARTESIAN_POINT('Ctrl Pts',(1.94827186525179,0.809452364959855, -0.00236233142556996)); #147818=CARTESIAN_POINT('Ctrl Pts',(1.93825567803517,0.809620072125134, -0.0027939479113202)); #147819=CARTESIAN_POINT('Ctrl Pts',(1.93226690815203,0.80978120933875,-0.00235501050430153)); #147820=CARTESIAN_POINT('Ctrl Pts',(1.96766484328871,0.809296186591759, 0.0116110546018407)); #147821=CARTESIAN_POINT('Ctrl Pts',(1.96764588873842,0.809225446673072, 0.00759852264961628)); #147822=CARTESIAN_POINT('Ctrl Pts',(1.962926442716,0.808938510128807,0.000282995196366132)); #147823=CARTESIAN_POINT('Ctrl Pts',(1.94945394349767,0.808354933937542, -0.00602836290220861)); #147824=CARTESIAN_POINT('Ctrl Pts',(1.93886145793264,0.807966336114802, -0.007292787999531)); #147825=CARTESIAN_POINT('Ctrl Pts',(1.93250485326569,0.80773833107473,-0.00728549829145827)); #147826=CARTESIAN_POINT('Ctrl Pts',(1.9687302348624,0.809333283265386,0.0116048928156924)); #147827=CARTESIAN_POINT('Ctrl Pts',(1.96870764365424,0.809256162419315, 0.00723450263642299)); #147828=CARTESIAN_POINT('Ctrl Pts',(1.96386145244005,0.808947447885804, -0.000751536901805403)); #147829=CARTESIAN_POINT('Ctrl Pts',(1.95002751481398,0.808340013942166, -0.00787075709599492)); #147830=CARTESIAN_POINT('Ctrl Pts',(1.93913819022642,0.807930267969122, -0.00955877254901464)); #147831=CARTESIAN_POINT('Ctrl Pts',(1.93258992812181,0.807697760704234, -0.00977845526193824)); #147832=CARTESIAN_POINT('',(1.96269595858748,0.811100919363323,0.0116048928156924)); #147833=CARTESIAN_POINT('Ctrl Pts',(1.93192668317268,0.817114027870481, 2.54624586544997E-5)); #147834=CARTESIAN_POINT('Ctrl Pts',(1.93772965388061,0.816008633093319, 0.000144424216453561)); #147835=CARTESIAN_POINT('Ctrl Pts',(1.94717048850847,0.814144392461577, 0.00105851200611509)); #147836=CARTESIAN_POINT('Ctrl Pts',(1.95874767857468,0.811806442276937, 0.00491368539317123)); #147837=CARTESIAN_POINT('Ctrl Pts',(1.96269254857379,0.811053057958896, 0.00923825553652503)); #147838=CARTESIAN_POINT('Ctrl Pts',(1.96269595858748,0.811100919363323, 0.0116048928156924)); #147839=CARTESIAN_POINT('',(1.9687302348624,0.809333283265386,0.0116048928156924)); #147840=CARTESIAN_POINT('Origin',(1.968381293049,0.819325669414941,0.0114303687513196)); #147841=CARTESIAN_POINT('Ctrl Pts',(1.9687302348624,0.809333283265386,0.0116048928156924)); #147842=CARTESIAN_POINT('Ctrl Pts',(1.96872633502152,0.809319970164707, 0.0108504477151852)); #147843=CARTESIAN_POINT('Ctrl Pts',(1.96865090038052,0.809304355426122, 0.0101072482165901)); #147844=CARTESIAN_POINT('Ctrl Pts',(1.96851234578139,0.80928678700059,0.00937839171569426)); #147845=CARTESIAN_POINT('Ctrl Pts',(1.96331606646529,0.813145172248778, 0.128187232109637)); #147846=CARTESIAN_POINT('Ctrl Pts',(1.96310982011953,0.812463739372649, 0.0893264498774006)); #147847=CARTESIAN_POINT('Ctrl Pts',(1.96290311927428,0.811782321590681, 0.0504656701001377)); #147848=CARTESIAN_POINT('Ctrl Pts',(1.96269595858748,0.811100919363323, 0.0116048928156924)); #147849=CARTESIAN_POINT('Ctrl Pts',(1.96506653243654,0.811941314829414, 0.128199464896015)); #147850=CARTESIAN_POINT('Ctrl Pts',(1.96486144215113,0.811257110666775, 0.0893387131378565)); #147851=CARTESIAN_POINT('Ctrl Pts',(1.96465592790588,0.810572967081582, 0.0504779627407715)); #147852=CARTESIAN_POINT('Ctrl Pts',(1.9644499259597,0.809888756036396,0.011617216387989)); #147853=CARTESIAN_POINT('Ctrl Pts',(1.96720838786434,0.811316545546831, 0.128199464896015)); #147854=CARTESIAN_POINT('Ctrl Pts',(1.96700584355367,0.810630720238747, 0.0893387131378564)); #147855=CARTESIAN_POINT('Ctrl Pts',(1.96680288045396,0.809944946480552, 0.0504779627407715)); #147856=CARTESIAN_POINT('Ctrl Pts',(1.96659945171502,0.809259089918133, 0.011617216387989)); #147857=CARTESIAN_POINT('Ctrl Pts',(1.96933157843238,0.811390475341012, 0.128187232109637)); #147858=CARTESIAN_POINT('Ctrl Pts',(1.96913155831826,0.810704759543137, 0.0893264498774006)); #147859=CARTESIAN_POINT('Ctrl Pts',(1.96893111210056,0.810019028908275, 0.0504656701001377)); #147860=CARTESIAN_POINT('Ctrl Pts',(1.9687302348624,0.809333283265386,0.0116048928156924)); #147861=CARTESIAN_POINT('',(1.96331606646529,0.813145172248778,0.128187232109637)); #147862=CARTESIAN_POINT('Ctrl Pts',(1.96269595858748,0.811100919363323, 0.0116048928156924)); #147863=CARTESIAN_POINT('Ctrl Pts',(1.96290311927428,0.811782321590681, 0.0504656701001377)); #147864=CARTESIAN_POINT('Ctrl Pts',(1.96310982011953,0.812463739372649, 0.0893264498774006)); #147865=CARTESIAN_POINT('Ctrl Pts',(1.96331606646529,0.813145172248778, 0.128187232109637)); #147866=CARTESIAN_POINT('',(1.96933157843238,0.811390475341012,0.128187232109637)); #147867=CARTESIAN_POINT('Origin',(1.96898263661898,0.821382861490567,0.128012708045264)); #147868=CARTESIAN_POINT('Ctrl Pts',(1.96933157843238,0.811390475341012, 0.128187232109637)); #147869=CARTESIAN_POINT('Ctrl Pts',(1.96913155831826,0.810704759543137, 0.0893264498774006)); #147870=CARTESIAN_POINT('Ctrl Pts',(1.96893111210056,0.810019028908275, 0.0504656701001377)); #147871=CARTESIAN_POINT('Ctrl Pts',(1.9687302348624,0.809333283265386,0.0116048928156924)); #147872=CARTESIAN_POINT('Ctrl Pts',(2.08310923674786,0.827222919543758, 0.20619348278347)); #147873=CARTESIAN_POINT('Ctrl Pts',(2.0444773806813,0.825748161212677,0.181593318452713)); #147874=CARTESIAN_POINT('Ctrl Pts',(2.00448198657837,0.824174002120418, 0.158979125974839)); #147875=CARTESIAN_POINT('Ctrl Pts',(1.96414302075575,0.822562302576344, 0.136683753946517)); #147876=CARTESIAN_POINT('Ctrl Pts',(2.08313249500311,0.824528175262861, 0.206351874763588)); #147877=CARTESIAN_POINT('Ctrl Pts',(2.04446042011479,0.823006959423042, 0.181828359690274)); #147878=CARTESIAN_POINT('Ctrl Pts',(2.00444208641511,0.821402448537548, 0.159271261564717)); #147879=CARTESIAN_POINT('Ctrl Pts',(1.96407776545549,0.819766307169859, 0.137027645710638)); #147880=CARTESIAN_POINT('Ctrl Pts',(2.08442329224576,0.819062072433177, 0.204718909223992)); #147881=CARTESIAN_POINT('Ctrl Pts',(2.04565479366456,0.817372801005516, 0.180224436067642)); #147882=CARTESIAN_POINT('Ctrl Pts',(2.00557069497226,0.815647628707085, 0.157711355804929)); #147883=CARTESIAN_POINT('Ctrl Pts',(1.96517445671246,0.813909310763695, 0.135513925330498)); #147884=CARTESIAN_POINT('Ctrl Pts',(2.08738730021673,0.816771295804511, 0.200226914866763)); #147885=CARTESIAN_POINT('Ctrl Pts',(2.04851766493415,0.814981163434671, 0.175552350142317)); #147886=CARTESIAN_POINT('Ctrl Pts',(2.00836003947927,0.813186567592179, 0.152916337667908)); #147887=CARTESIAN_POINT('Ctrl Pts',(1.96796984661484,0.811386009405481, 0.130654168798175)); #147888=CARTESIAN_POINT('Ctrl Pts',(2.08883623048826,0.816782112533188, 0.197949249445359)); #147889=CARTESIAN_POINT('Ctrl Pts',(2.04991493979977,0.814990357474372, 0.173181073802127)); #147890=CARTESIAN_POINT('Ctrl Pts',(2.00971907546406,0.813190268436191, 0.150484106511171)); #147891=CARTESIAN_POINT('Ctrl Pts',(1.96933157843242,0.811390475341014, 0.12818723210966)); #147892=CARTESIAN_POINT('',(1.96414302075575,0.822562302576334,0.136683753946516)); #147893=CARTESIAN_POINT('Origin',(1.96898263661902,0.821382861490569,0.128012708045287)); #147894=CARTESIAN_POINT('',(2.08310923674761,0.827222919543772,0.206193482783308)); #147895=CARTESIAN_POINT('Ctrl Pts',(1.96414302075575,0.822562302576344, 0.136683753946517)); #147896=CARTESIAN_POINT('Ctrl Pts',(2.00448198657837,0.824174002120418, 0.158979125974839)); #147897=CARTESIAN_POINT('Ctrl Pts',(2.0444773806813,0.825748161212677,0.181593318452713)); #147898=CARTESIAN_POINT('Ctrl Pts',(2.08310923674786,0.827222919543758, 0.20619348278347)); #147899=CARTESIAN_POINT('',(2.08883623048826,0.816782112533188,0.197949249445359)); #147900=CARTESIAN_POINT('Origin',(2.08848728867486,0.826774498682743,0.197774725380986)); #147901=CARTESIAN_POINT('Ctrl Pts',(2.08883623048826,0.816782112533188, 0.197949249445359)); #147902=CARTESIAN_POINT('Ctrl Pts',(2.04991493979977,0.814990357474372, 0.173181073802127)); #147903=CARTESIAN_POINT('Ctrl Pts',(2.00971907546406,0.813190268436191, 0.150484106511171)); #147904=CARTESIAN_POINT('Ctrl Pts',(1.96933157843242,0.811390475341014, 0.12818723210966)); #147905=CARTESIAN_POINT('Origin',(1.96898263661898,0.821382861490567,0.128012708045264)); #147906=CARTESIAN_POINT('Origin',(1.96898263661898,0.821382861490567,0.128012708045264)); #147907=CARTESIAN_POINT('Ctrl Pts',(2.34404810376077,0.834994700390863, 0.159883826476322)); #147908=CARTESIAN_POINT('Ctrl Pts',(2.26169011926369,0.832559042635536, 0.187213560560121)); #147909=CARTESIAN_POINT('Ctrl Pts',(2.17563812565653,0.829798579936641, 0.203367561966196)); #147910=CARTESIAN_POINT('Ctrl Pts',(2.08899248270832,0.826812650664253, 0.207761883305434)); #147911=CARTESIAN_POINT('Ctrl Pts',(2.34413573584423,0.832385472450903, 0.159918898625188)); #147912=CARTESIAN_POINT('Ctrl Pts',(2.26177362831111,0.829955694466176, 0.187239459232767)); #147913=CARTESIAN_POINT('Ctrl Pts',(2.17572550016875,0.82720158465244,0.203382857142829)); #147914=CARTESIAN_POINT('Ctrl Pts',(2.08908093710141,0.82422180722,0.207767306187498)); #147915=CARTESIAN_POINT('Ctrl Pts',(2.34363090684773,0.827143850497622, 0.157937484968411)); #147916=CARTESIAN_POINT('Ctrl Pts',(2.26145379354125,0.824739323710394, 0.185187531881396)); #147917=CARTESIAN_POINT('Ctrl Pts',(2.17559481059179,0.822011388958979, 0.201285695997269)); #147918=CARTESIAN_POINT('Ctrl Pts',(2.08914939318231,0.819058228090719, 0.205651804277407)); #147919=CARTESIAN_POINT('Ctrl Pts',(2.34207468397867,0.824841119400598, 0.153045547420497)); #147920=CARTESIAN_POINT('Ctrl Pts',(2.26034325722146,0.822460766182795, 0.180159912581568)); #147921=CARTESIAN_POINT('Ctrl Pts',(2.17494880333153,0.819758195304591, 0.196183532121713)); #147922=CARTESIAN_POINT('Ctrl Pts',(2.08896581893107,0.816831850280363, 0.20053788930639)); #147923=CARTESIAN_POINT('Ctrl Pts',(2.34125458713375,0.824769205322908, 0.150567796543041)); #147924=CARTESIAN_POINT('Ctrl Pts',(2.25974813332502,0.822395319273328, 0.177614059363194)); #147925=CARTESIAN_POINT('Ctrl Pts',(2.17458591579166,0.819700603679931, 0.193600507174439)); #147926=CARTESIAN_POINT('Ctrl Pts',(2.08883623048566,0.816782112533094, 0.19794924944514)); #147927=CARTESIAN_POINT('',(2.08899248270937,0.826812650664176,0.207761883305382)); #147928=CARTESIAN_POINT('Origin',(2.08848728867226,0.826774498682649,0.197774725380768)); #147929=CARTESIAN_POINT('Ctrl Pts',(2.08899248270832,0.826812650664253, 0.207761883305434)); #147930=CARTESIAN_POINT('Ctrl Pts',(2.17563812565653,0.829798579936641, 0.203367561966196)); #147931=CARTESIAN_POINT('Ctrl Pts',(2.26169011926369,0.832559042635536, 0.187213560560121)); #147932=CARTESIAN_POINT('Ctrl Pts',(2.34404810376077,0.834994700390863, 0.159883826476322)); #147933=CARTESIAN_POINT('',(2.34125458713375,0.824769205322908,0.150567796543041)); #147934=CARTESIAN_POINT('Origin',(2.34090564532035,0.834761591472463,0.150393272478668)); #147935=CARTESIAN_POINT('Ctrl Pts',(2.34125458713375,0.824769205322908, 0.150567796543041)); #147936=CARTESIAN_POINT('Ctrl Pts',(2.25974813332502,0.822395319273328, 0.177614059363194)); #147937=CARTESIAN_POINT('Ctrl Pts',(2.17458591579166,0.819700603679931, 0.193600507174439)); #147938=CARTESIAN_POINT('Ctrl Pts',(2.08883623048566,0.816782112533094, 0.19794924944514)); #147939=CARTESIAN_POINT('Origin',(2.08848728867435,0.826774498682777,0.197774725380661)); #147940=CARTESIAN_POINT('Origin',(2.08848728867435,0.826774498682777,0.197774725380661)); #147941=CARTESIAN_POINT('Origin',(2.34090564532035,0.834761591472463,0.150393272478668)); #147942=CARTESIAN_POINT('Origin',(2.34090564532035,0.834761591472463,0.150393272478668)); #147943=CARTESIAN_POINT('Origin',(2.21624699267424,1.77782222451686,0.150393272478668)); #147944=CARTESIAN_POINT('Origin',(2.21624699267424,1.77782222451686,0.150393272478668)); #147945=CARTESIAN_POINT('Ctrl Pts',(1.8085364851406,1.70870907722598,0.0325108879490129)); #147946=CARTESIAN_POINT('Ctrl Pts',(1.86862978341488,1.71807624933779,0.0883600418301563)); #147947=CARTESIAN_POINT('Ctrl Pts',(1.92753181489356,1.72729005636508,0.145648269235731)); #147948=CARTESIAN_POINT('Ctrl Pts',(1.98608082309991,1.73646797921544,0.203309759676489)); #147949=CARTESIAN_POINT('Ctrl Pts',(1.80821518948701,1.7111920837487,0.0324295630299627)); #147950=CARTESIAN_POINT('Ctrl Pts',(1.86826469068994,1.72059459328753,0.0883235510617925)); #147951=CARTESIAN_POINT('Ctrl Pts',(1.927136890629,1.72982986371812,0.145639403005437)); #147952=CARTESIAN_POINT('Ctrl Pts',(1.98566050567862,1.7390240047376,0.203324227896233)); #147953=CARTESIAN_POINT('Ctrl Pts',(1.80891108137596,1.71626108499013,0.0308095352835809)); #147954=CARTESIAN_POINT('Ctrl Pts',(1.86893900586185,1.72578743210464,0.0867636370203924)); #147955=CARTESIAN_POINT('Ctrl Pts',(1.92778286513361,1.73510193176996,0.144116576165565)); #147956=CARTESIAN_POINT('Ctrl Pts',(1.98628573293295,1.74435888920303,0.201829327728078)); #147957=CARTESIAN_POINT('Ctrl Pts',(1.81187835438161,1.71886097062777,0.0271709346477685)); #147958=CARTESIAN_POINT('Ctrl Pts',(1.87200651378652,1.72847254403847,0.0831267744612702)); #147959=CARTESIAN_POINT('Ctrl Pts',(1.93090287416172,1.73783941844529,0.140469059447396)); #147960=CARTESIAN_POINT('Ctrl Pts',(1.98944575597518,1.74713999035979,0.19817332463449)); #147961=CARTESIAN_POINT('Ctrl Pts',(1.81354138527621,1.71918690557535,0.0253261417908579)); #147962=CARTESIAN_POINT('Ctrl Pts',(1.87372679284279,1.72880744687545,0.0812814011712863)); #147963=CARTESIAN_POINT('Ctrl Pts',(1.93265262089405,1.73818140493613,0.138618116225897)); #147964=CARTESIAN_POINT('Ctrl Pts',(1.99121939261352,1.7474856274616,0.196317282985466)); #147965=CARTESIAN_POINT('',(1.98608082309992,1.7364679792154,0.203309759676489)); #147966=CARTESIAN_POINT('Origin',(1.99295560991561,1.73763904984138,0.196142758921094)); #147967=CARTESIAN_POINT('Ctrl Pts',(1.98608082309991,1.73646797921544,0.203309759676489)); #147968=CARTESIAN_POINT('Ctrl Pts',(1.92753181489356,1.72729005636508,0.145648269235731)); #147969=CARTESIAN_POINT('Ctrl Pts',(1.86862978341488,1.71807624933779,0.0883600418301563)); #147970=CARTESIAN_POINT('Ctrl Pts',(1.8085364851406,1.70870907722598,0.0325108879490129)); #147971=CARTESIAN_POINT('',(1.81354138527621,1.71918690557535,0.0253261417908577)); #147972=CARTESIAN_POINT('Origin',(1.81527760257829,1.70934032795513,0.0251516177264849)); #147973=CARTESIAN_POINT('Ctrl Pts',(1.81354138527621,1.71918690557535,0.0253261417908579)); #147974=CARTESIAN_POINT('Ctrl Pts',(1.87372679284279,1.72880744687545,0.0812814011712863)); #147975=CARTESIAN_POINT('Ctrl Pts',(1.93265262089405,1.73818140493613,0.138618116225897)); #147976=CARTESIAN_POINT('Ctrl Pts',(1.99121939261352,1.7474856274616,0.196317282985466)); #147977=CARTESIAN_POINT('Ctrl Pts',(1.81354138527623,1.71918690557535,0.025326141790874)); #147978=CARTESIAN_POINT('Ctrl Pts',(1.79660310600814,1.71647934868009,0.00957837421331198)); #147979=CARTESIAN_POINT('Ctrl Pts',(1.78451153432175,1.71472060602673,-0.0114846232261577)); #147980=CARTESIAN_POINT('Ctrl Pts',(1.77943333803141,1.71422790745287,-0.0342061746982971)); #147981=CARTESIAN_POINT('Ctrl Pts',(1.81187835438161,1.71886097062778,0.0271709346477702)); #147982=CARTESIAN_POINT('Ctrl Pts',(1.79459080271283,1.71609745467707,0.0110607143190958)); #147983=CARTESIAN_POINT('Ctrl Pts',(1.78226051357554,1.7143058170598,-0.0104617089089364)); #147984=CARTESIAN_POINT('Ctrl Pts',(1.77708291647025,1.71380399098248,-0.0336716704806648)); #147985=CARTESIAN_POINT('Ctrl Pts',(1.80891093197997,1.71626124739172,0.0308096680515153)); #147986=CARTESIAN_POINT('Ctrl Pts',(1.79092025978975,1.71343386590059,0.0139816184030702)); #147987=CARTESIAN_POINT('Ctrl Pts',(1.77810693013328,1.71160579769404,-0.00845965653777262)); #147988=CARTESIAN_POINT('Ctrl Pts',(1.7727284830364,1.71110173107191,-0.0326398717787492)); #147989=CARTESIAN_POINT('Ctrl Pts',(1.80821518948697,1.7111920837487,0.0324295630299231)); #147990=CARTESIAN_POINT('Ctrl Pts',(1.78984699580436,1.70839361192735,0.0153067456211665)); #147991=CARTESIAN_POINT('Ctrl Pts',(1.77675486091404,1.70659060755854,-0.00754988170597513)); #147992=CARTESIAN_POINT('Ctrl Pts',(1.77125619520649,1.70610483675867,-0.0322024663792878)); #147993=CARTESIAN_POINT('Ctrl Pts',(1.80853648514056,1.70870907722598,0.0325108879489729)); #147994=CARTESIAN_POINT('Ctrl Pts',(1.79011390697734,1.70593160060235,0.0153974999035441)); #147995=CARTESIAN_POINT('Ctrl Pts',(1.77696247654677,1.7041425628435,-0.00749429984479004)); #147996=CARTESIAN_POINT('Ctrl Pts',(1.77143876993337,1.70366426283126,-0.032190349381335)); #147997=CARTESIAN_POINT('Ctrl Pts',(1.78041218290542,1.71432905486823,-0.0301750313006473)); #147998=CARTESIAN_POINT('Ctrl Pts',(1.78596529185962,1.71493291849512,-0.00900079503810935)); #147999=CARTESIAN_POINT('Ctrl Pts',(1.79760936149765,1.71664019701337,0.0105139047546128)); #148000=CARTESIAN_POINT('Ctrl Pts',(1.81354138527623,1.71918690557535,0.025326141790874)); #148001=CARTESIAN_POINT('Origin',(1.99295560991561,1.73763904984138,0.196142758921093)); #148002=CARTESIAN_POINT('Origin',(1.99295560991561,1.73763904984138,0.196142758921093)); #148003=CARTESIAN_POINT('Origin',(1.9126852223004,0.807697431808042,0.03)); #148004=CARTESIAN_POINT('Ctrl Pts',(2.34464286900283,0.821730734759175, -0.0301745240643712)); #148005=CARTESIAN_POINT('Ctrl Pts',(2.34351365780145,0.822743565894901, 0.0300729200607279)); #148006=CARTESIAN_POINT('Ctrl Pts',(2.34238423059724,0.823756389419167, 0.0903203602652682)); #148007=CARTESIAN_POINT('Ctrl Pts',(2.34125458713375,0.824769205322908, 0.150567796543041)); #148008=CARTESIAN_POINT('Ctrl Pts',(2.34125458713375,0.824769205322908, 0.150567796543041)); #148009=CARTESIAN_POINT('Ctrl Pts',(2.34238520475508,0.823755516008583, 0.0902684053657959)); #148010=CARTESIAN_POINT('Ctrl Pts',(2.34351560574398,0.822741819060585, 0.0299690102550079)); #148011=CARTESIAN_POINT('Ctrl Pts',(2.34464579035759,0.821728114488002, -0.0303303887830983)); #148012=CARTESIAN_POINT('Ctrl Pts',(2.34393517815318,0.82486366373794,0.150616469869568)); #148013=CARTESIAN_POINT('Ctrl Pts',(2.34506557373457,0.823849974235538, 0.0903170680902075)); #148014=CARTESIAN_POINT('Ctrl Pts',(2.34619576012137,0.822836254128304, 0.0300176629434012)); #148015=CARTESIAN_POINT('Ctrl Pts',(2.34732572286986,0.821822549362073, -0.0302817466826131)); #148016=CARTESIAN_POINT('Ctrl Pts',(2.34918518928238,0.827338316721165, 0.150673306692332)); #148017=CARTESIAN_POINT('Ctrl Pts',(2.35031517675889,0.82632420736984,0.0903738915546287)); #148018=CARTESIAN_POINT('Ctrl Pts',(2.35144494337806,0.825310093161822, 0.0300744723453888)); #148019=CARTESIAN_POINT('Ctrl Pts',(2.35257449831633,0.824295968996196, -0.0302249506197759)); #148020=CARTESIAN_POINT('Ctrl Pts',(2.35107430413697,0.832826282583883, 0.150616469869568)); #148021=CARTESIAN_POINT('Ctrl Pts',(2.35220464198121,0.831811468968765, 0.0903170680902074)); #148022=CARTESIAN_POINT('Ctrl Pts',(2.35333478800846,0.830796652648089, 0.0300176629434012)); #148023=CARTESIAN_POINT('Ctrl Pts',(2.35446469271082,0.829781824765801, -0.0302817466826131)); #148024=CARTESIAN_POINT('Ctrl Pts',(2.35087672579638,0.835501250509841, 0.150567796543041)); #148025=CARTESIAN_POINT('Ctrl Pts',(2.35200744103064,0.83448624531024,0.0902684053657959)); #148026=CARTESIAN_POINT('Ctrl Pts',(2.35313793933408,0.833471232968729, 0.0299690102550079)); #148027=CARTESIAN_POINT('Ctrl Pts',(2.35426822096447,0.832456213493845, -0.0303303887830983)); #148028=CARTESIAN_POINT('Ctrl Pts',(2.35087672579638,0.835501250509841, 0.150567796543041)); #148029=CARTESIAN_POINT('Ctrl Pts',(2.3520064667887,0.83448711985461,0.0903203602652676)); #148030=CARTESIAN_POINT('Ctrl Pts',(2.35313599122385,0.833472982069772, 0.0300729200607268)); #148031=CARTESIAN_POINT('Ctrl Pts',(2.35426529935894,0.832458837163841, -0.0301745240643729)); #148032=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #148033=CARTESIAN_POINT('Ctrl Pts',(2.22858159985658,1.78334935421521,-0.0301745240643742)); #148034=CARTESIAN_POINT('Ctrl Pts',(2.22774385630555,1.78213252343081,0.0300729194779739)); #148035=CARTESIAN_POINT('Ctrl Pts',(2.22690592142629,1.78091565848718,0.0903203596821152)); #148036=CARTESIAN_POINT('Ctrl Pts',(2.2260677950033,1.77969875934588,0.150567796543041)); #148037=CARTESIAN_POINT('Ctrl Pts',(2.21702724529585,1.79131856043349,-0.030315509449703)); #148038=CARTESIAN_POINT('Ctrl Pts',(2.2161886135451,1.79010200805209,0.0299789292262979)); #148039=CARTESIAN_POINT('Ctrl Pts',(2.21534979030905,1.78888542196592,0.0902733645588861)); #148040=CARTESIAN_POINT('Ctrl Pts',(2.21451077537216,1.78766880213707,0.150567796543041)); #148041=CARTESIAN_POINT('Ctrl Pts',(2.21958069502035,1.79176801228651,-0.0302709251431888)); #148042=CARTESIAN_POINT('Ctrl Pts',(2.21874226888001,1.79055150269934,0.0300235228401854)); #148043=CARTESIAN_POINT('Ctrl Pts',(2.21790364953237,1.78933493898818,0.0903179670224702)); #148044=CARTESIAN_POINT('Ctrl Pts',(2.21706484036225,1.78811836199101,0.150612408325929)); #148045=CARTESIAN_POINT('Ctrl Pts',(2.22503121174091,1.79056422757876,-0.0302194028254566)); #148046=CARTESIAN_POINT('Ctrl Pts',(2.22419324577282,1.78934742537315,0.0300750567325931)); #148047=CARTESIAN_POINT('Ctrl Pts',(2.22335509135086,1.78813059197496,0.0903695130721796)); #148048=CARTESIAN_POINT('Ctrl Pts',(2.22251674270578,1.78691372202762,0.150663965966201)); #148049=CARTESIAN_POINT('Ctrl Pts',(2.22809523663781,1.78589850345759,-0.0302709251431888)); #148050=CARTESIAN_POINT('Ctrl Pts',(2.22725712602626,1.78468098961028,0.0300235228401854)); #148051=CARTESIAN_POINT('Ctrl Pts',(2.22641880261278,1.78346343977232,0.0903179670224701)); #148052=CARTESIAN_POINT('Ctrl Pts',(2.22558030893035,1.78224585757024,0.150612408325929)); #148053=CARTESIAN_POINT('Ctrl Pts',(2.22858356026468,1.78335220172779,-0.030315509449703)); #148054=CARTESIAN_POINT('Ctrl Pts',(2.22774516354289,1.78213442182584,0.0299789292262979)); #148055=CARTESIAN_POINT('Ctrl Pts',(2.22690657519442,1.78091660771138,0.0902733645588861)); #148056=CARTESIAN_POINT('Ctrl Pts',(2.2260677950033,1.77969875934588,0.150567796543041)); #148057=CARTESIAN_POINT('Ctrl Pts',(2.21451077537216,1.78766880213707,0.150567796543041)); #148058=CARTESIAN_POINT('Ctrl Pts',(2.21534913635795,1.78888447369928,0.0903203596821157)); #148059=CARTESIAN_POINT('Ctrl Pts',(2.21618730594161,1.79010011157138,0.0300729194779748)); #148060=CARTESIAN_POINT('Ctrl Pts',(2.21702528433818,1.7913157157912,-0.0301745240643729)); #148061=CARTESIAN_POINT('Origin',(2.2556284746735,1.79705594621892,0.03)); #148062=CARTESIAN_POINT('Ctrl Pts',(1.98800191943266,1.73616099257216,0.205102367928951)); #148063=CARTESIAN_POINT('Ctrl Pts',(1.92634783544695,1.74601316914689,0.147571874602305)); #148064=CARTESIAN_POINT('Ctrl Pts',(1.86469375146123,1.75586534572162,0.0900413812756588)); #148065=CARTESIAN_POINT('Ctrl Pts',(1.80303966747552,1.76571752229635,0.0325108879490126)); #148066=CARTESIAN_POINT('Ctrl Pts',(2.01584736078359,1.57315170195794,0.205102367928951)); #148067=CARTESIAN_POINT('Ctrl Pts',(1.94830957864121,1.6025278888137,0.147571874602305)); #148068=CARTESIAN_POINT('Ctrl Pts',(1.88093396045294,1.63192717369071,0.0900413812756588)); #148069=CARTESIAN_POINT('Ctrl Pts',(1.81339617831056,1.66130336054647,0.0325108879490126)); #148070=CARTESIAN_POINT('Ctrl Pts',(2.06600660446424,1.20016965933594,0.205102367928951)); #148071=CARTESIAN_POINT('Ctrl Pts',(1.98810461890208,1.27663646288654,0.147571874602305)); #148072=CARTESIAN_POINT('Ctrl Pts',(1.91022124590691,1.35310913922216,0.0900413812756588)); #148073=CARTESIAN_POINT('Ctrl Pts',(1.83231926034476,1.42957594277275,0.0325108879490126)); #148074=CARTESIAN_POINT('Ctrl Pts',(2.08055847417091,0.971138186975289, 0.205102367928951)); #148075=CARTESIAN_POINT('Ctrl Pts',(2.0000315837288,1.07798347401845,0.147571874602305)); #148076=CARTESIAN_POINT('Ctrl Pts',(1.91939333239186,1.1847928896487,0.0900413812756588)); #148077=CARTESIAN_POINT('Ctrl Pts',(1.83886644194974,1.29163817669187,0.0325108879490126)); #148078=CARTESIAN_POINT('Ctrl Pts',(2.0824971750334,0.942116198157755,0.205102367928951)); #148079=CARTESIAN_POINT('Ctrl Pts',(2.00160311391828,1.0521126310403,0.147571874602305)); #148080=CARTESIAN_POINT('Ctrl Pts',(1.92070905280316,1.16210906392285,0.0900413812756588)); #148081=CARTESIAN_POINT('Ctrl Pts',(1.83981499168803,1.2721054968054,0.0325108879490126)); #148082=CARTESIAN_POINT('',(2.0824971750334,0.942116198157753,0.205102367928951)); #148083=CARTESIAN_POINT('Ctrl Pts',(2.0824971750334,0.942116198157756,0.205102367928951)); #148084=CARTESIAN_POINT('Ctrl Pts',(2.06392375177584,1.20813901796261,0.20447438430071)); #148085=CARTESIAN_POINT('Ctrl Pts',(2.03159275556634,1.47336562783359,0.203975784157414)); #148086=CARTESIAN_POINT('Ctrl Pts',(1.98608082309991,1.73646797921544,0.203309759676488)); #148087=CARTESIAN_POINT('',(2.18640519353547,0.800826328103438,0.279)); #148088=CARTESIAN_POINT('Ctrl Pts',(1.70504299607305,-0.00156415701653837, 0.198604388287614)); #148089=CARTESIAN_POINT('Ctrl Pts',(1.70352039378954,-0.00154738143400707, 0.136271210955429)); #148090=CARTESIAN_POINT('Ctrl Pts',(1.70199730578591,-0.00153009400624762, 0.0739380457765725)); #148091=CARTESIAN_POINT('Ctrl Pts',(1.70047373013457,-0.00151229270088132, 0.0116048928156927)); #148092=CARTESIAN_POINT('Ctrl Pts',(1.70321219251504,-0.00339442449025095, 0.198648799816922)); #148093=CARTESIAN_POINT('Ctrl Pts',(1.70168824641595,-0.00337734105384048, 0.136315655255845)); #148094=CARTESIAN_POINT('Ctrl Pts',(1.70016386019626,-0.00335980281287245, 0.0739825212905792)); #148095=CARTESIAN_POINT('Ctrl Pts',(1.69863893680734,-0.0033416902948894, 0.0116494012496415)); #148096=CARTESIAN_POINT('Ctrl Pts',(1.70106761761649,-0.00853847362681633, 0.198700165578267)); #148097=CARTESIAN_POINT('Ctrl Pts',(1.69954130652441,-0.00852237667920889, 0.136367061748174)); #148098=CARTESIAN_POINT('Ctrl Pts',(1.69801448126138,-0.00850576161145813, 0.0740339708914953)); #148099=CARTESIAN_POINT('Ctrl Pts',(1.69648718377216,-0.00848863580313807, 0.0117008917796349)); #148100=CARTESIAN_POINT('Ctrl Pts',(1.70311977968774,-0.013720082821669, 0.198648799816922)); #148101=CARTESIAN_POINT('Ctrl Pts',(1.70159352261831,-0.0137059101645032, 0.136315655255845)); #148102=CARTESIAN_POINT('Ctrl Pts',(1.70006683606171,-0.0136911920570666, 0.0739825212905792)); #148103=CARTESIAN_POINT('Ctrl Pts',(1.69853959162878,-0.0136759965173514, 0.0116494012496415)); #148104=CARTESIAN_POINT('Ctrl Pts',(1.70491753145639,-0.0155828252278229, 0.198604388287614)); #148105=CARTESIAN_POINT('Ctrl Pts',(1.70339180578292,-0.0155691702511974, 0.136271210955429)); #148106=CARTESIAN_POINT('Ctrl Pts',(1.70186558814942,-0.0155550055296686, 0.0739380457765725)); #148107=CARTESIAN_POINT('Ctrl Pts',(1.70033887659491,-0.0155403290309541, 0.0116048928156927)); #148108=CARTESIAN_POINT('',(1.70504299607305,-0.00156415701653747,0.198604388287614)); #148109=CARTESIAN_POINT('Ctrl Pts',(1.70047373013457,-0.00151229270088132, 0.0116048928156927)); #148110=CARTESIAN_POINT('Ctrl Pts',(1.70199730578591,-0.00153009400624762, 0.0739380457765725)); #148111=CARTESIAN_POINT('Ctrl Pts',(1.70352039378954,-0.00154738143400707, 0.136271210955429)); #148112=CARTESIAN_POINT('Ctrl Pts',(1.70504299607305,-0.00156415701653837, 0.198604388287614)); #148113=CARTESIAN_POINT('Origin',(1.71210983387042,-0.00863729952157773, 0.198429864223241)); #148114=CARTESIAN_POINT('Ctrl Pts',(2.08116829409902,0.759772540667486, 0.208285745217556)); #148115=CARTESIAN_POINT('Ctrl Pts',(2.1717073415,0.762978856427945,0.204797111010713)); #148116=CARTESIAN_POINT('Ctrl Pts',(2.26173756190643,0.766396608952062, 0.188448805706264)); #148117=CARTESIAN_POINT('Ctrl Pts',(2.34771226067048,0.769887640906305, 0.159883826476322)); #148118=CARTESIAN_POINT('Ctrl Pts',(2.08107623296605,0.762360969960007, 0.208287582397861)); #148119=CARTESIAN_POINT('Ctrl Pts',(2.17161314577479,0.765569915269816, 0.204803345709688)); #148120=CARTESIAN_POINT('Ctrl Pts',(2.26163678539657,0.768989370672026, 0.188459267806343)); #148121=CARTESIAN_POINT('Ctrl Pts',(2.34761106860671,0.772482061542527, 0.159897257507385)); #148122=CARTESIAN_POINT('Ctrl Pts',(2.08081126715463,0.76751035675408,0.206167787921868)); #148123=CARTESIAN_POINT('Ctrl Pts',(2.17113821561422,0.770720585512775, 0.202695236281635)); #148124=CARTESIAN_POINT('Ctrl Pts',(2.26096054423441,0.774138659567952, 0.18639066281232)); #148125=CARTESIAN_POINT('Ctrl Pts',(2.34673681465674,0.777628751944312, 0.157896769089394)); #148126=CARTESIAN_POINT('Ctrl Pts',(2.08053574716506,0.769716670132977, 0.201055431335508)); #148127=CARTESIAN_POINT('Ctrl Pts',(2.17037909332688,0.772914570317658, 0.197596335604731)); #148128=CARTESIAN_POINT('Ctrl Pts',(2.25971713706202,0.776317488798261, 0.18137568464283)); #148129=CARTESIAN_POINT('Ctrl Pts',(2.34503226447727,0.779791862610024, 0.153031570542068)); #148130=CARTESIAN_POINT('Ctrl Pts',(2.08043455305454,0.769758333296861, 0.198467677722316)); #148131=CARTESIAN_POINT('Ctrl Pts',(2.17003422901052,0.772947522384607, 0.195015228556424)); #148132=CARTESIAN_POINT('Ctrl Pts',(2.259130378364,0.776341401507872,0.178836492218467)); #148133=CARTESIAN_POINT('Ctrl Pts',(2.34421308912606,0.77980628792519,0.150567796543041)); #148134=CARTESIAN_POINT('',(2.34771226067048,0.769887640906305,0.159883826476322)); #148135=CARTESIAN_POINT('Origin',(2.34456203093946,0.769813901775635,0.150393272478668)); #148136=CARTESIAN_POINT('',(2.08116829409902,0.759772540667486,0.208285745217556)); #148137=CARTESIAN_POINT('Ctrl Pts',(2.34771226067048,0.769887640906305, 0.159883826476322)); #148138=CARTESIAN_POINT('Ctrl Pts',(2.26173756190643,0.766396608952062, 0.188448805706264)); #148139=CARTESIAN_POINT('Ctrl Pts',(2.1717073415,0.762978856427945,0.204797111010713)); #148140=CARTESIAN_POINT('Ctrl Pts',(2.08116829409902,0.759772540667486, 0.208285745217556)); #148141=CARTESIAN_POINT('',(2.08043455305454,0.769758333296861,0.198467677722316)); #148142=CARTESIAN_POINT('Origin',(2.08078349486795,0.759765947147306,0.198293153657943)); #148143=CARTESIAN_POINT('Ctrl Pts',(2.08043455305454,0.769758333296861, 0.198467677722316)); #148144=CARTESIAN_POINT('Ctrl Pts',(2.17003422901052,0.772947522384607, 0.195015228556424)); #148145=CARTESIAN_POINT('Ctrl Pts',(2.259130378364,0.776341401507872,0.178836492218467)); #148146=CARTESIAN_POINT('Ctrl Pts',(2.34421308912606,0.77980628792519,0.150567796543041)); #148147=CARTESIAN_POINT('Ctrl Pts',(2.03156856686103,0.759768149798925, 0.182160068388559)); #148148=CARTESIAN_POINT('Ctrl Pts',(2.04641555315838,0.760067102166716, 0.190252902591)); #148149=CARTESIAN_POINT('Ctrl Pts',(2.061170520353,0.760355059472927,0.198485241023779)); #148150=CARTESIAN_POINT('Ctrl Pts',(2.07579516326737,0.760627248373075, 0.206917230886329)); #148151=CARTESIAN_POINT('Ctrl Pts',(2.03166121475697,0.762467513115377, 0.181863882163993)); #148152=CARTESIAN_POINT('Ctrl Pts',(2.04650035430516,0.762783965474049, 0.189975392082937)); #148153=CARTESIAN_POINT('Ctrl Pts',(2.0612480817501,0.763090055768013,0.198226744303671)); #148154=CARTESIAN_POINT('Ctrl Pts',(2.0758626478932,0.763382031590042,0.206681140413576)); #148155=CARTESIAN_POINT('Ctrl Pts',(2.03280051824478,0.766926616365554, 0.179562982776867)); #148156=CARTESIAN_POINT('Ctrl Pts',(2.047650742702,0.767286061014092,0.187689632373988)); #148157=CARTESIAN_POINT('Ctrl Pts',(2.06241068313153,0.767638667163709, 0.195960624966981)); #148158=CARTESIAN_POINT('Ctrl Pts',(2.07704110784247,0.767981116993636, 0.204436446031378)); #148159=CARTESIAN_POINT('Ctrl Pts',(2.03502028215155,0.768575174833627, 0.175408612390003)); #148160=CARTESIAN_POINT('Ctrl Pts',(2.04990989328543,0.76895346985787,0.183527335672396)); #148161=CARTESIAN_POINT('Ctrl Pts',(2.06471663124982,0.769325864968198, 0.191792563959432)); #148162=CARTESIAN_POINT('Ctrl Pts',(2.07940112856116,0.76969085505707,0.200264929081768)); #148163=CARTESIAN_POINT('Ctrl Pts',(2.03599288809333,0.768640395515708, 0.173619017583344)); #148164=CARTESIAN_POINT('Ctrl Pts',(2.05089957203617,0.769019209570692, 0.181734282464563)); #148165=CARTESIAN_POINT('Ctrl Pts',(2.06572666420256,0.769392671088066, 0.189996872151482)); #148166=CARTESIAN_POINT('Ctrl Pts',(2.08043455305455,0.769758333296861, 0.198467677722316)); #148167=CARTESIAN_POINT('',(2.07579516326737,0.760627248373077,0.206917230886329)); #148168=CARTESIAN_POINT('Origin',(2.08078349486795,0.759765947147306,0.198293153657943)); #148169=CARTESIAN_POINT('',(2.03156856686103,0.759768149798887,0.182160068388563)); #148170=CARTESIAN_POINT('Ctrl Pts',(2.07579516326737,0.760627248373075, 0.206917230886329)); #148171=CARTESIAN_POINT('Ctrl Pts',(2.061170520353,0.760355059472927,0.198485241023779)); #148172=CARTESIAN_POINT('Ctrl Pts',(2.04641555315838,0.760067102166716, 0.190252902591)); #148173=CARTESIAN_POINT('Ctrl Pts',(2.03156856686103,0.759768149798925, 0.182160068388559)); #148174=CARTESIAN_POINT('',(2.03599288809333,0.768640395515708,0.173619017583344)); #148175=CARTESIAN_POINT('Origin',(2.03634182990673,0.758648009366153,0.173444493518971)); #148176=CARTESIAN_POINT('Ctrl Pts',(2.03599288809333,0.768640395515708, 0.173619017583344)); #148177=CARTESIAN_POINT('Ctrl Pts',(2.05089957203617,0.769019209570692, 0.181734282464563)); #148178=CARTESIAN_POINT('Ctrl Pts',(2.06572666420256,0.769392671088066, 0.189996872151482)); #148179=CARTESIAN_POINT('Ctrl Pts',(2.08043455305455,0.769758333296861, 0.198467677722316)); #148180=CARTESIAN_POINT('Ctrl Pts',(2.00391708692662,0.760296327327765, 2.54624586590359E-5)); #148181=CARTESIAN_POINT('Ctrl Pts',(2.00913155218375,0.758885087944929, 0.00021795410683629)); #148182=CARTESIAN_POINT('Ctrl Pts',(2.01553747801543,0.757155133488809, 0.00184427658441454)); #148183=CARTESIAN_POINT('Ctrl Pts',(2.02146380066145,0.755502628014656, 0.00689096809897942)); #148184=CARTESIAN_POINT('Ctrl Pts',(2.02277382261129,0.755100276973095, 0.00969075845040325)); #148185=CARTESIAN_POINT('Ctrl Pts',(2.02287415254176,0.7550284805933,0.0116048928156926)); #148186=CARTESIAN_POINT('Ctrl Pts',(2.00386220679361,0.761813605889314, 8.96211762697957E-5)); #148187=CARTESIAN_POINT('Ctrl Pts',(2.00905189130903,0.760542592421273, 0.000529786506177924)); #148188=CARTESIAN_POINT('Ctrl Pts',(2.01521257901496,0.758886097353382, 0.00257256994310118)); #148189=CARTESIAN_POINT('Ctrl Pts',(2.02042103800806,0.757173319858723, 0.0075398784038003)); #148190=CARTESIAN_POINT('Ctrl Pts',(2.02147991684268,0.756742140211101, 0.0100182808269694)); #148191=CARTESIAN_POINT('Ctrl Pts',(2.02155954388548,0.756671450416198, 0.0116780552622909)); #148192=CARTESIAN_POINT('Ctrl Pts',(2.00378709442556,0.764873251910584, -0.00048735140049748)); #148193=CARTESIAN_POINT('Ctrl Pts',(2.00906559211643,0.764004451566616, 0.000314818608035863)); #148194=CARTESIAN_POINT('Ctrl Pts',(2.01504198851919,0.762738647544924, 0.00308330578145551)); #148195=CARTESIAN_POINT('Ctrl Pts',(2.01928466092617,0.761257990970437, 0.00827113736515382)); #148196=CARTESIAN_POINT('Ctrl Pts',(2.01996817324942,0.760858798291308, 0.0104220168576799)); #148197=CARTESIAN_POINT('Ctrl Pts',(2.02001529948645,0.760796471217269, 0.011799624815552)); #148198=CARTESIAN_POINT('Ctrl Pts',(2.00380192619224,0.769257800400848, -0.00374717700406257)); #148199=CARTESIAN_POINT('Ctrl Pts',(2.0096884283283,0.769166669495837,-0.0028573216981568)); #148200=CARTESIAN_POINT('Ctrl Pts',(2.01645154477357,0.768930047776708, 0.000473976139854841)); #148201=CARTESIAN_POINT('Ctrl Pts',(2.02127539865044,0.768482956388692, 0.00713151629810132)); #148202=CARTESIAN_POINT('Ctrl Pts',(2.02203879594563,0.768328868422738, 0.0099976046042059)); #148203=CARTESIAN_POINT('Ctrl Pts',(2.0220857169851,0.768284561067267,0.0118479329420763)); #148204=CARTESIAN_POINT('Ctrl Pts',(2.00394237402058,0.77068457733354,-0.00750224324825282)); #148205=CARTESIAN_POINT('Ctrl Pts',(2.01055778530817,0.770910468034365, -0.0069403284875563)); #148206=CARTESIAN_POINT('Ctrl Pts',(2.01865289665388,0.771124204641353, -0.00380031182671238)); #148207=CARTESIAN_POINT('Ctrl Pts',(2.02564648690687,0.771237162189897, 0.00452315808861777)); #148208=CARTESIAN_POINT('Ctrl Pts',(2.02707939208818,0.771207059039878, 0.00882018939278995)); #148209=CARTESIAN_POINT('Ctrl Pts',(2.02717410726587,0.771160210991028, 0.0117146364855902)); #148210=CARTESIAN_POINT('Ctrl Pts',(2.00405546321602,0.770728282141821, -0.00977845526192987)); #148211=CARTESIAN_POINT('Ctrl Pts',(2.01111726872308,0.77096867732662,-0.00942298735132495)); #148212=CARTESIAN_POINT('Ctrl Pts',(2.02001130017029,0.77122680942584,-0.00641971184016033)); #148213=CARTESIAN_POINT('Ctrl Pts',(2.02835749144491,0.771355492218164, 0.0028998451647122)); #148214=CARTESIAN_POINT('Ctrl Pts',(2.03020335692993,0.771329648686672, 0.00807012468476655)); #148215=CARTESIAN_POINT('Ctrl Pts',(2.03032837724535,0.771272277276413, 0.0116048928156928)); #148216=CARTESIAN_POINT('',(2.03032837724535,0.771272277276413,0.0116048928156927)); #148217=CARTESIAN_POINT('Ctrl Pts',(2.01309744550945,0.77101547279295,-0.00814311738110432)); #148218=CARTESIAN_POINT('Ctrl Pts',(2.01692428154424,0.771127492768764, -0.00690548719213741)); #148219=CARTESIAN_POINT('Ctrl Pts',(2.02207529034416,0.771258632326869, -0.00411501099519001)); #148220=CARTESIAN_POINT('Ctrl Pts',(2.02835749144491,0.771355492218164, 0.0028998451647122)); #148221=CARTESIAN_POINT('Ctrl Pts',(2.03020335692993,0.771329648686672, 0.00807012468476655)); #148222=CARTESIAN_POINT('Ctrl Pts',(2.03032837724535,0.771272277276413, 0.0116048928156928)); #148223=CARTESIAN_POINT('',(2.02287415254176,0.7550284805933,0.0116048928156926)); #148224=CARTESIAN_POINT('Origin',(2.03067731905875,0.761279891126858,0.0114303687513199)); #148225=CARTESIAN_POINT('Ctrl Pts',(2.02287415254176,0.7550284805933,0.0116048928156926)); #148226=CARTESIAN_POINT('Ctrl Pts',(2.02277382261129,0.755100276973095, 0.00969075845040325)); #148227=CARTESIAN_POINT('Ctrl Pts',(2.02146380066145,0.755502628014656, 0.00689096809897942)); #148228=CARTESIAN_POINT('Ctrl Pts',(2.01553747824569,0.757155133424602, 0.00184427678050255)); #148229=CARTESIAN_POINT('Ctrl Pts',(2.00913155288067,0.758885087756721, 0.000217954283769349)); #148230=CARTESIAN_POINT('Ctrl Pts',(2.0039170879903,0.76029632703989,2.54624979249435E-5)); #148231=CARTESIAN_POINT('Ctrl Pts',(2.02287415254176,0.7550284805933,0.0116048928156928)); #148232=CARTESIAN_POINT('Ctrl Pts',(2.02474428007663,0.754201798807751, 0.0656088456479954)); #148233=CARTESIAN_POINT('Ctrl Pts',(2.02659316675305,0.753373869874443, 0.119613577025712)); #148234=CARTESIAN_POINT('Ctrl Pts',(2.02842224195463,0.752544758755141, 0.17361901758332)); #148235=CARTESIAN_POINT('Ctrl Pts',(2.02155954388548,0.756671450416198, 0.0116780552622912)); #148236=CARTESIAN_POINT('Ctrl Pts',(2.02344396709262,0.755848478969481, 0.0656812132284097)); #148237=CARTESIAN_POINT('Ctrl Pts',(2.02530681531614,0.75502388574024,0.119685183837784)); #148238=CARTESIAN_POINT('Ctrl Pts',(2.02714970290155,0.75419803398158,0.173689885075038)); #148239=CARTESIAN_POINT('Ctrl Pts',(2.02001535010463,0.760796418315992, 0.0117996221666313)); #148240=CARTESIAN_POINT('Ctrl Pts',(2.02192727859452,0.7599688413998,0.0658013442643985)); #148241=CARTESIAN_POINT('Ctrl Pts',(2.02381681283789,0.759139941510884, 0.119803951043855)); #148242=CARTESIAN_POINT('Ctrl Pts',(2.02568602599579,0.758309159081131, 0.173807320796425)); #148243=CARTESIAN_POINT('Ctrl Pts',(2.02208579663874,0.76828443338536,0.0118479280524733)); #148244=CARTESIAN_POINT('Ctrl Pts',(2.02401841278182,0.767425429698392, 0.065849055532488)); #148245=CARTESIAN_POINT('Ctrl Pts',(2.02592827221633,0.766565129573358, 0.119851069865909)); #148246=CARTESIAN_POINT('Ctrl Pts',(2.02781694558125,0.765703851915724, 0.173853889236646)); #148247=CARTESIAN_POINT('Ctrl Pts',(2.02717410726587,0.771160210991028, 0.0117146364855903)); #148248=CARTESIAN_POINT('Ctrl Pts',(2.02909348639428,0.770283554291747, 0.0657173783002944)); #148249=CARTESIAN_POINT('Ctrl Pts',(2.03098958802048,0.769407503011589, 0.119721004414464)); #148250=CARTESIAN_POINT('Ctrl Pts',(2.03286540010158,0.76852932460245,0.173725318820897)); #148251=CARTESIAN_POINT('Ctrl Pts',(2.03032837724535,0.771272277276413, 0.0116048928156928)); #148252=CARTESIAN_POINT('Ctrl Pts',(2.03223842932431,0.770395760679347, 0.0656088456479954)); #148253=CARTESIAN_POINT('Ctrl Pts',(2.03412609552442,0.769518448752323, 0.119613577025712)); #148254=CARTESIAN_POINT('Ctrl Pts',(2.03599288809327,0.768640395515706, 0.17361901758332)); #148255=CARTESIAN_POINT('',(2.02842224195463,0.752544758755139,0.173619017583316)); #148256=CARTESIAN_POINT('Origin',(2.03634182990667,0.758648009366151,0.173444493518947)); #148257=CARTESIAN_POINT('Ctrl Pts',(2.02842224195463,0.752544758755141, 0.17361901758332)); #148258=CARTESIAN_POINT('Ctrl Pts',(2.02659316675305,0.753373869874443, 0.119613577025712)); #148259=CARTESIAN_POINT('Ctrl Pts',(2.02474428007663,0.754201798807751, 0.0656088456479954)); #148260=CARTESIAN_POINT('Ctrl Pts',(2.02287415254176,0.7550284805933,0.0116048928156928)); #148261=CARTESIAN_POINT('Ctrl Pts',(2.03032837724535,0.771272277276413, 0.0116048928156928)); #148262=CARTESIAN_POINT('Ctrl Pts',(2.03223842932431,0.770395760679347, 0.0656088456479954)); #148263=CARTESIAN_POINT('Ctrl Pts',(2.03412609552442,0.769518448752323, 0.119613577025712)); #148264=CARTESIAN_POINT('Ctrl Pts',(2.03599288809327,0.768640395515706, 0.17361901758332)); #148265=CARTESIAN_POINT('Origin',(2.03634182990667,0.758648009366148,0.173444493518939)); #148266=CARTESIAN_POINT('Origin',(2.03634182990667,0.758648009366148,0.173444493518939)); #148267=CARTESIAN_POINT('Origin',(2.08078349486795,0.759765947147306,0.198293153657943)); #148268=CARTESIAN_POINT('Origin',(2.08078349486795,0.759765947147306,0.198293153657943)); #148269=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.150393272478668)); #148270=CARTESIAN_POINT('Origin',(2.34456203093946,0.769813901775635,0.150393272478668)); #148271=CARTESIAN_POINT('',(2.27317851057729,0.393209195648746,0.159883826476323)); #148272=CARTESIAN_POINT('Origin',(2.27029375014431,0.394477084469555,0.150393272478668)); #148273=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,0.159883826476323)); #148274=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.150393272478668)); #148275=CARTESIAN_POINT('',(1.9010612608986,-0.205038116555248,0.159883826476323)); #148276=CARTESIAN_POINT('Origin',(1.89864873311841,-0.203011026392612,0.150393272478668)); #148277=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.159883826476323)); #148278=CARTESIAN_POINT('Origin',(2.34456203093946,0.769813901775635,0.150393272478668)); #148279=CARTESIAN_POINT('Ctrl Pts',(1.9010612608986,-0.205038116555248, 0.159883826476323)); #148280=CARTESIAN_POINT('Ctrl Pts',(1.84036173136649,-0.141579220895873, 0.188868035873483)); #148281=CARTESIAN_POINT('Ctrl Pts',(1.77661438685653,-0.0752968392835964, 0.205249247263768)); #148282=CARTESIAN_POINT('Ctrl Pts',(1.71237734521609,-0.00885619121577779, 0.208423888643171)); #148283=CARTESIAN_POINT('Ctrl Pts',(1.89916901242198,-0.206884065206395, 0.159970565107763)); #148284=CARTESIAN_POINT('Ctrl Pts',(1.83847012733219,-0.143406056505935, 0.188933818182263)); #148285=CARTESIAN_POINT('Ctrl Pts',(1.77473307383714,-0.0771113932750138, 0.205289089731093)); #148286=CARTESIAN_POINT('Ctrl Pts',(1.71050937541818,-0.0106584080520959, 0.208434416215775)); #148287=CARTESIAN_POINT('Ctrl Pts',(1.89486900414003,-0.210106351760324, 0.158036180027208)); #148288=CARTESIAN_POINT('Ctrl Pts',(1.83433415790517,-0.146732219235119, 0.186914989559962)); #148289=CARTESIAN_POINT('Ctrl Pts',(1.77077015220346,-0.0805414957416756, 0.20320936838508)); #148290=CARTESIAN_POINT('Ctrl Pts',(1.70673467297648,-0.0141984631521561, 0.206322894297558)); #148291=CARTESIAN_POINT('Ctrl Pts',(1.89206386357803,-0.210522465460239, 0.153079055526407)); #148292=CARTESIAN_POINT('Ctrl Pts',(1.83188167118192,-0.147478894779817, 0.181827591624562)); #148293=CARTESIAN_POINT('Ctrl Pts',(1.76868421952737,-0.0816295206291688, 0.19806646230557)); #148294=CARTESIAN_POINT('Ctrl Pts',(1.70501200185149,-0.0156154781884069, 0.201198114689802)); #148295=CARTESIAN_POINT('Ctrl Pts',(1.89145643070438,-0.209956552098857, 0.150567796543041)); #148296=CARTESIAN_POINT('Ctrl Pts',(1.83144234404831,-0.1470893980026,0.179251374018999)); #148297=CARTESIAN_POINT('Ctrl Pts',(1.76841880006721,-0.0814192574018869, 0.195462675132126)); #148298=CARTESIAN_POINT('Ctrl Pts',(1.70491753145639,-0.0155828252278232, 0.198604388287614)); #148299=CARTESIAN_POINT('',(1.71237734521608,-0.0088561912157879,0.208423888643171)); #148300=CARTESIAN_POINT('Origin',(1.71210983387042,-0.00863729952157801, 0.198429864223241)); #148301=CARTESIAN_POINT('Ctrl Pts',(1.71237734521609,-0.00885619121577779, 0.208423888643171)); #148302=CARTESIAN_POINT('Ctrl Pts',(1.77661438685653,-0.0752968392835964, 0.205249247263768)); #148303=CARTESIAN_POINT('Ctrl Pts',(1.84036173136649,-0.141579220895873, 0.188868035873483)); #148304=CARTESIAN_POINT('Ctrl Pts',(1.9010612608986,-0.205038116555248, 0.159883826476323)); #148305=CARTESIAN_POINT('Origin',(1.89864873311841,-0.203011026392612,0.150393272478668)); #148306=CARTESIAN_POINT('Origin',(1.89864873311841,-0.203011026392613,0.150393272478668)); #148307=CARTESIAN_POINT('Origin',(1.71210983387042,-0.00863729952157782, 0.198429864223241)); #148308=CARTESIAN_POINT('Origin',(1.71210983387042,-0.00863729952157782, 0.198429864223241)); #148309=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.755109509104582)); #148310=CARTESIAN_POINT('',(2.05401616762634,0.419220076359287,0.204445001655324)); #148311=CARTESIAN_POINT('Ctrl Pts',(2.05401616762634,0.419220076359287, 0.204445001655324)); #148312=CARTESIAN_POINT('Ctrl Pts',(1.95743215697333,0.265274592087353, 0.206530258107637)); #148313=CARTESIAN_POINT('Ctrl Pts',(1.842245932316,0.120646406708257,0.207784078576315)); #148314=CARTESIAN_POINT('Ctrl Pts',(1.71237734521608,-0.00885619121578754, 0.208423888643171)); #148315=CARTESIAN_POINT('',(2.08209479375454,0.47719291423948,0.204300715077488)); #148316=CARTESIAN_POINT('Ctrl Pts',(2.08209479375454,0.477192914239479, 0.204300715077488)); #148317=CARTESIAN_POINT('Ctrl Pts',(2.07489843904635,0.456962584574137, 0.204146930793918)); #148318=CARTESIAN_POINT('Ctrl Pts',(2.06542809792725,0.437409579205301, 0.204198617174783)); #148319=CARTESIAN_POINT('Ctrl Pts',(2.05401616762634,0.419220076359288, 0.204445001655324)); #148320=CARTESIAN_POINT('Origin',(1.99505601408627,0.515447562514516,-0.755109509104582)); #148321=CARTESIAN_POINT('Ctrl Pts',(1.71237734521608,-0.00885619121578754, 0.208423888643171)); #148322=CARTESIAN_POINT('Ctrl Pts',(1.842245932316,0.120646406708257,0.207784078576315)); #148323=CARTESIAN_POINT('Ctrl Pts',(1.95743215697333,0.265274592087353, 0.206530258107637)); #148324=CARTESIAN_POINT('Ctrl Pts',(2.05401616762634,0.419220076359287, 0.204445001655324)); #148325=CARTESIAN_POINT('Ctrl Pts',(1.71050822353974,-0.00698518287876862, 0.208514898971082)); #148326=CARTESIAN_POINT('Ctrl Pts',(1.84021403924589,0.122397097237713, 0.207927806628305)); #148327=CARTESIAN_POINT('Ctrl Pts',(1.95524783935571,0.266885719791141, 0.206729948808283)); #148328=CARTESIAN_POINT('Ctrl Pts',(2.05169755236404,0.420674555612646, 0.204710157468213)); #148329=CARTESIAN_POINT('Ctrl Pts',(1.70672773494276,-0.00321172447368814, 0.206478916475975)); #148330=CARTESIAN_POINT('Ctrl Pts',(1.83607292480911,0.125954516255358, 0.205938041200201)); #148331=CARTESIAN_POINT('Ctrl Pts',(1.95075197554322,0.270181357579091, 0.204800465488971)); #148332=CARTESIAN_POINT('Ctrl Pts',(2.04687423072903,0.423667831313492, 0.202842935454215)); #148333=CARTESIAN_POINT('Ctrl Pts',(1.70508210323211,-0.00159036814050968, 0.201250206596761)); #148334=CARTESIAN_POINT('Ctrl Pts',(1.83425331738329,0.127483033079674, 0.200649153801882)); #148335=CARTESIAN_POINT('Ctrl Pts',(1.94876554244975,0.271596540318013, 0.19944251544507)); #148336=CARTESIAN_POINT('Ctrl Pts',(2.04472129277106,0.424942345367428, 0.197416046737729)); #148337=CARTESIAN_POINT('Ctrl Pts',(1.70504299607304,-0.0015641570165485, 0.198604388287614)); #148338=CARTESIAN_POINT('Ctrl Pts',(1.83420964595558,0.127502982089174, 0.197971214500774)); #148339=CARTESIAN_POINT('Ctrl Pts',(1.94871123097635,0.271606053729626, 0.196730398991148)); #148340=CARTESIAN_POINT('Ctrl Pts',(2.04466403748585,0.424941326359665, 0.194666771372827)); #148341=CARTESIAN_POINT('',(2.04466403748585,0.424941326359666,0.194666771372827)); #148342=CARTESIAN_POINT('Ctrl Pts',(1.70504299607304,-0.0015641570165485, 0.198604388287614)); #148343=CARTESIAN_POINT('Ctrl Pts',(1.83420964595558,0.127502982089174, 0.197971214500774)); #148344=CARTESIAN_POINT('Ctrl Pts',(1.94871123097635,0.271606053729626, 0.196730398991148)); #148345=CARTESIAN_POINT('Ctrl Pts',(2.04466403748585,0.424941326359665, 0.194666771372827)); #148346=CARTESIAN_POINT('Origin',(2.05313873333007,0.419635756484628,0.194492247308454)); #148347=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #148348=CARTESIAN_POINT('',(2.04195565713779,0.426636903575527,0.0116048928156924)); #148349=CARTESIAN_POINT('Origin',(0.,1.705,0.0116048928156924)); #148350=CARTESIAN_POINT('',(2.04178396402566,0.426744391775724,5.46369598732853E-18)); #148351=CARTESIAN_POINT('Origin',(0.,1.705,0.0118110236)); #148352=CARTESIAN_POINT('Origin',(2.0319461738759,0.432903324676079,0.0118110236)); #148353=CARTESIAN_POINT('Ctrl Pts',(0.976043363262205,-0.501109745288754, 0.199174524064373)); #148354=CARTESIAN_POINT('Ctrl Pts',(0.974622803866886,-0.500544851946791, 0.136651301329425)); #148355=CARTESIAN_POINT('Ctrl Pts',(0.973201971765634,-0.499979300256487, 0.0741280908912871)); #148356=CARTESIAN_POINT('Ctrl Pts',(0.971780865871242,-0.499413087591241, 0.0116048928156928)); #148357=CARTESIAN_POINT('Ctrl Pts',(0.97367337806168,-0.502159141971282, 0.199219074038276)); #148358=CARTESIAN_POINT('Ctrl Pts',(0.972251669640728,-0.501593472286377, 0.136695884324)); #148359=CARTESIAN_POINT('Ctrl Pts',(0.970829710710903,-0.501027214508726, 0.0741727053340012)); #148360=CARTESIAN_POINT('Ctrl Pts',(0.969407453320513,-0.500460220906914, 0.0116495404297404)); #148361=CARTESIAN_POINT('Ctrl Pts',(0.96981619938159,-0.50619295439969, 0.199270632003454)); #148362=CARTESIAN_POINT('Ctrl Pts',(0.968391914389339,-0.505627353848114, 0.136747483349123)); #148363=CARTESIAN_POINT('Ctrl Pts',(0.96696733060034,-0.505061077681634, 0.0742243478749545)); #148364=CARTESIAN_POINT('Ctrl Pts',(0.9655424879767,-0.504494150108004, 0.011701224231268)); #148365=CARTESIAN_POINT('Ctrl Pts',(0.969873047053048,-0.511773835908912, 0.199219074038276)); #148366=CARTESIAN_POINT('Ctrl Pts',(0.968448117821553,-0.511210060711761, 0.136695884324)); #148367=CARTESIAN_POINT('Ctrl Pts',(0.967022981001653,-0.510645615861941, 0.0741727053340012)); #148368=CARTESIAN_POINT('Ctrl Pts',(0.965597491059392,-0.510080518925866, 0.0116495404297404)); #148369=CARTESIAN_POINT('Ctrl Pts',(0.970885076080919,-0.514160017061875, 0.199174524064373)); #148370=CARTESIAN_POINT('Ctrl Pts',(0.969460459059155,-0.513596918924965, 0.136651301329425)); #148371=CARTESIAN_POINT('Ctrl Pts',(0.968035562693902,-0.513033162146703, 0.0741280908912871)); #148372=CARTESIAN_POINT('Ctrl Pts',(0.966610385866337,-0.512468744088369, 0.0116048928156928)); #148373=CARTESIAN_POINT('',(0.976043363262205,-0.501109745288754,0.199174524064373)); #148374=CARTESIAN_POINT('Ctrl Pts',(0.971780865871242,-0.499413087591241, 0.0116048928156928)); #148375=CARTESIAN_POINT('Ctrl Pts',(0.973201971765634,-0.499979300256487, 0.0741280908912871)); #148376=CARTESIAN_POINT('Ctrl Pts',(0.974622803866886,-0.500544851946791, 0.136651301329425)); #148377=CARTESIAN_POINT('Ctrl Pts',(0.976043363262205,-0.501109745288754, 0.199174524064373)); #148378=CARTESIAN_POINT('Origin',(0.980088722641966,-0.510253300879416, 0.199)); #148379=CARTESIAN_POINT('Ctrl Pts',(1.66447940580858,-0.0553217711340588, 0.208630860783268)); #148380=CARTESIAN_POINT('Ctrl Pts',(1.73012665742784,-0.123116205308243, 0.206028250615114)); #148381=CARTESIAN_POINT('Ctrl Pts',(1.79567162669065,-0.190475613439817, 0.189564840716778)); #148382=CARTESIAN_POINT('Ctrl Pts',(1.85834163995232,-0.254542228481207, 0.159883826476323)); #148383=CARTESIAN_POINT('Ctrl Pts',(1.66633784471,-0.0535251309269051,0.208624681077903)); #148384=CARTESIAN_POINT('Ctrl Pts',(1.73197256024255,-0.121326573924128, 0.206000199760645)); #148385=CARTESIAN_POINT('Ctrl Pts',(1.7974983098157,-0.188683453281904, 0.189521647858231)); #148386=CARTESIAN_POINT('Ctrl Pts',(1.86015224614287,-0.252748027025863, 0.159829008351468)); #148387=CARTESIAN_POINT('Ctrl Pts',(1.66999264546554,-0.0499107621605772, 0.206497988461925)); #148388=CARTESIAN_POINT('Ctrl Pts',(1.73544350309252,-0.117586324304525, 0.203861265314986)); #148389=CARTESIAN_POINT('Ctrl Pts',(1.80079142919185,-0.184814106011863, 0.187403719402703)); #148390=CARTESIAN_POINT('Ctrl Pts',(1.86327237830746,-0.248746500636244, 0.157769682408269)); #148391=CARTESIAN_POINT('Ctrl Pts',(1.6714799933293,-0.0482772560605968, 0.201392745492379)); #148392=CARTESIAN_POINT('Ctrl Pts',(1.73656650612316,-0.115611695395124, 0.198796194349715)); #148393=CARTESIAN_POINT('Ctrl Pts',(1.80155758709301,-0.182500103996024, 0.182448569799014)); #148394=CARTESIAN_POINT('Ctrl Pts',(1.86370211717325,-0.246112792438108, 0.152987719720636)); #148395=CARTESIAN_POINT('Ctrl Pts',(1.67146292614125,-0.0481946646372464, 0.19880921365768)); #148396=CARTESIAN_POINT('Ctrl Pts',(1.73637497590971,-0.115348340995561, 0.196233598453725)); #148397=CARTESIAN_POINT('Ctrl Pts',(1.80119418399706,-0.182061201536159, 0.179940951417313)); #148398=CARTESIAN_POINT('Ctrl Pts',(1.86317562760623,-0.245506789783988, 0.150567796543041)); #148399=CARTESIAN_POINT('',(1.85834163995232,-0.254542228481207,0.159883826476323)); #148400=CARTESIAN_POINT('Origin',(1.85598332519221,-0.252452315490233,0.150393272478668)); #148401=CARTESIAN_POINT('',(1.66447940580852,-0.0553217711341168,0.208630860783268)); #148402=CARTESIAN_POINT('Ctrl Pts',(1.85834163995232,-0.254542228481207, 0.159883826476323)); #148403=CARTESIAN_POINT('Ctrl Pts',(1.79567162669065,-0.190475613439817, 0.189564840716778)); #148404=CARTESIAN_POINT('Ctrl Pts',(1.73012665742784,-0.123116205308243, 0.206028250615114)); #148405=CARTESIAN_POINT('Ctrl Pts',(1.66447940580858,-0.0553217711340588, 0.208630860783268)); #148406=CARTESIAN_POINT('Origin',(1.66427062372723,-0.0551401903434916, 0.198634689593307)); #148407=CARTESIAN_POINT('Ctrl Pts',(1.65749448950092,-0.0432869127494748, 0.0116048928156924)); #148408=CARTESIAN_POINT('Ctrl Pts',(1.65746391480519,-0.044816825786867, 0.0740063206343726)); #148409=CARTESIAN_POINT('Ctrl Pts',(1.65743283607781,-0.0463462258700758, 0.136407760937221)); #148410=CARTESIAN_POINT('Ctrl Pts',(1.65740125532714,-0.0478751150421045, 0.198809213657687)); #148411=CARTESIAN_POINT('Ctrl Pts',(1.6593831327647,-0.041497432927846, 0.011649877344745)); #148412=CARTESIAN_POINT('Ctrl Pts',(1.65935283650315,-0.0430287160337321, 0.0740512716904034)); #148413=CARTESIAN_POINT('Ctrl Pts',(1.65932197599036,-0.0445594338808717, 0.136452680267716)); #148414=CARTESIAN_POINT('Ctrl Pts',(1.65929067036584,-0.0460896890506991, 0.198854099667473)); #148415=CARTESIAN_POINT('Ctrl Pts',(1.66461730283722,-0.0394919293398525, 0.0117019815640495)); #148416=CARTESIAN_POINT('Ctrl Pts',(1.66458594097894,-0.0410255824852298, 0.074103334227736)); #148417=CARTESIAN_POINT('Ctrl Pts',(1.66455407778481,-0.0425587385161774, 0.136504698890992)); #148418=CARTESIAN_POINT('Ctrl Pts',(1.66452170704955,-0.0440913544848757, 0.198906076811856)); #148419=CARTESIAN_POINT('Ctrl Pts',(1.66975795810717,-0.0417262624361477, 0.011649877344745)); #148420=CARTESIAN_POINT('Ctrl Pts',(1.66972465009966,-0.0432597996136169, 0.0740512716904034)); #148421=CARTESIAN_POINT('Ctrl Pts',(1.66969087759798,-0.0447927534530776, 0.136452680267716)); #148422=CARTESIAN_POINT('Ctrl Pts',(1.66965656680816,-0.0463252526658078, 0.198854099667473)); #148423=CARTESIAN_POINT('Ctrl Pts',(1.6715658647356,-0.0435972742032623, 0.0116048928156924)); #148424=CARTESIAN_POINT('Ctrl Pts',(1.67153205293511,-0.0451302562353771, 0.0740063206343726)); #148425=CARTESIAN_POINT('Ctrl Pts',(1.67149773940121,-0.0466627190210407, 0.136407760937221)); #148426=CARTESIAN_POINT('Ctrl Pts',(1.67146292614126,-0.0481946646372479, 0.198809213657687)); #148427=CARTESIAN_POINT('',(1.65740125532701,-0.0478751150422293,0.198809213657684)); #148428=CARTESIAN_POINT('Origin',(1.66427062372723,-0.0551401903434933, 0.198634689593314)); #148429=CARTESIAN_POINT('Ctrl Pts',(1.65740125532714,-0.0478751150421045, 0.198809213657687)); #148430=CARTESIAN_POINT('Ctrl Pts',(1.65743283607781,-0.0463462258700758, 0.136407760937221)); #148431=CARTESIAN_POINT('Ctrl Pts',(1.65746391480519,-0.044816825786867, 0.0740063206343726)); #148432=CARTESIAN_POINT('Ctrl Pts',(1.65749448950092,-0.0432869127494748, 0.0116048928156924)); #148433=CARTESIAN_POINT('Ctrl Pts',(1.64949548810563,-0.0345976103099791, 4.50754918954603E-17)); #148434=CARTESIAN_POINT('Ctrl Pts',(1.65029927758393,-0.0354171915312326, 1.25217183711886E-10)); #148435=CARTESIAN_POINT('Ctrl Pts',(1.65109909123972,-0.0362405095792228, 0.000168511092061811)); #148436=CARTESIAN_POINT('Ctrl Pts',(1.6518612156819,-0.0370328292886755, 0.000498420089096443)); #148437=CARTESIAN_POINT('Ctrl Pts',(1.65102247821582,-0.0331230131069394, -2.11350357487128E-12)); #148438=CARTESIAN_POINT('Ctrl Pts',(1.65182758177182,-0.0339456990957125, -1.89416431535709E-6)); #148439=CARTESIAN_POINT('Ctrl Pts',(1.65263025910653,-0.0347732542020385, 0.000165970084557019)); #148440=CARTESIAN_POINT('Ctrl Pts',(1.65339451746737,-0.0355685187064292, 0.0004961605830405)); #148441=CARTESIAN_POINT('Ctrl Pts',(1.65254536273448,-0.0316523807333831, -0.000697848468614183)); #148442=CARTESIAN_POINT('Ctrl Pts',(1.65339877255488,-0.0325277037993518, -0.000700799213033042)); #148443=CARTESIAN_POINT('Ctrl Pts',(1.65425017630704,-0.033407849638234, -0.000523197301889835)); #148444=CARTESIAN_POINT('Ctrl Pts',(1.65506139036417,-0.0342533156907117, -0.000172825390653002)); #148445=CARTESIAN_POINT('Ctrl Pts',(1.65377303988744,-0.0304668268836278, -0.00196015056608249)); #148446=CARTESIAN_POINT('Ctrl Pts',(1.65471279997567,-0.0314339205634991, -0.001963262119916)); #148447=CARTESIAN_POINT('Ctrl Pts',(1.6556497890824,-0.0324048404204988, -0.00176748787493986)); #148448=CARTESIAN_POINT('Ctrl Pts',(1.65654393637205,-0.0333380494495467, -0.00138095203727772)); #148449=CARTESIAN_POINT('Ctrl Pts',(1.6518612156819,-0.0370328292886755, 0.000498420089096443)); #148450=CARTESIAN_POINT('Ctrl Pts',(1.65109909123972,-0.0362405095792228, 0.000168511092061811)); #148451=CARTESIAN_POINT('Ctrl Pts',(1.65029927758393,-0.0354171915312326, 1.25217183711886E-10)); #148452=CARTESIAN_POINT('Ctrl Pts',(1.64949548810563,-0.0345976103099791, 4.50754918954603E-17)); #148453=CARTESIAN_POINT('Origin',(1.64949548885648,-0.0345976111018452, -0.0099999999999999)); #148454=CARTESIAN_POINT('Origin',(1.23357763874503,0.39609793073517,-0.01)); #148455=CARTESIAN_POINT('Origin',(1.66427062372723,-0.055140190343493,0.198634689593307)); #148456=CARTESIAN_POINT('Origin',(1.66427062372723,-0.055140190343493,0.198634689593307)); #148457=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.150393272478668)); #148458=CARTESIAN_POINT('Origin',(1.85598332519221,-0.252452315490233,0.150393272478668)); #148459=CARTESIAN_POINT('',(1.11380448193337,-0.826925665027054,0.159883826476323)); #148460=CARTESIAN_POINT('Origin',(1.11239101656556,-0.824109373055042,0.150393272478668)); #148461=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.159883826476323)); #148462=CARTESIAN_POINT('Origin',(1.85598332519221,-0.252452315490233,0.150393272478668)); #148463=CARTESIAN_POINT('Ctrl Pts',(1.11380448193336,-0.826925665027054, 0.159883826476323)); #148464=CARTESIAN_POINT('Ctrl Pts',(1.07596647342365,-0.736492849769557, 0.192354842004768)); #148465=CARTESIAN_POINT('Ctrl Pts',(1.03582380928714,-0.641357715176481, 0.208999999999999)); #148466=CARTESIAN_POINT('Ctrl Pts',(0.99540296034154,-0.546331383994747, 0.209)); #148467=CARTESIAN_POINT('Ctrl Pts',(1.11139088439872,-0.827953315979856, 0.159938340828041)); #148468=CARTESIAN_POINT('Ctrl Pts',(1.07355880478376,-0.73750896299872, 0.192394389904414)); #148469=CARTESIAN_POINT('Ctrl Pts',(1.03342775586025,-0.642371850425869, 0.209020593046923)); #148470=CARTESIAN_POINT('Ctrl Pts',(0.993019860671329,-0.547342949789269, 0.209)); #148471=CARTESIAN_POINT('Ctrl Pts',(1.10627974037868,-0.829383468563145, 0.157975376147321)); #148472=CARTESIAN_POINT('Ctrl Pts',(1.06856212087357,-0.739126796274562, 0.190344164575024)); #148473=CARTESIAN_POINT('Ctrl Pts',(1.02855290994881,-0.644182262520339, 0.206915899423607)); #148474=CARTESIAN_POINT('Ctrl Pts',(0.988276582059385,-0.549356352106305, 0.20687812817916)); #148475=CARTESIAN_POINT('Ctrl Pts',(1.10354978353658,-0.828758631613139, 0.153058133589855)); #148476=CARTESIAN_POINT('Ctrl Pts',(1.06605540719263,-0.738987523983789, 0.185270639069109)); #148477=CARTESIAN_POINT('Ctrl Pts',(1.02628158319996,-0.64455083598298, 0.2017761232562)); #148478=CARTESIAN_POINT('Ctrl Pts',(0.986240904604518,-0.550220445919821, 0.201763034818718)); #148479=CARTESIAN_POINT('Ctrl Pts',(1.10318737000451,-0.8280160892375,0.150567796543041)); #148480=CARTESIAN_POINT('Ctrl Pts',(1.06579672196579,-0.738493716187826, 0.182702014070618)); #148481=CARTESIAN_POINT('Ctrl Pts',(1.02613219525287,-0.644314073468068, 0.199174524064371)); #148482=CARTESIAN_POINT('Ctrl Pts',(0.986199313780493,-0.550238100177205, 0.199174524064373)); #148483=CARTESIAN_POINT('',(0.995402960341537,-0.54633138399474,0.209)); #148484=CARTESIAN_POINT('Origin',(0.99540296034154,-0.546331383994746,0.199)); #148485=CARTESIAN_POINT('Ctrl Pts',(0.99540296034154,-0.546331383994747, 0.209)); #148486=CARTESIAN_POINT('Ctrl Pts',(1.03582380928714,-0.641357715176481, 0.208999999999999)); #148487=CARTESIAN_POINT('Ctrl Pts',(1.07596647342365,-0.736492849769557, 0.192354842004768)); #148488=CARTESIAN_POINT('Ctrl Pts',(1.11380448193336,-0.826925665027054, 0.159883826476323)); #148489=CARTESIAN_POINT('Origin',(1.11239101656556,-0.824109373055042,0.150393272478668)); #148490=CARTESIAN_POINT('Origin',(0.241687957544466,1.22930988850161,0.199)); #148491=CARTESIAN_POINT('',(0.980088722641966,-0.510253300879416,0.209)); #148492=CARTESIAN_POINT('Origin',(0.980088722641966,-0.510253300879416, 0.199)); #148493=CARTESIAN_POINT('',(0.241687957544466,1.22930988850161,0.209)); #148494=CARTESIAN_POINT('Origin',(1.11239101656556,-0.824109373055042,0.150393272478668)); #148495=CARTESIAN_POINT('Origin',(0.980088722641966,-0.510253300879416, 0.199)); #148496=CARTESIAN_POINT('Origin',(0.980088722641966,-0.510253300879416, 0.199)); #148497=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.755109509104582)); #148498=CARTESIAN_POINT('',(1.44352767367277,-0.240288910842804,0.209)); #148499=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,0.209)); #148500=CARTESIAN_POINT('Ctrl Pts',(1.66447940580858,-0.0553217711340601, 0.208630860783268)); #148501=CARTESIAN_POINT('Ctrl Pts',(1.59433434664555,-0.121519325392799, 0.208893444042688)); #148502=CARTESIAN_POINT('Ctrl Pts',(1.52040110036403,-0.183350317009538, 0.209)); #148503=CARTESIAN_POINT('Ctrl Pts',(1.44352767367278,-0.240288910842802, 0.209)); #148504=CARTESIAN_POINT('Origin',(0.,3.1551179875321,0.209)); #148505=CARTESIAN_POINT('Origin',(0.,1.705,0.209)); #148506=CARTESIAN_POINT('Origin',(0.,1.705,0.199)); #148507=CARTESIAN_POINT('',(1.43756944960619,-0.232259645165091,0.199174524064373)); #148508=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,1.705,0.199174524064373)); #148509=CARTESIAN_POINT('Origin',(1.44352767367277,-0.240288910842803,0.199)); #148510=CARTESIAN_POINT('Ctrl Pts',(0.916641085267705,-0.522905795319061, 0.0116048928156924)); #148511=CARTESIAN_POINT('Ctrl Pts',(0.916032020267852,-0.524398312706749, 0.0774032481012342)); #148512=CARTESIAN_POINT('Ctrl Pts',(0.915422637660855,-0.525890099584603, 0.143201617188476)); #148513=CARTESIAN_POINT('Ctrl Pts',(0.914812938778812,-0.527381159014523, 0.209)); #148514=CARTESIAN_POINT('Ctrl Pts',(0.919044534513715,-0.521917775731407, 0.0116497372882988)); #148515=CARTESIAN_POINT('Ctrl Pts',(0.918435222976429,-0.523411742336393, 0.0774480574394737)); #148516=CARTESIAN_POINT('Ctrl Pts',(0.917825554648297,-0.524904904409909, 0.143246393226824)); #148517=CARTESIAN_POINT('Ctrl Pts',(0.917215607439554,-0.526397407531572, 0.209044741072259)); #148518=CARTESIAN_POINT('Ctrl Pts',(0.924642178984735,-0.521928587036533, 0.0117016759103333)); #148519=CARTESIAN_POINT('Ctrl Pts',(0.924030924811627,-0.523424475066634, 0.0774999523308697)); #148520=CARTESIAN_POINT('Ctrl Pts',(0.923419349365086,-0.524919650820895, 0.143298241993753)); #148521=CARTESIAN_POINT('Ctrl Pts',(0.922807463708254,-0.526414066016258, 0.209096546332798)); #148522=CARTESIAN_POINT('Ctrl Pts',(0.928632976053227,-0.525853797765448, 0.0116497372882988)); #148523=CARTESIAN_POINT('Ctrl Pts',(0.928019855280348,-0.527348836776657, 0.0774480574394736)); #148524=CARTESIAN_POINT('Ctrl Pts',(0.927406482307674,-0.528843090930352, 0.143246393226824)); #148525=CARTESIAN_POINT('Ctrl Pts',(0.926792736664427,-0.530336658717484, 0.209044741072259)); #148526=CARTESIAN_POINT('Ctrl Pts',(0.929649052636995,-0.528245520859715, 0.0116048928156924)); #148527=CARTESIAN_POINT('Ctrl Pts',(0.929035646177216,-0.52973982448116, 0.0774032481012342)); #148528=CARTESIAN_POINT('Ctrl Pts',(0.92842192700097,-0.53123339200534, 0.143201617188476)); #148529=CARTESIAN_POINT('Ctrl Pts',(0.927807896424954,-0.532726226530735, 0.209)); #148530=CARTESIAN_POINT('',(0.914812938778812,-0.527381159014523,0.209)); #148531=CARTESIAN_POINT('Ctrl Pts',(0.914812938778812,-0.527381159014523, 0.209)); #148532=CARTESIAN_POINT('Ctrl Pts',(0.915422637660855,-0.525890099584603, 0.143201617188476)); #148533=CARTESIAN_POINT('Ctrl Pts',(0.916032020267852,-0.524398312706749, 0.0774032481012342)); #148534=CARTESIAN_POINT('Ctrl Pts',(0.916641085267705,-0.522905795319061, 0.0116048928156924)); #148535=CARTESIAN_POINT('',(0.914855979001146,-0.527363638126084,0.209)); #148536=CARTESIAN_POINT('Ctrl Pts',(0.927899585462678,-0.532503300559851, 0.19917452406436)); #148537=CARTESIAN_POINT('Ctrl Pts',(0.927889961770485,-0.532481702871675, 0.199198572459463)); #148538=CARTESIAN_POINT('Ctrl Pts',(0.927880258183217,-0.532460139016283, 0.19922261941558)); #148539=CARTESIAN_POINT('Ctrl Pts',(0.927334688569725,-0.531259531801023, 0.200563501603642)); #148540=CARTESIAN_POINT('Ctrl Pts',(0.926554602127582,-0.530171676188179, 0.201887477800151)); #148541=CARTESIAN_POINT('Ctrl Pts',(0.924611839370982,-0.528399230770423, 0.204300924734215)); #148542=CARTESIAN_POINT('Ctrl Pts',(0.92345808382318,-0.527676875585619, 0.205422622603951)); #148543=CARTESIAN_POINT('Ctrl Pts',(0.921145833968155,-0.526859893264687, 0.207075583225942)); #148544=CARTESIAN_POINT('Ctrl Pts',(0.920071355593311,-0.526645121648438, 0.207688145306877)); #148545=CARTESIAN_POINT('Ctrl Pts',(0.918006341806507,-0.526599701126118, 0.208518835513477)); #148546=CARTESIAN_POINT('Ctrl Pts',(0.917056831192719,-0.526701957392509, 0.208784613962377)); #148547=CARTESIAN_POINT('Ctrl Pts',(0.915683996715416,-0.527059837756563, 0.208970723904574)); #148548=CARTESIAN_POINT('Ctrl Pts',(0.91526561765408,-0.527197990027304, 0.209)); #148549=CARTESIAN_POINT('Ctrl Pts',(0.914855979001146,-0.527363638126084, 0.209)); #148550=CARTESIAN_POINT('Origin',(0.,1.705,0.209)); #148551=CARTESIAN_POINT('Origin',(0.255476018969817,1.0260382006905,0.199)); #148552=CARTESIAN_POINT('',(0.93547862773005,-0.575947553923623,0.209)); #148553=CARTESIAN_POINT('Origin',(0.93547862773005,-0.575947553923623,0.199)); #148554=CARTESIAN_POINT('',(0.255476018969817,1.0260382006905,0.209)); #148555=CARTESIAN_POINT('Ctrl Pts',(0.93547862773005,-0.575947553923623, 0.209)); #148556=CARTESIAN_POINT('Ctrl Pts',(0.976261167411113,-0.671908377144551, 0.209)); #148557=CARTESIAN_POINT('Ctrl Pts',(1.01730478563817,-0.767747729347219, 0.191966188972197)); #148558=CARTESIAN_POINT('Ctrl Pts',(1.05648359532701,-0.858491250424002, 0.158761469337385)); #148559=CARTESIAN_POINT('Ctrl Pts',(0.937861727400261,-0.574935988129101, 0.209)); #148560=CARTESIAN_POINT('Ctrl Pts',(0.978636221564527,-0.670897258601104, 0.208987924658888)); #148561=CARTESIAN_POINT('Ctrl Pts',(1.0196691974229,-0.766727312369335, 0.191946851388926)); #148562=CARTESIAN_POINT('Ctrl Pts',(1.0588404876975,-0.857465493006947, 0.158736967961669)); #148563=CARTESIAN_POINT('Ctrl Pts',(0.942605038652942,-0.572922571956894, 0.206878164263115)); #148564=CARTESIAN_POINT('Ctrl Pts',(0.9832626455106,-0.668671194052365, 0.206856015978667)); #148565=CARTESIAN_POINT('Ctrl Pts',(1.02418448058223,-0.764290805530626, 0.189844708109065)); #148566=CARTESIAN_POINT('Ctrl Pts',(1.06324933557195,-0.854820691534766, 0.156706822088554)); #148567=CARTESIAN_POINT('Ctrl Pts',(0.944640683467072,-0.572058491998549, 0.201763034818718)); #148568=CARTESIAN_POINT('Ctrl Pts',(0.985068676780217,-0.667301146988059, 0.201755360026939)); #148569=CARTESIAN_POINT('Ctrl Pts',(1.02576342527839,-0.762415628153972, 0.184846856997376)); #148570=CARTESIAN_POINT('Ctrl Pts',(1.06461427773853,-0.852470749847822, 0.151893391542334)); #148571=CARTESIAN_POINT('Ctrl Pts',(0.944682274291097,-0.572040837741165, 0.199174524064373)); #148572=CARTESIAN_POINT('Ctrl Pts',(0.985000972272507,-0.667025737767604, 0.199174524064373)); #148573=CARTESIAN_POINT('Ctrl Pts',(1.02558652523519,-0.761886252964332, 0.182317392250569)); #148574=CARTESIAN_POINT('Ctrl Pts',(1.06433352387865,-0.851700498308959, 0.149457080790568)); #148575=CARTESIAN_POINT('',(1.05648359532701,-0.858491250424002,0.158761469337385)); #148576=CARTESIAN_POINT('Origin',(1.0551298773176,-0.855607214491418,0.149282556726195)); #148577=CARTESIAN_POINT('Ctrl Pts',(1.05648359532701,-0.858491250424002, 0.158761469337385)); #148578=CARTESIAN_POINT('Ctrl Pts',(1.01730478563817,-0.767747729347219, 0.191966188972197)); #148579=CARTESIAN_POINT('Ctrl Pts',(0.976261167411113,-0.671908377144551, 0.209)); #148580=CARTESIAN_POINT('Ctrl Pts',(0.93547862773005,-0.575947553923623, 0.209)); #148581=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.149282556726195)); #148582=CARTESIAN_POINT('Origin',(1.0551298773176,-0.855607214491418,0.149282556726195)); #148583=CARTESIAN_POINT('',(0.032540173180639,-1.09389387363691,0.158761469337385)); #148584=CARTESIAN_POINT('Origin',(0.0324984780528977,-1.09070820616749, 0.149282556726195)); #148585=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.158761469337385)); #148586=CARTESIAN_POINT('Origin',(1.0551298773176,-0.855607214491418,0.149282556726186)); #148587=CARTESIAN_POINT('Ctrl Pts',(0.0325401731806391,-1.09389387363691, 0.158761469337385)); #148588=CARTESIAN_POINT('Ctrl Pts',(0.0331025876850513,-0.995109379733153, 0.191958431022273)); #148589=CARTESIAN_POINT('Ctrl Pts',(0.033382847616591,-0.890906709470057, 0.209)); #148590=CARTESIAN_POINT('Ctrl Pts',(0.0333662992533073,-0.786691828011332, 0.209)); #148591=CARTESIAN_POINT('Ctrl Pts',(0.0299428660665124,-1.09388363407652, 0.158776335482069)); #148592=CARTESIAN_POINT('Ctrl Pts',(0.0305080842336704,-0.995098936591845, 0.191968581398619)); #148593=CARTESIAN_POINT('Ctrl Pts',(0.030791194215831,-0.890903974713334, 0.209004377857075)); #148594=CARTESIAN_POINT('Ctrl Pts',(0.0307773941961823,-0.786691828011332, 0.209)); #148595=CARTESIAN_POINT('Ctrl Pts',(0.0247631095871288,-1.09318313352066, 0.156782258032071)); #148596=CARTESIAN_POINT('Ctrl Pts',(0.0253361461591581,-0.994625207768289, 0.189894281204612)); #148597=CARTESIAN_POINT('Ctrl Pts',(0.0256278562188965,-0.890662310175017, 0.206887728265675)); #148598=CARTESIAN_POINT('Ctrl Pts',(0.0256229410921289,-0.786691828011332, 0.206879698511246)); #148599=CARTESIAN_POINT('Ctrl Pts',(0.0225429693919704,-1.09153570518377, 0.151918732512479)); #148600=CARTESIAN_POINT('Ctrl Pts',(0.0231180205589365,-0.993508200541607, 0.184858207451081)); #148601=CARTESIAN_POINT('Ctrl Pts',(0.0234129664936865,-0.890106274542524, 0.201765817277713)); #148602=CARTESIAN_POINT('Ctrl Pts',(0.0234130049250278,-0.786691828011332, 0.201763034818718)); #148603=CARTESIAN_POINT('Ctrl Pts',(0.0225000011013338,-1.09070820616748, 0.149457080790568)); #148604=CARTESIAN_POINT('Ctrl Pts',(0.0230734459604758,-0.992948110562142, 0.182309714768163)); #148605=CARTESIAN_POINT('Ctrl Pts',(0.0233678223017432,-0.889826015801017, 0.199174524064373)); #148606=CARTESIAN_POINT('Ctrl Pts',(0.0233678223017433,-0.786691828011332, 0.199174524064373)); #148607=CARTESIAN_POINT('',(0.0333662992533073,-0.786691828011332,0.209)); #148608=CARTESIAN_POINT('Origin',(0.0333662992533072,-0.786691828011332, 0.199)); #148609=CARTESIAN_POINT('Ctrl Pts',(0.0333662992533073,-0.786691828011332, 0.209)); #148610=CARTESIAN_POINT('Ctrl Pts',(0.033382847616591,-0.890906709470057, 0.209)); #148611=CARTESIAN_POINT('Ctrl Pts',(0.0331025876850513,-0.995109379733153, 0.191958431022273)); #148612=CARTESIAN_POINT('Ctrl Pts',(0.0325401731806391,-1.09389387363691, 0.158761469337385)); #148613=CARTESIAN_POINT('Origin',(0.0324984780528977,-1.09070820616748, 0.149282556726195)); #148614=CARTESIAN_POINT('Origin',(0.0333662992533091,1.23255899376605,0.199)); #148615=CARTESIAN_POINT('',(0.0333662992533073,-0.707321504724088,0.209)); #148616=CARTESIAN_POINT('',(0.0333662992533091,1.23255899376605,0.209)); #148617=CARTESIAN_POINT('Ctrl Pts',(0.0233678223017433,-0.717149413512975, 0.199174524064367)); #148618=CARTESIAN_POINT('Ctrl Pts',(0.0233682417091478,-0.717125792128288, 0.199198551898477)); #148619=CARTESIAN_POINT('Ctrl Pts',(0.0233687477315806,-0.717102170669456, 0.199222578296065)); #148620=CARTESIAN_POINT('Ctrl Pts',(0.023394196090282,-0.716087850436729, 0.200254221924545)); #148621=CARTESIAN_POINT('Ctrl Pts',(0.0235715449454213,-0.715092158587053, 0.20126425924065)); #148622=CARTESIAN_POINT('Ctrl Pts',(0.0242256074809083,-0.713204098180608, 0.203173974940435)); #148623=CARTESIAN_POINT('Ctrl Pts',(0.0246929225489564,-0.712298400029776, 0.204087380472192)); #148624=CARTESIAN_POINT('Ctrl Pts',(0.0259938019208914,-0.710542315463223, 0.205851517778302)); #148625=CARTESIAN_POINT('Ctrl Pts',(0.0268635273178138,-0.709690420710915, 0.206703153082225)); #148626=CARTESIAN_POINT('Ctrl Pts',(0.0287361626988317,-0.708467401844031, 0.207914907068553)); #148627=CARTESIAN_POINT('Ctrl Pts',(0.0296485802916902,-0.708031943289988, 0.208342066650884)); #148628=CARTESIAN_POINT('Ctrl Pts',(0.031521815584222,-0.70748147168537, 0.208869482647922)); #148629=CARTESIAN_POINT('Ctrl Pts',(0.0324330410385385,-0.707337569271991, 0.209000000087962)); #148630=CARTESIAN_POINT('Ctrl Pts',(0.033366300195828,-0.707321559677469, 0.209)); #148631=CARTESIAN_POINT('Ctrl Pts',(0.0333993846120369,-0.707321046873257, 0.209)); #148632=CARTESIAN_POINT('Ctrl Pts',(0.0322555441561781,-0.706188258604389, 0.143201617243355)); #148633=CARTESIAN_POINT('Ctrl Pts',(0.0311117105865087,-0.705054679234417, 0.0774032481562501)); #148634=CARTESIAN_POINT('Ctrl Pts',(0.0299678839328086,-0.703920305437604, 0.0116048928156924)); #148635=CARTESIAN_POINT('Ctrl Pts',(0.0308056090958075,-0.707357737874241, 0.209044642882016)); #148636=CARTESIAN_POINT('Ctrl Pts',(0.0296609753804026,-0.706223721532999, 0.143246294979283)); #148637=CARTESIAN_POINT('Ctrl Pts',(0.0285163410245739,-0.705088991437515, 0.0774479590877476)); #148638=CARTESIAN_POINT('Ctrl Pts',(0.0273717205899001,-0.703953383800021, 0.0116496387686546)); #148639=CARTESIAN_POINT('Ctrl Pts',(0.0256700611206903,-0.709559000631789, 0.209096389320177)); #148640=CARTESIAN_POINT('Ctrl Pts',(0.0245228996561296,-0.708423986882349, 0.143298084770238)); #148641=CARTESIAN_POINT('Ctrl Pts',(0.0233757211802423,-0.707288145963244, 0.0774997951179671)); #148642=CARTESIAN_POINT('Ctrl Pts',(0.0222285654990319,-0.706151533975376, 0.0117015183748666)); #148643=CARTESIAN_POINT('Ctrl Pts',(0.0235401058665546,-0.714724530744748, 0.209044642882016)); #148644=CARTESIAN_POINT('Ctrl Pts',(0.0223915666945113,-0.713591021969722, 0.143246294979283)); #148645=CARTESIAN_POINT('Ctrl Pts',(0.0212431020834224,-0.7124567377885, 0.0774479590877476)); #148646=CARTESIAN_POINT('Ctrl Pts',(0.0200945632532501,-0.711321634834849, 0.0116496387686546)); #148647=CARTESIAN_POINT('Ctrl Pts',(0.0235393266221504,-0.717318565642532, 0.209)); #148648=CARTESIAN_POINT('Ctrl Pts',(0.0223908115789614,-0.716185842094875, 0.143201617243355)); #148649=CARTESIAN_POINT('Ctrl Pts',(0.0212422967743752,-0.715052324258966, 0.0774032481562501)); #148650=CARTESIAN_POINT('Ctrl Pts',(0.0200937822097358,-0.713918008781547, 0.0116048928156924)); #148651=CARTESIAN_POINT('',(0.0333993846120369,-0.707321046873257,0.209)); #148652=CARTESIAN_POINT('Ctrl Pts',(0.0299678839328086,-0.703920305437604, 0.0116048928156924)); #148653=CARTESIAN_POINT('Ctrl Pts',(0.0311117105865087,-0.705054679234417, 0.0774032481562501)); #148654=CARTESIAN_POINT('Ctrl Pts',(0.0322555441561781,-0.706188258604389, 0.143201617243355)); #148655=CARTESIAN_POINT('Ctrl Pts',(0.0333993846120369,-0.707321046873257, 0.209)); #148656=CARTESIAN_POINT('Origin',(0.,1.705,0.209)); #148657=CARTESIAN_POINT('Origin',(0.0324984780528985,-1.09070820616748, 0.149282556726238)); #148658=CARTESIAN_POINT('Ctrl Pts',(0.912269886484275,-0.511934564645458, 1.63910879619856E-17)); #148659=CARTESIAN_POINT('Ctrl Pts',(0.912729423493387,-0.512989631183544, 9.76595889680485E-11)); #148660=CARTESIAN_POINT('Ctrl Pts',(0.913183878438166,-0.514046769184698, 0.000169331896173751)); #148661=CARTESIAN_POINT('Ctrl Pts',(0.913614015156242,-0.515061175816469, 0.000500819428522115)); #148662=CARTESIAN_POINT('Ctrl Pts',(0.914220579827446,-0.511106544450544, -1.9866076956557E-12)); #148663=CARTESIAN_POINT('Ctrl Pts',(0.914679997378511,-0.512165084425835, -2.29387606605371E-6)); #148664=CARTESIAN_POINT('Ctrl Pts',(0.915135417768924,-0.513227411372262, 0.000166004912470073)); #148665=CARTESIAN_POINT('Ctrl Pts',(0.915566353125397,-0.514245629562327, 0.00049745078780354)); #148666=CARTESIAN_POINT('Ctrl Pts',(0.916166063576359,-0.510280735661307, -0.000695405670249453)); #148667=CARTESIAN_POINT('Ctrl Pts',(0.916651335266968,-0.511405810049957, -0.000698981025468432)); #148668=CARTESIAN_POINT('Ctrl Pts',(0.917133047625431,-0.512534839880261, -0.00052115067544427)); #148669=CARTESIAN_POINT('Ctrl Pts',(0.917589510113982,-0.513616927856594, -0.000169678443748867)); #148670=CARTESIAN_POINT('Ctrl Pts',(0.917735647065996,-0.509614487116784, -0.0019537150551996)); #148671=CARTESIAN_POINT('Ctrl Pts',(0.918268291793478,-0.51085623180801, -0.00195748865438694)); #148672=CARTESIAN_POINT('Ctrl Pts',(0.918797029124316,-0.512100744142938, -0.00176149625538716)); #148673=CARTESIAN_POINT('Ctrl Pts',(0.9192991347555,-0.513294508963865, -0.00137382969210291)); #148674=CARTESIAN_POINT('Ctrl Pts',(0.913614015156242,-0.515061175816469, 0.000500819428522115)); #148675=CARTESIAN_POINT('Ctrl Pts',(0.913183878438166,-0.514046769184698, 0.000169331896173751)); #148676=CARTESIAN_POINT('Ctrl Pts',(0.912729423493387,-0.512989631183544, 9.76595889680485E-11)); #148677=CARTESIAN_POINT('Ctrl Pts',(0.912269886484275,-0.511934564645458, 1.63910879619856E-17)); #148678=CARTESIAN_POINT('Origin',(0.912269886807416,-0.511934565430723, -0.00999999999999995)); #148679=CARTESIAN_POINT('Origin',(0.734727619391318,-0.0936711947047924, -0.01)); #148680=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.755109509104582)); #148681=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,0.209)); #148682=CARTESIAN_POINT('Origin',(0.,3.1551179875321,0.209)); #148683=CARTESIAN_POINT('Origin',(0.,1.705,0.209)); #148684=CARTESIAN_POINT('Origin',(0.,1.705,0.0118110236)); #148685=CARTESIAN_POINT('Origin',(0.,1.705,0.0116048928156924)); #148686=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #148687=CARTESIAN_POINT('Origin',(-0.033366299253307,1.02255899376605,0.199)); #148688=CARTESIAN_POINT('',(-0.0333662992533085,-0.786691828011332,0.209)); #148689=CARTESIAN_POINT('Origin',(-0.0333662992533085,-0.786691828011332, 0.199)); #148690=CARTESIAN_POINT('',(-0.0333662992533084,-0.707321559693637,0.209)); #148691=CARTESIAN_POINT('',(-0.033366299253307,1.02255899376605,0.209)); #148692=CARTESIAN_POINT('Ctrl Pts',(-0.0333662992533084,-0.707321559693637, 0.209)); #148693=CARTESIAN_POINT('Ctrl Pts',(-0.0324210415481777,-0.707337775204811, 0.209)); #148694=CARTESIAN_POINT('Ctrl Pts',(-0.0314988661343932,-0.707485174026693, 0.208866116042335)); #148695=CARTESIAN_POINT('Ctrl Pts',(-0.0296155419370507,-0.708045280663516, 0.208329072351626)); #148696=CARTESIAN_POINT('Ctrl Pts',(-0.0287031688335211,-0.708484743121213, 0.207897837963367)); #148697=CARTESIAN_POINT('Ctrl Pts',(-0.0268312350145132,-0.709717328099009, 0.20667633806046)); #148698=CARTESIAN_POINT('Ctrl Pts',(-0.0259628995656412,-0.710575175249524, 0.205818621825095)); #148699=CARTESIAN_POINT('Ctrl Pts',(-0.0246738435050942,-0.712332616991481, 0.204052897186159)); #148700=CARTESIAN_POINT('Ctrl Pts',(-0.0242133064357086,-0.713232589547146, 0.20314519922615)); #148701=CARTESIAN_POINT('Ctrl Pts',(-0.0235688249132924,-0.715107516737387, 0.201248679546646)); #148702=CARTESIAN_POINT('Ctrl Pts',(-0.0233940049248329,-0.716095469905993, 0.200246472323887)); #148703=CARTESIAN_POINT('Ctrl Pts',(-0.0233687477315815,-0.717102170669448, 0.199222578296057)); #148704=CARTESIAN_POINT('Ctrl Pts',(-0.0233682417091488,-0.717125792128294, 0.199198551898476)); #148705=CARTESIAN_POINT('Ctrl Pts',(-0.0233678223017444,-0.717149413512975, 0.199174524064373)); #148706=CARTESIAN_POINT('Ctrl Pts',(-0.0333662992533085,-0.786691828011332, 0.209)); #148707=CARTESIAN_POINT('Ctrl Pts',(-0.0333828476165923,-0.890906709470057, 0.209)); #148708=CARTESIAN_POINT('Ctrl Pts',(-0.0331025876850529,-0.995109379733153, 0.191958431022273)); #148709=CARTESIAN_POINT('Ctrl Pts',(-0.0325401731806408,-1.09389387363691, 0.158761469337385)); #148710=CARTESIAN_POINT('Ctrl Pts',(-0.0307773941961835,-0.786691828011332, 0.209)); #148711=CARTESIAN_POINT('Ctrl Pts',(-0.0307911942158324,-0.890903974713334, 0.209004377857075)); #148712=CARTESIAN_POINT('Ctrl Pts',(-0.030508084233672,-0.995098936591845, 0.191968581398619)); #148713=CARTESIAN_POINT('Ctrl Pts',(-0.0299428660665141,-1.09388363407652, 0.158776335482069)); #148714=CARTESIAN_POINT('Ctrl Pts',(-0.0256229410921302,-0.786691828011332, 0.206879698511246)); #148715=CARTESIAN_POINT('Ctrl Pts',(-0.0256278562188979,-0.890662310175016, 0.206887728265675)); #148716=CARTESIAN_POINT('Ctrl Pts',(-0.0253361461591597,-0.994625207768288, 0.189894281204612)); #148717=CARTESIAN_POINT('Ctrl Pts',(-0.0247631095871306,-1.09318313352066, 0.156782258032071)); #148718=CARTESIAN_POINT('Ctrl Pts',(-0.0234130049250291,-0.786691828011332, 0.201763034818718)); #148719=CARTESIAN_POINT('Ctrl Pts',(-0.0234129664936879,-0.890106274542524, 0.201765817277713)); #148720=CARTESIAN_POINT('Ctrl Pts',(-0.0231180205589381,-0.993508200541608, 0.184858207451081)); #148721=CARTESIAN_POINT('Ctrl Pts',(-0.0225429693919721,-1.09153570518377, 0.151918732512479)); #148722=CARTESIAN_POINT('Ctrl Pts',(-0.0233678223017446,-0.786691828011332, 0.199174524064373)); #148723=CARTESIAN_POINT('Ctrl Pts',(-0.0233678223017446,-0.889826015801017, 0.199174524064373)); #148724=CARTESIAN_POINT('Ctrl Pts',(-0.0230734459604774,-0.992948110562142, 0.182309714768164)); #148725=CARTESIAN_POINT('Ctrl Pts',(-0.0225000011013355,-1.09070820616748, 0.149457080790567)); #148726=CARTESIAN_POINT('',(-0.0325401731806408,-1.09389387363691,0.158761469337385)); #148727=CARTESIAN_POINT('Origin',(-0.0324984780528995,-1.09070820616748, 0.149282556726195)); #148728=CARTESIAN_POINT('Ctrl Pts',(-0.0325401731806408,-1.09389387363691, 0.158761469337385)); #148729=CARTESIAN_POINT('Ctrl Pts',(-0.0331025876850529,-0.995109379733153, 0.191958431022273)); #148730=CARTESIAN_POINT('Ctrl Pts',(-0.0333828476165923,-0.890906709470057, 0.209)); #148731=CARTESIAN_POINT('Ctrl Pts',(-0.0333662992533085,-0.786691828011332, 0.209)); #148732=CARTESIAN_POINT('Ctrl Pts',(-0.0299678839328095,-0.703920305437604, 0.0116048928156925)); #148733=CARTESIAN_POINT('Ctrl Pts',(-0.0311117105865097,-0.705054679234417, 0.0774032481562502)); #148734=CARTESIAN_POINT('Ctrl Pts',(-0.0322555441561791,-0.706188258604389, 0.143201617243355)); #148735=CARTESIAN_POINT('Ctrl Pts',(-0.0333993846120379,-0.707321046873257, 0.209)); #148736=CARTESIAN_POINT('Ctrl Pts',(-0.0273717205899011,-0.703953383800021, 0.0116496387686546)); #148737=CARTESIAN_POINT('Ctrl Pts',(-0.028516341024575,-0.705088991437515, 0.0774479590877477)); #148738=CARTESIAN_POINT('Ctrl Pts',(-0.0296609753804037,-0.706223721532999, 0.143246294979283)); #148739=CARTESIAN_POINT('Ctrl Pts',(-0.0308056090958085,-0.707357737874241, 0.209044642882016)); #148740=CARTESIAN_POINT('Ctrl Pts',(-0.022228565499033,-0.706151533975376, 0.0117015183748666)); #148741=CARTESIAN_POINT('Ctrl Pts',(-0.0233757211802434,-0.707288145963244, 0.0774997951179672)); #148742=CARTESIAN_POINT('Ctrl Pts',(-0.0245228996561306,-0.708423986882349, 0.143298084770238)); #148743=CARTESIAN_POINT('Ctrl Pts',(-0.0256700611206913,-0.70955900063179, 0.209096389320177)); #148744=CARTESIAN_POINT('Ctrl Pts',(-0.0200945632532513,-0.711321634834849, 0.0116496387686546)); #148745=CARTESIAN_POINT('Ctrl Pts',(-0.0212431020834236,-0.7124567377885, 0.0774479590877477)); #148746=CARTESIAN_POINT('Ctrl Pts',(-0.0223915666945123,-0.713591021969722, 0.143246294979283)); #148747=CARTESIAN_POINT('Ctrl Pts',(-0.0235401058665556,-0.714724530744748, 0.209044642882016)); #148748=CARTESIAN_POINT('Ctrl Pts',(-0.020093782209737,-0.713918008781547, 0.0116048928156924)); #148749=CARTESIAN_POINT('Ctrl Pts',(-0.0212422967743764,-0.715052324258966, 0.0774032481562502)); #148750=CARTESIAN_POINT('Ctrl Pts',(-0.0223908115789625,-0.716185842094875, 0.143201617243355)); #148751=CARTESIAN_POINT('Ctrl Pts',(-0.0235393266221514,-0.717318565642532, 0.209)); #148752=CARTESIAN_POINT('',(-0.0333993846120379,-0.707321046873257,0.209)); #148753=CARTESIAN_POINT('Ctrl Pts',(-0.0333993846120379,-0.707321046873257, 0.209)); #148754=CARTESIAN_POINT('Ctrl Pts',(-0.0322555441561791,-0.706188258604389, 0.143201617243355)); #148755=CARTESIAN_POINT('Ctrl Pts',(-0.0311117105865097,-0.705054679234417, 0.0774032481562502)); #148756=CARTESIAN_POINT('Ctrl Pts',(-0.0299678839328095,-0.703920305437604, 0.0116048928156925)); #148757=CARTESIAN_POINT('Origin',(0.,1.705,0.209)); #148758=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.149282556726195)); #148759=CARTESIAN_POINT('Origin',(-0.0324984780528993,-1.09070820616749, 0.149282556726195)); #148760=CARTESIAN_POINT('',(-1.05648359532701,-0.858491250424006,0.158761469337385)); #148761=CARTESIAN_POINT('Origin',(-1.0551298773176,-0.855607214491421,0.149282556726195)); #148762=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.158761469337385)); #148763=CARTESIAN_POINT('Origin',(-0.0324984780529002,-1.09070820616748, 0.14928255672624)); #148764=CARTESIAN_POINT('Ctrl Pts',(-1.05648359532701,-0.858491250424004, 0.158761469337385)); #148765=CARTESIAN_POINT('Ctrl Pts',(-1.01730478563817,-0.767747729347223, 0.191966188972197)); #148766=CARTESIAN_POINT('Ctrl Pts',(-0.976261167411115,-0.671908377144556, 0.209)); #148767=CARTESIAN_POINT('Ctrl Pts',(-0.935478627730052,-0.575947553923628, 0.209)); #148768=CARTESIAN_POINT('Ctrl Pts',(-1.0588404876975,-0.85746549300695, 0.158736967961669)); #148769=CARTESIAN_POINT('Ctrl Pts',(-1.0196691974229,-0.766727312369338, 0.191946851388926)); #148770=CARTESIAN_POINT('Ctrl Pts',(-0.978636221564528,-0.670897258601109, 0.208987924658888)); #148771=CARTESIAN_POINT('Ctrl Pts',(-0.937861727400263,-0.574935988129106, 0.209)); #148772=CARTESIAN_POINT('Ctrl Pts',(-1.06324933557195,-0.854820691534769, 0.156706822088554)); #148773=CARTESIAN_POINT('Ctrl Pts',(-1.02418448058224,-0.76429080553063, 0.189844708109064)); #148774=CARTESIAN_POINT('Ctrl Pts',(-0.983262645510602,-0.66867119405237, 0.206856015978667)); #148775=CARTESIAN_POINT('Ctrl Pts',(-0.942605038652944,-0.572922571956899, 0.206878164263115)); #148776=CARTESIAN_POINT('Ctrl Pts',(-1.06461427773853,-0.852470749847825, 0.151893391542334)); #148777=CARTESIAN_POINT('Ctrl Pts',(-1.02576342527839,-0.762415628153976, 0.184846856997376)); #148778=CARTESIAN_POINT('Ctrl Pts',(-0.985068676780219,-0.667301146988064, 0.201755360026939)); #148779=CARTESIAN_POINT('Ctrl Pts',(-0.944640683467074,-0.572058491998554, 0.201763034818718)); #148780=CARTESIAN_POINT('Ctrl Pts',(-1.06433352387865,-0.851700498308961, 0.149457080790568)); #148781=CARTESIAN_POINT('Ctrl Pts',(-1.0255865252352,-0.761886252964336, 0.182317392250569)); #148782=CARTESIAN_POINT('Ctrl Pts',(-0.985000972272509,-0.667025737767608, 0.199174524064373)); #148783=CARTESIAN_POINT('Ctrl Pts',(-0.944682274291099,-0.57204083774117, 0.199174524064373)); #148784=CARTESIAN_POINT('',(-0.935478627730051,-0.575947553923627,0.209)); #148785=CARTESIAN_POINT('Origin',(-0.935478627730052,-0.575947553923628, 0.199)); #148786=CARTESIAN_POINT('Ctrl Pts',(-0.935478627730052,-0.575947553923628, 0.209)); #148787=CARTESIAN_POINT('Ctrl Pts',(-0.976261167411115,-0.671908377144556, 0.209)); #148788=CARTESIAN_POINT('Ctrl Pts',(-1.01730478563817,-0.767747729347223, 0.191966188972197)); #148789=CARTESIAN_POINT('Ctrl Pts',(-1.05648359532701,-0.858491250424004, 0.158761469337385)); #148790=CARTESIAN_POINT('Origin',(-1.0551298773176,-0.85560721449142,0.149282556726195)); #148791=CARTESIAN_POINT('Origin',(-0.255476018969817,1.0260382006905,0.199)); #148792=CARTESIAN_POINT('',(-0.914855936640955,-0.527363538331727,0.209)); #148793=CARTESIAN_POINT('',(-0.255476018969817,1.0260382006905,0.209)); #148794=CARTESIAN_POINT('Ctrl Pts',(-0.927899585462678,-0.532503300559851, 0.19917452406436)); #148795=CARTESIAN_POINT('Ctrl Pts',(-0.927889961770492,-0.53248170287169, 0.199198572459446)); #148796=CARTESIAN_POINT('Ctrl Pts',(-0.92788025818321,-0.532460139016267, 0.199222619415591)); #148797=CARTESIAN_POINT('Ctrl Pts',(-0.927459238994022,-0.531533623565626, 0.20025738586462)); #148798=CARTESIAN_POINT('Ctrl Pts',(-0.926904796976029,-0.530683949762388, 0.201270557362466)); #148799=CARTESIAN_POINT('Ctrl Pts',(-0.92555941236371,-0.529198265530515, 0.203185543881279)); #148800=CARTESIAN_POINT('Ctrl Pts',(-0.92477196331619,-0.528546146703974, 0.204101223869095)); #148801=CARTESIAN_POINT('Ctrl Pts',(-0.922884203063093,-0.527440373251513, 0.205864700687699)); #148802=CARTESIAN_POINT('Ctrl Pts',(-0.921751189125411,-0.526998462246962, 0.206713894373756)); #148803=CARTESIAN_POINT('Ctrl Pts',(-0.919550800538792,-0.526608029833646, 0.207921740789598)); #148804=CARTESIAN_POINT('Ctrl Pts',(-0.918541377843346,-0.526565194131767, 0.208347266628297)); #148805=CARTESIAN_POINT('Ctrl Pts',(-0.916607230052501,-0.526792388031892, 0.208870825725974)); #148806=CARTESIAN_POINT('Ctrl Pts',(-0.915716824927619,-0.527015532387119, 0.209000000172565)); #148807=CARTESIAN_POINT('Ctrl Pts',(-0.914855977277756,-0.527363638822982, 0.209)); #148808=CARTESIAN_POINT('Ctrl Pts',(-0.929649052636995,-0.528245520859715, 0.0116048928156924)); #148809=CARTESIAN_POINT('Ctrl Pts',(-0.929035646177216,-0.52973982448116, 0.0774032481012343)); #148810=CARTESIAN_POINT('Ctrl Pts',(-0.92842192700097,-0.53123339200534, 0.143201617188476)); #148811=CARTESIAN_POINT('Ctrl Pts',(-0.927807896424953,-0.532726226530735, 0.209)); #148812=CARTESIAN_POINT('Ctrl Pts',(-0.928632976053227,-0.525853797765448, 0.0116497372882988)); #148813=CARTESIAN_POINT('Ctrl Pts',(-0.928019855280348,-0.527348836776657, 0.0774480574394737)); #148814=CARTESIAN_POINT('Ctrl Pts',(-0.927406482307674,-0.528843090930352, 0.143246393226823)); #148815=CARTESIAN_POINT('Ctrl Pts',(-0.926792736664427,-0.530336658717484, 0.209044741072259)); #148816=CARTESIAN_POINT('Ctrl Pts',(-0.924642178984735,-0.521928587036533, 0.0117016759103333)); #148817=CARTESIAN_POINT('Ctrl Pts',(-0.924030924811627,-0.523424475066634, 0.0774999523308696)); #148818=CARTESIAN_POINT('Ctrl Pts',(-0.923419349365086,-0.524919650820895, 0.143298241993753)); #148819=CARTESIAN_POINT('Ctrl Pts',(-0.922807463708254,-0.526414066016258, 0.209096546332798)); #148820=CARTESIAN_POINT('Ctrl Pts',(-0.919044534513714,-0.521917775731407, 0.0116497372882988)); #148821=CARTESIAN_POINT('Ctrl Pts',(-0.918435222976429,-0.523411742336393, 0.0774480574394737)); #148822=CARTESIAN_POINT('Ctrl Pts',(-0.917825554648297,-0.52490490440991, 0.143246393226823)); #148823=CARTESIAN_POINT('Ctrl Pts',(-0.917215607439554,-0.526397407531572, 0.209044741072259)); #148824=CARTESIAN_POINT('Ctrl Pts',(-0.916641085267705,-0.522905795319061, 0.0116048928156925)); #148825=CARTESIAN_POINT('Ctrl Pts',(-0.916032020267852,-0.524398312706749, 0.0774032481012343)); #148826=CARTESIAN_POINT('Ctrl Pts',(-0.915422637660855,-0.525890099584603, 0.143201617188476)); #148827=CARTESIAN_POINT('Ctrl Pts',(-0.914812938778812,-0.527381159014523, 0.209)); #148828=CARTESIAN_POINT('',(-0.914812938778812,-0.527381159014523,0.209)); #148829=CARTESIAN_POINT('Ctrl Pts',(-0.916641085267705,-0.522905795319061, 0.0116048928156925)); #148830=CARTESIAN_POINT('Ctrl Pts',(-0.916032020267852,-0.524398312706749, 0.0774032481012343)); #148831=CARTESIAN_POINT('Ctrl Pts',(-0.915422637660855,-0.525890099584603, 0.143201617188476)); #148832=CARTESIAN_POINT('Ctrl Pts',(-0.914812938778812,-0.527381159014523, 0.209)); #148833=CARTESIAN_POINT('Origin',(0.,1.705,0.209)); #148834=CARTESIAN_POINT('Origin',(-1.0551298773176,-0.85560721449142,0.149282556726207)); #148835=CARTESIAN_POINT('Ctrl Pts',(-0.0297181906841502,-0.692113270927792, -2.59525559398105E-17)); #148836=CARTESIAN_POINT('Ctrl Pts',(-0.0297060941981915,-0.693266023746584, -7.80342550635039E-12)); #148837=CARTESIAN_POINT('Ctrl Pts',(-0.02969950753715,-0.6944186939838, 0.000169911408099778)); #148838=CARTESIAN_POINT('Ctrl Pts',(-0.0296986880573999,-0.695522275241208, 0.0005025136509948)); #148839=CARTESIAN_POINT('Ctrl Pts',(-0.0276015762342638,-0.692113270927542, 1.77626167089722E-13)); #148840=CARTESIAN_POINT('Ctrl Pts',(-0.0275911273376192,-0.693269177479659, -2.5760707597493E-6)); #148841=CARTESIAN_POINT('Ctrl Pts',(-0.0275858598970369,-0.694427083972994, 0.000166029835375174)); #148842=CARTESIAN_POINT('Ctrl Pts',(-0.0275859666489095,-0.695534641467311, 0.000498362988739317)); #148843=CARTESIAN_POINT('Ctrl Pts',(-0.0254905877096488,-0.692113270922596, -0.000693688709990888)); #148844=CARTESIAN_POINT('Ctrl Pts',(-0.0254826064128333,-0.693340472870748, -0.000697705404626255)); #148845=CARTESIAN_POINT('Ctrl Pts',(-0.0254794674543058,-0.694570048957935, -0.000519713750109623)); #148846=CARTESIAN_POINT('Ctrl Pts',(-0.0254812876591668,-0.695746399084148, -0.000167463758201105)); #148847=CARTESIAN_POINT('Ctrl Pts',(-0.0237865416559117,-0.692113270913895, -0.00194919011429571)); #148848=CARTESIAN_POINT('Ctrl Pts',(-0.0237807840256264,-0.693466312546359, -0.00195343221109925)); #148849=CARTESIAN_POINT('Ctrl Pts',(-0.0237797238204141,-0.694820512497896, -0.00175728656969607)); #148850=CARTESIAN_POINT('Ctrl Pts',(-0.0237833954974619,-0.69611742930579, -0.00136882160800631)); #148851=CARTESIAN_POINT('Ctrl Pts',(-0.0296986880573999,-0.695522275241208, 0.0005025136509948)); #148852=CARTESIAN_POINT('Ctrl Pts',(-0.02969950753715,-0.6944186939838, 0.000169911408099778)); #148853=CARTESIAN_POINT('Ctrl Pts',(-0.0297060941981915,-0.693266023746584, -7.80342550635039E-12)); #148854=CARTESIAN_POINT('Ctrl Pts',(-0.0297181906841502,-0.692113270927792, -2.59525559398105E-17)); #148855=CARTESIAN_POINT('Origin',(-0.0297181906833109,-0.692113270860091, -0.01)); #148856=CARTESIAN_POINT('Origin',(-0.0297181906833106,-0.300580636636324, -0.01)); #148857=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.755109509104582)); #148858=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,0.209)); #148859=CARTESIAN_POINT('Origin',(0.,3.1551179875321,0.209)); #148860=CARTESIAN_POINT('Origin',(0.,1.705,0.209)); #148861=CARTESIAN_POINT('Origin',(0.,1.705,0.0118110236)); #148862=CARTESIAN_POINT('Origin',(0.,1.705,0.0116048928156924)); #148863=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #148864=CARTESIAN_POINT('Ctrl Pts',(-1.6715658647356,-0.0435972742032626, 0.0116048928156924)); #148865=CARTESIAN_POINT('Ctrl Pts',(-1.67153205293511,-0.0451302562353773, 0.0740063206343703)); #148866=CARTESIAN_POINT('Ctrl Pts',(-1.67149773940121,-0.0466627190210407, 0.136407760937216)); #148867=CARTESIAN_POINT('Ctrl Pts',(-1.67146292614126,-0.0481946646372479, 0.19880921365768)); #148868=CARTESIAN_POINT('Ctrl Pts',(-1.66975795810717,-0.041726262436148, 0.011649877344745)); #148869=CARTESIAN_POINT('Ctrl Pts',(-1.66972465009966,-0.0432597996136171, 0.074051271690401)); #148870=CARTESIAN_POINT('Ctrl Pts',(-1.66969087759798,-0.0447927534530776, 0.136452680267711)); #148871=CARTESIAN_POINT('Ctrl Pts',(-1.66965656680816,-0.0463252526658077, 0.198854099667466)); #148872=CARTESIAN_POINT('Ctrl Pts',(-1.66461730283722,-0.0394919293398527, 0.0117019815640495)); #148873=CARTESIAN_POINT('Ctrl Pts',(-1.66458594097894,-0.04102558248523, 0.0741033342277336)); #148874=CARTESIAN_POINT('Ctrl Pts',(-1.66455407778481,-0.0425587385161773, 0.136504698890987)); #148875=CARTESIAN_POINT('Ctrl Pts',(-1.66452170704955,-0.0440913544848756, 0.198906076811848)); #148876=CARTESIAN_POINT('Ctrl Pts',(-1.6593831327647,-0.0414974329278461, 0.011649877344745)); #148877=CARTESIAN_POINT('Ctrl Pts',(-1.65935283650315,-0.0430287160337322, 0.074051271690401)); #148878=CARTESIAN_POINT('Ctrl Pts',(-1.65932197599036,-0.0445594338808715, 0.136452680267711)); #148879=CARTESIAN_POINT('Ctrl Pts',(-1.65929067036584,-0.0460896890506989, 0.198854099667466)); #148880=CARTESIAN_POINT('Ctrl Pts',(-1.65749448950092,-0.0432869127494748, 0.0116048928156924)); #148881=CARTESIAN_POINT('Ctrl Pts',(-1.65746391480519,-0.044816825786867, 0.0740063206343702)); #148882=CARTESIAN_POINT('Ctrl Pts',(-1.65743283607781,-0.0463462258700756, 0.136407760937216)); #148883=CARTESIAN_POINT('Ctrl Pts',(-1.65740125532714,-0.0478751150421042, 0.19880921365768)); #148884=CARTESIAN_POINT('',(-1.65740125532714,-0.0478751150421018,0.19880921365768)); #148885=CARTESIAN_POINT('Origin',(-1.66427062372723,-0.0551401903434931, 0.198634689593307)); #148886=CARTESIAN_POINT('Ctrl Pts',(-1.65749448950092,-0.0432869127494748, 0.0116048928156924)); #148887=CARTESIAN_POINT('Ctrl Pts',(-1.65746391480519,-0.044816825786867, 0.0740063206343702)); #148888=CARTESIAN_POINT('Ctrl Pts',(-1.65743283607781,-0.0463462258700756, 0.136407760937216)); #148889=CARTESIAN_POINT('Ctrl Pts',(-1.65740125532714,-0.0478751150421042, 0.19880921365768)); #148890=CARTESIAN_POINT('Origin',(-0.241687957544466,1.22930988850161,0.199)); #148891=CARTESIAN_POINT('',(-0.980088722641966,-0.510253300879415,0.209)); #148892=CARTESIAN_POINT('Origin',(-0.980088722641965,-0.510253300879415, 0.199)); #148893=CARTESIAN_POINT('',(-0.995402960341536,-0.546331383994735,0.209)); #148894=CARTESIAN_POINT('Origin',(-0.995402960341536,-0.546331383994735, 0.199)); #148895=CARTESIAN_POINT('',(-0.241687957544466,1.22930988850161,0.209)); #148896=CARTESIAN_POINT('Ctrl Pts',(-0.995402960341536,-0.546331383994736, 0.209)); #148897=CARTESIAN_POINT('Ctrl Pts',(-1.03582380928714,-0.641357715176474, 0.209)); #148898=CARTESIAN_POINT('Ctrl Pts',(-1.07596647342365,-0.736492849769556, 0.192354842004769)); #148899=CARTESIAN_POINT('Ctrl Pts',(-1.11380448193337,-0.826925665027057, 0.159883826476323)); #148900=CARTESIAN_POINT('Ctrl Pts',(-0.993019860671325,-0.547342949789258, 0.209)); #148901=CARTESIAN_POINT('Ctrl Pts',(-1.03342775586025,-0.642371850425863, 0.209020593046925)); #148902=CARTESIAN_POINT('Ctrl Pts',(-1.07355880478376,-0.737508962998719, 0.192394389904416)); #148903=CARTESIAN_POINT('Ctrl Pts',(-1.11139088439872,-0.827953315979859, 0.159938340828042)); #148904=CARTESIAN_POINT('Ctrl Pts',(-0.988276582059381,-0.549356352106294, 0.20687812817916)); #148905=CARTESIAN_POINT('Ctrl Pts',(-1.02855290994881,-0.644182262520333, 0.206915899423609)); #148906=CARTESIAN_POINT('Ctrl Pts',(-1.06856212087357,-0.73912679627456, 0.190344164575025)); #148907=CARTESIAN_POINT('Ctrl Pts',(-1.10627974037869,-0.829383468563147, 0.157975376147322)); #148908=CARTESIAN_POINT('Ctrl Pts',(-0.986240904604514,-0.55022044591981, 0.201763034818718)); #148909=CARTESIAN_POINT('Ctrl Pts',(-1.02628158319995,-0.644550835982974, 0.201776123256202)); #148910=CARTESIAN_POINT('Ctrl Pts',(-1.06605540719263,-0.738987523983788, 0.18527063906911)); #148911=CARTESIAN_POINT('Ctrl Pts',(-1.10354978353659,-0.828758631613142, 0.153058133589855)); #148912=CARTESIAN_POINT('Ctrl Pts',(-0.986199313780489,-0.550238100177194, 0.199174524064373)); #148913=CARTESIAN_POINT('Ctrl Pts',(-1.02613219525287,-0.644314073468062, 0.199174524064373)); #148914=CARTESIAN_POINT('Ctrl Pts',(-1.06579672196579,-0.738493716187825, 0.18270201407062)); #148915=CARTESIAN_POINT('Ctrl Pts',(-1.10318737000451,-0.828016089237503, 0.150567796543041)); #148916=CARTESIAN_POINT('',(-1.11380448193337,-0.826925665027057,0.159883826476323)); #148917=CARTESIAN_POINT('Origin',(-1.11239101656556,-0.824109373055045, 0.150393272478668)); #148918=CARTESIAN_POINT('Ctrl Pts',(-1.11380448193337,-0.826925665027057, 0.159883826476323)); #148919=CARTESIAN_POINT('Ctrl Pts',(-1.07596647342365,-0.736492849769556, 0.192354842004769)); #148920=CARTESIAN_POINT('Ctrl Pts',(-1.03582380928714,-0.641357715176474, 0.209)); #148921=CARTESIAN_POINT('Ctrl Pts',(-0.995402960341536,-0.546331383994736, 0.209)); #148922=CARTESIAN_POINT('Ctrl Pts',(-0.970885076080919,-0.514160017061875, 0.199174524064373)); #148923=CARTESIAN_POINT('Ctrl Pts',(-0.969460459059155,-0.513596918924965, 0.136651301329425)); #148924=CARTESIAN_POINT('Ctrl Pts',(-0.968035562693902,-0.513033162146703, 0.0741280908912868)); #148925=CARTESIAN_POINT('Ctrl Pts',(-0.966610385866336,-0.512468744088369, 0.0116048928156924)); #148926=CARTESIAN_POINT('Ctrl Pts',(-0.969873047053048,-0.511773835908912, 0.199219074038276)); #148927=CARTESIAN_POINT('Ctrl Pts',(-0.968448117821552,-0.511210060711761, 0.136695884324)); #148928=CARTESIAN_POINT('Ctrl Pts',(-0.967022981001653,-0.510645615861941, 0.0741727053340008)); #148929=CARTESIAN_POINT('Ctrl Pts',(-0.965597491059392,-0.510080518925866, 0.01164954042974)); #148930=CARTESIAN_POINT('Ctrl Pts',(-0.96981619938159,-0.50619295439969, 0.199270632003454)); #148931=CARTESIAN_POINT('Ctrl Pts',(-0.968391914389339,-0.505627353848113, 0.136747483349123)); #148932=CARTESIAN_POINT('Ctrl Pts',(-0.96696733060034,-0.505061077681634, 0.0742243478749542)); #148933=CARTESIAN_POINT('Ctrl Pts',(-0.965542487976699,-0.504494150108004, 0.0117012242312677)); #148934=CARTESIAN_POINT('Ctrl Pts',(-0.97367337806168,-0.502159141971282, 0.199219074038276)); #148935=CARTESIAN_POINT('Ctrl Pts',(-0.972251669640728,-0.501593472286377, 0.136695884324)); #148936=CARTESIAN_POINT('Ctrl Pts',(-0.970829710710903,-0.501027214508726, 0.0741727053340007)); #148937=CARTESIAN_POINT('Ctrl Pts',(-0.969407453320513,-0.500460220906914, 0.0116495404297401)); #148938=CARTESIAN_POINT('Ctrl Pts',(-0.976043363262205,-0.501109745288754, 0.199174524064373)); #148939=CARTESIAN_POINT('Ctrl Pts',(-0.974622803866886,-0.500544851946791, 0.136651301329425)); #148940=CARTESIAN_POINT('Ctrl Pts',(-0.973201971765634,-0.499979300256487, 0.0741280908912868)); #148941=CARTESIAN_POINT('Ctrl Pts',(-0.971780865871242,-0.499413087591241, 0.0116048928156924)); #148942=CARTESIAN_POINT('',(-0.976043363262205,-0.501109745288754,0.199174524064373)); #148943=CARTESIAN_POINT('Origin',(-0.980088722641966,-0.510253300879416, 0.199)); #148944=CARTESIAN_POINT('Ctrl Pts',(-0.976043363262205,-0.501109745288754, 0.199174524064373)); #148945=CARTESIAN_POINT('Ctrl Pts',(-0.974622803866886,-0.500544851946791, 0.136651301329425)); #148946=CARTESIAN_POINT('Ctrl Pts',(-0.973201971765634,-0.499979300256487, 0.0741280908912868)); #148947=CARTESIAN_POINT('Ctrl Pts',(-0.971780865871242,-0.499413087591241, 0.0116048928156924)); #148948=CARTESIAN_POINT('Ctrl Pts',(-0.966949957350719,-0.488636930863889, -2.59525559398105E-17)); #148949=CARTESIAN_POINT('Ctrl Pts',(-0.967388788050425,-0.489705144989168, -8.73767237897033E-12)); #148950=CARTESIAN_POINT('Ctrl Pts',(-0.967832677486805,-0.490771118071759, 0.000170491794230583)); #148951=CARTESIAN_POINT('Ctrl Pts',(-0.968262668911671,-0.491789386793482, 0.000504210104637831)); #148952=CARTESIAN_POINT('Ctrl Pts',(-0.96500394020872,-0.489462966132277, 2.19887788005154E-13)); #148953=CARTESIAN_POINT('Ctrl Pts',(-0.96544568724504,-0.490533371775228, -2.85841912640126E-6)); #148954=CARTESIAN_POINT('Ctrl Pts',(-0.965893035762896,-0.491603655120527, 0.000166055242714934)); #148955=CARTESIAN_POINT('Ctrl Pts',(-0.966325653124778,-0.492625298384373, 0.000499277360048099)); #148956=CARTESIAN_POINT('Ctrl Pts',(-0.963063071013121,-0.490286816221374, -0.000691976047725708)); #148957=CARTESIAN_POINT('Ctrl Pts',(-0.963535174431451,-0.491421732101705, -0.00069643470730244)); #148958=CARTESIAN_POINT('Ctrl Pts',(-0.964012730531197,-0.492557047886541, -0.000518281530639061)); #148959=CARTESIAN_POINT('Ctrl Pts',(-0.964474049825084,-0.493641269784045, -0.000165252267366115)); #148960=CARTESIAN_POINT('Ctrl Pts',(-0.961495490983782,-0.490952214455678, -0.00194467514287096)); #148961=CARTESIAN_POINT('Ctrl Pts',(-0.96201900968236,-0.492201940580441, -0.0019493869776189)); #148962=CARTESIAN_POINT('Ctrl Pts',(-0.962547376858108,-0.493451017207173, -0.00175308854620823)); #148963=CARTESIAN_POINT('Ctrl Pts',(-0.963057695923461,-0.494645346108828, -0.00136382435021949)); #148964=CARTESIAN_POINT('Ctrl Pts',(-0.968262668911671,-0.491789386793482, 0.000504210104637831)); #148965=CARTESIAN_POINT('Ctrl Pts',(-0.967832677486805,-0.490771118071759, 0.000170491794230583)); #148966=CARTESIAN_POINT('Ctrl Pts',(-0.967388788050425,-0.489705144989168, -8.73767237897033E-12)); #148967=CARTESIAN_POINT('Ctrl Pts',(-0.966949957350719,-0.488636930863889, -2.59525559398105E-17)); #148968=CARTESIAN_POINT('Origin',(-0.966949957320191,-0.488636930794633, -0.01)); #148969=CARTESIAN_POINT('Origin',(-0.714223354676759,0.106749633949501, -0.01)); #148970=CARTESIAN_POINT('Origin',(-0.980088722641966,-0.510253300879415, 0.199)); #148971=CARTESIAN_POINT('Origin',(-0.980088722641966,-0.510253300879415, 0.199)); #148972=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.150393272478668)); #148973=CARTESIAN_POINT('Origin',(-1.11239101656556,-0.824109373055046, 0.150393272478668)); #148974=CARTESIAN_POINT('',(-1.85834163995232,-0.254542228481212,0.159883826476322)); #148975=CARTESIAN_POINT('Origin',(-1.85598332519221,-0.252452315490238, 0.150393272478668)); #148976=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.159883826476322)); #148977=CARTESIAN_POINT('Origin',(-1.11239101656556,-0.824109373055045, 0.150393272478668)); #148978=CARTESIAN_POINT('Ctrl Pts',(-1.85834163995232,-0.254542228481212, 0.159883826476323)); #148979=CARTESIAN_POINT('Ctrl Pts',(-1.79567162669065,-0.190475613439819, 0.189564840716779)); #148980=CARTESIAN_POINT('Ctrl Pts',(-1.73012665742784,-0.123116205308245, 0.206028250615115)); #148981=CARTESIAN_POINT('Ctrl Pts',(-1.66447940580858,-0.0553217711340582, 0.208630860783268)); #148982=CARTESIAN_POINT('Ctrl Pts',(-1.86015224614288,-0.252748027025867, 0.159829008351468)); #148983=CARTESIAN_POINT('Ctrl Pts',(-1.7974983098157,-0.188683453281907, 0.189521647858232)); #148984=CARTESIAN_POINT('Ctrl Pts',(-1.73197256024255,-0.121326573924129, 0.206000199760646)); #148985=CARTESIAN_POINT('Ctrl Pts',(-1.66633784471,-0.0535251309269044, 0.208624681077903)); #148986=CARTESIAN_POINT('Ctrl Pts',(-1.86327237830746,-0.248746500636249, 0.157769682408269)); #148987=CARTESIAN_POINT('Ctrl Pts',(-1.80079142919185,-0.184814106011866, 0.187403719402704)); #148988=CARTESIAN_POINT('Ctrl Pts',(-1.73544350309253,-0.117586324304527, 0.203861265314987)); #148989=CARTESIAN_POINT('Ctrl Pts',(-1.66999264546554,-0.0499107621605766, 0.206497988461925)); #148990=CARTESIAN_POINT('Ctrl Pts',(-1.86370211717326,-0.246112792438113, 0.152987719720636)); #148991=CARTESIAN_POINT('Ctrl Pts',(-1.80155758709301,-0.182500103996027, 0.182448569799015)); #148992=CARTESIAN_POINT('Ctrl Pts',(-1.73656650612316,-0.115611695395126, 0.198796194349716)); #148993=CARTESIAN_POINT('Ctrl Pts',(-1.6714799933293,-0.0482772560605962, 0.201392745492379)); #148994=CARTESIAN_POINT('Ctrl Pts',(-1.86317562760624,-0.245506789783993, 0.150567796543041)); #148995=CARTESIAN_POINT('Ctrl Pts',(-1.80119418399706,-0.182061201536161, 0.179940951417314)); #148996=CARTESIAN_POINT('Ctrl Pts',(-1.73637497590971,-0.115348340995563, 0.196233598453725)); #148997=CARTESIAN_POINT('Ctrl Pts',(-1.67146292614125,-0.0481946646372458, 0.19880921365768)); #148998=CARTESIAN_POINT('',(-1.6644794058085,-0.0553217711341344,0.208630860783268)); #148999=CARTESIAN_POINT('Origin',(-1.66427062372722,-0.055140190343491, 0.198634689593307)); #149000=CARTESIAN_POINT('Ctrl Pts',(-1.66447940580858,-0.0553217711340582, 0.208630860783268)); #149001=CARTESIAN_POINT('Ctrl Pts',(-1.73012665742784,-0.123116205308245, 0.206028250615115)); #149002=CARTESIAN_POINT('Ctrl Pts',(-1.79567162669065,-0.190475613439819, 0.189564840716779)); #149003=CARTESIAN_POINT('Ctrl Pts',(-1.85834163995232,-0.254542228481212, 0.159883826476323)); #149004=CARTESIAN_POINT('Origin',(-1.85598332519221,-0.252452315490238, 0.150393272478668)); #149005=CARTESIAN_POINT('Origin',(-1.85598332519221,-0.252452315490238, 0.150393272478668)); #149006=CARTESIAN_POINT('Origin',(-1.66427062372723,-0.0551401903434933, 0.198634689593307)); #149007=CARTESIAN_POINT('Origin',(-1.66427062372723,-0.0551401903434933, 0.198634689593307)); #149008=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.755109509104582)); #149009=CARTESIAN_POINT('',(-1.44352767367445,-0.24028891084145,0.209)); #149010=CARTESIAN_POINT('Ctrl Pts',(-1.44352767367451,-0.240288910841518, 0.209)); #149011=CARTESIAN_POINT('Ctrl Pts',(-1.52040107553482,-0.183350335399927, 0.208999999999998)); #149012=CARTESIAN_POINT('Ctrl Pts',(-1.59433432271852,-0.121519347973305, 0.208893444132257)); #149013=CARTESIAN_POINT('Ctrl Pts',(-1.66447940580858,-0.0553217711340578, 0.208630860783268)); #149014=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,0.209)); #149015=CARTESIAN_POINT('Ctrl Pts',(-1.66447940580858,-0.0553217711340578, 0.208630860783268)); #149016=CARTESIAN_POINT('Ctrl Pts',(-1.59433432271852,-0.121519347973305, 0.208893444132257)); #149017=CARTESIAN_POINT('Ctrl Pts',(-1.52040107553482,-0.183350335399927, 0.208999999999998)); #149018=CARTESIAN_POINT('Ctrl Pts',(-1.44352767367451,-0.240288910841518, 0.209)); #149019=CARTESIAN_POINT('Ctrl Pts',(-1.66266992204242,-0.0534079485869075, 0.208703418684109)); #149020=CARTESIAN_POINT('Ctrl Pts',(-1.59261170743718,-0.119544751566564, 0.208939192876277)); #149021=CARTESIAN_POINT('Ctrl Pts',(-1.51876761983747,-0.181320953683984, 0.209022596040303)); #149022=CARTESIAN_POINT('Ctrl Pts',(-1.44198491106254,-0.238209893545312, 0.209)); #149023=CARTESIAN_POINT('Ctrl Pts',(-1.65902354103567,-0.0495599486553113, 0.206646969064872)); #149024=CARTESIAN_POINT('Ctrl Pts',(-1.58915604515132,-0.115588544631276, 0.206859446784531)); #149025=CARTESIAN_POINT('Ctrl Pts',(-1.51550500632804,-0.177267922801367, 0.206919825093107)); #149026=CARTESIAN_POINT('Ctrl Pts',(-1.4389140767064,-0.23407165627492, 0.206878380008997)); #149027=CARTESIAN_POINT('Ctrl Pts',(-1.6574380080406,-0.0479036485050619, 0.201443610736803)); #149028=CARTESIAN_POINT('Ctrl Pts',(-1.58766186235908,-0.113886280970553, 0.201687011191084)); #149029=CARTESIAN_POINT('Ctrl Pts',(-1.51409813759094,-0.175526524763956, 0.201777396309854)); #149030=CARTESIAN_POINT('Ctrl Pts',(-1.43759637452805,-0.232295929018652, 0.201763034818718)); #149031=CARTESIAN_POINT('Ctrl Pts',(-1.65740125532714,-0.0478751150421018, 0.19880921365768)); #149032=CARTESIAN_POINT('Ctrl Pts',(-1.58762751772332,-0.113854728487027, 0.199069073422403)); #149033=CARTESIAN_POINT('Ctrl Pts',(-1.51406900193906,-0.175492128422066, 0.199174524064371)); #149034=CARTESIAN_POINT('Ctrl Pts',(-1.43756944960791,-0.232259645163809, 0.199174524064373)); #149035=CARTESIAN_POINT('',(-1.43756944960791,-0.232259645163809,0.199174524064373)); #149036=CARTESIAN_POINT('Ctrl Pts',(-1.65740125532714,-0.0478751150421018, 0.19880921365768)); #149037=CARTESIAN_POINT('Ctrl Pts',(-1.58762751772332,-0.113854728487027, 0.199069073422403)); #149038=CARTESIAN_POINT('Ctrl Pts',(-1.51406900193906,-0.175492128422066, 0.199174524064371)); #149039=CARTESIAN_POINT('Ctrl Pts',(-1.43756944960791,-0.232259645163809, 0.199174524064373)); #149040=CARTESIAN_POINT('Origin',(-1.44352767367451,-0.240288910841516, 0.199)); #149041=CARTESIAN_POINT('Ctrl Pts',(-2.02842224195463,0.752544758755141, 0.173619017583315)); #149042=CARTESIAN_POINT('Ctrl Pts',(-2.02659316675305,0.753373869874442, 0.119613577025724)); #149043=CARTESIAN_POINT('Ctrl Pts',(-2.02474428007663,0.75420179880775, 0.0656088456480264)); #149044=CARTESIAN_POINT('Ctrl Pts',(-2.02287415254176,0.755028480593299, 0.0116048928157417)); #149045=CARTESIAN_POINT('Ctrl Pts',(-2.02714970290155,0.75419803398158, 0.173689885075033)); #149046=CARTESIAN_POINT('Ctrl Pts',(-2.02530681531614,0.755023885740239, 0.119685183837797)); #149047=CARTESIAN_POINT('Ctrl Pts',(-2.02344396709262,0.755848478969481, 0.0656812132284407)); #149048=CARTESIAN_POINT('Ctrl Pts',(-2.02155954388548,0.756671450416197, 0.0116780552623401)); #149049=CARTESIAN_POINT('Ctrl Pts',(-2.02568602599579,0.758309159081131, 0.173807320796419)); #149050=CARTESIAN_POINT('Ctrl Pts',(-2.02381681283789,0.759139941510883, 0.119803951043868)); #149051=CARTESIAN_POINT('Ctrl Pts',(-2.02192727859452,0.759968841399798, 0.0658013442644293)); #149052=CARTESIAN_POINT('Ctrl Pts',(-2.02001535010463,0.76079641831599, 0.0117996221666802)); #149053=CARTESIAN_POINT('Ctrl Pts',(-2.02781694558125,0.765703851915724, 0.173853889236641)); #149054=CARTESIAN_POINT('Ctrl Pts',(-2.02592827221633,0.766565129573357, 0.119851069865922)); #149055=CARTESIAN_POINT('Ctrl Pts',(-2.02401841278182,0.767425429698391, 0.0658490555325189)); #149056=CARTESIAN_POINT('Ctrl Pts',(-2.02208579663874,0.768284433385359, 0.0118479280525222)); #149057=CARTESIAN_POINT('Ctrl Pts',(-2.03286540010158,0.76852932460245, 0.173725318820892)); #149058=CARTESIAN_POINT('Ctrl Pts',(-2.03098958802048,0.769407503011588, 0.119721004414477)); #149059=CARTESIAN_POINT('Ctrl Pts',(-2.02909348639428,0.770283554291746, 0.0657173783003252)); #149060=CARTESIAN_POINT('Ctrl Pts',(-2.02717410726587,0.771160210991027, 0.0117146364856392)); #149061=CARTESIAN_POINT('Ctrl Pts',(-2.03599288809327,0.768640395515706, 0.173619017583315)); #149062=CARTESIAN_POINT('Ctrl Pts',(-2.03412609552442,0.769518448752322, 0.119613577025724)); #149063=CARTESIAN_POINT('Ctrl Pts',(-2.03223842932431,0.770395760679347, 0.0656088456480264)); #149064=CARTESIAN_POINT('Ctrl Pts',(-2.03032837724535,0.771272277276412, 0.0116048928157417)); #149065=CARTESIAN_POINT('',(-2.03032837724535,0.771272277276412,0.0116048928157417)); #149066=CARTESIAN_POINT('',(-2.02287415254176,0.755028480593299,0.0116048928157171)); #149067=CARTESIAN_POINT('Origin',(-2.03067731905875,0.761279891126857,0.0114303687513689)); #149068=CARTESIAN_POINT('',(-2.02842224195463,0.752544758755139,0.173619017583311)); #149069=CARTESIAN_POINT('Ctrl Pts',(-2.02287415254176,0.755028480593299, 0.0116048928157417)); #149070=CARTESIAN_POINT('Ctrl Pts',(-2.02474428007663,0.75420179880775, 0.0656088456480264)); #149071=CARTESIAN_POINT('Ctrl Pts',(-2.02659316675305,0.753373869874442, 0.119613577025724)); #149072=CARTESIAN_POINT('Ctrl Pts',(-2.02842224195463,0.752544758755141, 0.173619017583315)); #149073=CARTESIAN_POINT('',(-2.03599288809327,0.768640395515706,0.173619017583315)); #149074=CARTESIAN_POINT('Origin',(-2.03634182990667,0.758648009366151,0.173444493518942)); #149075=CARTESIAN_POINT('Ctrl Pts',(-2.03599288809327,0.768640395515706, 0.173619017583315)); #149076=CARTESIAN_POINT('Ctrl Pts',(-2.03412609552442,0.769518448752322, 0.119613577025724)); #149077=CARTESIAN_POINT('Ctrl Pts',(-2.03223842932431,0.770395760679347, 0.0656088456480264)); #149078=CARTESIAN_POINT('Ctrl Pts',(-2.03032837724535,0.771272277276412, 0.0116048928157417)); #149079=CARTESIAN_POINT('Ctrl Pts',(-2.02287415254176,0.755028480593299, 0.0116048928157191)); #149080=CARTESIAN_POINT('Ctrl Pts',(-2.0227738226113,0.755100276973092, 0.00969075845042684)); #149081=CARTESIAN_POINT('Ctrl Pts',(-2.02146380066147,0.755502628014652, 0.00689096809899625)); #149082=CARTESIAN_POINT('Ctrl Pts',(-2.01553747801544,0.757155133488805, 0.00184427658441908)); #149083=CARTESIAN_POINT('Ctrl Pts',(-2.00913155218375,0.758885087944928, 0.000217954106836465)); #149084=CARTESIAN_POINT('Ctrl Pts',(-2.00391708692662,0.760296327327766, 2.54624586589922E-5)); #149085=CARTESIAN_POINT('Ctrl Pts',(-2.02155954388548,0.756671450416197, 0.011678055262314)); #149086=CARTESIAN_POINT('Ctrl Pts',(-2.02147991684268,0.756742140211098, 0.01001828082699)); #149087=CARTESIAN_POINT('Ctrl Pts',(-2.02042103800807,0.757173319858719, 0.00753987840381624)); #149088=CARTESIAN_POINT('Ctrl Pts',(-2.01521257901497,0.758886097353378, 0.00257256994310687)); #149089=CARTESIAN_POINT('Ctrl Pts',(-2.00905189130903,0.760542592421271, 0.000529786506178373)); #149090=CARTESIAN_POINT('Ctrl Pts',(-2.00386220679361,0.761813605889314, 8.96211762697383E-5)); #149091=CARTESIAN_POINT('Ctrl Pts',(-2.02001529948645,0.760796471217269, 0.0117996248155711)); #149092=CARTESIAN_POINT('Ctrl Pts',(-2.01996817324942,0.760858798291305, 0.0104220168576973)); #149093=CARTESIAN_POINT('Ctrl Pts',(-2.01928466092618,0.761257990970433, 0.00827113736516953)); #149094=CARTESIAN_POINT('Ctrl Pts',(-2.0150419885192,0.762738647544921, 0.00308330578146329)); #149095=CARTESIAN_POINT('Ctrl Pts',(-2.00906559211644,0.764004451566615, 0.000314818608036703)); #149096=CARTESIAN_POINT('Ctrl Pts',(-2.00378709442556,0.764873251910584, -0.00048735140049756)); #149097=CARTESIAN_POINT('Ctrl Pts',(-2.0220857169851,0.768284561067266, 0.011847932942102)); #149098=CARTESIAN_POINT('Ctrl Pts',(-2.02203879594563,0.768328868422737, 0.00999760460422911)); #149099=CARTESIAN_POINT('Ctrl Pts',(-2.02127539865045,0.76848295638869, 0.00713151629812178)); #149100=CARTESIAN_POINT('Ctrl Pts',(-2.01645154477359,0.768930047776707, 0.000473976139864312)); #149101=CARTESIAN_POINT('Ctrl Pts',(-2.0096884283283,0.769166669495837, -0.00285732169815587)); #149102=CARTESIAN_POINT('Ctrl Pts',(-2.00380192619224,0.769257800400848, -0.00374717700406266)); #149103=CARTESIAN_POINT('Ctrl Pts',(-2.02717410726587,0.771160210991028, 0.0117146364856304)); #149104=CARTESIAN_POINT('Ctrl Pts',(-2.02707939208819,0.771207059039878, 0.00882018939282582)); #149105=CARTESIAN_POINT('Ctrl Pts',(-2.02564648690689,0.771237162189897, 0.00452315808864498)); #149106=CARTESIAN_POINT('Ctrl Pts',(-2.0186528966539,0.771124204641353, -0.00380031182670337)); #149107=CARTESIAN_POINT('Ctrl Pts',(-2.01055778530818,0.770910468034365, -0.00694032848755576)); #149108=CARTESIAN_POINT('Ctrl Pts',(-2.00394237402058,0.77068457733354, -0.00750224324825291)); #149109=CARTESIAN_POINT('Ctrl Pts',(-2.03032837724535,0.771272277276412, 0.0116048928157417)); #149110=CARTESIAN_POINT('Ctrl Pts',(-2.03020335692994,0.771329648686671, 0.00807012468481011)); #149111=CARTESIAN_POINT('Ctrl Pts',(-2.02835749144493,0.771355492218165, 0.00289984516474341)); #149112=CARTESIAN_POINT('Ctrl Pts',(-2.02001130017031,0.77122680942584, -0.00641971184015174)); #149113=CARTESIAN_POINT('Ctrl Pts',(-2.01111726872309,0.77096867732662, -0.00942298735132464)); #149114=CARTESIAN_POINT('Ctrl Pts',(-2.00405546321602,0.770728282141821, -0.00977845526192996)); #149115=CARTESIAN_POINT('Ctrl Pts',(-2.00391708692662,0.760296327327766, 2.54624586589922E-5)); #149116=CARTESIAN_POINT('Ctrl Pts',(-2.00913155218375,0.758885087944928, 0.000217954106836465)); #149117=CARTESIAN_POINT('Ctrl Pts',(-2.01553747801544,0.757155133488805, 0.00184427658441908)); #149118=CARTESIAN_POINT('Ctrl Pts',(-2.02146380066147,0.755502628014652, 0.00689096809899625)); #149119=CARTESIAN_POINT('Ctrl Pts',(-2.0227738226113,0.755100276973092, 0.00969075845042684)); #149120=CARTESIAN_POINT('Ctrl Pts',(-2.02287415254176,0.755028480593299, 0.0116048928157191)); #149121=CARTESIAN_POINT('Ctrl Pts',(-2.03032837724535,0.771272277276412, 0.0116048928157417)); #149122=CARTESIAN_POINT('Ctrl Pts',(-2.03020335692994,0.771329648686671, 0.00807012468481011)); #149123=CARTESIAN_POINT('Ctrl Pts',(-2.02835749144493,0.771355492218165, 0.00289984516474341)); #149124=CARTESIAN_POINT('Ctrl Pts',(-2.02207529034418,0.77125863232687, -0.00411501099517864)); #149125=CARTESIAN_POINT('Ctrl Pts',(-2.01692428154425,0.771127492768765, -0.00690548719213404)); #149126=CARTESIAN_POINT('Ctrl Pts',(-2.01309744550945,0.77101547279295, -0.00814311738110396)); #149127=CARTESIAN_POINT('Ctrl Pts',(-2.03599288809333,0.768640395515708, 0.173619017583339)); #149128=CARTESIAN_POINT('Ctrl Pts',(-2.05089957203617,0.769019209570692, 0.18173428246456)); #149129=CARTESIAN_POINT('Ctrl Pts',(-2.06572666420257,0.769392671088066, 0.189996872151481)); #149130=CARTESIAN_POINT('Ctrl Pts',(-2.08043455305455,0.769758333296861, 0.198467677722316)); #149131=CARTESIAN_POINT('Ctrl Pts',(-2.03469608017096,0.768553434606267, 0.176005143992218)); #149132=CARTESIAN_POINT('Ctrl Pts',(-2.04957976825009,0.768931834308355, 0.184125268226372)); #149133=CARTESIAN_POINT('Ctrl Pts',(-2.06438027069109,0.769303262596543, 0.192390839128774)); #149134=CARTESIAN_POINT('Ctrl Pts',(-2.07905665373004,0.769668362310473, 0.200864012868252)); #149135=CARTESIAN_POINT('Ctrl Pts',(-2.03251509329799,0.766354243762238, 0.180113989099329)); #149136=CARTESIAN_POINT('Ctrl Pts',(-2.04736055693156,0.766706723262109, 0.188240909766901)); #149137=CARTESIAN_POINT('Ctrl Pts',(-2.06211498295389,0.767052075042468, 0.196511635887998)); #149138=CARTESIAN_POINT('Ctrl Pts',(-2.07673884545237,0.767386214715541, 0.204986945612547)); #149139=CARTESIAN_POINT('Ctrl Pts',(-2.03163805278299,0.761792672286264, 0.18193792872013)); #149140=CARTESIAN_POINT('Ctrl Pts',(-2.04647934459778,0.762104570367366, 0.190044565866391)); #149141=CARTESIAN_POINT('Ctrl Pts',(-2.06122843100818,0.762406520272119, 0.19829160517792)); #149142=CARTESIAN_POINT('Ctrl Pts',(-2.07584577673675,0.762693335785801, 0.206740163031764)); #149143=CARTESIAN_POINT('Ctrl Pts',(-2.03156856686103,0.759768149798924, 0.182160068388554)); #149144=CARTESIAN_POINT('Ctrl Pts',(-2.04641555315838,0.760067102166716, 0.190252902590997)); #149145=CARTESIAN_POINT('Ctrl Pts',(-2.061170520353,0.760355059472927,0.198485241023778)); #149146=CARTESIAN_POINT('Ctrl Pts',(-2.07579516326738,0.760627248373075, 0.206917230886329)); #149147=CARTESIAN_POINT('',(-2.07579516326738,0.760627248373076,0.206917230886329)); #149148=CARTESIAN_POINT('',(-2.08043455305455,0.769758333296861,0.198467677722316)); #149149=CARTESIAN_POINT('Origin',(-2.08078349486795,0.759765947147306,0.198293153657943)); #149150=CARTESIAN_POINT('Ctrl Pts',(-2.08043455305455,0.769758333296861, 0.198467677722316)); #149151=CARTESIAN_POINT('Ctrl Pts',(-2.06572666420257,0.769392671088066, 0.189996872151481)); #149152=CARTESIAN_POINT('Ctrl Pts',(-2.05089957203617,0.769019209570692, 0.18173428246456)); #149153=CARTESIAN_POINT('Ctrl Pts',(-2.03599288809333,0.768640395515708, 0.173619017583339)); #149154=CARTESIAN_POINT('',(-2.03156856686103,0.759768149798887,0.182160068388558)); #149155=CARTESIAN_POINT('Origin',(-2.03634182990673,0.758648009366153,0.173444493518966)); #149156=CARTESIAN_POINT('Ctrl Pts',(-2.03156856686103,0.759768149798924, 0.182160068388554)); #149157=CARTESIAN_POINT('Ctrl Pts',(-2.04641555315838,0.760067102166716, 0.190252902590997)); #149158=CARTESIAN_POINT('Ctrl Pts',(-2.061170520353,0.760355059472927,0.198485241023778)); #149159=CARTESIAN_POINT('Ctrl Pts',(-2.07579516326738,0.760627248373075, 0.206917230886329)); #149160=CARTESIAN_POINT('Ctrl Pts',(-1.71237734521609,-0.00885619121577766, 0.208423888643171)); #149161=CARTESIAN_POINT('Ctrl Pts',(-1.77661438685653,-0.0752968392835979, 0.205249247263769)); #149162=CARTESIAN_POINT('Ctrl Pts',(-1.84036173136649,-0.141579220895876, 0.188868035873484)); #149163=CARTESIAN_POINT('Ctrl Pts',(-1.9010612608986,-0.205038116555252, 0.159883826476322)); #149164=CARTESIAN_POINT('Ctrl Pts',(-1.71050937541818,-0.0106584080520958, 0.208434416215775)); #149165=CARTESIAN_POINT('Ctrl Pts',(-1.77473307383714,-0.0771113932750153, 0.205289089731094)); #149166=CARTESIAN_POINT('Ctrl Pts',(-1.8384701273322,-0.143406056505938, 0.188933818182264)); #149167=CARTESIAN_POINT('Ctrl Pts',(-1.89916901242198,-0.2068840652064, 0.159970565107763)); #149168=CARTESIAN_POINT('Ctrl Pts',(-1.70673467297648,-0.014198463152156, 0.206322894297559)); #149169=CARTESIAN_POINT('Ctrl Pts',(-1.77077015220346,-0.0805414957416773, 0.20320936838508)); #149170=CARTESIAN_POINT('Ctrl Pts',(-1.83433415790518,-0.146732219235122, 0.186914989559962)); #149171=CARTESIAN_POINT('Ctrl Pts',(-1.89486900414004,-0.210106351760329, 0.158036180027208)); #149172=CARTESIAN_POINT('Ctrl Pts',(-1.70501200185149,-0.0156154781884069, 0.201198114689802)); #149173=CARTESIAN_POINT('Ctrl Pts',(-1.76868421952737,-0.0816295206291703, 0.198066462305571)); #149174=CARTESIAN_POINT('Ctrl Pts',(-1.83188167118192,-0.147478894779821, 0.181827591624563)); #149175=CARTESIAN_POINT('Ctrl Pts',(-1.89206386357803,-0.210522465460244, 0.153079055526407)); #149176=CARTESIAN_POINT('Ctrl Pts',(-1.70491753145639,-0.0155828252278232, 0.198604388287614)); #149177=CARTESIAN_POINT('Ctrl Pts',(-1.76841880006721,-0.0814192574018885, 0.195462675132126)); #149178=CARTESIAN_POINT('Ctrl Pts',(-1.83144234404832,-0.147089398002603, 0.179251374019)); #149179=CARTESIAN_POINT('Ctrl Pts',(-1.89145643070439,-0.209956552098862, 0.150567796543041)); #149180=CARTESIAN_POINT('',(-1.89145643070439,-0.209956552098862,0.150567796543041)); #149181=CARTESIAN_POINT('',(-1.9010612608986,-0.205038116555252,0.159883826476322)); #149182=CARTESIAN_POINT('Origin',(-1.89864873311842,-0.203011026392617, 0.150393272478668)); #149183=CARTESIAN_POINT('',(-1.71237734521608,-0.00885619121578179,0.208423888643171)); #149184=CARTESIAN_POINT('Ctrl Pts',(-1.9010612608986,-0.205038116555252, 0.159883826476322)); #149185=CARTESIAN_POINT('Ctrl Pts',(-1.84036173136649,-0.141579220895876, 0.188868035873484)); #149186=CARTESIAN_POINT('Ctrl Pts',(-1.77661438685653,-0.0752968392835979, 0.205249247263769)); #149187=CARTESIAN_POINT('Ctrl Pts',(-1.71237734521609,-0.00885619121577766, 0.208423888643171)); #149188=CARTESIAN_POINT('',(-1.70491753145639,-0.0155828252278232,0.198604388287614)); #149189=CARTESIAN_POINT('Origin',(-1.71210983387042,-0.00863729952157796, 0.198429864223242)); #149190=CARTESIAN_POINT('Ctrl Pts',(-1.70491753145639,-0.0155828252278232, 0.198604388287614)); #149191=CARTESIAN_POINT('Ctrl Pts',(-1.76841880006721,-0.0814192574018885, 0.195462675132126)); #149192=CARTESIAN_POINT('Ctrl Pts',(-1.83144234404832,-0.147089398002603, 0.179251374019)); #149193=CARTESIAN_POINT('Ctrl Pts',(-1.89145643070439,-0.209956552098862, 0.150567796543041)); #149194=CARTESIAN_POINT('Ctrl Pts',(-1.70491753145639,-0.015582825227823, 0.198604388287614)); #149195=CARTESIAN_POINT('Ctrl Pts',(-1.70339180578292,-0.0155691702511975, 0.136271210955429)); #149196=CARTESIAN_POINT('Ctrl Pts',(-1.70186558814942,-0.0155550055296686, 0.0739380457765724)); #149197=CARTESIAN_POINT('Ctrl Pts',(-1.70033887659491,-0.0155403290309541, 0.0116048928156924)); #149198=CARTESIAN_POINT('Ctrl Pts',(-1.70311977968774,-0.0137200828216691, 0.198648799816923)); #149199=CARTESIAN_POINT('Ctrl Pts',(-1.70159352261831,-0.0137059101645032, 0.136315655255845)); #149200=CARTESIAN_POINT('Ctrl Pts',(-1.70006683606171,-0.0136911920570666, 0.0739825212905792)); #149201=CARTESIAN_POINT('Ctrl Pts',(-1.69853959162878,-0.0136759965173514, 0.0116494012496413)); #149202=CARTESIAN_POINT('Ctrl Pts',(-1.70106761761649,-0.00853847362681635, 0.198700165578267)); #149203=CARTESIAN_POINT('Ctrl Pts',(-1.69954130652441,-0.00852237667920891, 0.136367061748174)); #149204=CARTESIAN_POINT('Ctrl Pts',(-1.69801448126138,-0.00850576161145815, 0.0740339708914951)); #149205=CARTESIAN_POINT('Ctrl Pts',(-1.69648718377216,-0.00848863580313809, 0.0117008917796347)); #149206=CARTESIAN_POINT('Ctrl Pts',(-1.70321219251504,-0.00339442449025092, 0.198648799816923)); #149207=CARTESIAN_POINT('Ctrl Pts',(-1.70168824641595,-0.00337734105384045, 0.136315655255846)); #149208=CARTESIAN_POINT('Ctrl Pts',(-1.70016386019626,-0.00335980281287252, 0.0739825212905792)); #149209=CARTESIAN_POINT('Ctrl Pts',(-1.69863893680734,-0.00334169029488947, 0.0116494012496412)); #149210=CARTESIAN_POINT('Ctrl Pts',(-1.70504299607305,-0.00156415701653831, 0.198604388287614)); #149211=CARTESIAN_POINT('Ctrl Pts',(-1.70352039378954,-0.00154738143400701, 0.136271210955429)); #149212=CARTESIAN_POINT('Ctrl Pts',(-1.70199730578591,-0.0015300940062477, 0.0739380457765724)); #149213=CARTESIAN_POINT('Ctrl Pts',(-1.70047373013457,-0.00151229270088141, 0.0116048928156924)); #149214=CARTESIAN_POINT('Ctrl Pts',(-1.70033887659491,-0.0155403290309541, 0.0116048928156924)); #149215=CARTESIAN_POINT('Ctrl Pts',(-1.70186558814942,-0.0155550055296686, 0.0739380457765724)); #149216=CARTESIAN_POINT('Ctrl Pts',(-1.70339180578292,-0.0155691702511975, 0.136271210955429)); #149217=CARTESIAN_POINT('Ctrl Pts',(-1.70491753145639,-0.015582825227823, 0.198604388287614)); #149218=CARTESIAN_POINT('',(-1.70504299607305,-0.0015641570165382,0.198604388287614)); #149219=CARTESIAN_POINT('Origin',(-1.71210983387042,-0.00863729952157776, 0.198429864223241)); #149220=CARTESIAN_POINT('Ctrl Pts',(-1.70504299607305,-0.00156415701653831, 0.198604388287614)); #149221=CARTESIAN_POINT('Ctrl Pts',(-1.70352039378954,-0.00154738143400701, 0.136271210955429)); #149222=CARTESIAN_POINT('Ctrl Pts',(-1.70199730578591,-0.0015300940062477, 0.0739380457765724)); #149223=CARTESIAN_POINT('Ctrl Pts',(-1.70047373013457,-0.00151229270088141, 0.0116048928156924)); #149224=CARTESIAN_POINT('Origin',(-1.71210983387042,-0.0086372995215775, 0.198429864223242)); #149225=CARTESIAN_POINT('Origin',(-1.71210983387042,-0.0086372995215775, 0.198429864223242)); #149226=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.150393272478668)); #149227=CARTESIAN_POINT('',(-1.9063037297088,-0.209443022082301,0.150567796543041)); #149228=CARTESIAN_POINT('Origin',(-1.89864873311842,-0.203011026392617, 0.150393272478668)); #149229=CARTESIAN_POINT('',(-2.27944714993512,0.390454049095527,0.150567796543041)); #149230=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.150567796543041)); #149231=CARTESIAN_POINT('',(-2.2731785105773,0.393209195648749,0.159883826476322)); #149232=CARTESIAN_POINT('Origin',(-2.27029375014432,0.394477084469558,0.150393272478668)); #149233=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,0.159883826476322)); #149234=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.150393272478668)); #149235=CARTESIAN_POINT('',(-2.35455776986857,0.77004787744153,0.150567796543041)); #149236=CARTESIAN_POINT('',(-2.34771226067049,0.769887640906305,0.159883826476323)); #149237=CARTESIAN_POINT('Origin',(-2.34456203093947,0.769813901775635,0.150393272478668)); #149238=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.159883826476323)); #149239=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.150567796543041)); #149240=CARTESIAN_POINT('Origin',(-1.89864873311842,-0.203011026392617, 0.150393272478668)); #149241=CARTESIAN_POINT('Origin',(-1.89864873311842,-0.203011026392617, 0.150393272478668)); #149242=CARTESIAN_POINT('Ctrl Pts',(-2.34771226067049,0.769887640906305, 0.159883826476322)); #149243=CARTESIAN_POINT('Ctrl Pts',(-2.26173756190644,0.766396608952062, 0.188448805706264)); #149244=CARTESIAN_POINT('Ctrl Pts',(-2.17170734150001,0.762978856427945, 0.204797111010713)); #149245=CARTESIAN_POINT('Ctrl Pts',(-2.08116829409903,0.759772540667487, 0.208285745217557)); #149246=CARTESIAN_POINT('Ctrl Pts',(-2.34761106860672,0.772482061542528, 0.159897257507384)); #149247=CARTESIAN_POINT('Ctrl Pts',(-2.26163678539658,0.768989370672026, 0.188459267806344)); #149248=CARTESIAN_POINT('Ctrl Pts',(-2.1716131457748,0.765569915269817, 0.204803345709688)); #149249=CARTESIAN_POINT('Ctrl Pts',(-2.08107623296606,0.762360969960008, 0.208287582397861)); #149250=CARTESIAN_POINT('Ctrl Pts',(-2.34673681465675,0.777628751944312, 0.157896769089394)); #149251=CARTESIAN_POINT('Ctrl Pts',(-2.26096054423442,0.774138659567952, 0.18639066281232)); #149252=CARTESIAN_POINT('Ctrl Pts',(-2.17113821561423,0.770720585512775, 0.202695236281635)); #149253=CARTESIAN_POINT('Ctrl Pts',(-2.08081126715464,0.76751035675408, 0.206167787921868)); #149254=CARTESIAN_POINT('Ctrl Pts',(-2.34503226447728,0.779791862610025, 0.153031570542068)); #149255=CARTESIAN_POINT('Ctrl Pts',(-2.25971713706203,0.776317488798261, 0.18137568464283)); #149256=CARTESIAN_POINT('Ctrl Pts',(-2.17037909332689,0.772914570317658, 0.197596335604731)); #149257=CARTESIAN_POINT('Ctrl Pts',(-2.08053574716507,0.769716670132977, 0.201055431335508)); #149258=CARTESIAN_POINT('Ctrl Pts',(-2.34421308912607,0.77980628792519, 0.150567796543041)); #149259=CARTESIAN_POINT('Ctrl Pts',(-2.259130378364,0.776341401507872,0.178836492218468)); #149260=CARTESIAN_POINT('Ctrl Pts',(-2.17003422901053,0.772947522384607, 0.195015228556424)); #149261=CARTESIAN_POINT('Ctrl Pts',(-2.08043455305455,0.769758333296861, 0.198467677722316)); #149262=CARTESIAN_POINT('',(-2.08116829409903,0.759772540667487,0.208285745217556)); #149263=CARTESIAN_POINT('Origin',(-2.08078349486795,0.759765947147306,0.198293153657943)); #149264=CARTESIAN_POINT('Ctrl Pts',(-2.08116829409903,0.759772540667487, 0.208285745217557)); #149265=CARTESIAN_POINT('Ctrl Pts',(-2.17170734150001,0.762978856427945, 0.204797111010713)); #149266=CARTESIAN_POINT('Ctrl Pts',(-2.26173756190644,0.766396608952062, 0.188448805706264)); #149267=CARTESIAN_POINT('Ctrl Pts',(-2.34771226067049,0.769887640906305, 0.159883826476322)); #149268=CARTESIAN_POINT('',(-2.34421308912607,0.77980628792519,0.150567796543041)); #149269=CARTESIAN_POINT('Origin',(-2.34456203093947,0.769813901775635,0.150393272478668)); #149270=CARTESIAN_POINT('Ctrl Pts',(-2.34421308912607,0.77980628792519, 0.150567796543041)); #149271=CARTESIAN_POINT('Ctrl Pts',(-2.259130378364,0.776341401507872,0.178836492218468)); #149272=CARTESIAN_POINT('Ctrl Pts',(-2.17003422901053,0.772947522384607, 0.195015228556424)); #149273=CARTESIAN_POINT('Ctrl Pts',(-2.08043455305455,0.769758333296861, 0.198467677722316)); #149274=CARTESIAN_POINT('Origin',(-2.34456203093947,0.769813901775635,0.150393272478668)); #149275=CARTESIAN_POINT('Origin',(-2.34456203093947,0.769813901775635,0.150393272478668)); #149276=CARTESIAN_POINT('Origin',(-2.08078349486795,0.759765947147306,0.198293153657943)); #149277=CARTESIAN_POINT('Origin',(-2.08078349486795,0.759765947147306,0.198293153657943)); #149278=CARTESIAN_POINT('Origin',(-2.0363418299067,0.758648009366118,0.173444493518952)); #149279=CARTESIAN_POINT('Origin',(-2.0363418299067,0.758648009366118,0.173444493518952)); #149280=CARTESIAN_POINT('Ctrl Pts',(-2.06509981993631,0.706084961634103, 0.207364433123329)); #149281=CARTESIAN_POINT('Ctrl Pts',(-2.06937001020248,0.724121158433261, 0.20723960073284)); #149282=CARTESIAN_POINT('Ctrl Pts',(-2.07293641357834,0.742319494022242, 0.207092101752109)); #149283=CARTESIAN_POINT('Ctrl Pts',(-2.07579516326738,0.760627248373076, 0.206917230886329)); #149284=CARTESIAN_POINT('Ctrl Pts',(-2.0666121543863,0.705724969081559, 0.208222986908496)); #149285=CARTESIAN_POINT('Ctrl Pts',(-2.0709163310172,0.723794811756192, 0.208128321498519)); #149286=CARTESIAN_POINT('Ctrl Pts',(-2.07451722981056,0.742028689758734, 0.208013701846509)); #149287=CARTESIAN_POINT('Ctrl Pts',(-2.07741017835154,0.760374102706358, 0.20787666865963)); #149288=CARTESIAN_POINT('Ctrl Pts',(-2.06834949267895,0.705308775852427, 0.208656766986493)); #149289=CARTESIAN_POINT('Ctrl Pts',(-2.07270175271906,0.723414666854967, 0.208575922476248)); #149290=CARTESIAN_POINT('Ctrl Pts',(-2.07635273931692,0.741686979185587, 0.20847680973301)); #149291=CARTESIAN_POINT('Ctrl Pts',(-2.07929827120225,0.760073762446846, 0.208357558145825)); #149292=CARTESIAN_POINT('Ctrl Pts',(-2.07007533229901,0.704893038008416, 0.208606723211507)); #149293=CARTESIAN_POINT('Ctrl Pts',(-2.07447379991162,0.723034716050415, 0.208519117701031)); #149294=CARTESIAN_POINT('Ctrl Pts',(-2.07817265133159,0.741345046835077, 0.208413261996196)); #149295=CARTESIAN_POINT('Ctrl Pts',(-2.08116829409903,0.759772540667488, 0.208285745217556)); #149296=CARTESIAN_POINT('',(-2.06509981993631,0.706084961634104,0.207364433123329)); #149297=CARTESIAN_POINT('Ctrl Pts',(-2.07579516326738,0.760627248373076, 0.206917230886329)); #149298=CARTESIAN_POINT('Ctrl Pts',(-2.07293641357834,0.742319494022242, 0.207092101752109)); #149299=CARTESIAN_POINT('Ctrl Pts',(-2.06937001020248,0.724121158433261, 0.20723960073284)); #149300=CARTESIAN_POINT('Ctrl Pts',(-2.06509981993631,0.706084961634103, 0.207364433123329)); #149301=CARTESIAN_POINT('',(-2.07007533229901,0.704893038008416,0.208606723211507)); #149302=CARTESIAN_POINT('Origin',(-2.06979049101206,0.704913828115463,0.198610802382854)); #149303=CARTESIAN_POINT('Ctrl Pts',(-2.07007533229901,0.704893038008416, 0.208606723211507)); #149304=CARTESIAN_POINT('Ctrl Pts',(-2.07447379991162,0.723034716050415, 0.208519117701031)); #149305=CARTESIAN_POINT('Ctrl Pts',(-2.07817265133159,0.741345046835077, 0.208413261996196)); #149306=CARTESIAN_POINT('Ctrl Pts',(-2.08116829409903,0.759772540667488, 0.208285745217556)); #149307=CARTESIAN_POINT('Ctrl Pts',(-2.07639483558555,0.759622556602119, 0.20736443312333)); #149308=CARTESIAN_POINT('Ctrl Pts',(-2.06512897077976,0.778497400946262, 0.198962978211734)); #149309=CARTESIAN_POINT('Ctrl Pts',(-2.05386310597396,0.797372245290405, 0.190561523300138)); #149310=CARTESIAN_POINT('Ctrl Pts',(-2.04259724116816,0.816247089634548, 0.182160068388542)); #149311=CARTESIAN_POINT('Ctrl Pts',(-2.0729499463491,0.739703086650921, 0.20736443312333)); #149312=CARTESIAN_POINT('Ctrl Pts',(-2.06184105038246,0.758885361989871, 0.198962978211734)); #149313=CARTESIAN_POINT('Ctrl Pts',(-2.05073217004849,0.778067633349452, 0.190561523300138)); #149314=CARTESIAN_POINT('Ctrl Pts',(-2.03962327408185,0.797249908688402, 0.182160068388542)); #149315=CARTESIAN_POINT('Ctrl Pts',(-2.06870139278917,0.719953107913769, 0.20736443312333)); #149316=CARTESIAN_POINT('Ctrl Pts',(-2.05778134375278,0.739435922030975, 0.198962978211734)); #149317=CARTESIAN_POINT('Ctrl Pts',(-2.04686131028742,0.758918731934037, 0.190561523300138)); #149318=CARTESIAN_POINT('Ctrl Pts',(-2.03594126125104,0.778401546051244, 0.182160068388542)); #149319=CARTESIAN_POINT('Ctrl Pts',(-2.06366894188263,0.700447914497602, 0.20736443312333)); #149320=CARTESIAN_POINT('Ctrl Pts',(-2.05296881687542,0.720221326264699, 0.198962978211734)); #149321=CARTESIAN_POINT('Ctrl Pts',(-2.04226869186821,0.739994738031795, 0.190561523300138)); #149322=CARTESIAN_POINT('Ctrl Pts',(-2.031568566861,0.759768149798891,0.182160068388542)); #149323=CARTESIAN_POINT('Ctrl Pts',(-2.06509981993631,0.706084961634104, 0.207364433123329)); #149324=CARTESIAN_POINT('Ctrl Pts',(-2.05574868544718,0.725363211276791, 0.199774382505392)); #149325=CARTESIAN_POINT('Ctrl Pts',(-2.04442885610547,0.743368193765056, 0.191311019238053)); #149326=CARTESIAN_POINT('Ctrl Pts',(-2.03156856686097,0.75976814979892, 0.182160068388523)); #149327=CARTESIAN_POINT('Ctrl Pts',(-2.03156856686097,0.75976814979892, 0.182160068388523)); #149328=CARTESIAN_POINT('Ctrl Pts',(-2.04442885610547,0.743368193765056, 0.191311019238053)); #149329=CARTESIAN_POINT('Ctrl Pts',(-2.05574868544718,0.725363211276791, 0.199774382505392)); #149330=CARTESIAN_POINT('Ctrl Pts',(-2.06509981993631,0.706084961634104, 0.207364433123329)); #149331=CARTESIAN_POINT('Ctrl Pts',(-2.0299781176237,0.758153166928322, 0.181496586627964)); #149332=CARTESIAN_POINT('Ctrl Pts',(-2.04278013850024,0.741904875769242, 0.190599446554569)); #149333=CARTESIAN_POINT('Ctrl Pts',(-2.05404699979556,0.72405506522087, 0.199024882343136)); #149334=CARTESIAN_POINT('Ctrl Pts',(-2.0633538126104,0.704936262106534, 0.206582510085328)); #149335=CARTESIAN_POINT('Ctrl Pts',(-2.02793638172605,0.755167735842377, 0.179008056484134)); #149336=CARTESIAN_POINT('Ctrl Pts',(-2.04059869007897,0.739188665795204, 0.188087303712936)); #149337=CARTESIAN_POINT('Ctrl Pts',(-2.05174493780317,0.721614654848984, 0.196489828229118)); #149338=CARTESIAN_POINT('Ctrl Pts',(-2.0609460087496,0.702773732653757, 0.20402939672839)); #149339=CARTESIAN_POINT('Ctrl Pts',(-2.02801012030483,0.753125902209998, 0.175240745191209)); #149340=CARTESIAN_POINT('Ctrl Pts',(-2.04049624206254,0.737266935775217, 0.184363605911252)); #149341=CARTESIAN_POINT('Ctrl Pts',(-2.05148173241038,0.719830790712342, 0.192800022546235)); #149342=CARTESIAN_POINT('Ctrl Pts',(-2.06054375331831,0.7011417861805,0.200363082487431)); #149343=CARTESIAN_POINT('Ctrl Pts',(-2.02842224195463,0.752544758755138, 0.173619017583307)); #149344=CARTESIAN_POINT('Ctrl Pts',(-2.04083674712807,0.736697087154392, 0.18276076103039)); #149345=CARTESIAN_POINT('Ctrl Pts',(-2.0517549955199,0.719284479293499, 0.191212059545139)); #149346=CARTESIAN_POINT('Ctrl Pts',(-2.06075796613922,0.700626283856514, 0.198785326447227)); #149347=CARTESIAN_POINT('',(-2.06075796613922,0.700626283856514,0.198785326447227)); #149348=CARTESIAN_POINT('Ctrl Pts',(-2.02842224195463,0.752544758755138, 0.173619017583307)); #149349=CARTESIAN_POINT('Ctrl Pts',(-2.04083674712807,0.736697087154392, 0.18276076103039)); #149350=CARTESIAN_POINT('Ctrl Pts',(-2.0517549955199,0.719284479293499, 0.191212059545139)); #149351=CARTESIAN_POINT('Ctrl Pts',(-2.06075796613922,0.700626283856514, 0.198785326447227)); #149352=CARTESIAN_POINT('Origin',(-2.06979049101206,0.704913828115463,0.198610802382854)); #149353=CARTESIAN_POINT('Origin',(-1.80114719033798,0.577394661799789,0.)); #149354=CARTESIAN_POINT('',(-2.04195565713779,0.426636903575526,0.0116048928156924)); #149355=CARTESIAN_POINT('Origin',(-1.80114719033798,0.577394661799789,0.0116048928156924)); #149356=CARTESIAN_POINT('',(-2.04466403748585,0.424941326359667,0.194666771372828)); #149357=CARTESIAN_POINT('',(-2.04178396402566,0.426744391775723,0.)); #149358=CARTESIAN_POINT('',(-2.07177377357707,0.480946257801113,0.194523981373715)); #149359=CARTESIAN_POINT('Ctrl Pts',(-2.07177377357707,0.480946257801113, 0.194523981373715)); #149360=CARTESIAN_POINT('Ctrl Pts',(-2.06480872687093,0.461410801425061, 0.194371792181597)); #149361=CARTESIAN_POINT('Ctrl Pts',(-2.05566540624357,0.442522059495217, 0.194422942457585)); #149362=CARTESIAN_POINT('Ctrl Pts',(-2.04466403748585,0.424941326359667, 0.194666771372828)); #149363=CARTESIAN_POINT('Ctrl Pts',(-2.06075796613922,0.700626283856514, 0.198785326447227)); #149364=CARTESIAN_POINT('Ctrl Pts',(-2.07450179110614,0.671653275978424, 0.198317696204587)); #149365=CARTESIAN_POINT('Ctrl Pts',(-2.09535834556969,0.597979574047449, 0.196421552459197)); #149366=CARTESIAN_POINT('Ctrl Pts',(-2.08612385351723,0.521195142337246, 0.194837536639757)); #149367=CARTESIAN_POINT('Ctrl Pts',(-2.07177377357707,0.480946257801113, 0.194523981373715)); #149368=CARTESIAN_POINT('Origin',(-1.80114719033798,0.577394661799789,0.0118110236)); #149369=CARTESIAN_POINT('',(-1.93012893493783,0.83053558876985,0.0116048928156924)); #149370=CARTESIAN_POINT('Origin',(-1.80114719033798,0.577394661799789,0.0116048928156924)); #149371=CARTESIAN_POINT('Origin',(-1.92476765910389,0.820013492495251,0.0118110236)); #149372=CARTESIAN_POINT('Origin',(-2.0319461738759,0.432903324676079,0.0118110236)); #149373=CARTESIAN_POINT('Origin',(-2.3626852223004,0.782557150544088,0.03)); #149374=CARTESIAN_POINT('Ctrl Pts',(-2.34728665976044,0.783070411350685, -0.0301745240643741)); #149375=CARTESIAN_POINT('Ctrl Pts',(-2.34626404630003,0.781982436322657, 0.0300729497933863)); #149376=CARTESIAN_POINT('Ctrl Pts',(-2.34523952965514,0.780894395418942, 0.0903203901580674)); #149377=CARTESIAN_POINT('Ctrl Pts',(-2.34421308912607,0.77980628792519, 0.150567796543041)); #149378=CARTESIAN_POINT('Ctrl Pts',(-2.34421308912607,0.77980628792519, 0.150567796543041)); #149379=CARTESIAN_POINT('Ctrl Pts',(-2.34524036508424,0.780895281039341, 0.0902713542563821)); #149380=CARTESIAN_POINT('Ctrl Pts',(-2.34626571402524,0.781984207455014, 0.0299748779346805)); #149381=CARTESIAN_POINT('Ctrl Pts',(-2.34728915669932,0.783073067888307, -0.0303216319342466)); #149382=CARTESIAN_POINT('Ctrl Pts',(-2.34684775331025,0.779897479676839, 0.150614330538373)); #149383=CARTESIAN_POINT('Ctrl Pts',(-2.3478730034171,0.780986336456363, 0.0903177949601442)); #149384=CARTESIAN_POINT('Ctrl Pts',(-2.34889638179196,0.782075329081669, 0.0300212306619058)); #149385=CARTESIAN_POINT('Ctrl Pts',(-2.34991781327634,0.783164054625182, -0.0302753713599575)); #149386=CARTESIAN_POINT('Ctrl Pts',(-2.35216548586133,0.777874160548144, 0.150668386765892)); #149387=CARTESIAN_POINT('Ctrl Pts',(-2.35318673405046,0.77896651016129, 0.0903717344325237)); #149388=CARTESIAN_POINT('Ctrl Pts',(-2.35420603944829,0.780058750214436, 0.0300750480811667)); #149389=CARTESIAN_POINT('Ctrl Pts',(-2.35522349662941,0.781150933340716, -0.0302216691697034)); #149390=CARTESIAN_POINT('Ctrl Pts',(-2.35449526679902,0.772683378279178, 0.150614330538373)); #149391=CARTESIAN_POINT('Ctrl Pts',(-2.35551905327783,0.773782363234727, 0.0903177949601442)); #149392=CARTESIAN_POINT('Ctrl Pts',(-2.35654112757261,0.774881222362328, 0.0300212306619059)); #149393=CARTESIAN_POINT('Ctrl Pts',(-2.35756107769194,0.775979990687274, -0.0302753713599575)); #149394=CARTESIAN_POINT('Ctrl Pts',(-2.35455776986857,0.77004787744153, 0.150567796543041)); #149395=CARTESIAN_POINT('Ctrl Pts',(-2.35558476325447,0.77114894240368, 0.0902713542563821)); #149396=CARTESIAN_POINT('Ctrl Pts',(-2.35660980877315,0.772249896674045, 0.0299748779346805)); #149397=CARTESIAN_POINT('Ctrl Pts',(-2.35763292763109,0.77335074144606, -0.0303216319342466)); #149398=CARTESIAN_POINT('Ctrl Pts',(-2.35455776986857,0.77004787744153, 0.150567796543041)); #149399=CARTESIAN_POINT('Ctrl Pts',(-2.35558392805517,0.771148046965886, 0.0903203901580678)); #149400=CARTESIAN_POINT('Ctrl Pts',(-2.35660814154145,0.772248105978424, 0.0300729497933871)); #149401=CARTESIAN_POINT('Ctrl Pts',(-2.3576304314822,0.773348055669669, -0.0301745240643729)); #149402=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #149403=CARTESIAN_POINT('',(-2.28249237616978,0.389115633518371,-0.04)); #149404=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #149405=CARTESIAN_POINT('Ctrl Pts',(-1.90645584642406,-0.214162342905283, -0.0301745240643731)); #149406=CARTESIAN_POINT('Ctrl Pts',(-1.90640571317958,-0.212589836772914, 0.0300729321165006)); #149407=CARTESIAN_POINT('Ctrl Pts',(-1.90635500842294,-0.2110167306861, 0.0903203723491901)); #149408=CARTESIAN_POINT('Ctrl Pts',(-1.9063037297088,-0.209443022082301, 0.150567796543041)); #149409=CARTESIAN_POINT('Ctrl Pts',(-2.08899248270833,0.826812650664253, 0.207761883305434)); #149410=CARTESIAN_POINT('Ctrl Pts',(-2.17563812565654,0.829798579936641, 0.203367561966197)); #149411=CARTESIAN_POINT('Ctrl Pts',(-2.2616901192637,0.832559042635536, 0.187213560560121)); #149412=CARTESIAN_POINT('Ctrl Pts',(-2.34404810376078,0.834994700390863, 0.159883826476323)); #149413=CARTESIAN_POINT('Ctrl Pts',(-2.08908093710142,0.82422180722,0.207767306187498)); #149414=CARTESIAN_POINT('Ctrl Pts',(-2.17572550016876,0.82720158465244, 0.20338285714283)); #149415=CARTESIAN_POINT('Ctrl Pts',(-2.26177362831112,0.829955694466177, 0.187239459232768)); #149416=CARTESIAN_POINT('Ctrl Pts',(-2.34413573584424,0.832385472450903, 0.159918898625188)); #149417=CARTESIAN_POINT('Ctrl Pts',(-2.08914939318232,0.819058228090719, 0.205651804277407)); #149418=CARTESIAN_POINT('Ctrl Pts',(-2.17559481059179,0.82201138895898, 0.201285695997269)); #149419=CARTESIAN_POINT('Ctrl Pts',(-2.26145379354126,0.824739323710395, 0.185187531881396)); #149420=CARTESIAN_POINT('Ctrl Pts',(-2.34363090684774,0.827143850497622, 0.157937484968411)); #149421=CARTESIAN_POINT('Ctrl Pts',(-2.08896581893108,0.816831850280363, 0.20053788930639)); #149422=CARTESIAN_POINT('Ctrl Pts',(-2.17494880333153,0.819758195304592, 0.196183532121713)); #149423=CARTESIAN_POINT('Ctrl Pts',(-2.26034325722147,0.822460766182795, 0.180159912581568)); #149424=CARTESIAN_POINT('Ctrl Pts',(-2.34207468397868,0.824841119400598, 0.153045547420497)); #149425=CARTESIAN_POINT('Ctrl Pts',(-2.08883623048567,0.816782112533094, 0.197949249445141)); #149426=CARTESIAN_POINT('Ctrl Pts',(-2.17458591579167,0.819700603679932, 0.19360050717444)); #149427=CARTESIAN_POINT('Ctrl Pts',(-2.25974813332503,0.822395319273328, 0.177614059363195)); #149428=CARTESIAN_POINT('Ctrl Pts',(-2.34125458713376,0.824769205322908, 0.150567796543041)); #149429=CARTESIAN_POINT('',(-2.34125458713376,0.824769205322908,0.150567796543041)); #149430=CARTESIAN_POINT('',(-2.34404810376078,0.834994700390863,0.159883826476323)); #149431=CARTESIAN_POINT('Origin',(-2.34090564532036,0.834761591472463,0.150393272478668)); #149432=CARTESIAN_POINT('',(-2.08899248270938,0.826812650664176,0.207761883305382)); #149433=CARTESIAN_POINT('Ctrl Pts',(-2.34404810376078,0.834994700390863, 0.159883826476323)); #149434=CARTESIAN_POINT('Ctrl Pts',(-2.2616901192637,0.832559042635536, 0.187213560560121)); #149435=CARTESIAN_POINT('Ctrl Pts',(-2.17563812565654,0.829798579936641, 0.203367561966197)); #149436=CARTESIAN_POINT('Ctrl Pts',(-2.08899248270833,0.826812650664253, 0.207761883305434)); #149437=CARTESIAN_POINT('',(-2.08883623048567,0.816782112533094,0.197949249445141)); #149438=CARTESIAN_POINT('Origin',(-2.08848728867227,0.826774498682649,0.197774725380768)); #149439=CARTESIAN_POINT('Ctrl Pts',(-2.08883623048567,0.816782112533094, 0.197949249445141)); #149440=CARTESIAN_POINT('Ctrl Pts',(-2.17458591579167,0.819700603679932, 0.19360050717444)); #149441=CARTESIAN_POINT('Ctrl Pts',(-2.25974813332503,0.822395319273328, 0.177614059363195)); #149442=CARTESIAN_POINT('Ctrl Pts',(-2.34125458713376,0.824769205322908, 0.150567796543041)); #149443=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.150393272478668)); #149444=CARTESIAN_POINT('',(-2.35087672579639,0.835501250509841,0.150567796543041)); #149445=CARTESIAN_POINT('Origin',(-2.34090564532036,0.834761591472463,0.150393272478668)); #149446=CARTESIAN_POINT('',(-2.22606779500331,1.77969875934588,0.150567796543041)); #149447=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.150567796543041)); #149448=CARTESIAN_POINT('',(-2.21934208986506,1.77841362809909,0.159883826476322)); #149449=CARTESIAN_POINT('Origin',(-2.21624699267425,1.77782222451686,0.150393272478668)); #149450=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.159883826476322)); #149451=CARTESIAN_POINT('Ctrl Pts',(-1.77943333803141,1.71422790745287, -0.0342061746982973)); #149452=CARTESIAN_POINT('Ctrl Pts',(-1.78451153432184,1.71472060602674, -0.0114846232258017)); #149453=CARTESIAN_POINT('Ctrl Pts',(-1.79660310600848,1.71647934868014, 0.00957837421386976)); #149454=CARTESIAN_POINT('Ctrl Pts',(-1.81354138527691,1.71918690557546, 0.0253261417915019)); #149455=CARTESIAN_POINT('Ctrl Pts',(-1.77708291647025,1.71380399098248, -0.033671670480665)); #149456=CARTESIAN_POINT('Ctrl Pts',(-1.78226051357563,1.71430581705981, -0.0104617089085725)); #149457=CARTESIAN_POINT('Ctrl Pts',(-1.79459080271317,1.71609745467712, 0.0110607143196661)); #149458=CARTESIAN_POINT('Ctrl Pts',(-1.81187835438231,1.71886097062789, 0.0271709346484126)); #149459=CARTESIAN_POINT('Ctrl Pts',(-1.7727284830364,1.71110173107192,-0.0326398717787493)); #149460=CARTESIAN_POINT('Ctrl Pts',(-1.77810693013337,1.71160579769405, -0.00845965653739371)); #149461=CARTESIAN_POINT('Ctrl Pts',(-1.7909202597901,1.71343386590064,0.013981618403665)); #149462=CARTESIAN_POINT('Ctrl Pts',(-1.8089109319807,1.71626124739183,0.0308096680521867)); #149463=CARTESIAN_POINT('Ctrl Pts',(-1.77125619520649,1.70610483675867, -0.032202466379288)); #149464=CARTESIAN_POINT('Ctrl Pts',(-1.77675486091414,1.70659060755855, -0.00754988170558851)); #149465=CARTESIAN_POINT('Ctrl Pts',(-1.78984699580472,1.7083936119274,0.0153067456217725)); #149466=CARTESIAN_POINT('Ctrl Pts',(-1.80821518948771,1.71119208374881, 0.0324295630306063)); #149467=CARTESIAN_POINT('Ctrl Pts',(-1.77143876993338,1.70366426283126, -0.0321903493813351)); #149468=CARTESIAN_POINT('Ctrl Pts',(-1.77696247654686,1.70414256284351, -0.00749429984440282)); #149469=CARTESIAN_POINT('Ctrl Pts',(-1.7901139069777,1.7059316006024,0.0153974999041507)); #149470=CARTESIAN_POINT('Ctrl Pts',(-1.8085364851413,1.70870907722609,0.0325108879496558)); #149471=CARTESIAN_POINT('',(-1.8085364851413,1.70870907722611,0.0325108879496546)); #149472=CARTESIAN_POINT('Ctrl Pts',(-1.77143876993338,1.70366426283126, -0.0321903493813351)); #149473=CARTESIAN_POINT('Ctrl Pts',(-1.77696247654686,1.70414256284351, -0.00749429984440282)); #149474=CARTESIAN_POINT('Ctrl Pts',(-1.7901139069777,1.7059316006024,0.0153974999041507)); #149475=CARTESIAN_POINT('Ctrl Pts',(-1.8085364851413,1.70870907722609,0.0325108879496558)); #149476=CARTESIAN_POINT('',(-1.81354138527691,1.71918690557546,0.0253261417915019)); #149477=CARTESIAN_POINT('Origin',(-1.81527760257899,1.70934032795525,0.025151617727129)); #149478=CARTESIAN_POINT('Ctrl Pts',(-1.81354138527691,1.71918690557546, 0.0253261417915019)); #149479=CARTESIAN_POINT('Ctrl Pts',(-1.79760936149791,1.71664019701341, 0.0105139047550858)); #149480=CARTESIAN_POINT('Ctrl Pts',(-1.7859652918596,1.71493291849511,-0.00900079503796426)); #149481=CARTESIAN_POINT('Ctrl Pts',(-1.78041218290534,1.71432905486823, -0.0301750313009672)); #149482=CARTESIAN_POINT('Ctrl Pts',(-1.81354138527691,1.71918690557546, 0.0253261417915015)); #149483=CARTESIAN_POINT('Ctrl Pts',(-1.87372679284325,1.72880744687553, 0.0812814011717272)); #149484=CARTESIAN_POINT('Ctrl Pts',(-1.93265262089428,1.73818140493617, 0.138618116226119)); #149485=CARTESIAN_POINT('Ctrl Pts',(-1.99121939261353,1.7474856274616,0.196317282985466)); #149486=CARTESIAN_POINT('Ctrl Pts',(-1.81187835438231,1.71886097062789, 0.0271709346484122)); #149487=CARTESIAN_POINT('Ctrl Pts',(-1.87200651378698,1.72847254403854, 0.0831267744617116)); #149488=CARTESIAN_POINT('Ctrl Pts',(-1.93090287416196,1.73783941844533, 0.140469059447618)); #149489=CARTESIAN_POINT('Ctrl Pts',(-1.98944575597518,1.74713999035979, 0.19817332463449)); #149490=CARTESIAN_POINT('Ctrl Pts',(-1.80891108137666,1.71626108499025, 0.0308095352842247)); #149491=CARTESIAN_POINT('Ctrl Pts',(-1.8689390058623,1.72578743210471,0.0867636370208347)); #149492=CARTESIAN_POINT('Ctrl Pts',(-1.92778286513385,1.73510193176999, 0.144116576165788)); #149493=CARTESIAN_POINT('Ctrl Pts',(-1.98628573293296,1.74435888920304, 0.201829327728078)); #149494=CARTESIAN_POINT('Ctrl Pts',(-1.80821518948771,1.71119208374881, 0.0324295630306058)); #149495=CARTESIAN_POINT('Ctrl Pts',(-1.8682646906904,1.7205945932876,0.0883235510622348)); #149496=CARTESIAN_POINT('Ctrl Pts',(-1.92713689062923,1.72982986371816, 0.14563940300566)); #149497=CARTESIAN_POINT('Ctrl Pts',(-1.98566050567863,1.7390240047376,0.203324227896233)); #149498=CARTESIAN_POINT('Ctrl Pts',(-1.8085364851413,1.70870907722609,0.0325108879496553)); #149499=CARTESIAN_POINT('Ctrl Pts',(-1.86862978341534,1.71807624933786, 0.0883600418305983)); #149500=CARTESIAN_POINT('Ctrl Pts',(-1.92753181489379,1.72729005636512, 0.145648269235954)); #149501=CARTESIAN_POINT('Ctrl Pts',(-1.98608082309992,1.73646797921544, 0.203309759676489)); #149502=CARTESIAN_POINT('',(-1.98608082309993,1.73646797921541,0.203309759676489)); #149503=CARTESIAN_POINT('',(-1.99121939261353,1.7474856274616,0.196317282985466)); #149504=CARTESIAN_POINT('Origin',(-1.99295560991561,1.73763904984138,0.196142758921094)); #149505=CARTESIAN_POINT('Ctrl Pts',(-1.99121939261353,1.7474856274616,0.196317282985466)); #149506=CARTESIAN_POINT('Ctrl Pts',(-1.93265262089428,1.73818140493617, 0.138618116226119)); #149507=CARTESIAN_POINT('Ctrl Pts',(-1.87372679284325,1.72880744687553, 0.0812814011717272)); #149508=CARTESIAN_POINT('Ctrl Pts',(-1.81354138527691,1.71918690557546, 0.0253261417915015)); #149509=CARTESIAN_POINT('Ctrl Pts',(-1.8085364851413,1.70870907722609,0.0325108879496553)); #149510=CARTESIAN_POINT('Ctrl Pts',(-1.86862978341534,1.71807624933786, 0.0883600418305983)); #149511=CARTESIAN_POINT('Ctrl Pts',(-1.92753181489379,1.72729005636512, 0.145648269235954)); #149512=CARTESIAN_POINT('Ctrl Pts',(-1.98608082309992,1.73646797921544, 0.203309759676489)); #149513=CARTESIAN_POINT('Ctrl Pts',(-2.21934208986505,1.77841362809908, 0.159883826476322)); #149514=CARTESIAN_POINT('Ctrl Pts',(-2.14637813373072,1.76510528076066, 0.184508431110436)); #149515=CARTESIAN_POINT('Ctrl Pts',(-2.07042585498007,1.75142843881205, 0.200069870994813)); #149516=CARTESIAN_POINT('Ctrl Pts',(-1.99371515219947,1.73778441932534, 0.206112812242523)); #149517=CARTESIAN_POINT('Ctrl Pts',(-2.21887670526216,1.78095424080144, 0.159877281349854)); #149518=CARTESIAN_POINT('Ctrl Pts',(-2.14591570197157,1.76764749681898, 0.184502569918278)); #149519=CARTESIAN_POINT('Ctrl Pts',(-2.0699708159176,1.75397343266008,0.200064623361802)); #149520=CARTESIAN_POINT('Ctrl Pts',(-1.993262254676,1.74033158180152,0.206110175859895)); #149521=CARTESIAN_POINT('Ctrl Pts',(-2.21729661813421,1.78588472578101, 0.157858768208338)); #149522=CARTESIAN_POINT('Ctrl Pts',(-2.14450525019368,1.77261233388531, 0.182428052573343)); #149523=CARTESIAN_POINT('Ctrl Pts',(-2.06873132365795,1.75897364730654, 0.197957013173391)); #149524=CARTESIAN_POINT('Ctrl Pts',(-1.99220002957535,1.74536907124248, 0.203990858944029)); #149525=CARTESIAN_POINT('Ctrl Pts',(-2.21531982911206,1.78776801950478, 0.153018699750142)); #149526=CARTESIAN_POINT('Ctrl Pts',(-2.1429160239305,1.77456819162928,0.177454976245925)); #149527=CARTESIAN_POINT('Ctrl Pts',(-2.06754729995339,1.76100484543808, 0.192897978605248)); #149528=CARTESIAN_POINT('Ctrl Pts',(-1.99142425187066,1.74747603909226, 0.198896255754868)); #149529=CARTESIAN_POINT('Ctrl Pts',(-2.21451077537216,1.78766880213707, 0.150567796543041)); #149530=CARTESIAN_POINT('Ctrl Pts',(-2.14230245395351,1.7745045990626,0.174936988234936)); #149531=CARTESIAN_POINT('Ctrl Pts',(-2.06713640046464,1.7609778404266,0.190337020730034)); #149532=CARTESIAN_POINT('Ctrl Pts',(-1.99121939261353,1.7474856274616,0.196317282985466)); #149533=CARTESIAN_POINT('',(-1.99371515219947,1.73778441932534,0.206112812242523)); #149534=CARTESIAN_POINT('Origin',(-1.99295560991561,1.73763904984138,0.196142758921093)); #149535=CARTESIAN_POINT('Ctrl Pts',(-1.99371515219947,1.73778441932534, 0.206112812242523)); #149536=CARTESIAN_POINT('Ctrl Pts',(-2.07042585498007,1.75142843881205, 0.200069870994813)); #149537=CARTESIAN_POINT('Ctrl Pts',(-2.14637813373072,1.76510528076066, 0.184508431110436)); #149538=CARTESIAN_POINT('Ctrl Pts',(-2.21934208986505,1.77841362809908, 0.159883826476322)); #149539=CARTESIAN_POINT('',(-2.21451077537216,1.78766880213707,0.150567796543041)); #149540=CARTESIAN_POINT('Origin',(-2.21624699267425,1.77782222451686,0.150393272478668)); #149541=CARTESIAN_POINT('Ctrl Pts',(-2.21451077537216,1.78766880213707, 0.150567796543041)); #149542=CARTESIAN_POINT('Ctrl Pts',(-2.14230245395351,1.7745045990626,0.174936988234936)); #149543=CARTESIAN_POINT('Ctrl Pts',(-2.06713640046464,1.7609778404266,0.190337020730034)); #149544=CARTESIAN_POINT('Ctrl Pts',(-1.99121939261353,1.7474856274616,0.196317282985466)); #149545=CARTESIAN_POINT('Origin',(-1.99295560991561,1.73763904984138,0.196142758921093)); #149546=CARTESIAN_POINT('Origin',(-1.99295560991561,1.73763904984138,0.196142758921093)); #149547=CARTESIAN_POINT('Origin',(-2.21624699267425,1.77782222451686,0.150393272478668)); #149548=CARTESIAN_POINT('Origin',(-2.21624699267425,1.77782222451686,0.150393272478668)); #149549=CARTESIAN_POINT('Origin',(-2.34090564532036,0.834761591472463,0.150393272478668)); #149550=CARTESIAN_POINT('Origin',(-2.34090564532036,0.834761591472463,0.150393272478668)); #149551=CARTESIAN_POINT('Ctrl Pts',(-2.08883623048827,0.816782112533189, 0.197949249445359)); #149552=CARTESIAN_POINT('Ctrl Pts',(-2.04991493979978,0.814990357474372, 0.173181073802125)); #149553=CARTESIAN_POINT('Ctrl Pts',(-2.00971907546406,0.813190268436191, 0.150484106511168)); #149554=CARTESIAN_POINT('Ctrl Pts',(-1.96933157843242,0.811390475341014, 0.128187232109655)); #149555=CARTESIAN_POINT('Ctrl Pts',(-2.08738730021674,0.816771295804512, 0.200226914866763)); #149556=CARTESIAN_POINT('Ctrl Pts',(-2.04851766493416,0.814981163434671, 0.175552350142316)); #149557=CARTESIAN_POINT('Ctrl Pts',(-2.00836003947927,0.813186567592179, 0.152916337667905)); #149558=CARTESIAN_POINT('Ctrl Pts',(-1.96796984661484,0.811386009405481, 0.130654168798169)); #149559=CARTESIAN_POINT('Ctrl Pts',(-2.08442329224577,0.819062072433178, 0.204718909223992)); #149560=CARTESIAN_POINT('Ctrl Pts',(-2.04565479366456,0.817372801005517, 0.180224436067642)); #149561=CARTESIAN_POINT('Ctrl Pts',(-2.00557069497227,0.815647628707085, 0.157711355804925)); #149562=CARTESIAN_POINT('Ctrl Pts',(-1.96517445671246,0.813909310763696, 0.135513925330492)); #149563=CARTESIAN_POINT('Ctrl Pts',(-2.08313249500311,0.824528175262861, 0.206351874763588)); #149564=CARTESIAN_POINT('Ctrl Pts',(-2.04446042011479,0.823006959423042, 0.181828359690273)); #149565=CARTESIAN_POINT('Ctrl Pts',(-2.00444208641512,0.821402448537548, 0.159271261564713)); #149566=CARTESIAN_POINT('Ctrl Pts',(-1.96407776545549,0.819766307169859, 0.137027645710632)); #149567=CARTESIAN_POINT('Ctrl Pts',(-2.08310923674787,0.827222919543758, 0.20619348278347)); #149568=CARTESIAN_POINT('Ctrl Pts',(-2.0444773806813,0.825748161212678, 0.181593318452713)); #149569=CARTESIAN_POINT('Ctrl Pts',(-2.00448198657838,0.824174002120417, 0.158979125974835)); #149570=CARTESIAN_POINT('Ctrl Pts',(-1.96414302075575,0.822562302576343, 0.136683753946512)); #149571=CARTESIAN_POINT('',(-1.96414302075575,0.822562302576333,0.13668375394651)); #149572=CARTESIAN_POINT('',(-1.96933157843242,0.811390475341014,0.128187232109655)); #149573=CARTESIAN_POINT('Origin',(-1.96898263661902,0.821382861490568,0.128012708045282)); #149574=CARTESIAN_POINT('Ctrl Pts',(-1.96933157843242,0.811390475341014, 0.128187232109655)); #149575=CARTESIAN_POINT('Ctrl Pts',(-2.00971907546406,0.813190268436191, 0.150484106511168)); #149576=CARTESIAN_POINT('Ctrl Pts',(-2.04991493979978,0.814990357474372, 0.173181073802125)); #149577=CARTESIAN_POINT('Ctrl Pts',(-2.08883623048827,0.816782112533189, 0.197949249445359)); #149578=CARTESIAN_POINT('',(-2.08310923674761,0.827222919543773,0.206193482783308)); #149579=CARTESIAN_POINT('Origin',(-2.08848728867487,0.826774498682743,0.197774725380986)); #149580=CARTESIAN_POINT('Ctrl Pts',(-2.08310923674787,0.827222919543758, 0.20619348278347)); #149581=CARTESIAN_POINT('Ctrl Pts',(-2.0444773806813,0.825748161212678, 0.181593318452713)); #149582=CARTESIAN_POINT('Ctrl Pts',(-2.00448198657838,0.824174002120417, 0.158979125974835)); #149583=CARTESIAN_POINT('Ctrl Pts',(-1.96414302075575,0.822562302576343, 0.136683753946512)); #149584=CARTESIAN_POINT('Origin',(-2.08848728867434,0.8267744986825,0.197774725380663)); #149585=CARTESIAN_POINT('Origin',(-2.08848728867434,0.8267744986825,0.197774725380663)); #149586=CARTESIAN_POINT('Ctrl Pts',(-1.96269595858748,0.811100919363323, 0.0116048928156924)); #149587=CARTESIAN_POINT('Ctrl Pts',(-1.96290311927428,0.811782321590681, 0.0504656701001362)); #149588=CARTESIAN_POINT('Ctrl Pts',(-1.96310982011953,0.812463739372649, 0.0893264498773975)); #149589=CARTESIAN_POINT('Ctrl Pts',(-1.96331606646529,0.813145172248778, 0.128187232109632)); #149590=CARTESIAN_POINT('Ctrl Pts',(-1.9644499259597,0.809888756036396, 0.011617216387989)); #149591=CARTESIAN_POINT('Ctrl Pts',(-1.96465592790588,0.810572967081582, 0.0504779627407699)); #149592=CARTESIAN_POINT('Ctrl Pts',(-1.96486144215113,0.811257110666775, 0.0893387131378534)); #149593=CARTESIAN_POINT('Ctrl Pts',(-1.96506653243654,0.811941314829414, 0.12819946489601)); #149594=CARTESIAN_POINT('Ctrl Pts',(-1.96659945171502,0.809259089918133, 0.011617216387989)); #149595=CARTESIAN_POINT('Ctrl Pts',(-1.96680288045396,0.809944946480552, 0.05047796274077)); #149596=CARTESIAN_POINT('Ctrl Pts',(-1.96700584355367,0.810630720238748, 0.0893387131378534)); #149597=CARTESIAN_POINT('Ctrl Pts',(-1.96720838786434,0.811316545546832, 0.12819946489601)); #149598=CARTESIAN_POINT('Ctrl Pts',(-1.9687302348624,0.809333283265386, 0.0116048928156924)); #149599=CARTESIAN_POINT('Ctrl Pts',(-1.96893111210056,0.810019028908275, 0.0504656701001362)); #149600=CARTESIAN_POINT('Ctrl Pts',(-1.96913155831826,0.810704759543137, 0.0893264498773975)); #149601=CARTESIAN_POINT('Ctrl Pts',(-1.96933157843238,0.811390475341012, 0.128187232109632)); #149602=CARTESIAN_POINT('',(-1.96331606646529,0.813145172248778,0.128187232109633)); #149603=CARTESIAN_POINT('Origin',(-1.96898263661897,0.821382861490567,0.12801270804526)); #149604=CARTESIAN_POINT('Ctrl Pts',(-1.96331606646529,0.813145172248778, 0.128187232109632)); #149605=CARTESIAN_POINT('Ctrl Pts',(-1.96310982011953,0.812463739372649, 0.0893264498773975)); #149606=CARTESIAN_POINT('Ctrl Pts',(-1.96290311927428,0.811782321590681, 0.0504656701001362)); #149607=CARTESIAN_POINT('Ctrl Pts',(-1.96269595858748,0.811100919363323, 0.0116048928156924)); #149608=CARTESIAN_POINT('Ctrl Pts',(-1.9687302348624,0.809333283265386, 0.0116048928156924)); #149609=CARTESIAN_POINT('Ctrl Pts',(-1.96893111210056,0.810019028908275, 0.0504656701001362)); #149610=CARTESIAN_POINT('Ctrl Pts',(-1.96913155831826,0.810704759543137, 0.0893264498773975)); #149611=CARTESIAN_POINT('Ctrl Pts',(-1.96933157843238,0.811390475341012, 0.128187232109632)); #149612=CARTESIAN_POINT('Origin',(-1.968982636619,0.821382861490547,0.128012708045278)); #149613=CARTESIAN_POINT('Origin',(-1.968982636619,0.821382861490547,0.128012708045278)); #149614=CARTESIAN_POINT('Origin',(-2.2556284746735,1.79705594621892,0.03)); #149615=CARTESIAN_POINT('Ctrl Pts',(-2.21702528433819,1.79131571579121, -0.0301745240643752)); #149616=CARTESIAN_POINT('Ctrl Pts',(-2.21618730594161,1.79010011157138, 0.0300729194779736)); #149617=CARTESIAN_POINT('Ctrl Pts',(-2.21534913635796,1.78888447369928, 0.090320359682115)); #149618=CARTESIAN_POINT('Ctrl Pts',(-2.21451077537216,1.78766880213707, 0.150567796543041)); #149619=CARTESIAN_POINT('Ctrl Pts',(-2.21451077537216,1.78766880213707, 0.150567796543041)); #149620=CARTESIAN_POINT('Ctrl Pts',(-2.21534979030894,1.78888542196574, 0.0902733645678899)); #149621=CARTESIAN_POINT('Ctrl Pts',(-2.21618861354485,1.79010200805173, 0.0299789292443057)); #149622=CARTESIAN_POINT('Ctrl Pts',(-2.21702724529548,1.79131856043295, -0.0303155094226919)); #149623=CARTESIAN_POINT('Ctrl Pts',(-2.21706484036226,1.78811836199101, 0.150612408325929)); #149624=CARTESIAN_POINT('Ctrl Pts',(-2.21790364953225,1.789334938988,0.0903179670314739)); #149625=CARTESIAN_POINT('Ctrl Pts',(-2.21874226887977,1.79055150269898, 0.0300235228581934)); #149626=CARTESIAN_POINT('Ctrl Pts',(-2.21958069501998,1.79176801228597, -0.0302709251161777)); #149627=CARTESIAN_POINT('Ctrl Pts',(-2.22251674270579,1.78691372202763, 0.150663965966201)); #149628=CARTESIAN_POINT('Ctrl Pts',(-2.22335509135074,1.78813059197478, 0.0903695130811834)); #149629=CARTESIAN_POINT('Ctrl Pts',(-2.22419324577258,1.78934742537278, 0.0300750567506006)); #149630=CARTESIAN_POINT('Ctrl Pts',(-2.22503121174054,1.79056422757822, -0.0302194027984455)); #149631=CARTESIAN_POINT('Ctrl Pts',(-2.22558030893035,1.78224585757024, 0.150612408325929)); #149632=CARTESIAN_POINT('Ctrl Pts',(-2.22641880261266,1.78346343977214, 0.0903179670314739)); #149633=CARTESIAN_POINT('Ctrl Pts',(-2.22725712602602,1.78468098960992, 0.0300235228581934)); #149634=CARTESIAN_POINT('Ctrl Pts',(-2.22809523663745,1.78589850345704, -0.0302709251161777)); #149635=CARTESIAN_POINT('Ctrl Pts',(-2.22606779500331,1.77969875934588, 0.150567796543041)); #149636=CARTESIAN_POINT('Ctrl Pts',(-2.22690657519431,1.7809166077112,0.0902733645678899)); #149637=CARTESIAN_POINT('Ctrl Pts',(-2.22774516354265,1.78213442182548, 0.0299789292443057)); #149638=CARTESIAN_POINT('Ctrl Pts',(-2.22858356026431,1.78335220172724, -0.0303155094226919)); #149639=CARTESIAN_POINT('Ctrl Pts',(-2.22606779500331,1.77969875934588, 0.150567796543041)); #149640=CARTESIAN_POINT('Ctrl Pts',(-2.2269059214263,1.78091565848719,0.0903203596821158)); #149641=CARTESIAN_POINT('Ctrl Pts',(-2.22774385630556,1.78213252343081, 0.0300729194779752)); #149642=CARTESIAN_POINT('Ctrl Pts',(-2.22858159985659,1.78334935421521, -0.0301745240643728)); #149643=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #149644=CARTESIAN_POINT('Ctrl Pts',(-2.35426529935895,0.832458837163841, -0.0301745240643711)); #149645=CARTESIAN_POINT('Ctrl Pts',(-2.35313599122386,0.833472982069772, 0.0300729200607279)); #149646=CARTESIAN_POINT('Ctrl Pts',(-2.35200646678871,0.834487119854611, 0.0903203602652681)); #149647=CARTESIAN_POINT('Ctrl Pts',(-2.35087672579639,0.835501250509841, 0.150567796543041)); #149648=CARTESIAN_POINT('Ctrl Pts',(-2.34464579035713,0.821728114488419, -0.0303303887583184)); #149649=CARTESIAN_POINT('Ctrl Pts',(-2.34351560574368,0.822741819060864, 0.0299690102715278)); #149650=CARTESIAN_POINT('Ctrl Pts',(-2.34238520475494,0.823755516008723, 0.0902684053740557)); #149651=CARTESIAN_POINT('Ctrl Pts',(-2.34125458713376,0.824769205322909, 0.150567796543041)); #149652=CARTESIAN_POINT('Ctrl Pts',(-2.34732572286941,0.82182254936249, -0.0302817466578332)); #149653=CARTESIAN_POINT('Ctrl Pts',(-2.34619576012107,0.822836254128583, 0.0300176629599211)); #149654=CARTESIAN_POINT('Ctrl Pts',(-2.34506557373443,0.823849974235677, 0.0903170680984672)); #149655=CARTESIAN_POINT('Ctrl Pts',(-2.34393517815318,0.824863663737941, 0.150616469869568)); #149656=CARTESIAN_POINT('Ctrl Pts',(-2.35257449831587,0.824295968996613, -0.030224950594996)); #149657=CARTESIAN_POINT('Ctrl Pts',(-2.35144494337776,0.8253100931621,0.0300744723619088)); #149658=CARTESIAN_POINT('Ctrl Pts',(-2.35031517675875,0.826324207369979, 0.0903738915628886)); #149659=CARTESIAN_POINT('Ctrl Pts',(-2.34918518928239,0.827338316721165, 0.150673306692332)); #149660=CARTESIAN_POINT('Ctrl Pts',(-2.35446469271036,0.829781824766219, -0.0302817466578332)); #149661=CARTESIAN_POINT('Ctrl Pts',(-2.35333478800816,0.830796652648367, 0.0300176629599211)); #149662=CARTESIAN_POINT('Ctrl Pts',(-2.35220464198107,0.831811468968904, 0.0903170680984673)); #149663=CARTESIAN_POINT('Ctrl Pts',(-2.35107430413698,0.832826282583883, 0.150616469869568)); #149664=CARTESIAN_POINT('Ctrl Pts',(-2.35426822096402,0.832456213494263, -0.0303303887583184)); #149665=CARTESIAN_POINT('Ctrl Pts',(-2.35313793933378,0.833471232969007, 0.0299690102715278)); #149666=CARTESIAN_POINT('Ctrl Pts',(-2.3520074410305,0.834486245310379, 0.0902684053740558)); #149667=CARTESIAN_POINT('Ctrl Pts',(-2.35087672579639,0.835501250509841, 0.150567796543041)); #149668=CARTESIAN_POINT('Ctrl Pts',(-2.34125458713376,0.824769205322909, 0.150567796543041)); #149669=CARTESIAN_POINT('Ctrl Pts',(-2.34238423059725,0.823756389419167, 0.0903203602652675)); #149670=CARTESIAN_POINT('Ctrl Pts',(-2.34351365780145,0.822743565894901, 0.0300729200607268)); #149671=CARTESIAN_POINT('Ctrl Pts',(-2.34464286900284,0.821730734759176, -0.0301745240643728)); #149672=CARTESIAN_POINT('Origin',(-1.9126852223004,0.807697431808042,0.03)); #149673=CARTESIAN_POINT('Origin',(-1.80114719033798,0.577394661799789,0.)); #149674=CARTESIAN_POINT('',(-1.94939256762965,0.821949910959345,0.118865979283867)); #149675=CARTESIAN_POINT('Ctrl Pts',(-1.94939256762964,0.821949910959347, 0.118865979283866)); #149676=CARTESIAN_POINT('Ctrl Pts',(-1.95409391795235,0.819164032196973, 0.122001568942845)); #149677=CARTESIAN_POINT('Ctrl Pts',(-1.95873965689701,0.81622801696139, 0.125110125526006)); #149678=CARTESIAN_POINT('Ctrl Pts',(-1.96331606646532,0.813145172248759, 0.128187232109652)); #149679=CARTESIAN_POINT('',(-1.93087922469297,0.832008115325525,0.106285445184086)); #149680=CARTESIAN_POINT('Ctrl Pts',(-1.93087922469295,0.832008115325535, 0.106285445184093)); #149681=CARTESIAN_POINT('Ctrl Pts',(-1.93711908475095,0.828912892306812, 0.110580661663872)); #149682=CARTESIAN_POINT('Ctrl Pts',(-1.94329193385923,0.825564962995763, 0.114797130367986)); #149683=CARTESIAN_POINT('Ctrl Pts',(-1.94939256762964,0.821949910959344, 0.118865979283867)); #149684=CARTESIAN_POINT('',(-1.9300369727347,0.830355102783877,0.)); #149685=CARTESIAN_POINT('Ctrl Pts',(-1.82022379191951,2.48723311037008, 0.200854840912412)); #149686=CARTESIAN_POINT('Ctrl Pts',(-1.80259049627985,2.48203895473098, 0.176837090888437)); #149687=CARTESIAN_POINT('Ctrl Pts',(-1.76821619300352,2.47199320719773, 0.127972379470502)); #149688=CARTESIAN_POINT('Ctrl Pts',(-1.7373605736804,2.46343899028976,0.0760110684781094)); #149689=CARTESIAN_POINT('Ctrl Pts',(-1.72341553131383,2.45954463296856, 0.0493195292564736)); #149690=CARTESIAN_POINT('Ctrl Pts',(-1.81955298665833,2.48966537461646, 0.200811871022404)); #149691=CARTESIAN_POINT('Ctrl Pts',(-1.80194254972588,2.48446180194377, 0.176781780472097)); #149692=CARTESIAN_POINT('Ctrl Pts',(-1.76762208831003,2.47439300306477, 0.12789053302253)); #149693=CARTESIAN_POINT('Ctrl Pts',(-1.73689621650435,2.4657803719409,0.075888817534149)); #149694=CARTESIAN_POINT('Ctrl Pts',(-1.72298926821618,2.46186873733111, 0.0491906221336907)); #149695=CARTESIAN_POINT('Ctrl Pts',(-1.81976369189373,2.49491218835257, 0.1995036689616)); #149696=CARTESIAN_POINT('Ctrl Pts',(-1.80220090626283,2.48967289455961, 0.175489867554772)); #149697=CARTESIAN_POINT('Ctrl Pts',(-1.76799714040532,2.47951907199287, 0.126636477901123)); #149698=CARTESIAN_POINT('Ctrl Pts',(-1.73750831063095,2.47070354017525, 0.0747491883068404)); #149699=CARTESIAN_POINT('Ctrl Pts',(-1.72367041060619,2.46673494287013, 0.0480998225418605)); #149700=CARTESIAN_POINT('Ctrl Pts',(-1.82291979767238,2.49810918523266, 0.196486542684451)); #149701=CARTESIAN_POINT('Ctrl Pts',(-1.80538652735028,2.49285115010891, 0.172549268764724)); #149702=CARTESIAN_POINT('Ctrl Pts',(-1.77125317946665,2.48265522818933, 0.123883045188209)); #149703=CARTESIAN_POINT('Ctrl Pts',(-1.74086285899051,2.4737253225759,0.0723967368396929)); #149704=CARTESIAN_POINT('Ctrl Pts',(-1.72705687189504,2.46972554238738, 0.0458812758284735)); #149705=CARTESIAN_POINT('Ctrl Pts',(-1.82481750099436,2.49875392614857, 0.194953321654338)); #149706=CARTESIAN_POINT('Ctrl Pts',(-1.80729463388605,2.49349901376071, 0.171055097309423)); #149707=CARTESIAN_POINT('Ctrl Pts',(-1.77318785852999,2.48330850654082, 0.122483240601945)); #149708=CARTESIAN_POINT('Ctrl Pts',(-1.74282514148107,2.47438427695527, 0.0712001965714725)); #149709=CARTESIAN_POINT('Ctrl Pts',(-1.72902879865998,2.47038697424595, 0.0447526522893984)); #149710=CARTESIAN_POINT('',(-1.72341553131383,2.45954463296856,0.0493195292564736)); #149711=CARTESIAN_POINT('Origin',(-1.73211849795588,2.46087785758814,0.0445781282250255)); #149712=CARTESIAN_POINT('',(-1.82022379191949,2.48723311037016,0.200854840912411)); #149713=CARTESIAN_POINT('Ctrl Pts',(-1.72341553131383,2.45954463296856, 0.0493195292564736)); #149714=CARTESIAN_POINT('Ctrl Pts',(-1.7373605736804,2.46343899028976,0.0760110684781094)); #149715=CARTESIAN_POINT('Ctrl Pts',(-1.76821619300352,2.47199320719773, 0.127972379470502)); #149716=CARTESIAN_POINT('Ctrl Pts',(-1.80259049627985,2.48203895473098, 0.176837090888437)); #149717=CARTESIAN_POINT('Ctrl Pts',(-1.82022379191951,2.48723311037008, 0.200854840912412)); #149718=CARTESIAN_POINT('Origin',(-1.82790720029026,2.48924480949076,0.194778797589965)); #149719=CARTESIAN_POINT('Ctrl Pts',(-1.72341553131383,2.45954463296856, 0.0493195292564733)); #149720=CARTESIAN_POINT('Ctrl Pts',(-1.71881161681793,2.45813556022589, 0.0404727085649205)); #149721=CARTESIAN_POINT('Ctrl Pts',(-1.71418068273445,2.45671613313606, 0.0316449990156509)); #149722=CARTESIAN_POINT('Ctrl Pts',(-1.70951580924249,2.45528443736561, 0.0228400305538202)); #149723=CARTESIAN_POINT('Ctrl Pts',(-1.72292837348794,2.46220075224005, 0.0491722068304356)); #149724=CARTESIAN_POINT('Ctrl Pts',(-1.71830402310901,2.4608025105881,0.040333390417982)); #149725=CARTESIAN_POINT('Ctrl Pts',(-1.7136533913484,2.45939387991102,0.0315131227931811)); #149726=CARTESIAN_POINT('Ctrl Pts',(-1.70896716250753,2.45797274728819, 0.0227167879046646)); #149727=CARTESIAN_POINT('Ctrl Pts',(-1.72384867288084,2.46708537552718, 0.0479538674837505)); #149728=CARTESIAN_POINT('Ctrl Pts',(-1.7192043976524,2.46572207172998,0.0391129447050461)); #149729=CARTESIAN_POINT('Ctrl Pts',(-1.71453122141765,2.46434875300762, 0.0302912300226937)); #149730=CARTESIAN_POINT('Ctrl Pts',(-1.70982312399401,2.4629632460549,0.0214921322322869)); #149731=CARTESIAN_POINT('Ctrl Pts',(-1.72733857571861,2.46982003265289, 0.0457200438943196)); #149732=CARTESIAN_POINT('Ctrl Pts',(-1.72270459286073,2.46847713568958, 0.0368581822731039)); #149733=CARTESIAN_POINT('Ctrl Pts',(-1.71804140334484,2.46712402306927, 0.0280138856990195)); #149734=CARTESIAN_POINT('Ctrl Pts',(-1.7133424205781,2.46575930233995,0.019191023739041)); #149735=CARTESIAN_POINT('Ctrl Pts',(-1.72902879865998,2.47038697424595, 0.0447526522893981)); #149736=CARTESIAN_POINT('Ctrl Pts',(-1.72440129693865,2.46904621855381, 0.0358817465517466)); #149737=CARTESIAN_POINT('Ctrl Pts',(-1.71974442974972,2.46769561330024, 0.0270276302702065)); #149738=CARTESIAN_POINT('Ctrl Pts',(-1.71505151378861,2.46633290967245, 0.0181945138768818)); #149739=CARTESIAN_POINT('',(-1.70951580924258,2.45528443736522,0.0228400305538406)); #149740=CARTESIAN_POINT('Origin',(-1.71814121308451,2.45682379301464,0.018019989812509)); #149741=CARTESIAN_POINT('Ctrl Pts',(-1.70951580924249,2.45528443736561, 0.0228400305538202)); #149742=CARTESIAN_POINT('Ctrl Pts',(-1.71418068273445,2.45671613313606, 0.0316449990156509)); #149743=CARTESIAN_POINT('Ctrl Pts',(-1.71881161681793,2.45813556022589, 0.0404727085649205)); #149744=CARTESIAN_POINT('Ctrl Pts',(-1.72341553131383,2.45954463296856, 0.0493195292564733)); #149745=CARTESIAN_POINT('Ctrl Pts',(-1.70951580924249,2.45528443736561, 0.0228400305538183)); #149746=CARTESIAN_POINT('Ctrl Pts',(-1.70145000453536,2.45294340658529, 0.00765872695214882)); #149747=CARTESIAN_POINT('Ctrl Pts',(-1.69646550793246,2.45159049085747, -0.00930008562343197)); #149748=CARTESIAN_POINT('Ctrl Pts',(-1.6949745439725,2.45133651055027,-0.0265795459106306)); #149749=CARTESIAN_POINT('Ctrl Pts',(-1.70896716250753,2.45797274728819, 0.0227167879046627)); #149750=CARTESIAN_POINT('Ctrl Pts',(-1.70092320784258,2.45563782776662, 0.00757593102720351)); #149751=CARTESIAN_POINT('Ctrl Pts',(-1.69594265509681,2.45429512554381, -0.00932334231734724)); #149752=CARTESIAN_POINT('Ctrl Pts',(-1.69442915775391,2.45405246906719, -0.0265591039732148)); #149753=CARTESIAN_POINT('Ctrl Pts',(-1.70982311688535,2.46296325177474, 0.0214921351266069)); #149754=CARTESIAN_POINT('Ctrl Pts',(-1.70195635220272,2.46067919921932, 0.00668425469116089)); #149755=CARTESIAN_POINT('Ctrl Pts',(-1.69707021335823,2.45938526644316, -0.00985117657609695)); #149756=CARTESIAN_POINT('Ctrl Pts',(-1.69556762230414,2.45918702766653, -0.0267097142089689)); #149757=CARTESIAN_POINT('Ctrl Pts',(-1.7133424205781,2.46575930233995,0.0191910237390435)); #149758=CARTESIAN_POINT('Ctrl Pts',(-1.70578837520388,2.46356580294048, 0.00497232071801554)); #149759=CARTESIAN_POINT('Ctrl Pts',(-1.70110615343648,2.46233580931361, -0.010911155749311)); #149760=CARTESIAN_POINT('Ctrl Pts',(-1.69968278301567,2.46217058454313, -0.0270985031862212)); #149761=CARTESIAN_POINT('Ctrl Pts',(-1.71505151378862,2.46633290967245, 0.0181945138768855)); #149762=CARTESIAN_POINT('Ctrl Pts',(-1.70763116355539,2.46417822838983, 0.00422775678328526)); #149763=CARTESIAN_POINT('Ctrl Pts',(-1.70303760887005,2.4629720748267,-0.0113760956006521)); #149764=CARTESIAN_POINT('Ctrl Pts',(-1.7016505485292,2.46281321860727,-0.027276579273718)); #149765=CARTESIAN_POINT('Origin',(-1.7047402478251,2.45330410194945,-0.0274511033380909)); #149766=CARTESIAN_POINT('Ctrl Pts',(-1.6949745439725,2.45133651055027,-0.0265795459106306)); #149767=CARTESIAN_POINT('Ctrl Pts',(-1.69646550793246,2.45159049085747, -0.00930008562343197)); #149768=CARTESIAN_POINT('Ctrl Pts',(-1.70145000453536,2.45294340658529, 0.00765872695214882)); #149769=CARTESIAN_POINT('Ctrl Pts',(-1.70951580924249,2.45528443736561, 0.0228400305538183)); #149770=CARTESIAN_POINT('Ctrl Pts',(-1.69497454397251,2.45133651055028, -0.0265795459106143)); #149771=CARTESIAN_POINT('Ctrl Pts',(-1.69431901836475,2.45126067282735, -0.0340958453510646)); #149772=CARTESIAN_POINT('Ctrl Pts',(-1.6936634871694,2.45118483114155,-0.0416121442417463)); #149773=CARTESIAN_POINT('Ctrl Pts',(-1.69300795034224,2.45110898546108, -0.0491284425782282)); #149774=CARTESIAN_POINT('Ctrl Pts',(-1.69442915775392,2.45405246906719, -0.0265591039731991)); #149775=CARTESIAN_POINT('Ctrl Pts',(-1.69377339259503,2.4539767312473,-0.0340753836850215)); #149776=CARTESIAN_POINT('Ctrl Pts',(-1.6931176375777,2.45390099146418,-0.0415916642781612)); #149777=CARTESIAN_POINT('Ctrl Pts',(-1.6924618598526,2.45382524616227,-0.0491079427739664)); #149778=CARTESIAN_POINT('Ctrl Pts',(-1.69556762458535,2.45918702605932, -0.0267097143918997)); #149779=CARTESIAN_POINT('Ctrl Pts',(-1.69491165901193,2.45911166062667, -0.0342259818815193)); #149780=CARTESIAN_POINT('Ctrl Pts',(-1.69425568245556,2.45903629227382, -0.0417422483579076)); #149781=CARTESIAN_POINT('Ctrl Pts',(-1.69359970313468,2.45896092136108, -0.0492585145605915)); #149782=CARTESIAN_POINT('Ctrl Pts',(-1.69968278301567,2.46217058454313, -0.0270985031862179)); #149783=CARTESIAN_POINT('Ctrl Pts',(-1.6990270048634,2.46209545982879,-0.0346147921902982)); #149784=CARTESIAN_POINT('Ctrl Pts',(-1.69837121955042,2.46202032824905, -0.0421310804905767)); #149785=CARTESIAN_POINT('Ctrl Pts',(-1.69771542864847,2.46194519937979, -0.0496473683310682)); #149786=CARTESIAN_POINT('Ctrl Pts',(-1.7016505485292,2.46281321860727,-0.0272765792737181)); #149787=CARTESIAN_POINT('Ctrl Pts',(-1.70099487282282,2.46273812586557, -0.0347928787141684)); #149788=CARTESIAN_POINT('Ctrl Pts',(-1.70033919104144,2.4626630311399,-0.0423091776048501)); #149789=CARTESIAN_POINT('Ctrl Pts',(-1.69968350313621,2.46258793441429, -0.049825475941332)); #149790=CARTESIAN_POINT('Ctrl Pts',(-1.98216816638845,1.80341418986183, 0.206013382855994)); #149791=CARTESIAN_POINT('Ctrl Pts',(-2.05850915782803,1.81678638696676, 0.199900472414187)); #149792=CARTESIAN_POINT('Ctrl Pts',(-2.13414419239617,1.82986820898353, 0.184373237555467)); #149793=CARTESIAN_POINT('Ctrl Pts',(-2.2068788540288,1.84228012571373,0.159883826476322)); #149794=CARTESIAN_POINT('Ctrl Pts',(-1.98261512695754,1.80086103483514, 0.206018301677495)); #149795=CARTESIAN_POINT('Ctrl Pts',(-2.05895544468546,1.81422987507747, 0.199910615377139)); #149796=CARTESIAN_POINT('Ctrl Pts',(-2.13458633501495,1.82730756497535, 0.184389610568938)); #149797=CARTESIAN_POINT('Ctrl Pts',(-2.20732292049457,1.83971639268181, 0.159905538419574)); #149798=CARTESIAN_POINT('Ctrl Pts',(-1.98334025685288,1.7957481923825,0.203905818378058)); #149799=CARTESIAN_POINT('Ctrl Pts',(-2.05950602870974,1.80907599866199, 0.197816539330924)); #149800=CARTESIAN_POINT('Ctrl Pts',(-2.13497057390911,1.8221139486881,0.182335175258861)); #149801=CARTESIAN_POINT('Ctrl Pts',(-2.20754314374479,1.83448362784344, 0.157911849023006)); #149802=CARTESIAN_POINT('Ctrl Pts',(-1.98332604747205,1.79349319755454, 0.198802451507029)); #149803=CARTESIAN_POINT('Ctrl Pts',(-2.05908468729636,1.806743928687,0.192739723391268)); #149804=CARTESIAN_POINT('Ctrl Pts',(-2.13414272121251,1.81970586481523, 0.17733518823885)); #149805=CARTESIAN_POINT('Ctrl Pts',(-2.20632452060738,1.83200268120699, 0.153036914666559)); #149806=CARTESIAN_POINT('Ctrl Pts',(-1.98313314062666,1.79341339086671, 0.196218884906953)); #149807=CARTESIAN_POINT('Ctrl Pts',(-2.05868604343173,1.80662818262153, 0.190169379196497)); #149808=CARTESIAN_POINT('Ctrl Pts',(-2.13354048993052,1.81955468576584, 0.174803196943441)); #149809=CARTESIAN_POINT('Ctrl Pts',(-2.20552470746773,1.83181788908814, 0.150567796543041)); #149810=CARTESIAN_POINT('',(-2.20552470746773,1.83181788908814,0.150567796543041)); #149811=CARTESIAN_POINT('',(-2.2068788540288,1.84228012571373,0.159883826476322)); #149812=CARTESIAN_POINT('Origin',(-2.20378849016565,1.84166446670836,0.150393272478668)); #149813=CARTESIAN_POINT('',(-1.98216816638845,1.80341418986183,0.206013382855994)); #149814=CARTESIAN_POINT('Ctrl Pts',(-2.2068788540288,1.84228012571373,0.159883826476322)); #149815=CARTESIAN_POINT('Ctrl Pts',(-2.13414419239617,1.82986820898353, 0.184373237555467)); #149816=CARTESIAN_POINT('Ctrl Pts',(-2.05850915782803,1.81678638696676, 0.199900472414187)); #149817=CARTESIAN_POINT('Ctrl Pts',(-1.98216816638845,1.80341418986183, 0.206013382855994)); #149818=CARTESIAN_POINT('',(-1.98313314062666,1.79341339086671,0.196218884906953)); #149819=CARTESIAN_POINT('Origin',(-1.98139692332457,1.80325996848693,0.19604436084258)); #149820=CARTESIAN_POINT('Ctrl Pts',(-1.98313314062666,1.79341339086671, 0.196218884906953)); #149821=CARTESIAN_POINT('Ctrl Pts',(-2.05868604343173,1.80662818262153, 0.190169379196497)); #149822=CARTESIAN_POINT('Ctrl Pts',(-2.13354048993052,1.81955468576584, 0.174803196943441)); #149823=CARTESIAN_POINT('Ctrl Pts',(-2.20552470746773,1.83181788908814, 0.150567796543041)); #149824=CARTESIAN_POINT('Ctrl Pts',(-1.8111582798326,1.76006049081948,0.0253187259668607)); #149825=CARTESIAN_POINT('Ctrl Pts',(-1.79475120397006,1.75688583526898, 0.0094284055327985)); #149826=CARTESIAN_POINT('Ctrl Pts',(-1.78318176815076,1.75447389768426, -0.0115559556286706)); #149827=CARTESIAN_POINT('Ctrl Pts',(-1.77847367635167,1.75324418709909, -0.034098235400033)); #149828=CARTESIAN_POINT('Ctrl Pts',(-1.80933092549955,1.75977273982045, 0.0272629945928129)); #149829=CARTESIAN_POINT('Ctrl Pts',(-1.79252051718065,1.75651966406531, 0.0110299006919439)); #149830=CARTESIAN_POINT('Ctrl Pts',(-1.78064770218558,1.75404778724209, -0.0104338584537056)); #149831=CARTESIAN_POINT('Ctrl Pts',(-1.77580560650372,1.7527840560478,-0.0335158920910141)); #149832=CARTESIAN_POINT('Ctrl Pts',(-1.80529748013201,1.7614508071353,0.0310923546532256)); #149833=CARTESIAN_POINT('Ctrl Pts',(-1.78767874391011,1.7581073904666,0.0141772125563879)); #149834=CARTESIAN_POINT('Ctrl Pts',(-1.7751881339798,1.75556389989095,-0.00824973275701521)); #149835=CARTESIAN_POINT('Ctrl Pts',(-1.77007560962423,1.75427441979509, -0.0324004487775435)); #149836=CARTESIAN_POINT('Ctrl Pts',(-1.80294339597824,1.76657191810307, 0.0324998702556943)); #149837=CARTESIAN_POINT('Ctrl Pts',(-1.7850423554332,1.76328645961699,0.0152577534559239)); #149838=CARTESIAN_POINT('Ctrl Pts',(-1.77239347386569,1.76080921181423, -0.00754780534519699)); #149839=CARTESIAN_POINT('Ctrl Pts',(-1.76722233395161,1.75957185944446, -0.0320935070549749)); #149840=CARTESIAN_POINT('Ctrl Pts',(-1.8025498246727,1.76922372383074,0.0323764482320178)); #149841=CARTESIAN_POINT('Ctrl Pts',(-1.78470092098313,1.76597949071372, 0.0151094975258794)); #149842=CARTESIAN_POINT('Ctrl Pts',(-1.77211215231657,1.76354006381985, -0.00769508565141029)); #149843=CARTESIAN_POINT('Ctrl Pts',(-1.7669854972644,1.76232924184443,-0.0321944610615321)); #149844=CARTESIAN_POINT('',(-1.8111582798326,1.76006049081948,0.0253187259668607)); #149845=CARTESIAN_POINT('Ctrl Pts',(-1.77936599842046,1.75347106501145, -0.0301749600512878)); #149846=CARTESIAN_POINT('Ctrl Pts',(-1.78454622306629,1.75475751807038, -0.00912821068788174)); #149847=CARTESIAN_POINT('Ctrl Pts',(-1.79570705543053,1.75707078590673, 0.010354151602447)); #149848=CARTESIAN_POINT('Ctrl Pts',(-1.8111582798326,1.76006049081948,0.0253187259668607)); #149849=CARTESIAN_POINT('',(-1.8025498246727,1.76922372383074,0.0323764482320183)); #149850=CARTESIAN_POINT('Origin',(-1.80942206253051,1.7699070684397,0.0251442019024879)); #149851=CARTESIAN_POINT('Ctrl Pts',(-1.8025498246727,1.76922372383074,0.0323764482320178)); #149852=CARTESIAN_POINT('Ctrl Pts',(-1.78472704667603,1.76598423934364, 0.015134771405961)); #149853=CARTESIAN_POINT('Ctrl Pts',(-1.77214901630386,1.76354720679145, -0.00762833873338347)); #149854=CARTESIAN_POINT('Ctrl Pts',(-1.76700805709195,1.76233456663158, -0.032086891719266)); #149855=CARTESIAN_POINT('Ctrl Pts',(-1.98313314062666,1.79341339086671, 0.196218884906952)); #149856=CARTESIAN_POINT('Ctrl Pts',(-1.92629303227893,1.78237237083973, 0.138751273363547)); #149857=CARTESIAN_POINT('Ctrl Pts',(-1.86920124423307,1.77129140244843, 0.0815335756447668)); #149858=CARTESIAN_POINT('Ctrl Pts',(-1.8111582798326,1.76006049081948,0.0253187259668617)); #149859=CARTESIAN_POINT('Ctrl Pts',(-1.98132740384944,1.79312761990228, 0.198059808414382)); #149860=CARTESIAN_POINT('Ctrl Pts',(-1.9244798755021,1.78208501268699,0.140613991619331)); #149861=CARTESIAN_POINT('Ctrl Pts',(-1.86737856661473,1.77100503023907, 0.083423113905119)); #149862=CARTESIAN_POINT('Ctrl Pts',(-1.80933092549955,1.75977273982045, 0.027262994592814)); #149863=CARTESIAN_POINT('Ctrl Pts',(-1.97736418129624,1.79465937332011, 0.201685465955581)); #149864=CARTESIAN_POINT('Ctrl Pts',(-1.92049635134552,1.78365094546198, 0.144281235652187)); #149865=CARTESIAN_POINT('Ctrl Pts',(-1.86336892984633,1.77261079818482, 0.0871440062401438)); #149866=CARTESIAN_POINT('Ctrl Pts',(-1.80530015734914,1.76145629599342, 0.031088493778388)); #149867=CARTESIAN_POINT('Ctrl Pts',(-1.9749380837811,1.79946934043974,0.203160952756728)); #149868=CARTESIAN_POINT('Ctrl Pts',(-1.91808296833499,1.78853089033581, 0.145742839239933)); #149869=CARTESIAN_POINT('Ctrl Pts',(-1.86097421264626,1.77757946682199, 0.0885850679716428)); #149870=CARTESIAN_POINT('Ctrl Pts',(-1.80294339597824,1.76657191810307, 0.0324998702556956)); #149871=CARTESIAN_POINT('Ctrl Pts',(-1.97446219948284,1.80201973472533, 0.203141643805481)); #149872=CARTESIAN_POINT('Ctrl Pts',(-1.91762611512746,1.79110556831001, 0.145700131462087)); #149873=CARTESIAN_POINT('Ctrl Pts',(-1.86053981342953,1.78018481543669, 0.0885154651386287)); #149874=CARTESIAN_POINT('Ctrl Pts',(-1.8025498246727,1.76922372383074,0.0323764482320189)); #149875=CARTESIAN_POINT('Ctrl Pts',(-1.8111582798326,1.76006049081948,0.0253187259668617)); #149876=CARTESIAN_POINT('Ctrl Pts',(-1.86920124423307,1.77129140244843, 0.0815335756447668)); #149877=CARTESIAN_POINT('Ctrl Pts',(-1.92629303227893,1.78237237083973, 0.138751273363547)); #149878=CARTESIAN_POINT('Ctrl Pts',(-1.98313314062666,1.79341339086671, 0.196218884906952)); #149879=CARTESIAN_POINT('',(-1.97446219948284,1.80201973472533,0.203141643805481)); #149880=CARTESIAN_POINT('Origin',(-1.98139692332457,1.80325996848693,0.196044360842579)); #149881=CARTESIAN_POINT('Ctrl Pts',(-1.97446219948284,1.80201973472533, 0.203141643805481)); #149882=CARTESIAN_POINT('Ctrl Pts',(-1.91762611512746,1.79110556831001, 0.145700131462087)); #149883=CARTESIAN_POINT('Ctrl Pts',(-1.86053981342953,1.78018481543669, 0.0885154651386287)); #149884=CARTESIAN_POINT('Ctrl Pts',(-1.8025498246727,1.76922372383074,0.0323764482320189)); #149885=CARTESIAN_POINT('Origin',(-1.98139692332457,1.80325996848693,0.19604436084258)); #149886=CARTESIAN_POINT('Origin',(-1.98139692332457,1.80325996848693,0.19604436084258)); #149887=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.150393272478668)); #149888=CARTESIAN_POINT('',(-2.21359427355594,1.84361796445693,0.150567796543041)); #149889=CARTESIAN_POINT('Origin',(-2.20378849016565,1.84166446670836,0.150393272478668)); #149890=CARTESIAN_POINT('',(-2.03804159329448,2.55803252348622,0.150567796543041)); #149891=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.150567796543041)); #149892=CARTESIAN_POINT('',(-2.03147093373813,2.55610558162859,0.159883826476321)); #149893=CARTESIAN_POINT('Origin',(-2.02844718685708,2.55521882370391,0.150393272478668)); #149894=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.159883826476321)); #149895=CARTESIAN_POINT('Origin',(-2.20378849016565,1.84166446670836,0.150393272478668)); #149896=CARTESIAN_POINT('Origin',(-2.20378849016565,1.84166446670836,0.150393272478668)); #149897=CARTESIAN_POINT('Ctrl Pts',(-2.03147093373812,2.55610558162859, 0.159883826476322)); #149898=CARTESIAN_POINT('Ctrl Pts',(-1.96585890191772,2.53439121837785, 0.182817109435535)); #149899=CARTESIAN_POINT('Ctrl Pts',(-1.89772684381234,2.51200219220124, 0.1978957317047)); #149900=CARTESIAN_POINT('Ctrl Pts',(-1.82880907349007,2.48950846432452, 0.204734555263797)); #149901=CARTESIAN_POINT('Ctrl Pts',(-2.0306599935464,2.55858158207766,0.159910849646495)); #149902=CARTESIAN_POINT('Ctrl Pts',(-1.96504720221222,2.53686285171741, 0.182838062876432)); #149903=CARTESIAN_POINT('Ctrl Pts',(-1.89692006096491,2.51447125914987, 0.197909639729498)); #149904=CARTESIAN_POINT('Ctrl Pts',(-1.82800420460546,2.49197410026415, 0.204742170238478)); #149905=CARTESIAN_POINT('Ctrl Pts',(-2.02839581494908,2.56331641821639, 0.157921632161803)); #149906=CARTESIAN_POINT('Ctrl Pts',(-1.96293597420928,2.54163481734904, 0.180791055067579)); #149907=CARTESIAN_POINT('Ctrl Pts',(-1.89496375576494,2.51928067227381, 0.195823158246796)); #149908=CARTESIAN_POINT('Ctrl Pts',(-1.82621056557794,2.49682348467788, 0.202634717982061)); #149909=CARTESIAN_POINT('Ctrl Pts',(-2.02615137121377,2.56494050927175, 0.153040344960113)); #149910=CARTESIAN_POINT('Ctrl Pts',(-1.96104796532483,2.54336939069979, 0.175793949930799)); #149911=CARTESIAN_POINT('Ctrl Pts',(-1.89344543853956,2.52112971886363, 0.190752756492375)); #149912=CARTESIAN_POINT('Ctrl Pts',(-1.82506313016682,2.49878635069262, 0.197535151907204)); #149913=CARTESIAN_POINT('Ctrl Pts',(-2.02535748756118,2.56472794036172, 0.150567796543041)); #149914=CARTESIAN_POINT('Ctrl Pts',(-1.96043267336656,2.54321605279543, 0.173263209397845)); #149915=CARTESIAN_POINT('Ctrl Pts',(-1.8930139571908,2.52103651136593,0.188185432190324)); #149916=CARTESIAN_POINT('Ctrl Pts',(-1.82481750099436,2.49875392614857, 0.194953321654336)); #149917=CARTESIAN_POINT('',(-1.82880907349007,2.48950846432451,0.204734555263797)); #149918=CARTESIAN_POINT('Origin',(-1.82790720029026,2.48924480949076,0.194778797589964)); #149919=CARTESIAN_POINT('Ctrl Pts',(-1.82880907349007,2.48950846432452, 0.204734555263797)); #149920=CARTESIAN_POINT('Ctrl Pts',(-1.89772684381234,2.51200219220124, 0.1978957317047)); #149921=CARTESIAN_POINT('Ctrl Pts',(-1.96585890191772,2.53439121837785, 0.182817109435535)); #149922=CARTESIAN_POINT('Ctrl Pts',(-2.03147093373812,2.55610558162859, 0.159883826476322)); #149923=CARTESIAN_POINT('Origin',(-2.02844718685708,2.55521882370391,0.150393272478668)); #149924=CARTESIAN_POINT('Origin',(-2.02844718685708,2.55521882370391,0.150393272478668)); #149925=CARTESIAN_POINT('Origin',(-2.02844718685708,2.55521882370391,0.150393272478668)); #149926=CARTESIAN_POINT('Origin',(-1.82790720029026,2.48924480949076,0.194778797589963)); #149927=CARTESIAN_POINT('Origin',(-1.82790720029026,2.48924480949076,0.194778797589963)); #149928=CARTESIAN_POINT('Ctrl Pts',(-1.71841852092263,2.48831304843293, 0.0493195292564738)); #149929=CARTESIAN_POINT('Ctrl Pts',(-1.71532503406947,2.47683636831725, 0.0401185145479134)); #149930=CARTESIAN_POINT('Ctrl Pts',(-1.71223154721631,2.46535968820158, 0.030917499839353)); #149931=CARTESIAN_POINT('Ctrl Pts',(-1.70913806036314,2.45388300808591, 0.0217164851307926)); #149932=CARTESIAN_POINT('Ctrl Pts',(-1.7202756979617,2.47875693461559,0.0493195292564738)); #149933=CARTESIAN_POINT('Ctrl Pts',(-1.71714067487838,2.46721759470328, 0.0401185145479134)); #149934=CARTESIAN_POINT('Ctrl Pts',(-1.71400565138724,2.45567825477459, 0.0309174998393529)); #149935=CARTESIAN_POINT('Ctrl Pts',(-1.71087062830392,2.44413891486228, 0.0217164851307926)); #149936=CARTESIAN_POINT('Ctrl Pts',(-1.72194178567013,2.46916488674095, 0.0493195292564738)); #149937=CARTESIAN_POINT('Ctrl Pts',(-1.71876258893012,2.45756326451656, 0.0401185145479134)); #149938=CARTESIAN_POINT('Ctrl Pts',(-1.71558339178206,2.44596164227883, 0.030917499839353)); #149939=CARTESIAN_POINT('Ctrl Pts',(-1.71240419504204,2.43436002005444, 0.0217164851307926)); #149940=CARTESIAN_POINT('Ctrl Pts',(-1.72341553131383,2.45954463296856, 0.0493195292564738)); #149941=CARTESIAN_POINT('Ctrl Pts',(-1.72018952777892,2.44788125738297, 0.0401185145479134)); #149942=CARTESIAN_POINT('Ctrl Pts',(-1.71696352424402,2.43621788179738, 0.030917499839353)); #149943=CARTESIAN_POINT('Ctrl Pts',(-1.71373752070912,2.42455450621179, 0.0217164851307926)); #149944=CARTESIAN_POINT('',(-1.71373752070989,2.42455450621808,0.0217164851314864)); #149945=CARTESIAN_POINT('Ctrl Pts',(-1.71373752070812,2.42455450621912, 0.0217164851307925)); #149946=CARTESIAN_POINT('Ctrl Pts',(-1.71254602786905,2.43481850496865, 0.0221068937493768)); #149947=CARTESIAN_POINT('Ctrl Pts',(-1.71113914676171,2.44506484100408, 0.0224811902547038)); #149948=CARTESIAN_POINT('Ctrl Pts',(-1.70951580901671,2.45528443748635, 0.0228400305185418)); #149949=CARTESIAN_POINT('',(-1.80394469401885,2.75069182486085,0.279)); #149950=CARTESIAN_POINT('Ctrl Pts',(-1.7006793979471,2.42027014593024,-0.0249018923716688)); #149951=CARTESIAN_POINT('Ctrl Pts',(-1.69900332423324,2.43066493363057, -0.0254739282025604)); #149952=CARTESIAN_POINT('Ctrl Pts',(-1.69710114288894,2.44102362812872, -0.0260331717272443)); #149953=CARTESIAN_POINT('Ctrl Pts',(-1.69497454397252,2.45133651055029, -0.0265795459104269)); #149954=CARTESIAN_POINT('Ctrl Pts',(-1.70196478783557,2.42123805622786, -0.00867703123537376)); #149955=CARTESIAN_POINT('Ctrl Pts',(-1.7003046255181,2.43166198321564,-0.00888294691075643)); #149956=CARTESIAN_POINT('Ctrl Pts',(-1.69841917547692,2.44205030365982, -0.00908559838321757)); #149957=CARTESIAN_POINT('Ctrl Pts',(-1.69630558738474,2.45239160923178, -0.00928340638543261)); #149958=CARTESIAN_POINT('Ctrl Pts',(-1.70642886181911,2.42270271555536, 0.00726001346639673)); #149959=CARTESIAN_POINT('Ctrl Pts',(-1.70492299600101,2.43322494800341, 0.00740650762411173)); #149960=CARTESIAN_POINT('Ctrl Pts',(-1.70318995270822,2.44371769654261, 0.00754705723874468)); #149961=CARTESIAN_POINT('Ctrl Pts',(-1.70122596909686,2.45416949314068, 0.00768368371184819)); #149962=CARTESIAN_POINT('Ctrl Pts',(-1.71373752070812,2.42455450621912, 0.0217164851307925)); #149963=CARTESIAN_POINT('Ctrl Pts',(-1.71249802684728,2.43523200508484, 0.0221226219283341)); #149964=CARTESIAN_POINT('Ctrl Pts',(-1.71102544068413,2.44589038943367, 0.0225113222628566)); #149965=CARTESIAN_POINT('Ctrl Pts',(-1.70931855945507,2.45651944061698, 0.022883324510693)); #149966=CARTESIAN_POINT('Origin',(-1.82370871435927,2.43950807392577,-0.0357963602151261)); #149967=CARTESIAN_POINT('Ctrl Pts',(-1.77734770086245,2.65453237640577, 0.203141643805481)); #149968=CARTESIAN_POINT('Ctrl Pts',(-1.756144307478,2.57787308634109,0.142666590913901)); #149969=CARTESIAN_POINT('Ctrl Pts',(-1.73494091409355,2.50121379627641, 0.0821915380223206)); #149970=CARTESIAN_POINT('Ctrl Pts',(-1.7137375207091,2.42455450621172,0.0217164851307402)); #149971=CARTESIAN_POINT('Ctrl Pts',(-1.79186244269834,2.59928573256993, 0.203141643805481)); #149972=CARTESIAN_POINT('Ctrl Pts',(-1.7687402412117,2.52003074705335,0.142666590913901)); #149973=CARTESIAN_POINT('Ctrl Pts',(-1.74543714805949,2.44072957800698, 0.0821915380223207)); #149974=CARTESIAN_POINT('Ctrl Pts',(-1.72231494657284,2.3614745924904,0.0217164851307402)); #149975=CARTESIAN_POINT('Ctrl Pts',(-1.86038385832403,2.35587365554118, 0.203141643805481)); #149976=CARTESIAN_POINT('Ctrl Pts',(-1.82356411950146,2.29159174618047, 0.142666590913901)); #149977=CARTESIAN_POINT('Ctrl Pts',(-1.78666252249171,2.22728410201287, 0.0821915380223206)); #149978=CARTESIAN_POINT('Ctrl Pts',(-1.74984278366914,2.16300219265216, 0.0217164851307402)); #149979=CARTESIAN_POINT('Ctrl Pts',(-1.94734967422691,1.9619462609067,0.203141643805481)); #149980=CARTESIAN_POINT('Ctrl Pts',(-1.89094864649401,1.94377951177098, 0.142666590913901)); #149981=CARTESIAN_POINT('Ctrl Pts',(-1.83495824546937,1.92574392708348, 0.0821915380223207)); #149982=CARTESIAN_POINT('Ctrl Pts',(-1.77855721773648,1.90757717794775, 0.0217164851307402)); #149983=CARTESIAN_POINT('Ctrl Pts',(-1.98497636286433,1.74191304794507, 0.203141643805481)); #149984=CARTESIAN_POINT('Ctrl Pts',(-1.92037154389687,1.75158489332982, 0.142666590913901)); #149985=CARTESIAN_POINT('Ctrl Pts',(-1.85576672492942,1.76125673871456, 0.0821915380223206)); #149986=CARTESIAN_POINT('Ctrl Pts',(-1.79116190596196,1.77092858409931, 0.0217164851307402)); #149987=CARTESIAN_POINT('',(-1.71864965531256,2.39692692331676,0.023826104389635)); #149988=CARTESIAN_POINT('Ctrl Pts',(-1.71864965531256,2.39692692331675, 0.0238261043896324)); #149989=CARTESIAN_POINT('Ctrl Pts',(-1.71721092981015,2.40611780436105, 0.023512798095735)); #149990=CARTESIAN_POINT('Ctrl Pts',(-1.71556509508214,2.41533899890545, 0.0228149496992359)); #149991=CARTESIAN_POINT('Ctrl Pts',(-1.71373752070942,2.42455450620939, 0.0217164851307403)); #149992=CARTESIAN_POINT('Ctrl Pts',(-1.8024866047745,1.76921717160994,0.0323265007706029)); #149993=CARTESIAN_POINT('Ctrl Pts',(-1.79205702953276,1.87160879633826, 0.0320955472061014)); #149994=CARTESIAN_POINT('Ctrl Pts',(-1.76732825405326,2.08084325431785, 0.0312727041552253)); #149995=CARTESIAN_POINT('Ctrl Pts',(-1.73550550300123,2.28924822383692, 0.0274967442448274)); #149996=CARTESIAN_POINT('Ctrl Pts',(-1.71864965531256,2.39692692331676, 0.0238261043896352)); #149997=CARTESIAN_POINT('Ctrl Pts',(-1.82022379191949,2.48723311037016, 0.20085484091241)); #149998=CARTESIAN_POINT('Ctrl Pts',(-1.85029448546451,2.37407575672963, 0.201415546210282)); #149999=CARTESIAN_POINT('Ctrl Pts',(-1.90669091377528,2.14689459585826, 0.202198442059376)); #150000=CARTESIAN_POINT('Ctrl Pts',(-1.95353796184733,1.91730218808811, 0.202842005361818)); #150001=CARTESIAN_POINT('Ctrl Pts',(-1.97446219948284,1.80201973472533, 0.203141643805481)); #150002=CARTESIAN_POINT('Ctrl Pts',(-2.02535748756118,2.56472794036172, 0.150567796543041)); #150003=CARTESIAN_POINT('Ctrl Pts',(-2.02606259032816,2.5660637194798,0.0902693845270974)); #150004=CARTESIAN_POINT('Ctrl Pts',(-2.02676749117626,2.56739943306044, 0.0299709686981674)); #150005=CARTESIAN_POINT('Ctrl Pts',(-2.02747219034265,2.5687350811806,-0.0303274509377796)); #150006=CARTESIAN_POINT('Ctrl Pts',(-2.02789249833343,2.5655507367075,0.150615667078772)); #150007=CARTESIAN_POINT('Ctrl Pts',(-2.02859739452763,2.56688644099235, 0.0903172447209535)); #150008=CARTESIAN_POINT('Ctrl Pts',(-2.02930208790687,2.5682221031369,0.030018819096363)); #150009=CARTESIAN_POINT('Ctrl Pts',(-2.0300065806603,2.56955767648761,-0.0302796108677244)); #150010=CARTESIAN_POINT('Ctrl Pts',(-2.03363080678189,2.56503891174773, 0.15067142994149)); #150011=CARTESIAN_POINT('Ctrl Pts',(-2.03433518182373,2.56637486521113, 0.0903729945857963)); #150012=CARTESIAN_POINT('Ctrl Pts',(-2.03503935270325,2.56771074997433, 0.0300745553150662)); #150013=CARTESIAN_POINT('Ctrl Pts',(-2.03574332472795,2.56904657219051, -0.0302238876283939)); #150014=CARTESIAN_POINT('Ctrl Pts',(-2.03729077094781,2.56058977577056, 0.150615667078772)); #150015=CARTESIAN_POINT('Ctrl Pts',(-2.03799522548708,2.56192649992379, 0.0903172447209535)); #150016=CARTESIAN_POINT('Ctrl Pts',(-2.03869950230666,2.56326316251361, 0.0300188190963631)); #150017=CARTESIAN_POINT('Ctrl Pts',(-2.03940355346403,2.56459975471059, -0.0302796108677243)); #150018=CARTESIAN_POINT('Ctrl Pts',(-2.03804159329448,2.55803252348622, 0.150567796543041)); #150019=CARTESIAN_POINT('Ctrl Pts',(-2.03874632852999,2.55936955584613, 0.0902693845270974)); #150020=CARTESIAN_POINT('Ctrl Pts',(-2.03945086174305,2.56070652211247, 0.0299709686981674)); #150021=CARTESIAN_POINT('Ctrl Pts',(-2.04015519317123,2.56204342236289, -0.0303274509377796)); #150022=CARTESIAN_POINT('Ctrl Pts',(-2.03804159329448,2.55803252348622, 0.150567796543041)); #150023=CARTESIAN_POINT('Ctrl Pts',(-2.03874573275452,2.5593684255336,0.0903203601451272)); #150024=CARTESIAN_POINT('Ctrl Pts',(-2.03944967053356,2.5607042615991,0.0300729199406712)); #150025=CARTESIAN_POINT('Ctrl Pts',(-2.04015340686854,2.5620400317602,-0.0301745240643728)); #150026=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #150027=CARTESIAN_POINT('Ctrl Pts',(-2.21731866127045,1.84106719081252, -0.0301745240643762)); #150028=CARTESIAN_POINT('Ctrl Pts',(-2.21607740473449,1.84191748458961, 0.0300729198634617)); #150029=CARTESIAN_POINT('Ctrl Pts',(-2.21483594224317,1.84276774248506, 0.090320360067865)); #150030=CARTESIAN_POINT('Ctrl Pts',(-2.21359427355594,1.84361796445693, 0.150567796543041)); #150031=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.150393272478668)); #150032=CARTESIAN_POINT('Origin',(-1.38802085850378,3.39970892339331,0.150393272478668)); #150033=CARTESIAN_POINT('Origin',(-1.95274546650969,2.79935725405778,0.150393272478668)); #150034=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.150393272478668)); #150035=CARTESIAN_POINT('Origin',(-2.00989489791556,2.61757343434311,0.150393272478668)); #150036=CARTESIAN_POINT('Ctrl Pts',(-1.38918153840074,3.40263846379999, 0.159883826476322)); #150037=CARTESIAN_POINT('Ctrl Pts',(-1.36903090062142,3.33100772523594, 0.18445916562124)); #150038=CARTESIAN_POINT('Ctrl Pts',(-1.34789376937066,3.25655513508412, 0.199971041937038)); #150039=CARTESIAN_POINT('Ctrl Pts',(-1.32637220866846,3.1814566252844,0.206047746871802)); #150040=CARTESIAN_POINT('Ctrl Pts',(-1.38664662300285,3.40337762548638, 0.159965678527915)); #150041=CARTESIAN_POINT('Ctrl Pts',(-1.36650177910613,3.33173560652477, 0.184528162418683)); #150042=CARTESIAN_POINT('Ctrl Pts',(-1.34537448376588,3.25727810422838, 0.200022539707938)); #150043=CARTESIAN_POINT('Ctrl Pts',(-1.32386711737034,3.18217340477226, 0.206074146039645)); #150044=CARTESIAN_POINT('Ctrl Pts',(-1.38134812119919,3.40419835361625, 0.158025096972651)); #150045=CARTESIAN_POINT('Ctrl Pts',(-1.36127543127101,3.3327042605541,0.18252017181128)); #150046=CARTESIAN_POINT('Ctrl Pts',(-1.34022755398685,3.2583949857729,0.197963606296882)); #150047=CARTESIAN_POINT('Ctrl Pts',(-1.31881676388629,3.18344480355749, 0.203980199562017)); #150048=CARTESIAN_POINT('Ctrl Pts',(-1.37868016302353,3.40324924704002, 0.153075877975769)); #150049=CARTESIAN_POINT('Ctrl Pts',(-1.35873382527724,3.3321431771673,0.17745455390227)); #150050=CARTESIAN_POINT('Ctrl Pts',(-1.33781945317976,3.25823497089853, 0.192836279351531)); #150051=CARTESIAN_POINT('Ctrl Pts',(-1.31654991755202,3.18367660508826, 0.198850402998477)); #150052=CARTESIAN_POINT('Ctrl Pts',(-1.37840970559252,3.40246487714243, 0.150567796543041)); #150053=CARTESIAN_POINT('Ctrl Pts',(-1.35851882311145,3.33155700553013, 0.174888233740484)); #150054=CARTESIAN_POINT('Ctrl Pts',(-1.33766290163348,3.25785164438539, 0.190239216753506)); #150055=CARTESIAN_POINT('Ctrl Pts',(-1.31645063389841,3.18349485229745, 0.196252892490058)); #150056=CARTESIAN_POINT('Origin',(-1.32606178680967,3.18073889854833,0.196078368425685)); #150057=CARTESIAN_POINT('Origin',(-1.38802085850378,3.39970892339331,0.150393272478668)); #150058=CARTESIAN_POINT('Origin',(-1.3260617868081,3.18073889854286,0.196078368426128)); #150059=CARTESIAN_POINT('Origin',(-1.38802085850378,3.39970892339331,0.150393272478668)); #150060=CARTESIAN_POINT('Origin',(-2.00989489791556,2.61757343434311,0.150393272478668)); #150061=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.150393272478668)); #150062=CARTESIAN_POINT('',(-1.3276505654758,3.42468848348482,0.159883826476322)); #150063=CARTESIAN_POINT('',(-1.32978473386042,3.43119478790701,0.150567796543041)); #150064=CARTESIAN_POINT('Origin',(-1.32666844413146,3.42169435214457,0.150393272478668)); #150065=CARTESIAN_POINT('',(-0.525807219098705,3.61119515758078,0.150567796543041)); #150066=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.150567796543041)); #150067=CARTESIAN_POINT('',(-0.524963352332277,3.60439997098962,0.159883826476322)); #150068=CARTESIAN_POINT('Origin',(-0.524575013919501,3.60127289918124,0.150393272478668)); #150069=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.159883826476322)); #150070=CARTESIAN_POINT('Ctrl Pts',(-0.444745898456076,3.12833227323951, -0.0346583013683754)); #150071=CARTESIAN_POINT('Ctrl Pts',(-0.445951966795718,3.13397604708907, -0.0112341858243837)); #150072=CARTESIAN_POINT('Ctrl Pts',(-0.448180655125299,3.14713843613377, 0.0102580509624898)); #150073=CARTESIAN_POINT('Ctrl Pts',(-0.451014031149911,3.16534650975789, 0.0258253224823168)); #150074=CARTESIAN_POINT('Ctrl Pts',(-0.444391979732208,3.12573318468575, -0.0340138579036186)); #150075=CARTESIAN_POINT('Ctrl Pts',(-0.445628537746496,3.13152190983106, -0.010041438597424)); #150076=CARTESIAN_POINT('Ctrl Pts',(-0.447910124139711,3.14500757679775, 0.0119392594596602)); #150077=CARTESIAN_POINT('Ctrl Pts',(-0.450810962090505,3.1636477638918, 0.0278492006399316)); #150078=CARTESIAN_POINT('Ctrl Pts',(-0.445982050538684,3.12023908863551, -0.0327719881090788)); #150079=CARTESIAN_POINT('Ctrl Pts',(-0.447254937663744,3.1263171756402, -0.0077132431180949)); #150080=CARTESIAN_POINT('Ctrl Pts',(-0.449613929101318,3.14045577348693, 0.0152482596987044)); #150081=CARTESIAN_POINT('Ctrl Pts',(-0.452610592118643,3.15995651847084, 0.0318390738643759)); #150082=CARTESIAN_POINT('Ctrl Pts',(-0.451143092880243,3.11750683917225, -0.0323794171158965)); #150083=CARTESIAN_POINT('Ctrl Pts',(-0.452394943535027,3.12367435478046, -0.00689174723045363)); #150084=CARTESIAN_POINT('Ctrl Pts',(-0.454730309168997,3.13802349324008, 0.0164637765800415)); #150085=CARTESIAN_POINT('Ctrl Pts',(-0.457712489629644,3.15785523603457, 0.0333682250188795)); #150086=CARTESIAN_POINT('Ctrl Pts',(-0.453831791167586,3.11726095935325, -0.0324586117298034)); #150087=CARTESIAN_POINT('Ctrl Pts',(-0.455067865447205,3.12340171415337, -0.00699797484316322)); #150088=CARTESIAN_POINT('Ctrl Pts',(-0.457381365145462,3.1377177300983, 0.0163626293880241)); #150089=CARTESIAN_POINT('Ctrl Pts',(-0.460339829700335,3.15751926461717, 0.0332829917100449)); #150090=CARTESIAN_POINT('',(-0.460339829700335,3.15751926461717,0.033282991710045)); #150091=CARTESIAN_POINT('Ctrl Pts',(-0.453835588778953,3.11727983456013, -0.0323804648891027)); #150092=CARTESIAN_POINT('Ctrl Pts',(-0.455072600436116,3.12343101599269, -0.00695017676924536)); #150093=CARTESIAN_POINT('Ctrl Pts',(-0.45738439222587,3.13773799089104, 0.0163799421853639)); #150094=CARTESIAN_POINT('Ctrl Pts',(-0.460339829700335,3.15751926461717, 0.0332829917100449)); #150095=CARTESIAN_POINT('',(-0.451014031149911,3.16534650975789,0.0258253224823167)); #150096=CARTESIAN_POINT('Origin',(-0.460915203611093,3.16395499071566,0.0256507984179439)); #150097=CARTESIAN_POINT('Ctrl Pts',(-0.451014031149911,3.16534650975789, 0.0258253224823168)); #150098=CARTESIAN_POINT('Ctrl Pts',(-0.448362415743186,3.14830648113864, 0.0112566889355945)); #150099=CARTESIAN_POINT('Ctrl Pts',(-0.446240395206015,3.13568554139552, -0.00850112116209344)); #150100=CARTESIAN_POINT('Ctrl Pts',(-0.444990520261705,3.12951058383499, -0.0301752459408532)); #150101=CARTESIAN_POINT('Ctrl Pts',(-0.451014031149911,3.16534650975789, 0.0258253224823165)); #150102=CARTESIAN_POINT('Ctrl Pts',(-0.461423998102481,3.23224389402688, 0.0830202661309556)); #150103=CARTESIAN_POINT('Ctrl Pts',(-0.471843491118402,3.29922097263073, 0.140120225810086)); #150104=CARTESIAN_POINT('Ctrl Pts',(-0.482269493087524,3.36625255206348, 0.1971549069668)); #150105=CARTESIAN_POINT('Ctrl Pts',(-0.450810962090505,3.1636477638918, 0.0278492006399314)); #150106=CARTESIAN_POINT('Ctrl Pts',(-0.46122239824705,3.23055384362233, 0.0850376057838381)); #150107=CARTESIAN_POINT('Ctrl Pts',(-0.471642187483368,3.29753814527644, 0.142132149125756)); #150108=CARTESIAN_POINT('Ctrl Pts',(-0.482069253472154,3.36457606255746, 0.199161851439778)); #150109=CARTESIAN_POINT('Ctrl Pts',(-0.45261576190566,3.15995900759991, 0.0318352215389425)); #150110=CARTESIAN_POINT('Ctrl Pts',(-0.463010339448299,3.22688459163462, 0.0890116122237581)); #150111=CARTESIAN_POINT('Ctrl Pts',(-0.473417000380265,3.29388533956409, 0.146095488089042)); #150112=CARTESIAN_POINT('Ctrl Pts',(-0.483831666588303,3.36093750145433, 0.203115999519718)); #150113=CARTESIAN_POINT('Ctrl Pts',(-0.457712489629643,3.15785523603457, 0.0333682250188796)); #150114=CARTESIAN_POINT('Ctrl Pts',(-0.468072080575167,3.22477761511418, 0.090557896277096)); #150115=CARTESIAN_POINT('Ctrl Pts',(-0.478449092124685,3.29177507659923, 0.147653537960478)); #150116=CARTESIAN_POINT('Ctrl Pts',(-0.488837788289058,3.35882511790393, 0.204683511938968)); #150117=CARTESIAN_POINT('Ctrl Pts',(-0.460339829700335,3.15751926461717, 0.033282991710045)); #150118=CARTESIAN_POINT('Ctrl Pts',(-0.470687972232849,3.22443185086143, 0.0904860933793593)); #150119=CARTESIAN_POINT('Ctrl Pts',(-0.48105512533297,3.29142189384841, 0.147592268857447)); #150120=CARTESIAN_POINT('Ctrl Pts',(-0.491435120432297,3.35846489683226, 0.204632076521064)); #150121=CARTESIAN_POINT('',(-0.491435120439176,3.35846489687669,0.204632076558867)); #150122=CARTESIAN_POINT('',(-0.482269493087524,3.36625255206348,0.1971549069668)); #150123=CARTESIAN_POINT('Origin',(-0.492170665548706,3.36486103302126,0.196980382902427)); #150124=CARTESIAN_POINT('Ctrl Pts',(-0.482269493087524,3.36625255206348, 0.1971549069668)); #150125=CARTESIAN_POINT('Ctrl Pts',(-0.471843491118402,3.29922097263073, 0.140120225810086)); #150126=CARTESIAN_POINT('Ctrl Pts',(-0.461423998102481,3.23224389402688, 0.0830202661309556)); #150127=CARTESIAN_POINT('Ctrl Pts',(-0.451014031149911,3.16534650975789, 0.0258253224823165)); #150128=CARTESIAN_POINT('Ctrl Pts',(-0.460339829700335,3.15751926461717, 0.033282991710045)); #150129=CARTESIAN_POINT('Ctrl Pts',(-0.470687972232849,3.22443185086143, 0.0904860933793593)); #150130=CARTESIAN_POINT('Ctrl Pts',(-0.48105512533297,3.29142189384841, 0.147592268857447)); #150131=CARTESIAN_POINT('Ctrl Pts',(-0.491435120432297,3.35846489683226, 0.204632076521064)); #150132=CARTESIAN_POINT('Ctrl Pts',(-0.524963352332276,3.60439997098962, 0.159883826476322)); #150133=CARTESIAN_POINT('Ctrl Pts',(-0.514572459193526,3.5271643713382, 0.185757600471273)); #150134=CARTESIAN_POINT('Ctrl Pts',(-0.503548927021218,3.44666414785784, 0.201619888608592)); #150135=CARTESIAN_POINT('Ctrl Pts',(-0.492250323883438,3.36550644591215, 0.206959215418092)); #150136=CARTESIAN_POINT('Ctrl Pts',(-0.522404156023836,3.60474187213386, 0.159875890670149)); #150137=CARTESIAN_POINT('Ctrl Pts',(-0.512012461469416,3.52750997913007, 0.185750622154856)); #150138=CARTESIAN_POINT('Ctrl Pts',(-0.500988333644769,3.44701823723009, 0.201613950158597)); #150139=CARTESIAN_POINT('Ctrl Pts',(-0.489687928434315,3.365863422305,0.206956581741743)); #150140=CARTESIAN_POINT('Ctrl Pts',(-0.517229736484377,3.60476190185328, 0.15785610421067)); #150141=CARTESIAN_POINT('Ctrl Pts',(-0.506858221059867,3.52771093119309, 0.183672189520617)); #150142=CARTESIAN_POINT('Ctrl Pts',(-0.495853571170361,3.44740213332504, 0.199502181189241)); #150143=CARTESIAN_POINT('Ctrl Pts',(-0.484572470164476,3.36643686000851, 0.204835300821138)); #150144=CARTESIAN_POINT('Ctrl Pts',(-0.514829432739461,3.60346422812239, 0.153017804827394)); #150145=CARTESIAN_POINT('Ctrl Pts',(-0.50451087775447,3.52682389882525, 0.178693849128606)); #150146=CARTESIAN_POINT('Ctrl Pts',(-0.493562239748321,3.44694516952727, 0.194435683225634)); #150147=CARTESIAN_POINT('Ctrl Pts',(-0.482337526716335,3.36641289365758, 0.199736180284023)); #150148=CARTESIAN_POINT('Ctrl Pts',(-0.514673841458319,3.60266441822347, 0.150567796543041)); #150149=CARTESIAN_POINT('Ctrl Pts',(-0.504383142932753,3.52623086837271, 0.176173200879248)); #150150=CARTESIAN_POINT('Ctrl Pts',(-0.493463796844641,3.44656687360035, 0.19187096114927)); #150151=CARTESIAN_POINT('Ctrl Pts',(-0.482269493101344,3.36625255215234, 0.197154907042401)); #150152=CARTESIAN_POINT('',(-0.492250323883445,3.36550644591215,0.206959215418092)); #150153=CARTESIAN_POINT('Origin',(-0.492170665562526,3.36486103311011,0.196980382978028)); #150154=CARTESIAN_POINT('Ctrl Pts',(-0.492250323883438,3.36550644591215, 0.206959215418092)); #150155=CARTESIAN_POINT('Ctrl Pts',(-0.503548927021218,3.44666414785784, 0.201619888608592)); #150156=CARTESIAN_POINT('Ctrl Pts',(-0.514572459193526,3.5271643713382, 0.185757600471273)); #150157=CARTESIAN_POINT('Ctrl Pts',(-0.524963352332276,3.60439997098962, 0.159883826476322)); #150158=CARTESIAN_POINT('',(-0.514673841458319,3.60266441822347,0.150567796543041)); #150159=CARTESIAN_POINT('Origin',(-0.524575013919501,3.60127289918124,0.150393272478668)); #150160=CARTESIAN_POINT('Ctrl Pts',(-0.514673841458319,3.60266441822347, 0.150567796543041)); #150161=CARTESIAN_POINT('Ctrl Pts',(-0.504383142932753,3.52623086837271, 0.176173200879248)); #150162=CARTESIAN_POINT('Ctrl Pts',(-0.493463796844641,3.44656687360035, 0.19187096114927)); #150163=CARTESIAN_POINT('Ctrl Pts',(-0.482269493101344,3.36625255215234, 0.197154907042401)); #150164=CARTESIAN_POINT('Origin',(-0.492170665559062,3.36486103311051,0.19698038297803)); #150165=CARTESIAN_POINT('Origin',(-0.492170665559062,3.36486103311051,0.19698038297803)); #150166=CARTESIAN_POINT('Origin',(-0.524575013919501,3.60127289918124,0.150393272478668)); #150167=CARTESIAN_POINT('Origin',(-0.524575013919501,3.60127289918124,0.150393272478668)); #150168=CARTESIAN_POINT('Origin',(-1.32666844413146,3.42169435214458,0.150393272478668)); #150169=CARTESIAN_POINT('Origin',(-1.32666844413146,3.42169435214458,0.150393272478668)); #150170=CARTESIAN_POINT('Origin',(-1.32666844413146,3.42169435214458,0.150393272478668)); #150171=CARTESIAN_POINT('Ctrl Pts',(-1.26356312741613,3.20440616081696, 0.206115467568544)); #150172=CARTESIAN_POINT('Ctrl Pts',(-1.28518438695809,3.27931579975574, 0.200075040561105)); #150173=CARTESIAN_POINT('Ctrl Pts',(-1.30675769052382,3.35346890542696, 0.184514644571278)); #150174=CARTESIAN_POINT('Ctrl Pts',(-1.3276505654758,3.42468848348482,0.159883826476322)); #150175=CARTESIAN_POINT('Ctrl Pts',(-1.26604578004834,3.20369002251201, 0.206107847166181)); #150176=CARTESIAN_POINT('Ctrl Pts',(-1.28766125892991,3.27859789684149, 0.200059651257337)); #150177=CARTESIAN_POINT('Ctrl Pts',(-1.30922752579245,3.35274297138877, 0.184494390722254)); #150178=CARTESIAN_POINT('Ctrl Pts',(-1.33011501393181,3.42395785670085, 0.159859297362551)); #150179=CARTESIAN_POINT('Ctrl Pts',(-1.27093432457906,3.20210984916168, 0.203983856549717)); #150180=CARTESIAN_POINT('Ctrl Pts',(-1.29248384761854,3.27684771948343, 0.197943067887119)); #150181=CARTESIAN_POINT('Ctrl Pts',(-1.31398720275361,3.35082743395128, 0.182406833572656)); #150182=CARTESIAN_POINT('Ctrl Pts',(-1.33481364205089,3.42187748755416, 0.157824777773679)); #150183=CARTESIAN_POINT('Ctrl Pts',(-1.27294375088865,3.20112047495039, 0.198895684366982)); #150184=CARTESIAN_POINT('Ctrl Pts',(-1.29436991024814,3.27546305069104, 0.192896627263822)); #150185=CARTESIAN_POINT('Ctrl Pts',(-1.31575184459371,3.34905165780532, 0.177451861047119)); #150186=CARTESIAN_POINT('Ctrl Pts',(-1.33646186991973,3.4197285336653,0.153007137892844)); #150187=CARTESIAN_POINT('Ctrl Pts',(-1.27293194881464,3.20091620228609, 0.196319910769739)); #150188=CARTESIAN_POINT('Ctrl Pts',(-1.29430039617452,3.27505828456381, 0.190342136676211)); #150189=CARTESIAN_POINT('Ctrl Pts',(-1.31562489438641,3.34845048759589, 0.174943137248111)); #150190=CARTESIAN_POINT('Ctrl Pts',(-1.33627959704272,3.41893839839545, 0.15056779654304)); #150191=CARTESIAN_POINT('',(-1.26356312741613,3.20440616081696,0.206115467568544)); #150192=CARTESIAN_POINT('Origin',(-1.26332079590338,3.20367215603522,0.196145386705366)); #150193=CARTESIAN_POINT('Ctrl Pts',(-1.3276505654758,3.42468848348482,0.159883826476322)); #150194=CARTESIAN_POINT('Ctrl Pts',(-1.30675769052382,3.35346890542696, 0.184514644571278)); #150195=CARTESIAN_POINT('Ctrl Pts',(-1.28518438695809,3.27931579975574, 0.200075040561105)); #150196=CARTESIAN_POINT('Ctrl Pts',(-1.26356312741613,3.20440616081696, 0.206115467568544)); #150197=CARTESIAN_POINT('Ctrl Pts',(-1.27293194881665,3.20091620229359, 0.196319910777366)); #150198=CARTESIAN_POINT('Ctrl Pts',(-1.25795797586159,3.14510051404129, 0.139546585136763)); #150199=CARTESIAN_POINT('Ctrl Pts',(-1.2430648214529,3.08954891906165,0.082492893790798)); #150200=CARTESIAN_POINT('Ctrl Pts',(-1.2282069622478,3.03411277600266,0.025318603344693)); #150201=CARTESIAN_POINT('Ctrl Pts',(-1.27239249540294,3.19915364793039, 0.19819501575828)); #150202=CARTESIAN_POINT('Ctrl Pts',(-1.25741743708538,3.14333401351705, 0.1414133228724)); #150203=CARTESIAN_POINT('Ctrl Pts',(-1.24252293117465,3.0877776313341,0.0843567230039111)); #150204=CARTESIAN_POINT('Ctrl Pts',(-1.22766364923845,3.03233605209328, 0.0271825038528105)); #150205=CARTESIAN_POINT('Ctrl Pts',(-1.2692105378138,3.19625268929191,0.201886283576702)); #150206=CARTESIAN_POINT('Ctrl Pts',(-1.25423877932326,3.14042350581686, 0.145088256044788)); #150207=CARTESIAN_POINT('Ctrl Pts',(-1.23933920861872,3.08485825187356, 0.0880257467718049)); #150208=CARTESIAN_POINT('Ctrl Pts',(-1.22447003604667,3.0294081310473,0.0308513365308069)); #150209=CARTESIAN_POINT('Ctrl Pts',(-1.26372695017352,3.19625115611259, 0.203334087938236)); #150210=CARTESIAN_POINT('Ctrl Pts',(-1.24876258613827,3.14041140779372, 0.146534110033509)); #150211=CARTESIAN_POINT('Ctrl Pts',(-1.23385805982477,3.08484574665316, 0.0894668602722348)); #150212=CARTESIAN_POINT('Ctrl Pts',(-1.21897698080722,3.02940063088534, 0.0322860866739996)); #150213=CARTESIAN_POINT('Ctrl Pts',(-1.26120355866843,3.19698782207747, 0.203275392738477)); #150214=CARTESIAN_POINT('Ctrl Pts',(-1.24624110629914,3.1411439945978,0.146479107765234)); #150215=CARTESIAN_POINT('Ctrl Pts',(-1.23133563398646,3.08558019223845, 0.0894101531990565)); #150216=CARTESIAN_POINT('Ctrl Pts',(-1.216452141523,3.03014055692647,0.0322247839583739)); #150217=CARTESIAN_POINT('',(-1.26120355866725,3.19698782207377,0.203275392734663)); #150218=CARTESIAN_POINT('Origin',(-1.26332079590539,3.20367215604271,0.196145386712993)); #150219=CARTESIAN_POINT('Ctrl Pts',(-1.26120355866843,3.19698782207747, 0.203275392738477)); #150220=CARTESIAN_POINT('Ctrl Pts',(-1.24624110629914,3.1411439945978,0.146479107765234)); #150221=CARTESIAN_POINT('Ctrl Pts',(-1.23133563398646,3.08558019223845, 0.0894101531990565)); #150222=CARTESIAN_POINT('Ctrl Pts',(-1.216452141523,3.03014055692647,0.0322247839583739)); #150223=CARTESIAN_POINT('Origin',(-1.26332079590338,3.20367215603522,0.196145386705366)); #150224=CARTESIAN_POINT('Origin',(-1.26332079590338,3.20367215603522,0.196145386705366)); #150225=CARTESIAN_POINT('Ctrl Pts',(-0.514673841458319,3.60266441822347, 0.150567796543041)); #150226=CARTESIAN_POINT('Ctrl Pts',(-0.513775779104087,3.6038364698963, 0.0902735184491683)); #150227=CARTESIAN_POINT('Ctrl Pts',(-0.512877664042059,3.60500814734758, 0.0299792338659246)); #150228=CARTESIAN_POINT('Ctrl Pts',(-0.511979496387494,3.60617945139503, -0.030315057187788)); #150229=CARTESIAN_POINT('Ctrl Pts',(-0.515035113738549,3.60522942542245, 0.150612276318381)); #150230=CARTESIAN_POINT('Ctrl Pts',(-0.514137006898507,3.60640107074853, 0.0903179801442859)); #150231=CARTESIAN_POINT('Ctrl Pts',(-0.513238810806119,3.60757235768471, 0.0300236784003256)); #150232=CARTESIAN_POINT('Ctrl Pts',(-0.512340598713934,3.60874325599185, -0.0302706306886167)); #150233=CARTESIAN_POINT('Ctrl Pts',(-0.517859606399481,3.61003710645542, 0.150663662290093)); #150234=CARTESIAN_POINT('Ctrl Pts',(-0.516960681867129,3.61120807570238, 0.090369343639144)); #150235=CARTESIAN_POINT('Ctrl Pts',(-0.516061708635369,3.6123786649015, 0.0300750183407903)); #150236=CARTESIAN_POINT('Ctrl Pts',(-0.515162680454975,3.61354888801213, -0.0302193131651245)); #150237=CARTESIAN_POINT('Ctrl Pts',(-0.52323654538998,3.6115136168004,0.150612276318381)); #150238=CARTESIAN_POINT('Ctrl Pts',(-0.52233639035194,3.61268527967876, 0.090317980144286)); #150239=CARTESIAN_POINT('Ctrl Pts',(-0.521436193643772,3.61385660848932, 0.0300236784003256)); #150240=CARTESIAN_POINT('Ctrl Pts',(-0.5205359367057,3.61502752310288,-0.0302706306886167)); #150241=CARTESIAN_POINT('Ctrl Pts',(-0.525807219098705,3.61119515758078, 0.150567796543041)); #150242=CARTESIAN_POINT('Ctrl Pts',(-0.524906742568131,3.61236750906043, 0.0902735184491683)); #150243=CARTESIAN_POINT('Ctrl Pts',(-0.524006214996646,3.61353948521683, 0.0299792338659246)); #150244=CARTESIAN_POINT('Ctrl Pts',(-0.523105636496077,3.6147110868721, -0.030315057187788)); #150245=CARTESIAN_POINT('Ctrl Pts',(-0.5119815898245,3.60617672133462,-0.0301745240643695)); #150246=CARTESIAN_POINT('Ctrl Pts',(-0.51287905958504,3.60500632672731, 0.03007292260482)); #150247=CARTESIAN_POINT('Ctrl Pts',(-0.513776476834643,3.60383555929554, 0.0903203628135761)); #150248=CARTESIAN_POINT('Ctrl Pts',(-0.514673841458319,3.60266441822347, 0.150567796543041)); #150249=CARTESIAN_POINT('Ctrl Pts',(-0.525807219098705,3.61119515758078, 0.150567796543041)); #150250=CARTESIAN_POINT('Ctrl Pts',(-0.52490744217433,3.61236659822673, 0.090320362813575)); #150251=CARTESIAN_POINT('Ctrl Pts',(-0.524007614288324,3.61353766413241, 0.0300729226048177)); #150252=CARTESIAN_POINT('Ctrl Pts',(-0.523107735552253,3.61470835611802, -0.0301745240643729)); #150253=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #150254=CARTESIAN_POINT('Ctrl Pts',(-1.33366127999432,3.43324233454085, -0.0301745240643581)); #150255=CARTESIAN_POINT('Ctrl Pts',(-1.33236919927164,3.43256016635032, 0.0300729222026432)); #150256=CARTESIAN_POINT('Ctrl Pts',(-1.33107701729953,3.43187765105423, 0.0903203624104034)); #150257=CARTESIAN_POINT('Ctrl Pts',(-1.32978473386042,3.43119478790701, 0.150567796543041)); #150258=CARTESIAN_POINT('Ctrl Pts',(-1.32978473386042,3.43119478790701, 0.150567796543041)); #150259=CARTESIAN_POINT('Ctrl Pts',(-1.33107799008597,3.43187816509007, 0.0902750102181307)); #150260=CARTESIAN_POINT('Ctrl Pts',(-1.3323711446917,3.43256119389811,0.029982217808948)); #150261=CARTESIAN_POINT('Ctrl Pts',(-1.33366419789567,3.43324387507838, -0.0303105806685895)); #150262=CARTESIAN_POINT('Ctrl Pts',(-1.33221157012988,3.43039795962387, 0.150610718860398)); #150263=CARTESIAN_POINT('Ctrl Pts',(-1.33350425725374,3.43108087017451, 0.0903179153687101)); #150264=CARTESIAN_POINT('Ctrl Pts',(-1.33479685127896,3.43176347098524, 0.0300251066449489)); #150265=CARTESIAN_POINT('Ctrl Pts',(-1.33608933596875,3.43244568690496, -0.0302677089573934)); #150266=CARTESIAN_POINT('Ctrl Pts',(-1.33639791259214,3.42685015813695, 0.150660101633266)); #150267=CARTESIAN_POINT('Ctrl Pts',(-1.33768923535967,3.42753296834435, 0.0903672769111761)); #150268=CARTESIAN_POINT('Ctrl Pts',(-1.33898045313179,3.42821542743761, 0.0300744459751369)); #150269=CARTESIAN_POINT('Ctrl Pts',(-1.34027157584568,3.42889754414107, -0.0302183908020686)); #150270=CARTESIAN_POINT('Ctrl Pts',(-1.33698293846031,3.42139395952112, 0.150610718860398)); #150271=CARTESIAN_POINT('Ctrl Pts',(-1.33827381127406,3.42207769774929, 0.0903179153687102)); #150272=CARTESIAN_POINT('Ctrl Pts',(-1.33956462164252,3.4227610873199,0.0300251066449489)); #150273=CARTESIAN_POINT('Ctrl Pts',(-1.34085529544879,3.42344413063336, -0.0302677089573934)); #150274=CARTESIAN_POINT('Ctrl Pts',(-1.33627959704272,3.41893839839545, 0.150567796543041)); #150275=CARTESIAN_POINT('Ctrl Pts',(-1.33757059126445,3.41962251755072, 0.0902750102181306)); #150276=CARTESIAN_POINT('Ctrl Pts',(-1.33886148577846,3.42030628859854, 0.029982217808948)); #150277=CARTESIAN_POINT('Ctrl Pts',(-1.34015228079805,3.42098971228382, -0.0303105806685895)); #150278=CARTESIAN_POINT('Ctrl Pts',(-1.24562747137448,3.20177389034926, 0.203305281958333)); #150279=CARTESIAN_POINT('Ctrl Pts',(-1.21533550503182,3.15013173677365, 0.146274303748236)); #150280=CARTESIAN_POINT('Ctrl Pts',(-1.18504353868915,3.09848958319805, 0.089243325538139)); #150281=CARTESIAN_POINT('Ctrl Pts',(-1.15475157234649,3.04684742962244, 0.0322123473280422)); #150282=CARTESIAN_POINT('Ctrl Pts',(-1.26411809130464,3.19634164602807, 0.203305281958333)); #150283=CARTESIAN_POINT('Ctrl Pts',(-1.23459383864859,3.14495440469534, 0.146274303748236)); #150284=CARTESIAN_POINT('Ctrl Pts',(-1.20506957558641,3.09356710942871, 0.089243325538139)); #150285=CARTESIAN_POINT('Ctrl Pts',(-1.17554532293035,3.04217986809599, 0.0322123473280422)); #150286=CARTESIAN_POINT('Ctrl Pts',(-1.28241084576857,3.19021854025984, 0.203305281958333)); #150287=CARTESIAN_POINT('Ctrl Pts',(-1.25365278175366,3.1390124206509,0.146274303748236)); #150288=CARTESIAN_POINT('Ctrl Pts',(-1.22489470646707,3.08780624729941, 0.089243325538139)); #150289=CARTESIAN_POINT('Ctrl Pts',(-1.19613664245216,3.03660012769046, 0.0322123473280422)); #150290=CARTESIAN_POINT('Ctrl Pts',(-1.30045380254787,3.18342122498661, 0.203305281958333)); #150291=CARTESIAN_POINT('Ctrl Pts',(-1.27245121338355,3.13232395474035, 0.146274303748236)); #150292=CARTESIAN_POINT('Ctrl Pts',(-1.24444862421923,3.0812266844941,0.089243325538139)); #150293=CARTESIAN_POINT('Ctrl Pts',(-1.21644603505491,3.03012941424785, 0.0322123473280422)); #150294=CARTESIAN_POINT('',(-1.24562747137448,3.20177389034925,0.203305281958333)); #150295=CARTESIAN_POINT('Ctrl Pts',(-1.24562747137448,3.20177389034925, 0.203305281958333)); #150296=CARTESIAN_POINT('Ctrl Pts',(-1.25083506208549,3.20023006687024, 0.203292171206653)); #150297=CARTESIAN_POINT('Ctrl Pts',(-1.2560276001394,3.19863454253543,0.20328219460563)); #150298=CARTESIAN_POINT('Ctrl Pts',(-1.26120355866642,3.19698782206996, 0.203275392730847)); #150299=CARTESIAN_POINT('',(-1.28583267078012,3.27031625761445,0.279)); #150300=CARTESIAN_POINT('Ctrl Pts',(-0.425925381266676,3.37264710308839, 0.206990622174648)); #150301=CARTESIAN_POINT('Ctrl Pts',(-0.437421161447847,3.45398614183322, 0.201681753575944)); #150302=CARTESIAN_POINT('Ctrl Pts',(-0.449004136012172,3.53461946219795, 0.185807419236522)); #150303=CARTESIAN_POINT('Ctrl Pts',(-0.460298021684501,3.61192918915274, 0.159883826476322)); #150304=CARTESIAN_POINT('Ctrl Pts',(-0.428492347758526,3.37228376262087, 0.206996389686674)); #150305=CARTESIAN_POINT('Ctrl Pts',(-0.439992710306626,3.45362097146245, 0.201695298129773)); #150306=CARTESIAN_POINT('Ctrl Pts',(-0.451579803120209,3.53424932226788, 0.185829336099741)); #150307=CARTESIAN_POINT('Ctrl Pts',(-0.462878573917911,3.61156097285561, 0.159912782091192)); #150308=CARTESIAN_POINT('Ctrl Pts',(-0.433585234920261,3.37142416510882, 0.204882436995513)); #150309=CARTESIAN_POINT('Ctrl Pts',(-0.445074414336237,3.4525715674519, 0.199600038207633)); #150310=CARTESIAN_POINT('Ctrl Pts',(-0.456651114451949,3.53301920891175, 0.183776552442036)); #150311=CARTESIAN_POINT('Ctrl Pts',(-0.467939077376044,3.6101534419416, 0.157925476298032)); #150312=CARTESIAN_POINT('Ctrl Pts',(-0.43573671206319,3.37078580610375, 0.199772755264771)); #150313=CARTESIAN_POINT('Ctrl Pts',(-0.447172895143323,3.45149726502431, 0.194509529296401)); #150314=CARTESIAN_POINT('Ctrl Pts',(-0.458695033907038,3.53150866362191, 0.17876196495934)); #150315=CARTESIAN_POINT('Ctrl Pts',(-0.469930200560298,3.60822410508457, 0.153041593560247)); #150316=CARTESIAN_POINT('Ctrl Pts',(-0.4357581520575,3.37061392771674,0.197185988039733)); #150317=CARTESIAN_POINT('Ctrl Pts',(-0.447163217753071,3.45110625575955, 0.191932184436743)); #150318=CARTESIAN_POINT('Ctrl Pts',(-0.458654429418848,3.53090016992924, 0.176222502911026)); #150319=CARTESIAN_POINT('Ctrl Pts',(-0.469858691513736,3.60740502860928, 0.150567796543041)); #150320=CARTESIAN_POINT('',(-0.469858691513737,3.60740502860928,0.150567796543041)); #150321=CARTESIAN_POINT('',(-0.460298021684501,3.61192918915274,0.159883826476322)); #150322=CARTESIAN_POINT('Origin',(-0.459957519052554,3.6087965476515,0.150393272478668)); #150323=CARTESIAN_POINT('',(-0.425925381266679,3.37264710308839,0.206990622174648)); #150324=CARTESIAN_POINT('Ctrl Pts',(-0.460298021684501,3.61192918915274, 0.159883826476322)); #150325=CARTESIAN_POINT('Ctrl Pts',(-0.449004136012172,3.53461946219795, 0.185807419236522)); #150326=CARTESIAN_POINT('Ctrl Pts',(-0.437421161447847,3.45398614183322, 0.201681753575944)); #150327=CARTESIAN_POINT('Ctrl Pts',(-0.425925381266676,3.37264710308839, 0.206990622174648)); #150328=CARTESIAN_POINT('',(-0.4357581520575,3.37061392771674,0.197185988039733)); #150329=CARTESIAN_POINT('Origin',(-0.425856979596318,3.37200544675897,0.197011463975361)); #150330=CARTESIAN_POINT('Ctrl Pts',(-0.4357581520575,3.37061392771674,0.197185988039733)); #150331=CARTESIAN_POINT('Ctrl Pts',(-0.447163217753071,3.45110625575955, 0.191932184436743)); #150332=CARTESIAN_POINT('Ctrl Pts',(-0.458654429418848,3.53090016992924, 0.176222502911026)); #150333=CARTESIAN_POINT('Ctrl Pts',(-0.469858691513736,3.60740502860928, 0.150567796543041)); #150334=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.150393272478668)); #150335=CARTESIAN_POINT('',(-0.461037940388209,3.61873647879253,0.150567796543041)); #150336=CARTESIAN_POINT('Origin',(-0.459957519052554,3.6087965476515,0.150393272478668)); #150337=CARTESIAN_POINT('',(0.461037940388209,3.61873647879253,0.150567796543041)); #150338=CARTESIAN_POINT('Origin',(-6.29404157283853E-15,-0.622835979680532, 0.150567796543041)); #150339=CARTESIAN_POINT('',(0.460298021684501,3.61192918915274,0.159883826476322)); #150340=CARTESIAN_POINT('Origin',(0.459957519052554,3.6087965476515,0.150393272478668)); #150341=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.159883826476322)); #150342=CARTESIAN_POINT('Ctrl Pts',(0.406051353489022,3.13016504603594, -0.0346276692360034)); #150343=CARTESIAN_POINT('Ctrl Pts',(0.406450674602142,3.13594593695664, -0.0111897977682504)); #150344=CARTESIAN_POINT('Ctrl Pts',(0.407944317816924,3.1492690867868,0.0103006347377278)); #150345=CARTESIAN_POINT('Ctrl Pts',(0.410249234822542,3.1676160379458,0.0258214234206755)); #150346=CARTESIAN_POINT('Ctrl Pts',(0.405702700765653,3.12775941495474, -0.0340283863493825)); #150347=CARTESIAN_POINT('Ctrl Pts',(0.406110617235481,3.13366549430557, -0.0100781076759028)); #150348=CARTESIAN_POINT('Ctrl Pts',(0.407634913832741,3.14726933373143, 0.0118838647405361)); #150349=CARTESIAN_POINT('Ctrl Pts',(0.409989437476206,3.1660103741179,0.0277580419626763)); #150350=CARTESIAN_POINT('Ctrl Pts',(0.403049328381635,3.1232446869447,-0.0328696336096566)); #150351=CARTESIAN_POINT('Ctrl Pts',(0.403472319567963,3.12939975034969, -0.00790171237987059)); #150352=CARTESIAN_POINT('Ctrl Pts',(0.405044448416144,3.14356723309265, 0.0150035508299259)); #150353=CARTESIAN_POINT('Ctrl Pts',(0.407470922786466,3.16309506862635, 0.0315782044567037)); #150354=CARTESIAN_POINT('Ctrl Pts',(0.397937063632947,3.12169235597162, -0.0323996552972759)); #150355=CARTESIAN_POINT('Ctrl Pts',(0.398364659877173,3.12796683824417, -0.00695490223109011)); #150356=CARTESIAN_POINT('Ctrl Pts',(0.399938831784746,3.14241412645952, 0.0163716336768169)); #150357=CARTESIAN_POINT('Ctrl Pts',(0.4023647149976,3.1623277352161,0.0332435588594461)); #150358=CARTESIAN_POINT('Ctrl Pts',(0.395440175811408,3.12187485171572, -0.0324021268513145)); #150359=CARTESIAN_POINT('Ctrl Pts',(0.395867284112553,3.12815893034708, -0.00692620838177455)); #150360=CARTESIAN_POINT('Ctrl Pts',(0.397436014713836,3.14264457231455, 0.0164327303233109)); #150361=CARTESIAN_POINT('Ctrl Pts',(0.399850357262069,3.16259351927097, 0.0333027735583981)); #150362=CARTESIAN_POINT('',(0.399850357262065,3.16259351927097,0.0333027735583982)); #150363=CARTESIAN_POINT('Ctrl Pts',(0.395440207797904,3.12187532231952, -0.0324002190698689)); #150364=CARTESIAN_POINT('Ctrl Pts',(0.395867362430212,3.12815965353007, -0.00692504221674601)); #150365=CARTESIAN_POINT('Ctrl Pts',(0.397436074980521,3.14264507027906, 0.016433151432397)); #150366=CARTESIAN_POINT('Ctrl Pts',(0.399850357262069,3.16259351927097, 0.0333027735583981)); #150367=CARTESIAN_POINT('',(0.410249234822542,3.1676160379458,0.0258214234206755)); #150368=CARTESIAN_POINT('Origin',(0.40034806236136,3.16900755698803,0.0256468993563027)); #150369=CARTESIAN_POINT('Ctrl Pts',(0.410249234822542,3.1676160379458,0.0258214234206755)); #150370=CARTESIAN_POINT('Ctrl Pts',(0.408091080747052,3.15043730772684, 0.0112889030438984)); #150371=CARTESIAN_POINT('Ctrl Pts',(0.406644175812696,3.13766297762622, -0.00847724387174482)); #150372=CARTESIAN_POINT('Ctrl Pts',(0.406140869674066,3.13136040761954, -0.0301752561976101)); #150373=CARTESIAN_POINT('Ctrl Pts',(0.425222669322016,3.36562161237318, 0.204682468408337)); #150374=CARTESIAN_POINT('Ctrl Pts',(0.416750274649827,3.2978951454252,0.147619711678559)); #150375=CARTESIAN_POINT('Ctrl Pts',(0.408290717317777,3.23021217510994, 0.0905019134094108)); #150376=CARTESIAN_POINT('Ctrl Pts',(0.399850357262069,3.16259351927097, 0.0333027735583984)); #150377=CARTESIAN_POINT('Ctrl Pts',(0.42775808095417,3.36533045264206,0.204649815858387)); #150378=CARTESIAN_POINT('Ctrl Pts',(0.41927978847022,3.29761138643862,0.147579224508938)); #150379=CARTESIAN_POINT('Ctrl Pts',(0.410813311009789,3.2299364123931,0.0904531272073799)); #150380=CARTESIAN_POINT('Ctrl Pts',(0.4023647149976,3.1623277352161,0.0332435588594465)); #150381=CARTESIAN_POINT('Ctrl Pts',(0.432935214516159,3.36606645928261, 0.203004052668101)); #150382=CARTESIAN_POINT('Ctrl Pts',(0.424436616974631,3.29835628764104, 0.145927851256711)); #150383=CARTESIAN_POINT('Ctrl Pts',(0.4159469707587,3.2306919553128,0.0887947907562097)); #150384=CARTESIAN_POINT('Ctrl Pts',(0.407470743765487,3.16309521722668, 0.0315780553834419)); #150385=CARTESIAN_POINT('Ctrl Pts',(0.435496448429391,3.36899733442373, 0.199143611511839)); #150386=CARTESIAN_POINT('Ctrl Pts',(0.426985435678788,3.30128271155754, 0.142078809557465)); #150387=CARTESIAN_POINT('Ctrl Pts',(0.418482380536559,3.23361304684228, 0.084959085418666)); #150388=CARTESIAN_POINT('Ctrl Pts',(0.409989437476206,3.1660103741179,0.0277580419626768)); #150389=CARTESIAN_POINT('Ctrl Pts',(0.43575815204063,3.37061392758252,0.197185987926637)); #150390=CARTESIAN_POINT('Ctrl Pts',(0.427246784362557,3.30289609633791, 0.140127195204622)); #150391=CARTESIAN_POINT('Ctrl Pts',(0.418742658237934,3.23522299481023, 0.0830142115791246)); #150392=CARTESIAN_POINT('Ctrl Pts',(0.410249234822542,3.1676160379458,0.0258214234206759)); #150393=CARTESIAN_POINT('',(0.425222669330412,3.3656216124403,0.204682468464889)); #150394=CARTESIAN_POINT('Ctrl Pts',(0.399850357262069,3.16259351927097, 0.0333027735583984)); #150395=CARTESIAN_POINT('Ctrl Pts',(0.408290717317777,3.23021217510994, 0.0905019134094108)); #150396=CARTESIAN_POINT('Ctrl Pts',(0.416750274649827,3.2978951454252,0.147619711678559)); #150397=CARTESIAN_POINT('Ctrl Pts',(0.425222669322016,3.36562161237318, 0.204682468408337)); #150398=CARTESIAN_POINT('',(0.43575815204063,3.37061392758252,0.197185987926637)); #150399=CARTESIAN_POINT('Origin',(0.425856979579447,3.37200544662475,0.197011463862264)); #150400=CARTESIAN_POINT('Ctrl Pts',(0.43575815204063,3.37061392758252,0.197185987926637)); #150401=CARTESIAN_POINT('Ctrl Pts',(0.427246784362557,3.30289609633791, 0.140127195204622)); #150402=CARTESIAN_POINT('Ctrl Pts',(0.418742658237934,3.23522299481023, 0.0830142115791246)); #150403=CARTESIAN_POINT('Ctrl Pts',(0.410249234822542,3.1676160379458,0.0258214234206759)); #150404=CARTESIAN_POINT('Ctrl Pts',(0.460298021684501,3.61192918915274, 0.159883826476322)); #150405=CARTESIAN_POINT('Ctrl Pts',(0.449004136012172,3.53461946219794, 0.185807419236522)); #150406=CARTESIAN_POINT('Ctrl Pts',(0.437421161447847,3.45398614183322, 0.201681753575944)); #150407=CARTESIAN_POINT('Ctrl Pts',(0.425925381266676,3.37264710308839, 0.206990622174648)); #150408=CARTESIAN_POINT('Ctrl Pts',(0.462878573917911,3.61156097285561, 0.159912782091192)); #150409=CARTESIAN_POINT('Ctrl Pts',(0.451579803120208,3.53424932226788, 0.185829336099742)); #150410=CARTESIAN_POINT('Ctrl Pts',(0.439992710306626,3.45362097146245, 0.201695298129773)); #150411=CARTESIAN_POINT('Ctrl Pts',(0.428492347758525,3.37228376262087, 0.206996389686674)); #150412=CARTESIAN_POINT('Ctrl Pts',(0.467939077376044,3.6101534419416,0.157925476298032)); #150413=CARTESIAN_POINT('Ctrl Pts',(0.456651114451949,3.53301920891175, 0.183776552442036)); #150414=CARTESIAN_POINT('Ctrl Pts',(0.445074414336237,3.4525715674519,0.199600038207633)); #150415=CARTESIAN_POINT('Ctrl Pts',(0.433585234920261,3.37142416510882, 0.204882436995513)); #150416=CARTESIAN_POINT('Ctrl Pts',(0.469930200560298,3.60822410508457, 0.153041593560247)); #150417=CARTESIAN_POINT('Ctrl Pts',(0.458695033907038,3.53150866362191, 0.17876196495934)); #150418=CARTESIAN_POINT('Ctrl Pts',(0.447172895143323,3.45149726502431, 0.194509529296401)); #150419=CARTESIAN_POINT('Ctrl Pts',(0.43573671206319,3.37078580610375,0.199772755264771)); #150420=CARTESIAN_POINT('Ctrl Pts',(0.469858691513737,3.60740502860928, 0.150567796543041)); #150421=CARTESIAN_POINT('Ctrl Pts',(0.458654429418848,3.53090016992924, 0.176222502911027)); #150422=CARTESIAN_POINT('Ctrl Pts',(0.44716321775307,3.45110625575955,0.191932184436743)); #150423=CARTESIAN_POINT('Ctrl Pts',(0.4357581520575,3.37061392771674,0.197185988039734)); #150424=CARTESIAN_POINT('',(0.425925381266676,3.37264710308839,0.206990622174648)); #150425=CARTESIAN_POINT('Origin',(0.425856979596318,3.37200544675897,0.197011463975361)); #150426=CARTESIAN_POINT('Ctrl Pts',(0.425925381266676,3.37264710308839, 0.206990622174648)); #150427=CARTESIAN_POINT('Ctrl Pts',(0.437421161447847,3.45398614183322, 0.201681753575944)); #150428=CARTESIAN_POINT('Ctrl Pts',(0.449004136012172,3.53461946219794, 0.185807419236522)); #150429=CARTESIAN_POINT('Ctrl Pts',(0.460298021684501,3.61192918915274, 0.159883826476322)); #150430=CARTESIAN_POINT('',(0.469858691513736,3.60740502860928,0.150567796543041)); #150431=CARTESIAN_POINT('Origin',(0.459957519052554,3.6087965476515,0.150393272478668)); #150432=CARTESIAN_POINT('Ctrl Pts',(0.469858691513737,3.60740502860928, 0.150567796543041)); #150433=CARTESIAN_POINT('Ctrl Pts',(0.458654429418848,3.53090016992924, 0.176222502911027)); #150434=CARTESIAN_POINT('Ctrl Pts',(0.44716321775307,3.45110625575955,0.191932184436743)); #150435=CARTESIAN_POINT('Ctrl Pts',(0.4357581520575,3.37061392771674,0.197185988039734)); #150436=CARTESIAN_POINT('Origin',(0.425856979592935,3.37200544675931,0.197011463975362)); #150437=CARTESIAN_POINT('Origin',(0.425856979592935,3.37200544675931,0.197011463975362)); #150438=CARTESIAN_POINT('Origin',(0.459957519052554,3.6087965476515,0.150393272478668)); #150439=CARTESIAN_POINT('Origin',(0.459957519052554,3.6087965476515,0.150393272478668)); #150440=CARTESIAN_POINT('Origin',(-0.459957519052554,3.60879654765151,0.150393272478668)); #150441=CARTESIAN_POINT('Origin',(-0.459957519052554,3.60879654765151,0.150393272478668)); #150442=CARTESIAN_POINT('Ctrl Pts',(-0.43575815204063,3.37061392758252, 0.197185987926637)); #150443=CARTESIAN_POINT('Ctrl Pts',(-0.427246784362406,3.30289609633671, 0.140127195203613)); #150444=CARTESIAN_POINT('Ctrl Pts',(-0.418742658237634,3.23522299480784, 0.0830142115771058)); #150445=CARTESIAN_POINT('Ctrl Pts',(-0.410249234822092,3.16761603794221, 0.0258214234176424)); #150446=CARTESIAN_POINT('Ctrl Pts',(-0.435496448429391,3.36899733442373, 0.199143611511838)); #150447=CARTESIAN_POINT('Ctrl Pts',(-0.426985435678637,3.30128271155635, 0.142078809556455)); #150448=CARTESIAN_POINT('Ctrl Pts',(-0.418482380536258,3.23361304683989, 0.0849590854166472)); #150449=CARTESIAN_POINT('Ctrl Pts',(-0.409989437475756,3.16601037411431, 0.0277580419596428)); #150450=CARTESIAN_POINT('Ctrl Pts',(-0.432935214516159,3.36606645928261, 0.2030040526681)); #150451=CARTESIAN_POINT('Ctrl Pts',(-0.424436616974481,3.29835628763985, 0.145927851255701)); #150452=CARTESIAN_POINT('Ctrl Pts',(-0.415946970758401,3.23069195531041, 0.0887947907541909)); #150453=CARTESIAN_POINT('Ctrl Pts',(-0.407470743765038,3.1630952172231, 0.0315780553804071)); #150454=CARTESIAN_POINT('Ctrl Pts',(-0.42775808095417,3.36533045264206, 0.204649815858387)); #150455=CARTESIAN_POINT('Ctrl Pts',(-0.419279788470071,3.29761138643743, 0.147579224507928)); #150456=CARTESIAN_POINT('Ctrl Pts',(-0.410813311009491,3.22993641239071, 0.0904531272053616)); #150457=CARTESIAN_POINT('Ctrl Pts',(-0.402364714997153,3.16232773521252, 0.0332435588564121)); #150458=CARTESIAN_POINT('Ctrl Pts',(-0.425222669322016,3.36562161237318, 0.204682468408337)); #150459=CARTESIAN_POINT('Ctrl Pts',(-0.416750274649677,3.297895145424,0.147619711677549)); #150460=CARTESIAN_POINT('Ctrl Pts',(-0.408290717317478,3.23021217510755, 0.0905019134073927)); #150461=CARTESIAN_POINT('Ctrl Pts',(-0.399850357261622,3.16259351926738, 0.0333027735553646)); #150462=CARTESIAN_POINT('',(-0.399850357195306,3.16259351927436,0.0333027735569001)); #150463=CARTESIAN_POINT('',(-0.410249234822092,3.16761603794221,0.0258214234176424)); #150464=CARTESIAN_POINT('Origin',(-0.400348062360909,3.16900755698444,0.0256468993532696)); #150465=CARTESIAN_POINT('Ctrl Pts',(-0.410249234822092,3.16761603794221, 0.0258214234176424)); #150466=CARTESIAN_POINT('Ctrl Pts',(-0.418742658237634,3.23522299480784, 0.0830142115771058)); #150467=CARTESIAN_POINT('Ctrl Pts',(-0.427246784362406,3.30289609633671, 0.140127195203613)); #150468=CARTESIAN_POINT('Ctrl Pts',(-0.43575815204063,3.37061392758252, 0.197185987926637)); #150469=CARTESIAN_POINT('',(-0.425222669330413,3.3656216124403,0.204682468464889)); #150470=CARTESIAN_POINT('Origin',(-0.425856979579448,3.37200544662475,0.197011463862264)); #150471=CARTESIAN_POINT('Ctrl Pts',(-0.425222669322016,3.36562161237318, 0.204682468408337)); #150472=CARTESIAN_POINT('Ctrl Pts',(-0.416750274649677,3.297895145424,0.147619711677549)); #150473=CARTESIAN_POINT('Ctrl Pts',(-0.408290717317478,3.23021217510755, 0.0905019134073927)); #150474=CARTESIAN_POINT('Ctrl Pts',(-0.399850357261622,3.16259351926738, 0.0333027735553646)); #150475=CARTESIAN_POINT('Ctrl Pts',(-0.410249234822108,3.16761603794234, 0.0258214234177519)); #150476=CARTESIAN_POINT('Ctrl Pts',(-0.40794431781673,3.14926908678508, 0.0103006347350268)); #150477=CARTESIAN_POINT('Ctrl Pts',(-0.406450674602114,3.13594593695625, -0.0111897977697674)); #150478=CARTESIAN_POINT('Ctrl Pts',(-0.406051353489021,3.13016504603592, -0.0346276692360745)); #150479=CARTESIAN_POINT('Ctrl Pts',(-0.409989437475763,3.16601037411437, 0.0277580419596863)); #150480=CARTESIAN_POINT('Ctrl Pts',(-0.407634913832543,3.14726933372967, 0.0118838647377746)); #150481=CARTESIAN_POINT('Ctrl Pts',(-0.406110617235452,3.13366549430517, -0.010078107677453)); #150482=CARTESIAN_POINT('Ctrl Pts',(-0.405702700765651,3.12775941495472, -0.0340283863494551)); #150483=CARTESIAN_POINT('Ctrl Pts',(-0.407470922786009,3.16309506862267, 0.0315782044535815)); #150484=CARTESIAN_POINT('Ctrl Pts',(-0.405044448415939,3.14356723309082, 0.0150035508270446)); #150485=CARTESIAN_POINT('Ctrl Pts',(-0.403472319567933,3.12939975034927, -0.00790171238148671)); #150486=CARTESIAN_POINT('Ctrl Pts',(-0.403049328381634,3.12324468694468, -0.0328696336097322)); #150487=CARTESIAN_POINT('Ctrl Pts',(-0.402364714997143,3.16232773521235, 0.0332435588562683)); #150488=CARTESIAN_POINT('Ctrl Pts',(-0.399938831784541,3.14241412645765, 0.016371633673883)); #150489=CARTESIAN_POINT('Ctrl Pts',(-0.398364659877143,3.12796683824374, -0.00695490223273702)); #150490=CARTESIAN_POINT('Ctrl Pts',(-0.397937063632946,3.1216923559716, -0.0323996552973529)); #150491=CARTESIAN_POINT('Ctrl Pts',(-0.399850357261615,3.16259351926721, 0.03330277355522)); #150492=CARTESIAN_POINT('Ctrl Pts',(-0.397436014713631,3.14264457231267, 0.0164327303203748)); #150493=CARTESIAN_POINT('Ctrl Pts',(-0.395867284112523,3.12815893034665, -0.00692620838342352)); #150494=CARTESIAN_POINT('Ctrl Pts',(-0.395440175811407,3.1218748517157, -0.0324021268513916)); #150495=CARTESIAN_POINT('Ctrl Pts',(-0.40614086967408,3.1313604076197,-0.0301752561970414)); #150496=CARTESIAN_POINT('Ctrl Pts',(-0.406644175812687,3.13766297762601, -0.00847724387285442)); #150497=CARTESIAN_POINT('Ctrl Pts',(-0.408091080746873,3.15043730772524, 0.0112889030413871)); #150498=CARTESIAN_POINT('Ctrl Pts',(-0.410249234822108,3.16761603794234, 0.0258214234177519)); #150499=CARTESIAN_POINT('Ctrl Pts',(-0.399850357261615,3.16259351926721, 0.03330277355522)); #150500=CARTESIAN_POINT('Ctrl Pts',(-0.397436074980319,3.14264507027721, 0.0164331514294779)); #150501=CARTESIAN_POINT('Ctrl Pts',(-0.395867362430186,3.12815965352967, -0.00692504221834785)); #150502=CARTESIAN_POINT('Ctrl Pts',(-0.395440207797904,3.12187532231952, -0.0324002190698689)); #150503=CARTESIAN_POINT('Origin',(-0.425856979596317,3.37200544675897,0.197011463975361)); #150504=CARTESIAN_POINT('Origin',(-0.425856979596317,3.37200544675897,0.197011463975361)); #150505=CARTESIAN_POINT('Ctrl Pts',(0.469858691513736,3.60740502860928, 0.150567796543041)); #150506=CARTESIAN_POINT('Ctrl Pts',(0.471052113221556,3.60833402496034, 0.0902691891664962)); #150507=CARTESIAN_POINT('Ctrl Pts',(0.472245477201445,3.60926260961702, 0.0299705743046024)); #150508=CARTESIAN_POINT('Ctrl Pts',(0.473438783585622,3.61019078352298, -0.0303280480197711)); #150509=CARTESIAN_POINT('Ctrl Pts',(0.470229355201752,3.61004846578166, 0.150615859039093)); #150510=CARTESIAN_POINT('Ctrl Pts',(0.471422702952416,3.61097703936028, 0.0903172313906808)); #150511=CARTESIAN_POINT('Ctrl Pts',(0.472616038506712,3.61190520766319, 0.0300185973423442)); #150512=CARTESIAN_POINT('Ctrl Pts',(0.473809271067443,3.61283295943837, -0.0302800452013758)); #150513=CARTESIAN_POINT('Ctrl Pts',(0.468722940654336,3.61561982280245, 0.15067187994352)); #150514=CARTESIAN_POINT('Ctrl Pts',(0.469916946013463,3.61654747838835, 0.0903732268016966)); #150515=CARTESIAN_POINT('Ctrl Pts',(0.471110887594004,3.61747471689018, 0.0300745659859619)); #150516=CARTESIAN_POINT('Ctrl Pts',(0.472304776305802,3.61840155205421, -0.0302241019803724)); #150517=CARTESIAN_POINT('Ctrl Pts',(0.463691517571516,3.61844720420642, 0.150615859039093)); #150518=CARTESIAN_POINT('Ctrl Pts',(0.46488697922791,3.61937527804637,0.0903172313906809)); #150519=CARTESIAN_POINT('Ctrl Pts',(0.466082381574019,3.6203029883382,0.0300185973423442)); #150520=CARTESIAN_POINT('Ctrl Pts',(0.467277724166037,3.62123023991135, -0.0302800452013758)); #150521=CARTESIAN_POINT('Ctrl Pts',(0.461037940388209,3.61873647879253, 0.150567796543041)); #150522=CARTESIAN_POINT('Ctrl Pts',(0.462233898239535,3.61966519947734, 0.0902691891664962)); #150523=CARTESIAN_POINT('Ctrl Pts',(0.463429796345966,3.62059350770491, 0.0299705743046024)); #150524=CARTESIAN_POINT('Ctrl Pts',(0.464625634844512,3.62152140442279, -0.0303280480197711)); #150525=CARTESIAN_POINT('Ctrl Pts',(0.473435745354953,3.61018842033494, -0.0301745240643714)); #150526=CARTESIAN_POINT('Ctrl Pts',(0.472243451616612,3.60926103346143, 0.0300729235955409)); #150527=CARTESIAN_POINT('Ctrl Pts',(0.471051100380167,3.60833323653329, 0.0903203638056155)); #150528=CARTESIAN_POINT('Ctrl Pts',(0.469858691513736,3.60740502860928, 0.150567796543041)); #150529=CARTESIAN_POINT('Ctrl Pts',(0.461037940388209,3.61873647879253, 0.150567796543041)); #150530=CARTESIAN_POINT('Ctrl Pts',(0.462232883245755,3.61966441128425, 0.090320363805615)); #150531=CARTESIAN_POINT('Ctrl Pts',(0.463427766459772,3.62059193201852, 0.0300729235955399)); #150532=CARTESIAN_POINT('Ctrl Pts',(0.464622590166922,3.62151904194049, -0.0301745240643729)); #150533=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #150534=CARTESIAN_POINT('Ctrl Pts',(-0.464622590166922,3.62151904194049, -0.0301745240643717)); #150535=CARTESIAN_POINT('Ctrl Pts',(-0.463427766459772,3.62059193201852, 0.0300729235955405)); #150536=CARTESIAN_POINT('Ctrl Pts',(-0.462232883245754,3.61966441128425, 0.0903203638056153)); #150537=CARTESIAN_POINT('Ctrl Pts',(-0.461037940388209,3.61873647879253, 0.150567796543041)); #150538=CARTESIAN_POINT('Ctrl Pts',(-0.473438783584648,3.61019078352222, -0.030328047970559)); #150539=CARTESIAN_POINT('Ctrl Pts',(-0.472245477200796,3.60926260961652, 0.0299705743374102)); #150540=CARTESIAN_POINT('Ctrl Pts',(-0.471052113221231,3.60833402496009, 0.0902691891829002)); #150541=CARTESIAN_POINT('Ctrl Pts',(-0.469858691513737,3.60740502860928, 0.150567796543041)); #150542=CARTESIAN_POINT('Ctrl Pts',(-0.473809271066469,3.61283295943761, -0.0302800451521637)); #150543=CARTESIAN_POINT('Ctrl Pts',(-0.472616038506063,3.61190520766269, 0.030018597375152)); #150544=CARTESIAN_POINT('Ctrl Pts',(-0.471422702952091,3.61097703936003, 0.0903172314070848)); #150545=CARTESIAN_POINT('Ctrl Pts',(-0.470229355201752,3.61004846578166, 0.150615859039093)); #150546=CARTESIAN_POINT('Ctrl Pts',(-0.472304776304828,3.61840155205346, -0.0302241019311603)); #150547=CARTESIAN_POINT('Ctrl Pts',(-0.471110887593354,3.61747471688967, 0.0300745660187698)); #150548=CARTESIAN_POINT('Ctrl Pts',(-0.469916946013138,3.6165474783881, 0.0903732268181005)); #150549=CARTESIAN_POINT('Ctrl Pts',(-0.468722940654336,3.61561982280245, 0.15067187994352)); #150550=CARTESIAN_POINT('Ctrl Pts',(-0.467277724165062,3.62123023991059, -0.0302800451521637)); #150551=CARTESIAN_POINT('Ctrl Pts',(-0.466082381573368,3.62030298833769, 0.030018597375152)); #150552=CARTESIAN_POINT('Ctrl Pts',(-0.464886979227585,3.61937527804612, 0.0903172314070848)); #150553=CARTESIAN_POINT('Ctrl Pts',(-0.463691517571515,3.61844720420642, 0.150615859039093)); #150554=CARTESIAN_POINT('Ctrl Pts',(-0.464625634843536,3.62152140442203, -0.030328047970559)); #150555=CARTESIAN_POINT('Ctrl Pts',(-0.463429796345315,3.62059350770441, 0.0299705743374102)); #150556=CARTESIAN_POINT('Ctrl Pts',(-0.462233898239209,3.61966519947709, 0.0902691891829002)); #150557=CARTESIAN_POINT('Ctrl Pts',(-0.461037940388209,3.61873647879253, 0.150567796543041)); #150558=CARTESIAN_POINT('Ctrl Pts',(-0.469858691513737,3.60740502860928, 0.150567796543041)); #150559=CARTESIAN_POINT('Ctrl Pts',(-0.471051100380168,3.60833323653329, 0.0903203638056149)); #150560=CARTESIAN_POINT('Ctrl Pts',(-0.472243451616613,3.60926103346143, 0.0300729235955397)); #150561=CARTESIAN_POINT('Ctrl Pts',(-0.473435745354953,3.61018842033494, -0.0301745240643728)); #150562=CARTESIAN_POINT('Origin',(-0.400447614208948,3.09839795971367,0.03)); #150563=CARTESIAN_POINT('Ctrl Pts',(-1.1368156880371,3.01380123847911,-0.0453160142462721)); #150564=CARTESIAN_POINT('Ctrl Pts',(-0.976792415566019,3.04775093911104, -0.0470102342501201)); #150565=CARTESIAN_POINT('Ctrl Pts',(-0.59983989289384,3.11014586761723, -0.0511462200011992)); #150566=CARTESIAN_POINT('Ctrl Pts',(-0.218123870968886,3.13297062617152, -0.0523403496030166)); #150567=CARTESIAN_POINT('Ctrl Pts',(4.8080524688491E-16,3.13297062617152, -0.0523403496030166)); #150568=CARTESIAN_POINT('Ctrl Pts',(-1.13705549888783,3.01634863532615, -0.0162672755242989)); #150569=CARTESIAN_POINT('Ctrl Pts',(-0.976804219299489,3.05042011432733, -0.0168967512991331)); #150570=CARTESIAN_POINT('Ctrl Pts',(-0.600009070089999,3.11296073802689, -0.0187747882226827)); #150571=CARTESIAN_POINT('Ctrl Pts',(-0.218158754400014,3.13586057906007, -0.0193080369344053)); #150572=CARTESIAN_POINT('Ctrl Pts',(4.80954216307922E-16,3.13586057906007, -0.0193080369344053)); #150573=CARTESIAN_POINT('Ctrl Pts',(-1.13949543194039,3.02921475301209, 0.0117440565051392)); #150574=CARTESIAN_POINT('Ctrl Pts',(-0.978873742709767,3.06426633298003, 0.0120847093343999)); #150575=CARTESIAN_POINT('Ctrl Pts',(-0.601701644751162,3.1288958354992, 0.0122495079606055)); #150576=CARTESIAN_POINT('Ctrl Pts',(-0.218751162079426,3.15252595721666, 0.0123032076924651)); #150577=CARTESIAN_POINT('Ctrl Pts',(4.85944141662103E-16,3.15252595721666, 0.0123032076924651)); #150578=CARTESIAN_POINT('Ctrl Pts',(-1.14357881173665,3.04946416183335, 0.0323271421121897)); #150579=CARTESIAN_POINT('Ctrl Pts',(-0.982490978767512,3.08592824343322, 0.0328826120924613)); #150580=CARTESIAN_POINT('Ctrl Pts',(-0.604433816130586,3.15354286418628, 0.0333154618159259)); #150581=CARTESIAN_POINT('Ctrl Pts',(-0.219728876287937,3.17814723951371, 0.0333516138409003)); #150582=CARTESIAN_POINT('Ctrl Pts',(4.94331968343858E-16,3.17814723951371, 0.0333516138409003)); #150583=CARTESIAN_POINT('',(4.24333764895371E-16,3.17814723951371,0.0333516138409001)); #150584=CARTESIAN_POINT('Ctrl Pts',(-0.399883514117531,3.16259804904025, 0.0333043840186262)); #150585=CARTESIAN_POINT('Ctrl Pts',(-0.266890531401554,3.1729630205784, 0.0333439964960205)); #150586=CARTESIAN_POINT('Ctrl Pts',(-0.133426364962064,3.17814723951371, 0.0333516138409003)); #150587=CARTESIAN_POINT('Ctrl Pts',(4.94331968343858E-16,3.17814723951371, 0.0333516138409003)); #150588=CARTESIAN_POINT('',(4.8080524688491E-16,3.13297062617152,-0.0523403496030166)); #150589=CARTESIAN_POINT('Origin',(5.68224382682167E-16,3.25749496343299, -0.0632348174464739)); #150590=CARTESIAN_POINT('Ctrl Pts',(4.8080524688491E-16,3.13297062617152, -0.0523403496030166)); #150591=CARTESIAN_POINT('Ctrl Pts',(-0.218123870968886,3.13297062617152, -0.0523403496030166)); #150592=CARTESIAN_POINT('Ctrl Pts',(-0.59983989289384,3.11014586761723, -0.0511462200011992)); #150593=CARTESIAN_POINT('Ctrl Pts',(-0.976792415566019,3.04775093911104, -0.0470102342501201)); #150594=CARTESIAN_POINT('Ctrl Pts',(-1.1368156880371,3.01380123847911,-0.0453160142462721)); #150595=CARTESIAN_POINT('',(-1.14357881173665,3.04946416183335,0.03232714211219)); #150596=CARTESIAN_POINT('Origin',(-1.16276928868811,3.13559089807161,-0.0562104820897292)); #150597=CARTESIAN_POINT('Ctrl Pts',(-1.14357881173665,3.04946416183335, 0.0323271421121897)); #150598=CARTESIAN_POINT('Ctrl Pts',(-0.982490978767512,3.08592824343322, 0.0328826120924613)); #150599=CARTESIAN_POINT('Ctrl Pts',(-0.755504861354221,3.12652416849932, 0.033142495749915)); #150600=CARTESIAN_POINT('Ctrl Pts',(-0.526122339545252,3.1516156718606, 0.033259377005363)); #150601=CARTESIAN_POINT('Ctrl Pts',(-0.460340418240582,3.15752633603256, 0.0332840751015536)); #150602=CARTESIAN_POINT('Ctrl Pts',(1.24818298595958E-15,3.38687864430258, 0.204828721543442)); #150603=CARTESIAN_POINT('Ctrl Pts',(9.97376412531667E-16,3.31728169234201, 0.147653405547351)); #150604=CARTESIAN_POINT('Ctrl Pts',(7.46569839103757E-16,3.24768474038143, 0.0904780895512593)); #150605=CARTESIAN_POINT('Ctrl Pts',(4.95763265675847E-16,3.17808778842086, 0.0333027735551681)); #150606=CARTESIAN_POINT('Ctrl Pts',(-0.150833254702639,3.38687864430258, 0.204828721543442)); #150607=CARTESIAN_POINT('Ctrl Pts',(-0.145789969758166,3.31727391463321, 0.147653405547351)); #150608=CARTESIAN_POINT('Ctrl Pts',(-0.140750356133762,3.24769251809023, 0.0904780895512592)); #150609=CARTESIAN_POINT('Ctrl Pts',(-0.135707071189289,3.17808778842086, 0.0333027735551681)); #150610=CARTESIAN_POINT('Ctrl Pts',(-0.301635777413084,3.37865042855337, 0.204828721543442)); #150611=CARTESIAN_POINT('Ctrl Pts',(-0.291555412928998,3.30994635691112, 0.14765340554735)); #150612=CARTESIAN_POINT('Ctrl Pts',(-0.281479982080227,3.24126488311423, 0.0904780895512595)); #150613=CARTESIAN_POINT('Ctrl Pts',(-0.271399617596141,3.17256081147198, 0.0333027735551681)); #150614=CARTESIAN_POINT('Ctrl Pts',(-0.443490144193411,3.36394271499623, 0.204828721543442)); #150615=CARTESIAN_POINT('Ctrl Pts',(-0.428943548549465,3.29682631641987, 0.147653405547351)); #150616=CARTESIAN_POINT('Ctrl Pts',(-0.414396952905518,3.22970991784351, 0.0904780895512593)); #150617=CARTESIAN_POINT('Ctrl Pts',(-0.399850357261572,3.16259351926715, 0.0333027735551681)); #150618=CARTESIAN_POINT('',(-1.9345090107524E-17,3.38687864430257,0.204828721543441)); #150619=CARTESIAN_POINT('Ctrl Pts',(-4.37095678986282E-17,3.38687864430257, 0.204828721543441)); #150620=CARTESIAN_POINT('Ctrl Pts',(-0.141767921435824,3.38687864430257, 0.204828721543441)); #150621=CARTESIAN_POINT('Ctrl Pts',(-0.28386011387674,3.37978681693331, 0.204781620885688)); #150622=CARTESIAN_POINT('Ctrl Pts',(-0.425222669338812,3.36562161250742, 0.204682468521441)); #150623=CARTESIAN_POINT('Ctrl Pts',(5.01938768358016E-18,3.38687864430257, 0.204828721543441)); #150624=CARTESIAN_POINT('Ctrl Pts',(5.32051658639731E-17,3.35949080810427, 0.182329055322793)); #150625=CARTESIAN_POINT('Ctrl Pts',(1.77558325359961E-16,3.33210297190596, 0.159829389102146)); #150626=CARTESIAN_POINT('Ctrl Pts',(1.77558325359961E-16,3.26252583697634, 0.102670353201299)); #150627=CARTESIAN_POINT('Ctrl Pts',(2.76227519971213E-16,3.22033653824503, 0.0680109835210995)); #150628=CARTESIAN_POINT('Ctrl Pts',(3.24152715007203E-16,3.17814723951371, 0.0333516138409003)); #150629=CARTESIAN_POINT('Ctrl Pts',(0.492250323883437,3.36550644591215, 0.206959215418092)); #150630=CARTESIAN_POINT('Ctrl Pts',(0.503548927021218,3.44666414785784, 0.201619888608592)); #150631=CARTESIAN_POINT('Ctrl Pts',(0.514572459193526,3.5271643713382,0.185757600471273)); #150632=CARTESIAN_POINT('Ctrl Pts',(0.524963352332276,3.60439997098962, 0.159883826476322)); #150633=CARTESIAN_POINT('Ctrl Pts',(0.489687928434315,3.365863422305,0.206956581741743)); #150634=CARTESIAN_POINT('Ctrl Pts',(0.500988333644768,3.44701823723009, 0.201613950158598)); #150635=CARTESIAN_POINT('Ctrl Pts',(0.512012461469416,3.52750997913007, 0.185750622154856)); #150636=CARTESIAN_POINT('Ctrl Pts',(0.522404156023836,3.60474187213386, 0.159875890670149)); #150637=CARTESIAN_POINT('Ctrl Pts',(0.484572470164476,3.36643686000851, 0.204835300821137)); #150638=CARTESIAN_POINT('Ctrl Pts',(0.495853571170361,3.44740213332504, 0.199502181189241)); #150639=CARTESIAN_POINT('Ctrl Pts',(0.506858221059867,3.52771093119309, 0.183672189520617)); #150640=CARTESIAN_POINT('Ctrl Pts',(0.517229736484376,3.60476190185328, 0.15785610421067)); #150641=CARTESIAN_POINT('Ctrl Pts',(0.482337526716334,3.36641289365757, 0.199736180284023)); #150642=CARTESIAN_POINT('Ctrl Pts',(0.493562239748321,3.44694516952726, 0.194435683225634)); #150643=CARTESIAN_POINT('Ctrl Pts',(0.504510877754469,3.52682389882525, 0.178693849128606)); #150644=CARTESIAN_POINT('Ctrl Pts',(0.514829432739461,3.60346422812239, 0.153017804827394)); #150645=CARTESIAN_POINT('Ctrl Pts',(0.482269493101343,3.36625255215233, 0.197154907042401)); #150646=CARTESIAN_POINT('Ctrl Pts',(0.49346379684464,3.44656687360034,0.191870961149271)); #150647=CARTESIAN_POINT('Ctrl Pts',(0.504383142932752,3.52623086837271, 0.176173200879248)); #150648=CARTESIAN_POINT('Ctrl Pts',(0.514673841458318,3.60266441822347, 0.15056779654304)); #150649=CARTESIAN_POINT('',(0.514673841458318,3.60266441822347,0.150567796543041)); #150650=CARTESIAN_POINT('',(0.524963352332276,3.60439997098962,0.159883826476322)); #150651=CARTESIAN_POINT('Origin',(0.524575013919501,3.60127289918124,0.150393272478668)); #150652=CARTESIAN_POINT('',(0.492250323883443,3.36550644591215,0.206959215418092)); #150653=CARTESIAN_POINT('Ctrl Pts',(0.524963352332276,3.60439997098962, 0.159883826476322)); #150654=CARTESIAN_POINT('Ctrl Pts',(0.514572459193526,3.5271643713382,0.185757600471273)); #150655=CARTESIAN_POINT('Ctrl Pts',(0.503548927021218,3.44666414785784, 0.201619888608592)); #150656=CARTESIAN_POINT('Ctrl Pts',(0.492250323883437,3.36550644591215, 0.206959215418092)); #150657=CARTESIAN_POINT('',(0.482269493101343,3.36625255215233,0.197154907042401)); #150658=CARTESIAN_POINT('Origin',(0.492170665562526,3.36486103311011,0.196980382978028)); #150659=CARTESIAN_POINT('Ctrl Pts',(0.482269493101343,3.36625255215233, 0.197154907042401)); #150660=CARTESIAN_POINT('Ctrl Pts',(0.49346379684464,3.44656687360034,0.191870961149271)); #150661=CARTESIAN_POINT('Ctrl Pts',(0.504383142932752,3.52623086837271, 0.176173200879248)); #150662=CARTESIAN_POINT('Ctrl Pts',(0.514673841458318,3.60266441822347, 0.15056779654304)); #150663=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.150393272478668)); #150664=CARTESIAN_POINT('',(0.525807219098705,3.61119515758078,0.150567796543041)); #150665=CARTESIAN_POINT('Origin',(0.524575013919501,3.60127289918124,0.150393272478668)); #150666=CARTESIAN_POINT('',(1.32978473386042,3.43119478790701,0.150567796543041)); #150667=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.150567796543041)); #150668=CARTESIAN_POINT('',(1.32765056547579,3.42468848348481,0.159883826476322)); #150669=CARTESIAN_POINT('Origin',(1.32666844413146,3.42169435214457,0.150393272478668)); #150670=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.159883826476322)); #150671=CARTESIAN_POINT('Ctrl Pts',(1.22047453848836,3.00340452483263,-0.0337736075293633)); #150672=CARTESIAN_POINT('Ctrl Pts',(1.2213190971763,3.00775813142162,-0.0115350943322075)); #150673=CARTESIAN_POINT('Ctrl Pts',(1.22404818885148,3.01859598090011,0.00931529393260145)); #150674=CARTESIAN_POINT('Ctrl Pts',(1.22820696224776,3.03411277600251,0.0253186033445411)); #150675=CARTESIAN_POINT('Ctrl Pts',(1.21974547015226,3.00089482820709,-0.0332545992368409)); #150676=CARTESIAN_POINT('Ctrl Pts',(1.22061177154469,3.00535793338428,-0.0105017839987298)); #150677=CARTESIAN_POINT('Ctrl Pts',(1.22340753163111,3.01645566904831,0.0108213986071422)); #150678=CARTESIAN_POINT('Ctrl Pts',(1.22766364923841,3.03233605209313,0.0271825038526587)); #150679=CARTESIAN_POINT('Ctrl Pts',(1.21612706097093,2.99652633941405,-0.0322619681059631)); #150680=CARTESIAN_POINT('Ctrl Pts',(1.21705233955081,3.0012012273087,-0.0084894072873908)); #150681=CARTESIAN_POINT('Ctrl Pts',(1.21999961562094,3.01281341942292,0.0137798828658917)); #150682=CARTESIAN_POINT('Ctrl Pts',(1.22447015967771,3.02940797402871,0.0308514566476363)); #150683=CARTESIAN_POINT('Ctrl Pts',(1.21039968094033,2.99601791362685,-0.0319449237802631)); #150684=CARTESIAN_POINT('Ctrl Pts',(1.21136475483172,3.00075368266792,-0.00775689598756223)); #150685=CARTESIAN_POINT('Ctrl Pts',(1.21439346562754,3.01253423541124,0.0148992513687769)); #150686=CARTESIAN_POINT('Ctrl Pts',(1.21897698080718,3.02940063088519,0.0322860866738477)); #150687=CARTESIAN_POINT('Ctrl Pts',(1.20786013377174,2.99682194813542,-0.032005883490006)); #150688=CARTESIAN_POINT('Ctrl Pts',(1.20882853791559,3.00153791961972,-0.00783358300309728)); #150689=CARTESIAN_POINT('Ctrl Pts',(1.21186283924543,3.01329642883044,0.0148298847073644)); #150690=CARTESIAN_POINT('Ctrl Pts',(1.21645214152296,3.03014055692632,0.032224783958222)); #150691=CARTESIAN_POINT('Origin',(1.2185958093365,3.03686872975164,0.0251440792801683)); #150692=CARTESIAN_POINT('Ctrl Pts',(1.26120355866843,3.19698782207746,0.203275392738477)); #150693=CARTESIAN_POINT('Ctrl Pts',(1.24624110628087,3.14114399452962,0.146479107695892)); #150694=CARTESIAN_POINT('Ctrl Pts',(1.23133563400459,3.08558019230598,0.0894101532687232)); #150695=CARTESIAN_POINT('Ctrl Pts',(1.21645214152296,3.03014055692632,0.0322247839582219)); #150696=CARTESIAN_POINT('Ctrl Pts',(1.26372695017352,3.19625115611258,0.203334087938236)); #150697=CARTESIAN_POINT('Ctrl Pts',(1.24876258612,3.14041140772554,0.146534109964162)); #150698=CARTESIAN_POINT('Ctrl Pts',(1.23385805984289,3.0848457467207,0.0894668603418961)); #150699=CARTESIAN_POINT('Ctrl Pts',(1.21897698080718,3.02940063088519,0.0322860866738476)); #150700=CARTESIAN_POINT('Ctrl Pts',(1.2692105378138,3.19625268929191,0.201886283576702)); #150701=CARTESIAN_POINT('Ctrl Pts',(1.25423877930498,3.14042350574869,0.145088255975444)); #150702=CARTESIAN_POINT('Ctrl Pts',(1.23933920863683,3.0848582519411,0.0880257468414575)); #150703=CARTESIAN_POINT('Ctrl Pts',(1.22447003604663,3.02940813104715,0.0308513365306551)); #150704=CARTESIAN_POINT('Ctrl Pts',(1.27239249540294,3.19915364793038,0.19819501575828)); #150705=CARTESIAN_POINT('Ctrl Pts',(1.25741743706709,3.14333401344889,0.141413322803076)); #150706=CARTESIAN_POINT('Ctrl Pts',(1.24252293119275,3.08777763140164,0.0843567230735628)); #150707=CARTESIAN_POINT('Ctrl Pts',(1.22766364923841,3.03233605209313,0.0271825038526587)); #150708=CARTESIAN_POINT('Ctrl Pts',(1.27293194881665,3.20091620229359,0.196319910777366)); #150709=CARTESIAN_POINT('Ctrl Pts',(1.25795797584331,3.14510051397315,0.13954658506745)); #150710=CARTESIAN_POINT('Ctrl Pts',(1.243064821471,3.08954891912918,0.082492893860449)); #150711=CARTESIAN_POINT('Ctrl Pts',(1.22820696224776,3.03411277600251,0.0253186033445412)); #150712=CARTESIAN_POINT('',(1.26120355866725,3.19698782207377,0.203275392734662)); #150713=CARTESIAN_POINT('Ctrl Pts',(1.21645214152296,3.03014055692632,0.0322247839582219)); #150714=CARTESIAN_POINT('Ctrl Pts',(1.23133563400459,3.08558019230598,0.0894101532687232)); #150715=CARTESIAN_POINT('Ctrl Pts',(1.24624110628087,3.14114399452962,0.146479107695892)); #150716=CARTESIAN_POINT('Ctrl Pts',(1.26120355866843,3.19698782207746,0.203275392738477)); #150717=CARTESIAN_POINT('Origin',(1.26332079590539,3.20367215604271,0.196145386712993)); #150718=CARTESIAN_POINT('Origin',(1.26332079590304,3.20367215603532,0.196145386705366)); #150719=CARTESIAN_POINT('',(1.26356312741579,3.20440616081707,0.206115467568544)); #150720=CARTESIAN_POINT('Origin',(1.26332079590304,3.20367215603532,0.196145386705366)); #150721=CARTESIAN_POINT('Origin',(1.26332079590304,3.20367215603532,0.196145386705366)); #150722=CARTESIAN_POINT('Ctrl Pts',(1.32765056547579,3.42468848348482,0.159883826476322)); #150723=CARTESIAN_POINT('Ctrl Pts',(1.30675764498745,3.35346875020272,0.18451469825455)); #150724=CARTESIAN_POINT('Ctrl Pts',(1.28518430205836,3.27931550560967,0.200075064279626)); #150725=CARTESIAN_POINT('Ctrl Pts',(1.26356312741713,3.20440616082043,0.206115467568264)); #150726=CARTESIAN_POINT('Ctrl Pts',(1.33011501393181,3.42395785670085,0.159859297362551)); #150727=CARTESIAN_POINT('Ctrl Pts',(1.30922748026783,3.35274281617476,0.184494444414845)); #150728=CARTESIAN_POINT('Ctrl Pts',(1.28766117405287,3.27859760270235,0.200059675006363)); #150729=CARTESIAN_POINT('Ctrl Pts',(1.26604578004934,3.20369002251547,0.206107847165901)); #150730=CARTESIAN_POINT('Ctrl Pts',(1.33481364205089,3.42187748755416,0.157824777773679)); #150731=CARTESIAN_POINT('Ctrl Pts',(1.31398715736205,3.35082727909652,0.18240688714965)); #150732=CARTESIAN_POINT('Ctrl Pts',(1.2924837630005,3.27684742601184,0.19794309160706)); #150733=CARTESIAN_POINT('Ctrl Pts',(1.27093432458006,3.20210984916514,0.203983856549437)); #150734=CARTESIAN_POINT('Ctrl Pts',(1.33646186991973,3.41972853366529,0.153007137892844)); #150735=CARTESIAN_POINT('Ctrl Pts',(1.31575179945587,3.34905150376391,0.177451914324794)); #150736=CARTESIAN_POINT('Ctrl Pts',(1.29436982611451,3.27546275877164,0.192896650819899)); #150737=CARTESIAN_POINT('Ctrl Pts',(1.27294375088964,3.20112047495382,0.198895684366705)); #150738=CARTESIAN_POINT('Ctrl Pts',(1.33627959704272,3.41893839839545,0.15056779654304)); #150739=CARTESIAN_POINT('Ctrl Pts',(1.31562484936915,3.34845033396633,0.174943190374566)); #150740=CARTESIAN_POINT('Ctrl Pts',(1.2943003122675,3.27505799343169,0.190342160148717)); #150741=CARTESIAN_POINT('Ctrl Pts',(1.27293194881563,3.20091620228952,0.196319910769462)); #150742=CARTESIAN_POINT('Ctrl Pts',(1.26356312741713,3.20440616082043,0.206115467568264)); #150743=CARTESIAN_POINT('Ctrl Pts',(1.28518430205836,3.27931550560967,0.200075064279626)); #150744=CARTESIAN_POINT('Ctrl Pts',(1.30675764498745,3.35346875020272,0.18451469825455)); #150745=CARTESIAN_POINT('Ctrl Pts',(1.32765056547579,3.42468848348482,0.159883826476322)); #150746=CARTESIAN_POINT('Origin',(1.32666844413146,3.42169435214457,0.150393272478667)); #150747=CARTESIAN_POINT('Origin',(1.32666844413146,3.42169435214457,0.150393272478667)); #150748=CARTESIAN_POINT('Origin',(1.32666844413146,3.42169435214457,0.150393272478667)); #150749=CARTESIAN_POINT('Origin',(0.524575013919501,3.60127289918124,0.150393272478668)); #150750=CARTESIAN_POINT('Origin',(0.524575013919501,3.60127289918124,0.150393272478668)); #150751=CARTESIAN_POINT('Ctrl Pts',(0.460339829695091,3.15751926458325, 0.0332829916810544)); #150752=CARTESIAN_POINT('Ctrl Pts',(0.47068797222933,3.22443185083869,0.0904860933599752)); #150753=CARTESIAN_POINT('Ctrl Pts',(0.481055125331233,3.29142189383719, 0.147592268847901)); #150754=CARTESIAN_POINT('Ctrl Pts',(0.491435120432297,3.35846489683226, 0.204632076521064)); #150755=CARTESIAN_POINT('Ctrl Pts',(0.457712489624393,3.15785523600065, 0.0333682249898957)); #150756=CARTESIAN_POINT('Ctrl Pts',(0.468072080571644,3.22477761509144, 0.0905578962577157)); #150757=CARTESIAN_POINT('Ctrl Pts',(0.478449092122947,3.29177507658801, 0.147653537950934)); #150758=CARTESIAN_POINT('Ctrl Pts',(0.488837788289058,3.35882511790393, 0.204683511938968)); #150759=CARTESIAN_POINT('Ctrl Pts',(0.452615761900392,3.15995900756599, 0.0318352215099656)); #150760=CARTESIAN_POINT('Ctrl Pts',(0.463010339444766,3.22688459161187, 0.089011612204382)); #150761=CARTESIAN_POINT('Ctrl Pts',(0.473417000378523,3.29388533955287, 0.1460954880795)); #150762=CARTESIAN_POINT('Ctrl Pts',(0.483831666588304,3.36093750145433, 0.203115999519718)); #150763=CARTESIAN_POINT('Ctrl Pts',(0.450810962085229,3.16364776385789, 0.0278492006109483)); #150764=CARTESIAN_POINT('Ctrl Pts',(0.461222398243513,3.23055384359959, 0.0850376057644583)); #150765=CARTESIAN_POINT('Ctrl Pts',(0.471642187481624,3.29753814526522, 0.142132149116212)); #150766=CARTESIAN_POINT('Ctrl Pts',(0.482069253472154,3.36457606255746, 0.199161851439778)); #150767=CARTESIAN_POINT('Ctrl Pts',(0.451014031144635,3.16534650972398, 0.0258253224533302)); #150768=CARTESIAN_POINT('Ctrl Pts',(0.461423998098944,3.23224389400414, 0.083020266111574)); #150769=CARTESIAN_POINT('Ctrl Pts',(0.471843491116657,3.29922097261951, 0.140120225800542)); #150770=CARTESIAN_POINT('Ctrl Pts',(0.482269493087524,3.36625255206348, 0.1971549069668)); #150771=CARTESIAN_POINT('',(0.491435120439176,3.35846489687669,0.204632076558868)); #150772=CARTESIAN_POINT('Origin',(0.492170665548706,3.36486103302126,0.196980382902428)); #150773=CARTESIAN_POINT('',(0.460339829236396,3.15751926464165,0.0332829916957199)); #150774=CARTESIAN_POINT('Ctrl Pts',(0.491435120432297,3.35846489683226, 0.204632076521064)); #150775=CARTESIAN_POINT('Ctrl Pts',(0.481055125331233,3.29142189383719, 0.147592268847901)); #150776=CARTESIAN_POINT('Ctrl Pts',(0.47068797222933,3.22443185083869,0.0904860933599752)); #150777=CARTESIAN_POINT('Ctrl Pts',(0.460339829695091,3.15751926458325, 0.0332829916810544)); #150778=CARTESIAN_POINT('',(0.451014031144635,3.16534650972398,0.0258253224533304)); #150779=CARTESIAN_POINT('Origin',(0.460915203605817,3.16395499068175,0.0256507983889575)); #150780=CARTESIAN_POINT('Ctrl Pts',(0.451014031144635,3.16534650972398, 0.0258253224533302)); #150781=CARTESIAN_POINT('Ctrl Pts',(0.461423998098944,3.23224389400414, 0.083020266111574)); #150782=CARTESIAN_POINT('Ctrl Pts',(0.471843491116657,3.29922097261951, 0.140120225800542)); #150783=CARTESIAN_POINT('Ctrl Pts',(0.482269493087524,3.36625255206348, 0.1971549069668)); #150784=CARTESIAN_POINT('Ctrl Pts',(0.451014031144827,3.16534650972522, 0.0258253224543865)); #150785=CARTESIAN_POINT('Ctrl Pts',(0.448180655120654,3.14713843610527, 0.0102580509262508)); #150786=CARTESIAN_POINT('Ctrl Pts',(0.44595196679197,3.13397604706789,-0.0112341858681182)); #150787=CARTESIAN_POINT('Ctrl Pts',(0.444745898453588,3.12833227322787, -0.0346583014166991)); #150788=CARTESIAN_POINT('Ctrl Pts',(0.450810962085302,3.16364776385836, 0.0278492006113875)); #150789=CARTESIAN_POINT('Ctrl Pts',(0.447910124134955,3.14500757676856, 0.0119392594226042)); #150790=CARTESIAN_POINT('Ctrl Pts',(0.445628537742656,3.13152190980935, -0.0100414386421658)); #150791=CARTESIAN_POINT('Ctrl Pts',(0.444391979729658,3.12573318467381, -0.0340138579530711)); #150792=CARTESIAN_POINT('Ctrl Pts',(0.452610592113265,3.15995651843585, 0.0318390738346078)); #150793=CARTESIAN_POINT('Ctrl Pts',(0.449613929096404,3.14045577345635, 0.0152482596600227)); #150794=CARTESIAN_POINT('Ctrl Pts',(0.44725493765978,3.12631717561744,-0.00771324316484469)); #150795=CARTESIAN_POINT('Ctrl Pts',(0.445982050536058,3.12023908862297, -0.0327719881607786)); #150796=CARTESIAN_POINT('Ctrl Pts',(0.457712489624294,3.15785523599899, 0.0333682249885547)); #150797=CARTESIAN_POINT('Ctrl Pts',(0.45473030916412,3.13802349320901,0.0164637765406604)); #150798=CARTESIAN_POINT('Ctrl Pts',(0.452394943531106,3.12367435475734, -0.00689174727800912)); #150799=CARTESIAN_POINT('Ctrl Pts',(0.451143092877661,3.11750683915953, -0.0323794171684674)); #150800=CARTESIAN_POINT('Ctrl Pts',(0.460339829695027,3.15751926458164, 0.0332829916796871)); #150801=CARTESIAN_POINT('Ctrl Pts',(0.457381365140628,3.1377177300673,0.0163626293486317)); #150802=CARTESIAN_POINT('Ctrl Pts',(0.455067865443324,3.12340171413033, -0.00699797489069991)); #150803=CARTESIAN_POINT('Ctrl Pts',(0.453831791165036,3.11726095934058, -0.0324586117823285)); #150804=CARTESIAN_POINT('Ctrl Pts',(0.444990520261742,3.12951058383519, -0.0301752459403383)); #150805=CARTESIAN_POINT('Ctrl Pts',(0.446240395205281,3.13568554139201, -0.00850112117574075)); #150806=CARTESIAN_POINT('Ctrl Pts',(0.448362415740467,3.14830648112242, 0.0112566889106252)); #150807=CARTESIAN_POINT('Ctrl Pts',(0.451014031144827,3.16534650972522, 0.0258253224543865)); #150808=CARTESIAN_POINT('Ctrl Pts',(0.460339829695027,3.15751926458164, 0.0332829916796871)); #150809=CARTESIAN_POINT('Ctrl Pts',(0.457384392223069,3.13773799087365, 0.0163799421576148)); #150810=CARTESIAN_POINT('Ctrl Pts',(0.455072600435415,3.12343101598932, -0.00695017678465018)); #150811=CARTESIAN_POINT('Ctrl Pts',(0.453835588778953,3.11727983456013, -0.0323804648891032)); #150812=CARTESIAN_POINT('Origin',(0.492170665562526,3.36486103311011,0.196980382978028)); #150813=CARTESIAN_POINT('Origin',(0.492170665562526,3.36486103311011,0.196980382978028)); #150814=CARTESIAN_POINT('Ctrl Pts',(1.33366419789471,3.43324387507786,-0.0303105806235916)); #150815=CARTESIAN_POINT('Ctrl Pts',(1.33237114469106,3.43256119389777,0.029982217838947)); #150816=CARTESIAN_POINT('Ctrl Pts',(1.33107799008565,3.4318781650899,0.09027501023313)); #150817=CARTESIAN_POINT('Ctrl Pts',(1.32978473386042,3.43119478790701,0.150567796543041)); #150818=CARTESIAN_POINT('Ctrl Pts',(1.33608933596779,3.43244568690444,-0.0302677089123955)); #150819=CARTESIAN_POINT('Ctrl Pts',(1.33479685127831,3.4317634709849,0.0300251066749479)); #150820=CARTESIAN_POINT('Ctrl Pts',(1.33350425725342,3.43108087017434,0.0903179153837094)); #150821=CARTESIAN_POINT('Ctrl Pts',(1.33221157012988,3.43039795962387,0.150610718860398)); #150822=CARTESIAN_POINT('Ctrl Pts',(1.34027157584472,3.42889754414056,-0.0302183907570706)); #150823=CARTESIAN_POINT('Ctrl Pts',(1.33898045313114,3.42821542743727,0.030074446005136)); #150824=CARTESIAN_POINT('Ctrl Pts',(1.33768923535935,3.42753296834417,0.0903672769261758)); #150825=CARTESIAN_POINT('Ctrl Pts',(1.33639791259214,3.42685015813695,0.150660101633266)); #150826=CARTESIAN_POINT('Ctrl Pts',(1.34085529544782,3.42344413063285,-0.0302677089123955)); #150827=CARTESIAN_POINT('Ctrl Pts',(1.33956462164187,3.42276108731956,0.0300251066749479)); #150828=CARTESIAN_POINT('Ctrl Pts',(1.33827381127374,3.42207769774912,0.0903179153837095)); #150829=CARTESIAN_POINT('Ctrl Pts',(1.33698293846031,3.42139395952112,0.150610718860398)); #150830=CARTESIAN_POINT('Ctrl Pts',(1.34015228079709,3.42098971228331,-0.0303105806235916)); #150831=CARTESIAN_POINT('Ctrl Pts',(1.33886148577782,3.4203062885982,0.029982217838947)); #150832=CARTESIAN_POINT('Ctrl Pts',(1.33757059126413,3.41962251755054,0.0902750102331301)); #150833=CARTESIAN_POINT('Ctrl Pts',(1.33627959704272,3.41893839839545,0.150567796543041)); #150834=CARTESIAN_POINT('Ctrl Pts',(1.32978473386042,3.43119478790701,0.150567796543041)); #150835=CARTESIAN_POINT('Ctrl Pts',(1.33107701729953,3.43187765105422,0.0903203624103985)); #150836=CARTESIAN_POINT('Ctrl Pts',(1.33236919927164,3.43256016635032,0.0300729222026336)); #150837=CARTESIAN_POINT('Ctrl Pts',(1.33366127999432,3.43324233454085,-0.0301745240643729)); #150838=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #150839=CARTESIAN_POINT('Ctrl Pts',(0.523107735552253,3.61470835611802, -0.0301745240643727)); #150840=CARTESIAN_POINT('Ctrl Pts',(0.524007614288324,3.61353766413241, 0.0300729226048178)); #150841=CARTESIAN_POINT('Ctrl Pts',(0.52490744217433,3.61236659822673,0.0903203628135749)); #150842=CARTESIAN_POINT('Ctrl Pts',(0.525807219098705,3.61119515758078, 0.150567796543041)); #150843=CARTESIAN_POINT('Ctrl Pts',(0.511979496386721,3.60617945139604, -0.0303150572396775)); #150844=CARTESIAN_POINT('Ctrl Pts',(0.512877664041543,3.60500814734825, 0.0299792338313316)); #150845=CARTESIAN_POINT('Ctrl Pts',(0.513775779103829,3.60383646989664, 0.0902735184318716)); #150846=CARTESIAN_POINT('Ctrl Pts',(0.514673841458318,3.60266441822347, 0.150567796543041)); #150847=CARTESIAN_POINT('Ctrl Pts',(0.512340598713161,3.60874325599285, -0.0302706307405062)); #150848=CARTESIAN_POINT('Ctrl Pts',(0.513238810805604,3.60757235768538, 0.0300236783657326)); #150849=CARTESIAN_POINT('Ctrl Pts',(0.514137006898249,3.60640107074886, 0.0903179801269893)); #150850=CARTESIAN_POINT('Ctrl Pts',(0.515035113738549,3.60522942542245, 0.150612276318381)); #150851=CARTESIAN_POINT('Ctrl Pts',(0.515162680454202,3.61354888801314, -0.030219313217014)); #150852=CARTESIAN_POINT('Ctrl Pts',(0.516061708634854,3.61237866490217, 0.0300750183061972)); #150853=CARTESIAN_POINT('Ctrl Pts',(0.516960681866871,3.61120807570272, 0.0903693436218473)); #150854=CARTESIAN_POINT('Ctrl Pts',(0.517859606399481,3.61003710645541, 0.150663662290093)); #150855=CARTESIAN_POINT('Ctrl Pts',(0.520535936704926,3.61502752310388, -0.0302706307405062)); #150856=CARTESIAN_POINT('Ctrl Pts',(0.521436193643255,3.61385660848999, 0.0300236783657325)); #150857=CARTESIAN_POINT('Ctrl Pts',(0.522336390351682,3.61268527967909, 0.0903179801269894)); #150858=CARTESIAN_POINT('Ctrl Pts',(0.52323654538998,3.6115136168004,0.150612276318381)); #150859=CARTESIAN_POINT('Ctrl Pts',(0.523105636495302,3.6147110868731,-0.0303150572396775)); #150860=CARTESIAN_POINT('Ctrl Pts',(0.52400621499613,3.6135394852175,0.0299792338313316)); #150861=CARTESIAN_POINT('Ctrl Pts',(0.524906742567873,3.61236750906076, 0.0902735184318716)); #150862=CARTESIAN_POINT('Ctrl Pts',(0.525807219098705,3.61119515758078, 0.150567796543041)); #150863=CARTESIAN_POINT('Ctrl Pts',(0.514673841458318,3.60266441822347, 0.150567796543041)); #150864=CARTESIAN_POINT('Ctrl Pts',(0.513776476834643,3.60383555929554, 0.0903203628135749)); #150865=CARTESIAN_POINT('Ctrl Pts',(0.512879059585039,3.60500632672731, 0.0300729226048177)); #150866=CARTESIAN_POINT('Ctrl Pts',(0.511981589824499,3.60617672133462, -0.0301745240643729)); #150867=CARTESIAN_POINT('Origin',(0.517425207514005,3.63736299599434,0.03)); #150868=CARTESIAN_POINT('Ctrl Pts',(1.14357881173665,3.04946416183335,0.0323271421121946)); #150869=CARTESIAN_POINT('Ctrl Pts',(0.982490757158005,3.08592829359708, 0.0328826128566291)); #150870=CARTESIAN_POINT('Ctrl Pts',(0.604433816699349,3.15354283708468, 0.0333154614541887)); #150871=CARTESIAN_POINT('Ctrl Pts',(0.219729178580432,3.17814723951328, 0.0333516138408995)); #150872=CARTESIAN_POINT('Ctrl Pts',(1.01549564907525E-11,3.17814723951371, 0.0333516138409001)); #150873=CARTESIAN_POINT('Ctrl Pts',(1.13949543194038,3.0292147530121,0.0117440565051441)); #150874=CARTESIAN_POINT('Ctrl Pts',(0.978873521741537,3.06426638120071, 0.0120847098030423)); #150875=CARTESIAN_POINT('Ctrl Pts',(0.601701645455944,3.12889580946988, 0.012249507708547)); #150876=CARTESIAN_POINT('Ctrl Pts',(0.218751463026828,3.15252595721624, 0.0123032076924643)); #150877=CARTESIAN_POINT('Ctrl Pts',(1.01100736270972E-11,3.15252595721666, 0.0123032076924649)); #150878=CARTESIAN_POINT('Ctrl Pts',(1.13705549888782,3.01634863532616,-0.0162672755242942)); #150879=CARTESIAN_POINT('Ctrl Pts',(0.976803998840833,3.05042016119967, -0.0168967521651025)); #150880=CARTESIAN_POINT('Ctrl Pts',(0.600009070800852,3.11296071273804, -0.0187747877722624)); #150881=CARTESIAN_POINT('Ctrl Pts',(0.218159054532409,3.13586057905966, -0.0193080369343945)); #150882=CARTESIAN_POINT('Ctrl Pts',(1.00827844647602E-11,3.13586057906007, -0.0193080369344053)); #150883=CARTESIAN_POINT('Ctrl Pts',(1.1368156880371,3.01380123847912,-0.0453160142462675)); #150884=CARTESIAN_POINT('Ctrl Pts',(0.976792195421035,3.04775098581585, -0.0470102365808647)); #150885=CARTESIAN_POINT('Ctrl Pts',(0.599839893434179,3.11014584239452, -0.0511462187670758)); #150886=CARTESIAN_POINT('Ctrl Pts',(0.21812417105329,3.13297062617112,-0.0523403496029927)); #150887=CARTESIAN_POINT('Ctrl Pts',(1.00809808555313E-11,3.13297062617152, -0.0523403496030164)); #150888=CARTESIAN_POINT('',(1.14357881173665,3.04946416183335,0.0323271421121946)); #150889=CARTESIAN_POINT('Ctrl Pts',(0.460340418113439,3.15752634006579, 0.0332840750760689)); #150890=CARTESIAN_POINT('Ctrl Pts',(0.526122340815901,3.15161567480623, 0.033259376990795)); #150891=CARTESIAN_POINT('Ctrl Pts',(0.755504737226597,3.12652417869605, 0.033142495879207)); #150892=CARTESIAN_POINT('Ctrl Pts',(0.982490757158005,3.08592829359708, 0.0328826128566291)); #150893=CARTESIAN_POINT('Ctrl Pts',(1.14357881173665,3.04946416183335,0.0323271421121946)); #150894=CARTESIAN_POINT('Origin',(1.1627692886881,3.13559089807161,-0.0562104820897247)); #150895=CARTESIAN_POINT('Ctrl Pts',(1.1368156880371,3.01380123847912,-0.0453160142462675)); #150896=CARTESIAN_POINT('Ctrl Pts',(0.976792195421035,3.04775098581585, -0.0470102365808647)); #150897=CARTESIAN_POINT('Ctrl Pts',(0.599839893434179,3.11014584239452, -0.0511462187670758)); #150898=CARTESIAN_POINT('Ctrl Pts',(0.21812417105329,3.13297062617112,-0.0523403496029927)); #150899=CARTESIAN_POINT('Ctrl Pts',(1.00809808555313E-11,3.13297062617152, -0.0523403496030164)); #150900=CARTESIAN_POINT('Ctrl Pts',(1.01549564907525E-11,3.17814723951371, 0.0333516138409001)); #150901=CARTESIAN_POINT('Ctrl Pts',(0.133426545737839,3.17814723951345, 0.0333516138408997)); #150902=CARTESIAN_POINT('Ctrl Pts',(0.266890717906527,3.17296301508452, 0.0333439964201193)); #150903=CARTESIAN_POINT('Ctrl Pts',(0.399883643449643,3.16259804365701, 0.0333043839474999)); #150904=CARTESIAN_POINT('Ctrl Pts',(0.491435120250906,3.35846489694357, 0.204632076596673)); #150905=CARTESIAN_POINT('Ctrl Pts',(0.475304292344264,3.29156471172745, 0.147158833507129)); #150906=CARTESIAN_POINT('Ctrl Pts',(0.459173464437622,3.22466452651134, 0.0896855904175851)); #150907=CARTESIAN_POINT('Ctrl Pts',(0.44304263653098,3.15776434129523,0.0322123473280414)); #150908=CARTESIAN_POINT('Ctrl Pts',(0.649421149475814,3.34029676406042, 0.204632076596673)); #150909=CARTESIAN_POINT('Ctrl Pts',(0.628353097452875,3.27533038940653, 0.147158833507129)); #150910=CARTESIAN_POINT('Ctrl Pts',(0.607317114996387,3.21043174938135, 0.0896855904175852)); #150911=CARTESIAN_POINT('Ctrl Pts',(0.586249062973448,3.14546537472746, 0.0322123473280414)); #150912=CARTESIAN_POINT('Ctrl Pts',(0.988201878140418,3.27957755190291, 0.204632076596673)); #150913=CARTESIAN_POINT('Ctrl Pts',(0.957571975885517,3.22110305408967, 0.147158833507129)); #150914=CARTESIAN_POINT('Ctrl Pts',(0.926943948994944,3.16265104592792, 0.0896855904175851)); #150915=CARTESIAN_POINT('Ctrl Pts',(0.896314046740043,3.10417654811468, 0.0322123473280414)); #150916=CARTESIAN_POINT('Ctrl Pts',(1.19514686870788,3.21804370657674,0.204632076596673)); #150917=CARTESIAN_POINT('Ctrl Pts',(1.16247758078558,3.16532566132611,0.147158833507129)); #150918=CARTESIAN_POINT('Ctrl Pts',(1.12980440314099,3.11249860867669,0.0896855904175852)); #150919=CARTESIAN_POINT('Ctrl Pts',(1.09713511521869,3.05978056342607,0.0322123473280414)); #150920=CARTESIAN_POINT('Ctrl Pts',(1.24633219746878,3.20297531691823,0.204632076596673)); #150921=CARTESIAN_POINT('Ctrl Pts',(1.21580532242801,3.15093268781964,0.147158833507129)); #150922=CARTESIAN_POINT('Ctrl Pts',(1.18527844738724,3.09889005872104,0.0896855904175851)); #150923=CARTESIAN_POINT('Ctrl Pts',(1.15475157234648,3.04684742962244,0.0322123473280414)); #150924=CARTESIAN_POINT('',(1.24562747137448,3.20177389034925,0.203305281958333)); #150925=CARTESIAN_POINT('Ctrl Pts',(1.24562747137448,3.20177389034925,0.203305281958333)); #150926=CARTESIAN_POINT('Ctrl Pts',(1.13997415715931,3.23321836598744,0.203687029063838)); #150927=CARTESIAN_POINT('Ctrl Pts',(0.891435882025616,3.2987439690919,0.204191332816499)); #150928=CARTESIAN_POINT('Ctrl Pts',(0.63758409010089,3.34151437708012,0.204512015272137)); #150929=CARTESIAN_POINT('Ctrl Pts',(0.491435120444383,3.35846489692132, 0.204632076596673)); #150930=CARTESIAN_POINT('',(1.28583267078012,3.27031625761445,0.279)); #150931=CARTESIAN_POINT('Ctrl Pts',(1.14357881173665,3.04946416183334,0.0323271421121901)); #150932=CARTESIAN_POINT('Ctrl Pts',(1.147305724425,3.04862053233086,0.0323142908118819)); #150933=CARTESIAN_POINT('Ctrl Pts',(1.15102963121808,3.04774829870631,0.0322761754360312)); #150934=CARTESIAN_POINT('Ctrl Pts',(1.15475157234648,3.04684742962244,0.0322123473280415)); #150935=CARTESIAN_POINT('Origin',(0.986536118078863,2.30860052606037,-0.05)); #150936=CARTESIAN_POINT('Origin',(0.986536118078863,2.30860052606037,-0.04)); #150937=CARTESIAN_POINT('Origin',(-7.07548630359044E-16,-0.9,-0.04)); #150938=CARTESIAN_POINT('',(1.73595441469065,0.808873588033454,-0.04)); #150939=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.755109509104582)); #150940=CARTESIAN_POINT('',(2.08925917751651,0.942581936180178,0.207214032975061)); #150941=CARTESIAN_POINT('Ctrl Pts',(2.08925917751651,0.942581936180178, 0.207214032975061)); #150942=CARTESIAN_POINT('Ctrl Pts',(2.09188704313748,0.904075787277997, 0.207264776637735)); #150943=CARTESIAN_POINT('Ctrl Pts',(2.09181767744373,0.865388500554131, 0.207471608868163)); #150944=CARTESIAN_POINT('Ctrl Pts',(2.08899248271042,0.826812650664099, 0.207761883305329)); #150945=CARTESIAN_POINT('Ctrl Pts',(1.99371515219946,1.73778441932534,0.206112812242523)); #150946=CARTESIAN_POINT('Ctrl Pts',(2.03894045501373,1.4743714489033,0.206508163968556)); #150947=CARTESIAN_POINT('Ctrl Pts',(2.07107985983708,1.20896371305531,0.206862993273262)); #150948=CARTESIAN_POINT('Ctrl Pts',(2.08925917751651,0.942581936180182, 0.207214032975061)); #150949=CARTESIAN_POINT('Ctrl Pts',(2.34771226067048,0.769887640906305, 0.159883826476322)); #150950=CARTESIAN_POINT('Ctrl Pts',(2.35231474391249,0.573263685433004, 0.159883826476322)); #150951=CARTESIAN_POINT('Ctrl Pts',(2.27317851057728,0.39320919564873,0.159883826476322)); #150952=CARTESIAN_POINT('Ctrl Pts',(2.21319898339605,0.76673901589267,0.20455770982004)); #150953=CARTESIAN_POINT('Ctrl Pts',(2.21710150139995,0.600018468334983, 0.20455770982004)); #150954=CARTESIAN_POINT('Ctrl Pts',(2.15000064320828,0.447347431610109, 0.20455770982004)); #150955=CARTESIAN_POINT('Ctrl Pts',(2.07152294737431,0.763422728312072, 0.208606723211507)); #150956=CARTESIAN_POINT('Ctrl Pts',(2.07468822737244,0.628197928853523, 0.208606723211507)); #150957=CARTESIAN_POINT('Ctrl Pts',(2.0202636214952,0.504368499148066,0.208606723211507)); #150958=CARTESIAN_POINT('',(2.07007533229901,0.704893038008428,0.208606723211507)); #150959=CARTESIAN_POINT('Ctrl Pts',(2.07007533229901,0.704893038008428, 0.208606723211507)); #150960=CARTESIAN_POINT('Ctrl Pts',(2.08926515170339,0.66488378645149,0.20797668125878)); #150961=CARTESIAN_POINT('Ctrl Pts',(2.10699278500681,0.586676923202758, 0.205844295179198)); #150962=CARTESIAN_POINT('Ctrl Pts',(2.09322844757813,0.508484417930056, 0.204538346301212)); #150963=CARTESIAN_POINT('Ctrl Pts',(2.08209479375454,0.477192914239479, 0.204300715077488)); #150964=CARTESIAN_POINT('Ctrl Pts',(2.08116829409902,0.759772540667489, 0.208285745217556)); #150965=CARTESIAN_POINT('Ctrl Pts',(2.07817265136299,0.741345047028301, 0.208413261994859)); #150966=CARTESIAN_POINT('Ctrl Pts',(2.07447379989938,0.723034715999969, 0.208519117701274)); #150967=CARTESIAN_POINT('Ctrl Pts',(2.07007533229901,0.704893038008428, 0.208606723211507)); #150968=CARTESIAN_POINT('Ctrl Pts',(-1.89161777883057,-0.214669640809241, -0.0303494562711162)); #150969=CARTESIAN_POINT('Ctrl Pts',(-1.89156457109161,-0.213099206235461, 0.0299563106762245)); #150970=CARTESIAN_POINT('Ctrl Pts',(-1.89151078919902,-0.211528177509712, 0.0902620616445828)); #150971=CARTESIAN_POINT('Ctrl Pts',(-1.89145643070439,-0.209956552098862, 0.150567796543041)); #150972=CARTESIAN_POINT('Ctrl Pts',(-1.8935551498792,-0.216674492429641, -0.0302955381867008)); #150973=CARTESIAN_POINT('Ctrl Pts',(-1.8935024707835,-0.21510464880262, 0.0300102701524412)); #150974=CARTESIAN_POINT('Ctrl Pts',(-1.89344926394129,-0.213534125220198, 0.0903160602085771)); #150975=CARTESIAN_POINT('Ctrl Pts',(-1.89339543548259,-0.211963092428474, 0.150621836696208)); #150976=CARTESIAN_POINT('Ctrl Pts',(-1.89919010363979,-0.218940534365442, -0.0302315560180675)); #150977=CARTESIAN_POINT('Ctrl Pts',(-1.89913955082252,-0.217370711288253, 0.0300743052224702)); #150978=CARTESIAN_POINT('Ctrl Pts',(-1.89908844101709,-0.215800345332261, 0.0903801523878606)); #150979=CARTESIAN_POINT('Ctrl Pts',(-1.89903674554927,-0.214229331832571, 0.150685982047625)); #150980=CARTESIAN_POINT('Ctrl Pts',(-1.90465876030726,-0.216298292099443, -0.0302955381867007)); #150981=CARTESIAN_POINT('Ctrl Pts',(-1.90460910427691,-0.214725727242661, 0.0300102701524412)); #150982=CARTESIAN_POINT('Ctrl Pts',(-1.90455879424925,-0.213152503172636, 0.0903160602085771)); #150983=CARTESIAN_POINT('Ctrl Pts',(-1.90450799493895,-0.211578737480146, 0.150621836696208)); #150984=CARTESIAN_POINT('Ctrl Pts',(-1.90645599198742,-0.214166908772187, -0.0303494562711162)); #150985=CARTESIAN_POINT('Ctrl Pts',(-1.90640581132863,-0.212592881846079, 0.0299563106762245)); #150986=CARTESIAN_POINT('Ctrl Pts',(-1.90635505805324,-0.211018253806113, 0.0902620616445828)); #150987=CARTESIAN_POINT('Ctrl Pts',(-1.9063037297088,-0.209443022082301, 0.150567796543041)); #150988=CARTESIAN_POINT('Ctrl Pts',(-1.89145643070439,-0.209956552098862, 0.150567796543041)); #150989=CARTESIAN_POINT('Ctrl Pts',(-1.89151073663881,-0.211526657876708, 0.0903203723491902)); #150990=CARTESIAN_POINT('Ctrl Pts',(-1.8915644670857,-0.213096168122786, 0.0300729321165008)); #150991=CARTESIAN_POINT('Ctrl Pts',(-1.89161762448639,-0.214665085362888, -0.0301745240643729)); #150992=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.755109509104582)); #150993=CARTESIAN_POINT('',(-2.08209479375454,0.477192914239486,0.204300715077489)); #150994=CARTESIAN_POINT('Origin',(-1.99505601408628,0.515447562514519,-0.755109509104582)); #150995=CARTESIAN_POINT('',(-2.05401616762634,0.419220076359289,0.204445001655325)); #150996=CARTESIAN_POINT('Ctrl Pts',(-2.05401616762634,0.419220076359289, 0.204445001655325)); #150997=CARTESIAN_POINT('Ctrl Pts',(-2.06542809792726,0.437409579205304, 0.204198617174783)); #150998=CARTESIAN_POINT('Ctrl Pts',(-2.07489843904635,0.456962584574141, 0.204146930793919)); #150999=CARTESIAN_POINT('Ctrl Pts',(-2.08209479375454,0.477192914239486, 0.204300715077489)); #151000=CARTESIAN_POINT('Ctrl Pts',(-1.71237734521608,-0.00885619121578143, 0.208423888643171)); #151001=CARTESIAN_POINT('Ctrl Pts',(-1.84224593231601,0.120646406708262, 0.207784078576315)); #151002=CARTESIAN_POINT('Ctrl Pts',(-1.95743215697334,0.265274592087356, 0.206530258107638)); #151003=CARTESIAN_POINT('Ctrl Pts',(-2.05401616762634,0.419220076359289, 0.204445001655325)); #151004=CARTESIAN_POINT('Ctrl Pts',(-2.20925144687108,1.82926879955532, -0.0303255231932561)); #151005=CARTESIAN_POINT('Ctrl Pts',(-2.20800940643843,1.83011853246195, 0.0299722537837658)); #151006=CARTESIAN_POINT('Ctrl Pts',(-2.20676716005081,1.83096822898706, 0.0902700270311339)); #151007=CARTESIAN_POINT('Ctrl Pts',(-2.20552470746773,1.83181788908814, 0.150567796543041)); #151008=CARTESIAN_POINT('Ctrl Pts',(-2.21186425168377,1.82973034617454, -0.030278207719739)); #151009=CARTESIAN_POINT('Ctrl Pts',(-2.21062242708085,1.83058010984767, 0.0300195794173042)); #151010=CARTESIAN_POINT('Ctrl Pts',(-2.20938038673709,1.83142985782654, 0.0903173623046974)); #151011=CARTESIAN_POINT('Ctrl Pts',(-2.20813815015295,1.83227954871276, 0.150615141990058)); #151012=CARTESIAN_POINT('Ctrl Pts',(-2.21667176330193,1.83285100137985, -0.0302231575908882)); #151013=CARTESIAN_POINT('Ctrl Pts',(-2.2154302799674,1.83370122411257,0.030074642293165)); #151014=CARTESIAN_POINT('Ctrl Pts',(-2.21418859476668,1.83455140910188, 0.0903724385883369)); #151015=CARTESIAN_POINT('Ctrl Pts',(-2.21294669967656,1.83540155951596, 0.150670231038769)); #151016=CARTESIAN_POINT('Ctrl Pts',(-2.21783834192496,1.83846257434877, -0.030278207719739)); #151017=CARTESIAN_POINT('Ctrl Pts',(-2.21659643567759,1.83931343613336, 0.0300195794173041)); #151018=CARTESIAN_POINT('Ctrl Pts',(-2.21535430042211,1.84016425493202, 0.0903173623046974)); #151019=CARTESIAN_POINT('Ctrl Pts',(-2.21411198177653,1.84101504485074, 0.150615141990058)); #151020=CARTESIAN_POINT('Ctrl Pts',(-2.21732177225106,1.8410650597076,-0.030325523193256)); #151021=CARTESIAN_POINT('Ctrl Pts',(-2.21607947906583,1.84191606391297, 0.0299722537837658)); #151022=CARTESIAN_POINT('Ctrl Pts',(-2.21483697958118,1.84276703217677, 0.0902700270311339)); #151023=CARTESIAN_POINT('Ctrl Pts',(-2.21359427355594,1.84361796445693, 0.150567796543041)); #151024=CARTESIAN_POINT('Ctrl Pts',(-2.20552470746773,1.83181788908814, 0.150567796543041)); #151025=CARTESIAN_POINT('Ctrl Pts',(-2.20676612292436,1.83096893823342, 0.0903203600678661)); #151026=CARTESIAN_POINT('Ctrl Pts',(-2.20800733252963,1.83011995101544, 0.0300729198634639)); #151027=CARTESIAN_POINT('Ctrl Pts',(-2.20924833652343,1.82927092747662, -0.0301745240643729)); #151028=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.755109509104582)); #151029=CARTESIAN_POINT('Ctrl Pts',(-1.98216816638846,1.80341418986183, 0.206013382855995)); #151030=CARTESIAN_POINT('Ctrl Pts',(-1.96137036598107,1.91883494395436, 0.205836817082736)); #151031=CARTESIAN_POINT('Ctrl Pts',(-1.91478235683296,2.1487128803225,0.205465361570716)); #151032=CARTESIAN_POINT('Ctrl Pts',(-1.85867228702045,2.37620611461935, 0.205029849738503)); #151033=CARTESIAN_POINT('Ctrl Pts',(-1.82880907349005,2.4895084643246,0.204734555263797)); #151034=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.755109509104582)); #151035=CARTESIAN_POINT('',(-1.24784055985023,3.20922760481459,0.206140007165232)); #151036=CARTESIAN_POINT('Ctrl Pts',(-1.24784055985023,3.20922760481459, 0.206140007165232)); #151037=CARTESIAN_POINT('Ctrl Pts',(-1.14206227166853,3.24051520740092, 0.206371752629476)); #151038=CARTESIAN_POINT('Ctrl Pts',(-0.892931937269213,3.30593292200783, 0.206685525204097)); #151039=CARTESIAN_POINT('Ctrl Pts',(-0.638649013271772,3.34859406761669, 0.206884416254975)); #151040=CARTESIAN_POINT('Ctrl Pts',(-0.492250323879973,3.36550644591255, 0.206959215418093)); #151041=CARTESIAN_POINT('Ctrl Pts',(-1.26356312741613,3.20440616081696, 0.206115467568544)); #151042=CARTESIAN_POINT('Ctrl Pts',(-1.25833854836166,3.20606545967652, 0.206120296701742)); #151043=CARTESIAN_POINT('Ctrl Pts',(-1.25309718000781,3.20767277683179, 0.206128490644217)); #151044=CARTESIAN_POINT('Ctrl Pts',(-1.24784055985023,3.20922760481459, 0.206140007165232)); #151045=CARTESIAN_POINT('Origin',(-0.517425207514005,3.63736299599434,0.03)); #151046=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.755109509104582)); #151047=CARTESIAN_POINT('',(1.31128703695885E-16,3.39385694023669,0.207081912123343)); #151048=CARTESIAN_POINT('Ctrl Pts',(3.12333710950512E-11,3.39385694023669, 0.207081912123343)); #151049=CARTESIAN_POINT('Ctrl Pts',(0.141999590644624,3.39385694023566, 0.207081912123339)); #151050=CARTESIAN_POINT('Ctrl Pts',(0.284323963959774,3.38678061166249, 0.207052442843597)); #151051=CARTESIAN_POINT('Ctrl Pts',(0.425925381266676,3.37264710308839, 0.206990622174648)); #151052=CARTESIAN_POINT('Ctrl Pts',(-0.425925381266679,3.37264710308839, 0.206990622174649)); #151053=CARTESIAN_POINT('Ctrl Pts',(-0.284323963949417,3.38678061166353, 0.207052442843602)); #151054=CARTESIAN_POINT('Ctrl Pts',(-0.141999590623778,3.39385694023669, 0.207081912123343)); #151055=CARTESIAN_POINT('Ctrl Pts',(-4.27181568316225E-17,3.39385694023669, 0.207081912123343)); #151056=CARTESIAN_POINT('Origin',(0.400447614208948,3.09839795971367,0.03)); #151057=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.755109509104582)); #151058=CARTESIAN_POINT('',(1.24784055985023,3.20922760481459,0.206140007165232)); #151059=CARTESIAN_POINT('Ctrl Pts',(1.24784055985023,3.20922760481459,0.206140007165232)); #151060=CARTESIAN_POINT('Ctrl Pts',(1.25309718000769,3.20767277683183,0.206128490644218)); #151061=CARTESIAN_POINT('Ctrl Pts',(1.25833854836142,3.20606545967659,0.206120296701742)); #151062=CARTESIAN_POINT('Ctrl Pts',(1.26356312741579,3.20440616081707,0.206115467568544)); #151063=CARTESIAN_POINT('Ctrl Pts',(0.492250323881762,3.36550644591234, 0.206959215418092)); #151064=CARTESIAN_POINT('Ctrl Pts',(0.638649013273212,3.34859406761646, 0.206884416254974)); #151065=CARTESIAN_POINT('Ctrl Pts',(0.892931937270042,3.30593292200762, 0.206685525204096)); #151066=CARTESIAN_POINT('Ctrl Pts',(1.14206227166877,3.24051520740085,0.206371752629475)); #151067=CARTESIAN_POINT('Ctrl Pts',(1.24784055985023,3.20922760481459,0.206140007165232)); #151068=CARTESIAN_POINT('Origin',(0.,1.705,0.0118110236)); #151069=CARTESIAN_POINT('Origin',(0.,1.705,0.0116048928156924)); #151070=CARTESIAN_POINT('Ctrl Pts',(-0.972447626671943,-0.500587059191297, -0.02)); #151071=CARTESIAN_POINT('Ctrl Pts',(-0.954093177591914,-0.508679571510121, -0.02)); #151072=CARTESIAN_POINT('Ctrl Pts',(-0.935669104725495,-0.51652973446714, -0.0200000000000001)); #151073=CARTESIAN_POINT('Ctrl Pts',(-0.917118521985283,-0.524161788413649, -0.0200000000000001)); #151074=CARTESIAN_POINT('Ctrl Pts',(-0.971619950221785,-0.498709824451249, -0.0141972016575526)); #151075=CARTESIAN_POINT('Ctrl Pts',(-0.953280964663874,-0.506795518873078, -0.0141972016575526)); #151076=CARTESIAN_POINT('Ctrl Pts',(-0.934872891607658,-0.514638864601429, -0.0141972016575527)); #151077=CARTESIAN_POINT('Ctrl Pts',(-0.916337937631092,-0.522264488584581, -0.0141972016575527)); #151078=CARTESIAN_POINT('Ctrl Pts',(-0.968478750106487,-0.491585337605266, -0.00391279790008052)); #151079=CARTESIAN_POINT('Ctrl Pts',(-0.950199283328917,-0.499644790081013, -0.00391279790008052)); #151080=CARTESIAN_POINT('Ctrl Pts',(-0.931850260762864,-0.507462975467603, -0.00391279790008064)); #151081=CARTESIAN_POINT('Ctrl Pts',(-0.913375461577896,-0.515063850653315, -0.00391279790008064)); #151082=CARTESIAN_POINT('Ctrl Pts',(-0.963519934486864,-0.480338357038316, 5.5197425542376E-17)); #151083=CARTESIAN_POINT('Ctrl Pts',(-0.945333960536597,-0.48835658834456, 5.50381326484014E-17)); #151084=CARTESIAN_POINT('Ctrl Pts',(-0.927079093729732,-0.496134655671557, -7.59938362236568E-17)); #151085=CARTESIAN_POINT('Ctrl Pts',(-0.90869878642632,-0.503696655143895, -7.64917438225994E-17)); #151086=CARTESIAN_POINT('Ctrl Pts',(-0.96103690513639,-0.474706652818172, 5.46369598732853E-17)); #151087=CARTESIAN_POINT('Ctrl Pts',(-0.942897827559819,-0.482704207421989, 5.44794910409045E-17)); #151088=CARTESIAN_POINT('Ctrl Pts',(-0.924689943163878,-0.490462256396667, -7.59941825094028E-17)); #151089=CARTESIAN_POINT('Ctrl Pts',(-0.906357033363745,-0.498004755656692, -7.64917438225994E-17)); #151090=CARTESIAN_POINT('Ctrl Pts',(-0.936005670287896,-0.516297470072384, -0.02)); #151091=CARTESIAN_POINT('Ctrl Pts',(-0.941880093561944,-0.513822145313564, -0.02)); #151092=CARTESIAN_POINT('Ctrl Pts',(-0.947743678005922,-0.511323925974332, -0.02)); #151093=CARTESIAN_POINT('Ctrl Pts',(-0.953598253502287,-0.508802032303511, -0.02)); #151094=CARTESIAN_POINT('Origin',(0.,1.705,0.0118110236)); #151095=CARTESIAN_POINT('Origin',(0.,1.705,0.0116048928156924)); #151096=CARTESIAN_POINT('Origin',(0.,1.705,0.0118110236)); #151097=CARTESIAN_POINT('Origin',(0.,1.705,0.0116048928156924)); #151098=CARTESIAN_POINT('Ctrl Pts',(0.917118521985283,-0.524161788413649, -0.02)); #151099=CARTESIAN_POINT('Ctrl Pts',(0.935669105062671,-0.51652973432842, -0.02)); #151100=CARTESIAN_POINT('Ctrl Pts',(0.954093177924669,-0.508679571363409, -0.02)); #151101=CARTESIAN_POINT('Ctrl Pts',(0.972447626671941,-0.500587059191298, -0.02)); #151102=CARTESIAN_POINT('Ctrl Pts',(0.916337937631092,-0.522264488584581, -0.0141972016575527)); #151103=CARTESIAN_POINT('Ctrl Pts',(0.934872891944551,-0.514638864462825, -0.0141972016575527)); #151104=CARTESIAN_POINT('Ctrl Pts',(0.953280964996349,-0.50679551872649, -0.0141972016575527)); #151105=CARTESIAN_POINT('Ctrl Pts',(0.971619950221783,-0.49870982445125, -0.0141972016575527)); #151106=CARTESIAN_POINT('Ctrl Pts',(0.913375461577896,-0.515063850653316, -0.00391279790008063)); #151107=CARTESIAN_POINT('Ctrl Pts',(0.931850261098663,-0.507462975329449, -0.00391279790008063)); #151108=CARTESIAN_POINT('Ctrl Pts',(0.950199283660313,-0.499644789934901, -0.00391279790008063)); #151109=CARTESIAN_POINT('Ctrl Pts',(0.968478750106485,-0.491585337605267, -0.00391279790008063)); #151110=CARTESIAN_POINT('Ctrl Pts',(0.90869878642632,-0.503696655143895, -7.59312781535086E-17)); #151111=CARTESIAN_POINT('Ctrl Pts',(0.927079094063813,-0.49613465553411, -7.59261359036228E-17)); #151112=CARTESIAN_POINT('Ctrl Pts',(0.945333960866298,-0.488356588199195, -8.20043961718201E-17)); #151113=CARTESIAN_POINT('Ctrl Pts',(0.963519934486862,-0.480338357038317, -8.11147413062918E-17)); #151114=CARTESIAN_POINT('Ctrl Pts',(0.906357033363745,-0.498004755656692, -7.64917438225994E-17)); #151115=CARTESIAN_POINT('Ctrl Pts',(0.924689943497098,-0.490462256259574, -7.64848484350662E-17)); #151116=CARTESIAN_POINT('Ctrl Pts',(0.94289782788867,-0.482704207276999, -8.28448535999816E-17)); #151117=CARTESIAN_POINT('Ctrl Pts',(0.961036905136389,-0.474706652818173, -8.19554398099279E-17)); #151118=CARTESIAN_POINT('Ctrl Pts',(0.953598253502293,-0.508802032303514, -0.02)); #151119=CARTESIAN_POINT('Ctrl Pts',(0.947743678039759,-0.511323925959762, -0.02)); #151120=CARTESIAN_POINT('Ctrl Pts',(0.941880093595922,-0.513822145299252, -0.02)); #151121=CARTESIAN_POINT('Ctrl Pts',(0.936005670287895,-0.516297470072388, -0.02)); #151122=CARTESIAN_POINT('Ctrl Pts',(-0.0300710449770634,-0.705262308244892, -0.02)); #151123=CARTESIAN_POINT('Ctrl Pts',(-0.0100133757525692,-0.705512552822555, -0.02)); #151124=CARTESIAN_POINT('Ctrl Pts',(0.0100133757525681,-0.705512552822555, -0.02)); #151125=CARTESIAN_POINT('Ctrl Pts',(0.030071044977062,-0.705262308244892, -0.02)); #151126=CARTESIAN_POINT('Ctrl Pts',(-0.0300454506981773,-0.703210868870141, -0.0141972016575527)); #151127=CARTESIAN_POINT('Ctrl Pts',(-0.0100046799493792,-0.703460902618128, -0.0141972016575527)); #151128=CARTESIAN_POINT('Ctrl Pts',(0.0100046799493781,-0.703460902618128, -0.0141972016575527)); #151129=CARTESIAN_POINT('Ctrl Pts',(0.0300454506981759,-0.703210868870141, -0.0141972016575527)); #151130=CARTESIAN_POINT('Ctrl Pts',(-0.0299483366466064,-0.695426957859373, -0.00391036840204353)); #151131=CARTESIAN_POINT('Ctrl Pts',(-0.00997260778511988,-0.695676180128248, -0.00391036840204353)); #151132=CARTESIAN_POINT('Ctrl Pts',(0.00997260778511888,-0.695676180128248, -0.00391036840204353)); #151133=CARTESIAN_POINT('Ctrl Pts',(0.029948336646605,-0.695426957859373, -0.00391036840204353)); #151134=CARTESIAN_POINT('Ctrl Pts',(-0.0297949735199735,-0.683134556185945, -7.07478150007255E-17)); #151135=CARTESIAN_POINT('Ctrl Pts',(-0.00992141324969507,-0.683382503773516, -7.15008306341706E-17)); #151136=CARTESIAN_POINT('Ctrl Pts',(0.00992141324969405,-0.683382503773516, -7.92071138931776E-17)); #151137=CARTESIAN_POINT('Ctrl Pts',(0.0297949735199722,-0.683134556185945, -8.13949741408371E-17)); #151138=CARTESIAN_POINT('Ctrl Pts',(-0.0297181906833153,-0.676980238061693, -7.10280478352708E-17)); #151139=CARTESIAN_POINT('Ctrl Pts',(-0.00989587858438291,-0.677227546264057, -7.17797602030773E-17)); #151140=CARTESIAN_POINT('Ctrl Pts',(0.00989587858438196,-0.677227546264057, -7.97712307703193E-17)); #151141=CARTESIAN_POINT('Ctrl Pts',(0.029718190683314,-0.676980238061693, -8.19554398099279E-17)); #151142=CARTESIAN_POINT('Ctrl Pts',(0.00956137954552718,-0.705431008421559, -0.02)); #151143=CARTESIAN_POINT('Ctrl Pts',(0.00318679500004224,-0.705456319430333, -0.02)); #151144=CARTESIAN_POINT('Ctrl Pts',(-0.00318679500003028,-0.705456319430333, -0.02)); #151145=CARTESIAN_POINT('Ctrl Pts',(-0.00956137954551526,-0.705431008421559, -0.02)); #151146=CARTESIAN_POINT('Origin',(0.,1.705,-0.01)); #151147=CARTESIAN_POINT('Origin',(0.,1.705,-0.01)); #151148=CARTESIAN_POINT('Origin',(0.,1.705,-0.01)); #151149=CARTESIAN_POINT('Origin',(0.,1.705,-0.01)); #151150=CARTESIAN_POINT('Origin',(1.73595441469065,0.808873588033454,-0.05)); #151151=CARTESIAN_POINT('Origin',(-0.640971067613574,3.06828343969065,-0.05)); #151152=CARTESIAN_POINT('',(-0.640971067613574,3.07828191664222,-0.0498254759356272)); #151153=CARTESIAN_POINT('Origin',(-1.28194213522713,3.07811041232181,-0.04)); #151154=CARTESIAN_POINT('',(-1.471721245,3.07811093781276,-0.0400301053567116)); #151155=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #151156=CARTESIAN_POINT('Origin',(1.17788351769416E-16,0.508838726727352, 0.)); #151157=CARTESIAN_POINT('Origin',(-9.83465277719135E-17,0.504891391301193, 0.088)); #151158=CARTESIAN_POINT('',(1.23911186856117,0.31847491738764,0.088)); #151159=CARTESIAN_POINT('',(1.7102379751234,0.799400112399327,0.088)); #151160=CARTESIAN_POINT('Ctrl Pts',(1.23911186856117,0.318474917387641, 0.088)); #151161=CARTESIAN_POINT('Ctrl Pts',(1.30133439286474,0.340704796008114, 0.088)); #151162=CARTESIAN_POINT('Ctrl Pts',(1.42160440235433,0.402146326113922, 0.088)); #151163=CARTESIAN_POINT('Ctrl Pts',(1.59538866003922,0.558296546498761, 0.088)); #151164=CARTESIAN_POINT('Ctrl Pts',(1.67849631510097,0.705509007410863, 0.088)); #151165=CARTESIAN_POINT('Ctrl Pts',(1.71023797512337,0.799400112399287, 0.088)); #151166=CARTESIAN_POINT('',(0.403242682818653,0.126002310910941,0.088)); #151167=CARTESIAN_POINT('Ctrl Pts',(0.403242682822451,0.126002310911359, 0.088)); #151168=CARTESIAN_POINT('Ctrl Pts',(0.68789162033997,0.157317475396785, 0.088)); #151169=CARTESIAN_POINT('Ctrl Pts',(0.969439086672258,0.222130494275058, 0.088)); #151170=CARTESIAN_POINT('Ctrl Pts',(1.23911186856117,0.31847491738764,0.088)); #151171=CARTESIAN_POINT('',(0.382475317547684,0.134227510209976,0.088)); #151172=CARTESIAN_POINT('Ctrl Pts',(0.382478094210128,0.134231160772984, 0.088)); #151173=CARTESIAN_POINT('Ctrl Pts',(0.382769742807009,0.134009329317515, 0.088)); #151174=CARTESIAN_POINT('Ctrl Pts',(0.388997416855538,0.129325271041565, 0.088)); #151175=CARTESIAN_POINT('Ctrl Pts',(0.396007987184042,0.125206398283346, 0.088)); #151176=CARTESIAN_POINT('Ctrl Pts',(0.403242682818653,0.126002310910941, 0.088)); #151177=CARTESIAN_POINT('',(-0.382475317551431,0.134227510205138,0.088)); #151178=CARTESIAN_POINT('Origin',(2.14197750974621E-33,-4.88381608785569E-33, 0.088)); #151179=CARTESIAN_POINT('',(-0.403242682819014,0.12600231091098,0.088)); #151180=CARTESIAN_POINT('Ctrl Pts',(-0.403242682818627,0.126002310910938, 0.088)); #151181=CARTESIAN_POINT('Ctrl Pts',(-0.396007987116532,0.125206398275932, 0.088)); #151182=CARTESIAN_POINT('Ctrl Pts',(-0.388980020977584,0.129286404819916, 0.088)); #151183=CARTESIAN_POINT('Ctrl Pts',(-0.382761194612903,0.133993299591316, 0.088)); #151184=CARTESIAN_POINT('Ctrl Pts',(-0.382468058045604,0.134217843851345, 0.088)); #151185=CARTESIAN_POINT('',(-1.23911186856118,0.318474917387643,0.088)); #151186=CARTESIAN_POINT('Ctrl Pts',(-1.23911186856118,0.318474917387642, 0.088)); #151187=CARTESIAN_POINT('Ctrl Pts',(-0.969439089893312,0.222130495425823, 0.088)); #151188=CARTESIAN_POINT('Ctrl Pts',(-0.687891616936639,0.157317475022102, 0.088)); #151189=CARTESIAN_POINT('Ctrl Pts',(-0.403242682819014,0.12600231091098, 0.088)); #151190=CARTESIAN_POINT('',(-1.71023797512341,0.799400112399344,0.088)); #151191=CARTESIAN_POINT('Ctrl Pts',(-1.71023797512178,0.799400112394549, 0.088)); #151192=CARTESIAN_POINT('Ctrl Pts',(-1.68907670524923,0.736805559688435, 0.088)); #151193=CARTESIAN_POINT('Ctrl Pts',(-1.63017595315455,0.615241715032214, 0.088)); #151194=CARTESIAN_POINT('Ctrl Pts',(-1.4778114579987,0.438200750265189, 0.0880000000000001)); #151195=CARTESIAN_POINT('Ctrl Pts',(-1.33244637478954,0.351819992467458, 0.088)); #151196=CARTESIAN_POINT('Ctrl Pts',(-1.23911186856118,0.318474917387643, 0.088)); #151197=CARTESIAN_POINT('',(-1.87221322192046,0.716869601968132,0.088)); #151198=CARTESIAN_POINT('',(-0.22889687301444,1.55418110330602,0.088)); #151199=CARTESIAN_POINT('',(-1.9338272231598,0.494330537954145,0.088)); #151200=CARTESIAN_POINT('Origin',(-1.80114719033798,0.57739466179979,0.088)); #151201=CARTESIAN_POINT('',(1.9338272231598,0.494330537954144,0.088)); #151202=CARTESIAN_POINT('Origin',(-8.51298753873499E-34,1.705,0.088)); #151203=CARTESIAN_POINT('',(1.87221322192046,0.716869601968133,0.088)); #151204=CARTESIAN_POINT('Origin',(1.80114719033798,0.577394661799789,0.088)); #151205=CARTESIAN_POINT('',(1.17191857990908,1.07368754421752,0.088)); #151206=CARTESIAN_POINT('Ctrl Pts',(-1.23911186856118,0.318474917387642, 0.088)); #151207=CARTESIAN_POINT('Ctrl Pts',(-0.969439089893312,0.222130495425823, 0.088)); #151208=CARTESIAN_POINT('Ctrl Pts',(-0.687891616936639,0.157317475022102, 0.088)); #151209=CARTESIAN_POINT('Ctrl Pts',(-0.403242682819014,0.12600231091098, 0.088)); #151210=CARTESIAN_POINT('Ctrl Pts',(-1.23809585809285,0.321318780691531, 0.088)); #151211=CARTESIAN_POINT('Ctrl Pts',(-0.968796177991318,0.224627877869772, 0.087999561320587)); #151212=CARTESIAN_POINT('Ctrl Pts',(-0.687448003499014,0.159696570328254, 0.0880000386362284)); #151213=CARTESIAN_POINT('Ctrl Pts',(-0.402988232821395,0.128315213386963, 0.088)); #151214=CARTESIAN_POINT('Ctrl Pts',(-1.2370799878524,0.324162251490645, 0.0875405742555742)); #151215=CARTESIAN_POINT('Ctrl Pts',(-0.968153251871267,0.227125190122272, 0.0876786060232973)); #151216=CARTESIAN_POINT('Ctrl Pts',(-0.687004415087452,0.162075530132637, 0.0877078084129365)); #151217=CARTESIAN_POINT('Ctrl Pts',(-0.402733795223441,0.130628003152329, 0.0877280720425676)); #151218=CARTESIAN_POINT('Ctrl Pts',(-1.2361099555998,0.32687741950589,0.0866423895079497)); #151219=CARTESIAN_POINT('Ctrl Pts',(-0.967525712313052,0.229547914145684, 0.0870454583164343)); #151220=CARTESIAN_POINT('Ctrl Pts',(-0.68657327001726,0.164385887574418, 0.0871316957880911)); #151221=CARTESIAN_POINT('Ctrl Pts',(-0.402486202498268,0.132878574315419, 0.087191518273586)); #151222=CARTESIAN_POINT('',(-1.2361099555998,0.326877419505891,0.0866423895079496)); #151223=CARTESIAN_POINT('Origin',(-1.23911186856118,0.318474917387643,0.058)); #151224=CARTESIAN_POINT('',(-0.402486202498088,0.132878574315358,0.0871915182735956)); #151225=CARTESIAN_POINT('Origin',(-0.403242682819014,0.12600231091098,0.058)); #151226=CARTESIAN_POINT('Ctrl Pts',(-0.402486202498268,0.132878574315419, 0.087191518273586)); #151227=CARTESIAN_POINT('Ctrl Pts',(-0.68657327001726,0.164385887574418, 0.0871316957880911)); #151228=CARTESIAN_POINT('Ctrl Pts',(-0.967525712313052,0.229547914145684, 0.0870454583164343)); #151229=CARTESIAN_POINT('Ctrl Pts',(-1.2361099555998,0.32687741950589,0.0866423895079497)); #151230=CARTESIAN_POINT('Origin',(-1.471721245,2.80405027,-0.04)); #151231=CARTESIAN_POINT('Ctrl Pts',(1.69084488865073,2.75895508347144,0.274408977677604)); #151232=CARTESIAN_POINT('Ctrl Pts',(1.64655280885504,2.66133098541908,0.204879174412445)); #151233=CARTESIAN_POINT('Ctrl Pts',(1.60226072905935,2.56370688736672,0.135349371147286)); #151234=CARTESIAN_POINT('Ctrl Pts',(1.55796864926366,2.46608278931435,0.0658195678821266)); #151235=CARTESIAN_POINT('Ctrl Pts',(1.70367712450269,2.72001443228421,0.274408977677604)); #151236=CARTESIAN_POINT('Ctrl Pts',(1.65761287069021,2.62038372666289,0.204879174412445)); #151237=CARTESIAN_POINT('Ctrl Pts',(1.61148654947728,2.52076471909629,0.135349371147286)); #151238=CARTESIAN_POINT('Ctrl Pts',(1.56542229566481,2.42113401347498,0.0658195678821266)); #151239=CARTESIAN_POINT('Ctrl Pts',(1.75015697580979,2.57972699439293,0.274408977677604)); #151240=CARTESIAN_POINT('Ctrl Pts',(1.69516444632691,2.4916011225218,0.204879174412445)); #151241=CARTESIAN_POINT('Ctrl Pts',(1.64014889409593,2.40346666634102,0.135349371147286)); #151242=CARTESIAN_POINT('Ctrl Pts',(1.58515636461305,2.3153407944699,0.0658195678821265)); #151243=CARTESIAN_POINT('Ctrl Pts',(1.83856567086096,2.26559755615991,0.274408977677604)); #151244=CARTESIAN_POINT('Ctrl Pts',(1.76581876566371,2.21255325814411,0.204879174412445)); #151245=CARTESIAN_POINT('Ctrl Pts',(1.69303633131057,2.15950560476376,0.135349371147285)); #151246=CARTESIAN_POINT('Ctrl Pts',(1.62028942611331,2.10646130674796,0.0658195678821266)); #151247=CARTESIAN_POINT('Ctrl Pts',(1.91545208718429,1.95444237931165,0.274408977677604)); #151248=CARTESIAN_POINT('Ctrl Pts',(1.82702810246254,1.93954441560175,0.204879174412444)); #151249=CARTESIAN_POINT('Ctrl Pts',(1.73861514103329,1.92465169108328,0.135349371147286)); #151250=CARTESIAN_POINT('Ctrl Pts',(1.65019115631154,1.90975372737339,0.0658195678821266)); #151251=CARTESIAN_POINT('Ctrl Pts',(1.99289795292058,1.55390324535524,0.274408977677604)); #151252=CARTESIAN_POINT('Ctrl Pts',(1.88874078480449,1.59129685687425,0.204879174412445)); #151253=CARTESIAN_POINT('Ctrl Pts',(1.78453076924311,1.62867721022502,0.135349371147285)); #151254=CARTESIAN_POINT('Ctrl Pts',(1.68037360112701,1.66607082174403,0.0658195678821265)); #151255=CARTESIAN_POINT('Ctrl Pts',(2.06265034861871,1.05610860867539,0.274408977677604)); #151256=CARTESIAN_POINT('Ctrl Pts',(1.94455772790157,1.16073860311185,0.204879174412445)); #151257=CARTESIAN_POINT('Ctrl Pts',(1.82649033853542,1.26538193601374,0.135349371147286)); #151258=CARTESIAN_POINT('Ctrl Pts',(1.70839771781828,1.37001193045019,0.0658195678821266)); #151259=CARTESIAN_POINT('Ctrl Pts',(2.0784403216511,0.807411743521663,0.274408977677604)); #151260=CARTESIAN_POINT('Ctrl Pts',(1.95761751391479,0.946621310025736, 0.204879174412445)); #151261=CARTESIAN_POINT('Ctrl Pts',(1.83666406696115,1.08576195690428,0.135349371147285)); #151262=CARTESIAN_POINT('Ctrl Pts',(1.71584125922484,1.22497152340836,0.0658195678821266)); #151263=CARTESIAN_POINT('Ctrl Pts',(2.08090471926797,0.770707694010824, 0.274408977677604)); #151264=CARTESIAN_POINT('Ctrl Pts',(1.95962283665261,0.91442951864046,0.204879174412445)); #151265=CARTESIAN_POINT('Ctrl Pts',(1.83834095403724,1.0581513432701,0.135349371147286)); #151266=CARTESIAN_POINT('Ctrl Pts',(1.71705907142188,1.20187316789973,0.0658195678821266)); #151267=CARTESIAN_POINT('',(1.70053795156986,2.71694896598978,0.270787543540025)); #151268=CARTESIAN_POINT('',(2.07931655173262,0.793201803813313,0.274380293619939)); #151269=CARTESIAN_POINT('Ctrl Pts',(1.70053795156986,2.71694896598978,0.270787543540025)); #151270=CARTESIAN_POINT('Ctrl Pts',(1.74434692641878,2.58357598035997,0.271423102179216)); #151271=CARTESIAN_POINT('Ctrl Pts',(1.82469640931273,2.31466900701902,0.27188664274618)); #151272=CARTESIAN_POINT('Ctrl Pts',(1.97936282493623,1.67744581014413,0.27311644502821)); #151273=CARTESIAN_POINT('Ctrl Pts',(2.05234475160718,1.16660576668987,0.273853076284894)); #151274=CARTESIAN_POINT('Ctrl Pts',(2.07931655173262,0.793201803813312, 0.274380293619939)); #151275=CARTESIAN_POINT('',(1.68838754163037,2.7535388490663,0.270551428976608)); #151276=CARTESIAN_POINT('Ctrl Pts',(1.68838754163037,2.7535388490663,0.270551428976608)); #151277=CARTESIAN_POINT('Ctrl Pts',(1.69249361593239,2.74133720111149,0.270668111539977)); #151278=CARTESIAN_POINT('Ctrl Pts',(1.69653003957017,2.72915073951641,0.270729398762492)); #151279=CARTESIAN_POINT('Ctrl Pts',(1.70053795156986,2.71694896598978,0.270787543540025)); #151280=CARTESIAN_POINT('',(1.58767462504667,2.53155768021942,0.112452073321462)); #151281=CARTESIAN_POINT('',(1.69376947519489,2.76540115971954,0.279)); #151282=CARTESIAN_POINT('',(1.62475025779121,2.38509103877852,0.117977613093328)); #151283=CARTESIAN_POINT('Ctrl Pts',(1.62475025779121,2.38509103877852,0.117977613093329)); #151284=CARTESIAN_POINT('Ctrl Pts',(1.62136443252896,2.41351393242897,0.120630575921598)); #151285=CARTESIAN_POINT('Ctrl Pts',(1.61181132795649,2.46320266947526,0.122206088245869)); #151286=CARTESIAN_POINT('Ctrl Pts',(1.59592115882734,2.51129757592741,0.117065522708261)); #151287=CARTESIAN_POINT('Ctrl Pts',(1.58767462504667,2.53155768021942,0.112452073321464)); #151288=CARTESIAN_POINT('',(1.71705907142188,1.20187316789972,0.0658195678821302)); #151289=CARTESIAN_POINT('Ctrl Pts',(1.71705907142188,1.20187316789971,0.0658195678821241)); #151290=CARTESIAN_POINT('Ctrl Pts',(1.71400712241604,1.28667592302408,0.0666873403576161)); #151291=CARTESIAN_POINT('Ctrl Pts',(1.70656644831168,1.45651164494823,0.069458920881493)); #151292=CARTESIAN_POINT('Ctrl Pts',(1.68140620910201,1.8519470709158,0.0797950542176568)); #151293=CARTESIAN_POINT('Ctrl Pts',(1.65140187435483,2.1613594505916,0.0970947433101096)); #151294=CARTESIAN_POINT('Ctrl Pts',(1.62475025779683,2.38509103873133,0.117977613088927)); #151295=CARTESIAN_POINT('',(2.08090471926797,0.770707694010828,0.274408977677603)); #151296=CARTESIAN_POINT('',(2.08891290862335,0.761217805155915,0.279)); #151297=CARTESIAN_POINT('Ctrl Pts',(2.07931655173262,0.793201803813312, 0.274380293619939)); #151298=CARTESIAN_POINT('Ctrl Pts',(2.07985809096028,0.785704607010582, 0.274390879078348)); #151299=CARTESIAN_POINT('Ctrl Pts',(2.08038744518598,0.778206451113184, 0.274400388848976)); #151300=CARTESIAN_POINT('Ctrl Pts',(2.08090471926797,0.770707694010828, 0.274408977677603)); #151301=CARTESIAN_POINT('Ctrl Pts',(2.0907839222125,0.794004504662621,0.278632791297344)); #151302=CARTESIAN_POINT('Ctrl Pts',(2.06434928743076,1.16798369919886,0.278589709650946)); #151303=CARTESIAN_POINT('Ctrl Pts',(1.99198363968213,1.67971746677931,0.278529109969029)); #151304=CARTESIAN_POINT('Ctrl Pts',(1.83803224455605,2.31822972540306,0.278425934735832)); #151305=CARTESIAN_POINT('Ctrl Pts',(1.75788084857928,2.58773469886283,0.278386795841418)); #151306=CARTESIAN_POINT('Ctrl Pts',(1.71441910023504,2.7214355575126,0.278332654179819)); #151307=CARTESIAN_POINT('Ctrl Pts',(2.08672463736794,0.793720370885784, 0.278023656609304)); #151308=CARTESIAN_POINT('Ctrl Pts',(2.0600703864041,1.16749384297119,0.277910094067243)); #151309=CARTESIAN_POINT('Ctrl Pts',(1.98744161116785,1.67890381074626,0.277747976350662)); #151310=CARTESIAN_POINT('Ctrl Pts',(1.8331436253186,2.31692540792451,0.277476940839673)); #151311=CARTESIAN_POINT('Ctrl Pts',(1.75288820178325,2.58620138333693,0.27737254323863)); #151312=CARTESIAN_POINT('Ctrl Pts',(1.70924766847576,2.71976414819871,0.277230695700647)); #151313=CARTESIAN_POINT('Ctrl Pts',(2.08279402073438,0.793445232891803, 0.276566047985399)); #151314=CARTESIAN_POINT('Ctrl Pts',(2.05594288980256,1.16702063517924,0.276281805441491)); #151315=CARTESIAN_POINT('Ctrl Pts',(1.98308322382699,1.67812090490631,0.275880035802354)); #151316=CARTESIAN_POINT('Ctrl Pts',(1.8285015854909,2.31568634782806,0.275200876461256)); #151317=CARTESIAN_POINT('Ctrl Pts',(1.74816407222647,2.58475006939328,0.274942393261152)); #151318=CARTESIAN_POINT('Ctrl Pts',(1.70438224565563,2.71819157194395,0.274586090821562)); #151319=CARTESIAN_POINT('Ctrl Pts',(2.07931655173262,0.793201803813312, 0.274380293619939)); #151320=CARTESIAN_POINT('Ctrl Pts',(2.05234475160718,1.16660576668987,0.273853076284894)); #151321=CARTESIAN_POINT('Ctrl Pts',(1.97936282493623,1.67744581014413,0.27311644502821)); #151322=CARTESIAN_POINT('Ctrl Pts',(1.82469640931273,2.31466900701902,0.27188664274618)); #151323=CARTESIAN_POINT('Ctrl Pts',(1.74434692641878,2.58357598035997,0.271423102179216)); #151324=CARTESIAN_POINT('Ctrl Pts',(1.70053795156986,2.71694896598978,0.270787543540025)); #151325=CARTESIAN_POINT('',(2.09039983429616,0.793977620006838,0.278572595849716)); #151326=CARTESIAN_POINT('Origin',(2.09521442296402,0.794314255748585,0.248963367350197)); #151327=CARTESIAN_POINT('',(1.71442589402706,2.72141461917262,0.278332660237618)); #151328=CARTESIAN_POINT('Ctrl Pts',(2.09039983429616,0.793977620006838, 0.278572595849715)); #151329=CARTESIAN_POINT('Ctrl Pts',(2.05316248045094,1.3218780765097,0.2785194914569)); #151330=CARTESIAN_POINT('Ctrl Pts',(1.96406975144873,1.84427423425449,0.278467730064681)); #151331=CARTESIAN_POINT('Ctrl Pts',(1.79036837630529,2.47812723784277,0.278380548341446)); #151332=CARTESIAN_POINT('Ctrl Pts',(1.75538843634251,2.59516612690199,0.27836904584998)); #151333=CARTESIAN_POINT('Ctrl Pts',(1.71442589402705,2.72141461917261,0.278332660237618)); #151334=CARTESIAN_POINT('',(1.71441910023504,2.72143555751261,0.278332654179819)); #151335=CARTESIAN_POINT('Ctrl Pts',(1.71442589402573,2.721414619172,0.278332660238106)); #151336=CARTESIAN_POINT('Ctrl Pts',(1.71442362565418,2.72142159739878,0.278332657412479)); #151337=CARTESIAN_POINT('Ctrl Pts',(1.71442135727341,2.7214285756227,0.278332654586759)); #151338=CARTESIAN_POINT('Ctrl Pts',(1.71441908888341,2.72143555384375,0.278332651760945)); #151339=CARTESIAN_POINT('Origin',(1.72009202760661,2.7232677333595,0.248930936088814)); #151340=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999971,-1.02378234312376)); #151341=CARTESIAN_POINT('',(2.09040033832046,0.793970474603669,0.278572596568413)); #151342=CARTESIAN_POINT('Ctrl Pts',(2.09040033832046,0.793970474603669, 0.278572596568413)); #151343=CARTESIAN_POINT('Ctrl Pts',(2.09040017031315,0.793972856404628, 0.278572596328879)); #151344=CARTESIAN_POINT('Ctrl Pts',(2.09040000230505,0.793975238205708, 0.278572596089313)); #151345=CARTESIAN_POINT('Ctrl Pts',(2.09039983429615,0.793977620006838, 0.278572595849715)); #151346=CARTESIAN_POINT('',(2.74735910131181,0.840884904341816,0.00788468558441935)); #151347=CARTESIAN_POINT('Origin',(1.94047374896424,0.783263987623869,-1.02378234312376)); #151348=CARTESIAN_POINT('',(2.33846636030575,2.92550762634813,0.00788468558442136)); #151349=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999971,0.0078846855844202)); #151350=CARTESIAN_POINT('Origin',(1.56960158004415,2.6740496943799,-1.02378234312376)); #151351=CARTESIAN_POINT('Ctrl Pts',(-5.73562877336568E-15,3.36966579872601, 0.274147596575288)); #151352=CARTESIAN_POINT('Ctrl Pts',(-5.7812270179922E-15,3.26489420444359, 0.20634564693036)); #151353=CARTESIAN_POINT('Ctrl Pts',(-5.82682526261872E-15,3.16012261016117, 0.138543697285432)); #151354=CARTESIAN_POINT('Ctrl Pts',(-5.87242350724524E-15,3.05535101587875, 0.0707417476405036)); #151355=CARTESIAN_POINT('Ctrl Pts',(0.175643310696497,3.36966579872601, 0.274147596575288)); #151356=CARTESIAN_POINT('Ctrl Pts',(0.167390176365451,3.2648870726464,0.20634564693036)); #151357=CARTESIAN_POINT('Ctrl Pts',(0.159115489550426,3.16012974195836, 0.138543697285432)); #151358=CARTESIAN_POINT('Ctrl Pts',(0.15086235521938,3.05535101587875,0.0707417476405036)); #151359=CARTESIAN_POINT('Ctrl Pts',(0.52687390441561,3.34571826943131,0.274147596575288)); #151360=CARTESIAN_POINT('Ctrl Pts',(0.502097936618302,3.24393720756386, 0.20634564693036)); #151361=CARTESIAN_POINT('Ctrl Pts',(0.477329400057043,3.14209173444407, 0.138543697285432)); #151362=CARTESIAN_POINT('Ctrl Pts',(0.452553432259735,3.04031067257662, 0.0707417476405036)); #151363=CARTESIAN_POINT('Ctrl Pts',(1.04739870046065,3.24118731057652,0.274147596575288)); #151364=CARTESIAN_POINT('Ctrl Pts',(1.00064039406144,3.15214543566952,0.20634564693036)); #151365=CARTESIAN_POINT('Ctrl Pts',(0.953876789681321,3.06312687228983, 0.138543697285432)); #151366=CARTESIAN_POINT('Ctrl Pts',(0.907118483282111,2.97408499738283, 0.0707417476405036)); #151367=CARTESIAN_POINT('Ctrl Pts',(1.262375056582,3.16595345150287,0.274147596575288)); #151368=CARTESIAN_POINT('Ctrl Pts',(1.21024598387625,3.0854643388781,0.20634564693036)); #151369=CARTESIAN_POINT('Ctrl Pts',(1.15809276907504,3.00475357686211,0.138543697285432)); #151370=CARTESIAN_POINT('Ctrl Pts',(1.10596369636929,2.92426446423734,0.0707417476405036)); #151371=CARTESIAN_POINT('Ctrl Pts',(1.301179695525,3.15266909498735,0.274147596575288)); #151372=CARTESIAN_POINT('Ctrl Pts',(1.25086181736441,3.0729587250396,0.20634564693036)); #151373=CARTESIAN_POINT('Ctrl Pts',(1.20054393920382,2.99324835509185,0.138543697285432)); #151374=CARTESIAN_POINT('Ctrl Pts',(1.15022606104324,2.9135379851441,0.0707417476405036)); #151375=CARTESIAN_POINT('',(1.30037167172554,3.15138907527397,0.273058806845571)); #151376=CARTESIAN_POINT('',(1.15882937260391,2.92716680202483,0.0823344721062467)); #151377=CARTESIAN_POINT('',(1.30478081091459,3.15837375206793,0.279)); #151378=CARTESIAN_POINT('',(1.2520020230635,3.16778347591515,0.27318799888074)); #151379=CARTESIAN_POINT('Ctrl Pts',(1.2520020230635,3.16778347591515,0.27318799888074)); #151380=CARTESIAN_POINT('Ctrl Pts',(1.26816067079188,3.16243099840777,0.273154561408549)); #151381=CARTESIAN_POINT('Ctrl Pts',(1.28427956033438,3.15697135414531,0.273116972738307)); #151382=CARTESIAN_POINT('Ctrl Pts',(1.30037167172554,3.15138907527397,0.273058806845571)); #151383=CARTESIAN_POINT('',(-2.41134667113825E-15,3.36966579872601,0.274147596575288)); #151384=CARTESIAN_POINT('Ctrl Pts',(-1.9051889129205E-15,3.36966579872601, 0.274147596575288)); #151385=CARTESIAN_POINT('Ctrl Pts',(0.181743099534215,3.36966579872601, 0.274147596575288)); #151386=CARTESIAN_POINT('Ctrl Pts',(0.607136324233733,3.34048867747108, 0.274011811098197)); #151387=CARTESIAN_POINT('Ctrl Pts',(1.02202949079007,3.24396081618522,0.273663886484828)); #151388=CARTESIAN_POINT('Ctrl Pts',(1.2520020230635,3.16778347591515,0.27318799888074)); #151389=CARTESIAN_POINT('',(0.,3.26899586825047,0.209)); #151390=CARTESIAN_POINT('Ctrl Pts',(-2.41866710785195E-15,3.36966579872601, 0.274147596575288)); #151391=CARTESIAN_POINT('Ctrl Pts',(-2.14162687151028E-15,3.33610915523416, 0.252431731050192)); #151392=CARTESIAN_POINT('Ctrl Pts',(8.25620814808418E-18,3.30255251174232, 0.230715865525096)); #151393=CARTESIAN_POINT('Ctrl Pts',(0.,3.26899586825047,0.209)); #151394=CARTESIAN_POINT('',(4.69877854910253E-16,3.05535101587875,0.0707417476405034)); #151395=CARTESIAN_POINT('Ctrl Pts',(1.74838271594513E-16,3.05535101587875, 0.0707417476405032)); #151396=CARTESIAN_POINT('Ctrl Pts',(1.74838271594513E-16,3.09076745919886, 0.0936611650936688)); #151397=CARTESIAN_POINT('Ctrl Pts',(1.74838271594513E-16,3.12618390251897, 0.116580582546834)); #151398=CARTESIAN_POINT('Ctrl Pts',(1.74838271594513E-16,3.19739885330954, 0.162666666666666)); #151399=CARTESIAN_POINT('Ctrl Pts',(0.,3.23319736078001,0.185833333333334)); #151400=CARTESIAN_POINT('Ctrl Pts',(0.,3.26899586825047,0.209)); #151401=CARTESIAN_POINT('',(1.11988442083203,2.93685184092283,0.0824047489609992)); #151402=CARTESIAN_POINT('Ctrl Pts',(1.11988442083203,2.93685184092283,0.0824047489609998)); #151403=CARTESIAN_POINT('Ctrl Pts',(0.752206132876806,3.01558524555839, 0.0745170100266924)); #151404=CARTESIAN_POINT('Ctrl Pts',(0.375965093015346,3.05535101587875, 0.070741747640504)); #151405=CARTESIAN_POINT('Ctrl Pts',(5.58024298902361E-16,3.05535101587875, 0.0707417476405033)); #151406=CARTESIAN_POINT('Ctrl Pts',(1.15882937260391,2.92716680202483,0.082334472106247)); #151407=CARTESIAN_POINT('Ctrl Pts',(1.14591972653273,2.93083459728265,0.0826834444404822)); #151408=CARTESIAN_POINT('Ctrl Pts',(1.13294216835419,2.93405569833578,0.0826848745678226)); #151409=CARTESIAN_POINT('Ctrl Pts',(1.11988442083231,2.93685184092277,0.0824047489610039)); #151410=CARTESIAN_POINT('Ctrl Pts',(1.30037167172554,3.15138907527397,0.273058806845571)); #151411=CARTESIAN_POINT('Ctrl Pts',(1.25275289146696,3.07595444389388,0.208893816999592)); #151412=CARTESIAN_POINT('Ctrl Pts',(1.20513411120838,3.00051981251379,0.144728827153613)); #151413=CARTESIAN_POINT('Ctrl Pts',(1.1575153309498,2.9250851811337,0.0805638373076346)); #151414=CARTESIAN_POINT('Ctrl Pts',(1.40392861313306,3.11600063601243,0.273058806845571)); #151415=CARTESIAN_POINT('Ctrl Pts',(1.36094698780203,3.0428035097226,0.208893816999592)); #151416=CARTESIAN_POINT('Ctrl Pts',(1.31782714631192,2.96934898947493,0.144728827153613)); #151417=CARTESIAN_POINT('Ctrl Pts',(1.27484552098089,2.89615186318509,0.0805638373076346)); #151418=CARTESIAN_POINT('Ctrl Pts',(1.56821872664134,3.0050982543786,0.273058806845571)); #151419=CARTESIAN_POINT('Ctrl Pts',(1.53300258519738,2.92843017053325,0.208893816999592)); #151420=CARTESIAN_POINT('Ctrl Pts',(1.49783492751644,2.85181514907559,0.144728827153613)); #151421=CARTESIAN_POINT('Ctrl Pts',(1.46261878607247,2.77514706523024,0.0805638373076346)); #151422=CARTESIAN_POINT('Ctrl Pts',(1.66438995690543,2.83501844293054,0.273058806845571)); #151423=CARTESIAN_POINT('Ctrl Pts',(1.62684312432961,2.74860160305271,0.208893816999592)); #151424=CARTESIAN_POINT('Ctrl Pts',(1.58924024917653,2.66224966139309,0.144728827153613)); #151425=CARTESIAN_POINT('Ctrl Pts',(1.55169341660072,2.57583282151526,0.0805638373076346)); #151426=CARTESIAN_POINT('Ctrl Pts',(1.68998479885583,2.75705936101138,0.273058806845571)); #151427=CARTESIAN_POINT('Ctrl Pts',(1.64911022828485,2.66696778925237,0.208893816999592)); #151428=CARTESIAN_POINT('Ctrl Pts',(1.60823565771388,2.57687621749336,0.144728827153613)); #151429=CARTESIAN_POINT('Ctrl Pts',(1.5673610871429,2.48678464573435,0.0805638373076346)); #151430=CARTESIAN_POINT('Ctrl Pts',(1.58767462504667,2.53155768021942,0.112452073321462)); #151431=CARTESIAN_POINT('Ctrl Pts',(1.56821390458094,2.58402582913523,0.102574464047605)); #151432=CARTESIAN_POINT('Ctrl Pts',(1.51443816148714,2.68645853944037,0.0904666424603834)); #151433=CARTESIAN_POINT('Ctrl Pts',(1.37526694085125,2.8354027584844,0.0809164490821575)); #151434=CARTESIAN_POINT('Ctrl Pts',(1.24233197283759,2.90401043077133,0.0804949662048235)); #151435=CARTESIAN_POINT('Ctrl Pts',(1.15882937260391,2.92716680202483,0.0823344721062469)); #151436=CARTESIAN_POINT('Ctrl Pts',(1.30037167172554,3.15138907527397,0.273058806845571)); #151437=CARTESIAN_POINT('Ctrl Pts',(1.35216077364102,3.13364554940697,0.273026850600427)); #151438=CARTESIAN_POINT('Ctrl Pts',(1.45223712819978,3.083365862174,0.27283487373889)); #151439=CARTESIAN_POINT('Ctrl Pts',(1.59603245602951,2.95398044753904,0.27216228702208)); #151440=CARTESIAN_POINT('Ctrl Pts',(1.66381086969155,2.83150999801339,0.271323889103928)); #151441=CARTESIAN_POINT('Ctrl Pts',(1.68838754163037,2.7535388490663,0.270551428976608)); #151442=CARTESIAN_POINT('Ctrl Pts',(1.70213920379444,2.75803891372921,0.27824544796502)); #151443=CARTESIAN_POINT('Ctrl Pts',(1.67656177669498,2.8376794221379,0.278280777479445)); #151444=CARTESIAN_POINT('Ctrl Pts',(1.60670282516771,2.96250104258638,0.278279366868971)); #151445=CARTESIAN_POINT('Ctrl Pts',(1.45951467842958,3.09413180492185,0.278370978858114)); #151446=CARTESIAN_POINT('Ctrl Pts',(1.35731486764836,3.1452488761109,0.278448345671897)); #151447=CARTESIAN_POINT('Ctrl Pts',(1.30445475426588,3.16329091712551,0.278473082093751)); #151448=CARTESIAN_POINT('Ctrl Pts',(1.69699817743131,2.75635780591603,0.277080810536651)); #151449=CARTESIAN_POINT('Ctrl Pts',(1.67186009802834,2.83539508237238,0.277247630376349)); #151450=CARTESIAN_POINT('Ctrl Pts',(1.60282200897956,2.95939412476791,0.277390884914064)); #151451=CARTESIAN_POINT('Ctrl Pts',(1.45689070795384,3.09024408005158,0.27756600955807)); #151452=CARTESIAN_POINT('Ctrl Pts',(1.35545783528533,3.14106641833418,0.277649210863833)); #151453=CARTESIAN_POINT('Ctrl Pts',(1.30298371359231,3.15900059459487,0.277666431377543)); #151454=CARTESIAN_POINT('Ctrl Pts',(1.69217921307798,2.75478085827584,0.274384612593692)); #151455=CARTESIAN_POINT('Ctrl Pts',(1.66741351230505,2.83324015259418,0.274821884738496)); #151456=CARTESIAN_POINT('Ctrl Pts',(1.59912089066451,2.95643530705921,0.275268306710127)); #151457=CARTESIAN_POINT('Ctrl Pts',(1.45437600558061,3.08652200456748,0.275652531142545)); #151458=CARTESIAN_POINT('Ctrl Pts',(1.35367834607731,3.13706004034536,0.275777298935487)); #151459=CARTESIAN_POINT('Ctrl Pts',(1.30157437651275,3.15489249565961,0.275797613201356)); #151460=CARTESIAN_POINT('Ctrl Pts',(1.68838754163037,2.7535388490663,0.270551428976608)); #151461=CARTESIAN_POINT('Ctrl Pts',(1.66381086969155,2.83150999801339,0.271323889103928)); #151462=CARTESIAN_POINT('Ctrl Pts',(1.59603245602951,2.95398044753904,0.27216228702208)); #151463=CARTESIAN_POINT('Ctrl Pts',(1.45223712819978,3.083365862174,0.27283487373889)); #151464=CARTESIAN_POINT('Ctrl Pts',(1.35216077364102,3.13364554940697,0.273026850600427)); #151465=CARTESIAN_POINT('Ctrl Pts',(1.30037167172554,3.15138907527397,0.273058806845571)); #151466=CARTESIAN_POINT('',(1.70213920379444,2.75803891372921,0.27824544796502)); #151467=CARTESIAN_POINT('Origin',(1.70814780084156,2.75998165109468,0.248917602270968)); #151468=CARTESIAN_POINT('',(1.30427680046207,3.16277192182752,0.278370227408991)); #151469=CARTESIAN_POINT('Ctrl Pts',(1.70213920379444,2.75803891372921,0.27824544796502)); #151470=CARTESIAN_POINT('Ctrl Pts',(1.68017612259975,2.82156624399313,0.277953902971594)); #151471=CARTESIAN_POINT('Ctrl Pts',(1.64901131492582,2.88111829030253,0.277734924578513)); #151472=CARTESIAN_POINT('Ctrl Pts',(1.56606605710906,2.9935938897112,0.27753338517559)); #151473=CARTESIAN_POINT('Ctrl Pts',(1.51359102418139,3.04441384606957,0.277572581149453)); #151474=CARTESIAN_POINT('Ctrl Pts',(1.40737669250624,3.11764010997226,0.277887669550979)); #151475=CARTESIAN_POINT('Ctrl Pts',(1.35731401527297,3.14338619607481,0.278107225632654)); #151476=CARTESIAN_POINT('Ctrl Pts',(1.30427680046207,3.16277192182752,0.278370227408991)); #151477=CARTESIAN_POINT('Origin',(1.30613924704317,3.16826669044136,0.248936593406156)); #151478=CARTESIAN_POINT('Ctrl Pts',(1.73257637663862,2.79631140329137,0.204478642168609)); #151479=CARTESIAN_POINT('Ctrl Pts',(1.70506820859206,2.8537046490705,0.20475578702326)); #151480=CARTESIAN_POINT('Ctrl Pts',(1.64417215718801,2.94883500975032,0.205182889216639)); #151481=CARTESIAN_POINT('Ctrl Pts',(1.56596096916716,3.0275528999404,0.205498137010948)); #151482=CARTESIAN_POINT('Ctrl Pts',(1.52930574722366,3.05828836937818,0.205615732377149)); #151483=CARTESIAN_POINT('Ctrl Pts',(1.72953808903538,2.7948551331799,0.204805768839207)); #151484=CARTESIAN_POINT('Ctrl Pts',(1.70223494642469,2.85204304192875,0.205060418956513)); #151485=CARTESIAN_POINT('Ctrl Pts',(1.64170940068409,2.94686344840504,0.205461844600059)); #151486=CARTESIAN_POINT('Ctrl Pts',(1.56387444469895,3.02534969809836,0.205757742210503)); #151487=CARTESIAN_POINT('Ctrl Pts',(1.52738395922696,3.05599674835908,0.205866880491359)); #151488=CARTESIAN_POINT('Ctrl Pts',(1.72638623008837,2.79335332993708,0.203284274725987)); #151489=CARTESIAN_POINT('Ctrl Pts',(1.69929894324416,2.85032931815907,0.203631789879192)); #151490=CARTESIAN_POINT('Ctrl Pts',(1.63916428913091,2.94483184056088,0.204163122357641)); #151491=CARTESIAN_POINT('Ctrl Pts',(1.56172206509613,3.02308230528809,0.204545054573116)); #151492=CARTESIAN_POINT('Ctrl Pts',(1.52540256330271,3.05363950749054,0.204685178994018)); #151493=CARTESIAN_POINT('Ctrl Pts',(1.72452847969242,2.7924767273319,0.200593687913576)); #151494=CARTESIAN_POINT('Ctrl Pts',(1.69750036754854,2.84929603424319,0.20107366561299)); #151495=CARTESIAN_POINT('Ctrl Pts',(1.63752716049659,2.9435422684436,0.201794037256558)); #151496=CARTESIAN_POINT('Ctrl Pts',(1.56029863173835,3.02158964609361,0.202308278902025)); #151497=CARTESIAN_POINT('Ctrl Pts',(1.52407769643443,3.0520686255943,0.202497730886271)); #151498=CARTESIAN_POINT('Ctrl Pts',(1.52930574722366,3.05828836937818,0.205615732377149)); #151499=CARTESIAN_POINT('Ctrl Pts',(1.56596096916716,3.0275528999404,0.205498137010948)); #151500=CARTESIAN_POINT('Ctrl Pts',(1.64417215718801,2.94883500975032,0.205182889216639)); #151501=CARTESIAN_POINT('Ctrl Pts',(1.70506820859206,2.8537046490705,0.20475578702326)); #151502=CARTESIAN_POINT('Ctrl Pts',(1.73257637663862,2.79631140329137,0.204478642168609)); #151503=CARTESIAN_POINT('Ctrl Pts',(5.18433195945862E-16,3.36966579872601, 0.274147596575288)); #151504=CARTESIAN_POINT('Ctrl Pts',(4.33455592457278E-16,3.26489420444359, 0.20634564693036)); #151505=CARTESIAN_POINT('Ctrl Pts',(3.48477988968694E-16,3.16012261016117, 0.138543697285431)); #151506=CARTESIAN_POINT('Ctrl Pts',(2.6350038548011E-16,3.05535101587875, 0.070741747640503)); #151507=CARTESIAN_POINT('Ctrl Pts',(-0.175643310696502,3.36966579872601, 0.274147596575288)); #151508=CARTESIAN_POINT('Ctrl Pts',(-0.167390176365456,3.2648870726464, 0.20634564693036)); #151509=CARTESIAN_POINT('Ctrl Pts',(-0.159115489550432,3.16012974195836, 0.138543697285432)); #151510=CARTESIAN_POINT('Ctrl Pts',(-0.150862355219385,3.05535101587875, 0.070741747640503)); #151511=CARTESIAN_POINT('Ctrl Pts',(-0.526873904415616,3.34571826943131, 0.274147596575288)); #151512=CARTESIAN_POINT('Ctrl Pts',(-0.502097936618308,3.24393720756386, 0.20634564693036)); #151513=CARTESIAN_POINT('Ctrl Pts',(-0.477329400057049,3.14209173444407, 0.138543697285431)); #151514=CARTESIAN_POINT('Ctrl Pts',(-0.452553432259741,3.04031067257662, 0.070741747640503)); #151515=CARTESIAN_POINT('Ctrl Pts',(-1.04739870046066,3.24118731057652, 0.274147596575288)); #151516=CARTESIAN_POINT('Ctrl Pts',(-1.00064039406145,3.15214543566952, 0.20634564693036)); #151517=CARTESIAN_POINT('Ctrl Pts',(-0.953876789681327,3.06312687228983, 0.138543697285431)); #151518=CARTESIAN_POINT('Ctrl Pts',(-0.907118483282117,2.97408499738283, 0.070741747640503)); #151519=CARTESIAN_POINT('Ctrl Pts',(-1.262375056582,3.16595345150288,0.274147596575288)); #151520=CARTESIAN_POINT('Ctrl Pts',(-1.21024598387625,3.0854643388781,0.20634564693036)); #151521=CARTESIAN_POINT('Ctrl Pts',(-1.15809276907504,3.00475357686211, 0.138543697285431)); #151522=CARTESIAN_POINT('Ctrl Pts',(-1.1059636963693,2.92426446423734,0.070741747640503)); #151523=CARTESIAN_POINT('Ctrl Pts',(-1.301179695525,3.15266909498735,0.274147596575288)); #151524=CARTESIAN_POINT('Ctrl Pts',(-1.25086181736442,3.0729587250396,0.20634564693036)); #151525=CARTESIAN_POINT('Ctrl Pts',(-1.20054393920383,2.99324835509185, 0.138543697285431)); #151526=CARTESIAN_POINT('Ctrl Pts',(-1.15022606104324,2.91353798514411, 0.070741747640503)); #151527=CARTESIAN_POINT('',(-1.30037167172555,3.15138907527397,0.273058806845571)); #151528=CARTESIAN_POINT('',(-1.15882937260392,2.92716680202483,0.0823344721062444)); #151529=CARTESIAN_POINT('',(-1.30478081091459,3.15837375206794,0.279)); #151530=CARTESIAN_POINT('',(-1.11988442083202,2.93685184092283,0.0824047489609956)); #151531=CARTESIAN_POINT('Ctrl Pts',(-1.11988442083202,2.93685184092283, 0.0824047489609962)); #151532=CARTESIAN_POINT('Ctrl Pts',(-1.13294216835401,2.93405569833582, 0.082684874567821)); #151533=CARTESIAN_POINT('Ctrl Pts',(-1.14591972653264,2.93083459728268, 0.0826834444404814)); #151534=CARTESIAN_POINT('Ctrl Pts',(-1.15882937260392,2.92716680202483, 0.0823344721062435)); #151535=CARTESIAN_POINT('Ctrl Pts',(8.08406084141452E-16,3.05535101587875, 0.0707417476405036)); #151536=CARTESIAN_POINT('Ctrl Pts',(-0.375964879380199,3.05535101587875, 0.0707417476405035)); #151537=CARTESIAN_POINT('Ctrl Pts',(-0.752206341414762,3.01558520087344, 0.0745170144982889)); #151538=CARTESIAN_POINT('Ctrl Pts',(-1.11988442044608,2.93685184100547, 0.0824047489527169)); #151539=CARTESIAN_POINT('',(-1.2520020230635,3.16778347591515,0.27318799888074)); #151540=CARTESIAN_POINT('Ctrl Pts',(-1.2520020230635,3.16778347591515,0.27318799888074)); #151541=CARTESIAN_POINT('Ctrl Pts',(-1.02202949269148,3.24396081555538, 0.273663886480894)); #151542=CARTESIAN_POINT('Ctrl Pts',(-0.607136335495834,3.34048867599494, 0.274011811092541)); #151543=CARTESIAN_POINT('Ctrl Pts',(-0.181743098031574,3.36966579872601, 0.274147596575288)); #151544=CARTESIAN_POINT('Ctrl Pts',(-2.42588101837387E-15,3.36966579872601, 0.274147596575288)); #151545=CARTESIAN_POINT('Ctrl Pts',(-1.30037167172555,3.15138907527397, 0.273058806845571)); #151546=CARTESIAN_POINT('Ctrl Pts',(-1.28427956033438,3.15697135414531, 0.273116972738307)); #151547=CARTESIAN_POINT('Ctrl Pts',(-1.26816067079188,3.16243099840778, 0.273154561408549)); #151548=CARTESIAN_POINT('Ctrl Pts',(-1.2520020230635,3.16778347591515,0.27318799888074)); #151549=CARTESIAN_POINT('Ctrl Pts',(-1.68838754163038,2.7535388490663,0.270551428976608)); #151550=CARTESIAN_POINT('Ctrl Pts',(-1.67200298713607,2.8055199530208,0.271066405743286)); #151551=CARTESIAN_POINT('Ctrl Pts',(-1.62448289146257,2.90653169184101, 0.271858975068466)); #151552=CARTESIAN_POINT('Ctrl Pts',(-1.49901284890669,3.05359503426948, 0.272704384824768)); #151553=CARTESIAN_POINT('Ctrl Pts',(-1.37805582971407,3.12477361341532, 0.273010872166175)); #151554=CARTESIAN_POINT('Ctrl Pts',(-1.30037167172555,3.15138907527397, 0.273058806845571)); #151555=CARTESIAN_POINT('Ctrl Pts',(-1.69217921307798,2.75478085827585, 0.274384612593693)); #151556=CARTESIAN_POINT('Ctrl Pts',(-1.67566863854154,2.80708739459386, 0.274676129252385)); #151557=CARTESIAN_POINT('Ctrl Pts',(-1.62778430064529,2.90871036624197, 0.275108145065391)); #151558=CARTESIAN_POINT('Ctrl Pts',(-1.50144712144997,3.05659834230812, 0.275569462438196)); #151559=CARTESIAN_POINT('Ctrl Pts',(-1.3797308390459,3.12814363876273,0.275767141604422)); #151560=CARTESIAN_POINT('Ctrl Pts',(-1.30157437651275,3.15489249565961, 0.275797613201356)); #151561=CARTESIAN_POINT('Ctrl Pts',(-1.69699817743132,2.75635780591603, 0.277080810536652)); #151562=CARTESIAN_POINT('Ctrl Pts',(-1.68023934886065,2.80904966616482, 0.277192024486249)); #151563=CARTESIAN_POINT('Ctrl Pts',(-1.63178451807434,2.911365290602,0.277340541808828)); #151564=CARTESIAN_POINT('Ctrl Pts',(-1.5043133554012,3.06015487977755,0.277514944785626)); #151565=CARTESIAN_POINT('Ctrl Pts',(-1.38169540792836,3.13209915528621, 0.277640600439022)); #151566=CARTESIAN_POINT('Ctrl Pts',(-1.30298371359232,3.15900059459487, 0.277666431377544)); #151567=CARTESIAN_POINT('Ctrl Pts',(-1.70213920379445,2.75803891372921, 0.27824544796502)); #151568=CARTESIAN_POINT('Ctrl Pts',(-1.68508747485496,2.81113293122779, 0.278269001127784)); #151569=CARTESIAN_POINT('Ctrl Pts',(-1.6359920057828,2.91416192460363,0.278280868609954)); #151570=CARTESIAN_POINT('Ctrl Pts',(-1.50730434411101,3.06387261807215, 0.278329483765902)); #151571=CARTESIAN_POINT('Ctrl Pts',(-1.38374543990082,3.13622767963394, 0.278435977219708)); #151572=CARTESIAN_POINT('Ctrl Pts',(-1.30445475426588,3.16329091712551, 0.278473082093751)); #151573=CARTESIAN_POINT('',(-1.30427680046207,3.16277192182753,0.278370227408991)); #151574=CARTESIAN_POINT('',(-1.70213920379444,2.75803891372921,0.27824544796502)); #151575=CARTESIAN_POINT('Ctrl Pts',(-1.30427680046207,3.16277192182753, 0.278370227408991)); #151576=CARTESIAN_POINT('Ctrl Pts',(-1.35096165028049,3.14570806018598, 0.278138725840252)); #151577=CARTESIAN_POINT('Ctrl Pts',(-1.39537474234493,3.12370414512993, 0.277940003100459)); #151578=CARTESIAN_POINT('Ctrl Pts',(-1.49261356173043,3.06095415979655, 0.277619109417453)); #151579=CARTESIAN_POINT('Ctrl Pts',(-1.54235644827966,3.01700037613538, 0.277546348875603)); #151580=CARTESIAN_POINT('Ctrl Pts',(-1.63626854721313,2.90456432557758, 0.277654114121303)); #151581=CARTESIAN_POINT('Ctrl Pts',(-1.67579787978806,2.83423013548489, 0.277895784770398)); #151582=CARTESIAN_POINT('Ctrl Pts',(-1.70213920379444,2.75803891372921, 0.27824544796502)); #151583=CARTESIAN_POINT('',(-1.68838754163037,2.7535388490663,0.270551428976608)); #151584=CARTESIAN_POINT('Origin',(-1.70814780084157,2.75998165109468,0.248917602270968)); #151585=CARTESIAN_POINT('Ctrl Pts',(-1.68838754163038,2.7535388490663,0.270551428976608)); #151586=CARTESIAN_POINT('Ctrl Pts',(-1.67200298713607,2.8055199530208,0.271066405743286)); #151587=CARTESIAN_POINT('Ctrl Pts',(-1.62448289146257,2.90653169184101, 0.271858975068466)); #151588=CARTESIAN_POINT('Ctrl Pts',(-1.49901284890669,3.05359503426948, 0.272704384824768)); #151589=CARTESIAN_POINT('Ctrl Pts',(-1.37805582971407,3.12477361341532, 0.273010872166175)); #151590=CARTESIAN_POINT('Ctrl Pts',(-1.30037167172555,3.15138907527397, 0.273058806845571)); #151591=CARTESIAN_POINT('Origin',(-1.30613924704318,3.16826669044137,0.248936593406156)); #151592=CARTESIAN_POINT('Ctrl Pts',(-1.4622057628558,3.80432609701017,0.00788468558441845)); #151593=CARTESIAN_POINT('Ctrl Pts',(-2.12235651633325,3.58629110769488, 0.00788468558441845)); #151594=CARTESIAN_POINT('Ctrl Pts',(-2.33846636030577,2.92550762634814, 0.00788468558441845)); #151595=CARTESIAN_POINT('Ctrl Pts',(-1.37099980615569,3.52817922156468, 0.235918596529155)); #151596=CARTESIAN_POINT('Ctrl Pts',(-1.89162173334733,3.3562278972125,0.235918596529155)); #151597=CARTESIAN_POINT('Ctrl Pts',(-2.06205480921885,2.83510697490351, 0.235918596529155)); #151598=CARTESIAN_POINT('Ctrl Pts',(-1.25587059495888,3.17959922641107, 0.278490178653244)); #151599=CARTESIAN_POINT('Ctrl Pts',(-1.60036538867479,3.06581927801087, 0.278490178653244)); #151600=CARTESIAN_POINT('Ctrl Pts',(-1.71314071420024,2.72099429993075, 0.278490178653244)); #151601=CARTESIAN_POINT('',(-2.33846636030576,2.92550762634814,0.00788468558441842)); #151602=CARTESIAN_POINT('',(-1.71442589402709,2.72141461917253,0.278332660237618)); #151603=CARTESIAN_POINT('Origin',(-1.56960158004419,2.67404969437979,-1.02378234312376)); #151604=CARTESIAN_POINT('',(-1.71441910023505,2.72143555751261,0.278332654179819)); #151605=CARTESIAN_POINT('Ctrl Pts',(-1.71441910023505,2.72143555751261, 0.278332654179819)); #151606=CARTESIAN_POINT('Ctrl Pts',(-1.71442136862501,2.7214285792916,0.278332657005624)); #151607=CARTESIAN_POINT('Ctrl Pts',(-1.71442363700581,2.72142160106744, 0.278332659831334)); #151608=CARTESIAN_POINT('Ctrl Pts',(-1.71442590537747,2.72141462284013, 0.27833266265695)); #151609=CARTESIAN_POINT('Ctrl Pts',(-1.70213921868134,2.7580389185702,0.278245413773244)); #151610=CARTESIAN_POINT('Ctrl Pts',(-1.70426655434341,2.75188566495564, 0.278273669103555)); #151611=CARTESIAN_POINT('Ctrl Pts',(-1.70631463348579,2.74588270502102, 0.278294372965386)); #151612=CARTESIAN_POINT('Ctrl Pts',(-1.70836912240988,2.73976222117824, 0.278308776092536)); #151613=CARTESIAN_POINT('Ctrl Pts',(-1.71042003093809,2.73365240361592, 0.27832315411909)); #151614=CARTESIAN_POINT('Ctrl Pts',(-1.71243099584313,2.72756272684624, 0.2783308747303)); #151615=CARTESIAN_POINT('Ctrl Pts',(-1.71441910023711,2.72143555751327, 0.278332654174987)); #151616=CARTESIAN_POINT('',(-1.25588005293099,3.17959611464063,0.278490177322267)); #151617=CARTESIAN_POINT('Ctrl Pts',(-1.25588005293113,3.17959611464107, 0.278490177321037)); #151618=CARTESIAN_POINT('Ctrl Pts',(-1.26402130322099,3.17691752792837, 0.278489028210845)); #151619=CARTESIAN_POINT('Ctrl Pts',(-1.27210645553135,3.17417959911895, 0.278478880134989)); #151620=CARTESIAN_POINT('Ctrl Pts',(-1.28020082201796,3.17136352203475, 0.278459013025583)); #151621=CARTESIAN_POINT('Ctrl Pts',(-1.2882593230245,3.16855992275926,0.278439233945725)); #151622=CARTESIAN_POINT('Ctrl Pts',(-1.29623138984169,3.16571262729451, 0.278410090927638)); #151623=CARTESIAN_POINT('Ctrl Pts',(-1.30427680957936,3.16277194858176, 0.278370153258952)); #151624=CARTESIAN_POINT('',(-1.25587059495888,3.17959922641107,0.278490178653244)); #151625=CARTESIAN_POINT('Ctrl Pts',(-1.25587059495913,3.1795992264109,0.278490178653258)); #151626=CARTESIAN_POINT('Ctrl Pts',(-1.2558737473607,3.17959818837511,0.278490178063148)); #151627=CARTESIAN_POINT('Ctrl Pts',(-1.25587689976095,3.17959715033543, 0.278490177473034)); #151628=CARTESIAN_POINT('Ctrl Pts',(-1.2558800521599,3.17959611229185,0.278490176882915)); #151629=CARTESIAN_POINT('',(-1.4622057628558,3.80432609701017,0.00788468558441895)); #151630=CARTESIAN_POINT('Origin',(-1.20850779842187,3.03619753699007,-1.02378234312376)); #151631=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,0.0078846855844188)); #151632=CARTESIAN_POINT('Ctrl Pts',(-1.69084488865074,2.75895508347145, 0.274408977677605)); #151633=CARTESIAN_POINT('Ctrl Pts',(-1.64655280885505,2.66133098541908, 0.204879174412445)); #151634=CARTESIAN_POINT('Ctrl Pts',(-1.60226072905936,2.56370688736672, 0.135349371147284)); #151635=CARTESIAN_POINT('Ctrl Pts',(-1.55796864926366,2.46608278931435, 0.0658195678821228)); #151636=CARTESIAN_POINT('Ctrl Pts',(-1.70367712450269,2.72001443228421, 0.274408977677605)); #151637=CARTESIAN_POINT('Ctrl Pts',(-1.65761287069022,2.6203837266629,0.204879174412445)); #151638=CARTESIAN_POINT('Ctrl Pts',(-1.61148654947728,2.52076471909629, 0.135349371147284)); #151639=CARTESIAN_POINT('Ctrl Pts',(-1.56542229566481,2.42113401347497, 0.0658195678821228)); #151640=CARTESIAN_POINT('Ctrl Pts',(-1.7501569758098,2.57972699439294,0.274408977677606)); #151641=CARTESIAN_POINT('Ctrl Pts',(-1.69516444632692,2.49160112252181, 0.204879174412445)); #151642=CARTESIAN_POINT('Ctrl Pts',(-1.64014889409593,2.40346666634102, 0.135349371147284)); #151643=CARTESIAN_POINT('Ctrl Pts',(-1.58515636461306,2.3153407944699,0.0658195678821228)); #151644=CARTESIAN_POINT('Ctrl Pts',(-1.83856567086097,2.26559755615992, 0.274408977677606)); #151645=CARTESIAN_POINT('Ctrl Pts',(-1.76581876566371,2.21255325814411, 0.204879174412445)); #151646=CARTESIAN_POINT('Ctrl Pts',(-1.69303633131057,2.15950560476376, 0.135349371147284)); #151647=CARTESIAN_POINT('Ctrl Pts',(-1.62028942611332,2.10646130674796, 0.0658195678821228)); #151648=CARTESIAN_POINT('Ctrl Pts',(-1.9154520871843,1.95444237931165,0.274408977677606)); #151649=CARTESIAN_POINT('Ctrl Pts',(-1.82702810246255,1.93954441560176, 0.204879174412445)); #151650=CARTESIAN_POINT('Ctrl Pts',(-1.73861514103329,1.92465169108329, 0.135349371147284)); #151651=CARTESIAN_POINT('Ctrl Pts',(-1.65019115631154,1.90975372737339, 0.0658195678821228)); #151652=CARTESIAN_POINT('Ctrl Pts',(-1.9928979529206,1.55390324535524,0.274408977677606)); #151653=CARTESIAN_POINT('Ctrl Pts',(-1.8887407848045,1.59129685687426,0.204879174412445)); #151654=CARTESIAN_POINT('Ctrl Pts',(-1.78453076924311,1.62867721022502, 0.135349371147284)); #151655=CARTESIAN_POINT('Ctrl Pts',(-1.68037360112701,1.66607082174404, 0.0658195678821229)); #151656=CARTESIAN_POINT('Ctrl Pts',(-2.06265034861872,1.05610860867539, 0.274408977677605)); #151657=CARTESIAN_POINT('Ctrl Pts',(-1.94455772790158,1.16073860311185, 0.204879174412444)); #151658=CARTESIAN_POINT('Ctrl Pts',(-1.82649033853542,1.26538193601374, 0.135349371147284)); #151659=CARTESIAN_POINT('Ctrl Pts',(-1.70839771781828,1.3700119304502,0.0658195678821228)); #151660=CARTESIAN_POINT('Ctrl Pts',(-2.07844032165111,0.807411743521662, 0.274408977677605)); #151661=CARTESIAN_POINT('Ctrl Pts',(-1.9576175139148,0.946621310025739, 0.204879174412445)); #151662=CARTESIAN_POINT('Ctrl Pts',(-1.83666406696115,1.08576195690429, 0.135349371147284)); #151663=CARTESIAN_POINT('Ctrl Pts',(-1.71584125922484,1.22497152340837, 0.0658195678821228)); #151664=CARTESIAN_POINT('Ctrl Pts',(-2.08090471926798,0.770707694010824, 0.274408977677605)); #151665=CARTESIAN_POINT('Ctrl Pts',(-1.95962283665261,0.914429518640464, 0.204879174412445)); #151666=CARTESIAN_POINT('Ctrl Pts',(-1.83834095403725,1.0581513432701,0.135349371147284)); #151667=CARTESIAN_POINT('Ctrl Pts',(-1.71705907142188,1.20187316789974, 0.0658195678821228)); #151668=CARTESIAN_POINT('',(-2.07931655173263,0.793201803813316,0.274380293619939)); #151669=CARTESIAN_POINT('',(-1.70053795156986,2.71694896598979,0.270787543540025)); #151670=CARTESIAN_POINT('Ctrl Pts',(-2.07931655173263,0.793201803813315, 0.274380293619939)); #151671=CARTESIAN_POINT('Ctrl Pts',(-2.05234659463552,1.16658025137087, 0.273853112310539)); #151672=CARTESIAN_POINT('Ctrl Pts',(-1.97930177665862,1.67772708813673, 0.273115932101314)); #151673=CARTESIAN_POINT('Ctrl Pts',(-1.82474059087199,2.3144851673849,0.271886998096124)); #151674=CARTESIAN_POINT('Ctrl Pts',(-1.74434393287807,2.58358509395975, 0.271423058750428)); #151675=CARTESIAN_POINT('Ctrl Pts',(-1.70053795156987,2.71694896598978, 0.270787543540025)); #151676=CARTESIAN_POINT('',(-2.08090471926798,0.77070769401083,0.274408977677603)); #151677=CARTESIAN_POINT('Ctrl Pts',(-2.08090471926798,0.770707694010832, 0.274408977677603)); #151678=CARTESIAN_POINT('Ctrl Pts',(-2.08038744518599,0.778206451113188, 0.274400388848977)); #151679=CARTESIAN_POINT('Ctrl Pts',(-2.07985809096029,0.785704607010586, 0.274390879078348)); #151680=CARTESIAN_POINT('Ctrl Pts',(-2.07931655173263,0.793201803813316, 0.274380293619939)); #151681=CARTESIAN_POINT('',(-1.71705907142188,1.20187316789974,0.0658195678821215)); #151682=CARTESIAN_POINT('',(-2.08891290862336,0.761217805155918,0.279)); #151683=CARTESIAN_POINT('',(-1.62475025779121,2.38509103877851,0.117977613093323)); #151684=CARTESIAN_POINT('Ctrl Pts',(-1.62475025779121,2.38509103877851, 0.117977613093324)); #151685=CARTESIAN_POINT('Ctrl Pts',(-1.65140246954546,2.16135445416758, 0.0970942769482009)); #151686=CARTESIAN_POINT('Ctrl Pts',(-1.68140083978236,1.85201067693534, 0.079797877360485)); #151687=CARTESIAN_POINT('Ctrl Pts',(-1.70656585809673,1.45653010711911, 0.0694589685729046)); #151688=CARTESIAN_POINT('Ctrl Pts',(-1.71400705425859,1.28667781687619, 0.0666873597370868)); #151689=CARTESIAN_POINT('Ctrl Pts',(-1.71705907142188,1.20187316789974, 0.0658195678821212)); #151690=CARTESIAN_POINT('',(-1.58767462504667,2.53155768021942,0.112452073321458)); #151691=CARTESIAN_POINT('Ctrl Pts',(-1.58767462504667,2.53155768021942, 0.112452073321458)); #151692=CARTESIAN_POINT('Ctrl Pts',(-1.5959245115039,2.51128933906346,0.117067398333096)); #151693=CARTESIAN_POINT('Ctrl Pts',(-1.61178790968224,2.46328337057221, 0.122200485254597)); #151694=CARTESIAN_POINT('Ctrl Pts',(-1.62136305600198,2.41352548792219, 0.120631654499127)); #151695=CARTESIAN_POINT('Ctrl Pts',(-1.62475025779127,2.38509103877796, 0.117977613093269)); #151696=CARTESIAN_POINT('',(-1.6937694751949,2.76540115971954,0.279)); #151697=CARTESIAN_POINT('Ctrl Pts',(-1.70053795156986,2.71694896598979, 0.270787543540025)); #151698=CARTESIAN_POINT('Ctrl Pts',(-1.69653003957018,2.72915073951641, 0.270729398762493)); #151699=CARTESIAN_POINT('Ctrl Pts',(-1.69249361593239,2.74133720111149, 0.270668111539977)); #151700=CARTESIAN_POINT('Ctrl Pts',(-1.68838754163037,2.7535388490663,0.270551428976608)); #151701=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-1.02378234312376)); #151702=CARTESIAN_POINT('',(-2.09039983429616,0.793977620006841,0.278572595849715)); #151703=CARTESIAN_POINT('Ctrl Pts',(-1.71442589402709,2.72141461917253, 0.278332660237618)); #151704=CARTESIAN_POINT('Ctrl Pts',(-1.78315839592942,2.50957779839293, 0.278393712946496)); #151705=CARTESIAN_POINT('Ctrl Pts',(-1.879372490647,2.18925978310601,0.278421486956322)); #151706=CARTESIAN_POINT('Ctrl Pts',(-2.02426052859067,1.44664201121435, 0.27850543783983)); #151707=CARTESIAN_POINT('Ctrl Pts',(-2.06732238541248,1.12113824079793, 0.278539684974367)); #151708=CARTESIAN_POINT('Ctrl Pts',(-2.09039983429616,0.793977620006841, 0.278572595849715)); #151709=CARTESIAN_POINT('',(-2.74735910131181,0.840884904341818,0.00788468558442089)); #151710=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,0.00788468558441968)); #151711=CARTESIAN_POINT('',(-2.09040033832047,0.793970474603577,0.278572596568413)); #151712=CARTESIAN_POINT('Origin',(-1.94047374896425,0.783263987623756,-1.02378234312376)); #151713=CARTESIAN_POINT('Ctrl Pts',(-2.09039983429616,0.793977620006841, 0.278572595849715)); #151714=CARTESIAN_POINT('Ctrl Pts',(-2.09040000230506,0.793975238205675, 0.278572596089313)); #151715=CARTESIAN_POINT('Ctrl Pts',(-2.09040017031316,0.793972856404588, 0.278572596328879)); #151716=CARTESIAN_POINT('Ctrl Pts',(-2.09040033832047,0.793970474603577, 0.278572596568413)); #151717=CARTESIAN_POINT('Ctrl Pts',(-2.07931655173263,0.793201803813315, 0.274380293619939)); #151718=CARTESIAN_POINT('Ctrl Pts',(-2.05234659463552,1.16658025137087, 0.273853112310539)); #151719=CARTESIAN_POINT('Ctrl Pts',(-1.97930177665862,1.67772708813673, 0.273115932101314)); #151720=CARTESIAN_POINT('Ctrl Pts',(-1.82474059087199,2.3144851673849,0.271886998096124)); #151721=CARTESIAN_POINT('Ctrl Pts',(-1.74434393287807,2.58358509395975, 0.271423058750428)); #151722=CARTESIAN_POINT('Ctrl Pts',(-1.70053795156987,2.71694896598978, 0.270787543540025)); #151723=CARTESIAN_POINT('Ctrl Pts',(-2.08279402073439,0.793445232891806, 0.276566047985399)); #151724=CARTESIAN_POINT('Ctrl Pts',(-2.05594472458537,1.16699510814551, 0.276281824864261)); #151725=CARTESIAN_POINT('Ctrl Pts',(-1.98302222069882,1.67840233199426, 0.275879753344132)); #151726=CARTESIAN_POINT('Ctrl Pts',(-1.82854574200567,2.31550240929894, 0.275201072704487)); #151727=CARTESIAN_POINT('Ctrl Pts',(-1.74816108054085,2.58475918767494, 0.274942368914409)); #151728=CARTESIAN_POINT('Ctrl Pts',(-1.70438224565564,2.71819157194395, 0.274586090821562)); #151729=CARTESIAN_POINT('Ctrl Pts',(-2.08672463736795,0.793720370885787, 0.278023656609304)); #151730=CARTESIAN_POINT('Ctrl Pts',(-2.06007220773378,1.16746830240302, 0.277910101827162)); #151731=CARTESIAN_POINT('Ctrl Pts',(-1.98738073459241,1.67918543228101, 0.277747863510136)); #151732=CARTESIAN_POINT('Ctrl Pts',(-1.83318769965747,2.31674133734958, 0.277477019169861)); #151733=CARTESIAN_POINT('Ctrl Pts',(-1.75288521975243,2.58621050990464, 0.27737253354595)); #151734=CARTESIAN_POINT('Ctrl Pts',(-1.70924766847576,2.71976414819871, 0.277230695700647)); #151735=CARTESIAN_POINT('Ctrl Pts',(-2.09078392221251,0.794004504662624, 0.278632791297344)); #151736=CARTESIAN_POINT('Ctrl Pts',(-2.06435109375369,1.16795814457332, 0.278589712594787)); #151737=CARTESIAN_POINT('Ctrl Pts',(-1.99192291519018,1.67999929642958, 0.278529067078054)); #151738=CARTESIAN_POINT('Ctrl Pts',(-1.83807621863932,2.31804551271522, 0.278425964556657)); #151739=CARTESIAN_POINT('Ctrl Pts',(-1.75787787876513,2.58774383486672, 0.278386792141827)); #151740=CARTESIAN_POINT('Ctrl Pts',(-1.71441910023505,2.72143555751261, 0.278332654179819)); #151741=CARTESIAN_POINT('Origin',(-1.72009202760662,2.7232677333595,0.248930936088814)); #151742=CARTESIAN_POINT('Origin',(-2.09521442296403,0.794314255748588,0.248963367350197)); #151743=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #151744=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,1.705,0.199174524064373)); #151745=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #151746=CARTESIAN_POINT('Ctrl Pts',(1.43756944960619,-0.232259645165089, 0.199174524064373)); #151747=CARTESIAN_POINT('Ctrl Pts',(1.51406902664752,-0.175492110086927, 0.199174524064373)); #151748=CARTESIAN_POINT('Ctrl Pts',(1.58762754152369,-0.113854705980869, 0.199069073333763)); #151749=CARTESIAN_POINT('Ctrl Pts',(1.65740125532714,-0.0478751150421039, 0.19880921365768)); #151750=CARTESIAN_POINT('Origin',(1.80114719033798,0.57739466179979,0.)); #151751=CARTESIAN_POINT('',(1.93012893493783,0.830535588769851,0.0116048928156924)); #151752=CARTESIAN_POINT('Origin',(1.80114719033798,0.57739466179979,0.0116048928156924)); #151753=CARTESIAN_POINT('',(1.93087922469297,0.832008115325525,0.106285445184091)); #151754=CARTESIAN_POINT('',(1.9300369727347,0.830355102783878,0.)); #151755=CARTESIAN_POINT('',(1.94939256762974,0.821949910959286,0.118865979283939)); #151756=CARTESIAN_POINT('Ctrl Pts',(1.94939256762958,0.821949910959381, 0.118865979283832)); #151757=CARTESIAN_POINT('Ctrl Pts',(1.94329193385919,0.825564962995787, 0.114797130367961)); #151758=CARTESIAN_POINT('Ctrl Pts',(1.93711908475092,0.828912892306826, 0.110580661663858)); #151759=CARTESIAN_POINT('Ctrl Pts',(1.93087922469294,0.83200811532554,0.106285445184091)); #151760=CARTESIAN_POINT('Ctrl Pts',(1.96331606646532,0.813145172248758, 0.128187232109656)); #151761=CARTESIAN_POINT('Ctrl Pts',(1.95873965688472,0.816228016969663, 0.125110125517751)); #151762=CARTESIAN_POINT('Ctrl Pts',(1.95409391793937,0.819164032204661, 0.122001568934194)); #151763=CARTESIAN_POINT('Ctrl Pts',(1.94939256762974,0.821949910959286, 0.118865979283939)); #151764=CARTESIAN_POINT('Ctrl Pts',(1.71841852092204,2.4883130484359,0.0493195292564736)); #151765=CARTESIAN_POINT('Ctrl Pts',(1.71532503406889,2.47683636832025,0.0401185145479132)); #151766=CARTESIAN_POINT('Ctrl Pts',(1.71223154721574,2.4653596882046,0.0309174998393528)); #151767=CARTESIAN_POINT('Ctrl Pts',(1.7091380603626,2.45388300808894,0.0217164851307923)); #151768=CARTESIAN_POINT('Ctrl Pts',(1.72027569796134,2.47875693461758,0.0493195292564736)); #151769=CARTESIAN_POINT('Ctrl Pts',(1.71714067487804,2.46721759470528,0.0401185145479132)); #151770=CARTESIAN_POINT('Ctrl Pts',(1.7140056513869,2.45567825477661,0.0309174998393528)); #151771=CARTESIAN_POINT('Ctrl Pts',(1.7108706283036,2.44413891486431,0.0217164851307923)); #151772=CARTESIAN_POINT('Ctrl Pts',(1.72194178566997,2.46916488674194,0.0493195292564736)); #151773=CARTESIAN_POINT('Ctrl Pts',(1.71876258892996,2.45756326451757,0.0401185145479132)); #151774=CARTESIAN_POINT('Ctrl Pts',(1.71558339178191,2.44596164227984,0.0309174998393528)); #151775=CARTESIAN_POINT('Ctrl Pts',(1.7124041950419,2.43436002005546,0.0217164851307923)); #151776=CARTESIAN_POINT('Ctrl Pts',(1.72341553131382,2.45954463296856,0.0493195292564736)); #151777=CARTESIAN_POINT('Ctrl Pts',(1.72018952777892,2.44788125738297,0.0401185145479132)); #151778=CARTESIAN_POINT('Ctrl Pts',(1.71696352424401,2.43621788179738,0.0309174998393528)); #151779=CARTESIAN_POINT('Ctrl Pts',(1.71373752070911,2.42455450621179,0.0217164851307923)); #151780=CARTESIAN_POINT('',(1.71373752070988,2.42455450621808,0.0217164851314865)); #151781=CARTESIAN_POINT('',(1.80394469401884,2.75069182486085,0.279)); #151782=CARTESIAN_POINT('Ctrl Pts',(1.70951580901664,2.45528443748675,0.022840030518556)); #151783=CARTESIAN_POINT('Ctrl Pts',(1.71113914676167,2.44506484100434,0.0224811902547137)); #151784=CARTESIAN_POINT('Ctrl Pts',(1.71254602786902,2.43481850496877,0.0221068937493822)); #151785=CARTESIAN_POINT('Ctrl Pts',(1.71373752070811,2.42455450621911,0.0217164851307927)); #151786=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #151787=CARTESIAN_POINT('Origin',(-1.67810917362407,0.00794142629018646, 0.03)); #151788=CARTESIAN_POINT('Origin',(-1.75613458822178,1.75044130189093,0.03)); #151789=CARTESIAN_POINT('Ctrl Pts',(-2.5573681392918,0.827317359252682, 0.0592930107989516)); #151790=CARTESIAN_POINT('Ctrl Pts',(-2.57671184654411,0.556440828107483, 0.0592930107989516)); #151791=CARTESIAN_POINT('Ctrl Pts',(-2.46744310730155,0.307827439972691, 0.0592930107989516)); #151792=CARTESIAN_POINT('Ctrl Pts',(-2.4875556457609,0.822331942348139, 0.10363835543986)); #151793=CARTESIAN_POINT('Ctrl Pts',(-2.50566509101678,0.568739202968064, 0.10363835543986)); #151794=CARTESIAN_POINT('Ctrl Pts',(-2.4033684513043,0.335989064456179, 0.10363835543986)); #151795=CARTESIAN_POINT('Ctrl Pts',(-2.41121979990895,0.816880682880313, 0.135391632976473)); #151796=CARTESIAN_POINT('Ctrl Pts',(-2.42797965243817,0.582186750822392, 0.135391632976473)); #151797=CARTESIAN_POINT('Ctrl Pts',(-2.33330659251885,0.366782140548791, 0.135391632976473)); #151798=CARTESIAN_POINT('Origin',(-1.99505601408628,0.515447562514519,-0.755109509104582)); #151799=CARTESIAN_POINT('Origin',(-2.04267913509973,0.790562630517095,-0.755109509104582)); #151800=CARTESIAN_POINT('Ctrl Pts',(-2.34771226067049,0.769887640906305, 0.159883826476322)); #151801=CARTESIAN_POINT('Ctrl Pts',(-2.3523147439125,0.573263685433006, 0.159883826476322)); #151802=CARTESIAN_POINT('Ctrl Pts',(-2.27317851057729,0.393209195648734, 0.159883826476322)); #151803=CARTESIAN_POINT('Ctrl Pts',(-2.21319898339606,0.766739015892671, 0.20455770982004)); #151804=CARTESIAN_POINT('Ctrl Pts',(-2.21710150139996,0.600018468334985, 0.20455770982004)); #151805=CARTESIAN_POINT('Ctrl Pts',(-2.15000064320829,0.447347431610113, 0.20455770982004)); #151806=CARTESIAN_POINT('Ctrl Pts',(-2.07152294737432,0.763422728312073, 0.208606723211507)); #151807=CARTESIAN_POINT('Ctrl Pts',(-2.07468822737244,0.628197928853525, 0.208606723211507)); #151808=CARTESIAN_POINT('Ctrl Pts',(-2.0202636214952,0.50436849914807,0.208606723211507)); #151809=CARTESIAN_POINT('Ctrl Pts',(-2.08209479375454,0.477192914239486, 0.204300715077489)); #151810=CARTESIAN_POINT('Ctrl Pts',(-2.09693966551933,0.518914919160252, 0.204617556709121)); #151811=CARTESIAN_POINT('Ctrl Pts',(-2.10637599201972,0.598534667883366, 0.206218174562231)); #151812=CARTESIAN_POINT('Ctrl Pts',(-2.0844676968523,0.674886099340715, 0.208134191746962)); #151813=CARTESIAN_POINT('Ctrl Pts',(-2.07007533229901,0.704893038008416, 0.208606723211507)); #151814=CARTESIAN_POINT('Origin',(-3.84644197507928E-15,1.3923,-0.755109509104582)); #151815=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.755109509104582)); #151816=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.755109509104582)); #151817=CARTESIAN_POINT('',(-2.08925917751652,0.942581936180181,0.207214032975061)); #151818=CARTESIAN_POINT('Ctrl Pts',(-2.08925917751652,0.942581936180183, 0.207214032975061)); #151819=CARTESIAN_POINT('Ctrl Pts',(-2.07107985983709,1.20896371305531, 0.206862993273262)); #151820=CARTESIAN_POINT('Ctrl Pts',(-2.03894045501374,1.4743714489033,0.206508163968556)); #151821=CARTESIAN_POINT('Ctrl Pts',(-1.99371515219947,1.73778441932534, 0.206112812242523)); #151822=CARTESIAN_POINT('Ctrl Pts',(-2.08899248271042,0.826812650664099, 0.207761883305329)); #151823=CARTESIAN_POINT('Ctrl Pts',(-2.09181767744376,0.865388500554521, 0.20747160886816)); #151824=CARTESIAN_POINT('Ctrl Pts',(-2.09188704313748,0.904075787278058, 0.207264776637734)); #151825=CARTESIAN_POINT('Ctrl Pts',(-2.08925917751652,0.942581936180181, 0.207214032975061)); #151826=CARTESIAN_POINT('Origin',(-4.19611851826831E-15,1.3923,-1.02378234312376)); #151827=CARTESIAN_POINT('',(2.64181885178959,0.23118708726426,0.00788468558442027)); #151828=CARTESIAN_POINT('',(2.04543480228987,0.493305445740961,0.277722990197262)); #151829=CARTESIAN_POINT('Origin',(1.90125081352006,0.556676138647099,-1.02378234312376)); #151830=CARTESIAN_POINT('',(2.04532003366827,0.493024362615287,0.277722016006648)); #151831=CARTESIAN_POINT('Ctrl Pts',(2.04532015314971,0.493024315417672, 0.277721525193572)); #151832=CARTESIAN_POINT('Ctrl Pts',(2.04535842778659,0.493118004670405, 0.277721848355029)); #151833=CARTESIAN_POINT('Ctrl Pts',(2.0453966829461,0.493211698122313,0.277722173028366)); #151834=CARTESIAN_POINT('Ctrl Pts',(2.04543491906494,0.493305397073715, 0.277722499189065)); #151835=CARTESIAN_POINT('',(1.99625296211848,0.393375547718034,0.277968419334433)); #151836=CARTESIAN_POINT('Ctrl Pts',(1.99625296211848,0.393375547718034, 0.277968419334433)); #151837=CARTESIAN_POINT('Ctrl Pts',(2.00387920402115,0.406510092696945, 0.277855887733283)); #151838=CARTESIAN_POINT('Ctrl Pts',(2.01110058817038,0.419765531304293, 0.27777404335678)); #151839=CARTESIAN_POINT('Ctrl Pts',(2.01796305064217,0.433253488043656, 0.277725414788175)); #151840=CARTESIAN_POINT('Ctrl Pts',(2.0196098177762,0.436490157672809,0.277713745518014)); #151841=CARTESIAN_POINT('Ctrl Pts',(2.02123570084666,0.439740296961583, 0.277703936928218)); #151842=CARTESIAN_POINT('Ctrl Pts',(2.02284092275056,0.443005582373787, 0.277695936601875)); #151843=CARTESIAN_POINT('Ctrl Pts',(2.03091577012974,0.459431150258821, 0.277655692063691)); #151844=CARTESIAN_POINT('Ctrl Pts',(2.03839706906654,0.476078205388185, 0.277663538026489)); #151845=CARTESIAN_POINT('Ctrl Pts',(2.04532003400836,0.493024362480737, 0.277722014895236)); #151846=CARTESIAN_POINT('',(1.89647557796626,0.231861196522523,0.279)); #151847=CARTESIAN_POINT('Ctrl Pts',(1.89647557796626,0.231861196522521, 0.279)); #151848=CARTESIAN_POINT('Ctrl Pts',(1.90193706614009,0.240786767530644, 0.279)); #151849=CARTESIAN_POINT('Ctrl Pts',(1.91190322392104,0.256363419447413, 0.278938086758861)); #151850=CARTESIAN_POINT('Ctrl Pts',(1.9241597123444,0.275553628945367,0.278847266703572)); #151851=CARTESIAN_POINT('Ctrl Pts',(1.94228015828787,0.303979512610728, 0.278670145271199)); #151852=CARTESIAN_POINT('Ctrl Pts',(1.9699725414708,0.348846784015129,0.278339276852273)); #151853=CARTESIAN_POINT('Ctrl Pts',(1.98311608008032,0.370750122218193, 0.27816226505671)); #151854=CARTESIAN_POINT('Ctrl Pts',(1.99625296211848,0.393375547718034, 0.277968419334433)); #151855=CARTESIAN_POINT('',(-1.89647557796627,0.231861196522528,0.279)); #151856=CARTESIAN_POINT('Origin',(-4.03610532775567E-15,1.3923,0.279)); #151857=CARTESIAN_POINT('',(-1.99625296211849,0.393375547718037,0.277968419334433)); #151858=CARTESIAN_POINT('Ctrl Pts',(-1.99625316625556,0.393375420508936, 0.277967167983401)); #151859=CARTESIAN_POINT('Ctrl Pts',(-1.98715931903992,0.377713185034645, 0.278101179293534)); #151860=CARTESIAN_POINT('Ctrl Pts',(-1.97816684870416,0.362598840103862, 0.27822360731089)); #151861=CARTESIAN_POINT('Ctrl Pts',(-1.96901237319997,0.347500281986146, 0.278341647484915)); #151862=CARTESIAN_POINT('Ctrl Pts',(-1.95985789769579,0.332401723868429, 0.278459687658941)); #151863=CARTESIAN_POINT('Ctrl Pts',(-1.95054139834729,0.317318700457846, 0.278573604377607)); #151864=CARTESIAN_POINT('Ctrl Pts',(-1.94074804736556,0.301746111327139, 0.27867775582824)); #151865=CARTESIAN_POINT('Ctrl Pts',(-1.92773005515808,0.281045960343879, 0.278816201062692)); #151866=CARTESIAN_POINT('Ctrl Pts',(-1.91637600998563,0.263426813406382, 0.278913615630313)); #151867=CARTESIAN_POINT('Ctrl Pts',(-1.90747523092471,0.24941636512647, 0.278963427347141)); #151868=CARTESIAN_POINT('Ctrl Pts',(-1.90322152167872,0.24272072949942, 0.278987232517337)); #151869=CARTESIAN_POINT('Ctrl Pts',(-1.89952770005691,0.23684920191197, 0.279)); #151870=CARTESIAN_POINT('Ctrl Pts',(-1.89647557796627,0.231861196522528, 0.279)); #151871=CARTESIAN_POINT('',(-2.04532003366828,0.49302436261529,0.277722016006648)); #151872=CARTESIAN_POINT('Ctrl Pts',(-2.04532003400837,0.49302436248074, 0.277722014895236)); #151873=CARTESIAN_POINT('Ctrl Pts',(-2.03851491008968,0.476366658746932, 0.277664533405506)); #151874=CARTESIAN_POINT('Ctrl Pts',(-2.03117067889105,0.460000154523442, 0.277655859253749)); #151875=CARTESIAN_POINT('Ctrl Pts',(-2.02325571720054,0.443850689857422, 0.277693898392621)); #151876=CARTESIAN_POINT('Ctrl Pts',(-2.02280183853978,0.442924608635413, 0.277696079723887)); #151877=CARTESIAN_POINT('Ctrl Pts',(-2.0223460704782,0.441999247076983, 0.277698411692062)); #151878=CARTESIAN_POINT('Ctrl Pts',(-2.02188840247003,0.441074593507153, 0.277700892817738)); #151879=CARTESIAN_POINT('Ctrl Pts',(-2.01518925886478,0.427539920034812, 0.277737210447339)); #151880=CARTESIAN_POINT('Ctrl Pts',(-2.00813859712506,0.414264276749164, 0.277805471691441)); #151881=CARTESIAN_POINT('Ctrl Pts',(-2.00070067961504,0.401131302658965, 0.277905603984425)); #151882=CARTESIAN_POINT('Ctrl Pts',(-1.99923348911334,0.398540715331498, 0.277925355904096)); #151883=CARTESIAN_POINT('Ctrl Pts',(-1.99775108118682,0.395955732367041, 0.277946313329978)); #151884=CARTESIAN_POINT('Ctrl Pts',(-1.99625296211849,0.393375547718038, 0.277968419334433)); #151885=CARTESIAN_POINT('',(-2.04543480228985,0.493305445740876,0.277722990197262)); #151886=CARTESIAN_POINT('Ctrl Pts',(-2.04543491906494,0.493305397073684, 0.277722499189065)); #151887=CARTESIAN_POINT('Ctrl Pts',(-2.04539668294644,0.493211698123047, 0.277722173028376)); #151888=CARTESIAN_POINT('Ctrl Pts',(-2.04535842778706,0.493118004671519, 0.277721848355032)); #151889=CARTESIAN_POINT('Ctrl Pts',(-2.04532015314972,0.493024315417675, 0.277721525193572)); #151890=CARTESIAN_POINT('',(-2.6418188517896,0.231187087264262,0.00788468558442067)); #151891=CARTESIAN_POINT('Origin',(-1.90125081352003,0.556676138646994,-1.02378234312376)); #151892=CARTESIAN_POINT('Origin',(-4.19611851826831E-15,1.3923,0.00788468558442055)); #151893=CARTESIAN_POINT('Ctrl Pts',(2.64181885178959,0.23118708726426,0.00788468558441915)); #151894=CARTESIAN_POINT('Ctrl Pts',(2.77006178648091,0.522971459619666, 0.00788468558441915)); #151895=CARTESIAN_POINT('Ctrl Pts',(2.7473591013118,0.840884904341953,0.00788468558441915)); #151896=CARTESIAN_POINT('Ctrl Pts',(2.37529999507746,0.348325507185207, 0.236158550605178)); #151897=CARTESIAN_POINT('Ctrl Pts',(2.47454244639951,0.574126612249732, 0.236158550605178)); #151898=CARTESIAN_POINT('Ctrl Pts',(2.45697368026671,0.820148037433274, 0.236158550605178)); #151899=CARTESIAN_POINT('Ctrl Pts',(2.03885205156972,0.496198648224215, 0.278572974153133)); #151900=CARTESIAN_POINT('Ctrl Pts',(2.1014848869318,0.638703828715882,0.278572974153133)); #151901=CARTESIAN_POINT('Ctrl Pts',(2.09039707499194,0.79397024156439,0.278572974153133)); #151902=CARTESIAN_POINT('',(2.09181230314476,0.771434093302188,0.278548510705471)); #151903=CARTESIAN_POINT('Ctrl Pts',(2.09181230474575,0.771434093410305, 0.278548505874414)); #151904=CARTESIAN_POINT('Ctrl Pts',(2.09160595267471,0.775194190145497, 0.278556970033731)); #151905=CARTESIAN_POINT('Ctrl Pts',(2.0913855098745,0.778950033951635,0.278563171329963)); #151906=CARTESIAN_POINT('Ctrl Pts',(2.09115009359588,0.78270894390313,0.278567175266657)); #151907=CARTESIAN_POINT('Ctrl Pts',(2.09091483072112,0.786465404443325, 0.278571176594273)); #151908=CARTESIAN_POINT('Ctrl Pts',(2.09066517619214,0.790215928957719, 0.278572974160782)); #151909=CARTESIAN_POINT('Ctrl Pts',(2.09040033832047,0.793970474603663, 0.278572596568418)); #151910=CARTESIAN_POINT('Ctrl Pts',(2.04543491906494,0.493305397073715, 0.277722499189065)); #151911=CARTESIAN_POINT('Ctrl Pts',(2.05546576896695,0.51788631521188,0.277808067303909)); #151912=CARTESIAN_POINT('Ctrl Pts',(2.06388606307178,0.54303772993443,0.277896686970819)); #151913=CARTESIAN_POINT('Ctrl Pts',(2.07073020722422,0.568624006781903, 0.277981461171125)); #151914=CARTESIAN_POINT('Ctrl Pts',(2.07757435137666,0.594210283629375, 0.278066235371432)); #151915=CARTESIAN_POINT('Ctrl Pts',(2.08284235503962,0.620232676809929, 0.278147452416437)); #151916=CARTESIAN_POINT('Ctrl Pts',(2.08651756894113,0.646574802622806, 0.278224121458909)); #151917=CARTESIAN_POINT('Ctrl Pts',(2.08978628034581,0.67000331845219,0.278292310407968)); #151918=CARTESIAN_POINT('Ctrl Pts',(2.09177381493269,0.693517252461556, 0.278356923811249)); #151919=CARTESIAN_POINT('Ctrl Pts',(2.0924798957576,0.717069103310052,0.278417324297253)); #151920=CARTESIAN_POINT('Ctrl Pts',(2.09302272301049,0.735175509893359, 0.278463759533467)); #151921=CARTESIAN_POINT('Ctrl Pts',(2.09280722507062,0.75330477419184,0.278507716269194)); #151922=CARTESIAN_POINT('Ctrl Pts',(2.09181230314476,0.771434093302187, 0.278548510705471)); #151923=CARTESIAN_POINT('Origin',(1.46324676041668,0.74918447870385,0.00788468558441915)); #151924=CARTESIAN_POINT('Ctrl Pts',(-2.74735910131182,0.840884904341818, 0.0078846855844195)); #151925=CARTESIAN_POINT('Ctrl Pts',(-2.77006178648089,0.522971459619572, 0.0078846855844195)); #151926=CARTESIAN_POINT('Ctrl Pts',(-2.64181885178958,0.231187087264211, 0.0078846855844195)); #151927=CARTESIAN_POINT('Ctrl Pts',(-2.45697367990275,0.820148037407179, 0.2361585508913)); #151928=CARTESIAN_POINT('Ctrl Pts',(-2.47454244602909,0.574126612313779, 0.2361585508913)); #151929=CARTESIAN_POINT('Ctrl Pts',(-2.37529999474339,0.348325507331993, 0.2361585508913)); #151930=CARTESIAN_POINT('Ctrl Pts',(-2.09039707414078,0.793970241503542, 0.278572974251616)); #151931=CARTESIAN_POINT('Ctrl Pts',(-2.10148488606559,0.638703828865781, 0.278572974251616)); #151932=CARTESIAN_POINT('Ctrl Pts',(-2.03885205078851,0.496198648567543, 0.278572974251616)); #151933=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,0.00788468558442003)); #151934=CARTESIAN_POINT('',(-2.09181230314477,0.77143409330219,0.278548510705471)); #151935=CARTESIAN_POINT('Ctrl Pts',(-2.09181230314477,0.77143409330219, 0.278548510705471)); #151936=CARTESIAN_POINT('Ctrl Pts',(-2.09475183111485,0.717870452559664, 0.278427982266664)); #151937=CARTESIAN_POINT('Ctrl Pts',(-2.0910853254535,0.664172505312114, 0.278277202091861)); #151938=CARTESIAN_POINT('Ctrl Pts',(-2.07283143685625,0.571070924107906, 0.277988233211624)); #151939=CARTESIAN_POINT('Ctrl Pts',(-2.06101364467779,0.531480480507851, 0.277855881522838)); #151940=CARTESIAN_POINT('Ctrl Pts',(-2.04543480228985,0.493305445740876, 0.277722990197262)); #151941=CARTESIAN_POINT('Ctrl Pts',(-2.09040033832048,0.793970474603571, 0.278572596568419)); #151942=CARTESIAN_POINT('Ctrl Pts',(-2.09066524526913,0.790214949669323, 0.278572974259245)); #151943=CARTESIAN_POINT('Ctrl Pts',(-2.09091496086219,0.78646344923115, 0.278571175659371)); #151944=CARTESIAN_POINT('Ctrl Pts',(-2.09115027743455,0.782706008490328, 0.27856717213921)); #151945=CARTESIAN_POINT('Ctrl Pts',(-2.09138562469183,0.778948077785049, 0.278563168096997)); #151946=CARTESIAN_POINT('Ctrl Pts',(-2.09160600644523,0.775193210356043, 0.278556967827585)); #151947=CARTESIAN_POINT('Ctrl Pts',(-2.09181230474605,0.771434093410329, 0.27854850587353)); #151948=CARTESIAN_POINT('Ctrl Pts',(2.33846636030576,2.92550762634814,0.00788468558441793)); #151949=CARTESIAN_POINT('Ctrl Pts',(2.12235651633324,3.58629110769488,0.00788468558441793)); #151950=CARTESIAN_POINT('Ctrl Pts',(1.4622057628558,3.80432609701017,0.00788468558441793)); #151951=CARTESIAN_POINT('Ctrl Pts',(2.06205480921884,2.83510697490351,0.235918596529155)); #151952=CARTESIAN_POINT('Ctrl Pts',(1.89162173334733,3.3562278972125,0.235918596529155)); #151953=CARTESIAN_POINT('Ctrl Pts',(1.37099980615569,3.52817922156468,0.235918596529155)); #151954=CARTESIAN_POINT('Ctrl Pts',(1.71314071420023,2.72099429993075,0.278490178653244)); #151955=CARTESIAN_POINT('Ctrl Pts',(1.60036538867478,3.06581927801086,0.278490178653244)); #151956=CARTESIAN_POINT('Ctrl Pts',(1.25587059495887,3.17959922641107,0.278490178653244)); #151957=CARTESIAN_POINT('Ctrl Pts',(1.71441910023711,2.72143555751327,0.278332654174982)); #151958=CARTESIAN_POINT('Ctrl Pts',(1.71242997047521,2.72756588694326,0.278330873812566)); #151959=CARTESIAN_POINT('Ctrl Pts',(1.71041796265833,2.73365866669567,0.278323146205554)); #151960=CARTESIAN_POINT('Ctrl Pts',(1.70836596326187,2.73977163245074,0.278308753939965)); #151961=CARTESIAN_POINT('Ctrl Pts',(1.7063125023791,2.74588895201596,0.278294351423838)); #151962=CARTESIAN_POINT('Ctrl Pts',(1.70426544302298,2.75188887941658,0.278273654342641)); #151963=CARTESIAN_POINT('Ctrl Pts',(1.70213921868152,2.75803891857025,0.27824541377282)); #151964=CARTESIAN_POINT('',(1.4622057628558,3.80432609701017,0.00788468558441835)); #151965=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,0.0078846855844181)); #151966=CARTESIAN_POINT('',(1.25587059495888,3.17959922641107,0.278490178653244)); #151967=CARTESIAN_POINT('Origin',(1.20850779842187,3.03619753699007,-1.02378234312376)); #151968=CARTESIAN_POINT('',(1.25588005293098,3.17959611464063,0.278490177322267)); #151969=CARTESIAN_POINT('Ctrl Pts',(1.25588005293098,3.17959611464063,0.278490177322267)); #151970=CARTESIAN_POINT('Ctrl Pts',(1.25587690053203,3.17959715268421,0.278490177912385)); #151971=CARTESIAN_POINT('Ctrl Pts',(1.25587374813177,3.17959819072389,0.278490178502499)); #151972=CARTESIAN_POINT('Ctrl Pts',(1.25587059573021,3.17959922875967,0.278490179092608)); #151973=CARTESIAN_POINT('Ctrl Pts',(1.30427680957918,3.16277194858125,0.278370153260384)); #151974=CARTESIAN_POINT('Ctrl Pts',(1.29621186061276,3.16571976541611,0.278410187872268)); #151975=CARTESIAN_POINT('Ctrl Pts',(1.28822067069393,3.16857372749786,0.278439375421873)); #151976=CARTESIAN_POINT('Ctrl Pts',(1.2801425886938,3.17138378045313,0.278459155787343)); #151977=CARTESIAN_POINT('Ctrl Pts',(1.27206759937741,3.17419275758308,0.278478928579925)); #151978=CARTESIAN_POINT('Ctrl Pts',(1.264001702637,3.17692397679827,0.278489030977379)); #151979=CARTESIAN_POINT('Ctrl Pts',(1.25588005293112,3.17959611464106,0.278490177321043)); #151980=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -1.02378234312376)); #151981=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, 0.00788468558441933)); #151982=CARTESIAN_POINT('',(-2.41352689433927E-15,3.38105307242402,0.278556617200839)); #151983=CARTESIAN_POINT('Ctrl Pts',(-2.45514503157495E-15,3.38105307358647, 0.278556613770547)); #151984=CARTESIAN_POINT('Ctrl Pts',(-0.0951586169561382,3.38105307358647, 0.278556613770547)); #151985=CARTESIAN_POINT('Ctrl Pts',(-0.190239404427858,3.37765683992551, 0.278555430604299)); #151986=CARTESIAN_POINT('Ctrl Pts',(-0.285074001387699,3.37090440897981, 0.278553359959797)); #151987=CARTESIAN_POINT('Ctrl Pts',(-0.372303432634604,3.36469348248454, 0.278551455368562)); #151988=CARTESIAN_POINT('Ctrl Pts',(-0.459325246114465,3.35564246577465, 0.278548799946579)); #151989=CARTESIAN_POINT('Ctrl Pts',(-0.546008088229916,3.34374359644178, 0.278545627946656)); #151990=CARTESIAN_POINT('Ctrl Pts',(-0.576190027992822,3.33960055095911, 0.278544523493823)); #151991=CARTESIAN_POINT('Ctrl Pts',(-0.606330823699578,3.33511191619481, 0.278543356429691)); #151992=CARTESIAN_POINT('Ctrl Pts',(-0.636429195179645,3.33027793772638, 0.27854208946629)); #151993=CARTESIAN_POINT('Ctrl Pts',(-0.72399197033866,3.31621483235092, 0.27853840359141)); #151994=CARTESIAN_POINT('Ctrl Pts',(-0.810906251069754,3.29927871009032, 0.278533762252132)); #151995=CARTESIAN_POINT('Ctrl Pts',(-0.897194159581854,3.27949407912236, 0.278527503570077)); #151996=CARTESIAN_POINT('Ctrl Pts',(-0.983532781348336,3.25969782030247, 0.278521241209662)); #151997=CARTESIAN_POINT('Ctrl Pts',(-1.0692448104712,3.23704954806299,0.278513355956644)); #151998=CARTESIAN_POINT('Ctrl Pts',(-1.15433924367783,3.21152354426096, 0.278503289447624)); #151999=CARTESIAN_POINT('Ctrl Pts',(-1.18828157785689,3.20134177186228, 0.278499274134279)); #152000=CARTESIAN_POINT('Ctrl Pts',(-1.22212546066012,3.19070159325638, 0.27849491326557)); #152001=CARTESIAN_POINT('Ctrl Pts',(-1.25587059495913,3.1795992264109,0.278490178653258)); #152002=CARTESIAN_POINT('Ctrl Pts',(1.25587059495913,3.1795992264109,0.278490178653258)); #152003=CARTESIAN_POINT('Ctrl Pts',(1.17129590365234,3.20742484537007,0.278502044908436)); #152004=CARTESIAN_POINT('Ctrl Pts',(1.08610233060521,3.23235064254324,0.278511551212578)); #152005=CARTESIAN_POINT('Ctrl Pts',(1.00028838613782,3.25442169906075,0.27851919470822)); #152006=CARTESIAN_POINT('Ctrl Pts',(0.914452874622275,3.27649830255016, 0.278526840124851)); #152007=CARTESIAN_POINT('Ctrl Pts',(0.827996161393711,3.29571888185805, 0.278532626643004)); #152008=CARTESIAN_POINT('Ctrl Pts',(0.740900500282754,3.31207923336084, 0.278537181866721)); #152009=CARTESIAN_POINT('Ctrl Pts',(0.706135151533563,3.3186096772245,0.278539000142616)); #152010=CARTESIAN_POINT('Ctrl Pts',(0.671267859939403,3.32468383517513, 0.27854062330736)); #152011=CARTESIAN_POINT('Ctrl Pts',(0.636297055559968,3.33029915785458, 0.278542095027948)); #152012=CARTESIAN_POINT('Ctrl Pts',(0.606242603153672,3.33512505337544, 0.278543359847477)); #152013=CARTESIAN_POINT('Ctrl Pts',(0.576145854072847,3.33960661478832, 0.278544525113519)); #152014=CARTESIAN_POINT('Ctrl Pts',(0.546008082842372,3.34374359730301, 0.278545627950982)); #152015=CARTESIAN_POINT('Ctrl Pts',(0.451767605408551,3.35667989588787, 0.278549076511535)); #152016=CARTESIAN_POINT('Ctrl Pts',(0.357126557245105,3.3662507638028,0.278551914409073)); #152017=CARTESIAN_POINT('Ctrl Pts',(0.26225351342233,3.37246410064781,0.278553840862468)); #152018=CARTESIAN_POINT('Ctrl Pts',(0.174988904460348,3.37817915297755, 0.278555612822082)); #152019=CARTESIAN_POINT('Ctrl Pts',(0.0875273428558528,3.38105307358647, 0.278556613770547)); #152020=CARTESIAN_POINT('Ctrl Pts',(-1.77655283229673E-15,3.38105307358647, 0.278556613770547)); #152021=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #152022=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #152023=CARTESIAN_POINT('',(1.41773406974223,3.66967788385167,-0.04)); #152024=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #152025=CARTESIAN_POINT('Ctrl Pts',(2.68483194263652,0.836419744338399, -0.0374438192249157)); #152026=CARTESIAN_POINT('Ctrl Pts',(2.7064291681408,0.533986428394706,-0.0374438192249157)); #152027=CARTESIAN_POINT('Ctrl Pts',(2.58443075433064,0.256409884410917, -0.0374438192249157)); #152028=CARTESIAN_POINT('Ctrl Pts',(2.6511795171852,0.834016573183229,-0.00717874973158811)); #152029=CARTESIAN_POINT('Ctrl Pts',(2.67218177884102,0.539914738962569, -0.00717874973158811)); #152030=CARTESIAN_POINT('Ctrl Pts',(2.55354419699385,0.269984918342333, -0.0071787497315881)); #152031=CARTESIAN_POINT('Ctrl Pts',(2.6148434188113,0.831421756841392,0.0197877162012691)); #152032=CARTESIAN_POINT('Ctrl Pts',(2.63520327016127,0.546315813271358, 0.0197877162012691)); #152033=CARTESIAN_POINT('Ctrl Pts',(2.52019453583959,0.284642517660826, 0.0197877162012691)); #152034=CARTESIAN_POINT('Ctrl Pts',(1.56217630197853,3.09523761344388,0.200172824790065)); #152035=CARTESIAN_POINT('Ctrl Pts',(1.69628525953992,2.97593244380996,0.200172824790065)); #152036=CARTESIAN_POINT('Ctrl Pts',(1.76653562275103,2.81075429008408,0.200172824790065)); #152037=CARTESIAN_POINT('Ctrl Pts',(1.54578771319636,3.07681547327826,0.203532511970125)); #152038=CARTESIAN_POINT('Ctrl Pts',(1.67576141262731,2.96118908604414,0.203532511970125)); #152039=CARTESIAN_POINT('Ctrl Pts',(1.74384560147603,2.80110421106405,0.203532511970125)); #152040=CARTESIAN_POINT('Ctrl Pts',(1.52930574723023,3.05828836937269,0.205615732377126)); #152041=CARTESIAN_POINT('Ctrl Pts',(1.65512062709025,2.94636172511927,0.205615732377126)); #152042=CARTESIAN_POINT('Ctrl Pts',(1.72102629937528,2.79139914883493,0.205615732377126)); #152043=CARTESIAN_POINT('Origin',(-0.172970348056693,8.07921293604866E-5, -1.03983506305669)); #152044=CARTESIAN_POINT('',(-0.138500001111214,1.02453689205479,-1.03536443053284)); #152045=CARTESIAN_POINT('',(-0.168497430503396,1.02506057890318,-1.00536243108177)); #152046=CARTESIAN_POINT('Origin',(-0.168500286735815,1.0245368820844,-1.03536500173581)); #152047=CARTESIAN_POINT('',(-0.170211851571327,0.632146706427875,-1.0070768521497)); #152048=CARTESIAN_POINT('',(-0.172970348056693,-5.01071491819115E-5,-1.00983534863507)); #152049=CARTESIAN_POINT('',(-0.140209852468306,0.63267031351167,-1.03707428188993)); #152050=CARTESIAN_POINT('Origin',(-0.170210138005905,0.632670323480537, -1.0370748530059)); #152051=CARTESIAN_POINT('',(-0.142970633635071,-5.01071491819106E-5,-1.03983506305669)); #152052=CARTESIAN_POINT('Origin',(-0.142970415,0.,-1.00983513)); #152053=CARTESIAN_POINT('',(-0.140209623961743,0.63272268302584,-1.04007452934733)); #152054=CARTESIAN_POINT('',(-0.140206986081646,0.633327236774854,-1.07470939043208)); #152055=CARTESIAN_POINT('',(-0.138500229652587,1.02448451456281,-1.03836513503817)); #152056=CARTESIAN_POINT('',(-0.14297029124869,2.83615311129002E-5,-1.04283519663428)); #152057=CARTESIAN_POINT('',(-0.138504095940334,1.02359843230495,-1.08912875359295)); #152058=CARTESIAN_POINT('Origin',(0.38042843,0.63465149095318,-1.15057586149776)); #152059=CARTESIAN_POINT('',(-0.20317991053529,0.632146706427875,-1.0070768521497)); #152060=CARTESIAN_POINT('',(0.0772452159999999,0.632146706427875,-1.0070768521497)); #152061=CARTESIAN_POINT('',(-0.223181243270637,0.631797635038678,-0.987078565656222)); #152062=CARTESIAN_POINT('Origin',(-0.203181052912238,0.631797628392767, -0.987078184912237)); #152063=CARTESIAN_POINT('',(-0.223188585419315,0.630114949190133,-0.890677557956459)); #152064=CARTESIAN_POINT('',(-0.223179971177075,0.632089175557524,-1.0037809107947)); #152065=CARTESIAN_POINT('',(-0.223183867421901,0.630107339579793,-0.890241603672072)); #152066=CARTESIAN_POINT('Origin',(-0.203188395002915,0.630114955837057, -0.890677938758445)); #152067=CARTESIAN_POINT('',(-0.331418908710823,0.630107339579793,-0.890241603672072)); #152068=CARTESIAN_POINT('',(0.2886984665,0.630107339579793,-0.890241603672072)); #152069=CARTESIAN_POINT('',(-0.320609072611023,0.640917175679593,-1.50953669906437)); #152070=CARTESIAN_POINT('',(-0.326718133440845,0.634808114849772,-1.15954883852395)); #152071=CARTESIAN_POINT('',(0.320609072611024,0.640917175679593,-1.50953669906437)); #152072=CARTESIAN_POINT('',(0.190214215,0.640917175679593,-1.50953669906437)); #152073=CARTESIAN_POINT('',(0.331418908710823,0.630107339579793,-0.890241603672072)); #152074=CARTESIAN_POINT('',(0.326909427151432,0.634616821139184,-1.14858962918418)); #152075=CARTESIAN_POINT('',(0.2231838674219,0.630107339579793,-0.890241603672072)); #152076=CARTESIAN_POINT('',(0.2886984665,0.630107339579793,-0.890241603672072)); #152077=CARTESIAN_POINT('',(0.223188585419315,0.630114949190133,-0.890677557956459)); #152078=CARTESIAN_POINT('Origin',(0.203188395002914,0.630114955837057,-0.890677938758445)); #152079=CARTESIAN_POINT('',(0.223181243270636,0.631797635038678,-0.987078565656222)); #152080=CARTESIAN_POINT('',(0.223174571047175,0.633326786311428,-1.0746835833997)); #152081=CARTESIAN_POINT('',(0.203179910535289,0.632146706427875,-1.0070768521497)); #152082=CARTESIAN_POINT('Origin',(0.203181052912237,0.631797628392767,-0.987078184912237)); #152083=CARTESIAN_POINT('',(0.170211851571326,0.632146706427875,-1.0070768521497)); #152084=CARTESIAN_POINT('',(0.2616994225,0.632146706427875,-1.0070768521497)); #152085=CARTESIAN_POINT('',(0.140209852468305,0.63267031351167,-1.03707428188993)); #152086=CARTESIAN_POINT('Origin',(0.170210138005904,0.632670323480537,-1.0370748530059)); #152087=CARTESIAN_POINT('',(0.140209623961742,0.63272268302584,-1.04007452934733)); #152088=CARTESIAN_POINT('',(0.140204970594345,0.633789149507361,-1.10117235315419)); #152089=CARTESIAN_POINT('',(0.120208291226395,0.633071754415037,-1.06007281584081)); #152090=CARTESIAN_POINT('Origin',(0.120209433603343,0.632722676379929,-1.04007414860334)); #152091=CARTESIAN_POINT('',(-0.120208291226396,0.633071754415037,-1.06007281584081)); #152092=CARTESIAN_POINT('',(0.1187290075,0.633071754415037,-1.06007281584081)); #152093=CARTESIAN_POINT('Origin',(-0.120209433603344,0.632722676379929, -1.04007414860334)); #152094=CARTESIAN_POINT('',(-0.203136217875003,0.635962461564347,-1.22568131751058)); #152095=CARTESIAN_POINT('',(0.203136217875004,0.635962461564347,-1.22568131751058)); #152096=CARTESIAN_POINT('',(0.301771045,0.635962461564347,-1.22568131751058)); #152097=CARTESIAN_POINT('',(0.223134504136459,0.636311586129944,-1.24568265047796)); #152098=CARTESIAN_POINT('Origin',(0.203134313720059,0.636311592776867,-1.24568303127994)); #152099=CARTESIAN_POINT('',(0.223121912095661,0.639197450988239,-1.41101373748125)); #152100=CARTESIAN_POINT('',(0.223131068031843,0.637099078302117,-1.29079804680627)); #152101=CARTESIAN_POINT('',(0.203120579360314,0.639546522377436,-1.43101202397473)); #152102=CARTESIAN_POINT('Origin',(0.203121721737262,0.639197444342328,-1.41101335673726)); #152103=CARTESIAN_POINT('',(-0.203120579360312,0.639546522377436,-1.43101202397473)); #152104=CARTESIAN_POINT('',(0.0786573850000005,0.639546522377436,-1.43101202397473)); #152105=CARTESIAN_POINT('',(-0.22312191209566,0.639197450988239,-1.41101373748125)); #152106=CARTESIAN_POINT('Origin',(-0.203121721737261,0.639197444342328, -1.41101335673726)); #152107=CARTESIAN_POINT('',(-0.223134504136458,0.636311586129944,-1.24568265047796)); #152108=CARTESIAN_POINT('',(-0.223138881593715,0.63530835319332,-1.18820747403206)); #152109=CARTESIAN_POINT('Origin',(-0.203134313720057,0.636311592776867, -1.24568303127994)); #152110=CARTESIAN_POINT('Origin',(0.172970062793689,0.000146169178894117, -1.03983477779369)); #152111=CARTESIAN_POINT('',(0.168497430503396,1.02506057890318,-1.00536243108177)); #152112=CARTESIAN_POINT('',(0.138500001111213,1.02453689205479,-1.03536443053284)); #152113=CARTESIAN_POINT('Origin',(0.168500286735814,1.0245368820844,-1.03536500173581)); #152114=CARTESIAN_POINT('',(0.142970348372067,1.526990035172E-5,-1.03983477779369)); #152115=CARTESIAN_POINT('',(0.172970062793689,1.52699003517191E-5,-1.00983506337207)); #152116=CARTESIAN_POINT('Origin',(0.142970415,0.,-1.06283513)); #152117=CARTESIAN_POINT('',(0.138500229652586,1.02448451456281,-1.03836513503817)); #152118=CARTESIAN_POINT('',(0.13850611583982,1.0231355083802,-1.1156496474796)); #152119=CARTESIAN_POINT('',(0.142968073872646,0.000536543461611443,-1.04283297925823)); #152120=CARTESIAN_POINT('Origin',(-0.17297006279369,0.000146169178894117, -1.03983477779369)); #152121=CARTESIAN_POINT('',(-0.141886435653279,0.24842819,-1.0387508650749)); #152122=CARTESIAN_POINT('',(-0.171886150074901,0.24842819,-1.00875115065328)); #152123=CARTESIAN_POINT('Origin',(-0.171886721234375,0.24842819,-1.03875143623437)); #152124=CARTESIAN_POINT('',(-0.17404899861692,-0.247257036995214,-1.0109139991953)); #152125=CARTESIAN_POINT('',(-0.17297006279369,1.52699003517186E-5,-1.00983506337207)); #152126=CARTESIAN_POINT('',(-0.144048141898097,-0.246995243422373,-1.04091257131972)); #152127=CARTESIAN_POINT('Origin',(-0.174048427457445,-0.246995238438129, -1.04091314245744)); #152128=CARTESIAN_POINT('',(-0.142970348372068,1.52699003517196E-5,-1.03983477779369)); #152129=CARTESIAN_POINT('Origin',(-0.142970415,0.,-1.06283513)); #152130=CARTESIAN_POINT('',(-0.144048027649166,-0.246969059662361,-1.04391293303475)); #152131=CARTESIAN_POINT('',(-0.14404559645862,-0.246411875368427,-1.10775992914994)); #152132=CARTESIAN_POINT('',(-0.141886435653279,0.24842819,-1.04175134103886)); #152133=CARTESIAN_POINT('',(-0.142968073872647,0.000536543461611443,-1.04283297925823)); #152134=CARTESIAN_POINT('',(-0.141886435653279,0.24842819,-1.1066774075)); #152135=CARTESIAN_POINT('Origin',(0.4375,-0.246038810895635,-1.15050888349841)); #152136=CARTESIAN_POINT('',(-0.207017057580883,-0.247257036995214,-1.0109139991953)); #152137=CARTESIAN_POINT('',(0.1472647925,-0.247257036995214,-1.0109139991953)); #152138=CARTESIAN_POINT('',(-0.227017628726765,-0.247431566043775,-0.99091495111235)); #152139=CARTESIAN_POINT('Origin',(-0.207017438353866,-0.247431569366604, -0.990914570353865)); #152140=CARTESIAN_POINT('',(-0.227021591559573,-0.248339774659364,-0.886844551816201)); #152141=CARTESIAN_POINT('',(-0.227014188398851,-0.246643105969163,-1.08126352674305)); #152142=CARTESIAN_POINT('',(-0.224266416853526,-0.248428186534214,-0.876713554421698)); #152143=CARTESIAN_POINT('Origin',(-0.207021401157675,-0.248339771336282, -0.886844932603684)); #152144=CARTESIAN_POINT('',(-0.276623570673874,-0.248428186534214,-0.876713554421697)); #152145=CARTESIAN_POINT('',(0.3172342515,-0.248428186534215,-0.876713554421698)); #152146=CARTESIAN_POINT('',(-0.27252578311086,-0.24612522375705,-1.14060695035498)); #152147=CARTESIAN_POINT('Ctrl Pts',(-0.27252578311086,-0.24612522375705, -1.14060695035498)); #152148=CARTESIAN_POINT('Ctrl Pts',(-0.273892248949208,-0.246892877943378, -1.05264249337754)); #152149=CARTESIAN_POINT('Ctrl Pts',(-0.27525817018742,-0.247660532203528, -0.964678027940913)); #152150=CARTESIAN_POINT('Ctrl Pts',(-0.276623570673874,-0.248428186534214, -0.876713554421697)); #152151=CARTESIAN_POINT('',(0.27252578311086,-0.24612522375705,-1.14060695035498)); #152152=CARTESIAN_POINT('',(0.21875,-0.24612522375705,-1.14060695035498)); #152153=CARTESIAN_POINT('',(0.276623570673874,-0.248428186534215,-0.876713554421697)); #152154=CARTESIAN_POINT('Ctrl Pts',(0.276623570673874,-0.248428186534214, -0.876713554421697)); #152155=CARTESIAN_POINT('Ctrl Pts',(0.27525817018742,-0.247660532203529, -0.964678027940913)); #152156=CARTESIAN_POINT('Ctrl Pts',(0.273892248949208,-0.246892877943378, -1.05264249337754)); #152157=CARTESIAN_POINT('Ctrl Pts',(0.27252578311086,-0.24612522375705, -1.14060695035498)); #152158=CARTESIAN_POINT('',(0.255902428235731,-0.248428186534215,-0.876713554421698)); #152159=CARTESIAN_POINT('',(0.3172342515,-0.248428186534215,-0.876713554421698)); #152160=CARTESIAN_POINT('',(0.258657602941778,-0.248339774659364,-0.886844551816201)); #152161=CARTESIAN_POINT('Origin',(0.23865741253988,-0.248339771336282,-0.886844932603684)); #152162=CARTESIAN_POINT('',(0.25865364010897,-0.247431566043775,-0.99091495111235)); #152163=CARTESIAN_POINT('',(0.258652508945121,-0.247172324031077,-1.0206211434042)); #152164=CARTESIAN_POINT('',(0.238653068963088,-0.247257036995214,-1.0109139991953)); #152165=CARTESIAN_POINT('Origin',(0.238653449736071,-0.247431569366604, -0.990914570353866)); #152166=CARTESIAN_POINT('',(0.174048998616919,-0.247257036995214,-1.0109139991953)); #152167=CARTESIAN_POINT('',(0.331718999,-0.247257036995214,-1.0109139991953)); #152168=CARTESIAN_POINT('',(0.144048141898096,-0.246995243422373,-1.04091257131972)); #152169=CARTESIAN_POINT('Origin',(0.174048427457444,-0.246995238438129, -1.04091314245744)); #152170=CARTESIAN_POINT('',(0.144048027649165,-0.246969059662361,-1.04391293303475)); #152171=CARTESIAN_POINT('',(0.144046606093307,-0.246643265125768,-1.08124528920244)); #152172=CARTESIAN_POINT('',(0.124047456503283,-0.2467945306138,-1.0639119811177)); #152173=CARTESIAN_POINT('Origin',(0.124047837276266,-0.24696906298519,-1.04391255227627)); #152174=CARTESIAN_POINT('',(-0.124047456503284,-0.2467945306138,-1.0639119811177)); #152175=CARTESIAN_POINT('',(0.2902352075,-0.2467945306138,-1.0639119811177)); #152176=CARTESIAN_POINT('Origin',(-0.124047837276267,-0.24696906298519, -1.04391255227627)); #152177=CARTESIAN_POINT('Origin',(0.172970348056692,8.0792129360486E-5, -1.03983506305669)); #152178=CARTESIAN_POINT('',(0.1718861500749,0.24842819,-1.00875115065328)); #152179=CARTESIAN_POINT('',(0.141886435653278,0.24842819,-1.0387508650749)); #152180=CARTESIAN_POINT('Origin',(0.171886721234374,0.24842819,-1.03875143623437)); #152181=CARTESIAN_POINT('',(0.14297063363507,-5.01071491819113E-5,-1.03983506305669)); #152182=CARTESIAN_POINT('',(0.172970348056692,-5.0107149181912E-5,-1.00983534863507)); #152183=CARTESIAN_POINT('Origin',(0.142970415,0.,-1.00983513)); #152184=CARTESIAN_POINT('',(0.141886435653278,0.24842819,-1.04175134103886)); #152185=CARTESIAN_POINT('',(0.141886435653278,0.24842819,-1.0801774075)); #152186=CARTESIAN_POINT('',(0.14297029124869,2.83615311128999E-5,-1.04283519663428)); #152187=CARTESIAN_POINT('Origin',(0.205635366723909,0.0693139060142487, -0.88823096703745)); #152188=CARTESIAN_POINT('',(0.204854209038863,0.24842819,-0.869012315108081)); #152189=CARTESIAN_POINT('',(0.224854018653278,0.24842819,-0.889012124722496)); #152190=CARTESIAN_POINT('Origin',(0.20485382826588,0.24842819,-0.889012505495479)); #152191=CARTESIAN_POINT('',(0.225269061359341,0.153308012155359,-0.888597082016432)); #152192=CARTESIAN_POINT('',(0.225635176338323,0.0694011721999436,-0.88823096703745)); #152193=CARTESIAN_POINT('',(0.205269251744927,0.153308012155359,-0.868597272402017)); #152194=CARTESIAN_POINT('Origin',(0.205269251744927,0.153220745969664,-0.888597082016432)); #152195=CARTESIAN_POINT('',(0.205635366723909,0.0694011721999436,-0.868231157423035)); #152196=CARTESIAN_POINT('Ctrl Pts',(0.225464730026165,0.146840873435854, -0.888564348678186)); #152197=CARTESIAN_POINT('Ctrl Pts',(0.225343724777243,0.148994416735873, -0.8885752488095)); #152198=CARTESIAN_POINT('Ctrl Pts',(0.225278472756532,0.151151092490437, -0.888586164795691)); #152199=CARTESIAN_POINT('Ctrl Pts',(0.225269061359342,0.153308012155359, -0.888597082016432)); #152200=CARTESIAN_POINT('Ctrl Pts',(0.225463450560724,0.146863644218541, -0.883329226545363)); #152201=CARTESIAN_POINT('Ctrl Pts',(0.225342886504203,0.149016508996917, -0.883339873741314)); #152202=CARTESIAN_POINT('Ctrl Pts',(0.225277966798465,0.151174659300391, -0.88335054376515)); #152203=CARTESIAN_POINT('Ctrl Pts',(0.225268961676314,0.153330857677499, -0.883361207563285)); #152204=CARTESIAN_POINT('Ctrl Pts',(0.221134588922628,0.146665910169319, -0.87290146904239)); #152205=CARTESIAN_POINT('Ctrl Pts',(0.221010100372938,0.14889456987476, -0.872911633114093)); #152206=CARTESIAN_POINT('Ctrl Pts',(0.220943357558582,0.151125373106732, -0.872921798291372)); #152207=CARTESIAN_POINT('Ctrl Pts',(0.220934355719548,0.153357450658232, -0.872931978041811)); #152208=CARTESIAN_POINT('Ctrl Pts',(0.210723154658308,0.146099820990373, -0.868565820535182)); #152209=CARTESIAN_POINT('Ctrl Pts',(0.210588118313678,0.148507587611429, -0.868576318300008)); #152210=CARTESIAN_POINT('Ctrl Pts',(0.210515395050437,0.150919342330536, -0.868586857979611)); #152211=CARTESIAN_POINT('Ctrl Pts',(0.210505126198074,0.153330857677499, -0.868597372085045)); #152212=CARTESIAN_POINT('Ctrl Pts',(0.205496227398442,0.145806131240733, -0.868564539063772)); #152213=CARTESIAN_POINT('Ctrl Pts',(0.205355861309692,0.148304241468755, -0.868575439195085)); #152214=CARTESIAN_POINT('Ctrl Pts',(0.205280168965668,0.15080598534405, -0.868586355181276)); #152215=CARTESIAN_POINT('Ctrl Pts',(0.205269251744927,0.153308012155359, -0.868597272402017)); #152216=CARTESIAN_POINT('',(0.225464730026165,0.146840873435854,-0.888564348678186)); #152217=CARTESIAN_POINT('',(0.205496227398442,0.145806129956891,-0.868564833296811)); #152218=CARTESIAN_POINT('Origin',(0.205496227398442,0.145718865055038,-0.888564348678186)); #152219=CARTESIAN_POINT('Origin',(0.350267871449433,0.153940692001647,-0.868600033006144)); #152220=CARTESIAN_POINT('Origin',(0.350267871449433,0.153853425815952,-0.888599842620558)); #152221=CARTESIAN_POINT('Ctrl Pts',(0.235823668818545,0.103578934429364, -0.888345378938386)); #152222=CARTESIAN_POINT('Ctrl Pts',(0.229827863064689,0.11722770917983, -0.888414462033696)); #152223=CARTESIAN_POINT('Ctrl Pts',(0.226301056774585,0.131956677239995, -0.88848901251335)); #152224=CARTESIAN_POINT('Ctrl Pts',(0.225464730026165,0.146840873435854, -0.888564348678186)); #152225=CARTESIAN_POINT('Ctrl Pts',(0.235815265491266,0.103598063655008, -0.883115282603135)); #152226=CARTESIAN_POINT('Ctrl Pts',(0.229823357667622,0.117244300363615, -0.883182766469342)); #152227=CARTESIAN_POINT('Ctrl Pts',(0.226296728011617,0.131984137619245, -0.883255638541133)); #152228=CARTESIAN_POINT('Ctrl Pts',(0.225463450560724,0.146863644218541, -0.883329226545363)); #152229=CARTESIAN_POINT('Ctrl Pts',(0.231839356912898,0.101896933600491, -0.872697334077316)); #152230=CARTESIAN_POINT('Ctrl Pts',(0.225638091842716,0.116025005607377, -0.872761762999365)); #152231=CARTESIAN_POINT('Ctrl Pts',(0.221995015525445,0.131262549093524, -0.872831195057238)); #152232=CARTESIAN_POINT('Ctrl Pts',(0.221134613973407,0.146665911686372, -0.872901443952335)); #152233=CARTESIAN_POINT('Ctrl Pts',(0.222301075618638,0.0977257854344385, -0.868354747647438)); #152234=CARTESIAN_POINT('Ctrl Pts',(0.215598737013309,0.112986889826449, -0.868421285599487)); #152235=CARTESIAN_POINT('Ctrl Pts',(0.211656457205342,0.129458562474079, -0.868493265322899)); #152236=CARTESIAN_POINT('Ctrl Pts',(0.210723154658308,0.146099820990373, -0.868565820535182)); #152237=CARTESIAN_POINT('Ctrl Pts',(0.217512596397603,0.0956222819932049, -0.868345569323971)); #152238=CARTESIAN_POINT('Ctrl Pts',(0.210557461723129,0.111454860703745, -0.868414652419282)); #152239=CARTESIAN_POINT('Ctrl Pts',(0.206466366426609,0.128540463653537, -0.868489202898935)); #152240=CARTESIAN_POINT('Ctrl Pts',(0.205496227398442,0.145806131240733, -0.868564539063772)); #152241=CARTESIAN_POINT('',(0.230673651022296,0.101377129930471,-0.874466088923883)); #152242=CARTESIAN_POINT('Ctrl Pts',(0.230673350221841,0.101376998082521, -0.874466022126003)); #152243=CARTESIAN_POINT('Ctrl Pts',(0.230625122673705,0.101486735545951, -0.874466481206091)); #152244=CARTESIAN_POINT('Ctrl Pts',(0.230576814227857,0.101596451379421, -0.874466681307174)); #152245=CARTESIAN_POINT('Ctrl Pts',(0.23052842099854,0.101706153860071, -0.874466622255272)); #152246=CARTESIAN_POINT('Ctrl Pts',(0.230301217384078,0.102221201119184, -0.874466345009759)); #152247=CARTESIAN_POINT('Ctrl Pts',(0.230072561579285,0.102735015850273, -0.874460355559184)); #152248=CARTESIAN_POINT('Ctrl Pts',(0.229842063837757,0.103248453868456, -0.87444871180061)); #152249=CARTESIAN_POINT('Ctrl Pts',(0.229466735185609,0.104084505441235, -0.874429751804809)); #152250=CARTESIAN_POINT('Ctrl Pts',(0.229087993530629,0.104916302894558, -0.874395869350642)); #152251=CARTESIAN_POINT('Ctrl Pts',(0.228704239453992,0.105747285763061, -0.874347730974591)); #152252=CARTESIAN_POINT('Ctrl Pts',(0.228468888196651,0.106256916474074, -0.874318208350547)); #152253=CARTESIAN_POINT('Ctrl Pts',(0.228232508501289,0.106764418950176, -0.874283448786907)); #152254=CARTESIAN_POINT('Ctrl Pts',(0.227994823157198,0.107270355255236, -0.8742437257504)); #152255=CARTESIAN_POINT('Ctrl Pts',(0.227436607473581,0.108458571472251, -0.874150434251659)); #152256=CARTESIAN_POINT('Ctrl Pts',(0.226871175427251,0.109638136841497, -0.874029706462931)); #152257=CARTESIAN_POINT('Ctrl Pts',(0.226295021508188,0.110816398632203, -0.873885748224278)); #152258=CARTESIAN_POINT('Ctrl Pts',(0.224421599603475,0.114647634305802, -0.873417653660077)); #152259=CARTESIAN_POINT('Ctrl Pts',(0.222464549569639,0.118404942232907, -0.872711277502817)); #152260=CARTESIAN_POINT('Ctrl Pts',(0.220417055325667,0.122096316148603, -0.871973059765072)); #152261=CARTESIAN_POINT('Ctrl Pts',(0.219963160388472,0.12291463148041, -0.871809409344712)); #152262=CARTESIAN_POINT('Ctrl Pts',(0.219505008539851,0.123729691559666, -0.871644262375115)); #152263=CARTESIAN_POINT('Ctrl Pts',(0.219042961741773,0.124541545680459, -0.871479878554331)); #152264=CARTESIAN_POINT('Ctrl Pts',(0.217105042277078,0.127946629125948, -0.870790418942656)); #152265=CARTESIAN_POINT('Ctrl Pts',(0.215139656562352,0.131246586855813, -0.870132172147946)); #152266=CARTESIAN_POINT('Ctrl Pts',(0.21311609761211,0.134456080188697, -0.869612132603775)); #152267=CARTESIAN_POINT('Ctrl Pts',(0.211197635839572,0.13749888270632, -0.869119102249716)); #152268=CARTESIAN_POINT('Ctrl Pts',(0.209226600153394,0.140461097666856, -0.868750467134462)); #152269=CARTESIAN_POINT('Ctrl Pts',(0.207219896111975,0.143351156590399, -0.868617529023799)); #152270=CARTESIAN_POINT('Ctrl Pts',(0.206647580544446,0.144175406543995, -0.86857961483781)); #152271=CARTESIAN_POINT('Ctrl Pts',(0.20607265496729,0.144993725824317, -0.868560994253931)); #152272=CARTESIAN_POINT('Ctrl Pts',(0.205496227398442,0.145806131240733, -0.868564539063772)); #152273=CARTESIAN_POINT('',(0.235823668818545,0.103578934429364,-0.888345378938386)); #152274=CARTESIAN_POINT('Origin',(0.350267871449433,0.153853425815952,-0.888599842620558)); #152275=CARTESIAN_POINT('Origin',(0.217512596397603,0.09553501580751,-0.888345378938386)); #152276=CARTESIAN_POINT('Origin',(0.236968144544998,0.138809478013104,-0.888534200598566)); #152277=CARTESIAN_POINT('',(0.238701620559675,-0.258384172672009,-0.866800914969474)); #152278=CARTESIAN_POINT('Ctrl Pts',(0.255902428235731,-0.248428186534215, -0.876713554421698)); #152279=CARTESIAN_POINT('Ctrl Pts',(0.255160629966969,-0.24843920700143, -0.875450733959725)); #152280=CARTESIAN_POINT('Ctrl Pts',(0.254231715328185,-0.248701033581301, -0.874203929175539)); #152281=CARTESIAN_POINT('Ctrl Pts',(0.25213281563939,-0.249583735938531, -0.87197281708836)); #152282=CARTESIAN_POINT('Ctrl Pts',(0.250955778194564,-0.25022027899953, -0.870999759335878)); #152283=CARTESIAN_POINT('Ctrl Pts',(0.248184062313304,-0.251851968297773, -0.869123231695132)); #152284=CARTESIAN_POINT('Ctrl Pts',(0.246311649829819,-0.253081047174302, -0.868229239419076)); #152285=CARTESIAN_POINT('Ctrl Pts',(0.242474108172012,-0.255707621431152, -0.867071459397349)); #152286=CARTESIAN_POINT('Ctrl Pts',(0.240507893691315,-0.25710301626116, -0.866806505104351)); #152287=CARTESIAN_POINT('Ctrl Pts',(0.238701620559675,-0.258384172672009, -0.866800914969474)); #152288=CARTESIAN_POINT('',(0.237935979092177,-0.0829131887062909,-0.867566850670011)); #152289=CARTESIAN_POINT('',(0.236968144544998,0.138896744198799,-0.868534390984152)); #152290=CARTESIAN_POINT('',(0.251968504,-4.75278350210324E-17,-0.874042984397344)); #152291=CARTESIAN_POINT('Ctrl Pts',(0.237935979491114,-0.0829131875614612, -0.867566556436366)); #152292=CARTESIAN_POINT('Ctrl Pts',(0.241434640472954,-0.0728730799247334, -0.867610365018815)); #152293=CARTESIAN_POINT('Ctrl Pts',(0.244382178943027,-0.062332684299661, -0.868583284651529)); #152294=CARTESIAN_POINT('Ctrl Pts',(0.2502392841684,-0.0343467987979464, -0.871645073462014)); #152295=CARTESIAN_POINT('Ctrl Pts',(0.251968504,-0.0165995921399308,-0.873895468810375)); #152296=CARTESIAN_POINT('Ctrl Pts',(0.251968504,-5.73688078669495E-17,-0.874042984397344)); #152297=CARTESIAN_POINT('',(0.251965837183079,0.00115926728064061,-0.874050522279353)); #152298=CARTESIAN_POINT('Ctrl Pts',(0.251968504,-4.79190769259616E-17,-0.874042984397344)); #152299=CARTESIAN_POINT('Ctrl Pts',(0.251968504,0.000367040925868019,-0.874046246179752)); #152300=CARTESIAN_POINT('Ctrl Pts',(0.251967701979458,0.000733519274850481, -0.874048671963113)); #152301=CARTESIAN_POINT('Ctrl Pts',(0.251966018398998,0.00111936504550586, -0.874050355543573)); #152302=CARTESIAN_POINT('Ctrl Pts',(0.251965928979951,0.00113931530239493, -0.874050440136744)); #152303=CARTESIAN_POINT('Ctrl Pts',(0.251965837183079,0.00115926728064063, -0.874050522279353)); #152304=CARTESIAN_POINT('',(0.257569108719643,0.00112314199866113,-0.887933046038335)); #152305=CARTESIAN_POINT('Origin',(0.237569299105229,0.00103587581296617, -0.887933046038335)); #152306=CARTESIAN_POINT('',(0.256967954159413,0.138896744198799,-0.888534200598566)); #152307=CARTESIAN_POINT('Ctrl Pts',(0.230674507513949,0.101377505351014, -0.874466279122496)); #152308=CARTESIAN_POINT('Ctrl Pts',(0.244509597284774,0.0698931060767454, -0.87433290939984)); #152309=CARTESIAN_POINT('Ctrl Pts',(0.251803084950006,0.0355506729192993, -0.874187434397575)); #152310=CARTESIAN_POINT('Ctrl Pts',(0.25195737656298,0.00115926862413218, -0.874041753755617)); #152311=CARTESIAN_POINT('Ctrl Pts',(0.233929115318595,0.102791166721106, -0.878147797455381)); #152312=CARTESIAN_POINT('Ctrl Pts',(0.247960616416473,0.0708602459691307, -0.878014742897025)); #152313=CARTESIAN_POINT('Ctrl Pts',(0.25535612206402,0.0360380256574227, -0.877869659773734)); #152314=CARTESIAN_POINT('Ctrl Pts',(0.255512801303532,0.00115871384416798, -0.877724323891303)); #152315=CARTESIAN_POINT('Ctrl Pts',(0.235815453428412,0.103597635837318, -0.88323225167306)); #152316=CARTESIAN_POINT('Ctrl Pts',(0.249958955386454,0.0714085577502834, -0.883100924042667)); #152317=CARTESIAN_POINT('Ctrl Pts',(0.257412513536675,0.0363056375688845, -0.882957714531678)); #152318=CARTESIAN_POINT('Ctrl Pts',(0.257569011265988,0.00114547658958603, -0.882814269880472)); #152319=CARTESIAN_POINT('Ctrl Pts',(0.235823668818545,0.103578934429364, -0.888345378938386)); #152320=CARTESIAN_POINT('Ctrl Pts',(0.249964021904397,0.0713900173623428, -0.888215834778814)); #152321=CARTESIAN_POINT('Ctrl Pts',(0.25741570405008,0.0362806793746989, -0.888074537397691)); #152322=CARTESIAN_POINT('Ctrl Pts',(0.257569108719643,0.00112314199866112, -0.887933046038335)); #152323=CARTESIAN_POINT('Ctrl Pts',(0.251965837021915,0.00115926727958832, -0.874050522359352)); #152324=CARTESIAN_POINT('Ctrl Pts',(0.251893202199429,0.0169463967587268, -0.874115518192856)); #152325=CARTESIAN_POINT('Ctrl Pts',(0.250321014695421,0.032685525167865, -0.874180541268063)); #152326=CARTESIAN_POINT('Ctrl Pts',(0.247301782680955,0.0481747846138455, -0.874244757422744)); #152327=CARTESIAN_POINT('Ctrl Pts',(0.247029495572077,0.0495716715218403, -0.87425054870709)); #152328=CARTESIAN_POINT('Ctrl Pts',(0.246745404710384,0.0509665215978143, -0.874256333409054)); #152329=CARTESIAN_POINT('Ctrl Pts',(0.24644952825348,0.0523591827907253, -0.874262110899883)); #152330=CARTESIAN_POINT('Ctrl Pts',(0.243168865353178,0.0678009382993626, -0.874326171420339)); #152331=CARTESIAN_POINT('Ctrl Pts',(0.238459150307213,0.0829005447360269, -0.87438904248849)); #152332=CARTESIAN_POINT('Ctrl Pts',(0.232358955728219,0.0974536394329125, -0.874449872072357)); #152333=CARTESIAN_POINT('Ctrl Pts',(0.231808812339623,0.0987661039334179, -0.874455357961858)); #152334=CARTESIAN_POINT('Ctrl Pts',(0.231247326660149,0.100074111920818, -0.874460827198382)); #152335=CARTESIAN_POINT('Ctrl Pts',(0.230674507513949,0.101377505351014, -0.874466279122496)); #152336=CARTESIAN_POINT('Origin',(3.66402664676143E-5,-5.62508921332125E-7, -0.887928523694333)); #152337=CARTESIAN_POINT('Origin',(0.225937998,0.,-0.86792863)); #152338=CARTESIAN_POINT('',(0.224854018653278,0.24842819,-0.879056043809987)); #152339=CARTESIAN_POINT('',(0.224854018653278,0.24842819,-0.869012609346722)); #152340=CARTESIAN_POINT('',(0.224854018653278,0.24842819,-1.0092241575)); #152341=CARTESIAN_POINT('',(0.224810529479499,0.258395111195719,-0.869056098520501)); #152342=CARTESIAN_POINT('',(0.225936647184544,0.000309582133455487,-0.867929980815456)); #152343=CARTESIAN_POINT('Origin',(0.224810385145071,0.25842819,-0.879056043809987)); #152344=CARTESIAN_POINT('Origin',(0.196968503,0.138853113085343,-0.868534200606297)); #152345=CARTESIAN_POINT('',(0.272286992850572,-0.258384172672009,-0.866800914969474)); #152346=CARTESIAN_POINT('',(0.3172342515,-0.258384172672009,-0.866800914969474)); #152347=CARTESIAN_POINT('',(-0.272286992850572,-0.258384172672009,-0.866800914969474)); #152348=CARTESIAN_POINT('Origin',(4.37095678986282E-17,-2.85876409078861E-5, -0.867928211023452)); #152349=CARTESIAN_POINT('',(-0.20706560917747,-0.258384172672009,-0.866800914969474)); #152350=CARTESIAN_POINT('',(0.3172342515,-0.258384172672009,-0.866800914969474)); #152351=CARTESIAN_POINT('',(-0.206567750374929,-0.144284065346936,-0.867299068005054)); #152352=CARTESIAN_POINT('',(-0.205630266001321,0.0705701642882958,-0.868236258145623)); #152353=CARTESIAN_POINT('',(0.226420378993915,-0.110552878679163,-0.867446249006084)); #152354=CARTESIAN_POINT('Origin',(2.41659001099573E-33,5.06202482933905E-32, -0.86792863)); #152355=CARTESIAN_POINT('Origin',(2.41659001099573E-33,5.06202482933905E-32, -0.86792863)); #152356=CARTESIAN_POINT('Origin',(0.196968503,0.138853113085343,-0.868534200606297)); #152357=CARTESIAN_POINT('',(-0.1202657485,0.24842819,-0.869012315108081)); #152358=CARTESIAN_POINT('',(-0.205300784889854,0.146081192258305,-0.868566033490129)); #152359=CARTESIAN_POINT('Origin',(2.41659001099573E-33,5.06202482933905E-32, -0.86792863)); #152360=CARTESIAN_POINT('',(-0.204810385144165,0.258471823092847,-0.869056139002779)); #152361=CARTESIAN_POINT('',(-0.205630266001321,0.0705701642882958,-0.868236258145623)); #152362=CARTESIAN_POINT('',(-0.268039370449964,0.258471823103909,-0.869056139002828)); #152363=CARTESIAN_POINT('',(-0.1202657485,0.258471823092847,-0.869056139002779)); #152364=CARTESIAN_POINT('',(-0.355901807676862,0.392453223237914,-0.869640746855061)); #152365=CARTESIAN_POINT('Ctrl Pts',(-0.355901807676862,0.392453223237912, -0.869640746855061)); #152366=CARTESIAN_POINT('Ctrl Pts',(-0.33606702986358,0.391335073801682, -0.869635867976801)); #152367=CARTESIAN_POINT('Ctrl Pts',(-0.29723053233791,0.37697003096488, -0.869573188255349)); #152368=CARTESIAN_POINT('Ctrl Pts',(-0.259525327781328,0.323946221261428, -0.869341826771763)); #152369=CARTESIAN_POINT('Ctrl Pts',(-0.260645793725971,0.279503252168393, -0.869147906506097)); #152370=CARTESIAN_POINT('Ctrl Pts',(-0.268143022666979,0.258508837216512, -0.869056300508386)); #152371=CARTESIAN_POINT('',(-0.381942269511173,0.393975094602795,-0.86964738731373)); #152372=CARTESIAN_POINT('Ctrl Pts',(-0.381942269511173,0.393975094602792, -0.86964738731373)); #152373=CARTESIAN_POINT('Ctrl Pts',(-0.373263174207305,0.39344990127565, -0.869645095710995)); #152374=CARTESIAN_POINT('Ctrl Pts',(-0.364582995644028,0.392942609381921, -0.869642882218494)); #152375=CARTESIAN_POINT('Ctrl Pts',(-0.355901807676862,0.392453223237914, -0.869640746855061)); #152376=CARTESIAN_POINT('',(-0.381924433447015,0.628159204699039,-0.870669214742714)); #152377=CARTESIAN_POINT('',(-0.381928246106553,0.578099714268517,-0.87045078762406)); #152378=CARTESIAN_POINT('',(-0.331418908710823,0.610197651862361,-0.870590842186403)); #152379=CARTESIAN_POINT('Origin',(-0.33138844368177,0.690215932503924,-0.870939990016911)); #152380=CARTESIAN_POINT('',(-0.203275681960542,0.610197651862361,-0.870590842186403)); #152381=CARTESIAN_POINT('',(0.2886984665,0.610197651862361,-0.870590842186403)); #152382=CARTESIAN_POINT('',(-0.201455363556664,1.0273812519619,-0.87241116059028)); #152383=CARTESIAN_POINT('',(-0.205631616816777,0.0702605821548403,-0.868234907330167)); #152384=CARTESIAN_POINT('',(0.201455363556664,1.0273812519619,-0.87241116059028)); #152385=CARTESIAN_POINT('',(0.2886984665,1.0273812519619,-0.87241116059028)); #152386=CARTESIAN_POINT('',(0.203275681960541,0.610197651862361,-0.870590842186403)); #152387=CARTESIAN_POINT('',(0.205634015908453,0.0697107543333991,-0.868232508238491)); #152388=CARTESIAN_POINT('',(0.331418908710823,0.61019765186236,-0.870590842186403)); #152389=CARTESIAN_POINT('',(0.2886984665,0.610197651862361,-0.870590842186403)); #152390=CARTESIAN_POINT('',(0.381924433447015,0.628159204699039,-0.870669214742714)); #152391=CARTESIAN_POINT('Origin',(0.331388443681771,0.690215932503924,-0.870939990016911)); #152392=CARTESIAN_POINT('',(0.381942269511471,0.393975094602831,-0.86964738731373)); #152393=CARTESIAN_POINT('',(0.381947120495924,0.330282592226425,-0.869369474581214)); #152394=CARTESIAN_POINT('',(0.355901807676861,0.392453223237912,-0.869640746855061)); #152395=CARTESIAN_POINT('Ctrl Pts',(0.355901807676861,0.392453223237915, -0.869640746855061)); #152396=CARTESIAN_POINT('Ctrl Pts',(0.364582995644127,0.392942609381928, -0.869642882218494)); #152397=CARTESIAN_POINT('Ctrl Pts',(0.373263174207504,0.393449901275662, -0.869645095710995)); #152398=CARTESIAN_POINT('Ctrl Pts',(0.381942269511473,0.39397509460281, -0.86964738731373)); #152399=CARTESIAN_POINT('',(0.268039370449964,0.258471823103909,-0.869056139002828)); #152400=CARTESIAN_POINT('Ctrl Pts',(0.268143022666979,0.258508837216512, -0.869056300508386)); #152401=CARTESIAN_POINT('Ctrl Pts',(0.260645793725971,0.279503252168393, -0.869147906506097)); #152402=CARTESIAN_POINT('Ctrl Pts',(0.259525327781327,0.323946221261428, -0.869341826771763)); #152403=CARTESIAN_POINT('Ctrl Pts',(0.297230532337909,0.37697003096488, -0.86957318825535)); #152404=CARTESIAN_POINT('Ctrl Pts',(0.336067029863579,0.391335073801683, -0.869635867976801)); #152405=CARTESIAN_POINT('Ctrl Pts',(0.355901807676861,0.392453223237912, -0.869640746855061)); #152406=CARTESIAN_POINT('',(0.224810194758579,0.258471823092847,-0.869056139002779)); #152407=CARTESIAN_POINT('',(-0.1202657485,0.258471823092847,-0.869056139002779)); #152408=CARTESIAN_POINT('Origin',(0.224810385145071,0.25842819,-0.879056043809987)); #152409=CARTESIAN_POINT('Origin',(0.205937218328614,0.000135053084831316, -0.989834350328614)); #152410=CARTESIAN_POINT('',(0.205269251744927,0.153308012155359,-1.00916619335934)); #152411=CARTESIAN_POINT('',(0.225269061359341,0.153308012155359,-0.989166383744927)); #152412=CARTESIAN_POINT('Origin',(0.205269251744927,0.153220745969664,-0.989166383744927)); #152413=CARTESIAN_POINT('',(0.224854018653278,0.24842819,-0.988751341038863)); #152414=CARTESIAN_POINT('',(0.225937027943028,0.000222319270526247,-0.989834350328614)); #152415=CARTESIAN_POINT('',(0.204854209038863,0.24842819,-1.00875115065328)); #152416=CARTESIAN_POINT('Origin',(0.20485382826588,0.24842819,-0.98875096026588)); #152417=CARTESIAN_POINT('',(0.205937218328614,0.000222319270526248,-1.00983415994303)); #152418=CARTESIAN_POINT('Ctrl Pts',(0.225269061359342,0.153308012155359, -0.989166383744927)); #152419=CARTESIAN_POINT('Ctrl Pts',(0.22534351954814,0.136243560577279, -0.989252755243933)); #152420=CARTESIAN_POINT('Ctrl Pts',(0.228960350493669,0.119202503587976, -0.989339008331533)); #152421=CARTESIAN_POINT('Ctrl Pts',(0.235823668818545,0.103578934429364, -0.989418086822973)); #152422=CARTESIAN_POINT('Ctrl Pts',(0.225268961676314,0.153330857677499, -0.994402258198074)); #152423=CARTESIAN_POINT('Ctrl Pts',(0.2253402056236,0.13627211256182,-0.994486624746292)); #152424=CARTESIAN_POINT('Ctrl Pts',(0.228956409074756,0.11921872755119, -0.994570935282861)); #152425=CARTESIAN_POINT('Ctrl Pts',(0.235815265491266,0.103598063655008, -0.994648183158224)); #152426=CARTESIAN_POINT('Ctrl Pts',(0.220933946634588,0.15335744708827, -1.00483107863459)); #152427=CARTESIAN_POINT('Ctrl Pts',(0.221005164607518,0.135698384529963, -1.00491161564358)); #152428=CARTESIAN_POINT('Ctrl Pts',(0.224740456542404,0.118068972255343, -1.00499194875946)); #152429=CARTESIAN_POINT('Ctrl Pts',(0.231838961387431,0.101896757964101, -1.00506569968127)); #152430=CARTESIAN_POINT('Ctrl Pts',(0.210505126198074,0.153330857677499, -1.00916609367631)); #152431=CARTESIAN_POINT('Ctrl Pts',(0.210586368136178,0.134252174527032, -1.009249275932)); #152432=CARTESIAN_POINT('Ctrl Pts',(0.214628998627169,0.115194966680706, -1.00933255301379)); #152433=CARTESIAN_POINT('Ctrl Pts',(0.222301075618638,0.0977257854344384, -1.00940871811392)); #152434=CARTESIAN_POINT('Ctrl Pts',(0.205269251744927,0.153308012155359, -1.00916619335934)); #152435=CARTESIAN_POINT('Ctrl Pts',(0.205355623243933,0.133513248324786, -1.00925256485835)); #152436=CARTESIAN_POINT('Ctrl Pts',(0.209551147140746,0.113745622217195, -1.00933881794595)); #152437=CARTESIAN_POINT('Ctrl Pts',(0.217512596397603,0.0956222819932049, -1.00941789643739)); #152438=CARTESIAN_POINT('',(0.217512596397603,0.0956222819932049,-1.00941789643739)); #152439=CARTESIAN_POINT('',(0.235823668818545,0.103578934429364,-0.989418086822973)); #152440=CARTESIAN_POINT('Origin',(0.217512596397603,0.09553501580751,-0.989418086822973)); #152441=CARTESIAN_POINT('Origin',(0.350267871449433,0.153853425815952,-0.9891636231408)); #152442=CARTESIAN_POINT('Origin',(0.350267871449433,0.153940692001647,-1.00916343275522)); #152443=CARTESIAN_POINT('Ctrl Pts',(0.237569299105229,0.00112314199866112, -1.00983022933744)); #152444=CARTESIAN_POINT('Ctrl Pts',(0.237427807745873,0.0335503671222061, -1.00968873797808)); #152445=CARTESIAN_POINT('Ctrl Pts',(0.230554818551475,0.0659331359915616, -1.00954744059696)); #152446=CARTESIAN_POINT('Ctrl Pts',(0.217512596397603,0.0956222819932049, -1.00941789643739)); #152447=CARTESIAN_POINT('Ctrl Pts',(0.242805173558375,0.00114598752080133, -1.00983012965441)); #152448=CARTESIAN_POINT('Ctrl Pts',(0.242658552638118,0.0342892933244519, -1.00968544905173)); #152449=CARTESIAN_POINT('Ctrl Pts',(0.235632670037897,0.0673824804550727, -1.0095411756648)); #152450=CARTESIAN_POINT('Ctrl Pts',(0.222301075618638,0.0977257854344384, -1.00940871811392)); #152451=CARTESIAN_POINT('Ctrl Pts',(0.253234140915405,0.00117257821370338, -1.0054952615332)); #152452=CARTESIAN_POINT('Ctrl Pts',(0.253077496029974,0.0357355046095145, -1.00534793568383)); #152453=CARTESIAN_POINT('Ctrl Pts',(0.245744261789942,0.070256545461064, -1.00520071759037)); #152454=CARTESIAN_POINT('Ctrl Pts',(0.23183909522424,0.101896817395455, -1.00506584586117)); #152455=CARTESIAN_POINT('Ctrl Pts',(0.257569009036616,0.00114598752080133, -0.99506629417617)); #152456=CARTESIAN_POINT('Ctrl Pts',(0.25741239012554,0.03630923135924,-0.994922797866027)); #152457=CARTESIAN_POINT('Ctrl Pts',(0.249960080485484,0.0714062413255561, -0.994779557933873)); #152458=CARTESIAN_POINT('Ctrl Pts',(0.235815265491266,0.103598063655008, -0.994648183158224)); #152459=CARTESIAN_POINT('Ctrl Pts',(0.257569108719643,0.00112314199866112, -0.989830419723024)); #152460=CARTESIAN_POINT('Ctrl Pts',(0.25741570405008,0.0362806793746989, -0.989688928363668)); #152461=CARTESIAN_POINT('Ctrl Pts',(0.249964021904397,0.0713900173623428, -0.989547630982545)); #152462=CARTESIAN_POINT('Ctrl Pts',(0.235823668818545,0.103578934429364, -0.989418086822973)); #152463=CARTESIAN_POINT('',(0.237569299105229,0.00112314199866112,-1.00983022933744)); #152464=CARTESIAN_POINT('',(0.257569108719643,0.00112314199866113,-0.989830419723023)); #152465=CARTESIAN_POINT('Origin',(0.237569299105229,0.00103587581296619, -0.989830419723024)); #152466=CARTESIAN_POINT('Origin',(3.66402664676143E-5,-5.62508921332125E-7, -0.989834942067026)); #152467=CARTESIAN_POINT('Origin',(3.66402664676143E-5,8.67036767735998E-5, -1.00983475168144)); #152468=CARTESIAN_POINT('Origin',(0.237270366127703,0.0695458329100301, -0.989531486745498)); #152469=CARTESIAN_POINT('',(0.257270175742118,0.069633099095725,-0.989531486745498)); #152470=CARTESIAN_POINT('',(0.237270366127703,0.069633099095725,-1.00953129635991)); #152471=CARTESIAN_POINT('Origin',(0.225937998,0.,-1.00983513)); #152472=CARTESIAN_POINT('',(-0.105781001,0.24842819,-1.00875115065328)); #152473=CARTESIAN_POINT('Origin',(-0.205631616816777,0.0701733159691453, -0.888234716944582)); #152474=CARTESIAN_POINT('',(-0.221456696292011,1.0270321805727,-0.892409447083762)); #152475=CARTESIAN_POINT('',(-0.225631426431192,0.0702605821548403,-0.888234716944582)); #152476=CARTESIAN_POINT('Origin',(-0.201456505933612,1.02703217392679,-0.892409827827747)); #152477=CARTESIAN_POINT('Origin',(-0.203275681960542,0.610110385676665, -0.890590651800817)); #152478=CARTESIAN_POINT('Origin',(-0.225937998,0.,-0.86792863)); #152479=CARTESIAN_POINT('',(-0.221463775728814,1.02540970346878,-0.985361098114399)); #152480=CARTESIAN_POINT('',(-0.225937027943029,0.000222319270526247,-0.989834350328614)); #152481=CARTESIAN_POINT('',(-0.221466276363778,1.02483660378767,-1.0181939568558)); #152482=CARTESIAN_POINT('Origin',(-0.122970481634276,-5.89046545820312E-5, -1.04283519663428)); #152483=CARTESIAN_POINT('',(-0.118501943391132,1.02413538999722,-1.05836646800555)); #152484=CARTESIAN_POINT('',(-0.122970481634276,2.83615311129005E-5,-1.06283500624869)); #152485=CARTESIAN_POINT('Origin',(-0.118500039236186,1.02448452120974,-1.03836475423619)); #152486=CARTESIAN_POINT('Origin',(-0.142970415,0.,-1.06283513)); #152487=CARTESIAN_POINT('',(0.118501943391131,1.02413538999722,-1.05836646800555)); #152488=CARTESIAN_POINT('',(0.122968264258231,0.000536543461611444,-1.06283278887265)); #152489=CARTESIAN_POINT('',(0.1187290075,1.02413538999722,-1.05836646800555)); #152490=CARTESIAN_POINT('Origin',(-0.205937218328614,0.000135053084831316, -0.989834350328614)); #152491=CARTESIAN_POINT('',(-0.201465489467359,1.02506057890318,-1.00536243108177)); #152492=CARTESIAN_POINT('',(-0.205937218328614,0.000222319270526248,-1.00983415994303)); #152493=CARTESIAN_POINT('Origin',(-0.201463585312414,1.0254097101157,-0.985360717312413)); #152494=CARTESIAN_POINT('Origin',(-0.225937998,0.,-1.00983513)); #152495=CARTESIAN_POINT('',(0.0772452159999999,1.02506057890318,-1.00536243108177)); #152496=CARTESIAN_POINT('Origin',(0.205937779371272,6.47239495159951E-6, -0.989834911371272)); #152497=CARTESIAN_POINT('',(0.221463775728814,1.02540970346878,-0.985361098114399)); #152498=CARTESIAN_POINT('',(0.225937588985687,9.37385806465308E-5,-0.989834911371272)); #152499=CARTESIAN_POINT('',(0.201465489467359,1.02506057890318,-1.00536243108177)); #152500=CARTESIAN_POINT('Origin',(0.201463585312413,1.0254097101157,-0.985360717312413)); #152501=CARTESIAN_POINT('',(0.205937779371272,9.37385806465319E-5,-1.00983472098569)); #152502=CARTESIAN_POINT('Origin',(0.225937998,0.,-1.00983513)); #152503=CARTESIAN_POINT('',(0.221456696292011,1.0270321805727,-0.892409447083762)); #152504=CARTESIAN_POINT('',(0.225633825522867,0.0697107543333991,-0.888232317852906)); #152505=CARTESIAN_POINT('',(0.221471680905863,1.02359798184152,-1.08915456062533)); #152506=CARTESIAN_POINT('Origin',(0.122968264258231,0.000449277275916512, -1.04283297925823)); #152507=CARTESIAN_POINT('Origin',(0.118500039236185,1.02448452120974,-1.03836475423619)); #152508=CARTESIAN_POINT('Origin',(-0.205937779371273,6.47239495159895E-6, -0.989834911371272)); #152509=CARTESIAN_POINT('',(-0.204854209038864,0.24842819,-1.00875115065328)); #152510=CARTESIAN_POINT('',(-0.205937779371273,9.37385806465314E-5,-1.00983472098569)); #152511=CARTESIAN_POINT('',(-0.224854018653278,0.24842819,-0.988751341038863)); #152512=CARTESIAN_POINT('Origin',(-0.204853828265881,0.24842819,-0.98875096026588)); #152513=CARTESIAN_POINT('',(-0.225937588985687,9.37385806465304E-5,-0.989834911371272)); #152514=CARTESIAN_POINT('Origin',(-0.142970415,0.,-1.00983513)); #152515=CARTESIAN_POINT('',(-0.2902352075,0.24842819,-1.00875115065328)); #152516=CARTESIAN_POINT('Origin',(-0.122968264258232,0.000449277275916511, -1.04283297925823)); #152517=CARTESIAN_POINT('',(-0.121886626038864,0.24842819,-1.06175115065328)); #152518=CARTESIAN_POINT('',(-0.122968264258232,0.000536543461611444,-1.06283278887265)); #152519=CARTESIAN_POINT('Origin',(-0.121886245265881,0.24842819,-1.04175096026588)); #152520=CARTESIAN_POINT('Origin',(0.142970415,0.,-1.06283513)); #152521=CARTESIAN_POINT('',(0.121886626038863,0.24842819,-1.06175115065328)); #152522=CARTESIAN_POINT('',(0.122970481634275,2.83615311129001E-5,-1.06283500624869)); #152523=CARTESIAN_POINT('',(-0.1472647925,0.24842819,-1.06175115065328)); #152524=CARTESIAN_POINT('Origin',(0.122970481634275,-5.89046545820316E-5, -1.04283519663428)); #152525=CARTESIAN_POINT('Origin',(0.12188624526588,0.24842819,-1.04175096026588)); #152526=CARTESIAN_POINT('Origin',(-0.203109983482681,0.641887637054773, -1.24570736151732)); #152527=CARTESIAN_POINT('',(-0.221456687092639,1.02083648746462,-1.24736046752178)); #152528=CARTESIAN_POINT('',(-0.223109793097096,0.641974903240468,-1.24570736151732)); #152529=CARTESIAN_POINT('',(-0.201455354357292,1.02118555885382,-1.22736218102829)); #152530=CARTESIAN_POINT('Origin',(-0.20145649673424,1.02083648081871,-1.24736084826576)); #152531=CARTESIAN_POINT('',(-0.203109983482681,0.641974903240468,-1.2257075519029)); #152532=CARTESIAN_POINT('Origin',(-0.223113659999999,0.64108868,-1.225703685)); #152533=CARTESIAN_POINT('',(-0.221469025458478,1.01800876025533,-1.40936085084406)); #152534=CARTESIAN_POINT('',(-0.223112086481633,0.641449301556922,-1.41100391186722)); #152535=CARTESIAN_POINT('',(-0.221452427548906,1.02181269678356,-1.19143347309597)); #152536=CARTESIAN_POINT('Origin',(0.203109983482682,0.641887637054773,-1.41100161848268)); #152537=CARTESIAN_POINT('',(0.22146902545848,1.01800876025533,-1.40936085084406)); #152538=CARTESIAN_POINT('',(0.223109793097097,0.641974903240468,-1.41100161848268)); #152539=CARTESIAN_POINT('',(0.201470739197025,1.01765963568974,-1.42936218381144)); #152540=CARTESIAN_POINT('Origin',(0.201468835042079,1.01800876690226,-1.40936047004208)); #152541=CARTESIAN_POINT('',(0.203109983482682,0.641974903240468,-1.4310014280971)); #152542=CARTESIAN_POINT('Origin',(0.223113660000001,0.64108868,-1.431005295)); #152543=CARTESIAN_POINT('',(0.22145668709264,1.02083648746462,-1.24736046752178)); #152544=CARTESIAN_POINT('',(0.223112086481634,0.641449301556922,-1.24570506813278)); #152545=CARTESIAN_POINT('',(0.221460245522967,1.02002096048253,-1.29408197703471)); #152546=CARTESIAN_POINT('Origin',(0.20311227686722,0.641362035371227,-1.24570506813278)); #152547=CARTESIAN_POINT('',(0.201455354357293,1.02118555885382,-1.22736218102829)); #152548=CARTESIAN_POINT('',(0.20311227686722,0.641449301556922,-1.22570525851837)); #152549=CARTESIAN_POINT('Origin',(0.201456496734241,1.02083648081871,-1.24736084826576)); #152550=CARTESIAN_POINT('Origin',(0.223113660000001,0.64108868,-1.225703685)); #152551=CARTESIAN_POINT('',(0.301771045,1.02118555885382,-1.22736218102829)); #152552=CARTESIAN_POINT('Origin',(-0.203112276867218,0.641362035371227, -1.41100391186722)); #152553=CARTESIAN_POINT('',(-0.201470739197023,1.01765963568974,-1.42936218381144)); #152554=CARTESIAN_POINT('',(-0.203112276867218,0.641449301556922,-1.43100372148163)); #152555=CARTESIAN_POINT('Origin',(-0.201468835042078,1.01800876690226,-1.40936047004208)); #152556=CARTESIAN_POINT('Origin',(-0.223113659999999,0.64108868,-1.431005295)); #152557=CARTESIAN_POINT('',(0.0786573850000006,1.01765963568974,-1.42936218381144)); #152558=CARTESIAN_POINT('Origin',(0.205634015908453,0.0696234881477041, -0.888232317852906)); #152559=CARTESIAN_POINT('Origin',(0.203275681960541,0.610110385676666,-0.890590651800817)); #152560=CARTESIAN_POINT('Origin',(0.201456505933612,1.02703217392679,-0.892409827827747)); #152561=CARTESIAN_POINT('Origin',(-0.205630266001321,0.0704828981026008, -0.888236067760038)); #152562=CARTESIAN_POINT('',(-0.222199809418711,0.24842819,-0.879056043809987)); #152563=CARTESIAN_POINT('Ctrl Pts',(-0.222199809418711,0.24842819,-0.879056043809987)); #152564=CARTESIAN_POINT('Ctrl Pts',(-0.222191447935506,0.24842819,-0.879041476249692)); #152565=CARTESIAN_POINT('Ctrl Pts',(-0.222183075083113,0.248428221832346, -0.879026931769714)); #152566=CARTESIAN_POINT('Ctrl Pts',(-0.221417938186397,0.248434004279023, -0.87770170102046)); #152567=CARTESIAN_POINT('Ctrl Pts',(-0.220456759626066,0.248710501727552, -0.876406667608142)); #152568=CARTESIAN_POINT('Ctrl Pts',(-0.218311250973291,0.249630206862273, -0.874144274951664)); #152569=CARTESIAN_POINT('Ctrl Pts',(-0.217127734507778,0.250276181182136, -0.873177269737106)); #152570=CARTESIAN_POINT('Ctrl Pts',(-0.214341260759605,0.251925128486856, -0.871316791980002)); #152571=CARTESIAN_POINT('Ctrl Pts',(-0.212458940825116,0.253161663967501, -0.87043515946479)); #152572=CARTESIAN_POINT('Ctrl Pts',(-0.208601598620784,0.255796285494839, -0.869302631024628)); #152573=CARTESIAN_POINT('Ctrl Pts',(-0.206625512445015,0.257192306393157, -0.869050556022538)); #152574=CARTESIAN_POINT('Ctrl Pts',(-0.204810385144165,0.258471823092847, -0.869056139002779)); #152575=CARTESIAN_POINT('',(-0.225447013979433,0.112524534639141,-0.88841912939634)); #152576=CARTESIAN_POINT('Ctrl Pts',(-0.205300784283458,0.146081193110527, -0.868565739255207)); #152577=CARTESIAN_POINT('Ctrl Pts',(-0.20817918922718,0.142035916782694, -0.868548088208416)); #152578=CARTESIAN_POINT('Ctrl Pts',(-0.211174690355017,0.137551858407109, -0.869166303767601)); #152579=CARTESIAN_POINT('Ctrl Pts',(-0.216678625304409,0.128707504458057, -0.871709822325743)); #152580=CARTESIAN_POINT('Ctrl Pts',(-0.219190284034204,0.124345202237328, -0.873621143106188)); #152581=CARTESIAN_POINT('Ctrl Pts',(-0.222292981430788,0.118654868466968, -0.877567973122147)); #152582=CARTESIAN_POINT('Ctrl Pts',(-0.223358789462148,0.116627289191918, -0.879304310784186)); #152583=CARTESIAN_POINT('Ctrl Pts',(-0.22453863577302,0.114329692364725, -0.882391794447404)); #152584=CARTESIAN_POINT('Ctrl Pts',(-0.22486356920948,0.113687105053334, -0.883500230444824)); #152585=CARTESIAN_POINT('Ctrl Pts',(-0.225317501193612,0.112784773739298, -0.88585819693998)); #152586=CARTESIAN_POINT('Ctrl Pts',(-0.225447013979433,0.11252453463914, -0.887107438918207)); #152587=CARTESIAN_POINT('Ctrl Pts',(-0.225447013979433,0.11252453463914, -0.88841912939634)); #152588=CARTESIAN_POINT('',(-0.224854018653278,0.24842819,-0.889012124722496)); #152589=CARTESIAN_POINT('',(-0.225630075615736,0.0705701642882958,-0.888236067760038)); #152590=CARTESIAN_POINT('Origin',(-0.204853828265881,0.24842819,-0.889012505495479)); #152591=CARTESIAN_POINT('Origin',(-0.225937998,0.,-1.00983513)); #152592=CARTESIAN_POINT('',(-0.224854018653278,0.24842819,-1.0801774075)); #152593=CARTESIAN_POINT('',(-0.225447013979433,0.11252453463914,-0.868419614020567)); #152594=CARTESIAN_POINT('',(-0.225447013979433,0.112524534639141,0.139)); #152595=CARTESIAN_POINT('',(-0.226420378993916,-0.110552878679162,-0.867446249006084)); #152596=CARTESIAN_POINT('',(-0.226175846133247,-0.0545104308639909,-0.867690781866753)); #152597=CARTESIAN_POINT('',(-0.226420378993916,-0.110552878679162,-0.887445764381858)); #152598=CARTESIAN_POINT('',(-0.226420378993916,-0.110552878679162,0.139)); #152599=CARTESIAN_POINT('',(-0.225630075615736,0.0705701642882958,-0.888236067760038)); #152600=CARTESIAN_POINT('Origin',(-0.205630266001321,0.0704828981026008, -0.888236067760038)); #152601=CARTESIAN_POINT('Ctrl Pts',(-0.226420378993916,-0.110552878679162, -0.887445764381858)); #152602=CARTESIAN_POINT('Ctrl Pts',(-0.226420378993916,-0.110552878679162, -0.886130737635016)); #152603=CARTESIAN_POINT('Ctrl Pts',(-0.226292617444409,-0.110815309902688, -0.884878305975507)); #152604=CARTESIAN_POINT('Ctrl Pts',(-0.225846000487578,-0.111722727770321, -0.882512329183507)); #152605=CARTESIAN_POINT('Ctrl Pts',(-0.225526686682003,-0.112368085489587, -0.881399012941138)); #152606=CARTESIAN_POINT('Ctrl Pts',(-0.224367225761321,-0.114675315907275, -0.878294249956133)); #152607=CARTESIAN_POINT('Ctrl Pts',(-0.223320559815581,-0.116709320278002, -0.87654215265599)); #152608=CARTESIAN_POINT('Ctrl Pts',(-0.220272148150092,-0.122418254234077, -0.87255035456457)); #152609=CARTESIAN_POINT('Ctrl Pts',(-0.217800794708996,-0.126799324069467, -0.870599798783742)); #152610=CARTESIAN_POINT('Ctrl Pts',(-0.212374233042071,-0.135692492055913, -0.867976120880305)); #152611=CARTESIAN_POINT('Ctrl Pts',(-0.209415784769346,-0.1402066084104, -0.867316565227592)); #152612=CARTESIAN_POINT('Ctrl Pts',(-0.206567750977706,-0.144284064483956, -0.867298773770179)); #152613=CARTESIAN_POINT('Ctrl Pts',(-0.20706560917747,-0.258384172672009, -0.866800914969474)); #152614=CARTESIAN_POINT('Ctrl Pts',(-0.210416949301839,-0.256007128574933, -0.866811286846786)); #152615=CARTESIAN_POINT('Ctrl Pts',(-0.214348264277974,-0.253218999202449, -0.867851032881533)); #152616=CARTESIAN_POINT('Ctrl Pts',(-0.218718136820422,-0.250556244849799, -0.870537126132765)); #152617=CARTESIAN_POINT('Ctrl Pts',(-0.220042766245095,-0.249810397649993, -0.871556656604408)); #152618=CARTESIAN_POINT('Ctrl Pts',(-0.222401560907211,-0.248757053324101, -0.873949009403637)); #152619=CARTESIAN_POINT('Ctrl Pts',(-0.223443281260483,-0.248440415382944, -0.875312267153116)); #152620=CARTESIAN_POINT('Ctrl Pts',(-0.224266416853526,-0.248428186534214, -0.876713554421698)); #152621=CARTESIAN_POINT('Origin',(0.350267871449433,0.153853425815952,-0.903405255)); #152622=CARTESIAN_POINT('',(0.225269061359341,0.153308012155359,-0.903405255)); #152623=CARTESIAN_POINT('',(0.235823668818545,0.103578934429364,-0.903405255)); #152624=CARTESIAN_POINT('Origin',(3.66402664676143E-5,-5.62508921332125E-7, -0.903405255)); #152625=CARTESIAN_POINT('',(0.257569108719643,0.00112314199866113,-0.903405255)); #152626=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.96)); #152627=CARTESIAN_POINT('',(1.68767302736884,1.27306234,-0.97)); #152628=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.97)); #152629=CARTESIAN_POINT('',(1.69767150432041,1.27306234,-0.960174524064373)); #152630=CARTESIAN_POINT('Origin',(1.68767302736884,1.27306234,-0.96)); #152631=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.960174524064373)); #152632=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.97)); #152633=CARTESIAN_POINT('',(1.3656543265537,0.946535811060706,-0.731659719204207)); #152634=CARTESIAN_POINT('',(1.70503069695594,1.2730323871491,-0.539860273098871)); #152635=CARTESIAN_POINT('Ctrl Pts',(1.3656543265537,0.946535811060706,-0.731659719204207)); #152636=CARTESIAN_POINT('Ctrl Pts',(1.41771233821939,0.944910828665492, -0.723928459273219)); #152637=CARTESIAN_POINT('Ctrl Pts',(1.51938110403547,0.967170457569817, -0.69246373958643)); #152638=CARTESIAN_POINT('Ctrl Pts',(1.61503079832708,1.04044666707374,-0.631615441434736)); #152639=CARTESIAN_POINT('Ctrl Pts',(1.66903889661412,1.11914710618008,-0.584815967905106)); #152640=CARTESIAN_POINT('Ctrl Pts',(1.69656263675637,1.18809825218878,-0.555325906525239)); #152641=CARTESIAN_POINT('Ctrl Pts',(1.7046537002578,1.24186407290919,-0.543024490890665)); #152642=CARTESIAN_POINT('Ctrl Pts',(1.70501245655673,1.2705394005275,-0.540123535211687)); #152643=CARTESIAN_POINT('Ctrl Pts',(1.70501474910314,1.27301765220516,-0.539899148487899)); #152644=CARTESIAN_POINT('',(1.36565345456331,1.60061865275848,-0.672686092745705)); #152645=CARTESIAN_POINT('Ctrl Pts',(1.36565345456331,1.60061865275848,-0.672686092745707)); #152646=CARTESIAN_POINT('Ctrl Pts',(1.31792384998062,1.59912828024551,-0.680041889220027)); #152647=CARTESIAN_POINT('Ctrl Pts',(1.17504715811477,1.56374153912232,-0.703539929148223)); #152648=CARTESIAN_POINT('Ctrl Pts',(1.04604993893853,1.39909297710252,-0.733285513444075)); #152649=CARTESIAN_POINT('Ctrl Pts',(1.04570061504485,1.20127491778275,-0.75117292561169)); #152650=CARTESIAN_POINT('Ctrl Pts',(1.10808540759012,1.06693858873934,-0.756076372390155)); #152651=CARTESIAN_POINT('Ctrl Pts',(1.222647883972,0.972260479259682,-0.75013202820169)); #152652=CARTESIAN_POINT('Ctrl Pts',(1.31789180372503,0.948026710468199, -0.738753045805301)); #152653=CARTESIAN_POINT('Ctrl Pts',(1.3656543265537,0.946535811060707,-0.731659719204209)); #152654=CARTESIAN_POINT('Ctrl Pts',(1.70501478606486,1.27306073023906,-0.539895250749865)); #152655=CARTESIAN_POINT('Ctrl Pts',(1.70503356310422,1.2967999529443,-0.537748764533122)); #152656=CARTESIAN_POINT('Ctrl Pts',(1.69664815807485,1.37387592953896,-0.538523748384257)); #152657=CARTESIAN_POINT('Ctrl Pts',(1.63908989702504,1.48812939833955,-0.577465979483956)); #152658=CARTESIAN_POINT('Ctrl Pts',(1.52025990074778,1.58008111736396,-0.637111221466653)); #152659=CARTESIAN_POINT('Ctrl Pts',(1.41767558750375,1.60224306087329,-0.664668757898377)); #152660=CARTESIAN_POINT('Ctrl Pts',(1.36565345456331,1.60061865275848,-0.672686092745705)); #152661=CARTESIAN_POINT('',(1.6975,1.27306234,-0.97)); #152662=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.97)); #152663=CARTESIAN_POINT('Ctrl Pts',(1.35006849171787,1.1840686594148,-0.97)); #152664=CARTESIAN_POINT('Ctrl Pts',(1.34325907814394,1.18491092521346,-0.97)); #152665=CARTESIAN_POINT('Ctrl Pts',(1.33656005666282,1.18647813190161,-0.97)); #152666=CARTESIAN_POINT('Ctrl Pts',(1.33010179589953,1.18874071468027,-0.97)); #152667=CARTESIAN_POINT('Ctrl Pts',(1.32677234020193,1.18990715397404,-0.97)); #152668=CARTESIAN_POINT('Ctrl Pts',(1.32351585155614,1.19125588353576,-0.97)); #152669=CARTESIAN_POINT('Ctrl Pts',(1.3203509321365,1.19278221383556,-0.97)); #152670=CARTESIAN_POINT('Ctrl Pts',(1.31724930722474,1.1942780194008,-0.97)); #152671=CARTESIAN_POINT('Ctrl Pts',(1.31423510046671,1.19594456338244,-0.97)); #152672=CARTESIAN_POINT('Ctrl Pts',(1.31132435973405,1.19778010457792,-0.97)); #152673=CARTESIAN_POINT('Ctrl Pts',(1.30862436832062,1.1994827452189,-0.97)); #152674=CARTESIAN_POINT('Ctrl Pts',(1.30602015457219,1.20132675117618,-0.97)); #152675=CARTESIAN_POINT('Ctrl Pts',(1.30351853767844,1.20329532453121,-0.97)); #152676=CARTESIAN_POINT('Ctrl Pts',(1.29750582533414,1.20802685050628,-0.97)); #152677=CARTESIAN_POINT('Ctrl Pts',(1.29207670693043,1.21347943620113,-0.97)); #152678=CARTESIAN_POINT('Ctrl Pts',(1.28727826752282,1.2194951548336,-0.97)); #152679=CARTESIAN_POINT('Ctrl Pts',(1.28557045046064,1.22163621488438,-0.97)); #152680=CARTESIAN_POINT('Ctrl Pts',(1.28394151676262,1.22385084457983,-0.97)); #152681=CARTESIAN_POINT('Ctrl Pts',(1.28239824868044,1.22612745898287,-0.97)); #152682=CARTESIAN_POINT('Ctrl Pts',(1.27780995359845,1.23289606826709,-0.97)); #152683=CARTESIAN_POINT('Ctrl Pts',(1.27400587546841,1.24016368558895,-0.97)); #152684=CARTESIAN_POINT('Ctrl Pts',(1.2710393434948,1.24775608040217,-0.97)); #152685=CARTESIAN_POINT('Ctrl Pts',(1.26931283874827,1.25217481102741,-0.97)); #152686=CARTESIAN_POINT('Ctrl Pts',(1.26787046927529,1.25670451612701,-0.97)); #152687=CARTESIAN_POINT('Ctrl Pts',(1.26672836941673,1.26131645338778,-0.97)); #152688=CARTESIAN_POINT('Ctrl Pts',(1.26611783045451,1.26378188350575,-0.97)); #152689=CARTESIAN_POINT('Ctrl Pts',(1.26559404828251,1.26626825252998,-0.97)); #152690=CARTESIAN_POINT('Ctrl Pts',(1.26516046720038,1.26876250071805,-0.97)); #152691=CARTESIAN_POINT('Ctrl Pts',(1.26430380836798,1.27369057490889,-0.97)); #152692=CARTESIAN_POINT('Ctrl Pts',(1.26379655251146,1.27865843087181,-0.97)); #152693=CARTESIAN_POINT('Ctrl Pts',(1.26365264869249,1.28363121161755,-0.97)); #152694=CARTESIAN_POINT('Ctrl Pts',(1.26348472145354,1.28943415240466,-0.97)); #152695=CARTESIAN_POINT('Ctrl Pts',(1.26381111526903,1.29524395854617,-0.97)); #152696=CARTESIAN_POINT('Ctrl Pts',(1.26459896233844,1.30101740898536,-0.97)); #152697=CARTESIAN_POINT('Ctrl Pts',(1.26507877350862,1.30453353047486,-0.97)); #152698=CARTESIAN_POINT('Ctrl Pts',(1.26573114811217,1.30803894032542,-0.97)); #152699=CARTESIAN_POINT('Ctrl Pts',(1.26654371970567,1.31150000854304,-0.97)); #152700=CARTESIAN_POINT('Ctrl Pts',(1.26818820150796,1.31850451589461,-0.97)); #152701=CARTESIAN_POINT('Ctrl Pts',(1.2704887573567,1.32534727836594,-0.97)); #152702=CARTESIAN_POINT('Ctrl Pts',(1.27338199566311,1.3319498381345,-0.97)); #152703=CARTESIAN_POINT('Ctrl Pts',(1.27517412752538,1.33603960070525,-0.97)); #152704=CARTESIAN_POINT('Ctrl Pts',(1.27719418089584,1.34003732539691,-0.97)); #152705=CARTESIAN_POINT('Ctrl Pts',(1.27943171864823,1.34392412579584,-0.97)); #152706=CARTESIAN_POINT('Ctrl Pts',(1.28118535962658,1.3469703548246,-0.97)); #152707=CARTESIAN_POINT('Ctrl Pts',(1.2830741468455,1.34994974697663,-0.97)); #152708=CARTESIAN_POINT('Ctrl Pts',(1.28508031803988,1.35283488650829,-0.97)); #152709=CARTESIAN_POINT('Ctrl Pts',(1.29029513554614,1.36033448384067,-0.97)); #152710=CARTESIAN_POINT('Ctrl Pts',(1.29631463459879,1.3672272446783,-0.97)); #152711=CARTESIAN_POINT('Ctrl Pts',(1.30304340692422,1.37336171457027,-0.97)); #152712=CARTESIAN_POINT('Ctrl Pts',(1.30641982525683,1.37643991897405,-0.97)); #152713=CARTESIAN_POINT('Ctrl Pts',(1.30997562292458,1.37932699296941,-0.97)); #152714=CARTESIAN_POINT('Ctrl Pts',(1.31370302847516,1.38200122783113,-0.97)); #152715=CARTESIAN_POINT('',(1.42037153700976,1.19242659446631,-0.97)); #152716=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.97)); #152717=CARTESIAN_POINT('Ctrl Pts',(1.40868248083689,1.39329294575475,-0.97)); #152718=CARTESIAN_POINT('Ctrl Pts',(1.41480170803379,1.39085157503205,-0.97)); #152719=CARTESIAN_POINT('Ctrl Pts',(1.42071588188101,1.38795368475841,-0.97)); #152720=CARTESIAN_POINT('Ctrl Pts',(1.42635952359215,1.38461107426042,-0.97)); #152721=CARTESIAN_POINT('Ctrl Pts',(1.43094804849862,1.3818933872938,-0.97)); #152722=CARTESIAN_POINT('Ctrl Pts',(1.43536074082706,1.37887818986873,-0.97)); #152723=CARTESIAN_POINT('Ctrl Pts',(1.43953564513717,1.37558662759348,-0.97)); #152724=CARTESIAN_POINT('Ctrl Pts',(1.44397996145461,1.37208265652178,-0.97)); #152725=CARTESIAN_POINT('Ctrl Pts',(1.44816059080299,1.36826299495695,-0.97)); #152726=CARTESIAN_POINT('Ctrl Pts',(1.45202832363187,1.36413130248991,-0.97)); #152727=CARTESIAN_POINT('Ctrl Pts',(1.45408563017952,1.36193359175307,-0.97)); #152728=CARTESIAN_POINT('Ctrl Pts',(1.45605173438481,1.35964988467292,-0.97)); #152729=CARTESIAN_POINT('Ctrl Pts',(1.45791988098435,1.35729386502374,-0.97)); #152730=CARTESIAN_POINT('Ctrl Pts',(1.46309793697049,1.35076354151974,-0.97)); #152731=CARTESIAN_POINT('Ctrl Pts',(1.46753963038063,1.34366462678343,-0.97)); #152732=CARTESIAN_POINT('Ctrl Pts',(1.47119735588524,1.33614611269108,-0.97)); #152733=CARTESIAN_POINT('Ctrl Pts',(1.47298781594317,1.33246579300599,-0.97)); #152734=CARTESIAN_POINT('Ctrl Pts',(1.4745898031857,1.32868474235703,-0.97)); #152735=CARTESIAN_POINT('Ctrl Pts',(1.47599398793691,1.32482035791426,-0.97)); #152736=CARTESIAN_POINT('Ctrl Pts',(1.47698376772519,1.32209643599995,-0.97)); #152737=CARTESIAN_POINT('Ctrl Pts',(1.47787188599592,1.31934018570973,-0.97)); #152738=CARTESIAN_POINT('Ctrl Pts',(1.47865611644753,1.31655974089442,-0.97)); #152739=CARTESIAN_POINT('Ctrl Pts',(1.48092855822681,1.30850292679053,-0.97)); #152740=CARTESIAN_POINT('Ctrl Pts',(1.48233419543848,1.30023726056556,-0.97)); #152741=CARTESIAN_POINT('Ctrl Pts',(1.4828013485121,1.29191883997065,-0.97)); #152742=CARTESIAN_POINT('Ctrl Pts',(1.48296960567446,1.28892274741848,-0.97)); #152743=CARTESIAN_POINT('Ctrl Pts',(1.48301564138487,1.28591992534607,-0.97)); #152744=CARTESIAN_POINT('Ctrl Pts',(1.48293447777085,1.28291832190958,-0.97)); #152745=CARTESIAN_POINT('Ctrl Pts',(1.4828586390313,1.28011364368071,-0.97)); #152746=CARTESIAN_POINT('Ctrl Pts',(1.48267188602446,1.27731861237556,-0.97)); #152747=CARTESIAN_POINT('Ctrl Pts',(1.48237204657536,1.27454250729187,-0.97)); #152748=CARTESIAN_POINT('Ctrl Pts',(1.48195406280471,1.27067254663763,-0.97)); #152749=CARTESIAN_POINT('Ctrl Pts',(1.48131638227339,1.26683720098916,-0.97)); #152750=CARTESIAN_POINT('Ctrl Pts',(1.48045044520763,1.26305345735095,-0.97)); #152751=CARTESIAN_POINT('Ctrl Pts',(1.47918616017767,1.25752911773308,-0.97)); #152752=CARTESIAN_POINT('Ctrl Pts',(1.47743553176142,1.25211427023993,-0.97)); #152753=CARTESIAN_POINT('Ctrl Pts',(1.47523096797537,1.24685995767392,-0.97)); #152754=CARTESIAN_POINT('Ctrl Pts',(1.47397925496517,1.2438766503003,-0.97)); #152755=CARTESIAN_POINT('Ctrl Pts',(1.47257971089726,1.24094381651093,-0.97)); #152756=CARTESIAN_POINT('Ctrl Pts',(1.47104860917278,1.23809031925914,-0.97)); #152757=CARTESIAN_POINT('Ctrl Pts',(1.46830126895692,1.23297013186055,-0.97)); #152758=CARTESIAN_POINT('Ctrl Pts',(1.46512549326215,1.22808982390954,-0.97)); #152759=CARTESIAN_POINT('Ctrl Pts',(1.4615601608085,1.2235037777272,-0.97)); #152760=CARTESIAN_POINT('Ctrl Pts',(1.45848944365078,1.21955394951867,-0.97)); #152761=CARTESIAN_POINT('Ctrl Pts',(1.45512941431852,1.21582244531606,-0.97)); #152762=CARTESIAN_POINT('Ctrl Pts',(1.45150087410452,1.2123527998791,-0.97)); #152763=CARTESIAN_POINT('Ctrl Pts',(1.44944463299954,1.2103866021394,-0.97)); #152764=CARTESIAN_POINT('Ctrl Pts',(1.44730868322943,1.2085110941765,-0.97)); #152765=CARTESIAN_POINT('Ctrl Pts',(1.44510108815915,1.20673575858266,-0.97)); #152766=CARTESIAN_POINT('Ctrl Pts',(1.4394751508457,1.20221141129823,-0.97)); #152767=CARTESIAN_POINT('Ctrl Pts',(1.433377542393,1.19832749641732,-0.97)); #152768=CARTESIAN_POINT('Ctrl Pts',(1.42687718105128,1.19521977282427,-0.97)); #152769=CARTESIAN_POINT('Ctrl Pts',(1.42475098330553,1.19420327011764,-0.97)); #152770=CARTESIAN_POINT('Ctrl Pts',(1.42258164220596,1.19327021050517,-0.97)); #152771=CARTESIAN_POINT('Ctrl Pts',(1.42037153700976,1.19242659446631,-0.97)); #152772=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.96)); #152773=CARTESIAN_POINT('',(-1.06232697263116,1.27306234,-0.97)); #152774=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.97)); #152775=CARTESIAN_POINT('',(-1.05232849567959,1.27306234,-0.960174524064373)); #152776=CARTESIAN_POINT('Origin',(-1.06232697263116,1.27306234,-0.96)); #152777=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.960174524064373)); #152778=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.97)); #152779=CARTESIAN_POINT('',(-1.36565345456331,1.60061865275848,-0.672686092745705)); #152780=CARTESIAN_POINT('',(-1.3656543265537,0.946535811060706,-0.731659719204207)); #152781=CARTESIAN_POINT('Ctrl Pts',(-1.36565345456331,1.60061865275848, -0.672686092745705)); #152782=CARTESIAN_POINT('Ctrl Pts',(-1.41767558381661,1.60224306075816, -0.664668758466617)); #152783=CARTESIAN_POINT('Ctrl Pts',(-1.52021181567626,1.58028052196217, -0.637148088571592)); #152784=CARTESIAN_POINT('Ctrl Pts',(-1.61936858507953,1.50316916686404, -0.587334324592974)); #152785=CARTESIAN_POINT('Ctrl Pts',(-1.67420044911471,1.41861972246068, -0.553726701126068)); #152786=CARTESIAN_POINT('Ctrl Pts',(-1.70035957539511,1.34485785790094, -0.537788341479967)); #152787=CARTESIAN_POINT('Ctrl Pts',(-1.70816906960808,1.26527170134728, -0.537668795629018)); #152788=CARTESIAN_POINT('Ctrl Pts',(-1.69265643540089,1.16220064087963, -0.561272722294018)); #152789=CARTESIAN_POINT('Ctrl Pts',(-1.6339795587442,1.05503761237881,-0.619647680458778)); #152790=CARTESIAN_POINT('Ctrl Pts',(-1.51946354939763,0.967162977666446, -0.692360966244321)); #152791=CARTESIAN_POINT('Ctrl Pts',(-1.41771233452971,0.944910828780665, -0.723928459821183)); #152792=CARTESIAN_POINT('Ctrl Pts',(-1.3656543265537,0.946535811060706, -0.731659719204207)); #152793=CARTESIAN_POINT('',(-1.04856820420378,1.27305309265919,-0.744366101083922)); #152794=CARTESIAN_POINT('Ctrl Pts',(-1.04856820420378,1.27305309265919, -0.744366101083922)); #152795=CARTESIAN_POINT('Ctrl Pts',(-1.04853904633542,1.29785332791359, -0.742134047287957)); #152796=CARTESIAN_POINT('Ctrl Pts',(-1.05667300801862,1.37069702601649, -0.734628130024609)); #152797=CARTESIAN_POINT('Ctrl Pts',(-1.10986000387068,1.48203782149905, -0.718430973889091)); #152798=CARTESIAN_POINT('Ctrl Pts',(-1.22330595676514,1.57495912707543, -0.695691155930516)); #152799=CARTESIAN_POINT('Ctrl Pts',(-1.3179238203859,1.5991282793214,-0.680041893780985)); #152800=CARTESIAN_POINT('Ctrl Pts',(-1.36565345456331,1.60061865275848, -0.672686092745707)); #152801=CARTESIAN_POINT('',(-1.0525,1.27306234,-0.97)); #152802=CARTESIAN_POINT('Ctrl Pts',(-1.3656543265537,0.946535811060707, -0.731659719204209)); #152803=CARTESIAN_POINT('Ctrl Pts',(-1.3178917741099,0.948026711392631, -0.738753050203514)); #152804=CARTESIAN_POINT('Ctrl Pts',(-1.22260835571229,0.972296572760161, -0.750132027029927)); #152805=CARTESIAN_POINT('Ctrl Pts',(-1.10821875372425,1.06685998556999, -0.756071702356437)); #152806=CARTESIAN_POINT('Ctrl Pts',(-1.05624374145696,1.17829453028927, -0.752020357015971)); #152807=CARTESIAN_POINT('Ctrl Pts',(-1.04859560005559,1.24975154121658, -0.746463271365407)); #152808=CARTESIAN_POINT('Ctrl Pts',(-1.04856820420378,1.27305309265919, -0.744366101083922)); #152809=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.97)); #152810=CARTESIAN_POINT('Ctrl Pts',(-1.39993150123839,1.18406857706549, -0.97)); #152811=CARTESIAN_POINT('Ctrl Pts',(-1.40674091378285,1.18491084121696, -0.97)); #152812=CARTESIAN_POINT('Ctrl Pts',(-1.41343993348273,1.18647804469965, -0.97)); #152813=CARTESIAN_POINT('Ctrl Pts',(-1.41989819140486,1.18874062171451, -0.97)); #152814=CARTESIAN_POINT('Ctrl Pts',(-1.42322764927781,1.18990705931209, -0.97)); #152815=CARTESIAN_POINT('Ctrl Pts',(-1.42648413969091,1.19125578691458, -0.97)); #152816=CARTESIAN_POINT('Ctrl Pts',(-1.4296490603328,1.19278211483585,-0.97)); #152817=CARTESIAN_POINT('Ctrl Pts',(-1.43275068407124,1.19427791692664, -0.97)); #152818=CARTESIAN_POINT('Ctrl Pts',(-1.43576488927927,1.19594445677477, -0.97)); #152819=CARTESIAN_POINT('Ctrl Pts',(-1.4386756280295,1.1977799930488,-0.97)); #152820=CARTESIAN_POINT('Ctrl Pts',(-1.4413756195969,1.19948263038138,-0.97)); #152821=CARTESIAN_POINT('Ctrl Pts',(-1.4439798329887,1.20132663257097,-0.97)); #152822=CARTESIAN_POINT('Ctrl Pts',(-1.44648144910636,1.20329520187902, -0.97)); #152823=CARTESIAN_POINT('Ctrl Pts',(-1.45249415912314,1.20802671776336, -0.97)); #152824=CARTESIAN_POINT('Ctrl Pts',(-1.45792327288002,1.21347929164133, -0.97)); #152825=CARTESIAN_POINT('Ctrl Pts',(-1.46272170644842,1.21949499906434, -0.97)); #152826=CARTESIAN_POINT('Ctrl Pts',(-1.46442951934246,1.22163605250552, -0.97)); #152827=CARTESIAN_POINT('Ctrl Pts',(-1.46605844892734,1.22385067550935, -0.97)); #152828=CARTESIAN_POINT('Ctrl Pts',(-1.46760171296478,1.22612728319953, -0.97)); #152829=CARTESIAN_POINT('Ctrl Pts',(-1.47218999883063,1.23289587666996, -0.97)); #152830=CARTESIAN_POINT('Ctrl Pts',(-1.47599406786628,1.24016347929044, -0.97)); #152831=CARTESIAN_POINT('Ctrl Pts',(-1.47896059219395,1.2477558633941,-0.97)); #152832=CARTESIAN_POINT('Ctrl Pts',(-1.48068709763148,1.2521746009438,-0.97)); #152833=CARTESIAN_POINT('Ctrl Pts',(-1.48212946659398,1.25670431497519, -0.97)); #152834=CARTESIAN_POINT('Ctrl Pts',(-1.48327156484741,1.26131626349446, -0.97)); #152835=CARTESIAN_POINT('Ctrl Pts',(-1.48388210530734,1.26378170914389, -0.97)); #152836=CARTESIAN_POINT('Ctrl Pts',(-1.48440588794297,1.26626809429331, -0.97)); #152837=CARTESIAN_POINT('Ctrl Pts',(-1.48483946851605,1.26876235935021, -0.97)); #152838=CARTESIAN_POINT('Ctrl Pts',(-1.48569611411002,1.27369039649918, -0.97)); #152839=CARTESIAN_POINT('Ctrl Pts',(-1.48620336286205,1.2786582170736,-0.97)); #152840=CARTESIAN_POINT('Ctrl Pts',(-1.48634726628993,1.28363096548655, -0.97)); #152841=CARTESIAN_POINT('Ctrl Pts',(-1.48651519395532,1.28943389905028, -0.97)); #152842=CARTESIAN_POINT('Ctrl Pts',(-1.48618880450423,1.29524370218593, -0.97)); #152843=CARTESIAN_POINT('Ctrl Pts',(-1.48540096495731,1.30101715267113, -0.97)); #152844=CARTESIAN_POINT('Ctrl Pts',(-1.48492115622814,1.30453328987325, -0.97)); #152845=CARTESIAN_POINT('Ctrl Pts',(-1.48426878370034,1.3080387165325,-0.97)); #152846=CARTESIAN_POINT('Ctrl Pts',(-1.48345621373529,1.31149980206097, -0.97)); #152847=CARTESIAN_POINT('Ctrl Pts',(-1.48181173873998,1.31850432948998, -0.97)); #152848=CARTESIAN_POINT('Ctrl Pts',(-1.4795111907096,1.32534711480004,-0.97)); #152849=CARTESIAN_POINT('Ctrl Pts',(-1.47661796023615,1.33194969753835, -0.97)); #152850=CARTESIAN_POINT('Ctrl Pts',(-1.47482583813104,1.33603946314282, -0.97)); #152851=CARTESIAN_POINT('Ctrl Pts',(-1.47280579577142,1.34003719142745, -0.97)); #152852=CARTESIAN_POINT('Ctrl Pts',(-1.47056826997179,1.34392399553238, -0.97)); #152853=CARTESIAN_POINT('Ctrl Pts',(-1.46881463016604,1.34697024170155, -0.969999999999999)); #152854=CARTESIAN_POINT('Ctrl Pts',(-1.46692584340134,1.34994965050846, -0.97)); #152855=CARTESIAN_POINT('Ctrl Pts',(-1.46491967191386,1.35283480567328, -0.97)); #152856=CARTESIAN_POINT('Ctrl Pts',(-1.45970486853517,1.36033442222921, -0.97)); #152857=CARTESIAN_POINT('Ctrl Pts',(-1.45368538324008,1.36722719896678, -0.97)); #152858=CARTESIAN_POINT('Ctrl Pts',(-1.4469566222328,1.37336167703083,-0.97)); #152859=CARTESIAN_POINT('Ctrl Pts',(-1.44358019626348,1.37643989767521, -0.97)); #152860=CARTESIAN_POINT('Ctrl Pts',(-1.44002438893423,1.37932698446128, -0.97)); #152861=CARTESIAN_POINT('Ctrl Pts',(-1.43629697152484,1.38200122783113, -0.97)); #152862=CARTESIAN_POINT('',(-1.32962846299024,1.19242659446631,-0.97)); #152863=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.97)); #152864=CARTESIAN_POINT('Ctrl Pts',(-1.34131751602336,1.39329290986735, -0.97)); #152865=CARTESIAN_POINT('Ctrl Pts',(-1.33519828898013,1.39085153907481, -0.97)); #152866=CARTESIAN_POINT('Ctrl Pts',(-1.32928411511524,1.38795364845527, -0.97)); #152867=CARTESIAN_POINT('Ctrl Pts',(-1.32364047315389,1.38461103717171, -0.97)); #152868=CARTESIAN_POINT('Ctrl Pts',(-1.31905194868017,1.38189334994318, -0.97)); #152869=CARTESIAN_POINT('Ctrl Pts',(-1.31463925658146,1.37887815204635, -0.97)); #152870=CARTESIAN_POINT('Ctrl Pts',(-1.3104643522441,1.3755865889965,-0.97)); #152871=CARTESIAN_POINT('Ctrl Pts',(-1.30602003544278,1.3720826167416,-0.97)); #152872=CARTESIAN_POINT('Ctrl Pts',(-1.30183940537439,1.36826295358629, -0.97)); #152873=CARTESIAN_POINT('Ctrl Pts',(-1.29797167153911,1.36413125900315, -0.97)); #152874=CARTESIAN_POINT('Ctrl Pts',(-1.29591436494553,1.36193354766351, -0.97)); #152875=CARTESIAN_POINT('Ctrl Pts',(-1.29394826056956,1.35964983987278, -0.97)); #152876=CARTESIAN_POINT('Ctrl Pts',(-1.29208011369225,1.35729381944067, -0.97)); #152877=CARTESIAN_POINT('Ctrl Pts',(-1.28690205569225,1.35076349219772, -0.97)); #152878=CARTESIAN_POINT('Ctrl Pts',(-1.28246035980129,1.34366457288978, -0.97)); #152879=CARTESIAN_POINT('Ctrl Pts',(-1.27880263173641,1.33614605422498, -0.97)); #152880=CARTESIAN_POINT('Ctrl Pts',(-1.27701216904293,1.33246572946032, -0.97)); #152881=CARTESIAN_POINT('Ctrl Pts',(-1.27541017943652,1.32868467357608, -0.97)); #152882=CARTESIAN_POINT('Ctrl Pts',(-1.27400599266322,1.324820283885,-0.97)); #152883=CARTESIAN_POINT('Ctrl Pts',(-1.27301621318771,1.32209636305456, -0.97)); #152884=CARTESIAN_POINT('Ctrl Pts',(-1.27212809506195,1.31934011401103, -0.97)); #152885=CARTESIAN_POINT('Ctrl Pts',(-1.2713438645795,1.31655967056615,-0.97)); #152886=CARTESIAN_POINT('Ctrl Pts',(-1.2690714213319,1.30850285554421,-0.97)); #152887=CARTESIAN_POINT('Ctrl Pts',(-1.26766578228896,1.30023718933268, -0.97)); #152888=CARTESIAN_POINT('Ctrl Pts',(-1.26719862735817,1.29191877041615, -0.97)); #152889=CARTESIAN_POINT('Ctrl Pts',(-1.26703036960966,1.28892267994214, -0.97)); #152890=CARTESIAN_POINT('Ctrl Pts',(-1.26698433318933,1.28591986017138, -0.97)); #152891=CARTESIAN_POINT('Ctrl Pts',(-1.26706549597751,1.28291825928575, -0.97)); #152892=CARTESIAN_POINT('Ctrl Pts',(-1.2671413341381,1.28011357631341,-0.97)); #152893=CARTESIAN_POINT('Ctrl Pts',(-1.26732808703567,1.2773185404435,-0.97)); #152894=CARTESIAN_POINT('Ctrl Pts',(-1.26762792687028,1.27454243115928, -0.97)); #152895=CARTESIAN_POINT('Ctrl Pts',(-1.26804591055876,1.27067247038592, -0.97)); #152896=CARTESIAN_POINT('Ctrl Pts',(-1.26868359131895,1.26683712514928, -0.97)); #152897=CARTESIAN_POINT('Ctrl Pts',(-1.26954952897679,1.26305338256518, -0.97)); #152898=CARTESIAN_POINT('Ctrl Pts',(-1.27081381010633,1.2575290653065,-0.97)); #152899=CARTESIAN_POINT('Ctrl Pts',(-1.27256443173596,1.25211424072371, -0.97)); #152900=CARTESIAN_POINT('Ctrl Pts',(-1.2747689859802,1.24685995055552,-0.97)); #152901=CARTESIAN_POINT('Ctrl Pts',(-1.27602070399354,1.24387663106222, -0.97)); #152902=CARTESIAN_POINT('Ctrl Pts',(-1.27742025466915,1.2409437857464,-0.97)); #152903=CARTESIAN_POINT('Ctrl Pts',(-1.27895136435998,1.23809027784001, -0.97)); #152904=CARTESIAN_POINT('Ctrl Pts',(-1.28169870635134,1.23297009465468, -0.97)); #152905=CARTESIAN_POINT('Ctrl Pts',(-1.28487448428201,1.22808979147653, -0.97)); #152906=CARTESIAN_POINT('Ctrl Pts',(-1.28843981917228,1.22350375008384, -0.97)); #152907=CARTESIAN_POINT('Ctrl Pts',(-1.29151053663088,1.21955392831287, -0.97)); #152908=CARTESIAN_POINT('Ctrl Pts',(-1.29487056607532,1.21582243030685, -0.97)); #152909=CARTESIAN_POINT('Ctrl Pts',(-1.29849910608104,1.21235279038422, -0.97)); #152910=CARTESIAN_POINT('Ctrl Pts',(-1.30055535114836,1.21038659186773, -0.97)); #152911=CARTESIAN_POINT('Ctrl Pts',(-1.3026913051563,1.20851108320258,-0.97)); #152912=CARTESIAN_POINT('Ctrl Pts',(-1.30489890459241,1.2067357470294,-0.97)); #152913=CARTESIAN_POINT('Ctrl Pts',(-1.31052484966845,1.20221140097346, -0.97)); #152914=CARTESIAN_POINT('Ctrl Pts',(-1.31662246634699,1.19832748717134, -0.97)); #152915=CARTESIAN_POINT('Ctrl Pts',(-1.32312283567584,1.19521976370132, -0.97)); #152916=CARTESIAN_POINT('Ctrl Pts',(-1.3252490281903,1.19420326478492,-0.97)); #152917=CARTESIAN_POINT('Ctrl Pts',(-1.32741836371426,1.19327020824537, -0.97)); #152918=CARTESIAN_POINT('Ctrl Pts',(-1.32962846299024,1.19242659446631, -0.97)); #152919=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322072,1.60975068046826, -0.66300355283786)); #152920=CARTESIAN_POINT('Ctrl Pts',(-1.41674020355109,1.6114304596489,-0.655101380922159)); #152921=CARTESIAN_POINT('Ctrl Pts',(-1.52084292792626,1.5888202316927,-0.628012349103274)); #152922=CARTESIAN_POINT('Ctrl Pts',(-1.62149132095766,1.50941433512782, -0.57902913246408)); #152923=CARTESIAN_POINT('Ctrl Pts',(-1.67713850238604,1.42234309016987, -0.546024734701033)); #152924=CARTESIAN_POINT('Ctrl Pts',(-1.70368495005669,1.34637225264653, -0.530416846807078)); #152925=CARTESIAN_POINT('Ctrl Pts',(-1.71161223693797,1.264385187598,-0.53040400743616)); #152926=CARTESIAN_POINT('Ctrl Pts',(-1.69587764147243,1.15817109565243, -0.553798524145792)); #152927=CARTESIAN_POINT('Ctrl Pts',(-1.63633965515947,1.04767737062914, -0.611460767705735)); #152928=CARTESIAN_POINT('Ctrl Pts',(-1.52009663822595,0.957007111233249, -0.683226157510654)); #152929=CARTESIAN_POINT('Ctrl Pts',(-1.41678155999436,0.934018537689651, -0.714361172446696)); #152930=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322073,0.935679045564075, -0.721977179296361)); #152931=CARTESIAN_POINT('Ctrl Pts',(-1.36402669019827,1.60730870478486, -0.663201680473873)); #152932=CARTESIAN_POINT('Ctrl Pts',(-1.41647822190521,1.60900994905653, -0.655343848876723)); #152933=CARTESIAN_POINT('Ctrl Pts',(-1.51996452600335,1.5867530876986,-0.628494550905417)); #152934=CARTESIAN_POINT('Ctrl Pts',(-1.62044407652092,1.50806839013704, -0.57980108747401)); #152935=CARTESIAN_POINT('Ctrl Pts',(-1.67609279863231,1.42157613602698, -0.546908141464858)); #152936=CARTESIAN_POINT('Ctrl Pts',(-1.70265770205954,1.34605118737528, -0.531338723653512)); #152937=CARTESIAN_POINT('Ctrl Pts',(-1.71057622734389,1.26451059338856, -0.531324336022346)); #152938=CARTESIAN_POINT('Ctrl Pts',(-1.6947734267575,1.15888656641842,-0.554666488266673)); #152939=CARTESIAN_POINT('Ctrl Pts',(-1.63510715071222,1.0490941587042,-0.612161968191965)); #152940=CARTESIAN_POINT('Ctrl Pts',(-1.51898822909361,0.959305302845338, -0.683418706640617)); #152941=CARTESIAN_POINT('Ctrl Pts',(-1.41638228783841,0.936724954221148, -0.714180295568952)); #152942=CARTESIAN_POINT('Ctrl Pts',(-1.36397051572164,0.938407513347048, -0.72173119959437)); #152943=CARTESIAN_POINT('Ctrl Pts',(-1.36451103845305,1.60260435949088, -0.665460639523214)); #152944=CARTESIAN_POINT('Ctrl Pts',(-1.41637780336243,1.60433954787355, -0.657612681258304)); #152945=CARTESIAN_POINT('Ctrl Pts',(-1.51884533849861,1.58275148557634, -0.630873383228664)); #152946=CARTESIAN_POINT('Ctrl Pts',(-1.61891399890108,1.50545124069914, -0.582197201632293)); #152947=CARTESIAN_POINT('Ctrl Pts',(-1.67445208195871,1.42009125942809, -0.549238854910381)); #152948=CARTESIAN_POINT('Ctrl Pts',(-1.70098657141339,1.34544633271782, -0.533616064480804)); #152949=CARTESIAN_POINT('Ctrl Pts',(-1.708878206193,1.26479857578348,-0.533575400517088)); #152950=CARTESIAN_POINT('Ctrl Pts',(-1.69302263303911,1.16036411315849, -0.556935125268652)); #152951=CARTESIAN_POINT('Ctrl Pts',(-1.63331377527521,1.05201374470393, -0.61447941481144)); #152952=CARTESIAN_POINT('Ctrl Pts',(-1.5175723546702,0.964042777250976, -0.685568376277746)); #152953=CARTESIAN_POINT('Ctrl Pts',(-1.41612396037037,0.942309211619967, -0.716076571541802)); #152954=CARTESIAN_POINT('Ctrl Pts',(-1.36441466318186,0.944040780181407, -0.723596135570317)); #152955=CARTESIAN_POINT('Ctrl Pts',(-1.36528980568671,1.60065062131802, -0.670261137686027)); #152956=CARTESIAN_POINT('Ctrl Pts',(-1.41715603989896,1.60232815832918, -0.662301459281488)); #152957=CARTESIAN_POINT('Ctrl Pts',(-1.51949695240663,1.58063671499972, -0.635044649571223)); #152958=CARTESIAN_POINT('Ctrl Pts',(-1.6189509411868,1.5037056719638,-0.585615944133079)); #152959=CARTESIAN_POINT('Ctrl Pts',(-1.67406152532861,1.4190083852051,-0.552226964379309)); #152960=CARTESIAN_POINT('Ctrl Pts',(-1.7003752212283,1.34501513925319,-0.536394921527527)); #152961=CARTESIAN_POINT('Ctrl Pts',(-1.7082157520054,1.26511602980562,-0.536301946174177)); #152962=CARTESIAN_POINT('Ctrl Pts',(-1.69254890866695,1.16165868867289, -0.55982314298251)); #152963=CARTESIAN_POINT('Ctrl Pts',(-1.63343183874598,1.05424775665587, -0.617919813702946)); #152964=CARTESIAN_POINT('Ctrl Pts',(-1.51850682908352,0.966704373735677, -0.690081063613556)); #152965=CARTESIAN_POINT('Ctrl Pts',(-1.4170705896137,0.944819328692548, -0.721289406577663)); #152966=CARTESIAN_POINT('Ctrl Pts',(-1.36526245996826,0.946499676795993, -0.728948146074381)); #152967=CARTESIAN_POINT('Ctrl Pts',(-1.36565345456331,1.60061865275848, -0.672686092745705)); #152968=CARTESIAN_POINT('Ctrl Pts',(-1.41767558381661,1.60224306075816, -0.664668758466617)); #152969=CARTESIAN_POINT('Ctrl Pts',(-1.52021181567626,1.58028052196217, -0.637148088571592)); #152970=CARTESIAN_POINT('Ctrl Pts',(-1.61936858507953,1.50316916686404, -0.587334324592974)); #152971=CARTESIAN_POINT('Ctrl Pts',(-1.67420044911471,1.41861972246068, -0.553726701126068)); #152972=CARTESIAN_POINT('Ctrl Pts',(-1.70035957539511,1.34485785790094, -0.537788341479967)); #152973=CARTESIAN_POINT('Ctrl Pts',(-1.70816906960808,1.26527170134728, -0.537668795629018)); #152974=CARTESIAN_POINT('Ctrl Pts',(-1.69265643540089,1.16220064087963, -0.561272722294018)); #152975=CARTESIAN_POINT('Ctrl Pts',(-1.6339795587442,1.05503761237881,-0.619647680458778)); #152976=CARTESIAN_POINT('Ctrl Pts',(-1.51946354939763,0.967162977666446, -0.692360966244321)); #152977=CARTESIAN_POINT('Ctrl Pts',(-1.41771233452971,0.944910828780665, -0.723928459821183)); #152978=CARTESIAN_POINT('Ctrl Pts',(-1.3656543265537,0.946535811060706, -0.731659719204207)); #152979=CARTESIAN_POINT('',(-1.36392100322072,0.935679045564075,-0.721977179296362)); #152980=CARTESIAN_POINT('Origin',(-1.3653682724594,0.936541426917294,-0.731834243268579)); #152981=CARTESIAN_POINT('',(-1.36392100322072,1.60975068046826,-0.66300355283786)); #152982=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322073,0.935679045564075, -0.721977179296361)); #152983=CARTESIAN_POINT('Ctrl Pts',(-1.41678155999436,0.934018537689651, -0.714361172446696)); #152984=CARTESIAN_POINT('Ctrl Pts',(-1.52009663822595,0.957007111233249, -0.683226157510654)); #152985=CARTESIAN_POINT('Ctrl Pts',(-1.63633965515947,1.04767737062914, -0.611460767705735)); #152986=CARTESIAN_POINT('Ctrl Pts',(-1.69587764147243,1.15817109565243, -0.553798524145792)); #152987=CARTESIAN_POINT('Ctrl Pts',(-1.71161223693797,1.264385187598,-0.53040400743616)); #152988=CARTESIAN_POINT('Ctrl Pts',(-1.70368495005669,1.34637225264653, -0.530416846807078)); #152989=CARTESIAN_POINT('Ctrl Pts',(-1.67713850238604,1.42234309016987, -0.546024734701033)); #152990=CARTESIAN_POINT('Ctrl Pts',(-1.62149132095766,1.50941433512782, -0.57902913246408)); #152991=CARTESIAN_POINT('Ctrl Pts',(-1.52084292792626,1.5888202316927,-0.628012349103274)); #152992=CARTESIAN_POINT('Ctrl Pts',(-1.41674020355109,1.6114304596489,-0.655101380922159)); #152993=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322072,1.60975068046826, -0.66300355283786)); #152994=CARTESIAN_POINT('Origin',(-1.3653682724594,1.61061306182148,-0.672860616810078)); #152995=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322072,0.935679045564075, -0.721977179296363)); #152996=CARTESIAN_POINT('Ctrl Pts',(-1.31474859464964,0.937211126304521, -0.729062907526515)); #152997=CARTESIAN_POINT('Ctrl Pts',(-1.2166491465635,0.962216807480976, -0.740427612992009)); #152998=CARTESIAN_POINT('Ctrl Pts',(-1.09887631471993,1.05967455642735, -0.74635207080687)); #152999=CARTESIAN_POINT('Ctrl Pts',(-1.0342627200175,1.19835927152598,-0.741452354893777)); #153000=CARTESIAN_POINT('Ctrl Pts',(-1.03527241563804,1.35072422677328, -0.728020054636525)); #153001=CARTESIAN_POINT('Ctrl Pts',(-1.10058350328354,1.4875654561212,-0.708711558119512)); #153002=CARTESIAN_POINT('Ctrl Pts',(-1.21738169978683,1.58331847243675, -0.685986848682009)); #153003=CARTESIAN_POINT('Ctrl Pts',(-1.31478706560132,1.60821808410045, -0.670351757052154)); #153004=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322072,1.60975068046826, -0.663003552837861)); #153005=CARTESIAN_POINT('Ctrl Pts',(-1.36397051572164,0.938407513347048, -0.721731199594371)); #153006=CARTESIAN_POINT('Ctrl Pts',(-1.31519413228539,0.939962056552246, -0.728755769007761)); #153007=CARTESIAN_POINT('Ctrl Pts',(-1.21792495760475,0.96481723747585, -0.740025613694221)); #153008=CARTESIAN_POINT('Ctrl Pts',(-1.10118090284583,1.06145271103576, -0.745919737128594)); #153009=CARTESIAN_POINT('Ctrl Pts',(-1.03708412865074,1.19894115355,-0.741086576452825)); #153010=CARTESIAN_POINT('Ctrl Pts',(-1.03801159107844,1.35005231006577, -0.727773811611311)); #153011=CARTESIAN_POINT('Ctrl Pts',(-1.10272170908801,1.48584951684899, -0.708605847586569)); #153012=CARTESIAN_POINT('Ctrl Pts',(-1.21856504407624,1.58095833354569, -0.686033959143777)); #153013=CARTESIAN_POINT('Ctrl Pts',(-1.31524459605974,1.60575134907083, -0.670500001219412)); #153014=CARTESIAN_POINT('Ctrl Pts',(-1.36402669019827,1.60730870478486, -0.663201680473875)); #153015=CARTESIAN_POINT('Ctrl Pts',(-1.36441465858164,0.944040816358297, -0.723596095829677)); #153016=CARTESIAN_POINT('Ctrl Pts',(-1.31641881395096,0.94564278423844, -0.730568847248539)); #153017=CARTESIAN_POINT('Ctrl Pts',(-1.22079070227947,0.9701977303631,-0.74175284865743)); #153018=CARTESIAN_POINT('Ctrl Pts',(-1.10605098423216,1.0652070058156,-0.747622889650684)); #153019=CARTESIAN_POINT('Ctrl Pts',(-1.04295719312938,1.20031635202314, -0.742854686759571)); #153020=CARTESIAN_POINT('Ctrl Pts',(-1.0437162452607,1.34892757384846,-0.729650876963548)); #153021=CARTESIAN_POINT('Ctrl Pts',(-1.10723490384985,1.48263517398315, -0.71060986821042)); #153022=CARTESIAN_POINT('Ctrl Pts',(-1.22119197657074,1.57644033921729, -0.688167229112031)); #153023=CARTESIAN_POINT('Ctrl Pts',(-1.31642645086114,1.60100510007708, -0.672720780641913)); #153024=CARTESIAN_POINT('Ctrl Pts',(-1.36451103567672,1.60260433355302, -0.665460615556839)); #153025=CARTESIAN_POINT('Ctrl Pts',(-1.36526245996826,0.946499676795995, -0.728948146074383)); #153026=CARTESIAN_POINT('Ctrl Pts',(-1.31754347543427,0.948047197286183, -0.735999580252097)); #153027=CARTESIAN_POINT('Ctrl Pts',(-1.22239536671667,0.972391856084204, -0.747311586116995)); #153028=CARTESIAN_POINT('Ctrl Pts',(-1.10821094068448,1.06685510328364, -0.753227105043104)); #153029=CARTESIAN_POINT('Ctrl Pts',(-1.0454821464734,1.20123026717189,-0.74837771820855)); #153030=CARTESIAN_POINT('Ctrl Pts',(-1.04633117286642,1.34894890155129, -0.735024339781097)); #153031=CARTESIAN_POINT('Ctrl Pts',(-1.10958866018739,1.48174607292538, -0.715802362657855)); #153032=CARTESIAN_POINT('Ctrl Pts',(-1.22291016934702,1.57480827747771, -0.693164694229678)); #153033=CARTESIAN_POINT('Ctrl Pts',(-1.31753669602548,1.5991062727254,-0.677583910891787)); #153034=CARTESIAN_POINT('Ctrl Pts',(-1.36528980568671,1.60065062131802, -0.670261137686029)); #153035=CARTESIAN_POINT('Ctrl Pts',(-1.3656543265537,0.946535811060707, -0.731659719204209)); #153036=CARTESIAN_POINT('Ctrl Pts',(-1.3178917741099,0.948026711392631, -0.738753050203514)); #153037=CARTESIAN_POINT('Ctrl Pts',(-1.22260835571229,0.972296572760161, -0.750132027029927)); #153038=CARTESIAN_POINT('Ctrl Pts',(-1.10821875372425,1.06685998556999, -0.756071702356437)); #153039=CARTESIAN_POINT('Ctrl Pts',(-1.04545765564398,1.20141992284698, -0.751179603804109)); #153040=CARTESIAN_POINT('Ctrl Pts',(-1.04643085503378,1.34925626888184, -0.737747196036923)); #153041=CARTESIAN_POINT('Ctrl Pts',(-1.10986000387068,1.48203782149905, -0.718430973889091)); #153042=CARTESIAN_POINT('Ctrl Pts',(-1.22330595676514,1.57495912707543, -0.695691155930516)); #153043=CARTESIAN_POINT('Ctrl Pts',(-1.3179238203859,1.5991282793214,-0.680041893780985)); #153044=CARTESIAN_POINT('Ctrl Pts',(-1.36565345456331,1.60061865275848, -0.672686092745707)); #153045=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322072,1.60975068046826, -0.663003552837861)); #153046=CARTESIAN_POINT('Ctrl Pts',(-1.31478706560132,1.60821808410045, -0.670351757052154)); #153047=CARTESIAN_POINT('Ctrl Pts',(-1.21738169978683,1.58331847243675, -0.685986848682009)); #153048=CARTESIAN_POINT('Ctrl Pts',(-1.10058350328354,1.4875654561212,-0.708711558119512)); #153049=CARTESIAN_POINT('Ctrl Pts',(-1.03527241563804,1.35072422677328, -0.728020054636525)); #153050=CARTESIAN_POINT('Ctrl Pts',(-1.0342627200175,1.19835927152598,-0.741452354893777)); #153051=CARTESIAN_POINT('Ctrl Pts',(-1.09887631471993,1.05967455642735, -0.74635207080687)); #153052=CARTESIAN_POINT('Ctrl Pts',(-1.2166491465635,0.962216807480976, -0.740427612992009)); #153053=CARTESIAN_POINT('Ctrl Pts',(-1.31474859464964,0.937211126304521, -0.729062907526515)); #153054=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322072,0.935679045564075, -0.721977179296363)); #153055=CARTESIAN_POINT('Origin',(-1.2672,0.0121595984960977,-0.138984846790976)); #153056=CARTESIAN_POINT('',(-1.36392100322109,0.604694655338727,-0.750934561245068)); #153057=CARTESIAN_POINT('',(-1.36392100322072,0.0697925443317171,-0.797732432054318)); #153058=CARTESIAN_POINT('',(-1.73940065991612,1.12025288886697,-0.516763707249169)); #153059=CARTESIAN_POINT('Ctrl Pts',(-1.73940065345248,1.12025289235489, -0.516763736933102)); #153060=CARTESIAN_POINT('Ctrl Pts',(-1.73763077140097,1.0558459347448,-0.524176749256385)); #153061=CARTESIAN_POINT('Ctrl Pts',(-1.7161700075533,0.962505936826865, -0.554661643003793)); #153062=CARTESIAN_POINT('Ctrl Pts',(-1.6452493026902,0.82665774212174,-0.623382993272324)); #153063=CARTESIAN_POINT('Ctrl Pts',(-1.54288896441312,0.707656834549719, -0.69648309066271)); #153064=CARTESIAN_POINT('Ctrl Pts',(-1.42759625920302,0.633598772749825, -0.73905662158019)); #153065=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322153,0.604694655338721, -0.750934561245002)); #153066=CARTESIAN_POINT('',(-1.73940065991612,1.14779917684448,-0.514353719328915)); #153067=CARTESIAN_POINT('',(-1.73940065991612,0.0533771173123397,-0.610103242650672)); #153068=CARTESIAN_POINT('',(-1.63773957156577,2.38893095966747,-0.489338998847937)); #153069=CARTESIAN_POINT('Ctrl Pts',(-1.63773957156577,2.38893095966747, -0.489338998847937)); #153070=CARTESIAN_POINT('Ctrl Pts',(-1.69401417933156,1.97694174722416, -0.487748107756231)); #153071=CARTESIAN_POINT('Ctrl Pts',(-1.72860714464322,1.56166764855317, -0.488964635479597)); #153072=CARTESIAN_POINT('Ctrl Pts',(-1.73940065967075,1.14779917693402, -0.514353720815543)); #153073=CARTESIAN_POINT('',(-1.3639210032238,2.8040787899401,-0.558513382728276)); #153074=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322626,2.8040787899409,-0.558513382727842)); #153075=CARTESIAN_POINT('Ctrl Pts',(-1.40628370600459,2.77590471900834, -0.554758365687306)); #153076=CARTESIAN_POINT('Ctrl Pts',(-1.48438926348999,2.71048438739669, -0.540538468308656)); #153077=CARTESIAN_POINT('Ctrl Pts',(-1.5874590314637,2.5742498799475,-0.506775933342925)); #153078=CARTESIAN_POINT('Ctrl Pts',(-1.62810944935543,2.45943356506427, -0.489611243759891)); #153079=CARTESIAN_POINT('Ctrl Pts',(-1.63773957156577,2.38893095966747, -0.489338998847937)); #153080=CARTESIAN_POINT('',(-1.36392100322072,0.0697925443317171,-0.797732432054318)); #153081=CARTESIAN_POINT('Ctrl Pts',(1.36392100322073,0.935679045564075, -0.721977179296361)); #153082=CARTESIAN_POINT('Ctrl Pts',(1.41678156374093,0.93401853757196,-0.7143611719069)); #153083=CARTESIAN_POINT('Ctrl Pts',(1.52001311836849,0.957014909080733, -0.683327417050565)); #153084=CARTESIAN_POINT('Ctrl Pts',(1.61710495336601,1.03262204737232,-0.623272685080498)); #153085=CARTESIAN_POINT('Ctrl Pts',(1.67191353087956,1.11377951265189,-0.577054104496599)); #153086=CARTESIAN_POINT('Ctrl Pts',(1.69983973050598,1.18485835631825,-0.547904560321595)); #153087=CARTESIAN_POINT('Ctrl Pts',(1.711594711941,1.26421780137268,-0.530440988581014)); #153088=CARTESIAN_POINT('Ctrl Pts',(1.70104934000089,1.37398542350247,-0.530362758973205)); #153089=CARTESIAN_POINT('Ctrl Pts',(1.64150934958014,1.49392662311229,-0.569325011708493)); #153090=CARTESIAN_POINT('Ctrl Pts',(1.52089174321681,1.58861502960631,-0.627976284145398)); #153091=CARTESIAN_POINT('Ctrl Pts',(1.41674020729473,1.61143045976796,-0.655101380362081)); #153092=CARTESIAN_POINT('Ctrl Pts',(1.36392100322072,1.60975068046826,-0.66300355283786)); #153093=CARTESIAN_POINT('Ctrl Pts',(1.36397051572164,0.938407513347048, -0.72173119959437)); #153094=CARTESIAN_POINT('Ctrl Pts',(1.41638229155317,0.936724954101895, -0.714180295033771)); #153095=CARTESIAN_POINT('Ctrl Pts',(1.51890567770763,0.959310685352733, -0.683521932569401)); #153096=CARTESIAN_POINT('Ctrl Pts',(1.61589067921724,1.03418800223187,-0.623884020243023)); #153097=CARTESIAN_POINT('Ctrl Pts',(1.67075681130115,1.11477792544674,-0.57785244692222)); #153098=CARTESIAN_POINT('Ctrl Pts',(1.69875346957466,1.18542457384991,-0.548786790030529)); #153099=CARTESIAN_POINT('Ctrl Pts',(1.71055808733093,1.26434482208537,-0.531360681001088)); #153100=CARTESIAN_POINT('Ctrl Pts',(1.70002558189344,1.37351222854578,-0.531283657605103)); #153101=CARTESIAN_POINT('Ctrl Pts',(1.6404296769494,1.49272453449684,-0.570156048325757)); #153102=CARTESIAN_POINT('Ctrl Pts',(1.52001320911707,1.58654933355533,-0.628459634671823)); #153103=CARTESIAN_POINT('Ctrl Pts',(1.41647822562279,1.60900994917711,-0.655343848319788)); #153104=CARTESIAN_POINT('Ctrl Pts',(1.36402669019827,1.60730870478486,-0.663201680473873)); #153105=CARTESIAN_POINT('Ctrl Pts',(1.36441466318186,0.944040780181407, -0.723596135570316)); #153106=CARTESIAN_POINT('Ctrl Pts',(1.41612396403534,0.94230921149724,-0.716076571008841)); #153107=CARTESIAN_POINT('Ctrl Pts',(1.51749360356803,0.964043138291501, -0.685674241014173)); #153108=CARTESIAN_POINT('Ctrl Pts',(1.61415738221911,1.03741211447601,-0.626176625870268)); #153109=CARTESIAN_POINT('Ctrl Pts',(1.66898647960088,1.11683691370194,-0.580139886231363)); #153110=CARTESIAN_POINT('Ctrl Pts',(1.69701645952527,1.18660253355814,-0.551050651073127)); #153111=CARTESIAN_POINT('Ctrl Pts',(1.70885911936635,1.26463526563317,-0.533611709732809)); #153112=CARTESIAN_POINT('Ctrl Pts',(1.69836390239479,1.37260566733009,-0.533569244579103)); #153113=CARTESIAN_POINT('Ctrl Pts',(1.63881873272233,1.49038169438824,-0.572553919004735)); #153114=CARTESIAN_POINT('Ctrl Pts',(1.51889379770459,1.5825499610316,-0.630838433666105)); #153115=CARTESIAN_POINT('Ctrl Pts',(1.41637780703856,1.60433954799653,-0.657612680702069)); #153116=CARTESIAN_POINT('Ctrl Pts',(1.36451103845305,1.60260435949088,-0.665460639523214)); #153117=CARTESIAN_POINT('Ctrl Pts',(1.36526245996826,0.946499676795993, -0.728948146074381)); #153118=CARTESIAN_POINT('Ctrl Pts',(1.41707059328568,0.94481932857345,-0.721289406034838)); #153119=CARTESIAN_POINT('Ctrl Pts',(1.5184246831438,0.966707933148286,-0.690184819773247)); #153120=CARTESIAN_POINT('Ctrl Pts',(1.61441350953505,1.03971967427199,-0.629794272482124)); #153121=CARTESIAN_POINT('Ctrl Pts',(1.66875374011473,1.11850720367911,-0.583252650152594)); #153122=CARTESIAN_POINT('Ctrl Pts',(1.69649471149266,1.18765099594404,-0.553897685189181)); #153123=CARTESIAN_POINT('Ctrl Pts',(1.70819777861465,1.26495412420735,-0.536338825275076)); #153124=CARTESIAN_POINT('Ctrl Pts',(1.69776943880243,1.37192157925319,-0.53636637696222)); #153125=CARTESIAN_POINT('Ctrl Pts',(1.63873257756523,1.48870775201531,-0.575824214317963)); #153126=CARTESIAN_POINT('Ctrl Pts',(1.51954494580947,1.58043690168253,-0.635008603212568)); #153127=CARTESIAN_POINT('Ctrl Pts',(1.41715604357505,1.60232815844808,-0.662301458717334)); #153128=CARTESIAN_POINT('Ctrl Pts',(1.36528980568671,1.60065062131802,-0.670261137686027)); #153129=CARTESIAN_POINT('Ctrl Pts',(1.3656543265537,0.946535811060706,-0.731659719204207)); #153130=CARTESIAN_POINT('Ctrl Pts',(1.41771233821939,0.944910828665492, -0.723928459273219)); #153131=CARTESIAN_POINT('Ctrl Pts',(1.51938110403547,0.967170457569817, -0.69246373958643)); #153132=CARTESIAN_POINT('Ctrl Pts',(1.61503079832708,1.04044666707374,-0.631615441434736)); #153133=CARTESIAN_POINT('Ctrl Pts',(1.66903889661412,1.11914710618008,-0.584815967905106)); #153134=CARTESIAN_POINT('Ctrl Pts',(1.69656263675637,1.18809825218878,-0.555325906525239)); #153135=CARTESIAN_POINT('Ctrl Pts',(1.70815178301431,1.26510913708627,-0.537706108467349)); #153136=CARTESIAN_POINT('Ctrl Pts',(1.69776322783413,1.37166250985552,-0.537769324892889)); #153137=CARTESIAN_POINT('Ctrl Pts',(1.63908989702504,1.48812939833955,-0.577465979483956)); #153138=CARTESIAN_POINT('Ctrl Pts',(1.52025990074778,1.58008111736396,-0.637111221466653)); #153139=CARTESIAN_POINT('Ctrl Pts',(1.41767558750375,1.60224306087329,-0.664668757898377)); #153140=CARTESIAN_POINT('Ctrl Pts',(1.36565345456331,1.60061865275848,-0.672686092745705)); #153141=CARTESIAN_POINT('',(1.36392100322072,1.60975068046826,-0.66300355283786)); #153142=CARTESIAN_POINT('Origin',(1.3653682724594,1.61061306182148,-0.672860616810078)); #153143=CARTESIAN_POINT('',(1.36392100322072,0.935679045564075,-0.721977179296361)); #153144=CARTESIAN_POINT('Ctrl Pts',(1.36392100322072,1.60975068046826,-0.66300355283786)); #153145=CARTESIAN_POINT('Ctrl Pts',(1.41674020729473,1.61143045976796,-0.655101380362081)); #153146=CARTESIAN_POINT('Ctrl Pts',(1.52089174321681,1.58861502960631,-0.627976284145398)); #153147=CARTESIAN_POINT('Ctrl Pts',(1.64150934958014,1.49392662311229,-0.569325011708493)); #153148=CARTESIAN_POINT('Ctrl Pts',(1.70104934000089,1.37398542350247,-0.530362758973205)); #153149=CARTESIAN_POINT('Ctrl Pts',(1.711594711941,1.26421780137268,-0.530440988581014)); #153150=CARTESIAN_POINT('Ctrl Pts',(1.69983973050598,1.18485835631825,-0.547904560321595)); #153151=CARTESIAN_POINT('Ctrl Pts',(1.67191353087956,1.11377951265189,-0.577054104496599)); #153152=CARTESIAN_POINT('Ctrl Pts',(1.61710495336601,1.03262204737232,-0.623272685080498)); #153153=CARTESIAN_POINT('Ctrl Pts',(1.52001311836849,0.957014909080733, -0.683327417050565)); #153154=CARTESIAN_POINT('Ctrl Pts',(1.41678156374093,0.93401853757196,-0.7143611719069)); #153155=CARTESIAN_POINT('Ctrl Pts',(1.36392100322073,0.935679045564075, -0.721977179296361)); #153156=CARTESIAN_POINT('Origin',(1.3653682724594,0.936541426917294,-0.731834243268579)); #153157=CARTESIAN_POINT('Ctrl Pts',(1.36392100322072,1.60975068046826,-0.663003552837861)); #153158=CARTESIAN_POINT('Ctrl Pts',(1.31478709606677,1.60821808505073,-0.670351752495907)); #153159=CARTESIAN_POINT('Ctrl Pts',(1.16770138148692,1.57176284966886,-0.693828428949474)); #153160=CARTESIAN_POINT('Ctrl Pts',(1.03488298204466,1.40208800482552,-0.723558338312443)); #153161=CARTESIAN_POINT('Ctrl Pts',(1.03451284263179,1.19820969676073,-0.741445697492229)); #153162=CARTESIAN_POINT('Ctrl Pts',(1.09873903943306,1.05975563804502,-0.746356728465808)); #153163=CARTESIAN_POINT('Ctrl Pts',(1.21668983069163,0.96217957833276,-0.740427617588847)); #153164=CARTESIAN_POINT('Ctrl Pts',(1.31474862513894,0.937211125354556, -0.729062903133015)); #153165=CARTESIAN_POINT('Ctrl Pts',(1.36392100322072,0.935679045564075, -0.721977179296362)); #153166=CARTESIAN_POINT('Ctrl Pts',(1.36402669019827,1.60730870478486,-0.663201680473875)); #153167=CARTESIAN_POINT('Ctrl Pts',(1.31524462630703,1.60575135003647,-0.670499996694095)); #153168=CARTESIAN_POINT('Ctrl Pts',(1.16925333982117,1.56944487411858,-0.69382383056476)); #153169=CARTESIAN_POINT('Ctrl Pts',(1.0375998184371,1.40098964923823,-0.723351993560076)); #153170=CARTESIAN_POINT('Ctrl Pts',(1.03733190675205,1.19879359791577,-0.741080608044743)); #153171=CARTESIAN_POINT('Ctrl Pts',(1.10104485448315,1.06153286672227,-0.745923932745486)); #153172=CARTESIAN_POINT('Ctrl Pts',(1.2179656112779,0.964780242592586,-0.740025737957369)); #153173=CARTESIAN_POINT('Ctrl Pts',(1.31519416252914,0.939962055588353, -0.728755764652183)); #153174=CARTESIAN_POINT('Ctrl Pts',(1.36397051572164,0.938407513347049, -0.721731199594371)); #153175=CARTESIAN_POINT('Ctrl Pts',(1.36451103567672,1.60260433355302,-0.665460615556839)); #153176=CARTESIAN_POINT('Ctrl Pts',(1.31642648067594,1.60100510106868,-0.672720776140255)); #153177=CARTESIAN_POINT('Ctrl Pts',(1.17261091200778,1.56501905724145,-0.69591580971759)); #153178=CARTESIAN_POINT('Ctrl Pts',(1.04325444503254,1.39901938952631,-0.725266150153059)); #153179=CARTESIAN_POINT('Ctrl Pts',(1.0432026049085,1.20017391630937,-0.742847415457415)); #153180=CARTESIAN_POINT('Ctrl Pts',(1.10591652596274,1.06528397636851,-0.747627862372402)); #153181=CARTESIAN_POINT('Ctrl Pts',(1.22083073998552,0.970162496931262, -0.741752694704572)); #153182=CARTESIAN_POINT('Ctrl Pts',(1.31641884371074,0.945642783245141, -0.730568842925091)); #153183=CARTESIAN_POINT('Ctrl Pts',(1.36441465858164,0.944040816358298, -0.723596095829677)); #153184=CARTESIAN_POINT('Ctrl Pts',(1.36528980568671,1.60065062131802,-0.67026113768603)); #153185=CARTESIAN_POINT('Ctrl Pts',(1.31753672563475,1.59910627368297,-0.677583906351309)); #153186=CARTESIAN_POINT('Ctrl Pts',(1.17464716135946,1.56352039585592,-0.700979028773964)); #153187=CARTESIAN_POINT('Ctrl Pts',(1.04591054487354,1.39873777478308,-0.730589155341769)); #153188=CARTESIAN_POINT('Ctrl Pts',(1.04572304201696,1.20108559142256,-0.748371196161457)); #153189=CARTESIAN_POINT('Ctrl Pts',(1.10807845642053,1.06693417774652,-0.753231651458462)); #153190=CARTESIAN_POINT('Ctrl Pts',(1.22243549871144,0.972354849365803, -0.747311603869703)); #153191=CARTESIAN_POINT('Ctrl Pts',(1.31754350502239,0.948047196326644, -0.735999575879861)); #153192=CARTESIAN_POINT('Ctrl Pts',(1.36526245996826,0.946499676795995, -0.728948146074383)); #153193=CARTESIAN_POINT('Ctrl Pts',(1.36565345456331,1.60061865275848,-0.672686092745707)); #153194=CARTESIAN_POINT('Ctrl Pts',(1.31792384998062,1.59912828024551,-0.680041889220027)); #153195=CARTESIAN_POINT('Ctrl Pts',(1.17504715811477,1.56374153912232,-0.703539929148223)); #153196=CARTESIAN_POINT('Ctrl Pts',(1.04604993893853,1.39909297710252,-0.733285513444075)); #153197=CARTESIAN_POINT('Ctrl Pts',(1.04570061504485,1.20127491778275,-0.75117292561169)); #153198=CARTESIAN_POINT('Ctrl Pts',(1.10808540759012,1.06693858873934,-0.756076372390155)); #153199=CARTESIAN_POINT('Ctrl Pts',(1.222647883972,0.972260479259682,-0.75013202820169)); #153200=CARTESIAN_POINT('Ctrl Pts',(1.31789180372503,0.948026710468199, -0.738753045805301)); #153201=CARTESIAN_POINT('Ctrl Pts',(1.3656543265537,0.946535811060707,-0.731659719204209)); #153202=CARTESIAN_POINT('Ctrl Pts',(1.36392100322072,0.935679045564075, -0.721977179296362)); #153203=CARTESIAN_POINT('Ctrl Pts',(1.31474862513894,0.937211125354556, -0.729062903133015)); #153204=CARTESIAN_POINT('Ctrl Pts',(1.21668983069163,0.96217957833276,-0.740427617588847)); #153205=CARTESIAN_POINT('Ctrl Pts',(1.09873903943306,1.05975563804502,-0.746356728465808)); #153206=CARTESIAN_POINT('Ctrl Pts',(1.03451284263179,1.19820969676073,-0.741445697492229)); #153207=CARTESIAN_POINT('Ctrl Pts',(1.03488298204466,1.40208800482552,-0.723558338312443)); #153208=CARTESIAN_POINT('Ctrl Pts',(1.16770138148692,1.57176284966886,-0.693828428949474)); #153209=CARTESIAN_POINT('Ctrl Pts',(1.31478709606677,1.60821808505073,-0.670351752495907)); #153210=CARTESIAN_POINT('Ctrl Pts',(1.36392100322072,1.60975068046826,-0.663003552837861)); #153211=CARTESIAN_POINT('Origin',(1.2672,0.0121595984960977,-0.138984846790976)); #153212=CARTESIAN_POINT('',(1.36392100322688,2.80407878993647,-0.558513382728139)); #153213=CARTESIAN_POINT('',(1.36392100322072,0.0697925443317171,-0.797732432054318)); #153214=CARTESIAN_POINT('',(1.63773957156577,2.38893095966747,-0.489338998847941)); #153215=CARTESIAN_POINT('Ctrl Pts',(1.63773957156577,2.38893095966747,-0.489338998847943)); #153216=CARTESIAN_POINT('Ctrl Pts',(1.63131909372878,2.43593559839506,-0.489520506661162)); #153217=CARTESIAN_POINT('Ctrl Pts',(1.60507646639773,2.52953202622852,-0.498734149121443)); #153218=CARTESIAN_POINT('Ctrl Pts',(1.51969326679718,2.67566669836987,-0.530943269708005)); #153219=CARTESIAN_POINT('Ctrl Pts',(1.42746898048702,2.76181507441787,-0.552880509424673)); #153220=CARTESIAN_POINT('Ctrl Pts',(1.36392100323303,2.80407878993639,-0.558513382727243)); #153221=CARTESIAN_POINT('',(1.73940065991611,1.1477991768444,-0.51435371932893)); #153222=CARTESIAN_POINT('Ctrl Pts',(1.73940065966801,1.14779917693401,-0.51435372081777)); #153223=CARTESIAN_POINT('Ctrl Pts',(1.72860744615449,1.56165596975137,-0.488965354420392)); #153224=CARTESIAN_POINT('Ctrl Pts',(1.69401263834523,1.97695302886194,-0.487748151320138)); #153225=CARTESIAN_POINT('Ctrl Pts',(1.63773957156577,2.38893095966747,-0.489338998847941)); #153226=CARTESIAN_POINT('',(1.73940065991611,1.12025288886712,-0.516763707249162)); #153227=CARTESIAN_POINT('',(1.73940065991611,0.0533771173123403,-0.610103242650679)); #153228=CARTESIAN_POINT('',(1.36392100322205,0.604694655339576,-0.750934561244851)); #153229=CARTESIAN_POINT('Ctrl Pts',(1.36392100322337,0.604694655339559, -0.750934561244658)); #153230=CARTESIAN_POINT('Ctrl Pts',(1.42763567937,0.633616666751672,-0.739049268168405)); #153231=CARTESIAN_POINT('Ctrl Pts',(1.5139410727916,0.68893051551044,-0.707144798556287)); #153232=CARTESIAN_POINT('Ctrl Pts',(1.62628456199211,0.801133615044831, -0.639419975753958)); #153233=CARTESIAN_POINT('Ctrl Pts',(1.70873715290523,0.931250580032294, -0.565229504656121)); #153234=CARTESIAN_POINT('Ctrl Pts',(1.73762002351028,1.0554475146294,-0.524222773211022)); #153235=CARTESIAN_POINT('Ctrl Pts',(1.73940065457453,1.12025289242911,-0.516763737838517)); #153236=CARTESIAN_POINT('',(1.36392100322072,0.0697925443317171,-0.797732432054318)); #153237=CARTESIAN_POINT('Origin',(-1.5,1.27306234,-0.82657851)); #153238=CARTESIAN_POINT('',(-1.5,1.14806234,-0.87407851)); #153239=CARTESIAN_POINT('',(-1.5,1.39806234,-0.87407851)); #153240=CARTESIAN_POINT('',(-1.5,1.27306234,-0.87407851)); #153241=CARTESIAN_POINT('',(-1.5,1.14806234,-0.88907851)); #153242=CARTESIAN_POINT('',(-1.5,1.14806234,-0.88907851)); #153243=CARTESIAN_POINT('',(-1.5,1.39806234,-0.88907851)); #153244=CARTESIAN_POINT('',(-1.5,1.39806234,-0.88907851)); #153245=CARTESIAN_POINT('',(-1.5,1.39806234,-0.76407851)); #153246=CARTESIAN_POINT('Origin',(-1.375,1.39806234,-0.88907851)); #153247=CARTESIAN_POINT('',(-1.25,1.39806234,-0.87407851)); #153248=CARTESIAN_POINT('',(-1.375,1.39806234,-0.87407851)); #153249=CARTESIAN_POINT('',(-1.25,1.39806234,-0.88907851)); #153250=CARTESIAN_POINT('',(-1.375,1.39806234,-0.88907851)); #153251=CARTESIAN_POINT('',(-1.25,1.39806234,-0.76407851)); #153252=CARTESIAN_POINT('Origin',(-1.375,1.14806234,-0.88907851)); #153253=CARTESIAN_POINT('',(-1.25,1.14806234,-0.88907851)); #153254=CARTESIAN_POINT('',(-1.375,1.14806234,-0.88907851)); #153255=CARTESIAN_POINT('',(-1.25,1.39806234,-0.88907851)); #153256=CARTESIAN_POINT('',(-1.2562411433175,1.23451062260729,-0.88907851)); #153257=CARTESIAN_POINT('Ctrl Pts',(-1.2562411433175,1.23451062260729,-0.88907851)); #153258=CARTESIAN_POINT('Ctrl Pts',(-1.25488437643172,1.24095766959062, -0.88907851)); #153259=CARTESIAN_POINT('Ctrl Pts',(-1.25402127958576,1.24748685683226, -0.88907851)); #153260=CARTESIAN_POINT('Ctrl Pts',(-1.25367829737323,1.2540371321496,-0.88907851)); #153261=CARTESIAN_POINT('Ctrl Pts',(-1.25339943802656,1.25936278878354, -0.88907851)); #153262=CARTESIAN_POINT('Ctrl Pts',(-1.25346567468985,1.26470683991539, -0.88907851)); #153263=CARTESIAN_POINT('Ctrl Pts',(-1.25389371876181,1.27000598994099, -0.88907851)); #153264=CARTESIAN_POINT('Ctrl Pts',(-1.25434938511407,1.27564710150021, -0.88907851)); #153265=CARTESIAN_POINT('Ctrl Pts',(-1.25521394625776,1.28124353122022, -0.88907851)); #153266=CARTESIAN_POINT('Ctrl Pts',(-1.25651161231742,1.28675228099162, -0.88907851)); #153267=CARTESIAN_POINT('Ctrl Pts',(-1.25720186070374,1.28968246908744, -0.88907851)); #153268=CARTESIAN_POINT('Ctrl Pts',(-1.25801422506996,1.29258435661455, -0.88907851)); #153269=CARTESIAN_POINT('Ctrl Pts',(-1.25894105420046,1.29544473981657, -0.88907851)); #153270=CARTESIAN_POINT('Ctrl Pts',(-1.26151000360961,1.30337304018491, -0.88907851)); #153271=CARTESIAN_POINT('Ctrl Pts',(-1.2649602228002,1.31100319692294,-0.88907851)); #153272=CARTESIAN_POINT('Ctrl Pts',(-1.26919403128303,1.31821304780471, -0.88907851)); #153273=CARTESIAN_POINT('Ctrl Pts',(-1.27126648585191,1.32174227886498, -0.88907851)); #153274=CARTESIAN_POINT('Ctrl Pts',(-1.27352720220895,1.32517038454984, -0.88907851)); #153275=CARTESIAN_POINT('Ctrl Pts',(-1.27596686332501,1.32847996076055, -0.88907851)); #153276=CARTESIAN_POINT('Ctrl Pts',(-1.27768652569356,1.33081280675623, -0.88907851)); #153277=CARTESIAN_POINT('Ctrl Pts',(-1.27948941394925,1.33307891743907, -0.88907851)); #153278=CARTESIAN_POINT('Ctrl Pts',(-1.28136998912843,1.33527193393641, -0.88907851)); #153279=CARTESIAN_POINT('Ctrl Pts',(-1.28681927994841,1.34162657734515, -0.88907851)); #153280=CARTESIAN_POINT('Ctrl Pts',(-1.29292257706445,1.3473752139301,-0.88907851)); #153281=CARTESIAN_POINT('Ctrl Pts',(-1.29958961841629,1.35237170176123, -0.88907851)); #153282=CARTESIAN_POINT('Ctrl Pts',(-1.30199092337557,1.35417131426919, -0.88907851)); #153283=CARTESIAN_POINT('Ctrl Pts',(-1.30446552903112,1.35587289438685, -0.88907851)); #153284=CARTESIAN_POINT('Ctrl Pts',(-1.30700957507274,1.35746789513709, -0.88907851)); #153285=CARTESIAN_POINT('Ctrl Pts',(-1.30938671983264,1.35895825640157, -0.88907851)); #153286=CARTESIAN_POINT('Ctrl Pts',(-1.31181726779812,1.36035092822708, -0.88907851)); #153287=CARTESIAN_POINT('Ctrl Pts',(-1.31429469619969,1.36163896221865, -0.88907851)); #153288=CARTESIAN_POINT('Ctrl Pts',(-1.31774828968051,1.36343451190781, -0.88907851)); #153289=CARTESIAN_POINT('Ctrl Pts',(-1.32129475219749,1.36502797163172, -0.88907851)); #153290=CARTESIAN_POINT('Ctrl Pts',(-1.3249246817872,1.36640280551766,-0.88907851)); #153291=CARTESIAN_POINT('Ctrl Pts',(-1.33022443299804,1.36841008344733, -0.88907851)); #153292=CARTESIAN_POINT('Ctrl Pts',(-1.33570240469536,1.36995179333599, -0.88907851)); #153293=CARTESIAN_POINT('Ctrl Pts',(-1.34129814950351,1.37102664562048, -0.88907851)); #153294=CARTESIAN_POINT('Ctrl Pts',(-1.34447533853947,1.37163693236705, -0.88907851)); #153295=CARTESIAN_POINT('Ctrl Pts',(-1.34769238740183,1.37209617855489, -0.88907851)); #153296=CARTESIAN_POINT('Ctrl Pts',(-1.35091625112135,1.37240194614424, -0.88907851)); #153297=CARTESIAN_POINT('Ctrl Pts',(-1.35670098519747,1.37295059966912, -0.88907851)); #153298=CARTESIAN_POINT('Ctrl Pts',(-1.36252330773024,1.37300967503108, -0.88907851)); #153299=CARTESIAN_POINT('Ctrl Pts',(-1.36831640626009,1.37258142036606, -0.88907851)); #153300=CARTESIAN_POINT('Ctrl Pts',(-1.37330583108073,1.37221257726005, -0.88907851)); #153301=CARTESIAN_POINT('Ctrl Pts',(-1.37827373148645,1.37148192837193, -0.88907851)); #153302=CARTESIAN_POINT('Ctrl Pts',(-1.38317233955028,1.37038267911728, -0.88907851)); #153303=CARTESIAN_POINT('Ctrl Pts',(-1.38594831434395,1.36975974946399, -0.88907851)); #153304=CARTESIAN_POINT('Ctrl Pts',(-1.38869293254024,1.36902022255803, -0.88907851)); #153305=CARTESIAN_POINT('Ctrl Pts',(-1.39139383269755,1.36816555955001, -0.88907851)); #153306=CARTESIAN_POINT('Ctrl Pts',(-1.39827692801116,1.36598749793994, -0.88907851)); #153307=CARTESIAN_POINT('Ctrl Pts',(-1.40488806570426,1.36306199630746, -0.88907851)); #153308=CARTESIAN_POINT('Ctrl Pts',(-1.41107604155075,1.35937123952857, -0.88907851)); #153309=CARTESIAN_POINT('Ctrl Pts',(-1.41310005507207,1.35816403675181, -0.88907851)); #153310=CARTESIAN_POINT('Ctrl Pts',(-1.41507849235683,1.35687469519467, -0.88907851)); #153311=CARTESIAN_POINT('Ctrl Pts',(-1.41700503969742,1.35550187219434, -0.88907851)); #153312=CARTESIAN_POINT('',(-1.31825120888067,1.16168651359905,-0.88907851)); #153313=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #153314=CARTESIAN_POINT('Ctrl Pts',(-1.46290439446965,1.30159804250691, -0.88907851)); #153315=CARTESIAN_POINT('Ctrl Pts',(-1.46597484575558,1.29546209613827, -0.88907851)); #153316=CARTESIAN_POINT('Ctrl Pts',(-1.46838057671354,1.28901651998586, -0.88907851)); #153317=CARTESIAN_POINT('Ctrl Pts',(-1.4700739925022,1.28238623370147,-0.88907851)); #153318=CARTESIAN_POINT('Ctrl Pts',(-1.47094700753093,1.27896808937461, -0.88907851)); #153319=CARTESIAN_POINT('Ctrl Pts',(-1.47162783410891,1.27550972692652, -0.88907851)); #153320=CARTESIAN_POINT('Ctrl Pts',(-1.47211007304756,1.27202923196488, -0.88907851)); #153321=CARTESIAN_POINT('Ctrl Pts',(-1.47258266745518,1.26861834511433, -0.88907851)); #153322=CARTESIAN_POINT('Ctrl Pts',(-1.47286468723626,1.26518567063438, -0.88907851)); #153323=CARTESIAN_POINT('Ctrl Pts',(-1.4729486933071,1.26174553431058,-0.88907851)); #153324=CARTESIAN_POINT('Ctrl Pts',(-1.47302661705571,1.25855447543353, -0.88907851)); #153325=CARTESIAN_POINT('Ctrl Pts',(-1.47293379126892,1.2553648585885,-0.88907851)); #153326=CARTESIAN_POINT('Ctrl Pts',(-1.47268042443459,1.25219166547303, -0.88907851)); #153327=CARTESIAN_POINT('Ctrl Pts',(-1.47207144958118,1.24456479979676, -0.88907851)); #153328=CARTESIAN_POINT('Ctrl Pts',(-1.47053888036291,1.23702443650759, -0.88907851)); #153329=CARTESIAN_POINT('Ctrl Pts',(-1.46818812286365,1.22969725088694, -0.88907851)); #153330=CARTESIAN_POINT('Ctrl Pts',(-1.46735146353457,1.22708942842661, -0.88907851)); #153331=CARTESIAN_POINT('Ctrl Pts',(-1.46640985806449,1.22450653317064, -0.88907851)); #153332=CARTESIAN_POINT('Ctrl Pts',(-1.46536919520086,1.22196062873571, -0.88907851)); #153333=CARTESIAN_POINT('Ctrl Pts',(-1.46227519543869,1.21439138802251, -0.88907851)); #153334=CARTESIAN_POINT('Ctrl Pts',(-1.45833135305543,1.20719867571788, -0.88907851)); #153335=CARTESIAN_POINT('Ctrl Pts',(-1.45365318947745,1.20052339604118, -0.88907851)); #153336=CARTESIAN_POINT('Ctrl Pts',(-1.45093051715905,1.19663841021492, -0.889078510000001)); #153337=CARTESIAN_POINT('Ctrl Pts',(-1.44795806538688,1.1929285228888,-0.88907851)); #153338=CARTESIAN_POINT('Ctrl Pts',(-1.44475082765077,1.1894230872919,-0.88907851)); #153339=CARTESIAN_POINT('Ctrl Pts',(-1.44303630963713,1.18754915932926, -0.88907851)); #153340=CARTESIAN_POINT('Ctrl Pts',(-1.44125630291113,1.18573586634991, -0.88907851)); #153341=CARTESIAN_POINT('Ctrl Pts',(-1.43941977295596,1.18399330964378, -0.88907851)); #153342=CARTESIAN_POINT('Ctrl Pts',(-1.43579125152022,1.1805504557206,-0.88907851)); #153343=CARTESIAN_POINT('Ctrl Pts',(-1.43193607725841,1.17737646900562, -0.889078510000001)); #153344=CARTESIAN_POINT('Ctrl Pts',(-1.42787554446181,1.17450226924784, -0.889078510000001)); #153345=CARTESIAN_POINT('Ctrl Pts',(-1.42313711935233,1.17114823149365, -0.889078510000001)); #153346=CARTESIAN_POINT('Ctrl Pts',(-1.41811917438155,1.1682019503328,-0.88907851)); #153347=CARTESIAN_POINT('Ctrl Pts',(-1.41287590064669,1.16566000171983, -0.88907851)); #153348=CARTESIAN_POINT('Ctrl Pts',(-1.40968265151197,1.1641119087498,-0.88907851)); #153349=CARTESIAN_POINT('Ctrl Pts',(-1.40640273742801,1.16271342247005, -0.88907851)); #153350=CARTESIAN_POINT('Ctrl Pts',(-1.40307100839981,1.16147287466377, -0.88907851)); #153351=CARTESIAN_POINT('Ctrl Pts',(-1.39632827128876,1.15896226000673, -0.88907851)); #153352=CARTESIAN_POINT('Ctrl Pts',(-1.38935680200952,1.15708747083311, -0.88907851)); #153353=CARTESIAN_POINT('Ctrl Pts',(-1.38225703734368,1.15583918788572, -0.88907851)); #153354=CARTESIAN_POINT('Ctrl Pts',(-1.37785930718373,1.15506597752957, -0.88907851)); #153355=CARTESIAN_POINT('Ctrl Pts',(-1.37341196178106,1.15453350950774, -0.88907851)); #153356=CARTESIAN_POINT('Ctrl Pts',(-1.36893650696753,1.15424355561476, -0.88907851)); #153357=CARTESIAN_POINT('Ctrl Pts',(-1.36542891214403,1.15401630702965, -0.88907851)); #153358=CARTESIAN_POINT('Ctrl Pts',(-1.36190210774999,1.15393860079047, -0.88907851)); #153359=CARTESIAN_POINT('Ctrl Pts',(-1.35838875860269,1.15401083407392, -0.88907851)); #153360=CARTESIAN_POINT('Ctrl Pts',(-1.34925622547736,1.15419859590067, -0.88907851)); #153361=CARTESIAN_POINT('Ctrl Pts',(-1.34018322802727,1.15539245057903, -0.88907851)); #153362=CARTESIAN_POINT('Ctrl Pts',(-1.33134871374287,1.15759685656391, -0.88907851)); #153363=CARTESIAN_POINT('Ctrl Pts',(-1.32691564239528,1.15870300554907, -0.88907851)); #153364=CARTESIAN_POINT('Ctrl Pts',(-1.32254234760112,1.16006437304157, -0.88907851)); #153365=CARTESIAN_POINT('Ctrl Pts',(-1.31825120888067,1.16168651359905, -0.88907851)); #153366=CARTESIAN_POINT('Origin',(-1.375,1.14806234,-0.76407851)); #153367=CARTESIAN_POINT('',(-1.25,1.14806234,-0.87407851)); #153368=CARTESIAN_POINT('',(-1.375,1.14806234,-0.87407851)); #153369=CARTESIAN_POINT('',(-1.25,1.14806234,-0.88907851)); #153370=CARTESIAN_POINT('Origin',(-1.25,1.27306234,-0.82657851)); #153371=CARTESIAN_POINT('',(-1.25,1.27306234,-0.87407851)); #153372=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.97)); #153373=CARTESIAN_POINT('Ctrl Pts',(-1.25012416478287,1.27312522314661, -0.930328508474688)); #153374=CARTESIAN_POINT('Ctrl Pts',(-1.25004870456128,1.2884267465235,-0.929356904941419)); #153375=CARTESIAN_POINT('Ctrl Pts',(-1.25957297759984,1.34388679807523, -0.925829622216491)); #153376=CARTESIAN_POINT('Ctrl Pts',(-1.34013904635508,1.41492934693623, -0.919746660299904)); #153377=CARTESIAN_POINT('Ctrl Pts',(-1.47156957609968,1.38266560624094, -0.912079622724928)); #153378=CARTESIAN_POINT('Ctrl Pts',(-1.52018891588574,1.25628294373343, -0.904412585149951)); #153379=CARTESIAN_POINT('Ctrl Pts',(-1.44392699699289,1.1443560950571,-0.896745547574977)); #153380=CARTESIAN_POINT('Ctrl Pts',(-1.35402023703109,1.14346129208875, -0.891634189191659)); #153381=CARTESIAN_POINT('Ctrl Pts',(-1.31825120888066,1.16168651359905, -0.88907851)); #153382=CARTESIAN_POINT('Ctrl Pts',(-1.2562411433175,1.23451062260729,-0.88907851)); #153383=CARTESIAN_POINT('Ctrl Pts',(-1.26319822366628,1.21328948445755, -0.890495548083413)); #153384=CARTESIAN_POINT('Ctrl Pts',(-1.27559367095838,1.19380625719629, -0.891912586166826)); #153385=CARTESIAN_POINT('Ctrl Pts',(-1.29223226726758,1.17914557203599, -0.893329624250239)); #153386=CARTESIAN_POINT('Ctrl Pts',(-1.32224057464024,1.15270449861798, -0.895885303441897)); #153387=CARTESIAN_POINT('Ctrl Pts',(-1.36605075141579,1.14194991087349, -0.898440982633557)); #153388=CARTESIAN_POINT('Ctrl Pts',(-1.40488024812823,1.15149642632343, -0.900996661825215)); #153389=CARTESIAN_POINT('Ctrl Pts',(-1.44370974484068,1.16104294177337, -0.903552341016874)); #153390=CARTESIAN_POINT('Ctrl Pts',(-1.47755856149001,1.19089056041775, -0.906108020208533)); #153391=CARTESIAN_POINT('Ctrl Pts',(-1.49188228391523,1.22822690645727, -0.908663699400192)); #153392=CARTESIAN_POINT('Ctrl Pts',(-1.50620600634044,1.26556325249679, -0.91121937859185)); #153393=CARTESIAN_POINT('Ctrl Pts',(-1.50100463454153,1.31038832593145, -0.913775057783509)); #153394=CARTESIAN_POINT('Ctrl Pts',(-1.47851762864939,1.34345605814192, -0.916330736975168)); #153395=CARTESIAN_POINT('Ctrl Pts',(-1.45603062275725,1.3765237903524,-0.918886416166826)); #153396=CARTESIAN_POINT('Ctrl Pts',(-1.41625798277188,1.39783418133868, -0.921442095358485)); #153397=CARTESIAN_POINT('Ctrl Pts',(-1.37627079369168,1.39824045341617, -0.923997774550144)); #153398=CARTESIAN_POINT('Ctrl Pts',(-1.33628360461148,1.39864672549366, -0.926553453741802)); #153399=CARTESIAN_POINT('Ctrl Pts',(-1.29608186643645,1.37814887866235, -0.929109132933461)); #153400=CARTESIAN_POINT('Ctrl Pts',(-1.27293001040633,1.34554269332801, -0.93166481212512)); #153401=CARTESIAN_POINT('Ctrl Pts',(-1.25809230288553,1.32464583561039, -0.933302711925183)); #153402=CARTESIAN_POINT('Ctrl Pts',(-1.25025758312384,1.29877565634137, -0.934940611725247)); #153403=CARTESIAN_POINT('Ctrl Pts',(-1.25011323748455,1.27298789137438, -0.93657851152531)); #153404=CARTESIAN_POINT('Ctrl Pts',(-1.31370304935615,1.16412344041971, -0.988749998474688)); #153405=CARTESIAN_POINT('Ctrl Pts',(-1.27871665516557,1.18380933119122, -0.98619431928303)); #153406=CARTESIAN_POINT('Ctrl Pts',(-1.22966261951462,1.25916002956579, -0.981082960899713)); #153407=CARTESIAN_POINT('Ctrl Pts',(-1.28061009103625,1.38465048686588, -0.973415923324736)); #153408=CARTESIAN_POINT('Ctrl Pts',(-1.41276455098655,1.41417363539317, -0.96574888574976)); #153409=CARTESIAN_POINT('Ctrl Pts',(-1.51242578022432,1.3226172456685,-0.958081848174784)); #153410=CARTESIAN_POINT('Ctrl Pts',(-1.49411988493223,1.18847367245918, -0.950414810599808)); #153411=CARTESIAN_POINT('Ctrl Pts',(-1.3735146850454,1.1269801114032,-0.942747773024832)); #153412=CARTESIAN_POINT('Ctrl Pts',(-1.2541967564382,1.19091125956114,-0.935080735449856)); #153413=CARTESIAN_POINT('Ctrl Pts',(-1.23859261471785,1.3253864730849,-0.92741369787488)); #153414=CARTESIAN_POINT('Ctrl Pts',(-1.34013904635508,1.41492934693623, -0.919746660299904)); #153415=CARTESIAN_POINT('Ctrl Pts',(-1.47156957609968,1.38266560624094, -0.912079622724928)); #153416=CARTESIAN_POINT('Ctrl Pts',(-1.52018891588574,1.25628294373343, -0.904412585149951)); #153417=CARTESIAN_POINT('Ctrl Pts',(-1.44392699699289,1.1443560950571,-0.896745547574977)); #153418=CARTESIAN_POINT('Ctrl Pts',(-1.35402023703109,1.14346129208875, -0.891634189191659)); #153419=CARTESIAN_POINT('Ctrl Pts',(-1.31825120888066,1.16168651359905, -0.88907851)); #153420=CARTESIAN_POINT('Ctrl Pts',(-1.31901152056751,1.17355782510191, -0.982499998983126)); #153421=CARTESIAN_POINT('Ctrl Pts',(-1.28705503674604,1.19153886786168, -0.979944319791467)); #153422=CARTESIAN_POINT('Ctrl Pts',(-1.24224920485269,1.26036400486858, -0.97483296140815)); #153423=CARTESIAN_POINT('Ctrl Pts',(-1.28878449627361,1.37498667065733, -0.967165923833173)); #153424=CARTESIAN_POINT('Ctrl Pts',(-1.40949404520091,1.40195303973017, -0.959498886258197)); #153425=CARTESIAN_POINT('Ctrl Pts',(-1.50052435951158,1.31832566529852, -0.951831848683221)); #153426=CARTESIAN_POINT('Ctrl Pts',(-1.48380380112672,1.19579926535951, -0.944164811108246)); #153427=CARTESIAN_POINT('Ctrl Pts',(-1.37364331708326,1.13963120247466, -0.936497773533269)); #153428=CARTESIAN_POINT('Ctrl Pts',(-1.26465862336554,1.19802575124322, -0.928830735958294)); #153429=CARTESIAN_POINT('Ctrl Pts',(-1.25040583984866,1.32085507060528, -0.921163698383317)); #153430=CARTESIAN_POINT('Ctrl Pts',(-1.34315809325515,1.40264330473913, -0.913496660808341)); #153431=CARTESIAN_POINT('Ctrl Pts',(-1.46320640616652,1.37317368572286, -0.905829623233365)); #153432=CARTESIAN_POINT('Ctrl Pts',(-1.50761518795811,1.25773608195792, -0.898162585658389)); #153433=CARTESIAN_POINT('Ctrl Pts',(-1.43795774443825,1.15550238192497, -0.890495548083414)); #153434=CARTESIAN_POINT('Ctrl Pts',(-1.35583713765293,1.15468507116052, -0.885384189700096)); #153435=CARTESIAN_POINT('Ctrl Pts',(-1.3231657979552,1.17133194231747,-0.882828510508437)); #153436=CARTESIAN_POINT('Ctrl Pts',(-1.32431999177888,1.18299220978411, -0.976249999491563)); #153437=CARTESIAN_POINT('Ctrl Pts',(-1.29539341832651,1.19926840453214, -0.973694320299904)); #153438=CARTESIAN_POINT('Ctrl Pts',(-1.25483579019075,1.26156798017137, -0.968582961916587)); #153439=CARTESIAN_POINT('Ctrl Pts',(-1.29695890151098,1.36532285444877, -0.960915924341611)); #153440=CARTESIAN_POINT('Ctrl Pts',(-1.40622353941528,1.38973244406717, -0.953248886766634)); #153441=CARTESIAN_POINT('Ctrl Pts',(-1.48862293879885,1.31403408492853, -0.945581849191659)); #153442=CARTESIAN_POINT('Ctrl Pts',(-1.47348771732122,1.20312485825984, -0.937914811616683)); #153443=CARTESIAN_POINT('Ctrl Pts',(-1.37377194912113,1.15228229354612, -0.930247774041707)); #153444=CARTESIAN_POINT('Ctrl Pts',(-1.27512049029287,1.20514024292529, -0.922580736466731)); #153445=CARTESIAN_POINT('Ctrl Pts',(-1.26221906497947,1.31632366812565, -0.914913698891754)); #153446=CARTESIAN_POINT('Ctrl Pts',(-1.34617714015521,1.39035726254204, -0.907246661316779)); #153447=CARTESIAN_POINT('Ctrl Pts',(-1.45484323623336,1.36368176520479, -0.899579623741803)); #153448=CARTESIAN_POINT('Ctrl Pts',(-1.49504146003049,1.25918922018241, -0.891912586166826)); #153449=CARTESIAN_POINT('Ctrl Pts',(-1.4319884918836,1.16664866879285,-0.884245548591851)); #153450=CARTESIAN_POINT('Ctrl Pts',(-1.35765403827477,1.16590885023229, -0.879134190208533)); #153451=CARTESIAN_POINT('Ctrl Pts',(-1.32808038702974,1.18097737103588, -0.876578511016875)); #153452=CARTESIAN_POINT('Ctrl Pts',(-1.32962846299024,1.1924265944663,-0.97)); #153453=CARTESIAN_POINT('Ctrl Pts',(-1.30373179990698,1.2069979412026,-0.967444320808341)); #153454=CARTESIAN_POINT('Ctrl Pts',(-1.26742237552882,1.26277195547416, -0.962332962425024)); #153455=CARTESIAN_POINT('Ctrl Pts',(-1.30513330674834,1.35565903824021, -0.954665924850048)); #153456=CARTESIAN_POINT('Ctrl Pts',(-1.40295303362965,1.37751184840418, -0.946998887275072)); #153457=CARTESIAN_POINT('Ctrl Pts',(-1.47672151808611,1.30974250455854, -0.939331849700096)); #153458=CARTESIAN_POINT('Ctrl Pts',(-1.46317163351571,1.21045045116016, -0.93166481212512)); #153459=CARTESIAN_POINT('Ctrl Pts',(-1.373900581159,1.16493338461758,-0.923997774550144)); #153460=CARTESIAN_POINT('Ctrl Pts',(-1.28558235722021,1.21225473460737, -0.916330736975168)); #153461=CARTESIAN_POINT('Ctrl Pts',(-1.27403229011028,1.31179226564603, -0.908663699400192)); #153462=CARTESIAN_POINT('Ctrl Pts',(-1.34919618705528,1.37807122034494, -0.900996661825216)); #153463=CARTESIAN_POINT('Ctrl Pts',(-1.4464800663002,1.35418984468672,-0.89332962425024)); #153464=CARTESIAN_POINT('Ctrl Pts',(-1.48246773210286,1.2606423584069,-0.885662586675264)); #153465=CARTESIAN_POINT('Ctrl Pts',(-1.42601923932896,1.17779495566073, -0.877995549100288)); #153466=CARTESIAN_POINT('Ctrl Pts',(-1.35947093889661,1.17713262930406, -0.872884190716971)); #153467=CARTESIAN_POINT('Ctrl Pts',(-1.33299497610428,1.1906227997543,-0.870328511525312)); #153468=CARTESIAN_POINT('Ctrl Pts',(-1.32962846299024,1.1924265944663,-0.97)); #153469=CARTESIAN_POINT('Ctrl Pts',(-1.30373179990698,1.2069979412026,-0.967444320808341)); #153470=CARTESIAN_POINT('Ctrl Pts',(-1.26742237552882,1.26277195547416, -0.962332962425024)); #153471=CARTESIAN_POINT('Ctrl Pts',(-1.30513330674834,1.35565903824021, -0.954665924850048)); #153472=CARTESIAN_POINT('Ctrl Pts',(-1.40295303362965,1.37751184840418, -0.946998887275072)); #153473=CARTESIAN_POINT('Ctrl Pts',(-1.47672151808611,1.30974250455854, -0.939331849700096)); #153474=CARTESIAN_POINT('Ctrl Pts',(-1.46321919452023,1.21079897293361, -0.931691723941739)); #153475=CARTESIAN_POINT('Ctrl Pts',(-1.40428289399323,1.18042626985837, -0.92660727737504)); #153476=CARTESIAN_POINT('Ctrl Pts',(-1.37499444922479,1.18040209390406, -0.92407851)); #153477=CARTESIAN_POINT('Ctrl Pts',(-1.4203715524657,1.35369807683706,-0.988749998474689)); #153478=CARTESIAN_POINT('Ctrl Pts',(-1.44626821275598,1.33912672513698, -0.986194319283031)); #153479=CARTESIAN_POINT('Ctrl Pts',(-1.48257762015901,1.28335268726161, -0.981082960899713)); #153480=CARTESIAN_POINT('Ctrl Pts',(-1.44486667852087,1.19046562609992, -0.973415923324738)); #153481=CARTESIAN_POINT('Ctrl Pts',(-1.34704694477088,1.16861283143389, -0.965748885749761)); #153482=CARTESIAN_POINT('Ctrl Pts',(-1.27327847216563,1.23638219467711, -0.958081848174785)); #153483=CARTESIAN_POINT('Ctrl Pts',(-1.28682837625013,1.33567424681929, -0.950414810599809)); #153484=CARTESIAN_POINT('Ctrl Pts',(-1.37609943944197,1.38119129814197, -0.942747773024833)); #153485=CARTESIAN_POINT('Ctrl Pts',(-1.46441765697729,1.33386992979475, -0.935080735449857)); #153486=CARTESIAN_POINT('Ctrl Pts',(-1.47596770460267,1.23433239373741, -0.927413697874881)); #153487=CARTESIAN_POINT('Ctrl Pts',(-1.40080379410417,1.16805345230141, -0.919746660299904)); #153488=CARTESIAN_POINT('Ctrl Pts',(-1.30351991442842,1.19193484464224, -0.912079622724929)); #153489=CARTESIAN_POINT('Ctrl Pts',(-1.26753226777951,1.28548234185252, -0.904412585149952)); #153490=CARTESIAN_POINT('Ctrl Pts',(-1.32398076855484,1.36832971966684, -0.896745547574976)); #153491=CARTESIAN_POINT('Ctrl Pts',(-1.39052907949083,1.36899204771939, -0.891634189191659)); #153492=CARTESIAN_POINT('Ctrl Pts',(-1.41700503969742,1.35550187219433, -0.88907851)); #153493=CARTESIAN_POINT('Ctrl Pts',(-1.42568002548541,1.36313246050175, -0.982499998983126)); #153494=CARTESIAN_POINT('Ctrl Pts',(-1.45460659581802,1.34685626020918, -0.979944319791468)); #153495=CARTESIAN_POINT('Ctrl Pts',(-1.49516420499255,1.28455665820448, -0.97483296140815)); #153496=CARTESIAN_POINT('Ctrl Pts',(-1.45304108203473,1.18080180805916, -0.967165923833174)); #153497=CARTESIAN_POINT('Ctrl Pts',(-1.34377643645811,1.15639223575195, -0.959498886258198)); #153498=CARTESIAN_POINT('Ctrl Pts',(-1.26137705031235,1.2320906165577,-0.951831848683222)); #153499=CARTESIAN_POINT('Ctrl Pts',(-1.27651229358723,1.34299984182321, -0.944164811108246)); #153500=CARTESIAN_POINT('Ctrl Pts',(-1.37622807389015,1.3938423895363,-0.93649777353327)); #153501=CARTESIAN_POINT('Ctrl Pts',(-1.47487952556573,1.34098441965187, -0.928830735958294)); #153502=CARTESIAN_POINT('Ctrl Pts',(-1.4877809291149,1.22980098884565,-0.921163698383318)); #153503=CARTESIAN_POINT('Ctrl Pts',(-1.4038228387999,1.15576740924394,-0.913496660808342)); #153504=CARTESIAN_POINT('Ctrl Pts',(-1.29515674224052,1.18244292521565, -0.905829623233365)); #153505=CARTESIAN_POINT('Ctrl Pts',(-1.25495853983813,1.28693548244736, -0.898162585658389)); #153506=CARTESIAN_POINT('Ctrl Pts',(-1.3180115169226,1.37947600598804,-0.890495548083413)); #153507=CARTESIAN_POINT('Ctrl Pts',(-1.392345982264,1.3802158264429,-0.885384189700096)); #153508=CARTESIAN_POINT('Ctrl Pts',(-1.42191963062076,1.36514729997074, -0.882828510508437)); #153509=CARTESIAN_POINT('Ctrl Pts',(-1.43098849850512,1.37256684416644, -0.976249999491563)); #153510=CARTESIAN_POINT('Ctrl Pts',(-1.46294497888006,1.35458579528137, -0.973694320299905)); #153511=CARTESIAN_POINT('Ctrl Pts',(-1.50775078982608,1.28576062914735, -0.968582961916587)); #153512=CARTESIAN_POINT('Ctrl Pts',(-1.4612154855486,1.17113799001839,-0.960915924341611)); #153513=CARTESIAN_POINT('Ctrl Pts',(-1.34050592814534,1.14417164007001, -0.953248886766635)); #153514=CARTESIAN_POINT('Ctrl Pts',(-1.24947562845907,1.22779903843828, -0.945581849191659)); #153515=CARTESIAN_POINT('Ctrl Pts',(-1.26619621092432,1.35032543682713, -0.937914811616682)); #153516=CARTESIAN_POINT('Ctrl Pts',(-1.37635670833833,1.40649348093063, -0.930247774041707)); #153517=CARTESIAN_POINT('Ctrl Pts',(-1.48534139415418,1.34809890950899, -0.92258073646673)); #153518=CARTESIAN_POINT('Ctrl Pts',(-1.49959415362713,1.22526958395389, -0.914913698891754)); #153519=CARTESIAN_POINT('Ctrl Pts',(-1.40684188349562,1.14348136618647, -0.907246661316779)); #153520=CARTESIAN_POINT('Ctrl Pts',(-1.28679357005261,1.17295100578906, -0.899579623741801)); #153521=CARTESIAN_POINT('Ctrl Pts',(-1.24238481189674,1.2883886230422,-0.891912586166827)); #153522=CARTESIAN_POINT('Ctrl Pts',(-1.31204226529036,1.39062229230924, -0.88424554859185)); #153523=CARTESIAN_POINT('Ctrl Pts',(-1.39416288503717,1.39143960516641, -0.879134190208533)); #153524=CARTESIAN_POINT('Ctrl Pts',(-1.42683422154409,1.37479272774715, -0.876578511016874)); #153525=CARTESIAN_POINT('Ctrl Pts',(-1.43629697152483,1.38200122783113, -0.97)); #153526=CARTESIAN_POINT('Ctrl Pts',(-1.47128336194209,1.36231533035357, -0.967444320808341)); #153527=CARTESIAN_POINT('Ctrl Pts',(-1.52033737465962,1.28696460009022, -0.962332962425024)); #153528=CARTESIAN_POINT('Ctrl Pts',(-1.46938988906246,1.16147417197763, -0.954665924850048)); #153529=CARTESIAN_POINT('Ctrl Pts',(-1.33723541983257,1.13195104438806, -0.946998887275072)); #153530=CARTESIAN_POINT('Ctrl Pts',(-1.23757420660579,1.22350746031887, -0.939331849700095)); #153531=CARTESIAN_POINT('Ctrl Pts',(-1.25588012826142,1.35765103183105, -0.93166481212512)); #153532=CARTESIAN_POINT('Ctrl Pts',(-1.37648534278651,1.41914457232496, -0.923997774550144)); #153533=CARTESIAN_POINT('Ctrl Pts',(-1.49580326274262,1.35521339936611, -0.916330736975168)); #153534=CARTESIAN_POINT('Ctrl Pts',(-1.51140737813935,1.22073817906213, -0.908663699400192)); #153535=CARTESIAN_POINT('Ctrl Pts',(-1.40986092819134,1.13119532312899, -0.900996661825215)); #153536=CARTESIAN_POINT('Ctrl Pts',(-1.2784303978647,1.16345908636248,-0.893329624250239)); #153537=CARTESIAN_POINT('Ctrl Pts',(-1.22981108395535,1.28984176363704, -0.885662586675263)); #153538=CARTESIAN_POINT('Ctrl Pts',(-1.30607301365812,1.40176857863044, -0.877995549100287)); #153539=CARTESIAN_POINT('Ctrl Pts',(-1.39597978781035,1.40266338388993, -0.87288419071697)); #153540=CARTESIAN_POINT('Ctrl Pts',(-1.43174881246743,1.38443815552355, -0.870328511525311)); #153541=CARTESIAN_POINT('Ctrl Pts',(-1.37493130932426,1.18055763467723, -0.967828509999999)); #153542=CARTESIAN_POINT('Ctrl Pts',(-1.36686439457376,1.18049730580081, -0.967135301916587)); #153543=CARTESIAN_POINT('Ctrl Pts',(-1.32912716185823,1.18507531512859, -0.963886414641515)); #153544=CARTESIAN_POINT('Ctrl Pts',(-1.27327847216563,1.23638219467711, -0.958081848174785)); #153545=CARTESIAN_POINT('Ctrl Pts',(-1.28682837625013,1.33567424681929, -0.950414810599809)); #153546=CARTESIAN_POINT('Ctrl Pts',(-1.37609943944197,1.38119129814197, -0.942747773024833)); #153547=CARTESIAN_POINT('Ctrl Pts',(-1.46441765697729,1.33386992979475, -0.935080735449857)); #153548=CARTESIAN_POINT('Ctrl Pts',(-1.47596770460267,1.23433239373741, -0.927413697874881)); #153549=CARTESIAN_POINT('Ctrl Pts',(-1.41959022647277,1.18461917968962, -0.921662956058261)); #153550=CARTESIAN_POINT('Ctrl Pts',(-1.38250530438659,1.18048501533846, -0.918467893433301)); #153551=CARTESIAN_POINT('Ctrl Pts',(-1.37507096692177,1.18054474954912, -0.91782851)); #153552=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-1.79907851)); #153553=CARTESIAN_POINT('Origin',(1.25,1.27306234,-0.82657851)); #153554=CARTESIAN_POINT('',(1.25,1.14806234,-0.87407851)); #153555=CARTESIAN_POINT('',(1.25,1.39806234,-0.87407851)); #153556=CARTESIAN_POINT('',(1.25,1.27306234,-0.87407851)); #153557=CARTESIAN_POINT('',(1.25,1.14806234,-0.88907851)); #153558=CARTESIAN_POINT('',(1.25,1.14806234,-0.88907851)); #153559=CARTESIAN_POINT('',(1.25,1.39806234,-0.88907851)); #153560=CARTESIAN_POINT('',(1.25,1.39806234,-0.88907851)); #153561=CARTESIAN_POINT('',(1.25,1.39806234,-0.76407851)); #153562=CARTESIAN_POINT('Origin',(1.375,1.39806234,-0.88907851)); #153563=CARTESIAN_POINT('',(1.5,1.39806234,-0.87407851)); #153564=CARTESIAN_POINT('',(1.375,1.39806234,-0.87407851)); #153565=CARTESIAN_POINT('',(1.5,1.39806234,-0.88907851)); #153566=CARTESIAN_POINT('',(1.375,1.39806234,-0.88907851)); #153567=CARTESIAN_POINT('',(1.5,1.39806234,-0.76407851)); #153568=CARTESIAN_POINT('Origin',(1.375,1.14806234,-0.88907851)); #153569=CARTESIAN_POINT('',(1.5,1.14806234,-0.88907851)); #153570=CARTESIAN_POINT('',(1.375,1.14806234,-0.88907851)); #153571=CARTESIAN_POINT('',(1.5,1.39806234,-0.88907851)); #153572=CARTESIAN_POINT('Ctrl Pts',(1.493758769325,1.23451069215338,-0.88907851)); #153573=CARTESIAN_POINT('Ctrl Pts',(1.49511553577727,1.24095773884711,-0.88907851)); #153574=CARTESIAN_POINT('Ctrl Pts',(1.49597863176676,1.2474869267239,-0.88907851)); #153575=CARTESIAN_POINT('Ctrl Pts',(1.4963216123796,1.25403720404418,-0.88907851)); #153576=CARTESIAN_POINT('Ctrl Pts',(1.49660047030548,1.25936286001153,-0.88907851)); #153577=CARTESIAN_POINT('Ctrl Pts',(1.49653423202404,1.26470691136947,-0.88907851)); #153578=CARTESIAN_POINT('Ctrl Pts',(1.49610618598764,1.27000606281527,-0.88907851)); #153579=CARTESIAN_POINT('Ctrl Pts',(1.49565051740028,1.27564717766781,-0.88907851)); #153580=CARTESIAN_POINT('Ctrl Pts',(1.49478595337027,1.28124361200231,-0.88907851)); #153581=CARTESIAN_POINT('Ctrl Pts',(1.49348828355193,1.28675236804569,-0.88907851)); #153582=CARTESIAN_POINT('Ctrl Pts',(1.49279803367596,1.28968255731415,-0.88907851)); #153583=CARTESIAN_POINT('Ctrl Pts',(1.49198566775423,1.29258444652551,-0.88907851)); #153584=CARTESIAN_POINT('Ctrl Pts',(1.49105883706399,1.29544483181593,-0.88907851)); #153585=CARTESIAN_POINT('Ctrl Pts',(1.48848988141182,1.30337314389775,-0.88907851)); #153586=CARTESIAN_POINT('Ctrl Pts',(1.48503965461591,1.31100331501037,-0.88907851)); #153587=CARTESIAN_POINT('Ctrl Pts',(1.48080583865867,1.31821318048017,-0.88907851)); #153588=CARTESIAN_POINT('Ctrl Pts',(1.47873337543609,1.32174242718733,-0.88907851)); #153589=CARTESIAN_POINT('Ctrl Pts',(1.4764726495498,1.32517054808354,-0.88907851)); #153590=CARTESIAN_POINT('Ctrl Pts',(1.47403297827868,1.32848013864079,-0.88907851)); #153591=CARTESIAN_POINT('Ctrl Pts',(1.47231331818478,1.33081298195286,-0.88907851)); #153592=CARTESIAN_POINT('Ctrl Pts',(1.4705104329172,1.33307909010758,-0.88907851)); #153593=CARTESIAN_POINT('Ctrl Pts',(1.46862986135183,1.33527210432065,-0.88907851)); #153594=CARTESIAN_POINT('Ctrl Pts',(1.46318057066376,1.34162675316796,-0.88907851)); #153595=CARTESIAN_POINT('Ctrl Pts',(1.45707727672517,1.34737539452794,-0.88907851)); #153596=CARTESIAN_POINT('Ctrl Pts',(1.45041024292107,1.35237188432418,-0.88907851)); #153597=CARTESIAN_POINT('Ctrl Pts',(1.4480089443804,1.35417149476697,-0.88907851)); #153598=CARTESIAN_POINT('Ctrl Pts',(1.44553434593884,1.35587307275596,-0.88907851)); #153599=CARTESIAN_POINT('Ctrl Pts',(1.44299030795996,1.35746807124929,-0.88907851)); #153600=CARTESIAN_POINT('Ctrl Pts',(1.44061315185052,1.35895844224392,-0.88907851)); #153601=CARTESIAN_POINT('Ctrl Pts',(1.43818259218674,1.36035112226899,-0.88907851)); #153602=CARTESIAN_POINT('Ctrl Pts',(1.43570515217756,1.36163916254298,-0.88907851)); #153603=CARTESIAN_POINT('Ctrl Pts',(1.4322515585046,1.36343471267709,-0.88907851)); #153604=CARTESIAN_POINT('Ctrl Pts',(1.42870509663692,1.36502817111801,-0.88907851)); #153605=CARTESIAN_POINT('Ctrl Pts',(1.42507516873722,1.36640300166455,-0.88907851)); #153606=CARTESIAN_POINT('Ctrl Pts',(1.41977548235061,1.36841025110112,-0.88907851)); #153607=CARTESIAN_POINT('Ctrl Pts',(1.41429758189961,1.36995193904208,-0.88907851)); #153608=CARTESIAN_POINT('Ctrl Pts',(1.40870191176973,1.37102677742262,-0.88907851)); #153609=CARTESIAN_POINT('Ctrl Pts',(1.40552468258073,1.37163707213207,-0.889078510000001)); #153610=CARTESIAN_POINT('Ctrl Pts',(1.40230759233327,1.37209632108711,-0.88907851)); #153611=CARTESIAN_POINT('Ctrl Pts',(1.39908368714582,1.37240208640525,-0.88907851)); #153612=CARTESIAN_POINT('Ctrl Pts',(1.3932989609289,1.37295072805234,-0.88907851)); #153613=CARTESIAN_POINT('Ctrl Pts',(1.38747664691481,1.37300978937041,-0.88907851)); #153614=CARTESIAN_POINT('Ctrl Pts',(1.38168355660199,1.37258152010848,-0.88907851)); #153615=CARTESIAN_POINT('Ctrl Pts',(1.37669414797631,1.37221266510463,-0.88907851)); #153616=CARTESIAN_POINT('Ctrl Pts',(1.37172626346684,1.37148200522405,-0.88907851)); #153617=CARTESIAN_POINT('Ctrl Pts',(1.366827670082,1.37038274698748,-0.88907851)); #153618=CARTESIAN_POINT('Ctrl Pts',(1.36405168641371,1.36975980838609,-0.88907851)); #153619=CARTESIAN_POINT('Ctrl Pts',(1.36130705906077,1.36902027168671,-0.88907851)); #153620=CARTESIAN_POINT('Ctrl Pts',(1.35860614984554,1.3681655983396,-0.88907851)); #153621=CARTESIAN_POINT('Ctrl Pts',(1.3517230443045,1.36598751444913,-0.88907851)); #153622=CARTESIAN_POINT('Ctrl Pts',(1.34511189519712,1.3630619895903,-0.88907851)); #153623=CARTESIAN_POINT('Ctrl Pts',(1.33892390586867,1.35937121185661,-0.88907851)); #153624=CARTESIAN_POINT('Ctrl Pts',(1.33689991122458,1.35816401611515,-0.88907851)); #153625=CARTESIAN_POINT('Ctrl Pts',(1.33492149154944,1.35687468372657,-0.88907851)); #153626=CARTESIAN_POINT('Ctrl Pts',(1.33299496030258,1.35550187219434,-0.88907851)); #153627=CARTESIAN_POINT('Ctrl Pts',(1.28709558470117,1.30159805915533,-0.88907851)); #153628=CARTESIAN_POINT('Ctrl Pts',(1.28402513315095,1.29546211335844,-0.88907851)); #153629=CARTESIAN_POINT('Ctrl Pts',(1.28161940164754,1.28901653825375,-0.88907851)); #153630=CARTESIAN_POINT('Ctrl Pts',(1.27992598482073,1.28238625375211,-0.88907851)); #153631=CARTESIAN_POINT('Ctrl Pts',(1.27905296895155,1.27896810914914,-0.88907851)); #153632=CARTESIAN_POINT('Ctrl Pts',(1.27837214153556,1.27550974658008,-0.88907851)); #153633=CARTESIAN_POINT('Ctrl Pts',(1.27788990174406,1.27202925171674,-0.88907851)); #153634=CARTESIAN_POINT('Ctrl Pts',(1.27741730661638,1.26861836579787,-0.88907851)); #153635=CARTESIAN_POINT('Ctrl Pts',(1.27713528600656,1.26518569246653,-0.88907851)); #153636=CARTESIAN_POINT('Ctrl Pts',(1.27705127897386,1.26174555754591,-0.88907851)); #153637=CARTESIAN_POINT('Ctrl Pts',(1.27697335431459,1.25855449921515,-0.889078510000001)); #153638=CARTESIAN_POINT('Ctrl Pts',(1.27706617915918,1.25536488313359,-0.889078510000001)); #153639=CARTESIAN_POINT('Ctrl Pts',(1.27731954505156,1.25219169094258,-0.88907851)); #153640=CARTESIAN_POINT('Ctrl Pts',(1.27792851762561,1.24456482768052,-0.88907851)); #153641=CARTESIAN_POINT('Ctrl Pts',(1.27946108451641,1.23702446772782,-0.88907851)); #153642=CARTESIAN_POINT('Ctrl Pts',(1.28181184006233,1.2296972856518,-0.88907851)); #153643=CARTESIAN_POINT('Ctrl Pts',(1.28264849836912,1.2270894654725,-0.88907851)); #153644=CARTESIAN_POINT('Ctrl Pts',(1.28359010278556,1.22450657249727,-0.88907851)); #153645=CARTESIAN_POINT('Ctrl Pts',(1.28463076457805,1.22196067032839,-0.88907851)); #153646=CARTESIAN_POINT('Ctrl Pts',(1.28772476175977,1.21439143487425,-0.88907851)); #153647=CARTESIAN_POINT('Ctrl Pts',(1.29166860183855,1.20719872759686,-0.88907851)); #153648=CARTESIAN_POINT('Ctrl Pts',(1.29634676392023,1.20052345185149,-0.88907851)); #153649=CARTESIAN_POINT('Ctrl Pts',(1.29906943795988,1.19663846461459,-0.88907851)); #153650=CARTESIAN_POINT('Ctrl Pts',(1.30204189220113,1.19292857584102,-0.88907851)); #153651=CARTESIAN_POINT('Ctrl Pts',(1.3052491332204,1.18942313867465,-0.88907851)); #153652=CARTESIAN_POINT('Ctrl Pts',(1.30696365510353,1.18754920756202,-0.88907851)); #153653=CARTESIAN_POINT('Ctrl Pts',(1.30874366604043,1.18573591160233,-0.88907851)); #153654=CARTESIAN_POINT('Ctrl Pts',(1.31058020057691,1.18399335204262,-0.88907851)); #153655=CARTESIAN_POINT('Ctrl Pts',(1.31420871450621,1.18055050819219,-0.88907851)); #153656=CARTESIAN_POINT('Ctrl Pts',(1.31806388061727,1.17737652962367,-0.88907851)); #153657=CARTESIAN_POINT('Ctrl Pts',(1.32212440488951,1.17450233568344,-0.88907851)); #153658=CARTESIAN_POINT('Ctrl Pts',(1.32686282799902,1.17114829909247,-0.88907851)); #153659=CARTESIAN_POINT('Ctrl Pts',(1.33188077139568,1.16820201729576,-0.88907851)); #153660=CARTESIAN_POINT('Ctrl Pts',(1.33712404380968,1.16566006666279,-0.88907851)); #153661=CARTESIAN_POINT('Ctrl Pts',(1.34031729670624,1.1641119702488,-0.88907851)); #153662=CARTESIAN_POINT('Ctrl Pts',(1.34359721490926,1.16271348042818,-0.88907851)); #153663=CARTESIAN_POINT('Ctrl Pts',(1.3469289482698,1.16147292911145,-0.88907851)); #153664=CARTESIAN_POINT('Ctrl Pts',(1.35367168953634,1.15896230906734,-0.88907851)); #153665=CARTESIAN_POINT('Ctrl Pts',(1.36064316352795,1.15708751374779,-0.88907851)); #153666=CARTESIAN_POINT('Ctrl Pts',(1.36774293293799,1.15583922462832,-0.88907851)); #153667=CARTESIAN_POINT('Ctrl Pts',(1.37214066217234,1.15506601112848,-0.88907851)); #153668=CARTESIAN_POINT('Ctrl Pts',(1.37658800657575,1.15453353952884,-0.88907851)); #153669=CARTESIAN_POINT('Ctrl Pts',(1.38106346025267,1.15424358178627,-0.88907851)); #153670=CARTESIAN_POINT('Ctrl Pts',(1.38457105944797,1.15401632984314,-0.88907851)); #153671=CARTESIAN_POINT('Ctrl Pts',(1.38809786821172,1.15393862052436,-0.88907851)); #153672=CARTESIAN_POINT('Ctrl Pts',(1.39161122158859,1.15401085110955,-0.88907851)); #153673=CARTESIAN_POINT('Ctrl Pts',(1.40074375733773,1.15419860575041,-0.88907851)); #153674=CARTESIAN_POINT('Ctrl Pts',(1.40981675658938,1.15539245393228,-0.88907851)); #153675=CARTESIAN_POINT('Ctrl Pts',(1.41865127104511,1.15759685544377,-0.88907851)); #153676=CARTESIAN_POINT('Ctrl Pts',(1.42308434808888,1.15870300358409,-0.88907851)); #153677=CARTESIAN_POINT('Ctrl Pts',(1.42745764801761,1.16006437138536,-0.88907851)); #153678=CARTESIAN_POINT('Ctrl Pts',(1.43174879111933,1.16168651359905,-0.88907851)); #153679=CARTESIAN_POINT('Origin',(1.375,1.14806234,-0.76407851)); #153680=CARTESIAN_POINT('',(1.5,1.14806234,-0.87407851)); #153681=CARTESIAN_POINT('',(1.375,1.14806234,-0.87407851)); #153682=CARTESIAN_POINT('',(1.5,1.14806234,-0.88907851)); #153683=CARTESIAN_POINT('Origin',(1.5,1.27306234,-0.82657851)); #153684=CARTESIAN_POINT('',(1.5,1.27306234,-0.87407851)); #153685=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.97)); #153686=CARTESIAN_POINT('Ctrl Pts',(1.25011321939357,1.2731367881713,-0.955328508474689)); #153687=CARTESIAN_POINT('Ctrl Pts',(1.25025756522281,1.24734902767545,-0.953690609183062)); #153688=CARTESIAN_POINT('Ctrl Pts',(1.27031709858406,1.1811125970136,-0.949497030699776)); #153689=CARTESIAN_POINT('Ctrl Pts',(1.37648531386253,1.126980085381,-0.942747773024832)); #153690=CARTESIAN_POINT('Ctrl Pts',(1.4958032658324,1.19091124605678,-0.935080735449857)); #153691=CARTESIAN_POINT('Ctrl Pts',(1.5114074040062,1.32538648415502,-0.92741369787488)); #153692=CARTESIAN_POINT('Ctrl Pts',(1.40986096491082,1.41492936804554,-0.919746660299904)); #153693=CARTESIAN_POINT('Ctrl Pts',(1.28366406540016,1.38395041269636,-0.91238493049984)); #153694=CARTESIAN_POINT('Ctrl Pts',(1.25008659945473,1.30825197328438,-0.907578879891435)); #153695=CARTESIAN_POINT('Ctrl Pts',(1.24985262332585,1.27299909428931,-0.905328508474688)); #153696=CARTESIAN_POINT('Ctrl Pts',(1.25012422309989,1.27299946065898,-0.911578511525309)); #153697=CARTESIAN_POINT('Ctrl Pts',(1.25024725259582,1.29794662249929,-0.913162586675262)); #153698=CARTESIAN_POINT('Ctrl Pts',(1.25754441327261,1.32295981040895,-0.914746661825215)); #153699=CARTESIAN_POINT('Ctrl Pts',(1.27148242672632,1.34345602235111,-0.916330736975167)); #153700=CARTESIAN_POINT('Ctrl Pts',(1.29396942234505,1.37652373531283,-0.918886416166826)); #153701=CARTESIAN_POINT('Ctrl Pts',(1.33374203807129,1.39783411330094,-0.921442095358485)); #153702=CARTESIAN_POINT('Ctrl Pts',(1.37372920543484,1.39824038748674,-0.923997774550144)); #153703=CARTESIAN_POINT('Ctrl Pts',(1.4137163727984,1.39864666167255,-0.926553453741802)); #153704=CARTESIAN_POINT('Ctrl Pts',(1.45391809179929,1.37814883205606,-0.929109132933461)); #153705=CARTESIAN_POINT('Ctrl Pts',(1.47706993605559,1.34554266138638,-0.93166481212512)); #153706=CARTESIAN_POINT('Ctrl Pts',(1.5002217803119,1.31293649071671,-0.934220491316778)); #153707=CARTESIAN_POINT('Ctrl Pts',(1.50632374982364,1.26822197899385,-0.936776170508437)); #153708=CARTESIAN_POINT('Ctrl Pts',(1.49276453755175,1.23060534440822,-0.939331849700096)); #153709=CARTESIAN_POINT('Ctrl Pts',(1.47920532527986,1.19298870982259,-0.941887528891755)); #153710=CARTESIAN_POINT('Ctrl Pts',(1.44598493122435,1.16246995237418,-0.944443208083413)); #153711=CARTESIAN_POINT('Ctrl Pts',(1.40734900155471,1.15213108001515,-0.946998887275072)); #153712=CARTESIAN_POINT('Ctrl Pts',(1.36871307188506,1.14179220765611,-0.949554566466731)); #153713=CARTESIAN_POINT('Ctrl Pts',(1.32466160660128,1.15163322038646,-0.95211024565839)); #153714=CARTESIAN_POINT('Ctrl Pts',(1.2941445926718,1.17746886368462,-0.954665924850049)); #153715=CARTESIAN_POINT('Ctrl Pts',(1.26663051023842,1.20076223115065,-0.956970120408469)); #153716=CARTESIAN_POINT('Ctrl Pts',(1.25011830142539,1.23705730068089,-0.959274315966889)); #153717=CARTESIAN_POINT('Ctrl Pts',(1.24989971005585,1.2731091325927,-0.96157851152531)); #153718=CARTESIAN_POINT('Ctrl Pts',(1.43629695064385,1.16412344041971,-0.988749998474688)); #153719=CARTESIAN_POINT('Ctrl Pts',(1.47128334483442,1.18380933119122,-0.98619431928303)); #153720=CARTESIAN_POINT('Ctrl Pts',(1.52033732545368,1.2591601753338,-0.981082960899712)); #153721=CARTESIAN_POINT('Ctrl Pts',(1.46938991857842,1.38465050680395,-0.973415923324736)); #153722=CARTESIAN_POINT('Ctrl Pts',(1.3372354351728,1.41417368375706,-0.96574888574976)); #153723=CARTESIAN_POINT('Ctrl Pts',(1.23757419596023,1.32261724798104,-0.958081848174784)); #153724=CARTESIAN_POINT('Ctrl Pts',(1.25588009547976,1.18847366300151,-0.950414810599808)); #153725=CARTESIAN_POINT('Ctrl Pts',(1.37648531386253,1.126980085381,-0.942747773024832)); #153726=CARTESIAN_POINT('Ctrl Pts',(1.4958032658324,1.19091124605678,-0.935080735449857)); #153727=CARTESIAN_POINT('Ctrl Pts',(1.5114074040062,1.32538648415502,-0.92741369787488)); #153728=CARTESIAN_POINT('Ctrl Pts',(1.40986096491082,1.41492936804554,-0.919746660299904)); #153729=CARTESIAN_POINT('Ctrl Pts',(1.27843039129953,1.38266564455306,-0.912079622724928)); #153730=CARTESIAN_POINT('Ctrl Pts',(1.22981106218858,1.25628294677202,-0.904412585149953)); #153731=CARTESIAN_POINT('Ctrl Pts',(1.30607291212463,1.14435622161608,-0.896745547574976)); #153732=CARTESIAN_POINT('Ctrl Pts',(1.39597976296891,1.14346129208875,-0.891634189191659)); #153733=CARTESIAN_POINT('Ctrl Pts',(1.43174879111934,1.16168651359905,-0.88907851)); #153734=CARTESIAN_POINT('Ctrl Pts',(1.43098847943249,1.17355782510191,-0.982499998983126)); #153735=CARTESIAN_POINT('Ctrl Pts',(1.46294496325396,1.19153886786168,-0.979944319791467)); #153736=CARTESIAN_POINT('Ctrl Pts',(1.5077507448815,1.26036413801271,-0.974832961408149)); #153737=CARTESIAN_POINT('Ctrl Pts',(1.4612155125084,1.37498668886871,-0.967165923833173)); #153738=CARTESIAN_POINT('Ctrl Pts',(1.34050594215706,1.40195308390563,-0.959498886258198)); #153739=CARTESIAN_POINT('Ctrl Pts',(1.24947561873544,1.31832566741079,-0.951831848683221)); #153740=CARTESIAN_POINT('Ctrl Pts',(1.26619618098164,1.19579925672089,-0.944164811108245)); #153741=CARTESIAN_POINT('Ctrl Pts',(1.37635668191924,1.13963117870605,-0.936497773533269)); #153742=CARTESIAN_POINT('Ctrl Pts',(1.48534139697637,1.19802573890837,-0.928830735958294)); #153743=CARTESIAN_POINT('Ctrl Pts',(1.49959417725384,1.32085508071669,-0.921163698383317)); #153744=CARTESIAN_POINT('Ctrl Pts',(1.4068419170351,1.40264332402032,-0.913496660808342)); #153745=CARTESIAN_POINT('Ctrl Pts',(1.286793564056,1.37317372071706,-0.905829623233365)); #153746=CARTESIAN_POINT('Ctrl Pts',(1.24238479201503,1.25773608473336,-0.89816258565839)); #153747=CARTESIAN_POINT('Ctrl Pts',(1.31204217254993,1.15550249752362,-0.890495548083413)); #153748=CARTESIAN_POINT('Ctrl Pts',(1.39416286234707,1.15468507116052,-0.885384189700096)); #153749=CARTESIAN_POINT('Ctrl Pts',(1.4268342020448,1.17133194231747,-0.882828510508437)); #153750=CARTESIAN_POINT('Ctrl Pts',(1.42568000822112,1.18299220978411,-0.976249999491563)); #153751=CARTESIAN_POINT('Ctrl Pts',(1.45460658167349,1.19926840453214,-0.973694320299904)); #153752=CARTESIAN_POINT('Ctrl Pts',(1.49516416430932,1.26156810069162,-0.968582961916587)); #153753=CARTESIAN_POINT('Ctrl Pts',(1.45304110643838,1.36532287093346,-0.960915924341611)); #153754=CARTESIAN_POINT('Ctrl Pts',(1.34377644914133,1.3897324840542,-0.953248886766635)); #153755=CARTESIAN_POINT('Ctrl Pts',(1.26137704151066,1.31403408684053,-0.945581849191659)); #153756=CARTESIAN_POINT('Ctrl Pts',(1.27651226648352,1.20312485044028,-0.937914811616682)); #153757=CARTESIAN_POINT('Ctrl Pts',(1.37622804997595,1.1522822720311,-0.930247774041707)); #153758=CARTESIAN_POINT('Ctrl Pts',(1.47487952812035,1.20514023175995,-0.922580736466731)); #153759=CARTESIAN_POINT('Ctrl Pts',(1.48778095050148,1.31632367727837,-0.914913698891755)); #153760=CARTESIAN_POINT('Ctrl Pts',(1.40382286915938,1.39035727999511,-0.907246661316779)); #153761=CARTESIAN_POINT('Ctrl Pts',(1.29515673681247,1.36368179688106,-0.899579623741803)); #153762=CARTESIAN_POINT('Ctrl Pts',(1.25495852184147,1.2591892226947,-0.891912586166827)); #153763=CARTESIAN_POINT('Ctrl Pts',(1.31801143297522,1.16664877343117,-0.88424554859185)); #153764=CARTESIAN_POINT('Ctrl Pts',(1.39234596172523,1.16590885023229,-0.879134190208533)); #153765=CARTESIAN_POINT('Ctrl Pts',(1.42191961297026,1.18097737103588,-0.876578511016875)); #153766=CARTESIAN_POINT('Ctrl Pts',(1.42037153700976,1.1924265944663,-0.97)); #153767=CARTESIAN_POINT('Ctrl Pts',(1.44626820009302,1.2069979412026,-0.967444320808341)); #153768=CARTESIAN_POINT('Ctrl Pts',(1.48257758373714,1.26277206337053,-0.962332962425024)); #153769=CARTESIAN_POINT('Ctrl Pts',(1.44486670036836,1.35565905299822,-0.954665924850048)); #153770=CARTESIAN_POINT('Ctrl Pts',(1.3470469561256,1.37751188420276,-0.946998887275072)); #153771=CARTESIAN_POINT('Ctrl Pts',(1.27327846428587,1.30974250627027,-0.939331849700096)); #153772=CARTESIAN_POINT('Ctrl Pts',(1.2868283519854,1.21045044415966,-0.93166481212512)); #153773=CARTESIAN_POINT('Ctrl Pts',(1.37609941803266,1.16493336535615,-0.923997774550144)); #153774=CARTESIAN_POINT('Ctrl Pts',(1.46441765926432,1.21225472461154,-0.916330736975168)); #153775=CARTESIAN_POINT('Ctrl Pts',(1.47596772374912,1.31179227384005,-0.908663699400192)); #153776=CARTESIAN_POINT('Ctrl Pts',(1.40080382128366,1.37807123596989,-0.900996661825216)); #153777=CARTESIAN_POINT('Ctrl Pts',(1.30351990956894,1.35418987304506,-0.89332962425024)); #153778=CARTESIAN_POINT('Ctrl Pts',(1.26753225166792,1.26064236065604,-0.885662586675264)); #153779=CARTESIAN_POINT('Ctrl Pts',(1.32398069340052,1.17779504933872,-0.877995549100288)); #153780=CARTESIAN_POINT('Ctrl Pts',(1.39052906110339,1.17713262930406,-0.872884190716971)); #153781=CARTESIAN_POINT('Ctrl Pts',(1.41700502389572,1.1906227997543,-0.870328511525312)); #153782=CARTESIAN_POINT('Ctrl Pts',(1.42037153700976,1.1924265944663,-0.97)); #153783=CARTESIAN_POINT('Ctrl Pts',(1.44626820009302,1.2069979412026,-0.967444320808341)); #153784=CARTESIAN_POINT('Ctrl Pts',(1.48257758373714,1.26277206337053,-0.962332962425024)); #153785=CARTESIAN_POINT('Ctrl Pts',(1.44486670036836,1.35565905299822,-0.954665924850048)); #153786=CARTESIAN_POINT('Ctrl Pts',(1.3470469561256,1.37751188420276,-0.946998887275072)); #153787=CARTESIAN_POINT('Ctrl Pts',(1.27327846428587,1.30974250627027,-0.939331849700096)); #153788=CARTESIAN_POINT('Ctrl Pts',(1.28678079096989,1.21079896596369,-0.931691723941738)); #153789=CARTESIAN_POINT('Ctrl Pts',(1.345717100539,1.18042625476992,-0.92660727737504)); #153790=CARTESIAN_POINT('Ctrl Pts',(1.37500555040433,1.18040207824734,-0.92407851)); #153791=CARTESIAN_POINT('Ctrl Pts',(1.3296284475343,1.35369807683706,-0.988749998474689)); #153792=CARTESIAN_POINT('Ctrl Pts',(1.30373178724402,1.33912672513698,-0.986194319283031)); #153793=CARTESIAN_POINT('Ctrl Pts',(1.26742225271412,1.28335302377124,-0.981082960899713)); #153794=CARTESIAN_POINT('Ctrl Pts',(1.30513334396614,1.19046567135467,-0.973415923324738)); #153795=CARTESIAN_POINT('Ctrl Pts',(1.4029530234019,1.16861294286076,-0.965748885749761)); #153796=CARTESIAN_POINT('Ctrl Pts',(1.47672147301658,1.23638219982302,-0.958081848174785)); #153797=CARTESIAN_POINT('Ctrl Pts',(1.46317157864372,1.33567422506393,-0.950414810599809)); #153798=CARTESIAN_POINT('Ctrl Pts',(1.37390055802955,1.3811912381598,-0.942747773024833)); #153799=CARTESIAN_POINT('Ctrl Pts',(1.28558239436352,1.33386989867603,-0.935080735449856)); #153800=CARTESIAN_POINT('Ctrl Pts',(1.27403233849465,1.23433241924194,-0.92741369787488)); #153801=CARTESIAN_POINT('Ctrl Pts',(1.34919623197477,1.16805350079222,-0.919746660299905)); #153802=CARTESIAN_POINT('Ctrl Pts',(1.44648001042813,1.19193493286004,-0.912079622724928)); #153803=CARTESIAN_POINT('Ctrl Pts',(1.48246768208656,1.28548234819658,-0.904412585149952)); #153804=CARTESIAN_POINT('Ctrl Pts',(1.42601902168711,1.36833001190225,-0.896745547574976)); #153805=CARTESIAN_POINT('Ctrl Pts',(1.35947092050917,1.36899204771939,-0.891634189191659)); #153806=CARTESIAN_POINT('Ctrl Pts',(1.33299496030258,1.35550187219433,-0.88907851)); #153807=CARTESIAN_POINT('Ctrl Pts',(1.32431997451459,1.36313246050175,-0.982499998983126)); #153808=CARTESIAN_POINT('Ctrl Pts',(1.29539340418198,1.34685626020918,-0.979944319791468)); #153809=CARTESIAN_POINT('Ctrl Pts',(1.25483565300673,1.28455703408575,-0.97483296140815)); #153810=CARTESIAN_POINT('Ctrl Pts',(1.29695894308326,1.18080185860871,-0.967165923833174)); #153811=CARTESIAN_POINT('Ctrl Pts',(1.40622352799088,1.15639236021576,-0.959498886258198)); #153812=CARTESIAN_POINT('Ctrl Pts',(1.48862288845618,1.23209062230568,-0.951831848683222)); #153813=CARTESIAN_POINT('Ctrl Pts',(1.47348765602921,1.34299981752248,-0.944164811108246)); #153814=CARTESIAN_POINT('Ctrl Pts',(1.37377192328554,1.39384232253622,-0.93649777353327)); #153815=CARTESIAN_POINT('Ctrl Pts',(1.27512053178196,1.34098438489226,-0.928830735958294)); #153816=CARTESIAN_POINT('Ctrl Pts',(1.26221911902481,1.22980101733421,-0.921163698383317)); #153817=CARTESIAN_POINT('Ctrl Pts',(1.34617719033029,1.15576746340818,-0.913496660808342)); #153818=CARTESIAN_POINT('Ctrl Pts',(1.45484317382425,1.18244302375494,-0.905829623233366)); #153819=CARTESIAN_POINT('Ctrl Pts',(1.49504140416227,1.28693548953368,-0.898162585658389)); #153820=CARTESIAN_POINT('Ctrl Pts',(1.43198824877765,1.379476332415,-0.890495548083413)); #153821=CARTESIAN_POINT('Ctrl Pts',(1.357654017736,1.3802158264429,-0.885384189700096)); #153822=CARTESIAN_POINT('Ctrl Pts',(1.32808036937924,1.36514729997074,-0.882828510508437)); #153823=CARTESIAN_POINT('Ctrl Pts',(1.31901150149488,1.37256684416644,-0.976249999491563)); #153824=CARTESIAN_POINT('Ctrl Pts',(1.28705502111994,1.35458579528137,-0.973694320299905)); #153825=CARTESIAN_POINT('Ctrl Pts',(1.24224905329935,1.28576104440026,-0.968582961916587)); #153826=CARTESIAN_POINT('Ctrl Pts',(1.28878454220038,1.17113804586275,-0.960915924341611)); #153827=CARTESIAN_POINT('Ctrl Pts',(1.40949403257986,1.14417177757077,-0.953248886766634)); #153828=CARTESIAN_POINT('Ctrl Pts',(1.50052430389577,1.22779904478834,-0.945581849191659)); #153829=CARTESIAN_POINT('Ctrl Pts',(1.48380373341469,1.35032540998102,-0.937914811616683)); #153830=CARTESIAN_POINT('Ctrl Pts',(1.37364328854153,1.40649340691263,-0.930247774041706)); #153831=CARTESIAN_POINT('Ctrl Pts',(1.26465866920039,1.34809887110849,-0.92258073646673)); #153832=CARTESIAN_POINT('Ctrl Pts',(1.25040589955497,1.22526961542648,-0.914913698891754)); #153833=CARTESIAN_POINT('Ctrl Pts',(1.3431581486858,1.14348142602413,-0.907246661316778)); #153834=CARTESIAN_POINT('Ctrl Pts',(1.46320633722037,1.17295111464984,-0.899579623741802)); #153835=CARTESIAN_POINT('Ctrl Pts',(1.50761512623799,1.28838863087078,-0.891912586166826)); #153836=CARTESIAN_POINT('Ctrl Pts',(1.43795747586819,1.39062265292775,-0.88424554859185)); #153837=CARTESIAN_POINT('Ctrl Pts',(1.35583711496283,1.39143960516641,-0.879134190208533)); #153838=CARTESIAN_POINT('Ctrl Pts',(1.32316577845591,1.37479272774715,-0.876578511016874)); #153839=CARTESIAN_POINT('Ctrl Pts',(1.31370302847517,1.38200122783113,-0.97)); #153840=CARTESIAN_POINT('Ctrl Pts',(1.27871663805791,1.36231533035357,-0.967444320808341)); #153841=CARTESIAN_POINT('Ctrl Pts',(1.22966245359196,1.28696505471477,-0.962332962425024)); #153842=CARTESIAN_POINT('Ctrl Pts',(1.2806101413175,1.1614742331168,-0.954665924850049)); #153843=CARTESIAN_POINT('Ctrl Pts',(1.41276453716885,1.13195119492577,-0.946998887275071)); #153844=CARTESIAN_POINT('Ctrl Pts',(1.51242571933537,1.223507467271,-0.939331849700096)); #153845=CARTESIAN_POINT('Ctrl Pts',(1.49411981080017,1.35765100243957,-0.931664812125119)); #153846=CARTESIAN_POINT('Ctrl Pts',(1.37351465379752,1.41914449128904,-0.923997774550144)); #153847=CARTESIAN_POINT('Ctrl Pts',(1.25419680661882,1.35521335732472,-0.916330736975167)); #153848=CARTESIAN_POINT('Ctrl Pts',(1.23859268008513,1.22073821351875,-0.908663699400191)); #153849=CARTESIAN_POINT('Ctrl Pts',(1.34013910704132,1.13119538864009,-0.900996661825216)); #153850=CARTESIAN_POINT('Ctrl Pts',(1.4715695006165,1.16345920554474,-0.893329624250239)); #153851=CARTESIAN_POINT('Ctrl Pts',(1.52018884831371,1.28984177220787,-0.885662586675263)); #153852=CARTESIAN_POINT('Ctrl Pts',(1.44392670295873,1.4017689734405,-0.877995549100287)); #153853=CARTESIAN_POINT('Ctrl Pts',(1.35402021218965,1.40266338388993,-0.87288419071697)); #153854=CARTESIAN_POINT('Ctrl Pts',(1.31825118753257,1.38443815552355,-0.870328511525311)); #153855=CARTESIAN_POINT('Ctrl Pts',(1.37506867492312,1.18055771822001,-0.967828509999999)); #153856=CARTESIAN_POINT('Ctrl Pts',(1.38313558619316,1.18049738999727,-0.967135301916586)); #153857=CARTESIAN_POINT('Ctrl Pts',(1.4208728007297,1.18507540073777,-0.963886414641515)); #153858=CARTESIAN_POINT('Ctrl Pts',(1.47672147301658,1.23638219982302,-0.958081848174785)); #153859=CARTESIAN_POINT('Ctrl Pts',(1.46317157864372,1.33567422506393,-0.950414810599809)); #153860=CARTESIAN_POINT('Ctrl Pts',(1.37390055802955,1.3811912381598,-0.942747773024833)); #153861=CARTESIAN_POINT('Ctrl Pts',(1.28558239436352,1.33386989867603,-0.935080735449856)); #153862=CARTESIAN_POINT('Ctrl Pts',(1.27403233849465,1.23433241924194,-0.92741369787488)); #153863=CARTESIAN_POINT('Ctrl Pts',(1.33040980385974,1.18461922243525,-0.921662956058262)); #153864=CARTESIAN_POINT('Ctrl Pts',(1.36749469691941,1.18048507202392,-0.918467893433301)); #153865=CARTESIAN_POINT('Ctrl Pts',(1.37492902824636,1.18054480883776,-0.91782851)); #153866=CARTESIAN_POINT('Origin',(1.375,1.27306234,-1.79907851)); #153867=CARTESIAN_POINT('Origin',(1.42071152422707,1.23223702245269,-0.67907851)); #153868=CARTESIAN_POINT('',(1.42071152422707,1.23223702245269,-0.67907851)); #153869=CARTESIAN_POINT('',(1.5246345726812,1.17223702245269,-0.67907851)); #153870=CARTESIAN_POINT('',(1.39473076211353,1.24723702245269,-0.67907851)); #153871=CARTESIAN_POINT('',(1.42071152422707,1.23223702245269,-0.67407851)); #153872=CARTESIAN_POINT('',(1.42071152422707,1.23223702245269,-0.67907851)); #153873=CARTESIAN_POINT('',(1.5246345726812,1.17223702245269,-0.67407851)); #153874=CARTESIAN_POINT('',(1.42071152422707,1.23223702245269,-0.67407851)); #153875=CARTESIAN_POINT('',(1.5246345726812,1.17223702245269,-0.67907851)); #153876=CARTESIAN_POINT('Origin',(1.43321152422707,1.25388765754731,-0.67907851)); #153877=CARTESIAN_POINT('',(1.43321152422707,1.25388765754731,-0.67907851)); #153878=CARTESIAN_POINT('',(1.43008652422707,1.24847499877365,-0.67907851)); #153879=CARTESIAN_POINT('',(1.43321152422707,1.25388765754731,-0.67407851)); #153880=CARTESIAN_POINT('',(1.43321152422707,1.25388765754731,-0.67907851)); #153881=CARTESIAN_POINT('',(1.43321152422707,1.25388765754731,-0.67407851)); #153882=CARTESIAN_POINT('Origin',(1.5371345726812,1.19388765754731,-0.67907851)); #153883=CARTESIAN_POINT('',(1.5371345726812,1.19388765754731,-0.67907851)); #153884=CARTESIAN_POINT('',(1.4591922863406,1.23888765754731,-0.67907851)); #153885=CARTESIAN_POINT('',(1.5371345726812,1.19388765754731,-0.67407851)); #153886=CARTESIAN_POINT('',(1.5371345726812,1.19388765754731,-0.67907851)); #153887=CARTESIAN_POINT('',(1.5371345726812,1.19388765754731,-0.67407851)); #153888=CARTESIAN_POINT('Origin',(1.5246345726812,1.17223702245269,-0.67907851)); #153889=CARTESIAN_POINT('',(1.5277595726812,1.17764968122635,-0.67907851)); #153890=CARTESIAN_POINT('',(1.5246345726812,1.17223702245269,-0.67407851)); #153891=CARTESIAN_POINT('Origin',(1.47892304845413,1.21306234,-0.67407851)); #153892=CARTESIAN_POINT('Origin',(1.3875,1.33306234,-0.67907851)); #153893=CARTESIAN_POINT('',(1.3875,1.33306234,-0.67907851)); #153894=CARTESIAN_POINT('',(1.3875,1.45306234,-0.67907851)); #153895=CARTESIAN_POINT('',(1.3875,1.30306234,-0.67907851)); #153896=CARTESIAN_POINT('',(1.3875,1.33306234,-0.67407851)); #153897=CARTESIAN_POINT('',(1.3875,1.33306234,-0.67907851)); #153898=CARTESIAN_POINT('',(1.3875,1.45306234,-0.67407851)); #153899=CARTESIAN_POINT('',(1.3875,1.33306234,-0.67407851)); #153900=CARTESIAN_POINT('',(1.3875,1.45306234,-0.67907851)); #153901=CARTESIAN_POINT('Origin',(1.3625,1.33306234,-0.67907851)); #153902=CARTESIAN_POINT('',(1.3625,1.33306234,-0.67907851)); #153903=CARTESIAN_POINT('',(1.36875,1.33306234,-0.67907851)); #153904=CARTESIAN_POINT('',(1.3625,1.33306234,-0.67407851)); #153905=CARTESIAN_POINT('',(1.3625,1.33306234,-0.67907851)); #153906=CARTESIAN_POINT('',(1.3625,1.33306234,-0.67407851)); #153907=CARTESIAN_POINT('Origin',(1.3625,1.45306234,-0.67907851)); #153908=CARTESIAN_POINT('',(1.3625,1.45306234,-0.67907851)); #153909=CARTESIAN_POINT('',(1.3625,1.36306234,-0.67907851)); #153910=CARTESIAN_POINT('',(1.3625,1.45306234,-0.67407851)); #153911=CARTESIAN_POINT('',(1.3625,1.45306234,-0.67907851)); #153912=CARTESIAN_POINT('',(1.3625,1.45306234,-0.67407851)); #153913=CARTESIAN_POINT('Origin',(1.3875,1.45306234,-0.67907851)); #153914=CARTESIAN_POINT('',(1.38125,1.45306234,-0.67907851)); #153915=CARTESIAN_POINT('',(1.3875,1.45306234,-0.67407851)); #153916=CARTESIAN_POINT('Origin',(1.375,1.39306234,-0.67407851)); #153917=CARTESIAN_POINT('Origin',(1.31678847577293,1.25388765754731,-0.67907851)); #153918=CARTESIAN_POINT('',(1.31678847577293,1.25388765754731,-0.67907851)); #153919=CARTESIAN_POINT('',(1.2128654273188,1.19388765754731,-0.67907851)); #153920=CARTESIAN_POINT('',(1.34276923788647,1.26888765754731,-0.67907851)); #153921=CARTESIAN_POINT('',(1.31678847577293,1.25388765754731,-0.67407851)); #153922=CARTESIAN_POINT('',(1.31678847577293,1.25388765754731,-0.67907851)); #153923=CARTESIAN_POINT('',(1.2128654273188,1.19388765754731,-0.67407851)); #153924=CARTESIAN_POINT('',(1.31678847577293,1.25388765754731,-0.67407851)); #153925=CARTESIAN_POINT('',(1.2128654273188,1.19388765754731,-0.67907851)); #153926=CARTESIAN_POINT('Origin',(1.32928847577293,1.23223702245269,-0.67907851)); #153927=CARTESIAN_POINT('',(1.32928847577293,1.23223702245269,-0.67907851)); #153928=CARTESIAN_POINT('',(1.32616347577293,1.23764968122635,-0.67907851)); #153929=CARTESIAN_POINT('',(1.32928847577293,1.23223702245269,-0.67407851)); #153930=CARTESIAN_POINT('',(1.32928847577293,1.23223702245269,-0.67907851)); #153931=CARTESIAN_POINT('',(1.32928847577293,1.23223702245269,-0.67407851)); #153932=CARTESIAN_POINT('Origin',(1.2253654273188,1.17223702245269,-0.67907851)); #153933=CARTESIAN_POINT('',(1.2253654273188,1.17223702245269,-0.67907851)); #153934=CARTESIAN_POINT('',(1.3033077136594,1.21723702245269,-0.67907851)); #153935=CARTESIAN_POINT('',(1.2253654273188,1.17223702245269,-0.67407851)); #153936=CARTESIAN_POINT('',(1.2253654273188,1.17223702245269,-0.67907851)); #153937=CARTESIAN_POINT('',(1.2253654273188,1.17223702245269,-0.67407851)); #153938=CARTESIAN_POINT('Origin',(1.2128654273188,1.19388765754731,-0.67907851)); #153939=CARTESIAN_POINT('',(1.2159904273188,1.18847499877365,-0.67907851)); #153940=CARTESIAN_POINT('',(1.2128654273188,1.19388765754731,-0.67407851)); #153941=CARTESIAN_POINT('Origin',(1.27107695154587,1.21306234,-0.67407851)); #153942=CARTESIAN_POINT('Ctrl Pts',(1.35072994010827,0.0619324126068713, -0.707890715332737)); #153943=CARTESIAN_POINT('Ctrl Pts',(1.35072994010827,3.24975544650046,-0.428992338540231)); #153944=CARTESIAN_POINT('Ctrl Pts',(1.35491050428802,0.0618791187825851, -0.707281564133731)); #153945=CARTESIAN_POINT('Ctrl Pts',(1.35491050428802,3.24970215267617,-0.428383187341225)); #153946=CARTESIAN_POINT('Ctrl Pts',(1.36318173847489,0.0617655044961035, -0.705982946896906)); #153947=CARTESIAN_POINT('Ctrl Pts',(1.36318173847489,3.24958853838969,-0.4270845701044)); #153948=CARTESIAN_POINT('Ctrl Pts',(1.3732825033401,0.0616072331252464, -0.704173896849979)); #153949=CARTESIAN_POINT('Ctrl Pts',(1.3732825033401,3.24943026701883,-0.425275520057473)); #153950=CARTESIAN_POINT('Ctrl Pts',(1.38219629227866,0.0614549031002896, -0.702432756697442)); #153951=CARTESIAN_POINT('Ctrl Pts',(1.38219629227866,3.24927793699388,-0.423534379904936)); #153952=CARTESIAN_POINT('Ctrl Pts',(1.38804712658297,0.0613479587972576, -0.701210377720304)); #153953=CARTESIAN_POINT('Ctrl Pts',(1.38804712658297,3.24917099269084,-0.422312000927798)); #153954=CARTESIAN_POINT('Ctrl Pts',(1.39380143064916,0.0612374350589109, -0.699947085610305)); #153955=CARTESIAN_POINT('Ctrl Pts',(1.39380143064916,3.2490604689525,-0.421048708817799)); #153956=CARTESIAN_POINT('Ctrl Pts',(1.39762459037345,0.0611613331245909, -0.699077236520686)); #153957=CARTESIAN_POINT('Ctrl Pts',(1.39762459037345,3.24898436701818,-0.420178859728181)); #153958=CARTESIAN_POINT('Ctrl Pts',(1.40337467863713,0.0610428263115668, -0.697722697449587)); #153959=CARTESIAN_POINT('Ctrl Pts',(1.40337467863713,3.24886586020515,-0.418824320657081)); #153960=CARTESIAN_POINT('Ctrl Pts',(1.40912796267937,0.060918819183731, -0.696305289492508)); #153961=CARTESIAN_POINT('Ctrl Pts',(1.40912796267937,3.24874185307732,-0.417406912700003)); #153962=CARTESIAN_POINT('Ctrl Pts',(1.41967641592218,0.0606788654710175, -0.693562606005952)); #153963=CARTESIAN_POINT('Ctrl Pts',(1.41967641592218,3.2485018993646,-0.414664229213446)); #153964=CARTESIAN_POINT('Ctrl Pts',(1.43115760851518,0.0603955297351809, -0.690324063726097)); #153965=CARTESIAN_POINT('Ctrl Pts',(1.43115760851518,3.24821856362877,-0.411425686933592)); #153966=CARTESIAN_POINT('Ctrl Pts',(1.44822224923204,0.0599325529858206, -0.685032215265947)); #153967=CARTESIAN_POINT('Ctrl Pts',(1.44822224923204,3.24775558687941,-0.406133838473441)); #153968=CARTESIAN_POINT('Ctrl Pts',(1.4631415992649,0.0594830209136141, -0.679894040168858)); #153969=CARTESIAN_POINT('Ctrl Pts',(1.4631415992649,3.2473060548072,-0.400995663376352)); #153970=CARTESIAN_POINT('Ctrl Pts',(1.48129589179093,0.0588816019280932, -0.67301978970848)); #153971=CARTESIAN_POINT('Ctrl Pts',(1.48129589179093,3.24670463582168,-0.394121412915975)); #153972=CARTESIAN_POINT('Ctrl Pts',(1.49534425418348,0.0583731763532738, -0.667208458796232)); #153973=CARTESIAN_POINT('Ctrl Pts',(1.49534425418348,3.24619621024686,-0.388310082003727)); #153974=CARTESIAN_POINT('Ctrl Pts',(1.51219372518822,0.0577130353583693, -0.659663012697277)); #153975=CARTESIAN_POINT('Ctrl Pts',(1.51219372518822,3.24553606925195,-0.380764635904772)); #153976=CARTESIAN_POINT('Ctrl Pts',(1.52506680242751,0.0571692398168665, -0.653447401215892)); #153977=CARTESIAN_POINT('Ctrl Pts',(1.52506680242751,3.24499227371045,-0.374549024423387)); #153978=CARTESIAN_POINT('Ctrl Pts',(1.53877996599464,0.0565498073375607, -0.646367255579397)); #153979=CARTESIAN_POINT('Ctrl Pts',(1.53877996599464,3.24437284123115,-0.367468878786892)); #153980=CARTESIAN_POINT('Ctrl Pts',(1.54746946543905,0.0561357660557567, -0.641634742072876)); #153981=CARTESIAN_POINT('Ctrl Pts',(1.54746946543905,3.24395879994934,-0.36273636528037)); #153982=CARTESIAN_POINT('Ctrl Pts',(1.55508405133533,0.0557586503186559, -0.637324289473619)); #153983=CARTESIAN_POINT('Ctrl Pts',(1.55508405133533,3.24358168421224,-0.358425912681114)); #153984=CARTESIAN_POINT('Ctrl Pts',(1.55910998116099,0.0555544596457756, -0.634990379402862)); #153985=CARTESIAN_POINT('Ctrl Pts',(1.55910998116099,3.24337749353936,-0.356092002610356)); #153986=CARTESIAN_POINT('Ctrl Pts',(1.56304209568627,0.0553514018124513, -0.632669417747479)); #153987=CARTESIAN_POINT('Ctrl Pts',(1.56304209568627,3.24317443570604,-0.353771040954974)); #153988=CARTESIAN_POINT('Ctrl Pts',(1.56565124865947,0.0552148317866527, -0.631108415209611)); #153989=CARTESIAN_POINT('Ctrl Pts',(1.56565124865947,3.24303786568024,-0.352210038417106)); #153990=CARTESIAN_POINT('Ctrl Pts',(1.57083204256539,0.054940006699186, -0.627967150085756)); #153991=CARTESIAN_POINT('Ctrl Pts',(1.57083204256539,3.24276304059277,-0.349068773293251)); #153992=CARTESIAN_POINT('Ctrl Pts',(1.57722002873925,0.0545920275399464, -0.623989730095377)); #153993=CARTESIAN_POINT('Ctrl Pts',(1.57722002873925,3.24241506143353,-0.345091353302871)); #153994=CARTESIAN_POINT('Ctrl Pts',(1.58782196777056,0.0539917725932852, -0.617128784660048)); #153995=CARTESIAN_POINT('Ctrl Pts',(1.58782196777056,3.24181480648687,-0.338230407867542)); #153996=CARTESIAN_POINT('Ctrl Pts',(1.59745454199439,0.0534182244496633, -0.610573099380298)); #153997=CARTESIAN_POINT('Ctrl Pts',(1.59745454199439,3.24124125834325,-0.331674722587792)); #153998=CARTESIAN_POINT('Ctrl Pts',(1.60899949482763,0.0526975496779117, -0.602335749045896)); #153999=CARTESIAN_POINT('Ctrl Pts',(1.60899949482763,3.2405205835715,-0.323437372253391)); #154000=CARTESIAN_POINT('Ctrl Pts',(1.61781564443472,0.0521209997922585, -0.59574575369773)); #154001=CARTESIAN_POINT('Ctrl Pts',(1.61781564443472,3.23994403368584,-0.316847376905224)); #154002=CARTESIAN_POINT('Ctrl Pts',(1.63034450767354,0.0512657121202075, -0.585969770872279)); #154003=CARTESIAN_POINT('Ctrl Pts',(1.63034450767354,3.23908874601379,-0.307071394079774)); #154004=CARTESIAN_POINT('Ctrl Pts',(1.64190546313057,0.0504298300127149, -0.576415594664697)); #154005=CARTESIAN_POINT('Ctrl Pts',(1.64190546313057,3.2382528639063,-0.297517217872191)); #154006=CARTESIAN_POINT('Ctrl Pts',(1.65552404687965,0.0493827044497219, -0.564446894712128)); #154007=CARTESIAN_POINT('Ctrl Pts',(1.65552404687965,3.23720573834331,-0.285548517919622)); #154008=CARTESIAN_POINT('Ctrl Pts',(1.66431521766371,0.0486662906016249, -0.556258246957957)); #154009=CARTESIAN_POINT('Ctrl Pts',(1.66431521766371,3.23648932449521,-0.277359870165451)); #154010=CARTESIAN_POINT('Ctrl Pts',(1.67189622280141,0.0480260596507224, -0.548940373703294)); #154011=CARTESIAN_POINT('Ctrl Pts',(1.67189622280141,3.23584909354431,-0.270041996910789)); #154012=CARTESIAN_POINT('Ctrl Pts',(1.67633289263605,0.0476409293050011, -0.544538313708319)); #154013=CARTESIAN_POINT('Ctrl Pts',(1.67633289263605,3.23546396319859,-0.265639936915813)); #154014=CARTESIAN_POINT('Ctrl Pts',(1.68107827486581,0.0472201531880896, -0.539728820684269)); #154015=CARTESIAN_POINT('Ctrl Pts',(1.68107827486581,3.23504318708168,-0.260830443891763)); #154016=CARTESIAN_POINT('Ctrl Pts',(1.68421581749565,0.0469359977811238, -0.536480909520537)); #154017=CARTESIAN_POINT('Ctrl Pts',(1.68421581749565,3.23475903167471,-0.257582532728031)); #154018=CARTESIAN_POINT('Ctrl Pts',(1.68729827246159,0.0466528758151887, -0.533244810641838)); #154019=CARTESIAN_POINT('Ctrl Pts',(1.68729827246159,3.23447590970877,-0.254346433849333)); #154020=CARTESIAN_POINT('Ctrl Pts',(1.68954256724549,0.0464438196644568, -0.530855287904758)); #154021=CARTESIAN_POINT('Ctrl Pts',(1.68954256724549,3.23426685355804,-0.251956911112252)); #154022=CARTESIAN_POINT('Ctrl Pts',(1.69043027557377,0.0463602828707175, -0.529900457983113)); #154023=CARTESIAN_POINT('Ctrl Pts',(1.69043027557377,3.2341833167643,-0.251002081190608)); #154024=CARTESIAN_POINT('Ctrl Pts',(1.69064176176398,0.0463403813752204, -0.529672982848678)); #154025=CARTESIAN_POINT('Ctrl Pts',(1.69064176176398,3.23416341526881,-0.250774606056173)); #154026=CARTESIAN_POINT('',(1.35072994010827,0.761495212489381,-0.646686900918563)); #154027=CARTESIAN_POINT('',(1.35072994010827,2.63448941651982,-0.48282114121614)); #154028=CARTESIAN_POINT('',(1.35072994010827,0.0619324126068713,-0.707890715332737)); #154029=CARTESIAN_POINT('',(1.61581257797753,1.1470991970774,-0.50142335539627)); #154030=CARTESIAN_POINT('Ctrl Pts',(1.61581257797753,1.14709919707723,-0.501423355396285)); #154031=CARTESIAN_POINT('Ctrl Pts',(1.61668658410369,1.11699641492973,-0.503392086946127)); #154032=CARTESIAN_POINT('Ctrl Pts',(1.61352618384859,1.08708373650989,-0.508476660848115)); #154033=CARTESIAN_POINT('Ctrl Pts',(1.60465272251741,1.04972548112176,-0.518230180801001)); #154034=CARTESIAN_POINT('Ctrl Pts',(1.60233955043297,1.04137078949138,-0.520629087758262)); #154035=CARTESIAN_POINT('Ctrl Pts',(1.59563586690334,1.02018321939136,-0.527226480181142)); #154036=CARTESIAN_POINT('Ctrl Pts',(1.59082337462167,1.00748300884353,-0.531664080702926)); #154037=CARTESIAN_POINT('Ctrl Pts',(1.58293067622423,0.989664345052428, -0.538456563717019)); #154038=CARTESIAN_POINT('Ctrl Pts',(1.58043635711323,0.984364377793895, -0.540548903570236)); #154039=CARTESIAN_POINT('Ctrl Pts',(1.5747874032107,0.973037242825572,-0.545160748470709)); #154040=CARTESIAN_POINT('Ctrl Pts',(1.57159525469675,0.967026818222934, -0.547692733397649)); #154041=CARTESIAN_POINT('Ctrl Pts',(1.56759857782816,0.959948821435331, -0.550764661332352)); #154042=CARTESIAN_POINT('Ctrl Pts',(1.56695089720211,0.958814950876489, -0.551259598800061)); #154043=CARTESIAN_POINT('Ctrl Pts',(1.56501520409479,0.95546409110747,-0.552730151741769)); #154044=CARTESIAN_POINT('Ctrl Pts',(1.56371202972737,0.953254279376652, -0.553709296427244)); #154045=CARTESIAN_POINT('Ctrl Pts',(1.56173514185077,0.949975348520922, -0.555177284981582)); #154046=CARTESIAN_POINT('Ctrl Pts',(1.56107774686527,0.948898628639118, -0.555662501720746)); #154047=CARTESIAN_POINT('Ctrl Pts',(1.55845020743725,0.944627188079295, -0.557591902812751)); #154048=CARTESIAN_POINT('Ctrl Pts',(1.55643470101423,0.941451867533016, -0.559047242144712)); #154049=CARTESIAN_POINT('Ctrl Pts',(1.55301084347674,0.936210759029284, -0.561477254112568)); #154050=CARTESIAN_POINT('Ctrl Pts',(1.55162703008884,0.934132557872563, -0.562448461625276)); #154051=CARTESIAN_POINT('Ctrl Pts',(1.54604984790062,0.925919615184787, -0.566317102282724)); #154052=CARTESIAN_POINT('Ctrl Pts',(1.54170877015845,0.919864893509424, -0.569230204863697)); #154053=CARTESIAN_POINT('Ctrl Pts',(1.53422730462929,0.909977216449909, -0.574070344416308)); #154054=CARTESIAN_POINT('Ctrl Pts',(1.53118178836692,0.906086185604549, -0.575995669988769)); #154055=CARTESIAN_POINT('Ctrl Pts',(1.52191653132833,0.894649941803494, -0.581708472264685)); #154056=CARTESIAN_POINT('Ctrl Pts',(1.5154945227955,0.887200559279661,-0.585488213447357)); #154057=CARTESIAN_POINT('Ctrl Pts',(1.50547269477655,0.87630564368216,-0.591078029053172)); #154058=CARTESIAN_POINT('Ctrl Pts',(1.50210457008151,0.872751840343656, -0.592909253918674)); #154059=CARTESIAN_POINT('Ctrl Pts',(1.49190576499308,0.862315079830092, -0.598304180711755)); #154060=CARTESIAN_POINT('Ctrl Pts',(1.48489977236667,0.855533392887594, -0.601821694285529)); #154061=CARTESIAN_POINT('Ctrl Pts',(1.47405641363986,0.845645322426022, -0.606948870683377)); #154062=CARTESIAN_POINT('Ctrl Pts',(1.47043006148238,0.842426630979511, -0.608614734626346)); #154063=CARTESIAN_POINT('Ctrl Pts',(1.45951321382531,0.83301375034359,-0.613475548668812)); #154064=CARTESIAN_POINT('Ctrl Pts',(1.4520944119971,0.826930447688687,-0.616587990532939)); #154065=CARTESIAN_POINT('Ctrl Pts',(1.44067499350841,0.818088487703162, -0.621060118648986)); #154066=CARTESIAN_POINT('Ctrl Pts',(1.43688651487371,0.815227083887312, -0.622495143056427)); #154067=CARTESIAN_POINT('Ctrl Pts',(1.4274209172276,0.808267481836146,-0.625954275295142)); #154068=CARTESIAN_POINT('Ctrl Pts',(1.42169290121324,0.804205544884779, -0.627940976154177)); #154069=CARTESIAN_POINT('Ctrl Pts',(1.41393336366863,0.798921039988286, -0.630479392944957)); #154070=CARTESIAN_POINT('Ctrl Pts',(1.4120161495217,0.79763082464952,-0.631094693725706)); #154071=CARTESIAN_POINT('Ctrl Pts',(1.40723698260694,0.79445480697086,-0.632598416404159)); #154072=CARTESIAN_POINT('Ctrl Pts',(1.40436076726256,0.792578663381996, -0.633477022514874)); #154073=CARTESIAN_POINT('Ctrl Pts',(1.4005022798527,0.790109212114968,-0.634619466410853)); #154074=CARTESIAN_POINT('Ctrl Pts',(1.39954441739068,0.789499449984866, -0.634900196868318)); #154075=CARTESIAN_POINT('Ctrl Pts',(1.39668126560505,0.787688060722016, -0.635730585280772)); #154076=CARTESIAN_POINT('Ctrl Pts',(1.39476413784383,0.786492402031145, -0.636274821063564)); #154077=CARTESIAN_POINT('Ctrl Pts',(1.39188504742741,0.784714076739212, -0.637075011642059)); #154078=CARTESIAN_POINT('Ctrl Pts',(1.39092392617923,0.784125472076707, -0.63733913284387)); #154079=CARTESIAN_POINT('Ctrl Pts',(1.38706069740865,0.781771492721809, -0.638389227680709)); #154080=CARTESIAN_POINT('Ctrl Pts',(1.38414102127005,0.780022348700531, -0.63915678316938)); #154081=CARTESIAN_POINT('Ctrl Pts',(1.37922231055595,0.777134829451521, -0.640404248309676)); #154082=CARTESIAN_POINT('Ctrl Pts',(1.37724075171109,0.775985965653887, -0.640894748377458)); #154083=CARTESIAN_POINT('Ctrl Pts',(1.37125117389277,0.772554651970059, -0.642340767386755)); #154084=CARTESIAN_POINT('Ctrl Pts',(1.36721332416144,0.770298196535449, -0.643266680377553)); #154085=CARTESIAN_POINT('Ctrl Pts',(1.35903707260447,0.765847046716629, -0.645039603444174)); #154086=CARTESIAN_POINT('Ctrl Pts',(1.35490246150295,0.763652598794248, -0.645885521156241)); #154087=CARTESIAN_POINT('Ctrl Pts',(1.35072994010827,0.761495212489381, -0.646686900918563)); #154088=CARTESIAN_POINT('',(1.50331538201614,2.37576147050422,-0.460856270082684)); #154089=CARTESIAN_POINT('Ctrl Pts',(1.50331538201613,2.37576147050421,-0.460856270082684)); #154090=CARTESIAN_POINT('Ctrl Pts',(1.50515557522522,2.3637288615612,-0.461080806723069)); #154091=CARTESIAN_POINT('Ctrl Pts',(1.50697472456173,2.35169385656887,-0.461303396297209)); #154092=CARTESIAN_POINT('Ctrl Pts',(1.51549967559816,2.29463854678321,-0.462350960838005)); #154093=CARTESIAN_POINT('Ctrl Pts',(1.52191968383255,2.2495889680084,-0.463165071730788)); #154094=CARTESIAN_POINT('Ctrl Pts',(1.53118211463985,2.18156116278245,-0.464405916236702)); #154095=CARTESIAN_POINT('Ctrl Pts',(1.5342273091782,2.15860346296365,-0.464829719155238)); #154096=CARTESIAN_POINT('Ctrl Pts',(1.54171960431376,2.10064634930926,-0.465919467380045)); #154097=CARTESIAN_POINT('Ctrl Pts',(1.54605970568698,2.06563894100345,-0.466599025089454)); #154098=CARTESIAN_POINT('Ctrl Pts',(1.5516278130309,2.01879678932677,-0.467552208302362)); #154099=CARTESIAN_POINT('Ctrl Pts',(1.55301157961035,2.00697280388357,-0.467797301920482)); #154100=CARTESIAN_POINT('Ctrl Pts',(1.55643613447201,1.9772668339186,-0.468424361459824)); #154101=CARTESIAN_POINT('Ctrl Pts',(1.55845137012896,1.95938317441622,-0.468811579968214)); #154102=CARTESIAN_POINT('Ctrl Pts',(1.56107776928256,1.93548172152908,-0.469347663280411)); #154103=CARTESIAN_POINT('Ctrl Pts',(1.56173515385624,1.92946596606084,-0.469482963327276)); #154104=CARTESIAN_POINT('Ctrl Pts',(1.56371223747649,1.91118327552294,-0.469901255214194)); #154105=CARTESIAN_POINT('Ctrl Pts',(1.56501532477141,1.89891545646626,-0.470188788982804)); #154106=CARTESIAN_POINT('Ctrl Pts',(1.56695083374842,1.88039169976538,-0.470632128944196)); #154107=CARTESIAN_POINT('Ctrl Pts',(1.56759850360711,1.87413659579969,-0.470783649847277)); #154108=CARTESIAN_POINT('Ctrl Pts',(1.57159338901933,1.8351921227771,-0.471739262171714)); #154109=CARTESIAN_POINT('Ctrl Pts',(1.57478397079872,1.80249625862826,-0.472594690693159)); #154110=CARTESIAN_POINT('Ctrl Pts',(1.58043079681275,1.74160860226803,-0.474302190637087)); #154111=CARTESIAN_POINT('Ctrl Pts',(1.58292430452827,1.71341845876181,-0.475140502149547)); #154112=CARTESIAN_POINT('Ctrl Pts',(1.59075079410934,1.62048288722245,-0.478081702301888)); #154113=CARTESIAN_POINT('Ctrl Pts',(1.59555686362803,1.55573271209159,-0.480427737619261)); #154114=CARTESIAN_POINT('Ctrl Pts',(1.60227943762965,1.45142627198132,-0.484796649957667)); #154115=CARTESIAN_POINT('Ctrl Pts',(1.6045874743556,1.41187308339345,-0.486593136285187)); #154116=CARTESIAN_POINT('Ctrl Pts',(1.61058183920221,1.29722906866912,-0.492246769086417)); #154117=CARTESIAN_POINT('Ctrl Pts',(1.61363362727324,1.2221472732871,-0.496515187285196)); #154118=CARTESIAN_POINT('Ctrl Pts',(1.61581257797753,1.1470991970774,-0.501423355396272)); #154119=CARTESIAN_POINT('Ctrl Pts',(1.35072994010828,2.63448941651983,-0.482821141216136)); #154120=CARTESIAN_POINT('Ctrl Pts',(1.3549299692477,2.63088568102294,-0.482519755459794)); #154121=CARTESIAN_POINT('Ctrl Pts',(1.35906517631041,2.6272267965002,-0.4821852201386)); #154122=CARTESIAN_POINT('Ctrl Pts',(1.36724436258797,2.61976487154604,-0.481454058272799)); #154123=CARTESIAN_POINT('Ctrl Pts',(1.37128282661174,2.61596078537004,-0.481057570121329)); #154124=CARTESIAN_POINT('Ctrl Pts',(1.37724927690583,2.61016164479745,-0.480423534263747)); #154125=CARTESIAN_POINT('Ctrl Pts',(1.37923092000046,2.60820544552025,-0.480204581627494)); #154126=CARTESIAN_POINT('Ctrl Pts',(1.38416167785469,2.60325868437586,-0.479640090282706)); #154127=CARTESIAN_POINT('Ctrl Pts',(1.38708162635569,2.60025158828729,-0.479288256197364)); #154128=CARTESIAN_POINT('Ctrl Pts',(1.39092638299243,2.59619855931113,-0.478802737827116)); #154129=CARTESIAN_POINT('Ctrl Pts',(1.39188751022661,2.59517790787182,-0.47867939379297)); #154130=CARTESIAN_POINT('Ctrl Pts',(1.3947745129705,2.59207916540701,-0.478304119666505)); #154131=CARTESIAN_POINT('Ctrl Pts',(1.39669173154477,2.58999114572792,-0.478047035175779)); #154132=CARTESIAN_POINT('Ctrl Pts',(1.39954722084651,2.58682111049986,-0.477654262863375)); #154133=CARTESIAN_POINT('Ctrl Pts',(1.40050509734899,2.58574832219164,-0.477520718000308)); #154134=CARTESIAN_POINT('Ctrl Pts',(1.40438833316637,2.58136402806016,-0.476971956954387)); #154135=CARTESIAN_POINT('Ctrl Pts',(1.40726486367227,2.57802543245144,-0.47654904771818)); #154136=CARTESIAN_POINT('Ctrl Pts',(1.41203004192798,2.57233954187291,-0.475824229101066)); #154137=CARTESIAN_POINT('Ctrl Pts',(1.41394736995859,2.57000739989476,-0.475525659533889)); #154138=CARTESIAN_POINT('Ctrl Pts',(1.42184593226847,2.56021723864948,-0.474268907434897)); #154139=CARTESIAN_POINT('Ctrl Pts',(1.42757701387671,2.55263993424929,-0.473294447595463)); #154140=CARTESIAN_POINT('Ctrl Pts',(1.43697634961612,2.53940273056865,-0.471622253273148)); #154141=CARTESIAN_POINT('Ctrl Pts',(1.44076556410476,2.53379874131617,-0.470925580844487)); #154142=CARTESIAN_POINT('Ctrl Pts',(1.45263781209949,2.51536555020091,-0.46869301298308)); #154143=CARTESIAN_POINT('Ctrl Pts',(1.46007780896861,2.50231677517323,-0.467221670250862)); #154144=CARTESIAN_POINT('Ctrl Pts',(1.47064092421193,2.48131854211336,-0.465152220486178)); #154145=CARTESIAN_POINT('Ctrl Pts',(1.47426463586612,2.47349479632443,-0.464448768159749)); #154146=CARTESIAN_POINT('Ctrl Pts',(1.48666928998525,2.44428759503914,-0.46212741351768)); #154147=CARTESIAN_POINT('Ctrl Pts',(1.49370795653071,2.42247552379196,-0.461015122272234)); #154148=CARTESIAN_POINT('Ctrl Pts',(1.50050482003164,2.39221967505721,-0.46067622365139)); #154149=CARTESIAN_POINT('Ctrl Pts',(1.50205578471888,2.38399769354243,-0.460702576577048)); #154150=CARTESIAN_POINT('Ctrl Pts',(1.50331538201613,2.37576147050422,-0.460856270082688)); #154151=CARTESIAN_POINT('Ctrl Pts',(1.66478355530763,1.14201458296235,-0.41307491260791)); #154152=CARTESIAN_POINT('Ctrl Pts',(1.66570176329727,1.10385619022621,-0.415186349947303)); #154153=CARTESIAN_POINT('Ctrl Pts',(1.66266459152408,1.06585560016349,-0.419743605238207)); #154154=CARTESIAN_POINT('Ctrl Pts',(1.65404157094958,1.01826668519339,-0.428101262200144)); #154155=CARTESIAN_POINT('Ctrl Pts',(1.65178918778544,1.0076158163884,-0.430141616611471)); #154156=CARTESIAN_POINT('Ctrl Pts',(1.64525571512116,0.98058351803446,-0.435717386486448)); #154157=CARTESIAN_POINT('Ctrl Pts',(1.6405474847929,0.964360096924346,-0.439443966884146)); #154158=CARTESIAN_POINT('Ctrl Pts',(1.63282804542586,0.941569932009153, -0.445109426985266)); #154159=CARTESIAN_POINT('Ctrl Pts',(1.63038571064933,0.934787775732696, -0.446851681762047)); #154160=CARTESIAN_POINT('Ctrl Pts',(1.6248524164436,0.920285469573717,-0.45068439584045)); #154161=CARTESIAN_POINT('Ctrl Pts',(1.6217200719852,0.912585893526186,-0.452786390720788)); #154162=CARTESIAN_POINT('Ctrl Pts',(1.61779851720246,0.90351343297505,-0.455331094334549)); #154163=CARTESIAN_POINT('Ctrl Pts',(1.61716306471948,0.902059889515549, -0.455740898408222)); #154164=CARTESIAN_POINT('Ctrl Pts',(1.61526375281064,0.897763854386364, -0.456958120788931)); #154165=CARTESIAN_POINT('Ctrl Pts',(1.61398337725697,0.894930217727843, -0.457768842176115)); #154166=CARTESIAN_POINT('Ctrl Pts',(1.6120420251112,0.890724690953344,-0.458982988623836)); #154167=CARTESIAN_POINT('Ctrl Pts',(1.61139776233161,0.889343466251142, -0.459383513417831)); #154168=CARTESIAN_POINT('Ctrl Pts',(1.6088154040939,0.883863949332596,-0.460979227750143)); #154169=CARTESIAN_POINT('Ctrl Pts',(1.60683597706868,0.879789201180053, -0.462181000658569)); #154170=CARTESIAN_POINT('Ctrl Pts',(1.60346985117872,0.873061745426592, -0.464187535438087)); #154171=CARTESIAN_POINT('Ctrl Pts',(1.60210882322427,0.870393681350066, -0.464989277466761)); #154172=CARTESIAN_POINT('Ctrl Pts',(1.59662564189554,0.859847459803427, -0.468180107026729)); #154173=CARTESIAN_POINT('Ctrl Pts',(1.59235055285691,0.852068505836689, -0.470582216641892)); #154174=CARTESIAN_POINT('Ctrl Pts',(1.58498362272737,0.839358364481028, -0.474567540629657)); #154175=CARTESIAN_POINT('Ctrl Pts',(1.5819824825298,0.834355000278009,-0.476152406926302)); #154176=CARTESIAN_POINT('Ctrl Pts',(1.57285603346734,0.819644486695471, -0.480849801130761)); #154177=CARTESIAN_POINT('Ctrl Pts',(1.56651033973673,0.810057120946503, -0.483961092861056)); #154178=CARTESIAN_POINT('Ctrl Pts',(1.55661884614082,0.796027217485152, -0.48855274050184)); #154179=CARTESIAN_POINT('Ctrl Pts',(1.55329186053912,0.791449719261342, -0.490057139066331)); #154180=CARTESIAN_POINT('Ctrl Pts',(1.54321954667414,0.778003308980939, -0.494486512116744)); #154181=CARTESIAN_POINT('Ctrl Pts',(1.53627821623709,0.769262828901383, -0.49737954906839)); #154182=CARTESIAN_POINT('Ctrl Pts',(1.52555103815498,0.756513620247612, -0.501588905369634)); #154183=CARTESIAN_POINT('Ctrl Pts',(1.52195947793624,0.752363052675755, -0.502957485707833)); #154184=CARTESIAN_POINT('Ctrl Pts',(1.51115623891779,0.740222957778636, -0.506947577884833)); #154185=CARTESIAN_POINT('Ctrl Pts',(1.50378422727924,0.732376166753542, -0.509510397578465)); #154186=CARTESIAN_POINT('Ctrl Pts',(1.4924675434448,0.720968499025493,-0.51318485023956)); #154187=CARTESIAN_POINT('Ctrl Pts',(1.48870765727338,0.717276778119752, -0.514365440808834)); #154188=CARTESIAN_POINT('Ctrl Pts',(1.47931683032424,0.708297371413996, -0.517210896215594)); #154189=CARTESIAN_POINT('Ctrl Pts',(1.47362073545946,0.70305687377081,-0.518848743881359)); #154190=CARTESIAN_POINT('Ctrl Pts',(1.46591527081286,0.69623920683862,-0.520940183567811)); #154191=CARTESIAN_POINT('Ctrl Pts',(1.46401003225281,0.694574750894368, -0.521447548868844)); #154192=CARTESIAN_POINT('Ctrl Pts',(1.4592600294662,0.690477651091895,-0.522687909393754)); #154193=CARTESIAN_POINT('Ctrl Pts',(1.45640087566609,0.688057540531885, -0.523412997087671)); #154194=CARTESIAN_POINT('Ctrl Pts',(1.4525657461339,0.684872329700939,-0.524356151322393)); #154195=CARTESIAN_POINT('Ctrl Pts',(1.451612880499,0.684085872448252,-0.524588104544614)); #154196=CARTESIAN_POINT('Ctrl Pts',(1.44876453821259,0.68174965083495,-0.525274339632215)); #154197=CARTESIAN_POINT('Ctrl Pts',(1.44686091314923,0.680207557048047, -0.525723572456394)); #154198=CARTESIAN_POINT('Ctrl Pts',(1.44399450609423,0.677914319400599, -0.526385726437443)); #154199=CARTESIAN_POINT('Ctrl Pts',(1.44303981141019,0.677155255251575, -0.526603923612968)); #154200=CARTESIAN_POINT('Ctrl Pts',(1.43919819259664,0.674119785778568, -0.527472385704391)); #154201=CARTESIAN_POINT('Ctrl Pts',(1.4362899953992,0.671864622554241,-0.528108417787016)); #154202=CARTESIAN_POINT('Ctrl Pts',(1.4313978518609,0.668142108849265,-0.529141676302459)); #154203=CARTESIAN_POINT('Ctrl Pts',(1.42942675410528,0.66666117231583,-0.529548208935196)); #154204=CARTESIAN_POINT('Ctrl Pts',(1.4234648822888,0.662238616680211,-0.530747994619317)); #154205=CARTESIAN_POINT('Ctrl Pts',(1.4194431305334,0.659331007263081,-0.531517575516503)); #154206=CARTESIAN_POINT('Ctrl Pts',(1.41130290587234,0.653596880577873, -0.532992911325182)); #154207=CARTESIAN_POINT('Ctrl Pts',(1.40718408551987,0.650770768515601, -0.533698358029709)); #154208=CARTESIAN_POINT('Ctrl Pts',(1.40302714342533,0.647993343079398, -0.534368069109294)); #154209=CARTESIAN_POINT('Ctrl Pts',(1.66301969588718,1.14335741806423,-0.432784014621838)); #154210=CARTESIAN_POINT('Ctrl Pts',(1.66399400893721,1.10636344221237,-0.434884048273296)); #154211=CARTESIAN_POINT('Ctrl Pts',(1.66121464529083,1.06953923475821,-0.439676394645566)); #154212=CARTESIAN_POINT('Ctrl Pts',(1.65314026568913,1.02330628624659,-0.448632230574874)); #154213=CARTESIAN_POINT('Ctrl Pts',(1.65102470052937,1.01295127582573,-0.450826301906329)); #154214=CARTESIAN_POINT('Ctrl Pts',(1.64487281732435,0.986642523661178, -0.456843218997543)); #154215=CARTESIAN_POINT('Ctrl Pts',(1.64042608806799,0.970828045924431, -0.460880687754268)); #154216=CARTESIAN_POINT('Ctrl Pts',(1.63310868839072,0.948562342818498, -0.467051922312319)); #154217=CARTESIAN_POINT('Ctrl Pts',(1.63079039186065,0.941929741131515, -0.468953061674752)); #154218=CARTESIAN_POINT('Ctrl Pts',(1.62553053174646,0.927731890867938, -0.47314402210469)); #154219=CARTESIAN_POINT('Ctrl Pts',(1.62254841957541,0.920184402098556, -0.475446823935778)); #154220=CARTESIAN_POINT('Ctrl Pts',(1.61880820538601,0.911278493401987, -0.478241952525393)); #154221=CARTESIAN_POINT('Ctrl Pts',(1.6182019244982,0.909851232641191,-0.478692322918322)); #154222=CARTESIAN_POINT('Ctrl Pts',(1.61638921459491,0.905631707709902, -0.480030656930093)); #154223=CARTESIAN_POINT('Ctrl Pts',(1.61516648823552,0.90284706185057,-0.480922518598931)); #154224=CARTESIAN_POINT('Ctrl Pts',(1.6133112390156,0.898711840163426,-0.482259718783223)); #154225=CARTESIAN_POINT('Ctrl Pts',(1.61269529082639,0.897353259389207, -0.48270135146871)); #154226=CARTESIAN_POINT('Ctrl Pts',(1.61022589852799,0.891962361910218, -0.484460040680521)); #154227=CARTESIAN_POINT('Ctrl Pts',(1.60833115321978,0.887949987903011, -0.485786785558739)); #154228=CARTESIAN_POINT('Ctrl Pts',(1.60510576445564,0.881319710647913, -0.488004529610797)); #154229=CARTESIAN_POINT('Ctrl Pts',(1.60380078542724,0.878688630426467, -0.488891409646488)); #154230=CARTESIAN_POINT('Ctrl Pts',(1.59853952767146,0.868282047649591, -0.492425181304983)); #154231=CARTESIAN_POINT('Ctrl Pts',(1.59442961273698,0.860592092048277, -0.495091843922677)); #154232=CARTESIAN_POINT('Ctrl Pts',(1.58733051880546,0.848002089773465, -0.499530499593011)); #154233=CARTESIAN_POINT('Ctrl Pts',(1.58443447368286,0.843039499125939, -0.501298949101213)); #154234=CARTESIAN_POINT('Ctrl Pts',(1.57561298826528,0.828427996518816, -0.50655295817773)); #154235=CARTESIAN_POINT('Ctrl Pts',(1.56946259293389,0.818879430827292, -0.510044025733096)); #154236=CARTESIAN_POINT('Ctrl Pts',(1.5598403377787,0.804862972022247,-0.515223732937575)); #154237=CARTESIAN_POINT('Ctrl Pts',(1.55659874872733,0.800283043167916, -0.516924368799827)); #154238=CARTESIAN_POINT('Ctrl Pts',(1.54676695482548,0.786808121306545, -0.521944719646068)); #154239=CARTESIAN_POINT('Ctrl Pts',(1.53997054571101,0.7780229573439,-0.525235970256859)); #154240=CARTESIAN_POINT('Ctrl Pts',(1.52942440441792,0.765165005697304, -0.530054737760304)); #154241=CARTESIAN_POINT('Ctrl Pts',(1.52588734388631,0.760972443951656, -0.531625014334056)); #154242=CARTESIAN_POINT('Ctrl Pts',(1.51522594326381,0.748688019353777, -0.536218602504501)); #154243=CARTESIAN_POINT('Ctrl Pts',(1.50792713174657,0.740723810323758, -0.539180743062079)); #154244=CARTESIAN_POINT('Ctrl Pts',(1.49667227527953,0.729103541764201, -0.54346074826682)); #154245=CARTESIAN_POINT('Ctrl Pts',(1.49292618136971,0.725337120243007, -0.544839459014732)); #154246=CARTESIAN_POINT('Ctrl Pts',(1.48354997058486,0.716160356186874, -0.548174304783101)); #154247=CARTESIAN_POINT('Ctrl Pts',(1.47784774011162,0.710792457415206, -0.550101513251919)); #154248=CARTESIAN_POINT('Ctrl Pts',(1.47010809611445,0.703790871366365, -0.552577925290766)); #154249=CARTESIAN_POINT('Ctrl Pts',(1.46819264209103,0.702080250639578, -0.553179574672943)); #154250=CARTESIAN_POINT('Ctrl Pts',(1.46341239317602,0.697866217728448, -0.554653116411883)); #154251=CARTESIAN_POINT('Ctrl Pts',(1.460530783691,0.695374079144289,-0.555516946790071)); #154252=CARTESIAN_POINT('Ctrl Pts',(1.45665948875649,0.692090165013932, -0.556644022526893)); #154253=CARTESIAN_POINT('Ctrl Pts',(1.45569726748028,0.691279102862888, -0.556921352535401)); #154254=CARTESIAN_POINT('Ctrl Pts',(1.45281953492686,0.688868876939599, -0.557742647942407)); #154255=CARTESIAN_POINT('Ctrl Pts',(1.45089380234932,0.687276315110265, -0.558282015059618)); #154256=CARTESIAN_POINT('Ctrl Pts',(1.4479923164562,0.684907039963109,-0.559077429422462)); #154257=CARTESIAN_POINT('Ctrl Pts',(1.44702512189211,0.684122274118483, -0.559340187210567)); #154258=CARTESIAN_POINT('Ctrl Pts',(1.44313186907467,0.680983293187473, -0.560386432685697)); #154259=CARTESIAN_POINT('Ctrl Pts',(1.44018092038098,0.678649069073565, -0.561154454349646)); #154260=CARTESIAN_POINT('Ctrl Pts',(1.43520804897664,0.674791026316696, -0.562407622020038)); #154261=CARTESIAN_POINT('Ctrl Pts',(1.43320241842356,0.673255043095255, -0.56290183562983)); #154262=CARTESIAN_POINT('Ctrl Pts',(1.42713048827554,0.668665194121385, -0.564363386583137)); #154263=CARTESIAN_POINT('Ctrl Pts',(1.42302658209419,0.665643371714178, -0.565305406390176)); #154264=CARTESIAN_POINT('Ctrl Pts',(1.41470211712012,0.659675391896158, -0.567121856763642)); #154265=CARTESIAN_POINT('Ctrl Pts',(1.4104818427237,0.656730077060606,-0.5679953187475)); #154266=CARTESIAN_POINT('Ctrl Pts',(1.40621367563516,0.653831781472477, -0.56882985503561)); #154267=CARTESIAN_POINT('Ctrl Pts',(1.65154279696224,1.14546272859376,-0.466510322302413)); #154268=CARTESIAN_POINT('Ctrl Pts',(1.65254769162816,1.11104443279753,-0.468587714005696)); #154269=CARTESIAN_POINT('Ctrl Pts',(1.64991145817025,1.07682405820037,-0.473757200186727)); #154270=CARTESIAN_POINT('Ctrl Pts',(1.64214167974934,1.03385292612095,-0.483682746337513)); #154271=CARTESIAN_POINT('Ctrl Pts',(1.64010274046445,1.0242276918803,-0.486126472819141)); #154272=CARTESIAN_POINT('Ctrl Pts',(1.63416354476335,0.999769818621058, -0.492859363190499)); #154273=CARTESIAN_POINT('Ctrl Pts',(1.62986542860703,0.985063178879843, -0.497401503152446)); #154274=CARTESIAN_POINT('Ctrl Pts',(1.62277218453881,0.964344643496908, -0.504393387776607)); #154275=CARTESIAN_POINT('Ctrl Pts',(1.62052347580341,0.958171361003837, -0.506552264693112)); #154276=CARTESIAN_POINT('Ctrl Pts',(1.61541615855735,0.944951943184573, -0.51132415769505)); #154277=CARTESIAN_POINT('Ctrl Pts',(1.61251866469958,0.937921815531348, -0.513952437585126)); #154278=CARTESIAN_POINT('Ctrl Pts',(1.60887942218105,0.929622192598202, -0.517153320022226)); #154279=CARTESIAN_POINT('Ctrl Pts',(1.60828935606265,0.928291977761571, -0.517669407766201)); #154280=CARTESIAN_POINT('Ctrl Pts',(1.60652469703216,0.924358944218151, -0.519203934376722)); #154281=CARTESIAN_POINT('Ctrl Pts',(1.60533426199543,0.921762852702216, -0.520227201165449)); #154282=CARTESIAN_POINT('Ctrl Pts',(1.60352679723794,0.917906825932033, -0.521763660490686)); #154283=CARTESIAN_POINT('Ctrl Pts',(1.60292620527315,0.916639846720976, -0.522271861408349)); #154284=CARTESIAN_POINT('Ctrl Pts',(1.60051975459691,0.911611852479977, -0.524294386237451)); #154285=CARTESIAN_POINT('Ctrl Pts',(1.59867154329938,0.907868393701787, -0.525823404857374)); #154286=CARTESIAN_POINT('Ctrl Pts',(1.59552378707014,0.901680285077152, -0.528382868060398)); #154287=CARTESIAN_POINT('Ctrl Pts',(1.59424971073263,0.899224107037618, -0.529407469136843)); #154288=CARTESIAN_POINT('Ctrl Pts',(1.58910960337964,0.889506817692063, -0.533495863874677)); #154289=CARTESIAN_POINT('Ctrl Pts',(1.58509017609949,0.882320814628699, -0.536590037799222)); #154290=CARTESIAN_POINT('Ctrl Pts',(1.5781344029912,0.870545829849915,-0.541760928126206)); #154291=CARTESIAN_POINT('Ctrl Pts',(1.57529430377815,0.865901691573983, -0.543825657427503)); #154292=CARTESIAN_POINT('Ctrl Pts',(1.56663107193261,0.852219181921371, -0.549977759446521)); #154293=CARTESIAN_POINT('Ctrl Pts',(1.56058306472649,0.843266350241499, -0.554080831040005)); #154294=CARTESIAN_POINT('Ctrl Pts',(1.55109061936585,0.830104488009062, -0.560207198907782)); #154295=CARTESIAN_POINT('Ctrl Pts',(1.5478893847638,0.825800580748496,-0.562223552203178)); #154296=CARTESIAN_POINT('Ctrl Pts',(1.53816528435262,0.813127442327782, -0.568194177596444)); #154297=CARTESIAN_POINT('Ctrl Pts',(1.53143245425042,0.804851997581516, -0.572124913252771)); #154298=CARTESIAN_POINT('Ctrl Pts',(1.52094642349657,0.792717639529053, -0.577921048484365)); #154299=CARTESIAN_POINT('Ctrl Pts',(1.51742564281586,0.788757569583915, -0.579814645660265)); #154300=CARTESIAN_POINT('Ctrl Pts',(1.5067932462479,0.777142683183887,-0.585374805027536)); #154301=CARTESIAN_POINT('Ctrl Pts',(1.49950297607376,0.769599061690569, -0.588975618412339)); #154302=CARTESIAN_POINT('Ctrl Pts',(1.48821195550236,0.758569022899003, -0.594222849763266)); #154303=CARTESIAN_POINT('Ctrl Pts',(1.48444974252689,0.754990342314978, -0.595917726600484)); #154304=CARTESIAN_POINT('Ctrl Pts',(1.47501594158024,0.746261892853303, -0.600033056234783)); #154305=CARTESIAN_POINT('Ctrl Pts',(1.46926980646486,0.741148660350482, -0.602421157166384)); #154306=CARTESIAN_POINT('Ctrl Pts',(1.46144622898706,0.734468222849745, -0.605510049775911)); #154307=CARTESIAN_POINT('Ctrl Pts',(1.45950892200415,0.732835241588138, -0.606261639608059)); #154308=CARTESIAN_POINT('Ctrl Pts',(1.45467030251458,0.728810380443735, -0.608105851747893)); #154309=CARTESIAN_POINT('Ctrl Pts',(1.45175012208953,0.726428236802467, -0.609190096091052)); #154310=CARTESIAN_POINT('Ctrl Pts',(1.44782183734047,0.723286751610122, -0.610609170299566)); #154311=CARTESIAN_POINT('Ctrl Pts',(1.4468453541064,0.722510695189014,-0.61095852452496)); #154312=CARTESIAN_POINT('Ctrl Pts',(1.44392380208951,0.720203897298899, -0.611994145707388)); #154313=CARTESIAN_POINT('Ctrl Pts',(1.44196577453421,0.718678710348712, -0.612676493916096)); #154314=CARTESIAN_POINT('Ctrl Pts',(1.43901609787127,0.716408820497213, -0.613683190765482)); #154315=CARTESIAN_POINT('Ctrl Pts',(1.43803159320185,0.715656677252538, -0.614016585223283)); #154316=CARTESIAN_POINT('Ctrl Pts',(1.43406863319663,0.712647582413974, -0.615344594437359)); #154317=CARTESIAN_POINT('Ctrl Pts',(1.43106305738758,0.710408381971542, -0.616321636608093)); #154318=CARTESIAN_POINT('Ctrl Pts',(1.42598888697512,0.706704147816878, -0.617922827245109)); #154319=CARTESIAN_POINT('Ctrl Pts',(1.42394078700005,0.705228619882727, -0.618555734902152)); #154320=CARTESIAN_POINT('Ctrl Pts',(1.41773660661047,0.700817288730991, -0.620431133933535)); #154321=CARTESIAN_POINT('Ctrl Pts',(1.41353737878,0.697909983962761,-0.62164548668184)); #154322=CARTESIAN_POINT('Ctrl Pts',(1.40500361628092,0.692162024528686, -0.624000069980624)); #154323=CARTESIAN_POINT('Ctrl Pts',(1.40067098018676,0.689322361844399, -0.625138284815825)); #154324=CARTESIAN_POINT('Ctrl Pts',(1.39628187380744,0.68652519878367,-0.626232213624839)); #154325=CARTESIAN_POINT('Ctrl Pts',(1.62766281542203,1.14673856852909,-0.492439560651717)); #154326=CARTESIAN_POINT('Ctrl Pts',(1.62858572594886,1.11534153592324,-0.494448803069286)); #154327=CARTESIAN_POINT('Ctrl Pts',(1.62561914973372,1.08414300157347,-0.499625339645749)); #154328=CARTESIAN_POINT('Ctrl Pts',(1.61714684225249,1.0451155987552,-0.50959418254932)); #154329=CARTESIAN_POINT('Ctrl Pts',(1.61493302399458,1.03638343330942,-0.512048651340852)); #154330=CARTESIAN_POINT('Ctrl Pts',(1.60850504335425,1.01422576143065,-0.518807533407582)); #154331=CARTESIAN_POINT('Ctrl Pts',(1.60387731718051,1.00093123630075,-0.523362145831182)); #154332=CARTESIAN_POINT('Ctrl Pts',(1.59626828084185,0.982255189812514, -0.53035525354991)); #154333=CARTESIAN_POINT('Ctrl Pts',(1.59386081254729,0.976697265703549, -0.532512008092633)); #154334=CARTESIAN_POINT('Ctrl Pts',(1.58840234805044,0.964811486095636, -0.537272616646284)); #154335=CARTESIAN_POINT('Ctrl Pts',(1.58531337066195,0.958500293722556, -0.539890330254196)); #154336=CARTESIAN_POINT('Ctrl Pts',(1.58144085061229,0.951062232918582, -0.543072274310822)); #154337=CARTESIAN_POINT('Ctrl Pts',(1.5808131541422,0.949870504987052,-0.543585123849169)); #154338=CARTESIAN_POINT('Ctrl Pts',(1.57893669496913,0.94634811185803,-0.54510944523621)); #154339=CARTESIAN_POINT('Ctrl Pts',(1.57767250236837,0.944024515077036, -0.546125061644845)); #154340=CARTESIAN_POINT('Ctrl Pts',(1.57575397513931,0.940575644073765, -0.547648900339354)); #154341=CARTESIAN_POINT('Ctrl Pts',(1.57511607612713,0.939442912322304, -0.548152807298139)); #154342=CARTESIAN_POINT('Ctrl Pts',(1.57256451085981,0.934948741919397, -0.550157036433577)); #154343=CARTESIAN_POINT('Ctrl Pts',(1.57060617049443,0.931606242716327, -0.551670539772422)); #154344=CARTESIAN_POINT('Ctrl Pts',(1.56727624227923,0.926086568376695, -0.554200577727432)); #154345=CARTESIAN_POINT('Ctrl Pts',(1.56592964130493,0.923897216717726, -0.555212527647535)); #154346=CARTESIAN_POINT('Ctrl Pts',(1.56050002446241,0.91524199926512,-0.559246890738153)); #154347=CARTESIAN_POINT('Ctrl Pts',(1.55626645213974,0.908854912240623, -0.562291852732309)); #154348=CARTESIAN_POINT('Ctrl Pts',(1.54895803197255,0.898413244446061, -0.567364954687132)); #154349=CARTESIAN_POINT('Ctrl Pts',(1.5459795028822,0.894301206457528,-0.569386486888052)); #154350=CARTESIAN_POINT('Ctrl Pts',(1.53690806728457,0.882206164189083, -0.575396634895809)); #154351=CARTESIAN_POINT('Ctrl Pts',(1.53060377827863,0.874316135046921, -0.579387331374142)); #154352=CARTESIAN_POINT('Ctrl Pts',(1.52074226270448,0.862757377384615, -0.585315704370934)); #154353=CARTESIAN_POINT('Ctrl Pts',(1.51742365224263,0.858983987842993, -0.587261943540797)); #154354=CARTESIAN_POINT('Ctrl Pts',(1.5073620138374,0.847892772019077,-0.593009244924398)); #154355=CARTESIAN_POINT('Ctrl Pts',(1.50043019619707,0.840674165971686, -0.596772634950823)); #154356=CARTESIAN_POINT('Ctrl Pts',(1.48967394014854,0.830129444726107, -0.602287867320943)); #154357=CARTESIAN_POINT('Ctrl Pts',(1.48607138769051,0.826694094073945, -0.604084235708061)); #154358=CARTESIAN_POINT('Ctrl Pts',(1.47521211982453,0.816637755574052, -0.609341269013285)); #154359=CARTESIAN_POINT('Ctrl Pts',(1.46780871143444,0.81012783209847,-0.612724225918785)); #154360=CARTESIAN_POINT('Ctrl Pts',(1.45638335325949,0.800646948609589, -0.617617345183565)); #154361=CARTESIAN_POINT('Ctrl Pts',(1.45258684249846,0.797576075511691, -0.619192113894631)); #154362=CARTESIAN_POINT('Ctrl Pts',(1.44308768860686,0.790100026060945, -0.623000910101297)); #154363=CARTESIAN_POINT('Ctrl Pts',(1.43732567281966,0.785731117332195, -0.62519861468981)); #154364=CARTESIAN_POINT('Ctrl Pts',(1.42950401430135,0.780039084167345, -0.628022829885017)); #154365=CARTESIAN_POINT('Ctrl Pts',(1.42756989420252,0.778648794426734, -0.6287085921329)); #154366=CARTESIAN_POINT('Ctrl Pts',(1.42274501532891,0.775224952379628, -0.630387638344082)); #154367=CARTESIAN_POINT('Ctrl Pts',(1.41983816388982,0.773201076602167, -0.63137151256435)); #154368=CARTESIAN_POINT('Ctrl Pts',(1.41593438967391,0.770535417485925, -0.632654750716483)); #154369=CARTESIAN_POINT('Ctrl Pts',(1.41496484368945,0.769877100601081, -0.632970336411659)); #154370=CARTESIAN_POINT('Ctrl Pts',(1.41206572645606,0.767921060725545, -0.633904771056733)); #154371=CARTESIAN_POINT('Ctrl Pts',(1.41012358066852,0.766629195342918, -0.634518791047143)); #154372=CARTESIAN_POINT('Ctrl Pts',(1.40720393823971,0.764707328223072, -0.63542289111157)); #154373=CARTESIAN_POINT('Ctrl Pts',(1.4062291985569,0.764070975100155,-0.635721820567564)); #154374=CARTESIAN_POINT('Ctrl Pts',(1.40230932549203,0.761525704570179, -0.636911279590438)); #154375=CARTESIAN_POINT('Ctrl Pts',(1.39934316124941,0.759633443950282, -0.637783289876926)); #154376=CARTESIAN_POINT('Ctrl Pts',(1.39434156556924,0.75650741627846,-0.639206230465548)); #154377=CARTESIAN_POINT('Ctrl Pts',(1.39232514711664,0.755263150132338, -0.639767121790188)); #154378=CARTESIAN_POINT('Ctrl Pts',(1.38622537333064,0.751545593884816, -0.641424611463063)); #154379=CARTESIAN_POINT('Ctrl Pts',(1.38210709773025,0.749099009257336, -0.642491569355979)); #154380=CARTESIAN_POINT('Ctrl Pts',(1.37375622627258,0.744268978999287, -0.644546951103004)); #154381=CARTESIAN_POINT('Ctrl Pts',(1.36952700536487,0.741885985344595, -0.645533806471174)); #154382=CARTESIAN_POINT('Ctrl Pts',(1.36525279271182,0.739541602640081, -0.646475266494057)); #154383=CARTESIAN_POINT('Ctrl Pts',(1.61581257797753,1.14709919707723,-0.501423355396285)); #154384=CARTESIAN_POINT('Ctrl Pts',(1.61668658410369,1.11699641492973,-0.503392086946127)); #154385=CARTESIAN_POINT('Ctrl Pts',(1.61352618384859,1.08708373650989,-0.508476660848115)); #154386=CARTESIAN_POINT('Ctrl Pts',(1.60465272251741,1.04972548112176,-0.518230180801001)); #154387=CARTESIAN_POINT('Ctrl Pts',(1.60233955043297,1.04137078949138,-0.520629087758262)); #154388=CARTESIAN_POINT('Ctrl Pts',(1.59563586690334,1.02018321939136,-0.527226480181142)); #154389=CARTESIAN_POINT('Ctrl Pts',(1.59082337462167,1.00748300884353,-0.531664080702926)); #154390=CARTESIAN_POINT('Ctrl Pts',(1.58293067622423,0.989664345052428, -0.538456563717019)); #154391=CARTESIAN_POINT('Ctrl Pts',(1.58043635711323,0.984364377793895, -0.540548903570236)); #154392=CARTESIAN_POINT('Ctrl Pts',(1.5747874032107,0.973037242825572,-0.545160748470709)); #154393=CARTESIAN_POINT('Ctrl Pts',(1.57159525469675,0.967026818222934, -0.547692733397649)); #154394=CARTESIAN_POINT('Ctrl Pts',(1.56759857782816,0.959948821435331, -0.550764661332352)); #154395=CARTESIAN_POINT('Ctrl Pts',(1.56695089720211,0.958814950876489, -0.551259598800061)); #154396=CARTESIAN_POINT('Ctrl Pts',(1.56501520409479,0.95546409110747,-0.552730151741769)); #154397=CARTESIAN_POINT('Ctrl Pts',(1.56371202972737,0.953254279376652, -0.553709296427244)); #154398=CARTESIAN_POINT('Ctrl Pts',(1.56173514185077,0.949975348520922, -0.555177284981582)); #154399=CARTESIAN_POINT('Ctrl Pts',(1.56107774686527,0.948898628639118, -0.555662501720746)); #154400=CARTESIAN_POINT('Ctrl Pts',(1.55845020743725,0.944627188079295, -0.557591902812751)); #154401=CARTESIAN_POINT('Ctrl Pts',(1.55643470101423,0.941451867533016, -0.559047242144712)); #154402=CARTESIAN_POINT('Ctrl Pts',(1.55301084347674,0.936210759029284, -0.561477254112568)); #154403=CARTESIAN_POINT('Ctrl Pts',(1.55162703008884,0.934132557872563, -0.562448461625276)); #154404=CARTESIAN_POINT('Ctrl Pts',(1.54604984790062,0.925919615184787, -0.566317102282724)); #154405=CARTESIAN_POINT('Ctrl Pts',(1.54170877015845,0.919864893509424, -0.569230204863697)); #154406=CARTESIAN_POINT('Ctrl Pts',(1.53422730462929,0.909977216449909, -0.574070344416308)); #154407=CARTESIAN_POINT('Ctrl Pts',(1.53118178836692,0.906086185604549, -0.575995669988769)); #154408=CARTESIAN_POINT('Ctrl Pts',(1.52191653132833,0.894649941803494, -0.581708472264685)); #154409=CARTESIAN_POINT('Ctrl Pts',(1.5154945227955,0.887200559279661,-0.585488213447357)); #154410=CARTESIAN_POINT('Ctrl Pts',(1.50547269477655,0.87630564368216,-0.591078029053172)); #154411=CARTESIAN_POINT('Ctrl Pts',(1.50210457008151,0.872751840343656, -0.592909253918674)); #154412=CARTESIAN_POINT('Ctrl Pts',(1.49190576499308,0.862315079830092, -0.598304180711755)); #154413=CARTESIAN_POINT('Ctrl Pts',(1.48489977236667,0.855533392887594, -0.601821694285529)); #154414=CARTESIAN_POINT('Ctrl Pts',(1.47405641363986,0.845645322426022, -0.606948870683377)); #154415=CARTESIAN_POINT('Ctrl Pts',(1.47043006148238,0.842426630979511, -0.608614734626346)); #154416=CARTESIAN_POINT('Ctrl Pts',(1.45951321382531,0.83301375034359,-0.613475548668812)); #154417=CARTESIAN_POINT('Ctrl Pts',(1.4520944119971,0.826930447688687,-0.616587990532939)); #154418=CARTESIAN_POINT('Ctrl Pts',(1.44067499350841,0.818088487703162, -0.621060118648986)); #154419=CARTESIAN_POINT('Ctrl Pts',(1.43688651487371,0.815227083887312, -0.622495143056427)); #154420=CARTESIAN_POINT('Ctrl Pts',(1.4274209172276,0.808267481836146,-0.625954275295142)); #154421=CARTESIAN_POINT('Ctrl Pts',(1.42169290121324,0.804205544884779, -0.627940976154177)); #154422=CARTESIAN_POINT('Ctrl Pts',(1.41393336366863,0.798921039988286, -0.630479392944957)); #154423=CARTESIAN_POINT('Ctrl Pts',(1.4120161495217,0.79763082464952,-0.631094693725706)); #154424=CARTESIAN_POINT('Ctrl Pts',(1.40723698260694,0.79445480697086,-0.632598416404159)); #154425=CARTESIAN_POINT('Ctrl Pts',(1.40436076726256,0.792578663381996, -0.633477022514874)); #154426=CARTESIAN_POINT('Ctrl Pts',(1.4005022798527,0.790109212114968,-0.634619466410853)); #154427=CARTESIAN_POINT('Ctrl Pts',(1.39954441739068,0.789499449984866, -0.634900196868318)); #154428=CARTESIAN_POINT('Ctrl Pts',(1.39668126560505,0.787688060722016, -0.635730585280772)); #154429=CARTESIAN_POINT('Ctrl Pts',(1.39476413784383,0.786492402031145, -0.636274821063564)); #154430=CARTESIAN_POINT('Ctrl Pts',(1.39188504742741,0.784714076739212, -0.637075011642059)); #154431=CARTESIAN_POINT('Ctrl Pts',(1.39092392617923,0.784125472076707, -0.63733913284387)); #154432=CARTESIAN_POINT('Ctrl Pts',(1.38706069740865,0.781771492721809, -0.638389227680709)); #154433=CARTESIAN_POINT('Ctrl Pts',(1.38414102127005,0.780022348700531, -0.63915678316938)); #154434=CARTESIAN_POINT('Ctrl Pts',(1.37922231055595,0.777134829451521, -0.640404248309676)); #154435=CARTESIAN_POINT('Ctrl Pts',(1.37724075171109,0.775985965653887, -0.640894748377458)); #154436=CARTESIAN_POINT('Ctrl Pts',(1.37125117389277,0.772554651970059, -0.642340767386755)); #154437=CARTESIAN_POINT('Ctrl Pts',(1.36721332416144,0.770298196535449, -0.643266680377553)); #154438=CARTESIAN_POINT('Ctrl Pts',(1.35903707260447,0.765847046716629, -0.645039603444174)); #154439=CARTESIAN_POINT('Ctrl Pts',(1.35490246150295,0.763652598794248, -0.645885521156241)); #154440=CARTESIAN_POINT('Ctrl Pts',(1.35072994010827,0.761495212489381, -0.646686900918563)); #154441=CARTESIAN_POINT('',(1.40302714342533,0.647993343079398,-0.534368069109294)); #154442=CARTESIAN_POINT('',(1.66478355530764,1.14201458296212,-0.413074912607895)); #154443=CARTESIAN_POINT('Ctrl Pts',(1.40302714342533,0.647993343079398, -0.534368069109294)); #154444=CARTESIAN_POINT('Ctrl Pts',(1.40718408551987,0.650770768515601, -0.533698358029709)); #154445=CARTESIAN_POINT('Ctrl Pts',(1.41130290587234,0.653596880577873, -0.532992911325182)); #154446=CARTESIAN_POINT('Ctrl Pts',(1.4194431305334,0.659331007263081,-0.531517575516503)); #154447=CARTESIAN_POINT('Ctrl Pts',(1.4234648822888,0.662238616680211,-0.530747994619317)); #154448=CARTESIAN_POINT('Ctrl Pts',(1.42942675410528,0.66666117231583,-0.529548208935196)); #154449=CARTESIAN_POINT('Ctrl Pts',(1.4313978518609,0.668142108849265,-0.529141676302459)); #154450=CARTESIAN_POINT('Ctrl Pts',(1.4362899953992,0.671864622554241,-0.528108417787016)); #154451=CARTESIAN_POINT('Ctrl Pts',(1.43919819259664,0.674119785778568, -0.527472385704391)); #154452=CARTESIAN_POINT('Ctrl Pts',(1.44303981141019,0.677155255251575, -0.526603923612968)); #154453=CARTESIAN_POINT('Ctrl Pts',(1.44399450609423,0.677914319400599, -0.526385726437443)); #154454=CARTESIAN_POINT('Ctrl Pts',(1.44686091314923,0.680207557048047, -0.525723572456394)); #154455=CARTESIAN_POINT('Ctrl Pts',(1.44876453821259,0.68174965083495,-0.525274339632215)); #154456=CARTESIAN_POINT('Ctrl Pts',(1.451612880499,0.684085872448252,-0.524588104544614)); #154457=CARTESIAN_POINT('Ctrl Pts',(1.4525657461339,0.684872329700939,-0.524356151322393)); #154458=CARTESIAN_POINT('Ctrl Pts',(1.45640087566609,0.688057540531885, -0.523412997087671)); #154459=CARTESIAN_POINT('Ctrl Pts',(1.4592600294662,0.690477651091895,-0.522687909393754)); #154460=CARTESIAN_POINT('Ctrl Pts',(1.46401003225281,0.694574750894368, -0.521447548868844)); #154461=CARTESIAN_POINT('Ctrl Pts',(1.46591527081286,0.69623920683862,-0.520940183567811)); #154462=CARTESIAN_POINT('Ctrl Pts',(1.47362073545946,0.70305687377081,-0.518848743881359)); #154463=CARTESIAN_POINT('Ctrl Pts',(1.47931683032424,0.708297371413996, -0.517210896215594)); #154464=CARTESIAN_POINT('Ctrl Pts',(1.48870765727338,0.717276778119752, -0.514365440808834)); #154465=CARTESIAN_POINT('Ctrl Pts',(1.4924675434448,0.720968499025493,-0.51318485023956)); #154466=CARTESIAN_POINT('Ctrl Pts',(1.50378422727924,0.732376166753542, -0.509510397578465)); #154467=CARTESIAN_POINT('Ctrl Pts',(1.51115623891779,0.740222957778636, -0.506947577884833)); #154468=CARTESIAN_POINT('Ctrl Pts',(1.52195947793624,0.752363052675755, -0.502957485707833)); #154469=CARTESIAN_POINT('Ctrl Pts',(1.52555103815498,0.756513620247612, -0.501588905369634)); #154470=CARTESIAN_POINT('Ctrl Pts',(1.53627821623709,0.769262828901383, -0.49737954906839)); #154471=CARTESIAN_POINT('Ctrl Pts',(1.54321954667414,0.778003308980939, -0.494486512116744)); #154472=CARTESIAN_POINT('Ctrl Pts',(1.55329186053912,0.791449719261342, -0.490057139066331)); #154473=CARTESIAN_POINT('Ctrl Pts',(1.55661884614082,0.796027217485152, -0.48855274050184)); #154474=CARTESIAN_POINT('Ctrl Pts',(1.56651033973673,0.810057120946503, -0.483961092861056)); #154475=CARTESIAN_POINT('Ctrl Pts',(1.57285603346734,0.819644486695471, -0.480849801130761)); #154476=CARTESIAN_POINT('Ctrl Pts',(1.5819824825298,0.834355000278009,-0.476152406926302)); #154477=CARTESIAN_POINT('Ctrl Pts',(1.58498362272737,0.839358364481028, -0.474567540629657)); #154478=CARTESIAN_POINT('Ctrl Pts',(1.59235055285691,0.852068505836689, -0.470582216641892)); #154479=CARTESIAN_POINT('Ctrl Pts',(1.59662564189554,0.859847459803427, -0.468180107026729)); #154480=CARTESIAN_POINT('Ctrl Pts',(1.60210882322427,0.870393681350066, -0.464989277466761)); #154481=CARTESIAN_POINT('Ctrl Pts',(1.60346985117872,0.873061745426592, -0.464187535438087)); #154482=CARTESIAN_POINT('Ctrl Pts',(1.60683597706868,0.879789201180053, -0.462181000658569)); #154483=CARTESIAN_POINT('Ctrl Pts',(1.6088154040939,0.883863949332596,-0.460979227750143)); #154484=CARTESIAN_POINT('Ctrl Pts',(1.61139776233161,0.889343466251142, -0.459383513417831)); #154485=CARTESIAN_POINT('Ctrl Pts',(1.6120420251112,0.890724690953344,-0.458982988623836)); #154486=CARTESIAN_POINT('Ctrl Pts',(1.61398337725697,0.894930217727843, -0.457768842176115)); #154487=CARTESIAN_POINT('Ctrl Pts',(1.61526375281064,0.897763854386364, -0.456958120788931)); #154488=CARTESIAN_POINT('Ctrl Pts',(1.61716306471948,0.902059889515549, -0.455740898408222)); #154489=CARTESIAN_POINT('Ctrl Pts',(1.61779851720246,0.90351343297505,-0.455331094334549)); #154490=CARTESIAN_POINT('Ctrl Pts',(1.6217200719852,0.912585893526186,-0.452786390720788)); #154491=CARTESIAN_POINT('Ctrl Pts',(1.6248524164436,0.920285469573717,-0.45068439584045)); #154492=CARTESIAN_POINT('Ctrl Pts',(1.63038571064933,0.934787775732696, -0.446851681762047)); #154493=CARTESIAN_POINT('Ctrl Pts',(1.63282804542586,0.941569932009153, -0.445109426985266)); #154494=CARTESIAN_POINT('Ctrl Pts',(1.6405474847929,0.964360096924346,-0.439443966884146)); #154495=CARTESIAN_POINT('Ctrl Pts',(1.64525571512116,0.98058351803446,-0.435717386486448)); #154496=CARTESIAN_POINT('Ctrl Pts',(1.65178918778544,1.0076158163884,-0.430141616611471)); #154497=CARTESIAN_POINT('Ctrl Pts',(1.65404157094958,1.01826668519339,-0.428101262200144)); #154498=CARTESIAN_POINT('Ctrl Pts',(1.66266459152408,1.06585560016349,-0.419743605238207)); #154499=CARTESIAN_POINT('Ctrl Pts',(1.66570176329727,1.10385619022621,-0.415186349947303)); #154500=CARTESIAN_POINT('Ctrl Pts',(1.66478355530763,1.14201458296235,-0.41307491260791)); #154501=CARTESIAN_POINT('Origin',(1.54031120956423,1.1384165674618,-0.402180444764438)); #154502=CARTESIAN_POINT('Origin',(1.33263907462481,0.750715445574145,-0.523473601265837)); #154503=CARTESIAN_POINT('Origin',(-1.07616878898939,1.125,0.)); #154504=CARTESIAN_POINT('',(-1.66478355530764,1.14201458296216,-0.413074912607898)); #154505=CARTESIAN_POINT('',(-1.40302714342534,0.647993343079409,-0.534368069109293)); #154506=CARTESIAN_POINT('Ctrl Pts',(-1.66478355530763,1.14201458296255, -0.413074912607898)); #154507=CARTESIAN_POINT('Ctrl Pts',(-1.66570164317142,1.10386118235183, -0.415186073715522)); #154508=CARTESIAN_POINT('Ctrl Pts',(-1.66267222920976,1.06589873597027, -0.419736134478779)); #154509=CARTESIAN_POINT('Ctrl Pts',(-1.65404487624757,1.01828516770209, -0.428098041217743)); #154510=CARTESIAN_POINT('Ctrl Pts',(-1.65179399095169,1.00763609825263, -0.430137480408223)); #154511=CARTESIAN_POINT('Ctrl Pts',(-1.64525591745651,0.980583913555516, -0.435717275050977)); #154512=CARTESIAN_POINT('Ctrl Pts',(-1.64053675516994,0.964327370483278, -0.439451965636497)); #154513=CARTESIAN_POINT('Ctrl Pts',(-1.63282519053702,0.941561785285363, -0.445111502507963)); #154514=CARTESIAN_POINT('Ctrl Pts',(-1.63038211128978,0.934778282553277, -0.446854191507895)); #154515=CARTESIAN_POINT('Ctrl Pts',(-1.62484688713255,0.920270994458906, -0.450688234948173)); #154516=CARTESIAN_POINT('Ctrl Pts',(-1.62171348238759,0.912570604045265, -0.452790683153769)); #154517=CARTESIAN_POINT('Ctrl Pts',(-1.61779824263379,0.903512765365892, -0.455331285567899)); #154518=CARTESIAN_POINT('Ctrl Pts',(-1.61716276020322,0.902059165541608, -0.455741107223257)); #154519=CARTESIAN_POINT('Ctrl Pts',(-1.61526386170419,0.897764066399357, -0.456958064398833)); #154520=CARTESIAN_POINT('Ctrl Pts',(-1.61398394836449,0.894931418619353, -0.457768498708336)); #154521=CARTESIAN_POINT('Ctrl Pts',(-1.61204212595752,0.89072486552957, -0.45898294049215)); #154522=CARTESIAN_POINT('Ctrl Pts',(-1.61139788355201,0.889343679554387, -0.459383453485387)); #154523=CARTESIAN_POINT('Ctrl Pts',(-1.60881681318869,0.883866893257655, -0.46097837225973)); #154524=CARTESIAN_POINT('Ctrl Pts',(-1.60683751129654,0.879792215865209, -0.462180102436491)); #154525=CARTESIAN_POINT('Ctrl Pts',(-1.60347055207793,0.873063089303891, -0.464187135042437)); #154526=CARTESIAN_POINT('Ctrl Pts',(-1.60210952793824,0.870394983439409, -0.464988884337572)); #154527=CARTESIAN_POINT('Ctrl Pts',(-1.59663300539097,0.859861531795188, -0.468175845007605)); #154528=CARTESIAN_POINT('Ctrl Pts',(-1.59235774769906,0.852080837778938, -0.470578345649493)); #154529=CARTESIAN_POINT('Ctrl Pts',(-1.58498160100844,0.839354778246469, -0.474568662810145)); #154530=CARTESIAN_POINT('Ctrl Pts',(-1.58198045086871,0.834351667234711, -0.476153470366419)); #154531=CARTESIAN_POINT('Ctrl Pts',(-1.5728472461807,0.81963024497936,-0.480854350363062)); #154532=CARTESIAN_POINT('Ctrl Pts',(-1.56650083327746,0.810043617007818, -0.483965517530864)); #154533=CARTESIAN_POINT('Ctrl Pts',(-1.55661698227705,0.796024533497688, -0.488553619155291)); #154534=CARTESIAN_POINT('Ctrl Pts',(-1.55328980216621,0.791446977631494, -0.490058046447257)); #154535=CARTESIAN_POINT('Ctrl Pts',(-1.54323881826226,0.778029011152077, -0.494478041791079)); #154536=CARTESIAN_POINT('Ctrl Pts',(-1.53629968728074,0.769288357183929, -0.497371116600905)); #154537=CARTESIAN_POINT('Ctrl Pts',(-1.52555565247158,0.756519052835856, -0.501587114227744)); #154538=CARTESIAN_POINT('Ctrl Pts',(-1.52196382212561,0.752367952074676, -0.502955873955039)); #154539=CARTESIAN_POINT('Ctrl Pts',(-1.51117068791622,0.740238997909036, -0.506942314624935)); #154540=CARTESIAN_POINT('Ctrl Pts',(-1.50378749622759,0.732379371008199, -0.509509369170235)); #154541=CARTESIAN_POINT('Ctrl Pts',(-1.49246446991734,0.72096538787213, -0.513185853990706)); #154542=CARTESIAN_POINT('Ctrl Pts',(-1.48870498752213,0.717274195518698, -0.514366261310502)); #154543=CARTESIAN_POINT('Ctrl Pts',(-1.47931151650791,0.70829226658153, -0.517212516216385)); #154544=CARTESIAN_POINT('Ctrl Pts',(-1.47361556592522,0.703052280540218, -0.518850155194348)); #154545=CARTESIAN_POINT('Ctrl Pts',(-1.46591472086194,0.696238702559211, -0.520940339974798)); #154546=CARTESIAN_POINT('Ctrl Pts',(-1.46400948840018,0.694574263822542, -0.521447698259404)); #154547=CARTESIAN_POINT('Ctrl Pts',(-1.4592589555218,0.690476708201145, -0.522688196622799)); #154548=CARTESIAN_POINT('Ctrl Pts',(-1.45639982283617,0.688056650375968, -0.523413262549207)); #154549=CARTESIAN_POINT('Ctrl Pts',(-1.45256560609773,0.684872198443213, -0.524356191958919)); #154550=CARTESIAN_POINT('Ctrl Pts',(-1.45161274127963,0.68408574303055, -0.524588144426771)); #154551=CARTESIAN_POINT('Ctrl Pts',(-1.44876410961165,0.681749284558909, -0.525274449028309)); #154552=CARTESIAN_POINT('Ctrl Pts',(-1.44686049210016,0.680207205622416, -0.525723675835869)); #154553=CARTESIAN_POINT('Ctrl Pts',(-1.44399438282494,0.677914206663599, -0.526385760836794)); #154554=CARTESIAN_POINT('Ctrl Pts',(-1.44303968906586,0.67715514421442, -0.526603957322562)); #154555=CARTESIAN_POINT('Ctrl Pts',(-1.43919737717431,0.674119127969316, -0.52747257573823)); #154556=CARTESIAN_POINT('Ctrl Pts',(-1.43628920715736,0.671864010249832, -0.528108589615641)); #154557=CARTESIAN_POINT('Ctrl Pts',(-1.43139751492704,0.668141841115133, -0.529141752308113)); #154558=CARTESIAN_POINT('Ctrl Pts',(-1.42942642608905,0.666660917621757, -0.529548279837067)); #154559=CARTESIAN_POINT('Ctrl Pts',(-1.42346376461284,0.662237778303123, -0.530748223536668)); #154560=CARTESIAN_POINT('Ctrl Pts',(-1.41944209223804,0.659330268942381, -0.531517766740461)); #154561=CARTESIAN_POINT('Ctrl Pts',(-1.41130206021536,0.653596280919063, -0.532993066311105)); #154562=CARTESIAN_POINT('Ctrl Pts',(-1.40718333385735,0.650770266298696, -0.533698479127551)); #154563=CARTESIAN_POINT('Ctrl Pts',(-1.40302714342535,0.64799334307941, -0.534368069109292)); #154564=CARTESIAN_POINT('',(-1.70467714733751,1.14316775286188,0.0431013939977213)); #154565=CARTESIAN_POINT('',(-1.7009078384033,1.14305879667653,0.)); #154566=CARTESIAN_POINT('',(-1.68514057116124,0.968975083134402,0.0416249958885332)); #154567=CARTESIAN_POINT('Ctrl Pts',(-1.68514057116124,0.968975083134406, 0.0416249958885349)); #154568=CARTESIAN_POINT('Ctrl Pts',(-1.69981280683071,1.02549688747338, 0.0437371730436051)); #154569=CARTESIAN_POINT('Ctrl Pts',(-1.7064726697413,1.08477671521916,0.0443314703164776)); #154570=CARTESIAN_POINT('Ctrl Pts',(-1.70467714733751,1.14316775286189, 0.0431013939977214)); #154571=CARTESIAN_POINT('',(-1.19740333137694,0.511071717956068,0.00896239486631556)); #154572=CARTESIAN_POINT('Ctrl Pts',(-1.19740333137694,0.511071717956068, 0.00896239486631582)); #154573=CARTESIAN_POINT('Ctrl Pts',(-1.26307768092392,0.523923700261824, 0.010273912448061)); #154574=CARTESIAN_POINT('Ctrl Pts',(-1.3917916974946,0.57140802625713,0.0136543677358506)); #154575=CARTESIAN_POINT('Ctrl Pts',(-1.52438799416944,0.677969557938436, 0.0205334364965173)); #154576=CARTESIAN_POINT('Ctrl Pts',(-1.60659095163609,0.784739648054823, 0.0281679561570726)); #154577=CARTESIAN_POINT('Ctrl Pts',(-1.64649401186919,0.858550124188574, 0.0338481682477627)); #154578=CARTESIAN_POINT('Ctrl Pts',(-1.66806920117963,0.913000908628332, 0.0380668153320353)); #154579=CARTESIAN_POINT('Ctrl Pts',(-1.67847941849386,0.944789773070514, 0.0403203107106655)); #154580=CARTESIAN_POINT('Ctrl Pts',(-1.68303924503038,0.96088015151684, 0.0413224944055314)); #154581=CARTESIAN_POINT('Ctrl Pts',(-1.68514057116124,0.968975083134402, 0.0416249958885332)); #154582=CARTESIAN_POINT('',(-1.19460774530462,0.510531400296921,0.00890482860176332)); #154583=CARTESIAN_POINT('Ctrl Pts',(-1.19460774530462,0.51053140029692, 0.00890482860176326)); #154584=CARTESIAN_POINT('Ctrl Pts',(-1.19554001335038,0.510709333199399, 0.00892460396442848)); #154585=CARTESIAN_POINT('Ctrl Pts',(-1.19647188076068,0.510889439990296, 0.00894379378586065)); #154586=CARTESIAN_POINT('Ctrl Pts',(-1.19740333137694,0.511071717956068, 0.00896239486631556)); #154587=CARTESIAN_POINT('',(-1.18512359074623,0.559735778249629,-0.563855992984355)); #154588=CARTESIAN_POINT('',(-1.1944602932311,0.511296390735534,0.)); #154589=CARTESIAN_POINT('Ctrl Pts',(-1.40302714342534,0.647993343079409, -0.534368069109293)); #154590=CARTESIAN_POINT('Ctrl Pts',(-1.33768769748524,0.604337349078452, -0.544894689371218)); #154591=CARTESIAN_POINT('Ctrl Pts',(-1.26287086724898,0.573942728937802, -0.555114556460489)); #154592=CARTESIAN_POINT('Ctrl Pts',(-1.18512359074623,0.559735778249628, -0.563855992984356)); #154593=CARTESIAN_POINT('Ctrl Pts',(-1.40302714342535,0.64799334307941, -0.534368069109292)); #154594=CARTESIAN_POINT('Ctrl Pts',(-1.40718333385735,0.650770266298696, -0.533698479127551)); #154595=CARTESIAN_POINT('Ctrl Pts',(-1.41130206021536,0.653596280919063, -0.532993066311105)); #154596=CARTESIAN_POINT('Ctrl Pts',(-1.41944209223804,0.659330268942381, -0.531517766740461)); #154597=CARTESIAN_POINT('Ctrl Pts',(-1.42346376461284,0.662237778303123, -0.530748223536668)); #154598=CARTESIAN_POINT('Ctrl Pts',(-1.42942642608905,0.666660917621757, -0.529548279837067)); #154599=CARTESIAN_POINT('Ctrl Pts',(-1.43139751492704,0.668141841115133, -0.529141752308113)); #154600=CARTESIAN_POINT('Ctrl Pts',(-1.43628920715736,0.671864010249832, -0.528108589615641)); #154601=CARTESIAN_POINT('Ctrl Pts',(-1.43919737717431,0.674119127969316, -0.52747257573823)); #154602=CARTESIAN_POINT('Ctrl Pts',(-1.44303968906586,0.67715514421442, -0.526603957322562)); #154603=CARTESIAN_POINT('Ctrl Pts',(-1.44399438282494,0.677914206663599, -0.526385760836794)); #154604=CARTESIAN_POINT('Ctrl Pts',(-1.44686049210016,0.680207205622416, -0.525723675835869)); #154605=CARTESIAN_POINT('Ctrl Pts',(-1.44876410961165,0.681749284558909, -0.525274449028309)); #154606=CARTESIAN_POINT('Ctrl Pts',(-1.45161274127963,0.68408574303055, -0.524588144426771)); #154607=CARTESIAN_POINT('Ctrl Pts',(-1.45256560609773,0.684872198443213, -0.524356191958919)); #154608=CARTESIAN_POINT('Ctrl Pts',(-1.45639982283617,0.688056650375968, -0.523413262549207)); #154609=CARTESIAN_POINT('Ctrl Pts',(-1.4592589555218,0.690476708201145, -0.522688196622799)); #154610=CARTESIAN_POINT('Ctrl Pts',(-1.46400948840018,0.694574263822542, -0.521447698259404)); #154611=CARTESIAN_POINT('Ctrl Pts',(-1.46591472086194,0.696238702559211, -0.520940339974798)); #154612=CARTESIAN_POINT('Ctrl Pts',(-1.47361556592522,0.703052280540218, -0.518850155194348)); #154613=CARTESIAN_POINT('Ctrl Pts',(-1.47931151650791,0.70829226658153, -0.517212516216385)); #154614=CARTESIAN_POINT('Ctrl Pts',(-1.48870498752213,0.717274195518698, -0.514366261310502)); #154615=CARTESIAN_POINT('Ctrl Pts',(-1.49246446991734,0.72096538787213, -0.513185853990706)); #154616=CARTESIAN_POINT('Ctrl Pts',(-1.50378749622759,0.732379371008199, -0.509509369170235)); #154617=CARTESIAN_POINT('Ctrl Pts',(-1.51117068791622,0.740238997909036, -0.506942314624935)); #154618=CARTESIAN_POINT('Ctrl Pts',(-1.52196382212561,0.752367952074676, -0.502955873955039)); #154619=CARTESIAN_POINT('Ctrl Pts',(-1.52555565247158,0.756519052835856, -0.501587114227744)); #154620=CARTESIAN_POINT('Ctrl Pts',(-1.53629968728074,0.769288357183929, -0.497371116600905)); #154621=CARTESIAN_POINT('Ctrl Pts',(-1.54323881826226,0.778029011152077, -0.494478041791079)); #154622=CARTESIAN_POINT('Ctrl Pts',(-1.55328980216621,0.791446977631494, -0.490058046447257)); #154623=CARTESIAN_POINT('Ctrl Pts',(-1.55661698227705,0.796024533497688, -0.488553619155291)); #154624=CARTESIAN_POINT('Ctrl Pts',(-1.56650083327746,0.810043617007818, -0.483965517530864)); #154625=CARTESIAN_POINT('Ctrl Pts',(-1.5728472461807,0.81963024497936,-0.480854350363062)); #154626=CARTESIAN_POINT('Ctrl Pts',(-1.58198045086871,0.834351667234711, -0.476153470366419)); #154627=CARTESIAN_POINT('Ctrl Pts',(-1.58498160100844,0.839354778246469, -0.474568662810145)); #154628=CARTESIAN_POINT('Ctrl Pts',(-1.59235774769906,0.852080837778938, -0.470578345649493)); #154629=CARTESIAN_POINT('Ctrl Pts',(-1.59663300539097,0.859861531795188, -0.468175845007605)); #154630=CARTESIAN_POINT('Ctrl Pts',(-1.60210952793824,0.870394983439409, -0.464988884337572)); #154631=CARTESIAN_POINT('Ctrl Pts',(-1.60347055207793,0.873063089303891, -0.464187135042437)); #154632=CARTESIAN_POINT('Ctrl Pts',(-1.60683751129654,0.879792215865209, -0.462180102436491)); #154633=CARTESIAN_POINT('Ctrl Pts',(-1.60881681318869,0.883866893257655, -0.46097837225973)); #154634=CARTESIAN_POINT('Ctrl Pts',(-1.61139788355201,0.889343679554387, -0.459383453485387)); #154635=CARTESIAN_POINT('Ctrl Pts',(-1.61204212595752,0.89072486552957, -0.45898294049215)); #154636=CARTESIAN_POINT('Ctrl Pts',(-1.61398394836449,0.894931418619353, -0.457768498708336)); #154637=CARTESIAN_POINT('Ctrl Pts',(-1.61526386170419,0.897764066399357, -0.456958064398833)); #154638=CARTESIAN_POINT('Ctrl Pts',(-1.61716276020322,0.902059165541608, -0.455741107223257)); #154639=CARTESIAN_POINT('Ctrl Pts',(-1.61779824263379,0.903512765365892, -0.455331285567899)); #154640=CARTESIAN_POINT('Ctrl Pts',(-1.62171348238759,0.912570604045265, -0.452790683153769)); #154641=CARTESIAN_POINT('Ctrl Pts',(-1.62484688713255,0.920270994458906, -0.450688234948173)); #154642=CARTESIAN_POINT('Ctrl Pts',(-1.63038211128978,0.934778282553277, -0.446854191507895)); #154643=CARTESIAN_POINT('Ctrl Pts',(-1.63282519053702,0.941561785285363, -0.445111502507963)); #154644=CARTESIAN_POINT('Ctrl Pts',(-1.64053675516994,0.964327370483278, -0.439451965636497)); #154645=CARTESIAN_POINT('Ctrl Pts',(-1.64525591745651,0.980583913555516, -0.435717275050977)); #154646=CARTESIAN_POINT('Ctrl Pts',(-1.65179399095169,1.00763609825263, -0.430137480408223)); #154647=CARTESIAN_POINT('Ctrl Pts',(-1.65404487624757,1.01828516770209, -0.428098041217743)); #154648=CARTESIAN_POINT('Ctrl Pts',(-1.66267222920976,1.06589873597027, -0.419736134478779)); #154649=CARTESIAN_POINT('Ctrl Pts',(-1.66570164317142,1.10386118235183, -0.415186073715522)); #154650=CARTESIAN_POINT('Ctrl Pts',(-1.66478355530763,1.14201458296255, -0.413074912607898)); #154651=CARTESIAN_POINT('Ctrl Pts',(-1.40621367563518,0.653831781472487, -0.568829855035609)); #154652=CARTESIAN_POINT('Ctrl Pts',(-1.41048107083625,0.656729552926157, -0.567995469627099)); #154653=CARTESIAN_POINT('Ctrl Pts',(-1.41470125245803,0.659674768144375, -0.567122046882161)); #154654=CARTESIAN_POINT('Ctrl Pts',(-1.42302552058342,0.665642603914508, -0.565305640587201)); #154655=CARTESIAN_POINT('Ctrl Pts',(-1.42712935025885,0.668664324861576, -0.564363663737358)); #154656=CARTESIAN_POINT('Ctrl Pts',(-1.43320208477103,0.673254779819189, -0.562901919986176)); #154657=CARTESIAN_POINT('Ctrl Pts',(-1.43520770682281,0.674790749854883, -0.562407712174338)); #154658=CARTESIAN_POINT('Ctrl Pts',(-1.44018011960678,0.678648435664474, -0.561154660423896)); #154659=CARTESIAN_POINT('Ctrl Pts',(-1.44313104315786,0.680982614227204, -0.560386659024166)); #154660=CARTESIAN_POINT('Ctrl Pts',(-1.44702499828822,0.684122160594781, -0.559340225194475)); #154661=CARTESIAN_POINT('Ctrl Pts',(-1.44799219207015,0.684906924777847, -0.559077468116742)); #154662=CARTESIAN_POINT('Ctrl Pts',(-1.45089337648499,0.687275953332909, -0.5582821365619)); #154663=CARTESIAN_POINT('Ctrl Pts',(-1.4528191021911,0.688868500335174, -0.557742776189687)); #154664=CARTESIAN_POINT('Ctrl Pts',(-1.45569712720619,0.691278970771146, -0.556921397284478)); #154665=CARTESIAN_POINT('Ctrl Pts',(-1.45665934771598,0.692090030983365, -0.556644068373091)); #154666=CARTESIAN_POINT('Ctrl Pts',(-1.46052972131878,0.69537316307926, -0.555517260365743)); #154667=CARTESIAN_POINT('Ctrl Pts',(-1.46341131261052,0.697865249015965, -0.554653455275594)); #154668=CARTESIAN_POINT('Ctrl Pts',(-1.46819209512478,0.702079751788495, -0.553179747362349)); #154669=CARTESIAN_POINT('Ctrl Pts',(-1.47010754381422,0.703790354048266, -0.552578109309834)); #154670=CARTESIAN_POINT('Ctrl Pts',(-1.47784254838448,0.710787745805947, -0.550103171119629)); #154671=CARTESIAN_POINT('Ctrl Pts',(-1.48354466544214,0.716155136139533, -0.548176208920424)); #154672=CARTESIAN_POINT('Ctrl Pts',(-1.49292351535366,0.725334489593309, -0.5448404002561)); #154673=CARTESIAN_POINT('Ctrl Pts',(-1.49666921687637,0.729100363870824, -0.543461931850737)); #154674=CARTESIAN_POINT('Ctrl Pts',(-1.50793038898434,0.740727103544655, -0.539179493307589)); #154675=CARTESIAN_POINT('Ctrl Pts',(-1.51524020676509,0.748704282312434, -0.536212478115849)); #154676=CARTESIAN_POINT('Ctrl Pts',(-1.52589163093222,0.760977388260444, -0.531623188733645)); #154677=CARTESIAN_POINT('Ctrl Pts',(-1.52942894395939,0.765170490079485, -0.530052677766124)); #154678=CARTESIAN_POINT('Ctrl Pts',(-1.53999164722456,0.778048697142169, -0.525226325334667)); #154679=CARTESIAN_POINT('Ctrl Pts',(-1.54678573699465,0.786833884174621, -0.521935053782985)); #154680=CARTESIAN_POINT('Ctrl Pts',(-1.55659676580306,0.800280291522378, -0.516925458831315)); #154681=CARTESIAN_POINT('Ctrl Pts',(-1.559838532868,0.804860292599643,-0.515224740638683)); #154682=CARTESIAN_POINT('Ctrl Pts',(-1.5694533616911,0.818865938770636, -0.510049047984984)); #154683=CARTESIAN_POINT('Ctrl Pts',(-1.57560451098419,0.828413857606665, -0.506558065734006)); #154684=CARTESIAN_POINT('Ctrl Pts',(-1.58443251756863,0.843036189329013, -0.501300153592613)); #154685=CARTESIAN_POINT('Ctrl Pts',(-1.5873285961507,0.847998548333133, -0.499531787322809)); #154686=CARTESIAN_POINT('Ctrl Pts',(-1.59443652814443,0.860604298212718, -0.49508750300789)); #154687=CARTESIAN_POINT('Ctrl Pts',(-1.59854658609606,0.868295929482176, -0.492420448118152)); #154688=CARTESIAN_POINT('Ctrl Pts',(-1.60380146259313,0.878689914667868, -0.48889097396001)); #154689=CARTESIAN_POINT('Ctrl Pts',(-1.60510643353658,0.881321032884144, -0.488004081462514)); #154690=CARTESIAN_POINT('Ctrl Pts',(-1.60833262284828,0.887952957684465, -0.485785790352995)); #154691=CARTESIAN_POINT('Ctrl Pts',(-1.61022724376447,0.891965255951596, -0.484459092927729)); #154692=CARTESIAN_POINT('Ctrl Pts',(-1.61269540506412,0.897353467158956, -0.482701281482532)); #154693=CARTESIAN_POINT('Ctrl Pts',(-1.61331133423484,0.898712010123465, -0.482259662556754)); #154694=CARTESIAN_POINT('Ctrl Pts',(-1.61516703113977,0.902848239810875, -0.480922134891524)); #154695=CARTESIAN_POINT('Ctrl Pts',(-1.61638931062769,0.905631907554153, -0.480030582924583)); #154696=CARTESIAN_POINT('Ctrl Pts',(-1.61820163589492,0.909850523503422, -0.478692553648347)); #154697=CARTESIAN_POINT('Ctrl Pts',(-1.61880794077279,0.911277833221062, -0.478242158254245)); #154698=CARTESIAN_POINT('Ctrl Pts',(-1.62254214132869,0.920169408105594, -0.475451541963809)); #154699=CARTESIAN_POINT('Ctrl Pts',(-1.62552526386151,0.927717696065716, -0.473148205787113)); #154700=CARTESIAN_POINT('Ctrl Pts',(-1.6307869798627,0.941920471589762, -0.468955813289621)); #154701=CARTESIAN_POINT('Ctrl Pts',(-1.63310595750054,0.948554333494232, -0.467054159316131)); #154702=CARTESIAN_POINT('Ctrl Pts',(-1.64041596541565,0.970796187061088, -0.460889432170711)); #154703=CARTESIAN_POINT('Ctrl Pts',(-1.64487304256747,0.986643003683271, -0.456843125188438)); #154704=CARTESIAN_POINT('Ctrl Pts',(-1.65102922885303,1.01297102177814, -0.450821851522638)); #154705=CARTESIAN_POINT('Ctrl Pts',(-1.65314333045133,1.0233241949456,-0.448628744971031)); #154706=CARTESIAN_POINT('Ctrl Pts',(-1.66122197628402,1.06958149623986, -0.439668600847644)); #154707=CARTESIAN_POINT('Ctrl Pts',(-1.66399397701948,1.10636843699478, -0.434883882983222)); #154708=CARTESIAN_POINT('Ctrl Pts',(-1.66301969588717,1.14335741806442, -0.432784014621825)); #154709=CARTESIAN_POINT('Ctrl Pts',(-1.39628187380744,0.686525198783679, -0.626232213624841)); #154710=CARTESIAN_POINT('Ctrl Pts',(-1.40067018630629,0.689321856010596, -0.625138482640393)); #154711=CARTESIAN_POINT('Ctrl Pts',(-1.40500273006058,0.69216142462295, -0.624000316086945)); #154712=CARTESIAN_POINT('Ctrl Pts',(-1.41353629091467,0.697909245941801, -0.62164578965708)); #154713=CARTESIAN_POINT('Ctrl Pts',(-1.41773544419731,0.700816455386567, -0.620431488810018)); #154714=CARTESIAN_POINT('Ctrl Pts',(-1.42394044661569,0.705228369353389, -0.618555842204475)); #154715=CARTESIAN_POINT('Ctrl Pts',(-1.42598853834109,0.706703884956318, -0.617922941541182)); #154716=CARTESIAN_POINT('Ctrl Pts',(-1.4310622409152,0.710407776690814, -0.616321898849385)); #154717=CARTESIAN_POINT('Ctrl Pts',(-1.43406779306588,0.71264693479358, -0.615344880618083)); #154718=CARTESIAN_POINT('Ctrl Pts',(-1.43803146801524,0.715656571846848, -0.614016632322437)); #154719=CARTESIAN_POINT('Ctrl Pts',(-1.43901597198101,0.716408713546214, -0.613683238649653)); #154720=CARTESIAN_POINT('Ctrl Pts',(-1.44196534221003,0.718678367307743, -0.612676646599171)); #154721=CARTESIAN_POINT('Ctrl Pts',(-1.44392336335484,0.720203540454928, -0.611994306353187)); #154722=CARTESIAN_POINT('Ctrl Pts',(-1.44684521230282,0.722510572671338, -0.610958579771174)); #154723=CARTESIAN_POINT('Ctrl Pts',(-1.44782169484489,0.723286626987979, -0.610609226914283)); #154724=CARTESIAN_POINT('Ctrl Pts',(-1.45174904465903,0.72642736529877, -0.609190489611178)); #154725=CARTESIAN_POINT('Ctrl Pts',(-1.45466920941976,0.728809458428933, -0.608106274810477)); #154726=CARTESIAN_POINT('Ctrl Pts',(-1.45950836898592,0.732834771553995, -0.606261854180524)); #154727=CARTESIAN_POINT('Ctrl Pts',(-1.46144567135653,0.734467730945983, -0.605510278512612)); #154728=CARTESIAN_POINT('Ctrl Pts',(-1.46926455927529,0.741144182457131, -0.602423221676353)); #154729=CARTESIAN_POINT('Ctrl Pts',(-1.47501060406419,0.746256919732125, -0.600035406686304)); #154730=CARTESIAN_POINT('Ctrl Pts',(-1.48444706155703,0.754987868823506, -0.59591888304767)); #154731=CARTESIAN_POINT('Ctrl Pts',(-1.48820888598036,0.758565986719792, -0.59422430467557)); #154732=CARTESIAN_POINT('Ctrl Pts',(-1.49950624965999,0.769602258511803, -0.588974070197994)); #154733=CARTESIAN_POINT('Ctrl Pts',(-1.50680747775639,0.777158151541157, -0.585367372232592)); #154734=CARTESIAN_POINT('Ctrl Pts',(-1.51742991502441,0.78876220273624, -0.579812444193087)); #154735=CARTESIAN_POINT('Ctrl Pts',(-1.52095093782016,0.792722827522863, -0.577918567109634)); #154736=CARTESIAN_POINT('Ctrl Pts',(-1.53145343116913,0.804876281941758, -0.572113318017051)); #154737=CARTESIAN_POINT('Ctrl Pts',(-1.53818384841486,0.813151780887666, -0.568182679351011)); #154738=CARTESIAN_POINT('Ctrl Pts',(-1.54788743402543,0.825797891665493, -0.562224852110611)); #154739=CARTESIAN_POINT('Ctrl Pts',(-1.55108884361048,0.830101944087991, -0.560208390321908)); #154740=CARTESIAN_POINT('Ctrl Pts',(-1.56057396453916,0.843253628318166, -0.55408677155495)); #154741=CARTESIAN_POINT('Ctrl Pts',(-1.56662275489268,0.852205903349458, -0.549983736114597)); #154742=CARTESIAN_POINT('Ctrl Pts',(-1.57529238703967,0.8658985676311,-0.543827067563398)); #154743=CARTESIAN_POINT('Ctrl Pts',(-1.5781325319307,0.870542445489863, -0.541762424180082)); #154744=CARTESIAN_POINT('Ctrl Pts',(-1.5850969437965,0.88233229019112,-0.53658498256827)); #154745=CARTESIAN_POINT('Ctrl Pts',(-1.58911649640808,0.889519806911814, -0.533490387936619)); #154746=CARTESIAN_POINT('Ctrl Pts',(-1.59425037300478,0.89922530771679, -0.529406964568323)); #154747=CARTESIAN_POINT('Ctrl Pts',(-1.59552443922118,0.901681531209534, -0.528382350771755)); #154748=CARTESIAN_POINT('Ctrl Pts',(-1.59867297756403,0.907871171000818, -0.525822256012426)); #154749=CARTESIAN_POINT('Ctrl Pts',(-1.60052106470457,0.91161456214091, -0.524293296375521)); #154750=CARTESIAN_POINT('Ctrl Pts',(-1.60292631613018,0.916640049328148, -0.52227178092206)); #154751=CARTESIAN_POINT('Ctrl Pts',(-1.60352688977438,0.917906991587522, -0.521763595780906)); #154752=CARTESIAN_POINT('Ctrl Pts',(-1.60533478979633,0.921763963021174, -0.52022676065591)); #154753=CARTESIAN_POINT('Ctrl Pts',(-1.60652478691932,0.924359159698715, -0.519203851094214)); #154754=CARTESIAN_POINT('Ctrl Pts',(-1.60828907644038,0.928291312190443, -0.517669671577182)); #154755=CARTESIAN_POINT('Ctrl Pts',(-1.60887916380478,0.929621590476729, -0.517153556276379)); #154756=CARTESIAN_POINT('Ctrl Pts',(-1.61251255907187,0.937907815680286, -0.513957837284405)); #154757=CARTESIAN_POINT('Ctrl Pts',(-1.61541103797526,0.944938781283666, -0.511328925062996)); #154758=CARTESIAN_POINT('Ctrl Pts',(-1.62052016763004,0.958162682928398, -0.506555393907422)); #154759=CARTESIAN_POINT('Ctrl Pts',(-1.62276952505422,0.964337295613197, -0.504395932972766)); #154760=CARTESIAN_POINT('Ctrl Pts',(-1.6298556407472,0.985033327622722, -0.497411383490438)); #154761=CARTESIAN_POINT('Ctrl Pts',(-1.63416378076933,0.999770083404919, -0.492859242979308)); #154762=CARTESIAN_POINT('Ctrl Pts',(-1.64010711421051,1.02424601515698, -0.486121496608793)); #154763=CARTESIAN_POINT('Ctrl Pts',(-1.64214461178229,1.03386968663274, -0.48367889411654)); #154764=CARTESIAN_POINT('Ctrl Pts',(-1.64991860832765,1.07686249724251, -0.473748519509035)); #154765=CARTESIAN_POINT('Ctrl Pts',(-1.6525477021471,1.11104871266517,-0.468587533409944)); #154766=CARTESIAN_POINT('Ctrl Pts',(-1.65154279696224,1.14546272859394, -0.466510322302398)); #154767=CARTESIAN_POINT('Ctrl Pts',(-1.36525279271182,0.73954160264009, -0.646475266494058)); #154768=CARTESIAN_POINT('Ctrl Pts',(-1.36952623236805,0.741885561424118, -0.645533976720712)); #154769=CARTESIAN_POINT('Ctrl Pts',(-1.3737553588279,0.744268474494033, -0.644547166488734)); #154770=CARTESIAN_POINT('Ctrl Pts',(-1.38210603282081,0.749098388395574, -0.642491834802149)); #154771=CARTESIAN_POINT('Ctrl Pts',(-1.38622423008743,0.751544890641647, -0.641424926409807)); #154772=CARTESIAN_POINT('Ctrl Pts',(-1.39232481184185,0.755262937823551, -0.639767218199604)); #154773=CARTESIAN_POINT('Ctrl Pts',(-1.39434122134979,0.756507193271491, -0.639206333606868)); #154774=CARTESIAN_POINT('Ctrl Pts',(-1.39934235591145,0.759632931802669, -0.637783524696461)); #154775=CARTESIAN_POINT('Ctrl Pts',(-1.40230849400062,0.761525155289078, -0.636911537857336)); #154776=CARTESIAN_POINT('Ctrl Pts',(-1.40622907406547,0.764070884411478, -0.635721864759691)); #154777=CARTESIAN_POINT('Ctrl Pts',(-1.40720381301718,0.764707236148497, -0.635422936109674)); #154778=CARTESIAN_POINT('Ctrl Pts',(-1.41012315206808,0.766628903340128, -0.634518930215872)); #154779=CARTESIAN_POINT('Ctrl Pts',(-1.41206529044091,0.767920756584555, -0.633904918046847)); #154780=CARTESIAN_POINT('Ctrl Pts',(-1.41496470238722,0.76987699500487, -0.632970388483447)); #154781=CARTESIAN_POINT('Ctrl Pts',(-1.41593424749354,0.770535310160283, -0.632654803966657)); #154782=CARTESIAN_POINT('Ctrl Pts',(-1.41983709263855,0.773200335085355, -0.631371871036201)); #154783=CARTESIAN_POINT('Ctrl Pts',(-1.42274392473777,0.775224166616465, -0.630388025397096)); #154784=CARTESIAN_POINT('Ctrl Pts',(-1.42756934227768,0.778648391991261, -0.628708790832755)); #154785=CARTESIAN_POINT('Ctrl Pts',(-1.42950345609865,0.780038664153016, -0.628023040220501)); #154786=CARTESIAN_POINT('Ctrl Pts',(-1.43732042744854,0.785727295454118, -0.625200510602994)); #154787=CARTESIAN_POINT('Ctrl Pts',(-1.44308231361761,0.790095769250737, -0.623003082398962)); #154788=CARTESIAN_POINT('Ctrl Pts',(-1.45258414329562,0.797573946434717, -0.619193197445967)); #154789=CARTESIAN_POINT('Ctrl Pts',(-1.45638024846681,0.800644347136654, -0.617618692467071)); #154790=CARTESIAN_POINT('Ctrl Pts',(-1.46781201631079,0.81013054929545, -0.612722818437397)); #154791=CARTESIAN_POINT('Ctrl Pts',(-1.47522664534361,0.816651110269646, -0.609334288620307)); #154792=CARTESIAN_POINT('Ctrl Pts',(-1.48607574954968,0.826698121224994, -0.604082134690268)); #154793=CARTESIAN_POINT('Ctrl Pts',(-1.48967856721688,0.830133946935263, -0.602285513730678)); #154794=CARTESIAN_POINT('Ctrl Pts',(-1.50045171464847,0.840695274275585, -0.59676159634864)); #154795=CARTESIAN_POINT('Ctrl Pts',(-1.50738124304899,0.847914047356945, -0.592998217640567)); #154796=CARTESIAN_POINT('Ctrl Pts',(-1.5174216105802,0.858981657010692, -0.587263157930828)); #154797=CARTESIAN_POINT('Ctrl Pts',(-1.5207404082628,0.862755147398889, -0.585316848630253)); #154798=CARTESIAN_POINT('Ctrl Pts',(-1.53059431060832,0.87430497348894, -0.579393061580826)); #154799=CARTESIAN_POINT('Ctrl Pts',(-1.53689934410305,0.882194429286734, -0.575402463724451)); #154800=CARTESIAN_POINT('Ctrl Pts',(-1.54597748699623,0.894298448952681, -0.569387856325655)); #154801=CARTESIAN_POINT('Ctrl Pts',(-1.5489560421363,0.898410251192729, -0.567366401828925)); #154802=CARTESIAN_POINT('Ctrl Pts',(-1.55627357660047,0.908865080190025, -0.562286910763402)); #154803=CARTESIAN_POINT('Ctrl Pts',(-1.56050731012273,0.915253564307503, -0.559241495565267)); #154804=CARTESIAN_POINT('Ctrl Pts',(-1.56593033913481,0.923898285599427, -0.555212030483364)); #154805=CARTESIAN_POINT('Ctrl Pts',(-1.56727693328515,0.92608767797769, -0.554200070223013)); #154806=CARTESIAN_POINT('Ctrl Pts',(-1.57060768743309,0.931608719022099, -0.551669406002416)); #154807=CARTESIAN_POINT('Ctrl Pts',(-1.57256590115704,0.934951162442785, -0.550155959697488)); #154808=CARTESIAN_POINT('Ctrl Pts',(-1.57511619432876,0.939443092226599, -0.548152730275159)); #154809=CARTESIAN_POINT('Ctrl Pts',(-1.57575407361589,0.940575791187715, -0.54764883847738)); #154810=CARTESIAN_POINT('Ctrl Pts',(-1.5776730642955,0.944025506816177, -0.54612462831396)); #154811=CARTESIAN_POINT('Ctrl Pts',(-1.57893679673023,0.946348302856693, -0.545109369572219)); #154812=CARTESIAN_POINT('Ctrl Pts',(-1.5808128543194,0.949869908448512, -0.54358538586363)); #154813=CARTESIAN_POINT('Ctrl Pts',(-1.58144057720516,0.951061692827951, -0.543072511956399)); #154814=CARTESIAN_POINT('Ctrl Pts',(-1.58530686801804,0.95848774415864, -0.539895697402201)); #154815=CARTESIAN_POINT('Ctrl Pts',(-1.58839688530769,0.964799653219112, -0.537277380548986)); #154816=CARTESIAN_POINT('Ctrl Pts',(-1.59385726806022,0.976689459330942, -0.532515127249314)); #154817=CARTESIAN_POINT('Ctrl Pts',(-1.59626544935422,0.982248572874681, -0.530357811848145)); #154818=CARTESIAN_POINT('Ctrl Pts',(-1.60386677380451,1.00090430226549, -0.523372013527138)); #154819=CARTESIAN_POINT('Ctrl Pts',(-1.60850526689171,1.01422598621336, -0.518807401285946)); #154820=CARTESIAN_POINT('Ctrl Pts',(-1.61493775211251,1.03640003885025, -0.512043649746207)); #154821=CARTESIAN_POINT('Ctrl Pts',(-1.61715006655066,1.04513082223155, -0.50959033218388)); #154822=CARTESIAN_POINT('Ctrl Pts',(-1.62562678546592,1.08417790656572, -0.499616515653852)); #154823=CARTESIAN_POINT('Ctrl Pts',(-1.62858567420737,1.11534543694139, -0.494448576639525)); #154824=CARTESIAN_POINT('Ctrl Pts',(-1.62766281542203,1.14673856852926, -0.492439560651702)); #154825=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,0.76149521248939, -0.646686900918562)); #154826=CARTESIAN_POINT('Ctrl Pts',(-1.35490170702333,0.763652208693413, -0.645885666062558)); #154827=CARTESIAN_POINT('Ctrl Pts',(-1.35903622315451,0.765846581232324, -0.645039789735347)); #154828=CARTESIAN_POINT('Ctrl Pts',(-1.36721228128899,0.770297623418067, -0.643266910232162)); #154829=CARTESIAN_POINT('Ctrl Pts',(-1.3712500510593,0.77255400150324,-0.64234104334135)); #154830=CARTESIAN_POINT('Ctrl Pts',(-1.37724042206939,0.775985768049782, -0.640894833924477)); #154831=CARTESIAN_POINT('Ctrl Pts',(-1.37922197165733,0.777134621773136, -0.640404340160541)); #154832=CARTESIAN_POINT('Ctrl Pts',(-1.38414022889179,0.780021873737391, -0.639156990657247)); #154833=CARTESIAN_POINT('Ctrl Pts',(-1.38705987759807,0.781770982583683, -0.638389457471863)); #154834=CARTESIAN_POINT('Ctrl Pts',(-1.39092380301428,0.784125385960505, -0.637339173690116)); #154835=CARTESIAN_POINT('Ctrl Pts',(-1.39188492352708,0.784713989305155, -0.637075053289986)); #154836=CARTESIAN_POINT('Ctrl Pts',(-1.39476371471429,0.786492129504787, -0.636274946103879)); #154837=CARTESIAN_POINT('Ctrl Pts',(-1.39668083454257,0.787687776717073, -0.635730717757755)); #154838=CARTESIAN_POINT('Ctrl Pts',(-1.39954427741963,0.789499349623339, -0.634900245184326)); #154839=CARTESIAN_POINT('Ctrl Pts',(-1.40050213891347,0.79010911033309, -0.634619515683949)); #154840=CARTESIAN_POINT('Ctrl Pts',(-1.40435970800372,0.792577973235888, -0.633477344114322)); #154841=CARTESIAN_POINT('Ctrl Pts',(-1.40723590198644,0.794454076026997, -0.632598764671654)); #154842=CARTESIAN_POINT('Ctrl Pts',(-1.41201560235301,0.797630447045533, -0.631094874858932)); #154843=CARTESIAN_POINT('Ctrl Pts',(-1.41393280961147,0.79892064907778, -0.630479582862214)); #154844=CARTESIAN_POINT('Ctrl Pts',(-1.42168769683665,0.804201984526933, -0.627942688709785)); #154845=CARTESIAN_POINT('Ctrl Pts',(-1.4274155625251,0.808263525195216, -0.62595624427151)); #154846=CARTESIAN_POINT('Ctrl Pts',(-1.43688382332443,0.815225082122134, -0.622496140603262)); #154847=CARTESIAN_POINT('Ctrl Pts',(-1.44067189429063,0.818086076208029, -0.621061339518856)); #154848=CARTESIAN_POINT('Ctrl Pts',(-1.45209770314868,0.82693293108673, -0.616586741155617)); #154849=CARTESIAN_POINT('Ctrl Pts',(-1.45952780439683,0.833026186740742, -0.613469139962915)); #154850=CARTESIAN_POINT('Ctrl Pts',(-1.47043444979657,0.842430429805001, -0.608612771768677)); #154851=CARTESIAN_POINT('Ctrl Pts',(-1.47406107587147,0.845649535721905, -0.606946689509153)); #154852=CARTESIAN_POINT('Ctrl Pts',(-1.48492146739937,0.855553192337861, -0.601811426586995)); #154853=CARTESIAN_POINT('Ctrl Pts',(-1.49192526811864,0.862335029236421, -0.59829386800866)); #154854=CARTESIAN_POINT('Ctrl Pts',(-1.50210248739155,0.872749712500999, -0.592910358735368)); #154855=CARTESIAN_POINT('Ctrl Pts',(-1.50547080394468,0.87630355931449, -0.591079099636901)); #154856=CARTESIAN_POINT('Ctrl Pts',(-1.51548489364546,0.887190072768829, -0.585493598831619)); #154857=CARTESIAN_POINT('Ctrl Pts',(-1.5219076122352,0.894638869597222, -0.581714003566362)); #154858=CARTESIAN_POINT('Ctrl Pts',(-1.53117972414968,0.906083594140952, -0.575996963666649)); #154859=CARTESIAN_POINT('Ctrl Pts',(-1.53422525122798,0.909974426022767, -0.574071706681404)); #154860=CARTESIAN_POINT('Ctrl Pts',(-1.54171607450259,0.919874486507423, -0.56922550410429)); #154861=CARTESIAN_POINT('Ctrl Pts',(-1.54605733574596,0.925930573336844, -0.566311935149812)); #154862=CARTESIAN_POINT('Ctrl Pts',(-1.5516277464058,0.934133571638941, -0.562447984915144)); #154863=CARTESIAN_POINT('Ctrl Pts',(-1.5530115555663,0.936211805745557, -0.561476769314802)); #154864=CARTESIAN_POINT('Ctrl Pts',(-1.55643626127532,0.941454215921743, -0.559046154194418)); #154865=CARTESIAN_POINT('Ctrl Pts',(-1.55845164075604,0.944629482778764, -0.5575908684418)); #154866=CARTESIAN_POINT('Ctrl Pts',(-1.56107786976328,0.948898794758139, -0.555662429305843)); #154867=CARTESIAN_POINT('Ctrl Pts',(-1.56173524418075,0.949975484477394, -0.555177226789333)); #154868=CARTESIAN_POINT('Ctrl Pts',(-1.56371261072477,0.953255215591412, -0.553708881361106)); #154869=CARTESIAN_POINT('Ctrl Pts',(-1.56501531463372,0.955464256406311, -0.552730083790994)); #154870=CARTESIAN_POINT('Ctrl Pts',(-1.56695058651363,0.958814386104143, -0.551259851181878)); #154871=CARTESIAN_POINT('Ctrl Pts',(-1.56759829754826,0.959948300541098, -0.550764892403829)); #154872=CARTESIAN_POINT('Ctrl Pts',(-1.57158853926059,0.967014889770839, -0.547697914760025)); #154873=CARTESIAN_POINT('Ctrl Pts',(-1.574781758723,0.97302593723927,-0.545165368464225)); #154874=CARTESIAN_POINT('Ctrl Pts',(-1.58043268178941,0.984356962820034, -0.540551923588992)); #154875=CARTESIAN_POINT('Ctrl Pts',(-1.58292775757022,0.98965797755359, -0.538459056078847)); #154876=CARTESIAN_POINT('Ctrl Pts',(-1.59081240215631,1.00745741447937, -0.531673658772272)); #154877=CARTESIAN_POINT('Ctrl Pts',(-1.59563607318272,1.02018352737558, -0.527226345018372)); #154878=CARTESIAN_POINT('Ctrl Pts',(-1.60234447723201,1.04138668834969, -0.520624199799896)); #154879=CARTESIAN_POINT('Ctrl Pts',(-1.60465612256331,1.04973999129585, -0.518226425712616)); #154880=CARTESIAN_POINT('Ctrl Pts',(-1.61353404477691,1.08711760295454, -0.508467953846524)); #154881=CARTESIAN_POINT('Ctrl Pts',(-1.61668646976063,1.11700035316859, -0.503391829384035)); #154882=CARTESIAN_POINT('Ctrl Pts',(-1.61581257797753,1.14709919707738, -0.501423355396271)); #154883=CARTESIAN_POINT('',(-1.35072994010827,0.761495212489389,-0.646686900918565)); #154884=CARTESIAN_POINT('',(-1.61581257797753,1.14709919707738,-0.501423355396272)); #154885=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,0.76149521248939, -0.646686900918562)); #154886=CARTESIAN_POINT('Ctrl Pts',(-1.35490170702333,0.763652208693413, -0.645885666062558)); #154887=CARTESIAN_POINT('Ctrl Pts',(-1.35903622315451,0.765846581232324, -0.645039789735347)); #154888=CARTESIAN_POINT('Ctrl Pts',(-1.36721228128899,0.770297623418067, -0.643266910232162)); #154889=CARTESIAN_POINT('Ctrl Pts',(-1.3712500510593,0.77255400150324,-0.64234104334135)); #154890=CARTESIAN_POINT('Ctrl Pts',(-1.37724042206939,0.775985768049782, -0.640894833924477)); #154891=CARTESIAN_POINT('Ctrl Pts',(-1.37922197165733,0.777134621773136, -0.640404340160541)); #154892=CARTESIAN_POINT('Ctrl Pts',(-1.38414022889179,0.780021873737391, -0.639156990657247)); #154893=CARTESIAN_POINT('Ctrl Pts',(-1.38705987759807,0.781770982583683, -0.638389457471863)); #154894=CARTESIAN_POINT('Ctrl Pts',(-1.39092380301428,0.784125385960505, -0.637339173690116)); #154895=CARTESIAN_POINT('Ctrl Pts',(-1.39188492352708,0.784713989305155, -0.637075053289986)); #154896=CARTESIAN_POINT('Ctrl Pts',(-1.39476371471429,0.786492129504787, -0.636274946103879)); #154897=CARTESIAN_POINT('Ctrl Pts',(-1.39668083454257,0.787687776717073, -0.635730717757755)); #154898=CARTESIAN_POINT('Ctrl Pts',(-1.39954427741963,0.789499349623339, -0.634900245184326)); #154899=CARTESIAN_POINT('Ctrl Pts',(-1.40050213891347,0.79010911033309, -0.634619515683949)); #154900=CARTESIAN_POINT('Ctrl Pts',(-1.40435970800372,0.792577973235888, -0.633477344114322)); #154901=CARTESIAN_POINT('Ctrl Pts',(-1.40723590198644,0.794454076026997, -0.632598764671654)); #154902=CARTESIAN_POINT('Ctrl Pts',(-1.41201560235301,0.797630447045533, -0.631094874858932)); #154903=CARTESIAN_POINT('Ctrl Pts',(-1.41393280961147,0.79892064907778, -0.630479582862214)); #154904=CARTESIAN_POINT('Ctrl Pts',(-1.42168769683665,0.804201984526933, -0.627942688709785)); #154905=CARTESIAN_POINT('Ctrl Pts',(-1.4274155625251,0.808263525195216, -0.62595624427151)); #154906=CARTESIAN_POINT('Ctrl Pts',(-1.43688382332443,0.815225082122134, -0.622496140603262)); #154907=CARTESIAN_POINT('Ctrl Pts',(-1.44067189429063,0.818086076208029, -0.621061339518856)); #154908=CARTESIAN_POINT('Ctrl Pts',(-1.45209770314868,0.82693293108673, -0.616586741155617)); #154909=CARTESIAN_POINT('Ctrl Pts',(-1.45952780439683,0.833026186740742, -0.613469139962915)); #154910=CARTESIAN_POINT('Ctrl Pts',(-1.47043444979657,0.842430429805001, -0.608612771768677)); #154911=CARTESIAN_POINT('Ctrl Pts',(-1.47406107587147,0.845649535721905, -0.606946689509153)); #154912=CARTESIAN_POINT('Ctrl Pts',(-1.48492146739937,0.855553192337861, -0.601811426586995)); #154913=CARTESIAN_POINT('Ctrl Pts',(-1.49192526811864,0.862335029236421, -0.59829386800866)); #154914=CARTESIAN_POINT('Ctrl Pts',(-1.50210248739155,0.872749712500999, -0.592910358735368)); #154915=CARTESIAN_POINT('Ctrl Pts',(-1.50547080394468,0.87630355931449, -0.591079099636901)); #154916=CARTESIAN_POINT('Ctrl Pts',(-1.51548489364546,0.887190072768829, -0.585493598831619)); #154917=CARTESIAN_POINT('Ctrl Pts',(-1.5219076122352,0.894638869597222, -0.581714003566362)); #154918=CARTESIAN_POINT('Ctrl Pts',(-1.53117972414968,0.906083594140952, -0.575996963666649)); #154919=CARTESIAN_POINT('Ctrl Pts',(-1.53422525122798,0.909974426022767, -0.574071706681404)); #154920=CARTESIAN_POINT('Ctrl Pts',(-1.54171607450259,0.919874486507423, -0.56922550410429)); #154921=CARTESIAN_POINT('Ctrl Pts',(-1.54605733574596,0.925930573336844, -0.566311935149812)); #154922=CARTESIAN_POINT('Ctrl Pts',(-1.5516277464058,0.934133571638941, -0.562447984915144)); #154923=CARTESIAN_POINT('Ctrl Pts',(-1.5530115555663,0.936211805745557, -0.561476769314802)); #154924=CARTESIAN_POINT('Ctrl Pts',(-1.55643626127532,0.941454215921743, -0.559046154194418)); #154925=CARTESIAN_POINT('Ctrl Pts',(-1.55845164075604,0.944629482778764, -0.5575908684418)); #154926=CARTESIAN_POINT('Ctrl Pts',(-1.56107786976328,0.948898794758139, -0.555662429305843)); #154927=CARTESIAN_POINT('Ctrl Pts',(-1.56173524418075,0.949975484477394, -0.555177226789333)); #154928=CARTESIAN_POINT('Ctrl Pts',(-1.56371261072477,0.953255215591412, -0.553708881361106)); #154929=CARTESIAN_POINT('Ctrl Pts',(-1.56501531463372,0.955464256406311, -0.552730083790994)); #154930=CARTESIAN_POINT('Ctrl Pts',(-1.56695058651363,0.958814386104143, -0.551259851181878)); #154931=CARTESIAN_POINT('Ctrl Pts',(-1.56759829754826,0.959948300541098, -0.550764892403829)); #154932=CARTESIAN_POINT('Ctrl Pts',(-1.57158853926059,0.967014889770839, -0.547697914760025)); #154933=CARTESIAN_POINT('Ctrl Pts',(-1.574781758723,0.97302593723927,-0.545165368464225)); #154934=CARTESIAN_POINT('Ctrl Pts',(-1.58043268178941,0.984356962820034, -0.540551923588992)); #154935=CARTESIAN_POINT('Ctrl Pts',(-1.58292775757022,0.98965797755359, -0.538459056078847)); #154936=CARTESIAN_POINT('Ctrl Pts',(-1.59081240215631,1.00745741447937, -0.531673658772272)); #154937=CARTESIAN_POINT('Ctrl Pts',(-1.59563607318272,1.02018352737558, -0.527226345018372)); #154938=CARTESIAN_POINT('Ctrl Pts',(-1.60234447723201,1.04138668834969, -0.520624199799896)); #154939=CARTESIAN_POINT('Ctrl Pts',(-1.60465612256331,1.04973999129585, -0.518226425712616)); #154940=CARTESIAN_POINT('Ctrl Pts',(-1.61353404477691,1.08711760295454, -0.508467953846524)); #154941=CARTESIAN_POINT('Ctrl Pts',(-1.61668646976063,1.11700035316859, -0.503391829384035)); #154942=CARTESIAN_POINT('Ctrl Pts',(-1.61581257797753,1.14709919707738, -0.501423355396271)); #154943=CARTESIAN_POINT('Origin',(-1.54031120956423,1.13841656746178,-0.402180444764441)); #154944=CARTESIAN_POINT('Origin',(-1.33263907462482,0.750715445574153,-0.523473601265836)); #154945=CARTESIAN_POINT('Ctrl Pts',(-1.69064176176398,0.0463403813752198, -0.529672982848672)); #154946=CARTESIAN_POINT('Ctrl Pts',(-1.69064176176398,3.23416341526881, -0.250774606056166)); #154947=CARTESIAN_POINT('Ctrl Pts',(-1.6904302755738,0.0463602828707151, -0.529900457983086)); #154948=CARTESIAN_POINT('Ctrl Pts',(-1.6904302755738,3.2341833167643,-0.251002081190581)); #154949=CARTESIAN_POINT('Ctrl Pts',(-1.68954256724549,0.0464438196644572, -0.530855287904762)); #154950=CARTESIAN_POINT('Ctrl Pts',(-1.68954256724549,3.23426685355804, -0.251956911112257)); #154951=CARTESIAN_POINT('Ctrl Pts',(-1.6872982724616,0.0466528758151885, -0.533244810641835)); #154952=CARTESIAN_POINT('Ctrl Pts',(-1.6872982724616,3.23447590970877,-0.25434643384933)); #154953=CARTESIAN_POINT('Ctrl Pts',(-1.68421581749565,0.046935997781124, -0.536480909520539)); #154954=CARTESIAN_POINT('Ctrl Pts',(-1.68421581749565,3.23475903167471, -0.257582532728033)); #154955=CARTESIAN_POINT('Ctrl Pts',(-1.68107827486581,0.0472201531880899, -0.539728820684271)); #154956=CARTESIAN_POINT('Ctrl Pts',(-1.68107827486581,3.23504318708168, -0.260830443891766)); #154957=CARTESIAN_POINT('Ctrl Pts',(-1.67633289263605,0.0476409293050015, -0.544538313708324)); #154958=CARTESIAN_POINT('Ctrl Pts',(-1.67633289263605,3.23546396319859, -0.265639936915819)); #154959=CARTESIAN_POINT('Ctrl Pts',(-1.6718962228014,0.0480260596507228, -0.548940373703299)); #154960=CARTESIAN_POINT('Ctrl Pts',(-1.6718962228014,3.23584909354431,-0.270041996910794)); #154961=CARTESIAN_POINT('Ctrl Pts',(-1.6643152176637,0.0486662906016256, -0.556258246957965)); #154962=CARTESIAN_POINT('Ctrl Pts',(-1.6643152176637,3.23648932449521,-0.27735987016546)); #154963=CARTESIAN_POINT('Ctrl Pts',(-1.65552404687964,0.0493827044497227, -0.564446894712137)); #154964=CARTESIAN_POINT('Ctrl Pts',(-1.65552404687964,3.23720573834331, -0.285548517919632)); #154965=CARTESIAN_POINT('Ctrl Pts',(-1.64190546313056,0.0504298300127157, -0.576415594664705)); #154966=CARTESIAN_POINT('Ctrl Pts',(-1.64190546313056,3.2382528639063,-0.2975172178722)); #154967=CARTESIAN_POINT('Ctrl Pts',(-1.63034450767353,0.0512657121202083, -0.585969770872288)); #154968=CARTESIAN_POINT('Ctrl Pts',(-1.63034450767353,3.23908874601379, -0.307071394079783)); #154969=CARTESIAN_POINT('Ctrl Pts',(-1.61781564443471,0.0521209997922593, -0.595745753697738)); #154970=CARTESIAN_POINT('Ctrl Pts',(-1.61781564443471,3.23994403368584, -0.316847376905233)); #154971=CARTESIAN_POINT('Ctrl Pts',(-1.60899949482762,0.0526975496779124, -0.602335749045905)); #154972=CARTESIAN_POINT('Ctrl Pts',(-1.60899949482762,3.2405205835715,-0.323437372253399)); #154973=CARTESIAN_POINT('Ctrl Pts',(-1.59745454199438,0.0534182244496641, -0.610573099380307)); #154974=CARTESIAN_POINT('Ctrl Pts',(-1.59745454199438,3.24124125834325, -0.331674722587801)); #154975=CARTESIAN_POINT('Ctrl Pts',(-1.58782196777054,0.0539917725932859, -0.617128784660056)); #154976=CARTESIAN_POINT('Ctrl Pts',(-1.58782196777054,3.24181480648687, -0.338230407867551)); #154977=CARTESIAN_POINT('Ctrl Pts',(-1.57722002873924,0.054592027539947, -0.623989730095383)); #154978=CARTESIAN_POINT('Ctrl Pts',(-1.57722002873924,3.24241506143353, -0.345091353302878)); #154979=CARTESIAN_POINT('Ctrl Pts',(-1.57083204256537,0.0549400066991868, -0.627967150085765)); #154980=CARTESIAN_POINT('Ctrl Pts',(-1.57083204256537,3.24276304059277, -0.349068773293259)); #154981=CARTESIAN_POINT('Ctrl Pts',(-1.56565124865946,0.0552148317866533, -0.631108415209618)); #154982=CARTESIAN_POINT('Ctrl Pts',(-1.56565124865946,3.24303786568024, -0.352210038417113)); #154983=CARTESIAN_POINT('Ctrl Pts',(-1.56304209568627,0.0553514018124519, -0.632669417747486)); #154984=CARTESIAN_POINT('Ctrl Pts',(-1.56304209568627,3.24317443570604, -0.35377104095498)); #154985=CARTESIAN_POINT('Ctrl Pts',(-1.55910998116098,0.0555544596457762, -0.634990379402867)); #154986=CARTESIAN_POINT('Ctrl Pts',(-1.55910998116098,3.24337749353936, -0.356092002610362)); #154987=CARTESIAN_POINT('Ctrl Pts',(-1.55508405133532,0.0557586503186565, -0.637324289473625)); #154988=CARTESIAN_POINT('Ctrl Pts',(-1.55508405133532,3.24358168421224, -0.35842591268112)); #154989=CARTESIAN_POINT('Ctrl Pts',(-1.54746946543903,0.0561357660557572, -0.641634742072881)); #154990=CARTESIAN_POINT('Ctrl Pts',(-1.54746946543903,3.24395879994934, -0.362736365280376)); #154991=CARTESIAN_POINT('Ctrl Pts',(-1.53877996599463,0.0565498073375612, -0.646367255579403)); #154992=CARTESIAN_POINT('Ctrl Pts',(-1.53877996599463,3.24437284123115, -0.367468878786897)); #154993=CARTESIAN_POINT('Ctrl Pts',(-1.5250668024275,0.057169239816867, -0.653447401215898)); #154994=CARTESIAN_POINT('Ctrl Pts',(-1.5250668024275,3.24499227371045,-0.374549024423392)); #154995=CARTESIAN_POINT('Ctrl Pts',(-1.51219372518821,0.0577130353583697, -0.659663012697282)); #154996=CARTESIAN_POINT('Ctrl Pts',(-1.51219372518821,3.24553606925196, -0.380764635904776)); #154997=CARTESIAN_POINT('Ctrl Pts',(-1.49534425418347,0.0583731763532742, -0.667208458796237)); #154998=CARTESIAN_POINT('Ctrl Pts',(-1.49534425418347,3.24619621024686, -0.388310082003731)); #154999=CARTESIAN_POINT('Ctrl Pts',(-1.48129589179092,0.0588816019280936, -0.673019789708484)); #155000=CARTESIAN_POINT('Ctrl Pts',(-1.48129589179092,3.24670463582168, -0.394121412915979)); #155001=CARTESIAN_POINT('Ctrl Pts',(-1.46314159926489,0.0594830209136143, -0.67989404016886)); #155002=CARTESIAN_POINT('Ctrl Pts',(-1.46314159926489,3.2473060548072,-0.400995663376355)); #155003=CARTESIAN_POINT('Ctrl Pts',(-1.44822224923203,0.0599325529858208, -0.685032215265949)); #155004=CARTESIAN_POINT('Ctrl Pts',(-1.44822224923203,3.24775558687941, -0.406133838473443)); #155005=CARTESIAN_POINT('Ctrl Pts',(-1.43115760851517,0.060395529735181, -0.690324063726098)); #155006=CARTESIAN_POINT('Ctrl Pts',(-1.43115760851517,3.24821856362877, -0.411425686933593)); #155007=CARTESIAN_POINT('Ctrl Pts',(-1.41967641592218,0.0606788654710176, -0.693562606005952)); #155008=CARTESIAN_POINT('Ctrl Pts',(-1.41967641592218,3.2485018993646,-0.414664229213447)); #155009=CARTESIAN_POINT('Ctrl Pts',(-1.40912796267937,0.0609188191837311, -0.696305289492509)); #155010=CARTESIAN_POINT('Ctrl Pts',(-1.40912796267937,3.24874185307732, -0.417406912700004)); #155011=CARTESIAN_POINT('Ctrl Pts',(-1.40337467863713,0.061042826311567, -0.697722697449588)); #155012=CARTESIAN_POINT('Ctrl Pts',(-1.40337467863713,3.24886586020515, -0.418824320657083)); #155013=CARTESIAN_POINT('Ctrl Pts',(-1.39762459037345,0.061161333124591, -0.699077236520687)); #155014=CARTESIAN_POINT('Ctrl Pts',(-1.39762459037345,3.24898436701818, -0.420178859728182)); #155015=CARTESIAN_POINT('Ctrl Pts',(-1.39380143064916,0.061237435058911, -0.699947085610305)); #155016=CARTESIAN_POINT('Ctrl Pts',(-1.39380143064916,3.2490604689525,-0.4210487088178)); #155017=CARTESIAN_POINT('Ctrl Pts',(-1.38804712658296,0.0613479587972579, -0.701210377720307)); #155018=CARTESIAN_POINT('Ctrl Pts',(-1.38804712658296,3.24917099269084, -0.422312000927801)); #155019=CARTESIAN_POINT('Ctrl Pts',(-1.38219629227866,0.0614549031002897, -0.702432756697443)); #155020=CARTESIAN_POINT('Ctrl Pts',(-1.38219629227866,3.24927793699388, -0.423534379904938)); #155021=CARTESIAN_POINT('Ctrl Pts',(-1.3732825033401,0.0616072331252463, -0.704173896849978)); #155022=CARTESIAN_POINT('Ctrl Pts',(-1.3732825033401,3.24943026701883,-0.425275520057473)); #155023=CARTESIAN_POINT('Ctrl Pts',(-1.36318173847488,0.0617655044961034, -0.705982946896905)); #155024=CARTESIAN_POINT('Ctrl Pts',(-1.36318173847488,3.24958853838969, -0.427084570104399)); #155025=CARTESIAN_POINT('Ctrl Pts',(-1.35491050428802,0.0618791187825852, -0.707281564133732)); #155026=CARTESIAN_POINT('Ctrl Pts',(-1.35491050428802,3.24970215267617, -0.428383187341226)); #155027=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,0.0619324126068714, -0.707890715332737)); #155028=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,3.24975544650046, -0.428992338540232)); #155029=CARTESIAN_POINT('',(-1.35072994010827,2.63448941651983,-0.482821141216142)); #155030=CARTESIAN_POINT('',(-1.35072994010827,0.0619324126068714,-0.707890715332737)); #155031=CARTESIAN_POINT('',(-1.50331538201614,2.37576147050416,-0.460856270082685)); #155032=CARTESIAN_POINT('Ctrl Pts',(-1.50331538201614,2.37576147050418, -0.460856270082688)); #155033=CARTESIAN_POINT('Ctrl Pts',(-1.50205630291897,2.38399430514877, -0.46070263980677)); #155034=CARTESIAN_POINT('Ctrl Pts',(-1.50050643448924,2.39221372200997, -0.460676053803398)); #155035=CARTESIAN_POINT('Ctrl Pts',(-1.49370167034995,2.42249852860255, -0.461015799816444)); #155036=CARTESIAN_POINT('Ctrl Pts',(-1.48667067746597,2.44428451753178, -0.462127146973133)); #155037=CARTESIAN_POINT('Ctrl Pts',(-1.47426901871694,2.47348460635782, -0.464447948752821)); #155038=CARTESIAN_POINT('Ctrl Pts',(-1.47063922018445,2.48132221539211, -0.465152538570469)); #155039=CARTESIAN_POINT('Ctrl Pts',(-1.46005061880366,2.50237088628033, -0.467227034354084)); #155040=CARTESIAN_POINT('Ctrl Pts',(-1.45260270951126,2.51542033862586, -0.468699651322573)); #155041=CARTESIAN_POINT('Ctrl Pts',(-1.44076264134904,2.53380333289817, -0.470926123511769)); #155042=CARTESIAN_POINT('Ctrl Pts',(-1.43697768041473,2.53940090776317, -0.471622016850332)); #155043=CARTESIAN_POINT('Ctrl Pts',(-1.42758111678733,2.55263430918246, -0.473293722208763)); #155044=CARTESIAN_POINT('Ctrl Pts',(-1.42185090897714,2.56021113968893, -0.474268116613306)); #155045=CARTESIAN_POINT('Ctrl Pts',(-1.41394781444294,2.57000692208491, -0.475525592245196)); #155046=CARTESIAN_POINT('Ctrl Pts',(-1.41203051141836,2.57233905257329, -0.475824160943716)); #155047=CARTESIAN_POINT('Ctrl Pts',(-1.40726593082296,2.57802422535639, -0.476548888476484)); #155048=CARTESIAN_POINT('Ctrl Pts',(-1.40438945670241,2.58136282066589, -0.476971801332133)); #155049=CARTESIAN_POINT('Ctrl Pts',(-1.40050517691482,2.58574829017771, -0.477520709829986)); #155050=CARTESIAN_POINT('Ctrl Pts',(-1.3995473020974,2.5868210786068,-0.477654254905372)); #155051=CARTESIAN_POINT('Ctrl Pts',(-1.39669217557112,2.58999070955001, -0.478046977188066)); #155052=CARTESIAN_POINT('Ctrl Pts',(-1.39477497076129,2.59207872914983, -0.478304063391279)); #155053=CARTESIAN_POINT('Ctrl Pts',(-1.39188759213446,2.59517787313012, -0.478679386300912)); #155054=CARTESIAN_POINT('Ctrl Pts',(-1.39092646669347,2.59619852486732, -0.478802730542485)); #155055=CARTESIAN_POINT('Ctrl Pts',(-1.38708268389771,2.60025052387037, -0.479288125731513)); #155056=CARTESIAN_POINT('Ctrl Pts',(-1.38416277404425,2.60325763010267, -0.47963996785725)); #155057=CARTESIAN_POINT('Ctrl Pts',(-1.37923138331341,2.60820502206325, -0.480204531365215)); #155058=CARTESIAN_POINT('Ctrl Pts',(-1.3772497498536,2.61016122552324,-0.480423486933828)); #155059=CARTESIAN_POINT('Ctrl Pts',(-1.37128476256012,2.61595893764522, -0.481057366709162)); #155060=CARTESIAN_POINT('Ctrl Pts',(-1.36724636583221,2.61976306785472, -0.481453881070444)); #155061=CARTESIAN_POINT('Ctrl Pts',(-1.35906711282082,2.62722504376707, -0.482185048129784)); #155062=CARTESIAN_POINT('Ctrl Pts',(-1.35493195760904,2.63088397495661, -0.482519612778931)); #155063=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,2.63448941651982, -0.482821141216139)); #155064=CARTESIAN_POINT('Ctrl Pts',(-1.61581257797753,1.14709919707735, -0.501423355396274)); #155065=CARTESIAN_POINT('Ctrl Pts',(-1.61363379953748,1.2221413401106,-0.496515575316826)); #155066=CARTESIAN_POINT('Ctrl Pts',(-1.61058206487908,1.2972249060869,-0.492246968545642)); #155067=CARTESIAN_POINT('Ctrl Pts',(-1.60458755407252,1.41187154757188, -0.486593212795039)); #155068=CARTESIAN_POINT('Ctrl Pts',(-1.60227955314148,1.4514244878712,-0.484796724038924)); #155069=CARTESIAN_POINT('Ctrl Pts',(-1.59555667786862,1.55573571717657, -0.480427608463442)); #155070=CARTESIAN_POINT('Ctrl Pts',(-1.59075006323758,1.62049178117086, -0.478081412357277)); #155071=CARTESIAN_POINT('Ctrl Pts',(-1.58292412413455,1.713420582196,-0.475140435790197)); #155072=CARTESIAN_POINT('Ctrl Pts',(-1.58043058739242,1.74161090360391, -0.474302124437836)); #155073=CARTESIAN_POINT('Ctrl Pts',(-1.57478368272775,1.80249935330581, -0.472594604285871)); #155074=CARTESIAN_POINT('Ctrl Pts',(-1.57159324628952,1.83519351466856, -0.471739227994078)); #155075=CARTESIAN_POINT('Ctrl Pts',(-1.56759850357306,1.87413660246766, -0.470783649405183)); #155076=CARTESIAN_POINT('Ctrl Pts',(-1.56695083217031,1.88039172085382, -0.470632128178698)); #155077=CARTESIAN_POINT('Ctrl Pts',(-1.56501532854527,1.89891542610879, -0.470188789456788)); #155078=CARTESIAN_POINT('Ctrl Pts',(-1.56371224172932,1.91118324201149, -0.469901255730318)); #155079=CARTESIAN_POINT('Ctrl Pts',(-1.56173515339703,1.92946597583055, -0.469482962864791)); #155080=CARTESIAN_POINT('Ctrl Pts',(-1.56107776888895,1.935481730901,-0.469347662822467)); #155081=CARTESIAN_POINT('Ctrl Pts',(-1.55845138475086,1.95938304667557, -0.468811582602473)); #155082=CARTESIAN_POINT('Ctrl Pts',(-1.5564361511219,1.97726669473981,-0.4684243641745)); #155083=CARTESIAN_POINT('Ctrl Pts',(-1.55301158676344,2.0069727465189,-0.467797302931419)); #155084=CARTESIAN_POINT('Ctrl Pts',(-1.55162782077522,2.01879672922281, -0.46755220931988)); #155085=CARTESIAN_POINT('Ctrl Pts',(-1.5460597944931,2.06563819751752,-0.466599040029974)); #155086=CARTESIAN_POINT('Ctrl Pts',(-1.54171970880525,2.10064554381195, -0.465919482387721)); #155087=CARTESIAN_POINT('Ctrl Pts',(-1.53422735916446,2.15860307854071, -0.464829726283524)); #155088=CARTESIAN_POINT('Ctrl Pts',(-1.53118216956597,2.18156076185376, -0.464405923474225)); #155089=CARTESIAN_POINT('Ctrl Pts',(-1.52191992162344,2.24958722157488, -0.46316510345755)); #155090=CARTESIAN_POINT('Ctrl Pts',(-1.51549995429852,2.29463668056507, -0.462350995019079)); #155091=CARTESIAN_POINT('Ctrl Pts',(-1.50697474613358,2.35169371245417, -0.461303398950448)); #155092=CARTESIAN_POINT('Ctrl Pts',(-1.50515559762846,2.36372871507154, -0.461080809456663)); #155093=CARTESIAN_POINT('Ctrl Pts',(-1.50331538201614,2.37576147050416, -0.460856270082684)); #155094=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #155095=CARTESIAN_POINT('',(-1.09842355218365,2.7754257037807,-0.504015358426049)); #155096=CARTESIAN_POINT('Ctrl Pts',(-1.09842355218365,2.77542570378071, -0.504015358426048)); #155097=CARTESIAN_POINT('Ctrl Pts',(-0.847640717104118,2.82594890591514, -0.529430635524804)); #155098=CARTESIAN_POINT('Ctrl Pts',(-0.591804295399307,2.85932762333557, -0.546486093525365)); #155099=CARTESIAN_POINT('Ctrl Pts',(-0.33467737338451,2.87484865991872, -0.554423209974679)); #155100=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,2.63448941651982, -0.48282114121614)); #155101=CARTESIAN_POINT('Ctrl Pts',(-1.32234770370755,2.66506388804112, -0.484313460185011)); #155102=CARTESIAN_POINT('Ctrl Pts',(-1.24604427500077,2.72784804004472, -0.489712323022029)); #155103=CARTESIAN_POINT('Ctrl Pts',(-1.15308304592608,2.76441389489817, -0.49847595945123)); #155104=CARTESIAN_POINT('Ctrl Pts',(-1.09842355218365,2.7754257037807,-0.504015358426048)); #155105=CARTESIAN_POINT('',(-1.17732368311132,0.692816872733025,-0.676491108266699)); #155106=CARTESIAN_POINT('Ctrl Pts',(-1.17732368311132,0.692816872733025, -0.676491108266698)); #155107=CARTESIAN_POINT('Ctrl Pts',(-1.2391121279854,0.703779071510229, -0.667644837718603)); #155108=CARTESIAN_POINT('Ctrl Pts',(-1.29865155678092,0.727412913835695, -0.657315155063886)); #155109=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,0.761495212489389, -0.646686900918564)); #155110=CARTESIAN_POINT('',(1.17732368311132,0.692816872733026,-0.676491108266699)); #155111=CARTESIAN_POINT('Ctrl Pts',(1.17732368311132,0.692816872733026, -0.676491108266698)); #155112=CARTESIAN_POINT('Ctrl Pts',(0.847029412806755,0.634217704985249, -0.723779439953222)); #155113=CARTESIAN_POINT('Ctrl Pts',(0.057072463681691,0.553950503283577, -0.78919154580313)); #155114=CARTESIAN_POINT('Ctrl Pts',(-0.736931322705224,0.614684649069323, -0.739542217182065)); #155115=CARTESIAN_POINT('Ctrl Pts',(-1.17732368311132,0.692816872733025, -0.676491108266698)); #155116=CARTESIAN_POINT('Ctrl Pts',(1.35072994010826,0.761495212489384, -0.646686900918566)); #155117=CARTESIAN_POINT('Ctrl Pts',(1.29865151550834,0.727412886825169, -0.657315163486873)); #155118=CARTESIAN_POINT('Ctrl Pts',(1.23911217695329,0.70377908019787,-0.667644830707855)); #155119=CARTESIAN_POINT('Ctrl Pts',(1.17732368311133,0.692816872733026, -0.676491108266698)); #155120=CARTESIAN_POINT('',(1.09842355218366,2.7754257037807,-0.504015358426049)); #155121=CARTESIAN_POINT('Ctrl Pts',(1.09842355218366,2.7754257037807,-0.504015358426047)); #155122=CARTESIAN_POINT('Ctrl Pts',(1.13941817246425,2.76716684712409,-0.499860809197592)); #155123=CARTESIAN_POINT('Ctrl Pts',(1.23328041101545,2.73495738847094,-0.490844895886879)); #155124=CARTESIAN_POINT('Ctrl Pts',(1.31288695826485,2.67525537852213,-0.484810899840028)); #155125=CARTESIAN_POINT('Ctrl Pts',(1.35072994010827,2.63448941651983,-0.48282114121614)); #155126=CARTESIAN_POINT('Ctrl Pts',(0.334671437710527,2.87479424436268, -0.554338142996868)); #155127=CARTESIAN_POINT('Ctrl Pts',(0.591777420281498,2.85928004153376, -0.546396435487931)); #155128=CARTESIAN_POINT('Ctrl Pts',(0.847375154179884,2.82600240674305, -0.529457548671808)); #155129=CARTESIAN_POINT('Ctrl Pts',(1.09842355218366,2.7754257037807,-0.504015358426048)); #155130=CARTESIAN_POINT('Ctrl Pts',(-1.76558459386063,0.921893829516127, -0.071877500132678)); #155131=CARTESIAN_POINT('Ctrl Pts',(-1.76558348144823,0.921885519397822, -0.0718628542257528)); #155132=CARTESIAN_POINT('Ctrl Pts',(-1.76558237266937,0.921877208547648, -0.0718482094085671)); #155133=CARTESIAN_POINT('Ctrl Pts',(-1.7653022746791,0.919769234629839, -0.0681342303344249)); #155134=CARTESIAN_POINT('Ctrl Pts',(-1.76526464122462,0.917597297767479, -0.0644554421962972)); #155135=CARTESIAN_POINT('Ctrl Pts',(-1.76548858604124,0.915408077577942, -0.0608149103193904)); #155136=CARTESIAN_POINT('Ctrl Pts',(-1.76558459386063,0.921893829516127, -0.071877500132678)); #155137=CARTESIAN_POINT('Ctrl Pts',(-1.76558396920275,0.921886963962249, -0.0718621231016392)); #155138=CARTESIAN_POINT('Ctrl Pts',(-1.76558335828834,0.921880085794073, -0.0718467498360053)); #155139=CARTESIAN_POINT('Ctrl Pts',(-1.7654278529892,0.920137489941534, -0.0679475886840872)); #155140=CARTESIAN_POINT('Ctrl Pts',(-1.76554348562505,0.918320886700994, -0.0640868863919751)); #155141=CARTESIAN_POINT('Ctrl Pts',(-1.76594587868414,0.916488111140837, -0.0602873968046582)); #155142=CARTESIAN_POINT('Ctrl Pts',(-1.76558459386063,0.921893829516127, -0.071877500132678)); #155143=CARTESIAN_POINT('Ctrl Pts',(-1.76558445654768,0.921888400532682, -0.071861395102972)); #155144=CARTESIAN_POINT('Ctrl Pts',(-1.76558434328932,0.921882970800413, -0.0718452873007682)); #155145=CARTESIAN_POINT('Ctrl Pts',(-1.76555288427065,0.920505715496656, -0.0677609531532417)); #155146=CARTESIAN_POINT('Ctrl Pts',(-1.76575522273892,0.919064386697348, -0.0637122017314675)); #155147=CARTESIAN_POINT('Ctrl Pts',(-1.76620568385026,0.917627961838745, -0.0597117464596716)); #155148=CARTESIAN_POINT('Ctrl Pts',(-1.76558459386063,0.921893829516127, -0.071877500132678)); #155149=CARTESIAN_POINT('Ctrl Pts',(-1.76558495447844,0.92188984118193, -0.0718606635255178)); #155150=CARTESIAN_POINT('Ctrl Pts',(-1.76558531697759,0.921885852440439, -0.0718438279649676)); #155151=CARTESIAN_POINT('Ctrl Pts',(-1.76567782970617,0.920874126224824, -0.0675742068885573)); #155152=CARTESIAN_POINT('Ctrl Pts',(-1.76589796588108,0.91982792388214, -0.0633312579206441)); #155153=CARTESIAN_POINT('Ctrl Pts',(-1.7662543592093,0.91876777628248,-0.0591181865977069)); #155154=CARTESIAN_POINT('',(-1.766254359208,0.91876777628284,-0.0591181866131366)); #155155=CARTESIAN_POINT('Origin',(-1.75448508172643,0.918753760717442,-0.0581261233059165)); #155156=CARTESIAN_POINT('Ctrl Pts',(-1.7662543592093,0.91876777628248,-0.0591181865977069)); #155157=CARTESIAN_POINT('Ctrl Pts',(-1.76589796588108,0.91982792388214, -0.0633312579206441)); #155158=CARTESIAN_POINT('Ctrl Pts',(-1.76567782970617,0.920874126224824, -0.0675742068885573)); #155159=CARTESIAN_POINT('Ctrl Pts',(-1.76558531697759,0.921885852440439, -0.0718438279649676)); #155160=CARTESIAN_POINT('Ctrl Pts',(-1.76558495447844,0.92188984118193, -0.0718606635255178)); #155161=CARTESIAN_POINT('Ctrl Pts',(-1.76558459386063,0.921893829516127, -0.071877500132678)); #155162=CARTESIAN_POINT('Ctrl Pts',(-1.76548858604091,0.915408077581194, -0.0608149103247954)); #155163=CARTESIAN_POINT('Ctrl Pts',(-1.76604359618327,0.909982457896842, -0.0517924549642818)); #155164=CARTESIAN_POINT('Ctrl Pts',(-1.76894252276459,0.905973538997524, -0.0424623575036514)); #155165=CARTESIAN_POINT('Ctrl Pts',(-1.77339681736633,0.901529018236551, -0.0342795118297415)); #155166=CARTESIAN_POINT('Ctrl Pts',(-1.7659458786834,0.916488111143593, -0.060287396810943)); #155167=CARTESIAN_POINT('Ctrl Pts',(-1.76688099980284,0.91196020369286, -0.0511463385027858)); #155168=CARTESIAN_POINT('Ctrl Pts',(-1.77011189193931,0.908500216311537, -0.0417165166903442)); #155169=CARTESIAN_POINT('Ctrl Pts',(-1.77493305875086,0.904712228352325, -0.0335613100053011)); #155170=CARTESIAN_POINT('Ctrl Pts',(-1.76620568384939,0.917627961840919, -0.0597117464669203)); #155171=CARTESIAN_POINT('Ctrl Pts',(-1.76723501678828,0.914085220944375, -0.0503569131988308)); #155172=CARTESIAN_POINT('Ctrl Pts',(-1.77037855236595,0.911316079096965, -0.0405942763125903)); #155173=CARTESIAN_POINT('Ctrl Pts',(-1.77507012890698,0.908302436647229, -0.0318584459881902)); #155174=CARTESIAN_POINT('Ctrl Pts',(-1.76625435920861,0.9187677762841,-0.0591181866059101)); #155175=CARTESIAN_POINT('Ctrl Pts',(-1.76706744015917,0.916159829765001, -0.0495090986141166)); #155176=CARTESIAN_POINT('Ctrl Pts',(-1.76966121686923,0.913855589523766, -0.0392838536290148)); #155177=CARTESIAN_POINT('Ctrl Pts',(-1.77375693616065,0.910961425108313, -0.0298056471017603)); #155178=CARTESIAN_POINT('',(-1.77375693615952,0.910961425108821,-0.0298056471052264)); #155179=CARTESIAN_POINT('Origin',(-1.76411397519717,0.904504294284943,-0.0276104150259702)); #155180=CARTESIAN_POINT('Ctrl Pts',(-1.77375693616065,0.910961425108313, -0.0298056471017603)); #155181=CARTESIAN_POINT('Ctrl Pts',(-1.76966121686923,0.913855589523766, -0.0392838536290148)); #155182=CARTESIAN_POINT('Ctrl Pts',(-1.76706744015917,0.916159829765001, -0.0495090986141166)); #155183=CARTESIAN_POINT('Ctrl Pts',(-1.76625435920861,0.9187677762841,-0.0591181866059101)); #155184=CARTESIAN_POINT('Ctrl Pts',(-1.79136692365902,0.888804881001348, -0.0134146092618822)); #155185=CARTESIAN_POINT('Ctrl Pts',(-1.80297581100832,0.882735138168869, -0.0047154942935148)); #155186=CARTESIAN_POINT('Ctrl Pts',(-1.81686123705975,0.875366811264211, 3.14608582152226E-17)); #155187=CARTESIAN_POINT('Ctrl Pts',(-1.83073158702263,0.86792726439115, 2.59525559398105E-17)); #155188=CARTESIAN_POINT('Ctrl Pts',(-1.79253526418035,0.891448707727427, -0.013225965052276)); #155189=CARTESIAN_POINT('Ctrl Pts',(-1.80424179348524,0.885390833113484, -0.00455468995442189)); #155190=CARTESIAN_POINT('Ctrl Pts',(-1.81821923365904,0.878044810359499, 8.77607608622106E-5)); #155191=CARTESIAN_POINT('Ctrl Pts',(-1.83211978186334,0.870651750169217, 2.59525559398105E-17)); #155192=CARTESIAN_POINT('Ctrl Pts',(-1.79368016015731,0.897109894562907, -0.0109969788805138)); #155193=CARTESIAN_POINT('Ctrl Pts',(-1.80589525080949,0.890972697630277, -0.00205868635579346)); #155194=CARTESIAN_POINT('Ctrl Pts',(-1.82044375315947,0.883546561226005, 0.00266307887747909)); #155195=CARTESIAN_POINT('Ctrl Pts',(-1.83488459196125,0.876077995513118, 0.00250217565929828)); #155196=CARTESIAN_POINT('Ctrl Pts',(-1.79187887217799,0.900628086246565, -0.00623190895124951)); #155197=CARTESIAN_POINT('Ctrl Pts',(-1.80493232688177,0.894167438977946, 0.00341401982987971)); #155198=CARTESIAN_POINT('Ctrl Pts',(-1.82052595205311,0.886320343065028, 0.00860341494439088)); #155199=CARTESIAN_POINT('Ctrl Pts',(-1.83606863551599,0.878401811832618, 0.00854759665485012)); #155200=CARTESIAN_POINT('Ctrl Pts',(-1.79046664885413,0.901394862560545, -0.00382190784399023)); #155201=CARTESIAN_POINT('Ctrl Pts',(-1.80392256700481,0.894734710602723, 0.00618207437515631)); #155202=CARTESIAN_POINT('Ctrl Pts',(-1.82001768727723,0.886640071728511, 0.0116048928156925)); #155203=CARTESIAN_POINT('Ctrl Pts',(-1.83609286285656,0.878449360665749, 0.0116048928156925)); #155204=CARTESIAN_POINT('',(-1.83609286285656,0.878449360665749,0.0116048928156925)); #155205=CARTESIAN_POINT('Origin',(-1.83073158702263,0.86792726439115,0.0118110236)); #155206=CARTESIAN_POINT('',(-1.79046664885621,0.901394862559413,-0.0038219078475467)); #155207=CARTESIAN_POINT('Ctrl Pts',(-1.83609286285656,0.878449360665749, 0.0116048928156925)); #155208=CARTESIAN_POINT('Ctrl Pts',(-1.82001768727723,0.886640071728511, 0.0116048928156925)); #155209=CARTESIAN_POINT('Ctrl Pts',(-1.80392256700481,0.894734710602723, 0.00618207437515631)); #155210=CARTESIAN_POINT('Ctrl Pts',(-1.79046664885413,0.901394862560545, -0.00382190784399023)); #155211=CARTESIAN_POINT('Origin',(-1.78510537302019,0.890872766285945,-0.00361577705968271)); #155212=CARTESIAN_POINT('Origin',(-1.22367465173195,1.17723822221384,0.0118110236)); #155213=CARTESIAN_POINT('',(-1.22903592756588,1.18776031848844,0.0116048928156924)); #155214=CARTESIAN_POINT('Origin',(1.80114719033798,0.57739466179979,0.0118110236)); #155215=CARTESIAN_POINT('Origin',(1.80114719033798,0.57739466179979,0.0116048928156924)); #155216=CARTESIAN_POINT('Origin',(1.92476765910389,0.820013492495251,0.0118110236)); #155217=CARTESIAN_POINT('Origin',(0.258656165364594,1.6689397002506,0.0118110236)); #155218=CARTESIAN_POINT('',(1.83609286285656,0.878449360665754,0.0116048928156924)); #155219=CARTESIAN_POINT('Origin',(1.83073158702262,0.867927264391154,0.0118110236)); #155220=CARTESIAN_POINT('',(0.264017441198533,1.6794617965252,0.0116048928156924)); #155221=CARTESIAN_POINT('Ctrl Pts',(1.79046664886063,0.90139486255733,-0.0038219078391546)); #155222=CARTESIAN_POINT('Ctrl Pts',(1.80392256700997,0.894734710600128, 0.00618207437694479)); #155223=CARTESIAN_POINT('Ctrl Pts',(1.82001768727982,0.886640071727193, 0.0116048928156925)); #155224=CARTESIAN_POINT('Ctrl Pts',(1.83609286285656,0.878449360665753, 0.0116048928156925)); #155225=CARTESIAN_POINT('Ctrl Pts',(1.7918788721843,0.900628086243446,-0.0062319089465865)); #155226=CARTESIAN_POINT('Ctrl Pts',(1.80493232688677,0.89416743897543,0.00341401983159226)); #155227=CARTESIAN_POINT('Ctrl Pts',(1.82052595205562,0.886320343063754, 0.00860341494438187)); #155228=CARTESIAN_POINT('Ctrl Pts',(1.83606863551598,0.878401811832622, 0.00854759665485016)); #155229=CARTESIAN_POINT('Ctrl Pts',(1.7936801601632,0.897109894559944,-0.0109969788761937)); #155230=CARTESIAN_POINT('Ctrl Pts',(1.80589525081416,0.890972697627894, -0.00205868635423158)); #155231=CARTESIAN_POINT('Ctrl Pts',(1.82044375316179,0.883546561224804, 0.0026630788774532)); #155232=CARTESIAN_POINT('Ctrl Pts',(1.83488459196125,0.876077995513122, 0.00250217565929838)); #155233=CARTESIAN_POINT('Ctrl Pts',(1.79253526418601,0.891448707724502, -0.0132259650480828)); #155234=CARTESIAN_POINT('Ctrl Pts',(1.80424179348972,0.885390833111128, -0.00455468995288921)); #155235=CARTESIAN_POINT('Ctrl Pts',(1.81821923366128,0.87804481035831,8.77607608482186E-5)); #155236=CARTESIAN_POINT('Ctrl Pts',(1.83211978186333,0.870651750169221, 2.0875575677021E-16)); #155237=CARTESIAN_POINT('Ctrl Pts',(1.79136692366463,0.888804880998418, -0.013414609257677)); #155238=CARTESIAN_POINT('Ctrl Pts',(1.80297581101277,0.882735138166506, -0.00471549429195869)); #155239=CARTESIAN_POINT('Ctrl Pts',(1.81686123706198,0.875366811263015, 2.46571285308361E-16)); #155240=CARTESIAN_POINT('Ctrl Pts',(1.83073158702262,0.867927264391154, 2.4996409142028E-16)); #155241=CARTESIAN_POINT('',(1.7904666488619,0.901394862556638,-0.00382190784132942)); #155242=CARTESIAN_POINT('Origin',(1.78510537302669,0.89087276628273,-0.00361577705484705)); #155243=CARTESIAN_POINT('Ctrl Pts',(1.79046664886063,0.90139486255733,-0.0038219078391546)); #155244=CARTESIAN_POINT('Ctrl Pts',(1.80392256700997,0.894734710600128, 0.00618207437694479)); #155245=CARTESIAN_POINT('Ctrl Pts',(1.82001768727982,0.886640071727193, 0.0116048928156925)); #155246=CARTESIAN_POINT('Ctrl Pts',(1.83609286285656,0.878449360665753, 0.0116048928156925)); #155247=CARTESIAN_POINT('Ctrl Pts',(-1.7733968173656,0.901529018237283, -0.0342795118310889)); #155248=CARTESIAN_POINT('Ctrl Pts',(-1.77780626359923,0.897129247435653, -0.0261790556948814)); #155249=CARTESIAN_POINT('Ctrl Pts',(-1.78388318810298,0.892717775399084, -0.0190225434284703)); #155250=CARTESIAN_POINT('Ctrl Pts',(-1.79136692365785,0.888804881001958, -0.0134146092627558)); #155251=CARTESIAN_POINT('Ctrl Pts',(-1.77416493805784,0.903120623295117, -0.0339204109188674)); #155252=CARTESIAN_POINT('Ctrl Pts',(-1.77874970171458,0.899043539398448, -0.0258297917879204)); #155253=CARTESIAN_POINT('Ctrl Pts',(-1.78498869910089,0.894962226381977, -0.0187220048173317)); #155254=CARTESIAN_POINT('Ctrl Pts',(-1.79253526417919,0.891448707727219, -0.0132259650529672)); #155255=CARTESIAN_POINT('Ctrl Pts',(-1.77503860313696,0.906514141249098, -0.0327272121467941)); #155256=CARTESIAN_POINT('Ctrl Pts',(-1.77975762292381,0.903151284841939, -0.0243732405431622)); #155257=CARTESIAN_POINT('Ctrl Pts',(-1.7861249677924,0.899806318429207, -0.0169671176864012)); #155258=CARTESIAN_POINT('Ctrl Pts',(-1.79367654469809,0.897107264748744, -0.0109938666844745)); #155259=CARTESIAN_POINT('Ctrl Pts',(-1.77441353253309,0.909631930878258, -0.0308320465464757)); #155260=CARTESIAN_POINT('Ctrl Pts',(-1.77875667007612,0.906705618015325, -0.0218128134175859)); #155261=CARTESIAN_POINT('Ctrl Pts',(-1.78465887408871,0.903576535502289, -0.0134620726843803)); #155262=CARTESIAN_POINT('Ctrl Pts',(-1.79187887217918,0.900628086244697, -0.00623190895432931)); #155263=CARTESIAN_POINT('Ctrl Pts',(-1.77375693615997,0.91096142510879, -0.0298056471033212)); #155264=CARTESIAN_POINT('Ctrl Pts',(-1.77781141742318,0.90809640078449, -0.0204228725425969)); #155265=CARTESIAN_POINT('Ctrl Pts',(-1.7834081987872,0.904839507049431, -0.0115714385086274)); #155266=CARTESIAN_POINT('Ctrl Pts',(-1.79046664885615,0.901394862559443, -0.00382190784770804)); #155267=CARTESIAN_POINT('Ctrl Pts',(-1.79046664885615,0.901394862559443, -0.00382190784770804)); #155268=CARTESIAN_POINT('Ctrl Pts',(-1.7834081987872,0.904839507049431, -0.0115714385086274)); #155269=CARTESIAN_POINT('Ctrl Pts',(-1.77781141742318,0.90809640078449, -0.0204228725425969)); #155270=CARTESIAN_POINT('Ctrl Pts',(-1.77375693615997,0.91096142510879, -0.0298056471033212)); #155271=CARTESIAN_POINT('Ctrl Pts',(1.76625435920876,0.91876777628359,-0.059118186604015)); #155272=CARTESIAN_POINT('Ctrl Pts',(1.76706742759328,0.916159870070895, -0.0495092471222007)); #155273=CARTESIAN_POINT('Ctrl Pts',(1.76966128016868,0.913855544794469, -0.0392837071435888)); #155274=CARTESIAN_POINT('Ctrl Pts',(1.77375693615984,0.910961425108886, -0.0298056471036223)); #155275=CARTESIAN_POINT('Ctrl Pts',(1.76620568384959,0.917627961840224, -0.0597117464650753)); #155276=CARTESIAN_POINT('Ctrl Pts',(1.76723500088027,0.914085275697595, -0.0503570577774421)); #155277=CARTESIAN_POINT('Ctrl Pts',(1.77037862487438,0.911316032521195, -0.0405941413006079)); #155278=CARTESIAN_POINT('Ctrl Pts',(1.77507012890606,0.908302436647827, -0.031858445989908)); #155279=CARTESIAN_POINT('Ctrl Pts',(1.76594587868357,0.916488111142704, -0.0602873968091401)); #155280=CARTESIAN_POINT('Ctrl Pts',(1.76688098535091,0.911960273671883, -0.0511464797775284)); #155281=CARTESIAN_POINT('Ctrl Pts',(1.77011196645057,0.90850015776827,-0.0417163906519201)); #155282=CARTESIAN_POINT('Ctrl Pts',(1.77493305874991,0.904712228353075, -0.0335613100069051)); #155283=CARTESIAN_POINT('Ctrl Pts',(1.76548858604101,0.915408077580128, -0.0608149103230159)); #155284=CARTESIAN_POINT('Ctrl Pts',(1.76604358760596,0.909982541750069, -0.0517925944060167)); #155285=CARTESIAN_POINT('Ctrl Pts',(1.76894259160585,0.90597347030755,-0.0424622310380475)); #155286=CARTESIAN_POINT('Ctrl Pts',(1.77339681736545,0.901529018237429, -0.0342795118313488)); #155287=CARTESIAN_POINT('',(1.77375693615903,0.91096142510925,-0.0298056471061101)); #155288=CARTESIAN_POINT('Origin',(1.76411397519613,0.904504294285864,-0.0276104150278188)); #155289=CARTESIAN_POINT('',(1.76625435920773,0.918767776283876,-0.0591181866162793)); #155290=CARTESIAN_POINT('Origin',(1.75448508172607,0.918753760719633,-0.0581261233100858)); #155291=CARTESIAN_POINT('Ctrl Pts',(1.76625435920876,0.91876777628359,-0.059118186604015)); #155292=CARTESIAN_POINT('Ctrl Pts',(1.76706742759328,0.916159870070895, -0.0495092471222007)); #155293=CARTESIAN_POINT('Ctrl Pts',(1.76966128016868,0.913855544794469, -0.0392837071435888)); #155294=CARTESIAN_POINT('Ctrl Pts',(1.77375693615984,0.910961425108886, -0.0298056471036223)); #155295=CARTESIAN_POINT('Ctrl Pts',(1.76558459386063,0.921893829516132, -0.0718775001326871)); #155296=CARTESIAN_POINT('Ctrl Pts',(1.76558495447836,0.921889841181801, -0.0718606635259073)); #155297=CARTESIAN_POINT('Ctrl Pts',(1.76558531697754,0.92188585244034,-0.071843827965122)); #155298=CARTESIAN_POINT('Ctrl Pts',(1.76567782970825,0.920874126232257, -0.0675742068901543)); #155299=CARTESIAN_POINT('Ctrl Pts',(1.76589796588027,0.919827923885983, -0.0633312579362067)); #155300=CARTESIAN_POINT('Ctrl Pts',(1.76625435920733,0.918767776288312, -0.0591181866208642)); #155301=CARTESIAN_POINT('Ctrl Pts',(1.76558459386063,0.921893829516132, -0.0718775001326871)); #155302=CARTESIAN_POINT('Ctrl Pts',(1.76558445654759,0.921888400532532, -0.0718613951034114)); #155303=CARTESIAN_POINT('Ctrl Pts',(1.76558434328926,0.921882970800279, -0.0718452873009169)); #155304=CARTESIAN_POINT('Ctrl Pts',(1.76555288427296,0.920505715504798, -0.0677609531545043)); #155305=CARTESIAN_POINT('Ctrl Pts',(1.76575522273817,0.919064386702624, -0.0637122017463151)); #155306=CARTESIAN_POINT('Ctrl Pts',(1.76620568384778,0.917627961846645, -0.0597117464816602)); #155307=CARTESIAN_POINT('Ctrl Pts',(1.76558459386063,0.921893829516132, -0.0718775001326871)); #155308=CARTESIAN_POINT('Ctrl Pts',(1.76558396920265,0.921886963962098, -0.0718621231021307)); #155309=CARTESIAN_POINT('Ctrl Pts',(1.76558335828826,0.921880085793881, -0.0718467498361454)); #155310=CARTESIAN_POINT('Ctrl Pts',(1.76542785299366,0.920137489957202, -0.0679475886858202)); #155311=CARTESIAN_POINT('Ctrl Pts',(1.76554348562462,0.918320886707682, -0.0640868864061384)); #155312=CARTESIAN_POINT('Ctrl Pts',(1.76594587868192,0.916488111150916, -0.0602873968255422)); #155313=CARTESIAN_POINT('Ctrl Pts',(1.76558459386063,0.921893829516132, -0.0718775001326871)); #155314=CARTESIAN_POINT('Ctrl Pts',(1.76558348144812,0.92188551939765,-0.0718628542262943)); #155315=CARTESIAN_POINT('Ctrl Pts',(1.76558237266929,0.921877208547423, -0.0718482094087016)); #155316=CARTESIAN_POINT('Ctrl Pts',(1.7653022746838,0.919769234646216,-0.0681342303358239)); #155317=CARTESIAN_POINT('Ctrl Pts',(1.76526464122475,0.917597297775453, -0.0644554422097907)); #155318=CARTESIAN_POINT('Ctrl Pts',(1.76548858604,0.915408077589981,-0.0608149103394008)); #155319=CARTESIAN_POINT('Ctrl Pts',(1.76558459386063,0.921893829516132, -0.0718775001326871)); #155320=CARTESIAN_POINT('Ctrl Pts',(1.76558495447836,0.921889841181801, -0.0718606635259073)); #155321=CARTESIAN_POINT('Ctrl Pts',(1.76558531697754,0.92188585244034,-0.071843827965122)); #155322=CARTESIAN_POINT('Ctrl Pts',(1.76567782970825,0.920874126232257, -0.0675742068901543)); #155323=CARTESIAN_POINT('Ctrl Pts',(1.76589796588027,0.919827923885983, -0.0633312579362067)); #155324=CARTESIAN_POINT('Ctrl Pts',(1.76625435920733,0.918767776288312, -0.0591181866208642)); #155325=CARTESIAN_POINT('Ctrl Pts',(1.79136692366391,0.888804880998791, -0.0134146092582104)); #155326=CARTESIAN_POINT('Ctrl Pts',(1.78388318810588,0.892717775396868, -0.0190225434248915)); #155327=CARTESIAN_POINT('Ctrl Pts',(1.7778062635998,0.897129247434909,-0.0261790556934174)); #155328=CARTESIAN_POINT('Ctrl Pts',(1.77339681736493,0.901529018237954, -0.0342795118323162)); #155329=CARTESIAN_POINT('Ctrl Pts',(1.7925352641853,0.891448707724374,-0.0132259650485047)); #155330=CARTESIAN_POINT('Ctrl Pts',(1.78498869910388,0.894962226379916, -0.0187220048137755)); #155331=CARTESIAN_POINT('Ctrl Pts',(1.77874970171518,0.899043539397757, -0.0258297917864528)); #155332=CARTESIAN_POINT('Ctrl Pts',(1.77416493805714,0.903120623295739, -0.0339204109200934)); #155333=CARTESIAN_POINT('Ctrl Pts',(1.79367654470421,0.897107264746562, -0.0109938666796343)); #155334=CARTESIAN_POINT('Ctrl Pts',(1.78612496779547,0.899806318427505, -0.0169671176827151)); #155335=CARTESIAN_POINT('Ctrl Pts',(1.77975762292444,0.903151284841367, -0.0243732405416547)); #155336=CARTESIAN_POINT('Ctrl Pts',(1.77503860313624,0.906514141249612, -0.0327272121480597)); #155337=CARTESIAN_POINT('Ctrl Pts',(1.79187887218502,0.900628086242303, -0.00623190894846859)); #155338=CARTESIAN_POINT('Ctrl Pts',(1.78465887409154,0.903576535500729, -0.0134620726802413)); #155339=CARTESIAN_POINT('Ctrl Pts',(1.77875667007669,0.906705618014848, -0.0218128134159917)); #155340=CARTESIAN_POINT('Ctrl Pts',(1.77441353253243,0.909631930878706, -0.0308320465478423)); #155341=CARTESIAN_POINT('Ctrl Pts',(1.79046664886186,0.901394862556655, -0.00382190784142668)); #155342=CARTESIAN_POINT('Ctrl Pts',(1.78340819878987,0.90483950704783,-0.0115714385042312)); #155343=CARTESIAN_POINT('Ctrl Pts',(1.77781141742371,0.908096400784044, -0.0204228725409531)); #155344=CARTESIAN_POINT('Ctrl Pts',(1.77375693615935,0.910961425109228, -0.0298056471047427)); #155345=CARTESIAN_POINT('Ctrl Pts',(1.77375693615935,0.910961425109228, -0.0298056471047427)); #155346=CARTESIAN_POINT('Ctrl Pts',(1.77781141742371,0.908096400784044, -0.0204228725409531)); #155347=CARTESIAN_POINT('Ctrl Pts',(1.78340819878987,0.90483950704783,-0.0115714385042312)); #155348=CARTESIAN_POINT('Ctrl Pts',(1.79046664886186,0.901394862556655, -0.00382190784142668)); #155349=CARTESIAN_POINT('Ctrl Pts',(-1.81714026449898,0.888367325597442, 0.0249346548099142)); #155350=CARTESIAN_POINT('Ctrl Pts',(-1.79909023000741,0.897300948579256, 0.0114928361359777)); #155351=CARTESIAN_POINT('Ctrl Pts',(-1.78487205090445,0.904154026584022, -0.00848881530768398)); #155352=CARTESIAN_POINT('Ctrl Pts',(-1.77738209624159,0.907519754354152, -0.031489752871659)); #155353=CARTESIAN_POINT('Ctrl Pts',(-1.81581428159705,0.889087202176946, 0.027193660366105)); #155354=CARTESIAN_POINT('Ctrl Pts',(-1.79736345479293,0.898219752447596, 0.013392837723566)); #155355=CARTESIAN_POINT('Ctrl Pts',(-1.78284343703116,0.905215373539283, -0.00706750733604881)); #155356=CARTESIAN_POINT('Ctrl Pts',(-1.77518202389631,0.908658045242122, -0.0306067619363195)); #155357=CARTESIAN_POINT('Ctrl Pts',(-1.81444096485929,0.892162717155959, 0.0310818176943448)); #155358=CARTESIAN_POINT('Ctrl Pts',(-1.79525773310126,0.901566130702717, 0.0166656984905448)); #155359=CARTESIAN_POINT('Ctrl Pts',(-1.780186936489,0.908771555089268,-0.00463432074831325)); #155360=CARTESIAN_POINT('Ctrl Pts',(-1.77222564146934,0.912341847867817, -0.0291050037125525)); #155361=CARTESIAN_POINT('Ctrl Pts',(-1.81542327012028,0.896740577874767, 0.0328052628950955)); #155362=CARTESIAN_POINT('Ctrl Pts',(-1.79581581247168,0.906205489822362, 0.0181779278851176)); #155363=CARTESIAN_POINT('Ctrl Pts',(-1.78039901962133,0.913478863495391, -0.00349744334478205)); #155364=CARTESIAN_POINT('Ctrl Pts',(-1.77228084632425,0.917108180353062, -0.0284255228999399)); #155365=CARTESIAN_POINT('Ctrl Pts',(-1.81619511821428,0.898614499261164, 0.0330142392630042)); #155366=CARTESIAN_POINT('Ctrl Pts',(-1.79649946626568,0.908075067800859, 0.0183935583662992)); #155367=CARTESIAN_POINT('Ctrl Pts',(-1.78099525786709,0.915356432097226, -0.00333233184877045)); #155368=CARTESIAN_POINT('Ctrl Pts',(-1.77284809195828,0.918994966613373, -0.0283341455452035)); #155369=CARTESIAN_POINT('',(-1.81714026449898,0.888367325597442,0.0249346548099143)); #155370=CARTESIAN_POINT('Ctrl Pts',(-1.79049824996533,0.901378262636999, -0.00384734664433828)); #155371=CARTESIAN_POINT('Ctrl Pts',(-1.79776853595999,0.897895052924497, 0.0074432554711954)); #155372=CARTESIAN_POINT('Ctrl Pts',(-1.80682918210828,0.893470657818552, 0.0172560157528753)); #155373=CARTESIAN_POINT('Ctrl Pts',(-1.81714026449898,0.888367325597442, 0.0249346548099142)); #155374=CARTESIAN_POINT('',(-1.81619511821428,0.898614499261164,0.0330142392630041)); #155375=CARTESIAN_POINT('Origin',(-1.82167947804685,0.897276033793232,0.0247601307455414)); #155376=CARTESIAN_POINT('',(-1.77284809195828,0.918994966613373,-0.0283341455452035)); #155377=CARTESIAN_POINT('Ctrl Pts',(-1.81619511821428,0.898614499261164, 0.0330142392630042)); #155378=CARTESIAN_POINT('Ctrl Pts',(-1.79649946626568,0.908075067800859, 0.0183935583662992)); #155379=CARTESIAN_POINT('Ctrl Pts',(-1.78099525786709,0.915356432097226, -0.00333233184877045)); #155380=CARTESIAN_POINT('Ctrl Pts',(-1.77284809195828,0.918994966613373, -0.0283341455452035)); #155381=CARTESIAN_POINT('Origin',(-1.78192130978947,0.916428462549943,-0.0316642769360318)); #155382=CARTESIAN_POINT('Origin',(-1.9300369727347,0.830355102783877,-5.46369598732853E-18)); #155383=CARTESIAN_POINT('Ctrl Pts',(-1.81714026449898,0.888367325597442, 0.0249346548099143)); #155384=CARTESIAN_POINT('Ctrl Pts',(-1.854603590341,0.869825353897953,0.0528335063675793)); #155385=CARTESIAN_POINT('Ctrl Pts',(-1.89220945022478,0.851207423587268, 0.0805621771036188)); #155386=CARTESIAN_POINT('Ctrl Pts',(-1.93087922469294,0.832008115325541, 0.106285445184086)); #155387=CARTESIAN_POINT('Origin',(0.,1.705,0.)); #155388=CARTESIAN_POINT('Ctrl Pts',(-2.04466403748585,0.424941326359666, 0.194666771372828)); #155389=CARTESIAN_POINT('Ctrl Pts',(-1.94871123097635,0.271606053729629, 0.196730398991148)); #155390=CARTESIAN_POINT('Ctrl Pts',(-1.83420964595558,0.127502982089179, 0.197971214500774)); #155391=CARTESIAN_POINT('Ctrl Pts',(-1.70504299607304,-0.00156415701654243, 0.198604388287614)); #155392=CARTESIAN_POINT('Origin',(1.80114719033798,0.57739466179979,0.)); #155393=CARTESIAN_POINT('',(2.06075796613922,0.700626283856525,0.198785326447227)); #155394=CARTESIAN_POINT('Ctrl Pts',(2.06075796613922,0.700626283856525, 0.198785326447227)); #155395=CARTESIAN_POINT('Ctrl Pts',(2.05175499554049,0.719284479250819, 0.191212059562467)); #155396=CARTESIAN_POINT('Ctrl Pts',(2.04083674704185,0.736697087264468, 0.182760760966898)); #155397=CARTESIAN_POINT('Ctrl Pts',(2.02842224195463,0.752544758755138, 0.173619017583312)); #155398=CARTESIAN_POINT('',(2.07177377357706,0.480946257801107,0.194523981373715)); #155399=CARTESIAN_POINT('Ctrl Pts',(2.07177377357706,0.480946257801107, 0.194523981373714)); #155400=CARTESIAN_POINT('Ctrl Pts',(2.08253633353219,0.511132921203209, 0.194759147823245)); #155401=CARTESIAN_POINT('Ctrl Pts',(2.09592534056914,0.586546254738923, 0.196051551052469)); #155402=CARTESIAN_POINT('Ctrl Pts',(2.0790830660951,0.661995606685737,0.198161819457041)); #155403=CARTESIAN_POINT('Ctrl Pts',(2.06075796613922,0.700626283856525, 0.198785326447227)); #155404=CARTESIAN_POINT('Ctrl Pts',(2.04466403748585,0.424941326359666, 0.194666771372827)); #155405=CARTESIAN_POINT('Ctrl Pts',(2.05566540624357,0.442522059495214, 0.194422942457584)); #155406=CARTESIAN_POINT('Ctrl Pts',(2.06480872687093,0.461410801425056, 0.194371792181596)); #155407=CARTESIAN_POINT('Ctrl Pts',(2.07177377357706,0.480946257801107, 0.194523981373715)); #155408=CARTESIAN_POINT('Origin',(-3.21589731004165E-16,1.81375805885739, 0.)); #155409=CARTESIAN_POINT('',(1.81714026444492,0.888367325624197,0.0249346547696639)); #155410=CARTESIAN_POINT('Ctrl Pts',(1.81710182316829,0.888388570993253, 0.0250003947252187)); #155411=CARTESIAN_POINT('Ctrl Pts',(1.80682412085084,0.893475382990896, 0.0173456144495202)); #155412=CARTESIAN_POINT('Ctrl Pts',(1.79774679908259,0.897907091900715, 0.00747306738452429)); #155413=CARTESIAN_POINT('Ctrl Pts',(1.79046679888988,0.901395152151073, -0.00382191510833803)); #155414=CARTESIAN_POINT('Ctrl Pts',(1.93087922469294,0.832008115325542, 0.106285445184091)); #155415=CARTESIAN_POINT('Ctrl Pts',(1.89220945020619,0.851207423596502, 0.080562177091254)); #155416=CARTESIAN_POINT('Ctrl Pts',(1.85460359030486,0.869825353915841, 0.0528335063409001)); #155417=CARTESIAN_POINT('Ctrl Pts',(1.81714026444492,0.888367325624197, 0.0249346547696637)); #155418=CARTESIAN_POINT('Ctrl Pts',(1.77738209624159,0.907519754354156, -0.0314897528716605)); #155419=CARTESIAN_POINT('Ctrl Pts',(1.78479631695374,0.904188058814193, -0.00872138705878597)); #155420=CARTESIAN_POINT('Ctrl Pts',(1.79912276924259,0.897284843719987, 0.0115170680113352)); #155421=CARTESIAN_POINT('Ctrl Pts',(1.81714026444729,0.888367325623025, 0.0249346547714281)); #155422=CARTESIAN_POINT('Ctrl Pts',(1.7751820238963,0.908658045242126,-0.0306067619363209)); #155423=CARTESIAN_POINT('Ctrl Pts',(1.78276596939473,0.905250183780573, -0.00730552222769354)); #155424=CARTESIAN_POINT('Ctrl Pts',(1.79739671654616,0.898203288977248, 0.0134177167837179)); #155425=CARTESIAN_POINT('Ctrl Pts',(1.81581428154422,0.889087202203093, 0.0271936603265955)); #155426=CARTESIAN_POINT('Ctrl Pts',(1.77222564146933,0.91234184786782,-0.0291050037125539)); #155427=CARTESIAN_POINT('Ctrl Pts',(1.78010643662595,0.908807655758696, -0.00488175368759297)); #155428=CARTESIAN_POINT('Ctrl Pts',(1.79529231517873,0.901549178940558, 0.0166916867600781)); #155429=CARTESIAN_POINT('Ctrl Pts',(1.81444096480436,0.892162717182888, 0.0310818176530677)); #155430=CARTESIAN_POINT('Ctrl Pts',(1.77228084632424,0.917108180353067, -0.0284255228999414)); #155431=CARTESIAN_POINT('Ctrl Pts',(1.78031693349878,0.913515560981076, -0.00374950120536346)); #155432=CARTESIAN_POINT('Ctrl Pts',(1.79585115931143,0.906188427195581, 0.0182042969181303)); #155433=CARTESIAN_POINT('Ctrl Pts',(1.81542327006413,0.89674057790187,0.032805262853218)); #155434=CARTESIAN_POINT('Ctrl Pts',(1.77284809195827,0.918994966613377, -0.0283341455452051)); #155435=CARTESIAN_POINT('Ctrl Pts',(1.78091287858856,0.915393222786371, -0.00358513526497561)); #155436=CARTESIAN_POINT('Ctrl Pts',(1.7965349720954,0.908058013004066,0.0184199154036887)); #155437=CARTESIAN_POINT('Ctrl Pts',(1.81619511815789,0.898614499288255, 0.0330142392211429)); #155438=CARTESIAN_POINT('',(1.77284809195827,0.918994966613377,-0.0283341455452051)); #155439=CARTESIAN_POINT('Origin',(1.78192130978946,0.916428462549947,-0.0316642769360333)); #155440=CARTESIAN_POINT('',(1.8161951182392,0.898614499478166,0.0330142392443783)); #155441=CARTESIAN_POINT('Ctrl Pts',(1.77284809195827,0.918994966613377, -0.0283341455452051)); #155442=CARTESIAN_POINT('Ctrl Pts',(1.78091287858856,0.915393222786371, -0.00358513526497561)); #155443=CARTESIAN_POINT('Ctrl Pts',(1.7965349720954,0.908058013004066,0.0184199154036887)); #155444=CARTESIAN_POINT('Ctrl Pts',(1.81619511815789,0.898614499288255, 0.0330142392211429)); #155445=CARTESIAN_POINT('Origin',(1.8216794779928,0.897276033819988,0.024760130705291)); #155446=CARTESIAN_POINT('Ctrl Pts',(1.77284809195827,0.918994966613377, -0.028334145545205)); #155447=CARTESIAN_POINT('Ctrl Pts',(1.76962802647799,0.9204330518967,-0.038215799868853)); #155448=CARTESIAN_POINT('Ctrl Pts',(1.76753334679869,0.921313273987307, -0.0486358355725645)); #155449=CARTESIAN_POINT('Ctrl Pts',(1.76666306115841,0.921594629449812, -0.0590865761230398)); #155450=CARTESIAN_POINT('Ctrl Pts',(1.77203624977901,0.91629459735977,-0.0284649248520065)); #155451=CARTESIAN_POINT('Ctrl Pts',(1.76883244678047,0.917727554096443, -0.0383053772440409)); #155452=CARTESIAN_POINT('Ctrl Pts',(1.76674259732476,0.918601889783889, -0.0486761235878953)); #155453=CARTESIAN_POINT('Ctrl Pts',(1.7658630894062,0.918877765008185,-0.0590826237972568)); #155454=CARTESIAN_POINT('Ctrl Pts',(1.77237584734641,0.913292794462816, -0.0290147642539436)); #155455=CARTESIAN_POINT('Ctrl Pts',(1.76921983621047,0.91470725934696,-0.0387129208138811)); #155456=CARTESIAN_POINT('Ctrl Pts',(1.76715752867418,0.915564747877766, -0.0489333913580982)); #155457=CARTESIAN_POINT('Ctrl Pts',(1.76628388443713,0.915826065298925, -0.0591894332393746)); #155458=CARTESIAN_POINT('Ctrl Pts',(1.77375693615822,0.910961425109613, -0.0298056471085984)); #155459=CARTESIAN_POINT('Ctrl Pts',(1.77066480793599,0.912349000298499, -0.03930692829563)); #155460=CARTESIAN_POINT('Ctrl Pts',(1.76864370270397,0.913185982860675, -0.0493237525829941)); #155461=CARTESIAN_POINT('Ctrl Pts',(1.76778838930655,0.913433497948432, -0.0593722155980077)); #155462=CARTESIAN_POINT('',(1.76666306115841,0.921594629449812,-0.0590865761230398)); #155463=CARTESIAN_POINT('Origin',(1.77621901416079,0.918779642794959,-0.0599581335505164)); #155464=CARTESIAN_POINT('Ctrl Pts',(1.76666306115841,0.921594629449812, -0.0590865761230398)); #155465=CARTESIAN_POINT('Ctrl Pts',(1.76753334679869,0.921313273987307, -0.0486358355725645)); #155466=CARTESIAN_POINT('Ctrl Pts',(1.76962802647799,0.9204330518967,-0.038215799868853)); #155467=CARTESIAN_POINT('Ctrl Pts',(1.77284809195827,0.918994966613377, -0.028334145545205)); #155468=CARTESIAN_POINT('Ctrl Pts',(1.76666306115841,0.921594629449812, -0.0590865761230398)); #155469=CARTESIAN_POINT('Ctrl Pts',(1.76630357208921,0.921694362651142, -0.0633502174664684)); #155470=CARTESIAN_POINT('Ctrl Pts',(1.76594408298995,0.921794096006357, -0.0676138588035015)); #155471=CARTESIAN_POINT('Ctrl Pts',(1.76558459386051,0.921893829516165, -0.0718775001341098)); #155472=CARTESIAN_POINT('Ctrl Pts',(1.76639320018584,0.920678127487669, -0.0590852428528628)); #155473=CARTESIAN_POINT('Ctrl Pts',(1.76603370204582,0.920777857477598, -0.0633488835085585)); #155474=CARTESIAN_POINT('Ctrl Pts',(1.76567420387502,0.920877587609843, -0.0676125241580788)); #155475=CARTESIAN_POINT('Ctrl Pts',(1.76531470564671,0.920977317898658, -0.0718761647988221)); #155476=CARTESIAN_POINT('Ctrl Pts',(1.76625509832197,0.919722923605153, -0.0590959241567071)); #155477=CARTESIAN_POINT('Ctrl Pts',(1.76589559236532,0.919822648840171, -0.0633595642680887)); #155478=CARTESIAN_POINT('Ctrl Pts',(1.76553608636612,0.919922374211085, -0.0676232043724606)); #155479=CARTESIAN_POINT('Ctrl Pts',(1.76517658029696,0.920022099730581, -0.0718868444672006)); #155480=CARTESIAN_POINT('Ctrl Pts',(1.76625435920669,0.918767776284163, -0.0591181866285436)); #155481=CARTESIAN_POINT('Ctrl Pts',(1.76589484707049,0.918867495866854, -0.0633818263560656)); #155482=CARTESIAN_POINT('Ctrl Pts',(1.76553533486859,0.918967215582863, -0.0676454660746912)); #155483=CARTESIAN_POINT('Ctrl Pts',(1.76517582260068,0.919066935432804, -0.0719091057843795)); #155484=CARTESIAN_POINT('Ctrl Pts',(1.76558459386051,0.921893829516165, -0.0718775001341098)); #155485=CARTESIAN_POINT('Ctrl Pts',(1.76594408298995,0.921794096006357, -0.0676138588035015)); #155486=CARTESIAN_POINT('Ctrl Pts',(1.76630357208921,0.921694362651142, -0.0633502174664684)); #155487=CARTESIAN_POINT('Ctrl Pts',(1.76666306115841,0.921594629449812, -0.0590865761230398)); #155488=CARTESIAN_POINT('Origin',(-4.19611851826831E-15,1.3923,-0.00785394185582615)); #155489=CARTESIAN_POINT('',(-2.64878608075262,0.228124901713782,-0.00645881238094366)); #155490=CARTESIAN_POINT('Origin',(-2.63052109376871,0.23615259529451,-0.00785394185582615)); #155491=CARTESIAN_POINT('',(2.64878608075261,0.228124901713778,-0.00645881238094363)); #155492=CARTESIAN_POINT('Origin',(-4.10862857510182E-15,1.3923,-0.00645881238094365)); #155493=CARTESIAN_POINT('Origin',(2.6305210937687,0.236152595294508,-0.00785394185582615)); #155494=CARTESIAN_POINT('Origin',(1.46324676041668,0.74918447870385,-0.00785394185582755)); #155495=CARTESIAN_POINT('',(2.75495024041881,0.841426999192103,-0.0064588123809451)); #155496=CARTESIAN_POINT('Origin',(2.73504963750788,0.840005866710724,-0.00785394185582755)); #155497=CARTESIAN_POINT('Origin',(1.46324676041668,0.74918447870385,-0.00645881238094502)); #155498=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999971,-0.00785394185582632)); #155499=CARTESIAN_POINT('',(2.34569980367471,2.92787333064005,-0.00645881238094367)); #155500=CARTESIAN_POINT('Origin',(2.32673692048483,2.92167150229065,-0.00785394185582632)); #155501=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999971,-0.00645881238094366)); #155502=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0078539418558286)); #155503=CARTESIAN_POINT('',(1.46459254126686,3.81155261405403,-0.00645881238094608)); #155504=CARTESIAN_POINT('Origin',(1.45833546591554,3.79260788861932,-0.0078539418558286)); #155505=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.00645881238094608)); #155506=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.00785394185582755)); #155507=CARTESIAN_POINT('',(-1.46459254126686,3.81155261405403,-0.00645881238094497)); #155508=CARTESIAN_POINT('Origin',(-5.76983849384387E-15,-0.622835979680532, -0.00645881238094498)); #155509=CARTESIAN_POINT('Origin',(-1.45833546591555,3.79260788861932,-0.00785394185582755)); #155510=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,-0.00785394185582755)); #155511=CARTESIAN_POINT('',(-2.34569980367472,2.92787333064005,-0.00645881238094501)); #155512=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,-0.00645881238094502)); #155513=CARTESIAN_POINT('Origin',(-2.32673692048484,2.92167150229065,-0.00785394185582755)); #155514=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.00785394185582755)); #155515=CARTESIAN_POINT('',(-2.75495024041882,0.841426999192107,-0.0064588123809449)); #155516=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999962,-0.00645881238094497)); #155517=CARTESIAN_POINT('Origin',(-2.73504963750789,0.840005866710728,-0.00785394185582755)); #155518=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.00785394185582702)); #155519=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.00645881238094448)); #155520=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.04)); #155521=CARTESIAN_POINT('',(2.34527701020655,2.92773505564829,-9.74379896069151E-5)); #155522=CARTESIAN_POINT('',(1.46445303449661,3.81113022542832,-9.74379896070462E-5)); #155523=CARTESIAN_POINT('Origin',(-5.93030000000021,0.221199999999972,-0.04)); #155524=CARTESIAN_POINT('',(2.75450653969888,0.841395313845286,-9.74379896070462E-5)); #155525=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.04)); #155526=CARTESIAN_POINT('',(2.64837884746874,0.22830388591024,-9.74379896070244E-5)); #155527=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,1.3923,-0.04)); #155528=CARTESIAN_POINT('',(-2.64837884746875,0.228303885910244,-9.74379896070462E-5)); #155529=CARTESIAN_POINT('Origin',(-6.11933950580795E-15,-0.622835979680532, -0.04)); #155530=CARTESIAN_POINT('',(-1.46445303449662,3.81113022542832,-9.74379896070462E-5)); #155531=CARTESIAN_POINT('Origin',(-1.03068431571141,2.49779630851777,-0.04)); #155532=CARTESIAN_POINT('',(-2.34527701020655,2.9277350556483,-9.74379896070025E-5)); #155533=CARTESIAN_POINT('Origin',(5.9303000000002,0.221199999999963,-0.04)); #155534=CARTESIAN_POINT('',(-2.75450653969889,0.84139531384529,-9.74379896070462E-5)); #155535=CARTESIAN_POINT('Origin',(-1.46324676041669,0.749184478703853,-0.04)); #155536=CARTESIAN_POINT('Origin',(-0.1202657485,0.25842819,-0.879056043809987)); #155537=CARTESIAN_POINT('',(-0.268039370449964,0.24842819,-0.879056043809987)); #155538=CARTESIAN_POINT('Origin',(-0.268039370449964,0.25842819,-0.879056043809987)); #155539=CARTESIAN_POINT('',(-0.1202657485,0.24842819,-0.879056043809987)); #155540=CARTESIAN_POINT('Ctrl Pts',(-0.268039370457978,0.258471823092865, -0.869056139002779)); #155541=CARTESIAN_POINT('Ctrl Pts',(-0.269830821054588,0.257955555622789, -0.869053886346698)); #155542=CARTESIAN_POINT('Ctrl Pts',(-0.27164875055511,0.257392407583887, -0.868708525554689)); #155543=CARTESIAN_POINT('Ctrl Pts',(-0.273338051728707,0.256832981032006, -0.868050455724843)); #155544=CARTESIAN_POINT('Ctrl Pts',(-0.268039370457978,0.255846582000295, -0.869044684154899)); #155545=CARTESIAN_POINT('Ctrl Pts',(-0.269826209387904,0.255415438254176, -0.869181397429539)); #155546=CARTESIAN_POINT('Ctrl Pts',(-0.271706835233681,0.254956906615568, -0.8689632749518)); #155547=CARTESIAN_POINT('Ctrl Pts',(-0.273491436665586,0.254512101430408, -0.868392685897301)); #155548=CARTESIAN_POINT('Ctrl Pts',(-0.268039370457968,0.250612013710498, -0.87120568839617)); #155549=CARTESIAN_POINT('Ctrl Pts',(-0.270135769445121,0.250460548687072, -0.871458540865425)); #155550=CARTESIAN_POINT('Ctrl Pts',(-0.272364212656643,0.250306704428941, -0.871285308320899)); #155551=CARTESIAN_POINT('Ctrl Pts',(-0.274496848376392,0.250160862062321, -0.870635945438829)); #155552=CARTESIAN_POINT('Ctrl Pts',(-0.268039370457943,0.24842819,-0.876430777726776)); #155553=CARTESIAN_POINT('Ctrl Pts',(-0.270873446600676,0.248431023894479, -0.876517597678902)); #155554=CARTESIAN_POINT('Ctrl Pts',(-0.273753118474501,0.248425981927619, -0.876002167603929)); #155555=CARTESIAN_POINT('Ctrl Pts',(-0.276414067627197,0.24842819,-0.874913616298778)); #155556=CARTESIAN_POINT('Ctrl Pts',(-0.268039370457931,0.24842819,-0.879056043809987)); #155557=CARTESIAN_POINT('Ctrl Pts',(-0.271245438884865,0.24842819,-0.879056043810002)); #155558=CARTESIAN_POINT('Ctrl Pts',(-0.274449944144725,0.24842819,-0.8783702366509)); #155559=CARTESIAN_POINT('Ctrl Pts',(-0.277375602698505,0.24842819,-0.877058978939816)); #155560=CARTESIAN_POINT('',(-0.277375602699709,0.24842819,-0.877058978942502)); #155561=CARTESIAN_POINT('',(-0.273338051728707,0.256832981032006,-0.868050455724843)); #155562=CARTESIAN_POINT('Origin',(-0.277375602698505,0.25842819,-0.877058978939816)); #155563=CARTESIAN_POINT('Ctrl Pts',(-0.273338051728707,0.256832981032006, -0.868050455724843)); #155564=CARTESIAN_POINT('Ctrl Pts',(-0.27164875055511,0.257392407583887, -0.868708525554689)); #155565=CARTESIAN_POINT('Ctrl Pts',(-0.269830821054588,0.257955555622789, -0.869053886346698)); #155566=CARTESIAN_POINT('Ctrl Pts',(-0.268039370457978,0.258471823092865, -0.869056139002779)); #155567=CARTESIAN_POINT('Ctrl Pts',(-0.268039370457931,0.24842819,-0.879056043809987)); #155568=CARTESIAN_POINT('Ctrl Pts',(-0.271245438884865,0.24842819,-0.879056043810002)); #155569=CARTESIAN_POINT('Ctrl Pts',(-0.274449944144725,0.24842819,-0.8783702366509)); #155570=CARTESIAN_POINT('Ctrl Pts',(-0.277375602698505,0.24842819,-0.877058978939816)); #155571=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.859271421310453)); #155572=CARTESIAN_POINT('Ctrl Pts',(-0.286409204725078,0.243852236083463, -0.859314245767842)); #155573=CARTESIAN_POINT('Ctrl Pts',(-0.286397376458838,0.243868237406911, -0.859357341674346)); #155574=CARTESIAN_POINT('Ctrl Pts',(-0.284542448905505,0.246317918855651, -0.866025614212314)); #155575=CARTESIAN_POINT('Ctrl Pts',(-0.281530407829772,0.248428190000521, -0.873256647578749)); #155576=CARTESIAN_POINT('Ctrl Pts',(-0.2773756026998,0.24842819,-0.877058978939861)); #155577=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.859271421310453)); #155578=CARTESIAN_POINT('Ctrl Pts',(-0.286411170808795,0.243864820621637, -0.859309759973129)); #155579=CARTESIAN_POINT('Ctrl Pts',(-0.286383238166786,0.243876081272062, -0.859340403289152)); #155580=CARTESIAN_POINT('Ctrl Pts',(-0.284059620528198,0.247538691404578, -0.864944512656974)); #155581=CARTESIAN_POINT('Ctrl Pts',(-0.278759564172915,0.249558337310231, -0.870812967425991)); #155582=CARTESIAN_POINT('Ctrl Pts',(-0.275452532557025,0.248428189999732, -0.872768253657647)); #155583=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.859271421310453)); #155584=CARTESIAN_POINT('Ctrl Pts',(-0.286408525420222,0.243870101312988, -0.859303677947117)); #155585=CARTESIAN_POINT('Ctrl Pts',(-0.286373659038073,0.243891155177698, -0.85932485698729)); #155586=CARTESIAN_POINT('Ctrl Pts',(-0.283452468223256,0.248550630511558, -0.863863581651788)); #155587=CARTESIAN_POINT('Ctrl Pts',(-0.277487226656064,0.252065541437772, -0.868232221722435)); #155588=CARTESIAN_POINT('Ctrl Pts',(-0.273644821602983,0.252191221828621, -0.86873491606956)); #155589=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.859271421310453)); #155590=CARTESIAN_POINT('Ctrl Pts',(-0.286396932309927,0.243872516223529, -0.859292724874715)); #155591=CARTESIAN_POINT('Ctrl Pts',(-0.286372861961609,0.243908920704075, -0.859314163739652)); #155592=CARTESIAN_POINT('Ctrl Pts',(-0.282590404614509,0.249522818731277, -0.862630922771431)); #155593=CARTESIAN_POINT('Ctrl Pts',(-0.278057225338927,0.255270186273937, -0.866212094499791)); #155594=CARTESIAN_POINT('Ctrl Pts',(-0.273338051728707,0.256832981032006, -0.868050455724843)); #155595=CARTESIAN_POINT('',(-0.286420958711033,0.2438363648072,-0.85927142131045)); #155596=CARTESIAN_POINT('Ctrl Pts',(-0.2773756026998,0.24842819,-0.877058978939861)); #155597=CARTESIAN_POINT('Ctrl Pts',(-0.281530407829772,0.248428190000521, -0.873256647578749)); #155598=CARTESIAN_POINT('Ctrl Pts',(-0.284542448905505,0.246317918855651, -0.866025614212314)); #155599=CARTESIAN_POINT('Ctrl Pts',(-0.286397376458838,0.243868237406911, -0.859357341674346)); #155600=CARTESIAN_POINT('Ctrl Pts',(-0.286409204725078,0.243852236083463, -0.859314245767842)); #155601=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.859271421310453)); #155602=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.859271421310453)); #155603=CARTESIAN_POINT('Ctrl Pts',(-0.286396932309927,0.243872516223529, -0.859292724874715)); #155604=CARTESIAN_POINT('Ctrl Pts',(-0.286372861961609,0.243908920704075, -0.859314163739652)); #155605=CARTESIAN_POINT('Ctrl Pts',(-0.282590404614509,0.249522818731277, -0.862630922771431)); #155606=CARTESIAN_POINT('Ctrl Pts',(-0.278057225338927,0.255270186273937, -0.866212094499791)); #155607=CARTESIAN_POINT('Ctrl Pts',(-0.273338051728707,0.256832981032006, -0.868050455724843)); #155608=CARTESIAN_POINT('Ctrl Pts',(-0.281299403940501,0.244994877814949, -1.00082000584299)); #155609=CARTESIAN_POINT('Ctrl Pts',(-0.282727350817891,0.244609416346281, -0.953633459403634)); #155610=CARTESIAN_POINT('Ctrl Pts',(-0.284472434959794,0.244223184965774, -0.906449587154126)); #155611=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.85927142131045)); #155612=CARTESIAN_POINT('Ctrl Pts',(-0.279429705238065,0.247142787727371, -1.00077672768503)); #155613=CARTESIAN_POINT('Ctrl Pts',(-0.280857971962211,0.246984470382069, -0.953580272895354)); #155614=CARTESIAN_POINT('Ctrl Pts',(-0.282622249020948,0.246809420222237, -0.906389181766978)); #155615=CARTESIAN_POINT('Ctrl Pts',(-0.284620505695054,0.246638447802343, -0.859206557702544)); #155616=CARTESIAN_POINT('Ctrl Pts',(-0.276606880296118,0.24842819,-1.0007113873906)); #155617=CARTESIAN_POINT('Ctrl Pts',(-0.277871824196427,0.248431571970854, -0.953496111350076)); #155618=CARTESIAN_POINT('Ctrl Pts',(-0.279487635566808,0.248423534016408, -0.906287742856248)); #155619=CARTESIAN_POINT('Ctrl Pts',(-0.28134414466208,0.24842819,-0.859088522632751)); #155620=CARTESIAN_POINT('Ctrl Pts',(-0.273759632558561,0.24842819,-1.00064548177855)); #155621=CARTESIAN_POINT('Ctrl Pts',(-0.274852974214443,0.24842819,-0.953411043628167)); #155622=CARTESIAN_POINT('Ctrl Pts',(-0.276313976970346,0.24842819,-0.906185109797679)); #155623=CARTESIAN_POINT('Ctrl Pts',(-0.278015012228006,0.24842819,-0.858968586406171)); #155624=CARTESIAN_POINT('',(-0.273759632558561,0.24842819,-1.00064548177855)); #155625=CARTESIAN_POINT('Ctrl Pts',(-0.27737531006085,0.24842819,-0.877058968802479)); #155626=CARTESIAN_POINT('Ctrl Pts',(-0.275946806992013,0.24842819,-0.918247601323333)); #155627=CARTESIAN_POINT('Ctrl Pts',(-0.274713344653517,0.24842819,-0.959443306118145)); #155628=CARTESIAN_POINT('Ctrl Pts',(-0.273759632558561,0.24842819,-1.00064548177855)); #155629=CARTESIAN_POINT('',(-0.281299403942177,0.244994877813018,-1.00082000584325)); #155630=CARTESIAN_POINT('Origin',(-0.273759632558561,0.23842819,-1.00064548177855)); #155631=CARTESIAN_POINT('Ctrl Pts',(-0.281299403940501,0.244994877814949, -1.00082000584299)); #155632=CARTESIAN_POINT('Ctrl Pts',(-0.282727350817891,0.244609416346281, -0.953633459403634)); #155633=CARTESIAN_POINT('Ctrl Pts',(-0.284472434959794,0.244223184965774, -0.906449587154126)); #155634=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.85927142131045)); #155635=CARTESIAN_POINT('Origin',(-0.4375,0.24842819,-1.150519685)); #155636=CARTESIAN_POINT('',(0.224854018653278,0.24842819,-1.0092241575)); #155637=CARTESIAN_POINT('',(0.268039370449964,0.24842819,-0.879056043809987)); #155638=CARTESIAN_POINT('',(-0.1202657485,0.24842819,-0.879056043809987)); #155639=CARTESIAN_POINT('',(0.277375602699709,0.24842819,-0.877058978942502)); #155640=CARTESIAN_POINT('Ctrl Pts',(0.277375602698505,0.24842819,-0.877058978939816)); #155641=CARTESIAN_POINT('Ctrl Pts',(0.274449944144725,0.24842819,-0.8783702366509)); #155642=CARTESIAN_POINT('Ctrl Pts',(0.271245438884865,0.24842819,-0.879056043810002)); #155643=CARTESIAN_POINT('Ctrl Pts',(0.268039370457931,0.24842819,-0.879056043809987)); #155644=CARTESIAN_POINT('',(0.273759632558792,0.24842819,-1.00064548176855)); #155645=CARTESIAN_POINT('Ctrl Pts',(0.273759632558793,0.24842819,-1.00064548176852)); #155646=CARTESIAN_POINT('Ctrl Pts',(0.274713344653718,0.24842819,-0.959443306111462)); #155647=CARTESIAN_POINT('Ctrl Pts',(0.275946806992129,0.24842819,-0.918247601319992)); #155648=CARTESIAN_POINT('Ctrl Pts',(0.27737531006085,0.24842819,-0.877058968802478)); #155649=CARTESIAN_POINT('',(0.270513588912782,0.24842819,-1.14051968499994)); #155650=CARTESIAN_POINT('Ctrl Pts',(0.270513588912781,0.24842819,-1.140519685)); #155651=CARTESIAN_POINT('Ctrl Pts',(0.271598421151432,0.24842819,-1.09389501603636)); #155652=CARTESIAN_POINT('Ctrl Pts',(0.272680402300323,0.24842819,-1.04727028073861)); #155653=CARTESIAN_POINT('Ctrl Pts',(0.273759632558792,0.24842819,-1.00064548176855)); #155654=CARTESIAN_POINT('',(-0.270513588912784,0.24842819,-1.140519685)); #155655=CARTESIAN_POINT('',(-0.21875,0.24842819,-1.140519685)); #155656=CARTESIAN_POINT('Ctrl Pts',(-0.27375963255879,0.24842819,-1.00064548176865)); #155657=CARTESIAN_POINT('Ctrl Pts',(-0.272680402300321,0.24842819,-1.04727028073867)); #155658=CARTESIAN_POINT('Ctrl Pts',(-0.271598421151431,0.24842819,-1.09389501603639)); #155659=CARTESIAN_POINT('Ctrl Pts',(-0.270513588912781,0.24842819,-1.140519685)); #155660=CARTESIAN_POINT('Origin',(-0.1202657485,0.25842819,-0.879056043809987)); #155661=CARTESIAN_POINT('Origin',(0.268039370449964,0.25842819,-0.879056043809987)); #155662=CARTESIAN_POINT('Ctrl Pts',(0.273338051728707,0.256832981032006, -0.868050455724843)); #155663=CARTESIAN_POINT('Ctrl Pts',(0.27164875055511,0.257392407583887, -0.868708525554689)); #155664=CARTESIAN_POINT('Ctrl Pts',(0.269830821054588,0.257955555622789, -0.869053886346698)); #155665=CARTESIAN_POINT('Ctrl Pts',(0.268039370457978,0.258471823092865, -0.869056139002779)); #155666=CARTESIAN_POINT('Ctrl Pts',(0.273491436665586,0.254512101430408, -0.868392685897301)); #155667=CARTESIAN_POINT('Ctrl Pts',(0.271706835233681,0.254956906615568, -0.8689632749518)); #155668=CARTESIAN_POINT('Ctrl Pts',(0.269826209387904,0.255415438254176, -0.869181397429539)); #155669=CARTESIAN_POINT('Ctrl Pts',(0.268039370457978,0.255846582000295, -0.869044684154899)); #155670=CARTESIAN_POINT('Ctrl Pts',(0.274496848376392,0.250160862062321, -0.870635945438828)); #155671=CARTESIAN_POINT('Ctrl Pts',(0.272364212656643,0.250306704428941, -0.871285308320899)); #155672=CARTESIAN_POINT('Ctrl Pts',(0.270135769445121,0.250460548687072, -0.871458540865425)); #155673=CARTESIAN_POINT('Ctrl Pts',(0.268039370457968,0.250612013710498, -0.87120568839617)); #155674=CARTESIAN_POINT('Ctrl Pts',(0.276414067627197,0.24842819,-0.874913616298778)); #155675=CARTESIAN_POINT('Ctrl Pts',(0.273753118474501,0.248425981927619, -0.876002167603929)); #155676=CARTESIAN_POINT('Ctrl Pts',(0.270873446600676,0.248431023894479, -0.876517597678902)); #155677=CARTESIAN_POINT('Ctrl Pts',(0.268039370457943,0.24842819,-0.876430777726776)); #155678=CARTESIAN_POINT('Ctrl Pts',(0.277375602698505,0.24842819,-0.877058978939816)); #155679=CARTESIAN_POINT('Ctrl Pts',(0.274449944144725,0.24842819,-0.8783702366509)); #155680=CARTESIAN_POINT('Ctrl Pts',(0.271245438884865,0.24842819,-0.879056043810002)); #155681=CARTESIAN_POINT('Ctrl Pts',(0.268039370457931,0.24842819,-0.879056043809987)); #155682=CARTESIAN_POINT('',(0.273338051728707,0.256832981032006,-0.868050455724843)); #155683=CARTESIAN_POINT('Ctrl Pts',(0.268039370457978,0.258471823092865, -0.869056139002779)); #155684=CARTESIAN_POINT('Ctrl Pts',(0.269830821054588,0.257955555622789, -0.869053886346698)); #155685=CARTESIAN_POINT('Ctrl Pts',(0.27164875055511,0.257392407583887, -0.868708525554689)); #155686=CARTESIAN_POINT('Ctrl Pts',(0.273338051728707,0.256832981032006, -0.868050455724843)); #155687=CARTESIAN_POINT('Origin',(0.277375602698505,0.25842819,-0.877058978939816)); #155688=CARTESIAN_POINT('Ctrl Pts',(0.2773756026998,0.24842819,-0.877058978939861)); #155689=CARTESIAN_POINT('Ctrl Pts',(0.28153040782977,0.248428190000521, -0.873256647578752)); #155690=CARTESIAN_POINT('Ctrl Pts',(0.284544938788891,0.246324778105661, -0.866034307980009)); #155691=CARTESIAN_POINT('Ctrl Pts',(0.286397365764688,0.24386820721748, -0.859357303230776)); #155692=CARTESIAN_POINT('Ctrl Pts',(0.286409204725085,0.243852236083454, -0.859314245767825)); #155693=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310453)); #155694=CARTESIAN_POINT('Ctrl Pts',(0.276276705475357,0.248428189999847, -0.874607135921453)); #155695=CARTESIAN_POINT('Ctrl Pts',(0.279860534637437,0.248924710133705, -0.871766485988997)); #155696=CARTESIAN_POINT('Ctrl Pts',(0.284205405949039,0.246980148801621, -0.865402849263521)); #155697=CARTESIAN_POINT('Ctrl Pts',(0.286392491988978,0.243875715966109, -0.859349008109792)); #155698=CARTESIAN_POINT('Ctrl Pts',(0.286406867122199,0.243856098967275, -0.859310146550089)); #155699=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310453)); #155700=CARTESIAN_POINT('Ctrl Pts',(0.274367015267713,0.25042934798045, -0.870346263750553)); #155701=CARTESIAN_POINT('Ctrl Pts',(0.277771454550505,0.250726041912442, -0.869148086445557)); #155702=CARTESIAN_POINT('Ctrl Pts',(0.283597276851069,0.248060195146824, -0.864296235837496)); #155703=CARTESIAN_POINT('Ctrl Pts',(0.286384084125863,0.243889193982077, -0.859334499960413)); #155704=CARTESIAN_POINT('Ctrl Pts',(0.286402776317151,0.243862859013961, -0.859302972919051)); #155705=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310453)); #155706=CARTESIAN_POINT('Ctrl Pts',(0.273469524531968,0.254843655659127, -0.868343795872579)); #155707=CARTESIAN_POINT('Ctrl Pts',(0.277731072594046,0.253801781982587, -0.86697810280076)); #155708=CARTESIAN_POINT('Ctrl Pts',(0.28290333206143,0.249091485702527, -0.863146732269487)); #155709=CARTESIAN_POINT('Ctrl Pts',(0.286376166950251,0.243902961306212, -0.859320191325316)); #155710=CARTESIAN_POINT('Ctrl Pts',(0.286398685512102,0.243869619060647, -0.859295799288012)); #155711=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310453)); #155712=CARTESIAN_POINT('Ctrl Pts',(0.273338051728707,0.256832981032006, -0.868050455724843)); #155713=CARTESIAN_POINT('Ctrl Pts',(0.278057225338924,0.255270186273938, -0.866212094499792)); #155714=CARTESIAN_POINT('Ctrl Pts',(0.282594257203751,0.249533614586389, -0.862635340426643)); #155715=CARTESIAN_POINT('Ctrl Pts',(0.28637284530838,0.243908873167683, -0.859314144210532)); #155716=CARTESIAN_POINT('Ctrl Pts',(0.286396932309938,0.243872516223512, -0.85929272487471)); #155717=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310453)); #155718=CARTESIAN_POINT('',(0.286420958711033,0.2438363648072,-0.85927142131045)); #155719=CARTESIAN_POINT('Ctrl Pts',(0.273338051728707,0.256832981032006, -0.868050455724843)); #155720=CARTESIAN_POINT('Ctrl Pts',(0.278057225338924,0.255270186273938, -0.866212094499792)); #155721=CARTESIAN_POINT('Ctrl Pts',(0.282594257203751,0.249533614586389, -0.862635340426643)); #155722=CARTESIAN_POINT('Ctrl Pts',(0.28637284530838,0.243908873167683, -0.859314144210532)); #155723=CARTESIAN_POINT('Ctrl Pts',(0.286396932309938,0.243872516223512, -0.85929272487471)); #155724=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310453)); #155725=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310453)); #155726=CARTESIAN_POINT('Ctrl Pts',(0.286409204725085,0.243852236083454, -0.859314245767825)); #155727=CARTESIAN_POINT('Ctrl Pts',(0.286397365764688,0.24386820721748, -0.859357303230776)); #155728=CARTESIAN_POINT('Ctrl Pts',(0.284544938788891,0.246324778105661, -0.866034307980009)); #155729=CARTESIAN_POINT('Ctrl Pts',(0.28153040782977,0.248428190000521, -0.873256647578752)); #155730=CARTESIAN_POINT('Ctrl Pts',(0.2773756026998,0.24842819,-0.877058978939861)); #155731=CARTESIAN_POINT('Ctrl Pts',(0.278015012228006,0.238384556907152, -0.868968491213382)); #155732=CARTESIAN_POINT('Ctrl Pts',(0.261888660763291,0.263443683083583, -0.86907783297215)); #155733=CARTESIAN_POINT('Ctrl Pts',(0.254970883227434,0.317541429027291, -0.869313880416312)); #155734=CARTESIAN_POINT('Ctrl Pts',(0.297230532337909,0.37697003096488, -0.86957318825535)); #155735=CARTESIAN_POINT('Ctrl Pts',(0.336067029863579,0.391335073801683, -0.869635867976801)); #155736=CARTESIAN_POINT('Ctrl Pts',(0.355901807676861,0.392453223237912, -0.869640746855061)); #155737=CARTESIAN_POINT('Ctrl Pts',(0.280177401475974,0.239776097253443, -0.868974562992094)); #155738=CARTESIAN_POINT('Ctrl Pts',(0.264409933263571,0.264166510402162, -0.869079146145206)); #155739=CARTESIAN_POINT('Ctrl Pts',(0.257559046696125,0.316935240235646, -0.869309215802963)); #155740=CARTESIAN_POINT('Ctrl Pts',(0.298781172634481,0.374949385041686, -0.869561827408288)); #155741=CARTESIAN_POINT('Ctrl Pts',(0.336692429751882,0.38892902345722, -0.869624620705573)); #155742=CARTESIAN_POINT('Ctrl Pts',(0.356040564867671,0.389991865603135, -0.869630007088205)); #155743=CARTESIAN_POINT('Ctrl Pts',(0.284482604163147,0.242555704587147, -0.866895583848094)); #155744=CARTESIAN_POINT('Ctrl Pts',(0.269430595615122,0.265620721413446, -0.867098567393786)); #155745=CARTESIAN_POINT('Ctrl Pts',(0.26271130741702,0.31573455302611,-0.867459959350906)); #155746=CARTESIAN_POINT('Ctrl Pts',(0.301870215082647,0.370933857201861, -0.867736739004099)); #155747=CARTESIAN_POINT('Ctrl Pts',(0.337935922263963,0.384141499169188, -0.867735663970911)); #155748=CARTESIAN_POINT('Ctrl Pts',(0.356317027410463,0.385096159549973, -0.867694696102921)); #155749=CARTESIAN_POINT('Ctrl Pts',(0.286360577346845,0.243786292551291, -0.861841673345104)); #155750=CARTESIAN_POINT('Ctrl Pts',(0.27172905440024,0.266332367601721, -0.862235539321561)); #155751=CARTESIAN_POINT('Ctrl Pts',(0.265295986573229,0.315188228762242, -0.862874767608811)); #155752=CARTESIAN_POINT('Ctrl Pts',(0.303428309761889,0.368902673199671, -0.86321807595443)); #155753=CARTESIAN_POINT('Ctrl Pts',(0.338539877567001,0.381809212520882, -0.863098023956943)); #155754=CARTESIAN_POINT('Ctrl Pts',(0.356449594798181,0.382765178447842, -0.862968307323363)); #155755=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310454)); #155756=CARTESIAN_POINT('Ctrl Pts',(0.271875354978848,0.266419116034204, -0.85972744626318)); #155757=CARTESIAN_POINT('Ctrl Pts',(0.265602220727093,0.315150610347194, -0.860466877669719)); #155758=CARTESIAN_POINT('Ctrl Pts',(0.303623671560683,0.368647623908492, -0.860836745739732)); #155759=CARTESIAN_POINT('Ctrl Pts',(0.338596218766729,0.381557998183924, -0.860673210009759)); #155760=CARTESIAN_POINT('Ctrl Pts',(0.356462290350385,0.3825506888868,-0.86051239947533)); #155761=CARTESIAN_POINT('',(0.356462290350385,0.3825506888868,-0.86051239947533)); #155762=CARTESIAN_POINT('Origin',(0.355901807676861,0.39249685633076,-0.859640842047854)); #155763=CARTESIAN_POINT('',(0.283650202649381,0.248428190000028,-0.859362327598701)); #155764=CARTESIAN_POINT('Ctrl Pts',(0.356462290350385,0.3825506888868,-0.86051239947533)); #155765=CARTESIAN_POINT('Ctrl Pts',(0.338596218766729,0.381557998183924, -0.860673210009759)); #155766=CARTESIAN_POINT('Ctrl Pts',(0.303623671560683,0.368647623908492, -0.860836745739732)); #155767=CARTESIAN_POINT('Ctrl Pts',(0.266687283234678,0.316677317045925, -0.860477433026411)); #155768=CARTESIAN_POINT('Ctrl Pts',(0.271427454105321,0.270296305295301, -0.859785773295863)); #155769=CARTESIAN_POINT('Ctrl Pts',(0.283659016567366,0.248433115693149, -0.859362308761809)); #155770=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310454)); #155771=CARTESIAN_POINT('Ctrl Pts',(0.285452380689963,0.245340128892659, -0.859301787581555)); #155772=CARTESIAN_POINT('Ctrl Pts',(0.284531609933259,0.246873407008072, -0.859332099020065)); #155773=CARTESIAN_POINT('Ctrl Pts',(0.283659016567366,0.248433115693149, -0.859362308761809)); #155774=CARTESIAN_POINT('Origin',(0.3172342515,-0.258427805764856,-0.876800819776682)); #155775=CARTESIAN_POINT('',(0.276623570673874,-0.25370545302695,-0.867986094334628)); #155776=CARTESIAN_POINT('Origin',(0.276623570673874,-0.258427805764856, -0.876800819776682)); #155777=CARTESIAN_POINT('Ctrl Pts',(0.276623570673854,-0.253705453026972, -0.867986094334616)); #155778=CARTESIAN_POINT('Ctrl Pts',(0.276287334869855,-0.254077205375653, -0.867786933767092)); #155779=CARTESIAN_POINT('Ctrl Pts',(0.275940114927069,-0.254458797000865, -0.867613177716206)); #155780=CARTESIAN_POINT('Ctrl Pts',(0.274506184593315,-0.256024844013512, -0.867011013086702)); #155781=CARTESIAN_POINT('Ctrl Pts',(0.273360851699894,-0.257252407711368, -0.866805853257044)); #155782=CARTESIAN_POINT('Ctrl Pts',(0.272286992850571,-0.25838417267201, -0.866800914969474)); #155783=CARTESIAN_POINT('Ctrl Pts',(0.284199306433511,-0.244957588450644, -0.876656588078159)); #155784=CARTESIAN_POINT('Ctrl Pts',(0.282329190674578,-0.247127529518489, -0.876647742681553)); #155785=CARTESIAN_POINT('Ctrl Pts',(0.279488881789882,-0.24842857445285, -0.876669103348933)); #155786=CARTESIAN_POINT('Ctrl Pts',(0.276623570673874,-0.248428186534214, -0.876713554421698)); #155787=CARTESIAN_POINT('Ctrl Pts',(0.284222708947072,-0.244971117950141, -0.875134985721753)); #155788=CARTESIAN_POINT('Ctrl Pts',(0.282346976813515,-0.247147432038165, -0.875126049685592)); #155789=CARTESIAN_POINT('Ctrl Pts',(0.279494250264198,-0.248448688256497, -0.87514464632333)); #155790=CARTESIAN_POINT('Ctrl Pts',(0.276623570673874,-0.248441528718586, -0.87518469152479)); #155791=CARTESIAN_POINT('Ctrl Pts',(0.284900709499102,-0.245539997180815, -0.871597319556575)); #155792=CARTESIAN_POINT('Ctrl Pts',(0.282856943757596,-0.247911056248424, -0.871587336411402)); #155793=CARTESIAN_POINT('Ctrl Pts',(0.279746381351909,-0.249321590001176, -0.87159688586042)); #155794=CARTESIAN_POINT('Ctrl Pts',(0.276623570673874,-0.249303543601471, -0.871622936068531)); #155795=CARTESIAN_POINT('Ctrl Pts',(0.286880213348501,-0.24723442521774, -0.868950151979131)); #155796=CARTESIAN_POINT('Ctrl Pts',(0.284348864008737,-0.250171287237343, -0.868937500639181)); #155797=CARTESIAN_POINT('Ctrl Pts',(0.280493965302295,-0.251924637235636, -0.868937009805516)); #155798=CARTESIAN_POINT('Ctrl Pts',(0.276623570673874,-0.251908517048497, -0.868948774975502)); #155799=CARTESIAN_POINT('Ctrl Pts',(0.288239876313237,-0.248402060127943, -0.8679986864811)); #155800=CARTESIAN_POINT('Ctrl Pts',(0.285371910994663,-0.251729592248056, -0.867984258209926)); #155801=CARTESIAN_POINT('Ctrl Pts',(0.281011276649087,-0.253717715056846, -0.867979525141879)); #155802=CARTESIAN_POINT('Ctrl Pts',(0.276623570673874,-0.25370545302695, -0.867986094334628)); #155803=CARTESIAN_POINT('',(0.284199306433511,-0.244957588450644,-0.876656588078159)); #155804=CARTESIAN_POINT('Ctrl Pts',(0.284199306433511,-0.244957588450644, -0.876656588078159)); #155805=CARTESIAN_POINT('Ctrl Pts',(0.284184326492996,-0.24497558983596, -0.876633334937431)); #155806=CARTESIAN_POINT('Ctrl Pts',(0.284169342311945,-0.244993587376006, -0.87661008344436)); #155807=CARTESIAN_POINT('Ctrl Pts',(0.284154353612812,-0.24501158154495, -0.876586833989157)); #155808=CARTESIAN_POINT('Ctrl Pts',(0.283389448268845,-0.245929862435337, -0.875400364611648)); #155809=CARTESIAN_POINT('Ctrl Pts',(0.282621042759788,-0.246831907861842, -0.874226858600956)); #155810=CARTESIAN_POINT('Ctrl Pts',(0.281806790372276,-0.247781333042381, -0.873115972883047)); #155811=CARTESIAN_POINT('Ctrl Pts',(0.281595837406632,-0.248027305987089, -0.872828169444852)); #155812=CARTESIAN_POINT('Ctrl Pts',(0.28138195249667,-0.248276237744746, -0.872544644624314)); #155813=CARTESIAN_POINT('Ctrl Pts',(0.281164835146782,-0.248528912503665, -0.872266503076824)); #155814=CARTESIAN_POINT('Ctrl Pts',(0.280989695907458,-0.248732734377396, -0.872042138238745)); #155815=CARTESIAN_POINT('Ctrl Pts',(0.280812450395477,-0.248938993464116, -0.871821279222893)); #155816=CARTESIAN_POINT('Ctrl Pts',(0.280632988466523,-0.249147778979322, -0.87160438086545)); #155817=CARTESIAN_POINT('Ctrl Pts',(0.280018893578378,-0.249862215285423, -0.870862183490608)); #155818=CARTESIAN_POINT('Ctrl Pts',(0.2793362636473,-0.250657272015914, -0.870112585964639)); #155819=CARTESIAN_POINT('Ctrl Pts',(0.278567464449073,-0.251530957205236, -0.869426529485371)); #155820=CARTESIAN_POINT('Ctrl Pts',(0.277968801827336,-0.252211294368983, -0.868892298477476)); #155821=CARTESIAN_POINT('Ctrl Pts',(0.277317412336821,-0.252938320958488, -0.868397073468085)); #155822=CARTESIAN_POINT('Ctrl Pts',(0.276623570673874,-0.25370545302695, -0.867986094334628)); #155823=CARTESIAN_POINT('Ctrl Pts',(0.276623570673874,-0.248428186534214, -0.876713554421698)); #155824=CARTESIAN_POINT('Ctrl Pts',(0.279488881789882,-0.24842857445285, -0.876669103348933)); #155825=CARTESIAN_POINT('Ctrl Pts',(0.282329190674578,-0.247127529518489, -0.876647742681553)); #155826=CARTESIAN_POINT('Ctrl Pts',(0.284199306433511,-0.244957588450644, -0.876656588078159)); #155827=CARTESIAN_POINT('Ctrl Pts',(0.276625809360795,-0.248429445167183, -0.876569329368769)); #155828=CARTESIAN_POINT('Ctrl Pts',(0.275259663214726,-0.247661371292097, -0.964581877914465)); #155829=CARTESIAN_POINT('Ctrl Pts',(0.273892995760581,-0.246893297487622, -1.05259441836894)); #155830=CARTESIAN_POINT('Ctrl Pts',(0.27252578311086,-0.24612522375705, -1.14060695035498)); #155831=CARTESIAN_POINT('Ctrl Pts',(0.279490079800685,-0.248429057202755, -0.876613785688943)); #155832=CARTESIAN_POINT('Ctrl Pts',(0.278121075790877,-0.247660926525807, -0.964626300716741)); #155833=CARTESIAN_POINT('Ctrl Pts',(0.276751595339213,-0.246892968296706, -1.05263881000412)); #155834=CARTESIAN_POINT('Ctrl Pts',(0.275381445086354,-0.246124836656602, -1.14065130767282)); #155835=CARTESIAN_POINT('Ctrl Pts',(0.282329213680586,-0.247127536130594, -0.876646497018434)); #155836=CARTESIAN_POINT('Ctrl Pts',(0.280957492600826,-0.246362110422441, -0.964659004701416)); #155837=CARTESIAN_POINT('Ctrl Pts',(0.279585285518499,-0.245596873469565, -1.05267150683136)); #155838=CARTESIAN_POINT('Ctrl Pts',(0.278212340704929,-0.244831512712483, -1.14068399697385)); #155839=CARTESIAN_POINT('Ctrl Pts',(0.284199306433511,-0.244957588450644, -0.876656588078159)); #155840=CARTESIAN_POINT('Ctrl Pts',(0.282827616784273,-0.244195946136897, -0.964669136623854)); #155841=CARTESIAN_POINT('Ctrl Pts',(0.281455321609506,-0.24343438504582, -1.05268167707833)); #155842=CARTESIAN_POINT('Ctrl Pts',(0.280082393079642,-0.242672908332607, -1.14069420906437)); #155843=CARTESIAN_POINT('',(0.280082393079642,-0.242672908332607,-1.14069420906437)); #155844=CARTESIAN_POINT('Origin',(0.27252578311086,-0.236125604526409,-1.140519685)); #155845=CARTESIAN_POINT('Ctrl Pts',(0.280082393079642,-0.242672908332607, -1.14069420906437)); #155846=CARTESIAN_POINT('Ctrl Pts',(0.281455321609506,-0.24343438504582, -1.05268167707833)); #155847=CARTESIAN_POINT('Ctrl Pts',(0.282827616784273,-0.244195946136897, -0.964669136623854)); #155848=CARTESIAN_POINT('Ctrl Pts',(0.284199306433511,-0.244957588450644, -0.876656588078159)); #155849=CARTESIAN_POINT('Origin',(0.3172342515,-0.258427805764856,-0.876800819776682)); #155850=CARTESIAN_POINT('',(-0.276623570673874,-0.25370545302695,-0.867986094334628)); #155851=CARTESIAN_POINT('Ctrl Pts',(-0.272286992850571,-0.25838417267201, -0.866800914969474)); #155852=CARTESIAN_POINT('Ctrl Pts',(-0.273360851699894,-0.257252407711368, -0.866805853257044)); #155853=CARTESIAN_POINT('Ctrl Pts',(-0.274506184593315,-0.256024844013511, -0.867011013086702)); #155854=CARTESIAN_POINT('Ctrl Pts',(-0.275940114927076,-0.254458797000857, -0.867613177716209)); #155855=CARTESIAN_POINT('Ctrl Pts',(-0.276287334869869,-0.254077205375638, -0.867786933767099)); #155856=CARTESIAN_POINT('Ctrl Pts',(-0.276623570673874,-0.25370545302695, -0.867986094334628)); #155857=CARTESIAN_POINT('Origin',(-0.276623570673874,-0.258427805764856, -0.876800819776682)); #155858=CARTESIAN_POINT('Ctrl Pts',(-0.276623570673874,-0.248428186534214, -0.876713554421698)); #155859=CARTESIAN_POINT('Ctrl Pts',(-0.279488881789882,-0.24842857445285, -0.876669103348933)); #155860=CARTESIAN_POINT('Ctrl Pts',(-0.282329190674578,-0.247127529518488, -0.876647742681553)); #155861=CARTESIAN_POINT('Ctrl Pts',(-0.284199306433511,-0.244957588450644, -0.876656588078159)); #155862=CARTESIAN_POINT('Ctrl Pts',(-0.276623570673874,-0.248441528718586, -0.87518469152479)); #155863=CARTESIAN_POINT('Ctrl Pts',(-0.279494250264198,-0.248448688256497, -0.87514464632333)); #155864=CARTESIAN_POINT('Ctrl Pts',(-0.282346976813515,-0.247147432038165, -0.875126049685592)); #155865=CARTESIAN_POINT('Ctrl Pts',(-0.284222708947072,-0.244971117950141, -0.875134985721753)); #155866=CARTESIAN_POINT('Ctrl Pts',(-0.276623570673874,-0.249303543601471, -0.871622936068531)); #155867=CARTESIAN_POINT('Ctrl Pts',(-0.279746381351909,-0.249321590001176, -0.87159688586042)); #155868=CARTESIAN_POINT('Ctrl Pts',(-0.282856943757596,-0.247911056248424, -0.871587336411402)); #155869=CARTESIAN_POINT('Ctrl Pts',(-0.284900709499102,-0.245539997180815, -0.871597319556575)); #155870=CARTESIAN_POINT('Ctrl Pts',(-0.276623570673874,-0.251908517048497, -0.868948774975502)); #155871=CARTESIAN_POINT('Ctrl Pts',(-0.280493965302295,-0.251924637235636, -0.868937009805516)); #155872=CARTESIAN_POINT('Ctrl Pts',(-0.284348864008737,-0.250171287237343, -0.868937500639181)); #155873=CARTESIAN_POINT('Ctrl Pts',(-0.286880213348501,-0.24723442521774, -0.868950151979131)); #155874=CARTESIAN_POINT('Ctrl Pts',(-0.276623570673874,-0.25370545302695, -0.867986094334628)); #155875=CARTESIAN_POINT('Ctrl Pts',(-0.281011276649087,-0.253717715056846, -0.867979525141879)); #155876=CARTESIAN_POINT('Ctrl Pts',(-0.285371910994663,-0.251729592248056, -0.867984258209926)); #155877=CARTESIAN_POINT('Ctrl Pts',(-0.288239876313237,-0.248402060127943, -0.8679986864811)); #155878=CARTESIAN_POINT('',(-0.284199306433511,-0.244957588450644,-0.876656588078159)); #155879=CARTESIAN_POINT('Ctrl Pts',(-0.276623570673874,-0.25370545302695, -0.867986094334628)); #155880=CARTESIAN_POINT('Ctrl Pts',(-0.277460288541804,-0.252780352758369, -0.868481702486565)); #155881=CARTESIAN_POINT('Ctrl Pts',(-0.278234059329921,-0.251913664100968, -0.869099241704555)); #155882=CARTESIAN_POINT('Ctrl Pts',(-0.278930841750845,-0.251116646790648, -0.869761287140421)); #155883=CARTESIAN_POINT('Ctrl Pts',(-0.279553869840158,-0.250403993662373, -0.870353255150175)); #155884=CARTESIAN_POINT('Ctrl Pts',(-0.280117363645884,-0.249747655479359, -0.870981194781883)); #155885=CARTESIAN_POINT('Ctrl Pts',(-0.280632988466523,-0.249147778979322, -0.871604380865449)); #155886=CARTESIAN_POINT('Ctrl Pts',(-0.280812450393752,-0.248938993466123, -0.871821279220808)); #155887=CARTESIAN_POINT('Ctrl Pts',(-0.28098969590658,-0.248732734378833, -0.872042138236927)); #155888=CARTESIAN_POINT('Ctrl Pts',(-0.281164835147856,-0.248528912503186, -0.87226650307682)); #155889=CARTESIAN_POINT('Ctrl Pts',(-0.282002881253258,-0.247553619200349, -0.873340095030807)); #155890=CARTESIAN_POINT('Ctrl Pts',(-0.28278942277848,-0.246636120300494, -0.874492013712571)); #155891=CARTESIAN_POINT('Ctrl Pts',(-0.28355861166818,-0.245722690790172, -0.875668248780618)); #155892=CARTESIAN_POINT('Ctrl Pts',(-0.283757889851243,-0.245486043361158, -0.875972982754749)); #155893=CARTESIAN_POINT('Ctrl Pts',(-0.283956185223019,-0.245249485812271, -0.87627944860096)); #155894=CARTESIAN_POINT('Ctrl Pts',(-0.28415435361281,-0.245011581544952, -0.876586833989157)); #155895=CARTESIAN_POINT('Ctrl Pts',(-0.2841693423398,-0.244993587342566, -0.876610083487572)); #155896=CARTESIAN_POINT('Ctrl Pts',(-0.28418432646512,-0.244975589869457, -0.876633334894213)); #155897=CARTESIAN_POINT('Ctrl Pts',(-0.284199306433476,-0.244957588450684, -0.876656588078159)); #155898=CARTESIAN_POINT('Ctrl Pts',(-0.284199306433511,-0.244957588450644, -0.876656588078159)); #155899=CARTESIAN_POINT('Ctrl Pts',(-0.282329190674578,-0.247127529518488, -0.876647742681553)); #155900=CARTESIAN_POINT('Ctrl Pts',(-0.279488881789882,-0.24842857445285, -0.876669103348933)); #155901=CARTESIAN_POINT('Ctrl Pts',(-0.276623570673874,-0.248428186534214, -0.876713554421698)); #155902=CARTESIAN_POINT('Origin',(0.270513588912784,0.23842819,-1.140519685)); #155903=CARTESIAN_POINT('',(0.270513588912781,0.23842819,-1.150519685)); #155904=CARTESIAN_POINT('',(0.278014404649684,0.245039340023407,-1.14069420906437)); #155905=CARTESIAN_POINT('Origin',(0.270513588912781,0.23842819,-1.140519685)); #155906=CARTESIAN_POINT('Origin',(0.270513588912784,0.23842819,-1.140519685)); #155907=CARTESIAN_POINT('Origin',(0.270513588912783,0.23842819,-1.14051968499994)); #155908=CARTESIAN_POINT('Origin',(0.,0.,-1.140519685)); #155909=CARTESIAN_POINT('',(0.35513295789405,0.0624994863224651,-1.150519685)); #155910=CARTESIAN_POINT('Origin',(0.,0.,-1.150519685)); #155911=CARTESIAN_POINT('',(0.365131434845613,0.0633665735179712,-1.14069420906437)); #155912=CARTESIAN_POINT('Origin',(0.,0.,-1.14069420906437)); #155913=CARTESIAN_POINT('Ctrl Pts',(0.365131434845614,0.0633665735179661, -1.14069420906437)); #155914=CARTESIAN_POINT('Ctrl Pts',(0.365108787197194,0.063364622907926, -1.14199169197338)); #155915=CARTESIAN_POINT('Ctrl Pts',(0.364833810600558,0.0633409497312769, -1.14327206062049)); #155916=CARTESIAN_POINT('Ctrl Pts',(0.363809664377565,0.0632526442854767, -1.14565814744103)); #155917=CARTESIAN_POINT('Ctrl Pts',(0.363069500107147,0.0631887831200956, -1.14674030098184)); #155918=CARTESIAN_POINT('Ctrl Pts',(0.361214811117948,0.063028388743266, -1.14856387237572)); #155919=CARTESIAN_POINT('Ctrl Pts',(0.360116659077516,0.0629332628624402, -1.14928645761244)); #155920=CARTESIAN_POINT('Ctrl Pts',(0.357739769521884,0.062726791801716, -1.15025657084559)); #155921=CARTESIAN_POINT('Ctrl Pts',(0.356487876003594,0.0626177881582611, -1.15050792024275)); #155922=CARTESIAN_POINT('Ctrl Pts',(0.355192827026683,0.0625047141404906, -1.15051955058767)); #155923=CARTESIAN_POINT('Ctrl Pts',(0.355162892526435,0.0625021003192299, -1.150519685)); #155924=CARTESIAN_POINT('Ctrl Pts',(0.35513295789405,0.0624994863224651, -1.150519685)); #155925=CARTESIAN_POINT('Origin',(-0.270513588912782,0.238428189999999, -1.140519685)); #155926=CARTESIAN_POINT('',(-0.270513588912781,0.23842819,-1.150519685)); #155927=CARTESIAN_POINT('Origin',(-0.270513588912781,0.23842819,-1.140519685)); #155928=CARTESIAN_POINT('',(-0.278014404649684,0.245039340023408,-1.14069420906431)); #155929=CARTESIAN_POINT('Origin',(-0.270513588912782,0.238428189999999, -1.140519685)); #155930=CARTESIAN_POINT('Origin',(-0.270513588912782,0.23842819,-1.14051968499994)); #155931=CARTESIAN_POINT('Origin',(-0.27252578311086,-0.236125604526409, -1.140519685)); #155932=CARTESIAN_POINT('',(-0.27252578311086,-0.236125604526409,-1.150519685)); #155933=CARTESIAN_POINT('Origin',(-0.27252578311086,-0.236125604526409, -1.140519685)); #155934=CARTESIAN_POINT('',(-0.280082393079642,-0.242672908332607,-1.14069420906437)); #155935=CARTESIAN_POINT('Origin',(-0.27252578311086,-0.236125604526409, -1.140519685)); #155936=CARTESIAN_POINT('Origin',(-0.27252578311086,-0.236125604526409, -1.140519685)); #155937=CARTESIAN_POINT('Ctrl Pts',(-0.27252578311086,-0.24612522375705, -1.14060695035498)); #155938=CARTESIAN_POINT('Ctrl Pts',(-0.273892995760581,-0.246893297487622, -1.05259441836894)); #155939=CARTESIAN_POINT('Ctrl Pts',(-0.275259663214726,-0.247661371292097, -0.964581877914465)); #155940=CARTESIAN_POINT('Ctrl Pts',(-0.276625809360795,-0.248429445167183, -0.87656932936877)); #155941=CARTESIAN_POINT('Ctrl Pts',(-0.275381445086354,-0.246124836656602, -1.14065130767282)); #155942=CARTESIAN_POINT('Ctrl Pts',(-0.276751595339213,-0.246892968296706, -1.05263881000412)); #155943=CARTESIAN_POINT('Ctrl Pts',(-0.278121075790877,-0.247660926525807, -0.964626300716741)); #155944=CARTESIAN_POINT('Ctrl Pts',(-0.279490079800685,-0.248429057202755, -0.876613785688943)); #155945=CARTESIAN_POINT('Ctrl Pts',(-0.278212340704929,-0.244831512712483, -1.14068399697385)); #155946=CARTESIAN_POINT('Ctrl Pts',(-0.279585285518499,-0.245596873469565, -1.05267150683136)); #155947=CARTESIAN_POINT('Ctrl Pts',(-0.280957492600826,-0.246362110422441, -0.964659004701416)); #155948=CARTESIAN_POINT('Ctrl Pts',(-0.282329213680586,-0.247127536130594, -0.876646497018434)); #155949=CARTESIAN_POINT('Ctrl Pts',(-0.280082393079642,-0.242672908332607, -1.14069420906437)); #155950=CARTESIAN_POINT('Ctrl Pts',(-0.281455321609506,-0.24343438504582, -1.05268167707833)); #155951=CARTESIAN_POINT('Ctrl Pts',(-0.282827616784273,-0.244195946136897, -0.964669136623854)); #155952=CARTESIAN_POINT('Ctrl Pts',(-0.284199306433511,-0.244957588450644, -0.876656588078159)); #155953=CARTESIAN_POINT('Ctrl Pts',(-0.284199306433511,-0.244957588450644, -0.876656588078159)); #155954=CARTESIAN_POINT('Ctrl Pts',(-0.282827616784273,-0.244195946136897, -0.964669136623854)); #155955=CARTESIAN_POINT('Ctrl Pts',(-0.281455321609506,-0.24343438504582, -1.05268167707833)); #155956=CARTESIAN_POINT('Ctrl Pts',(-0.280082393079642,-0.242672908332607, -1.14069420906437)); #155957=CARTESIAN_POINT('Origin',(0.,0.,-1.140519685)); #155958=CARTESIAN_POINT('Origin',(0.,2.88551444330788E-17,-1.14069420906437)); #155959=CARTESIAN_POINT('Origin',(0.,0.,-1.150519685)); #155960=CARTESIAN_POINT('Origin',(0.272525783110859,-0.236125604526409, -1.140519685)); #155961=CARTESIAN_POINT('',(0.27252578311086,-0.236125604526409,-1.150519685)); #155962=CARTESIAN_POINT('Origin',(0.27252578311086,-0.236125604526409,-1.140519685)); #155963=CARTESIAN_POINT('Origin',(0.272525783110859,-0.236125604526409, -1.140519685)); #155964=CARTESIAN_POINT('Origin',(0.,0.,-1.140519685)); #155965=CARTESIAN_POINT('',(0.365131434845614,-0.0633665735179672,-1.14069420906437)); #155966=CARTESIAN_POINT('Origin',(2.18547839493141E-17,1.09273919746571E-17, -1.14069420906437)); #155967=CARTESIAN_POINT('',(0.35513295789405,-0.0624994863224646,-1.150519685)); #155968=CARTESIAN_POINT('Origin',(0.,0.,-1.150519685)); #155969=CARTESIAN_POINT('Ctrl Pts',(0.35513295789405,-0.0624994863224646, -1.150519685)); #155970=CARTESIAN_POINT('Ctrl Pts',(0.355162892526435,-0.0625021003192293, -1.150519685)); #155971=CARTESIAN_POINT('Ctrl Pts',(0.355192827026683,-0.0625047141404906, -1.15051955058767)); #155972=CARTESIAN_POINT('Ctrl Pts',(0.356487876003594,-0.0626177881582611, -1.15050792024275)); #155973=CARTESIAN_POINT('Ctrl Pts',(0.357739769521883,-0.0627267918017181, -1.15025657084559)); #155974=CARTESIAN_POINT('Ctrl Pts',(0.360116659077516,-0.0629332628624413, -1.14928645761244)); #155975=CARTESIAN_POINT('Ctrl Pts',(0.361214811117948,-0.0630283887432653, -1.14856387237572)); #155976=CARTESIAN_POINT('Ctrl Pts',(0.363069500107147,-0.0631887831200951, -1.14674030098184)); #155977=CARTESIAN_POINT('Ctrl Pts',(0.363809664377565,-0.0632526442854768, -1.14565814744103)); #155978=CARTESIAN_POINT('Ctrl Pts',(0.364833810600558,-0.063340949731277, -1.14327206062049)); #155979=CARTESIAN_POINT('Ctrl Pts',(0.365108787197194,-0.0633646229079253, -1.14199169197338)); #155980=CARTESIAN_POINT('Ctrl Pts',(0.365131434845614,-0.0633665735179654, -1.14069420906437)); #155981=CARTESIAN_POINT('Origin',(0.21875,-0.236125604526409,-1.140519685)); #155982=CARTESIAN_POINT('',(0.21875,-0.236125604526409,-1.150519685)); #155983=CARTESIAN_POINT('Origin',(0.35513295789405,-0.0625,-1.140519685)); #155984=CARTESIAN_POINT('',(0.365132577124692,0.0633688990731219,-1.14060695035498)); #155985=CARTESIAN_POINT('',(0.365132577124692,-0.0633688990731219,-1.14060695035498)); #155986=CARTESIAN_POINT('',(0.365132577124692,-0.0625,-1.14060695035498)); #155987=CARTESIAN_POINT('Ctrl Pts',(0.365132577124692,-0.0633688990731219, -1.14060695035498)); #155988=CARTESIAN_POINT('Ctrl Pts',(0.365132332623005,-0.0633674479142452, -1.14063496747319)); #155989=CARTESIAN_POINT('Ctrl Pts',(0.365131968551626,-0.0633666641794387, -1.14066319524644)); #155990=CARTESIAN_POINT('Ctrl Pts',(0.365131464635023,-0.0633665767274572, -1.14069249612393)); #155991=CARTESIAN_POINT('Ctrl Pts',(0.365131449795104,-0.0633665748055439, -1.14069335260866)); #155992=CARTESIAN_POINT('Ctrl Pts',(0.365131434845614,-0.0633665735179654, -1.14069420906437)); #155993=CARTESIAN_POINT('',(0.35513295789405,-0.0625,-1.150519685)); #155994=CARTESIAN_POINT('Ctrl Pts',(0.365131434845614,0.0633665735179657, -1.14069420906437)); #155995=CARTESIAN_POINT('Ctrl Pts',(0.365131449795104,0.0633665748055442, -1.14069335260866)); #155996=CARTESIAN_POINT('Ctrl Pts',(0.365131464635023,0.0633665767274572, -1.14069249612393)); #155997=CARTESIAN_POINT('Ctrl Pts',(0.365131968551626,0.0633666641794387, -1.14066319524644)); #155998=CARTESIAN_POINT('Ctrl Pts',(0.365132332623005,0.0633674479142452, -1.14063496747319)); #155999=CARTESIAN_POINT('Ctrl Pts',(0.365132577124692,0.0633688990731219, -1.14060695035498)); #156000=CARTESIAN_POINT('Ctrl Pts',(-0.27375963255879,0.24842819,-1.00064548176865)); #156001=CARTESIAN_POINT('Ctrl Pts',(-0.272680402300321,0.24842819,-1.04727028073867)); #156002=CARTESIAN_POINT('Ctrl Pts',(-0.271598421151431,0.24842819,-1.09389501603639)); #156003=CARTESIAN_POINT('Ctrl Pts',(-0.270513588912781,0.24842819,-1.140519685)); #156004=CARTESIAN_POINT('Ctrl Pts',(-0.276606880296108,0.24842819,-1.00071138738067)); #156005=CARTESIAN_POINT('Ctrl Pts',(-0.275521080391962,0.248428060839827, -1.04733614657063)); #156006=CARTESIAN_POINT('Ctrl Pts',(-0.274432655765573,0.248428319096228, -1.09396084558225)); #156007=CARTESIAN_POINT('Ctrl Pts',(-0.273341131747959,0.24842819,-1.14058547441346)); #156008=CARTESIAN_POINT('Ctrl Pts',(-0.279429705237825,0.247142787727598, -1.00077672767508)); #156009=CARTESIAN_POINT('Ctrl Pts',(-0.278337652043053,0.247148833993695, -1.04740145330465)); #156010=CARTESIAN_POINT('Ctrl Pts',(-0.277242975789223,0.247155264591356, -1.09402611980376)); #156011=CARTESIAN_POINT('Ctrl Pts',(-0.276145073661585,0.247161375986477, -1.14065071469614)); #156012=CARTESIAN_POINT('Ctrl Pts',(-0.281299403940259,0.244994877815492, -1.00082000583302)); #156013=CARTESIAN_POINT('Ctrl Pts',(-0.280207352504014,0.245009598897413, -1.04744480480305)); #156014=CARTESIAN_POINT('Ctrl Pts',(-0.279112388177253,0.245024418959063, -1.09406954010076)); #156015=CARTESIAN_POINT('Ctrl Pts',(-0.278014404649682,0.245039340023408, -1.14069420906437)); #156016=CARTESIAN_POINT('Ctrl Pts',(-0.278014404649682,0.245039340023408, -1.14069420906437)); #156017=CARTESIAN_POINT('Ctrl Pts',(-0.279112388177253,0.245024418959063, -1.09406954010076)); #156018=CARTESIAN_POINT('Ctrl Pts',(-0.280207352504014,0.245009598897413, -1.04744480480305)); #156019=CARTESIAN_POINT('Ctrl Pts',(-0.281299403940259,0.244994877815492, -1.00082000583302)); #156020=CARTESIAN_POINT('Origin',(-0.21875,0.23842819,-1.140519685)); #156021=CARTESIAN_POINT('',(-0.21875,0.23842819,-1.150519685)); #156022=CARTESIAN_POINT('Ctrl Pts',(0.270513588912781,0.24842819,-1.140519685)); #156023=CARTESIAN_POINT('Ctrl Pts',(0.271598421151432,0.24842819,-1.09389501603636)); #156024=CARTESIAN_POINT('Ctrl Pts',(0.272680402300323,0.24842819,-1.04727028073861)); #156025=CARTESIAN_POINT('Ctrl Pts',(0.273759632558792,0.24842819,-1.00064548176855)); #156026=CARTESIAN_POINT('Ctrl Pts',(0.273341131747959,0.24842819,-1.14058547441346)); #156027=CARTESIAN_POINT('Ctrl Pts',(0.274432655765574,0.248428319096228, -1.09396084558222)); #156028=CARTESIAN_POINT('Ctrl Pts',(0.275521080391964,0.248428060839827, -1.04733614657057)); #156029=CARTESIAN_POINT('Ctrl Pts',(0.27660688029611,0.24842819,-1.00071138738057)); #156030=CARTESIAN_POINT('Ctrl Pts',(0.276145073661585,0.247161375986477, -1.14065071469614)); #156031=CARTESIAN_POINT('Ctrl Pts',(0.277242975789223,0.247155264591356, -1.09402611980373)); #156032=CARTESIAN_POINT('Ctrl Pts',(0.278337652043055,0.247148833993695, -1.04740145330459)); #156033=CARTESIAN_POINT('Ctrl Pts',(0.279429705237827,0.247142787727598, -1.00077672767498)); #156034=CARTESIAN_POINT('Ctrl Pts',(0.278014404649682,0.245039340023408, -1.14069420906437)); #156035=CARTESIAN_POINT('Ctrl Pts',(0.279112388177254,0.245024418959063, -1.09406954010073)); #156036=CARTESIAN_POINT('Ctrl Pts',(0.280207352504015,0.245009598897413, -1.04744480480298)); #156037=CARTESIAN_POINT('Ctrl Pts',(0.281299403940261,0.244994877815492, -1.00082000583293)); #156038=CARTESIAN_POINT('',(0.281299403942471,0.244994877812954,-1.00082000583298)); #156039=CARTESIAN_POINT('Origin',(0.273759632558792,0.23842819,-1.00064548176855)); #156040=CARTESIAN_POINT('Ctrl Pts',(0.281299403940261,0.244994877815492, -1.00082000583293)); #156041=CARTESIAN_POINT('Ctrl Pts',(0.280207352504015,0.245009598897413, -1.04744480480298)); #156042=CARTESIAN_POINT('Ctrl Pts',(0.279112388177254,0.245024418959063, -1.09406954010073)); #156043=CARTESIAN_POINT('Ctrl Pts',(0.278014404649682,0.245039340023408, -1.14069420906437)); #156044=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310449)); #156045=CARTESIAN_POINT('Ctrl Pts',(0.284472434959932,0.244223184965747, -0.906449587150788)); #156046=CARTESIAN_POINT('Ctrl Pts',(0.282727350818139,0.244609416346227, -0.953633459396957)); #156047=CARTESIAN_POINT('Ctrl Pts',(0.281299403940804,0.244994877814868, -1.00082000583297)); #156048=CARTESIAN_POINT('Ctrl Pts',(0.284620505695054,0.246638447802343, -0.859206557702544)); #156049=CARTESIAN_POINT('Ctrl Pts',(0.28262224902109,0.246809420222225, -0.90638918176364)); #156050=CARTESIAN_POINT('Ctrl Pts',(0.280857971962463,0.246984470382045, -0.953580272888676)); #156051=CARTESIAN_POINT('Ctrl Pts',(0.279429705238367,0.247142787727337, -1.00077672767501)); #156052=CARTESIAN_POINT('Ctrl Pts',(0.28134414466208,0.24842819,-0.85908852263275)); #156053=CARTESIAN_POINT('Ctrl Pts',(0.279487635566939,0.248423534016408, -0.906287742852908)); #156054=CARTESIAN_POINT('Ctrl Pts',(0.277871824196657,0.248431571970854, -0.953496111343396)); #156055=CARTESIAN_POINT('Ctrl Pts',(0.276606880296386,0.24842819,-1.00071138738057)); #156056=CARTESIAN_POINT('Ctrl Pts',(0.278015012228006,0.24842819,-0.858968586406171)); #156057=CARTESIAN_POINT('Ctrl Pts',(0.276313976970466,0.24842819,-0.906185109794338)); #156058=CARTESIAN_POINT('Ctrl Pts',(0.274852974214651,0.24842819,-0.953411043621484)); #156059=CARTESIAN_POINT('Ctrl Pts',(0.273759632558793,0.24842819,-1.00064548176852)); #156060=CARTESIAN_POINT('Ctrl Pts',(0.286420958711033,0.2438363648072,-0.859271421310449)); #156061=CARTESIAN_POINT('Ctrl Pts',(0.284472434959932,0.244223184965747, -0.906449587150788)); #156062=CARTESIAN_POINT('Ctrl Pts',(0.282727350818139,0.244609416346227, -0.953633459396957)); #156063=CARTESIAN_POINT('Ctrl Pts',(0.281299403940804,0.244994877814868, -1.00082000583297)); #156064=CARTESIAN_POINT('Origin',(0.36504607,-0.125,-1.150519685)); #156065=CARTESIAN_POINT('',(0.374567460433509,0.10667585878657,-0.0594764078700976)); #156066=CARTESIAN_POINT('Ctrl Pts',(0.374567460433508,0.106675858786569, -0.059476407870132)); #156067=CARTESIAN_POINT('Ctrl Pts',(0.368648581826559,0.0842369818563269, -0.737712717719764)); #156068=CARTESIAN_POINT('Ctrl Pts',(0.365132577124692,0.0633688990731219, -1.14060695035498)); #156069=CARTESIAN_POINT('',(0.374567460433509,-0.10667585878657,-0.0594764078700976)); #156070=CARTESIAN_POINT('',(0.374567460433509,0.191919363363676,-0.0594764078700976)); #156071=CARTESIAN_POINT('Ctrl Pts',(0.365132577124692,-0.0633688990731219, -1.14060695035498)); #156072=CARTESIAN_POINT('Ctrl Pts',(0.368648581826559,-0.0842369818563268, -0.737712717719764)); #156073=CARTESIAN_POINT('Ctrl Pts',(0.374567460433508,-0.106675858786569, -0.059476407870132)); #156074=CARTESIAN_POINT('',(0.370201449964188,0.0393700785,-0.559771654)); #156075=CARTESIAN_POINT('Origin',(0.370201449964188,0.,-0.559771654)); #156076=CARTESIAN_POINT('Origin',(0.,0.,0.)); #156077=CARTESIAN_POINT('',(0.343506532004543,0.183550816234462,-0.0589528548340101)); #156078=CARTESIAN_POINT('Ctrl Pts',(0.34350653196182,0.183550816285749, -0.0589528548282533)); #156079=CARTESIAN_POINT('Ctrl Pts',(0.326159902029543,0.204374784630329, -0.37321418466007)); #156080=CARTESIAN_POINT('Ctrl Pts',(0.306085602045372,0.22486860941657, -0.687285331613636)); #156081=CARTESIAN_POINT('Ctrl Pts',(0.281299403943819,0.244994877811115, -1.00082000584393)); #156082=CARTESIAN_POINT('',(0.374574314107976,0.106685157634328,-0.058952855613763)); #156083=CARTESIAN_POINT('Origin',(2.18547839493141E-17,-3.27821759239712E-17, -0.058952855613763)); #156084=CARTESIAN_POINT('Ctrl Pts',(0.374567460433509,0.10667585878657, -0.0594764078700976)); #156085=CARTESIAN_POINT('Ctrl Pts',(0.374568867919073,0.106681194661395, -0.0593151259992532)); #156086=CARTESIAN_POINT('Ctrl Pts',(0.37457092509677,0.10668424312059,-0.0591539372701224)); #156087=CARTESIAN_POINT('Ctrl Pts',(0.374573852611206,0.106685076927062, -0.0589795500334517)); #156088=CARTESIAN_POINT('Ctrl Pts',(0.374574081123273,0.106685125102212, -0.0589662032984598)); #156089=CARTESIAN_POINT('Ctrl Pts',(0.374574314107976,0.106685157634328, -0.058952855613763)); #156090=CARTESIAN_POINT('Ctrl Pts',(0.417036425448232,0.315427126718072, -0.0526795545603198)); #156091=CARTESIAN_POINT('Ctrl Pts',(0.397054326047304,0.341676333050549, -0.366940884392137)); #156092=CARTESIAN_POINT('Ctrl Pts',(0.373650959879937,0.367749683984691, -0.681012031345704)); #156093=CARTESIAN_POINT('Ctrl Pts',(0.344276412359258,0.39361963395581, -0.994546705575993)); #156094=CARTESIAN_POINT('Ctrl Pts',(0.400025208117747,0.31423011388249, -0.0516840449888082)); #156095=CARTESIAN_POINT('Ctrl Pts',(0.379442696456082,0.340475738380567, -0.365772855722354)); #156096=CARTESIAN_POINT('Ctrl Pts',(0.355402421712449,0.366548240173176, -0.67963798198718)); #156097=CARTESIAN_POINT('Ctrl Pts',(0.325293688653832,0.392435316040715, -0.992841950794747)); #156098=CARTESIAN_POINT('Ctrl Pts',(0.366837403448773,0.301920096592835, -0.050574497240583)); #156099=CARTESIAN_POINT('Ctrl Pts',(0.345093331715992,0.327433915316427, -0.36438419617036)); #156100=CARTESIAN_POINT('Ctrl Pts',(0.319844517699735,0.352729832577341, -0.677912010420108)); #156101=CARTESIAN_POINT('Ctrl Pts',(0.288296539816779,0.377633285922831, -0.990549413128164)); #156102=CARTESIAN_POINT('Ctrl Pts',(0.328881241614109,0.252354720369724, -0.0522677410788936)); #156103=CARTESIAN_POINT('Ctrl Pts',(0.307050671443233,0.274909123507782, -0.366004928039899)); #156104=CARTESIAN_POINT('Ctrl Pts',(0.281790854079216,0.296894684704285, -0.679433168088664)); #156105=CARTESIAN_POINT('Ctrl Pts',(0.250620433084433,0.317904418483582, -0.991896263530851)); #156106=CARTESIAN_POINT('Ctrl Pts',(0.331536148943521,0.206050924764724, -0.0563038577433769)); #156107=CARTESIAN_POINT('Ctrl Pts',(0.312206419482104,0.226827419739439, -0.370353285677959)); #156108=CARTESIAN_POINT('Ctrl Pts',(0.290033814881661,0.247134807767256, -0.684177000739748)); #156109=CARTESIAN_POINT('Ctrl Pts',(0.262677760774236,0.266470011077988, -0.997281446782609)); #156110=CARTESIAN_POINT('Ctrl Pts',(0.34350653196182,0.183550816285749, -0.0589528548282533)); #156111=CARTESIAN_POINT('Ctrl Pts',(0.326159902029543,0.204374784630329, -0.37321418466007)); #156112=CARTESIAN_POINT('Ctrl Pts',(0.306085602045372,0.22486860941657, -0.687285331613636)); #156113=CARTESIAN_POINT('Ctrl Pts',(0.281299403943819,0.244994877811115, -1.00082000584393)); #156114=CARTESIAN_POINT('',(0.415811188869419,0.317030468573924,-0.0718775001325979)); #156115=CARTESIAN_POINT('Ctrl Pts',(0.356474600269705,0.382550773992757, -0.860513492667678)); #156116=CARTESIAN_POINT('Ctrl Pts',(0.37968519219985,0.360845090347876, -0.597908522480424)); #156117=CARTESIAN_POINT('Ctrl Pts',(0.398965426810024,0.338999601582303, -0.334962136306678)); #156118=CARTESIAN_POINT('Ctrl Pts',(0.415811442906287,0.317030469801419, -0.0718775165110417)); #156119=CARTESIAN_POINT('',(0.33936766701656,0.25907224987534,-0.0545856686591479)); #156120=CARTESIAN_POINT('Ctrl Pts',(0.339367667019958,0.259072249883862, -0.0545856686588207)); #156121=CARTESIAN_POINT('Ctrl Pts',(0.342178140533961,0.266120361892633, -0.0543150313852932)); #156122=CARTESIAN_POINT('Ctrl Pts',(0.349543824897122,0.279461465548099, -0.0547498713495709)); #156123=CARTESIAN_POINT('Ctrl Pts',(0.363866564276199,0.295146246021452, -0.0574548685949793)); #156124=CARTESIAN_POINT('Ctrl Pts',(0.385493693487368,0.310375187656247, -0.0627869004907551)); #156125=CARTESIAN_POINT('Ctrl Pts',(0.403350737956375,0.315813056186457, -0.0680409737653399)); #156126=CARTESIAN_POINT('Ctrl Pts',(0.415510885612959,0.317002473142092, -0.0717849246894679)); #156127=CARTESIAN_POINT('Ctrl Pts',(0.41566101058563,0.317016638272994, -0.0718311953576746)); #156128=CARTESIAN_POINT('Ctrl Pts',(0.415811188869405,0.317030468573986, -0.0718775001333242)); #156129=CARTESIAN_POINT('Ctrl Pts',(0.343506531918829,0.18355081633711, -0.0589528556138169)); #156130=CARTESIAN_POINT('Ctrl Pts',(0.331298685743329,0.206463648753862, -0.0571591280006831)); #156131=CARTESIAN_POINT('Ctrl Pts',(0.329700954882503,0.234830046716613, -0.0555165340807545)); #156132=CARTESIAN_POINT('Ctrl Pts',(0.33936766701656,0.25907224987534,-0.0545856686591479)); #156133=CARTESIAN_POINT('Origin',(0.,0.,-1.150519685)); #156134=CARTESIAN_POINT('Ctrl Pts',(0.381309608371897,0.749157824115218, -0.830148292252271)); #156135=CARTESIAN_POINT('Ctrl Pts',(0.364939230874568,0.74914604377143, -0.830814761691118)); #156136=CARTESIAN_POINT('Ctrl Pts',(0.348567124679253,0.749135022059636, -0.831438311751074)); #156137=CARTESIAN_POINT('Ctrl Pts',(0.332193512457375,0.749124759129737, -0.832018933951447)); #156138=CARTESIAN_POINT('Ctrl Pts',(0.381330374678568,0.744289183354061, -0.830573398996918)); #156139=CARTESIAN_POINT('Ctrl Pts',(0.364959161069558,0.744277430116658, -0.831239937054199)); #156140=CARTESIAN_POINT('Ctrl Pts',(0.348586056241289,0.744266433644534, -0.831863555523866)); #156141=CARTESIAN_POINT('Ctrl Pts',(0.33221160723571,0.744256194329902, -0.832444237515136)); #156142=CARTESIAN_POINT('Ctrl Pts',(0.381523601168221,0.734909491416492, -0.835155957629945)); #156143=CARTESIAN_POINT('Ctrl Pts',(0.365144015577979,0.734897810732275, -0.835822861200266)); #156144=CARTESIAN_POINT('Ctrl Pts',(0.348762770244523,0.734886882965077, -0.836446815661978)); #156145=CARTESIAN_POINT('Ctrl Pts',(0.332379947205914,0.734876706857864, -0.837027816093396)); #156146=CARTESIAN_POINT('Ctrl Pts',(0.381920001357999,0.730987780592198, -0.844824403836305)); #156147=CARTESIAN_POINT('Ctrl Pts',(0.365523431028254,0.730976129153689, -0.845491951578464)); #156148=CARTESIAN_POINT('Ctrl Pts',(0.349125093968241,0.730965226205549, -0.846116511307938)); #156149=CARTESIAN_POINT('Ctrl Pts',(0.332725283428926,0.730955075576633, -0.846698072923177)); #156150=CARTESIAN_POINT('Ctrl Pts',(0.38211883070267,0.730902557654235, -0.849706822682285)); #156151=CARTESIAN_POINT('Ctrl Pts',(0.365713711686263,0.730890899923995, -0.850374693600397)); #156152=CARTESIAN_POINT('Ctrl Pts',(0.349306860303196,0.730879992929667, -0.850999554886989)); #156153=CARTESIAN_POINT('Ctrl Pts',(0.332898499697447,0.730869836819592, -0.851581398043533)); #156154=CARTESIAN_POINT('',(0.381309608371897,0.749157824115218,-0.83014829225227)); #156155=CARTESIAN_POINT('',(0.38211883070267,0.730902557654234,-0.849706822682287)); #156156=CARTESIAN_POINT('Origin',(0.38211883070267,0.750899511557361,-0.850055870811031)); #156157=CARTESIAN_POINT('',(0.332898499697447,0.730869836819591,-0.851581398043533)); #156158=CARTESIAN_POINT('Origin',(0.,0.896497002288623,8.63719255668879)); #156159=CARTESIAN_POINT('',(0.332193512457377,0.749124759129737,-0.832018933951445)); #156160=CARTESIAN_POINT('Origin',(0.332898499697447,0.750866790722718,-0.851930446172279)); #156161=CARTESIAN_POINT('Origin',(-1.24230063814333E-30,0.916493956191751, 8.63684350856005)); #156162=CARTESIAN_POINT('Ctrl Pts',(0.382118830702677,0.730902557654234, -0.849706822682285)); #156163=CARTESIAN_POINT('Ctrl Pts',(0.384368657018681,0.730908710591243, -0.849354321157058)); #156164=CARTESIAN_POINT('Ctrl Pts',(0.388106179109554,0.72959698437791, -0.849145598332373)); #156165=CARTESIAN_POINT('Ctrl Pts',(0.391436011052032,0.725370632551176, -0.849848734756242)); #156166=CARTESIAN_POINT('Ctrl Pts',(0.392101315854653,0.72237818326762, -0.850622992266677)); #156167=CARTESIAN_POINT('Ctrl Pts',(0.392093566060327,0.720880339108768, -0.851066977686305)); #156168=CARTESIAN_POINT('Ctrl Pts',(0.381920001358006,0.730987780592197, -0.844824403836305)); #156169=CARTESIAN_POINT('Ctrl Pts',(0.38427596919463,0.731058188789924, -0.844512089809622)); #156170=CARTESIAN_POINT('Ctrl Pts',(0.388279073957046,0.729688736451358, -0.84433201087218)); #156171=CARTESIAN_POINT('Ctrl Pts',(0.391700716889885,0.725146308302346, -0.844967913997613)); #156172=CARTESIAN_POINT('Ctrl Pts',(0.392268012233693,0.72198386818318, -0.845659900512271)); #156173=CARTESIAN_POINT('Ctrl Pts',(0.392181061102526,0.720441324931558, -0.846054390075846)); #156174=CARTESIAN_POINT('Ctrl Pts',(0.381523601810237,0.734909508663136, -0.835155973700812)); #156175=CARTESIAN_POINT('Ctrl Pts',(0.384956665171346,0.735033721533882, -0.83493496263378)); #156176=CARTESIAN_POINT('Ctrl Pts',(0.390773919040386,0.733076739153864, -0.834821524188625)); #156177=CARTESIAN_POINT('Ctrl Pts',(0.395739966225199,0.726411898144229, -0.835325248423784)); #156178=CARTESIAN_POINT('Ctrl Pts',(0.396497451476708,0.721810173136507, -0.835844499098085)); #156179=CARTESIAN_POINT('Ctrl Pts',(0.396344813625219,0.719566448563408, -0.836134838758983)); #156180=CARTESIAN_POINT('Ctrl Pts',(0.381330374678578,0.744289183354061, -0.830573398996917)); #156181=CARTESIAN_POINT('Ctrl Pts',(0.38695099618513,0.744336930934563, -0.830341886531938)); #156182=CARTESIAN_POINT('Ctrl Pts',(0.39634352030296,0.741053620648693, -0.830240941222646)); #156183=CARTESIAN_POINT('Ctrl Pts',(0.40465034702188,0.730399320311706, -0.830815380210825)); #156184=CARTESIAN_POINT('Ctrl Pts',(0.406248173056751,0.722897273577455, -0.831401688167142)); #156185=CARTESIAN_POINT('Ctrl Pts',(0.406190088446108,0.719165663243986, -0.831729197274868)); #156186=CARTESIAN_POINT('Ctrl Pts',(0.381309608371908,0.749157824115218, -0.830148292252269)); #156187=CARTESIAN_POINT('Ctrl Pts',(0.388031150495382,0.749162661027313, -0.82987464539178)); #156188=CARTESIAN_POINT('Ctrl Pts',(0.399211230811129,0.745218809311627, -0.829751288120397)); #156189=CARTESIAN_POINT('Ctrl Pts',(0.409204596137814,0.732564911302652, -0.830424915259765)); #156190=CARTESIAN_POINT('Ctrl Pts',(0.411224653713509,0.723616009973138, -0.831119111232266)); #156191=CARTESIAN_POINT('Ctrl Pts',(0.411217825858215,0.719138884478906, -0.831511108312816)); #156192=CARTESIAN_POINT('',(0.411217825858215,0.719138884478905,-0.831511108312815)); #156193=CARTESIAN_POINT('',(0.392093566060327,0.720880339108765,-0.851066977686306)); #156194=CARTESIAN_POINT('Origin',(0.412090519963454,0.720880339108769,-0.851416025815051)); #156195=CARTESIAN_POINT('Ctrl Pts',(0.392093566060327,0.720880339108768, -0.851066977686305)); #156196=CARTESIAN_POINT('Ctrl Pts',(0.392101315854653,0.72237818326762, -0.850622992266677)); #156197=CARTESIAN_POINT('Ctrl Pts',(0.391436011052032,0.725370632551176, -0.849848734756242)); #156198=CARTESIAN_POINT('Ctrl Pts',(0.388106179109554,0.72959698437791, -0.849145598332373)); #156199=CARTESIAN_POINT('Ctrl Pts',(0.384368657018681,0.730908710591243, -0.849354321157058)); #156200=CARTESIAN_POINT('Ctrl Pts',(0.382118830702677,0.730902557654234, -0.849706822682285)); #156201=CARTESIAN_POINT('Ctrl Pts',(0.381309608371908,0.749157824115218, -0.830148292252269)); #156202=CARTESIAN_POINT('Ctrl Pts',(0.388031150495382,0.749162661027313, -0.82987464539178)); #156203=CARTESIAN_POINT('Ctrl Pts',(0.399211230811129,0.745218809311627, -0.829751288120397)); #156204=CARTESIAN_POINT('Ctrl Pts',(0.409204596137814,0.732564911302652, -0.830424915259765)); #156205=CARTESIAN_POINT('Ctrl Pts',(0.411224653713509,0.723616009973138, -0.831119111232266)); #156206=CARTESIAN_POINT('Ctrl Pts',(0.411217825858215,0.719138884478906, -0.831511108312816)); #156207=CARTESIAN_POINT('Ctrl Pts',(0.411048906267264,0.608375676295027, -0.841209037806107)); #156208=CARTESIAN_POINT('Ctrl Pts',(0.411105212839173,0.645296745949993, -0.837976395125079)); #156209=CARTESIAN_POINT('Ctrl Pts',(0.411161519389027,0.682217815560936, -0.834743751940866)); #156210=CARTESIAN_POINT('Ctrl Pts',(0.411217825916798,0.719138885127856, -0.83151110825347)); #156211=CARTESIAN_POINT('Ctrl Pts',(0.406021105935287,0.608402447502366, -0.841427039465495)); #156212=CARTESIAN_POINT('Ctrl Pts',(0.406077433664143,0.645323519726294, -0.838194426498415)); #156213=CARTESIAN_POINT('Ctrl Pts',(0.406133761312289,0.682244591744673, -0.834961811171061)); #156214=CARTESIAN_POINT('Ctrl Pts',(0.406190088742323,0.719165663881689, -0.831729197206119)); #156215=CARTESIAN_POINT('Ctrl Pts',(0.39617562392218,0.608803225260135, -0.845832592448762)); #156216=CARTESIAN_POINT('Ctrl Pts',(0.396232015465735,0.645724299445757, -0.842600002578324)); #156217=CARTESIAN_POINT('Ctrl Pts',(0.396288407201249,0.682645373671456, -0.839367413174098)); #156218=CARTESIAN_POINT('Ctrl Pts',(0.396344797948324,0.719566447790579, -0.836134822530271)); #156219=CARTESIAN_POINT('Ctrl Pts',(0.392011786969052,0.609678112827508, -0.855752273368133)); #156220=CARTESIAN_POINT('Ctrl Pts',(0.392068213076432,0.646599183737035, -0.852519645665916)); #156221=CARTESIAN_POINT('Ctrl Pts',(0.392124636964081,0.683520254592718, -0.849287017306892)); #156222=CARTESIAN_POINT('Ctrl Pts',(0.392181062060905,0.72044132547996, -0.846054389332569)); #156223=CARTESIAN_POINT('Ctrl Pts',(0.391924290900231,0.610117132017407, -0.860764919793736)); #156224=CARTESIAN_POINT('Ctrl Pts',(0.391980716781017,0.647038201223548, -0.857532272106753)); #156225=CARTESIAN_POINT('Ctrl Pts',(0.392037142155221,0.683959270429678, -0.854299624410844)); #156226=CARTESIAN_POINT('Ctrl Pts',(0.392093567022841,0.72088033963581, -0.851066976706152)); #156227=CARTESIAN_POINT('',(0.411048906267582,0.608375676504089,-0.841209037787804)); #156228=CARTESIAN_POINT('',(0.391924287852429,0.610117132232845,-0.860764919721676)); #156229=CARTESIAN_POINT('Origin',(0.411921241755557,0.610117132232844,-0.861113967850413)); #156230=CARTESIAN_POINT('Ctrl Pts',(0.39192429090055,0.61011713222647,-0.860764919775431)); #156231=CARTESIAN_POINT('Ctrl Pts',(0.3919807167809,0.647038201146502,-0.857532272113499)); #156232=CARTESIAN_POINT('Ctrl Pts',(0.392037142154666,0.683959270066524, -0.85429962444264)); #156233=CARTESIAN_POINT('Ctrl Pts',(0.392093567021849,0.720880338986548, -0.851066976762998)); #156234=CARTESIAN_POINT('Ctrl Pts',(0.411217825915807,0.719138884478598, -0.831511108310316)); #156235=CARTESIAN_POINT('Ctrl Pts',(0.411161519388473,0.682217815197785, -0.834743751972662)); #156236=CARTESIAN_POINT('Ctrl Pts',(0.411105212839056,0.645296745872949, -0.837976395131824)); #156237=CARTESIAN_POINT('Ctrl Pts',(0.411048906267583,0.608375676504089, -0.841209037787802)); #156238=CARTESIAN_POINT('Ctrl Pts',(0.332193512502229,0.749124759218805, -0.832018933942064)); #156239=CARTESIAN_POINT('Ctrl Pts',(0.332272400385489,0.800860297398491, -0.82748986774459)); #156240=CARTESIAN_POINT('Ctrl Pts',(0.332351288233814,0.852595835491794, -0.822960800559731)); #156241=CARTESIAN_POINT('Ctrl Pts',(0.332430176047132,0.90433137349871, -0.818431732387488)); #156242=CARTESIAN_POINT('Ctrl Pts',(0.327136154010161,0.749147984796264, -0.832195962862673)); #156243=CARTESIAN_POINT('Ctrl Pts',(0.327215071507711,0.800883526615945, -0.827666938767081)); #156244=CARTESIAN_POINT('Ctrl Pts',(0.327293988837571,0.852619068118671, -0.823137911031906)); #156245=CARTESIAN_POINT('Ctrl Pts',(0.327372905762655,0.904354609767446, -0.818608884974069)); #156246=CARTESIAN_POINT('Ctrl Pts',(0.317201065562727,0.749545879538718, -0.836567692144424)); #156247=CARTESIAN_POINT('Ctrl Pts',(0.317280073164016,0.801281424155577, -0.832038700975366)); #156248=CARTESIAN_POINT('Ctrl Pts',(0.317359080813207,0.853016968810657, -0.827509710250992)); #156249=CARTESIAN_POINT('Ctrl Pts',(0.317438086856892,0.904752513296375, -0.82298071755677)); #156250=CARTESIAN_POINT('Ctrl Pts',(0.312989528131563,0.750425400931941, -0.846540942075218)); #156251=CARTESIAN_POINT('Ctrl Pts',(0.313068584737925,0.802160940909192, -0.842011897325142)); #156252=CARTESIAN_POINT('Ctrl Pts',(0.313147637905495,0.85389648080309, -0.837482851558597)); #156253=CARTESIAN_POINT('Ctrl Pts',(0.313226692529482,0.905632020736391, -0.832953806271997)); #156254=CARTESIAN_POINT('Ctrl Pts',(0.312901546660091,0.750866790758138, -0.851581397200053)); #156255=CARTESIAN_POINT('Ctrl Pts',(0.312980602938727,0.802602328321479, -0.847052324129424)); #156256=CARTESIAN_POINT('Ctrl Pts',(0.313059658223638,0.854337865884809, -0.842523251041406)); #156257=CARTESIAN_POINT('Ctrl Pts',(0.313138712514823,0.906073403448137, -0.837994177936088)); #156258=CARTESIAN_POINT('',(0.31290154580927,0.750866790737669,-0.851581397186995)); #156259=CARTESIAN_POINT('Origin',(0.332898499712398,0.750866790737669,-0.85193044531574)); #156260=CARTESIAN_POINT('',(0.313138711603797,0.906073403467804,-0.837994177918464)); #156261=CARTESIAN_POINT('Ctrl Pts',(0.312901546660091,0.750866790758138, -0.851581397200053)); #156262=CARTESIAN_POINT('Ctrl Pts',(0.312980602938727,0.802602328321479, -0.847052324129424)); #156263=CARTESIAN_POINT('Ctrl Pts',(0.313059658223638,0.854337865884809, -0.842523251041406)); #156264=CARTESIAN_POINT('Ctrl Pts',(0.313138712514823,0.906073403448137, -0.837994177936088)); #156265=CARTESIAN_POINT('',(0.332430176047132,0.90433137349871,-0.818431732387488)); #156266=CARTESIAN_POINT('Origin',(0.333135665506924,0.906073403467804,-0.838343226047209)); #156267=CARTESIAN_POINT('Ctrl Pts',(0.332430176046562,0.904331373125127, -0.818431732420193)); #156268=CARTESIAN_POINT('Ctrl Pts',(0.332351288233587,0.852595835342646, -0.822960800572788)); #156269=CARTESIAN_POINT('Ctrl Pts',(0.332272400385604,0.800860297473781, -0.827489867737999)); #156270=CARTESIAN_POINT('Ctrl Pts',(0.332193512502686,0.74912475951853, -0.832018933915825)); #156271=CARTESIAN_POINT('Ctrl Pts',(-0.381546193910252,0.904299075148485, -0.816565571597604)); #156272=CARTESIAN_POINT('Ctrl Pts',(-0.365175843484646,0.904310581841601, -0.817230416136227)); #156273=CARTESIAN_POINT('Ctrl Pts',(-0.348803763290677,0.904321347986018, -0.817852472555394)); #156274=CARTESIAN_POINT('Ctrl Pts',(-0.332430175999127,0.904331373435306, -0.818431732394737)); #156275=CARTESIAN_POINT('Ctrl Pts',(-0.381530724926196,0.909746805837451, -0.816089586109247)); #156276=CARTESIAN_POINT('Ctrl Pts',(-0.365161125676812,0.909758325887015, -0.816754371212066)); #156277=CARTESIAN_POINT('Ctrl Pts',(-0.348789531072907,0.909769105013176, -0.817376383981052)); #156278=CARTESIAN_POINT('Ctrl Pts',(-0.332416695528777,0.90977914209902, -0.817955592025302)); #156279=CARTESIAN_POINT('Ctrl Pts',(-0.381692397243815,0.920999869253536, -0.819874043637603)); #156280=CARTESIAN_POINT('Ctrl Pts',(-0.365315733248042,0.921011447303798, -0.820539088489382)); #156281=CARTESIAN_POINT('Ctrl Pts',(-0.348937454709542,0.921022280628126, -0.82116132707146)); #156282=CARTESIAN_POINT('Ctrl Pts',(-0.332557553386302,0.921032368248196, -0.821740761418351)); #156283=CARTESIAN_POINT('Ctrl Pts',(-0.382133979391677,0.925942354743282, -0.830660912291619)); #156284=CARTESIAN_POINT('Ctrl Pts',(-0.365738430306254,0.925953977681975, -0.831326755001005)); #156285=CARTESIAN_POINT('Ctrl Pts',(-0.349341085355722,0.925964850927857, -0.831949748340204)); #156286=CARTESIAN_POINT('Ctrl Pts',(-0.332942294693122,0.925974977658453, -0.832529877850156)); #156287=CARTESIAN_POINT('Ctrl Pts',(-0.382355918327248,0.92603771472119, -0.836124081767078)); #156288=CARTESIAN_POINT('Ctrl Pts',(-0.365950826440017,0.926049344103853, -0.836790328653628)); #156289=CARTESIAN_POINT('Ctrl Pts',(-0.349544001113475,0.926060225041749, -0.837413697168299)); #156290=CARTESIAN_POINT('Ctrl Pts',(-0.333135665490961,0.926070357386894, -0.837994178832879)); #156291=CARTESIAN_POINT('',(-0.381546193910253,0.904299075148485,-0.816565571597606)); #156292=CARTESIAN_POINT('',(-0.382355918327248,0.926037714721188,-0.836124081767079)); #156293=CARTESIAN_POINT('Origin',(-0.382355918327248,0.90604076081806,-0.836473129895824)); #156294=CARTESIAN_POINT('',(-0.333135665490962,0.926070357386893,-0.837994178832881)); #156295=CARTESIAN_POINT('Origin',(1.74811642878898E-18,0.760948432193301, 8.62183457990515)); #156296=CARTESIAN_POINT('',(-0.332430175999129,0.904331373435305,-0.818431732394736)); #156297=CARTESIAN_POINT('Origin',(-0.333135665490962,0.906073403483766, -0.838343226961624)); #156298=CARTESIAN_POINT('Origin',(-1.24230063814333E-30,0.740951478290173, 8.62148553177641)); #156299=CARTESIAN_POINT('Ctrl Pts',(-0.382355918327255,0.926037714721188, -0.836124081767078)); #156300=CARTESIAN_POINT('Ctrl Pts',(-0.383858530598273,0.926033613054998, -0.835889097468372)); #156301=CARTESIAN_POINT('Ctrl Pts',(-0.386857304145405,0.926709630950212, -0.835257607723642)); #156302=CARTESIAN_POINT('Ctrl Pts',(-0.391081709389226,0.930027819219704, -0.83381162940627)); #156303=CARTESIAN_POINT('Ctrl Pts',(-0.392413368557227,0.933731157967928, -0.832745504909362)); #156304=CARTESIAN_POINT('Ctrl Pts',(-0.392422282920911,0.935968304030647, -0.832234801356045)); #156305=CARTESIAN_POINT('Ctrl Pts',(-0.382133979391684,0.92594235474328, -0.830660912291619)); #156306=CARTESIAN_POINT('Ctrl Pts',(-0.383570417373714,0.925890094049834, -0.830458372052573)); #156307=CARTESIAN_POINT('Ctrl Pts',(-0.38650078975653,0.926431019768451, -0.829909916191573)); #156308=CARTESIAN_POINT('Ctrl Pts',(-0.39085170912274,0.929489338063984, -0.828641513951867)); #156309=CARTESIAN_POINT('Ctrl Pts',(-0.392421127785057,0.93322681823559, -0.827685003389376)); #156310=CARTESIAN_POINT('Ctrl Pts',(-0.392509775943637,0.935529299717612, -0.827222329441097)); #156311=CARTESIAN_POINT('Ctrl Pts',(-0.381692398727314,0.920999835808148, -0.819874080749632)); #156312=CARTESIAN_POINT('Ctrl Pts',(-0.383735354548381,0.920909256513851, -0.819745081713804)); #156313=CARTESIAN_POINT('Ctrl Pts',(-0.387901214576247,0.921604878721625, -0.81937848760907)); #156314=CARTESIAN_POINT('Ctrl Pts',(-0.394216274631243,0.925939297293411, -0.818448914118912)); #156315=CARTESIAN_POINT('Ctrl Pts',(-0.396518375895694,0.931299034736537, -0.817691589074277)); #156316=CARTESIAN_POINT('Ctrl Pts',(-0.396673655925573,0.93465446977711, -0.817303316729189)); #156317=CARTESIAN_POINT('Ctrl Pts',(-0.381530724926206,0.90974680583745, -0.816089586109249)); #156318=CARTESIAN_POINT('Ctrl Pts',(-0.385198740238186,0.909715115599344, -0.815944256430355)); #156319=CARTESIAN_POINT('Ctrl Pts',(-0.39255121051155,0.911320267705657, -0.815497955578901)); #156320=CARTESIAN_POINT('Ctrl Pts',(-0.403046166648746,0.919430168605742, -0.814348655945911)); #156321=CARTESIAN_POINT('Ctrl Pts',(-0.406456964670901,0.928652823474945, -0.813388395014144)); #156322=CARTESIAN_POINT('Ctrl Pts',(-0.406518232850253,0.934253645481459, -0.81289722070597)); #156323=CARTESIAN_POINT('Ctrl Pts',(-0.381546193910262,0.904299075148484, -0.816565571597607)); #156324=CARTESIAN_POINT('Ctrl Pts',(-0.386035387766167,0.90429591970131, -0.816383253202441)); #156325=CARTESIAN_POINT('Ctrl Pts',(-0.394989259841239,0.906347488230912, -0.815832813896735)); #156326=CARTESIAN_POINT('Ctrl Pts',(-0.407584744776334,0.916353152891015, -0.814417827758855)); #156327=CARTESIAN_POINT('Ctrl Pts',(-0.411535586175566,0.927498952875993, -0.81326802739884)); #156328=CARTESIAN_POINT('Ctrl Pts',(-0.411545846587121,0.934226852051763, -0.81267896228342)); #156329=CARTESIAN_POINT('',(-0.411545846587121,0.934226852051764,-0.81267896228342)); #156330=CARTESIAN_POINT('',(-0.392422282920911,0.93596830403065,-0.832234801356046)); #156331=CARTESIAN_POINT('Origin',(-0.412419236824039,0.935968304030646, -0.832583849484791)); #156332=CARTESIAN_POINT('Ctrl Pts',(-0.392422282920911,0.935968304030647, -0.832234801356045)); #156333=CARTESIAN_POINT('Ctrl Pts',(-0.392413368557227,0.933731157967928, -0.832745504909362)); #156334=CARTESIAN_POINT('Ctrl Pts',(-0.391081709389226,0.930027819219704, -0.83381162940627)); #156335=CARTESIAN_POINT('Ctrl Pts',(-0.386857304145405,0.926709630950212, -0.835257607723642)); #156336=CARTESIAN_POINT('Ctrl Pts',(-0.383858530598273,0.926033613054998, -0.835889097468372)); #156337=CARTESIAN_POINT('Ctrl Pts',(-0.382355918327255,0.926037714721188, -0.836124081767078)); #156338=CARTESIAN_POINT('Ctrl Pts',(-0.381546193910262,0.904299075148484, -0.816565571597607)); #156339=CARTESIAN_POINT('Ctrl Pts',(-0.386035387766167,0.90429591970131, -0.816383253202441)); #156340=CARTESIAN_POINT('Ctrl Pts',(-0.394989259841239,0.906347488230912, -0.815832813896735)); #156341=CARTESIAN_POINT('Ctrl Pts',(-0.407584744776334,0.916353152891015, -0.814417827758855)); #156342=CARTESIAN_POINT('Ctrl Pts',(-0.411535586175566,0.927498952875993, -0.81326802739884)); #156343=CARTESIAN_POINT('Ctrl Pts',(-0.411545846587121,0.934226852051763, -0.81267896228342)); #156344=CARTESIAN_POINT('Ctrl Pts',(-0.411594911466568,0.966399388643751, -0.809862077048523)); #156345=CARTESIAN_POINT('Ctrl Pts',(-0.411578556512478,0.955675209756085, -0.810801038838168)); #156346=CARTESIAN_POINT('Ctrl Pts',(-0.411562201556523,0.944951030864704, -0.81174000058536)); #156347=CARTESIAN_POINT('Ctrl Pts',(-0.411545846598707,0.934226851969609, -0.812678962290099)); #156348=CARTESIAN_POINT('Ctrl Pts',(-0.406567316278896,0.966426184265464, -0.810080360815996)); #156349=CARTESIAN_POINT('Ctrl Pts',(-0.406550955175131,0.955702004631689, -0.811019313975679)); #156350=CARTESIAN_POINT('Ctrl Pts',(-0.406534594039125,0.944977825041419, -0.811958267634953)); #156351=CARTESIAN_POINT('Ctrl Pts',(-0.406518232910147,0.934253645400311, -0.812897220710448)); #156352=CARTESIAN_POINT('Ctrl Pts',(-0.396722842247722,0.966827014251587, -0.81448652323553)); #156353=CARTESIAN_POINT('Ctrl Pts',(-0.396706462600717,0.95610283404843, -0.815425469691144)); #156354=CARTESIAN_POINT('Ctrl Pts',(-0.396690082752311,0.945378653821642, -0.816364415871884)); #156355=CARTESIAN_POINT('Ctrl Pts',(-0.396673703038106,0.934654473612875, -0.81730336226225)); #156356=CARTESIAN_POINT('Ctrl Pts',(-0.392558944834897,0.967701837370954, -0.824405456834503)); #156357=CARTESIAN_POINT('Ctrl Pts',(-0.392542555145594,0.956977658120062, -0.825344414286527)); #156358=CARTESIAN_POINT('Ctrl Pts',(-0.392526165912704,0.94625347888065, -0.826283371878557)); #156359=CARTESIAN_POINT('Ctrl Pts',(-0.392509776138141,0.935529299627877, -0.827222329307625)); #156360=CARTESIAN_POINT('Ctrl Pts',(-0.392471452115102,0.968140840204122, -0.829417911394501)); #156361=CARTESIAN_POINT('Ctrl Pts',(-0.39245506249156,0.957416661448339, -0.830356874655215)); #156362=CARTESIAN_POINT('Ctrl Pts',(-0.392438672825278,0.946692482692555, -0.831295837915185)); #156363=CARTESIAN_POINT('Ctrl Pts',(-0.392422283116256,0.935968303936771, -0.832234801174406)); #156364=CARTESIAN_POINT('',(-0.411594911466568,0.966399388643751,-0.809862077048523)); #156365=CARTESIAN_POINT('',(-0.392471451918152,0.968140840208769,-0.829417911390657)); #156366=CARTESIAN_POINT('Origin',(-0.41246840582128,0.968140840208769,-0.829766959519402)); #156367=CARTESIAN_POINT('Ctrl Pts',(-0.392471452115102,0.968140840204122, -0.829417911394501)); #156368=CARTESIAN_POINT('Ctrl Pts',(-0.392455062491602,0.957416661475704, -0.830356874652819)); #156369=CARTESIAN_POINT('Ctrl Pts',(-0.392438672825362,0.946692482747286, -0.831295837910393)); #156370=CARTESIAN_POINT('Ctrl Pts',(-0.392422283116381,0.935968304018867, -0.832234801167218)); #156371=CARTESIAN_POINT('Ctrl Pts',(-0.411545846598832,0.934226852051701, -0.812678962282911)); #156372=CARTESIAN_POINT('Ctrl Pts',(-0.411562201556568,0.944951030894057, -0.81174000058279)); #156373=CARTESIAN_POINT('Ctrl Pts',(-0.411578556512443,0.955675209732698, -0.810801038840216)); #156374=CARTESIAN_POINT('Ctrl Pts',(-0.411594911466452,0.966399388567625, -0.809862077055189)); #156375=CARTESIAN_POINT('Ctrl Pts',(-0.392471451914728,0.968140840223374, -0.829417911586827)); #156376=CARTESIAN_POINT('Ctrl Pts',(-0.392509758364367,0.986059822691991, -0.827223336249042)); #156377=CARTESIAN_POINT('Ctrl Pts',(-0.377562497802673,1.01719999072602, -0.824398822296674)); #156378=CARTESIAN_POINT('Ctrl Pts',(-0.346025687769053,1.02821115175373, -0.824866233358851)); #156379=CARTESIAN_POINT('Ctrl Pts',(-0.332547565250426,1.02819867695981, -0.825580913823862)); #156380=CARTESIAN_POINT('Ctrl Pts',(-0.392558944635541,0.967701837385911, -0.824405456977561)); #156381=CARTESIAN_POINT('Ctrl Pts',(-0.392755645550095,0.985710274984764, -0.822309873797922)); #156382=CARTESIAN_POINT('Ctrl Pts',(-0.377837380093362,1.01727494454329, -0.819591778829628)); #156383=CARTESIAN_POINT('Ctrl Pts',(-0.345952680755223,1.0283548231257, -0.820019817254136)); #156384=CARTESIAN_POINT('Ctrl Pts',(-0.332374531596522,1.02828391582181, -0.820697582690534)); #156385=CARTESIAN_POINT('Ctrl Pts',(-0.396722884655553,0.966827017810009, -0.814486564589815)); #156386=CARTESIAN_POINT('Ctrl Pts',(-0.397050939597194,0.98623783002553, -0.812599280815628)); #156387=CARTESIAN_POINT('Ctrl Pts',(-0.381110953225428,1.02040252317213, -0.810101845657795)); #156388=CARTESIAN_POINT('Ctrl Pts',(-0.346672893642588,1.0323257709414, -0.810435053741439)); #156389=CARTESIAN_POINT('Ctrl Pts',(-0.332029572254572,1.03220594194165, -0.811027682326307)); #156390=CARTESIAN_POINT('Ctrl Pts',(-0.406567316217472,0.966426184268859, -0.810080360818389)); #156391=CARTESIAN_POINT('Ctrl Pts',(-0.40670624994319,0.988812622080718, -0.80811873877611)); #156392=CARTESIAN_POINT('Ctrl Pts',(-0.388127438787695,1.02787289659134, -0.805528285639672)); #156393=CARTESIAN_POINT('Ctrl Pts',(-0.348687511198597,1.0416352993633, -0.8058452266384)); #156394=CARTESIAN_POINT('Ctrl Pts',(-0.331861396918225,1.04158503269071, -0.80644372850199)); #156395=CARTESIAN_POINT('Ctrl Pts',(-0.411594911454563,0.966399388647212, -0.809862077048748)); #156396=CARTESIAN_POINT('Ctrl Pts',(-0.411631325771932,0.990276781896805, -0.807771477840007)); #156397=CARTESIAN_POINT('Ctrl Pts',(-0.391725821291163,1.03177877288931, -0.805038657673204)); #156398=CARTESIAN_POINT('Ctrl Pts',(-0.34977058065489,1.04646482187752, -0.805383378771215)); #156399=CARTESIAN_POINT('Ctrl Pts',(-0.331843321192759,1.04645359698655, -0.806018423632308)); #156400=CARTESIAN_POINT('',(-0.331843321192759,1.04645359698655,-0.806018423632308)); #156401=CARTESIAN_POINT('',(-0.332547565250426,1.02819867695981,-0.825580913823862)); #156402=CARTESIAN_POINT('Origin',(-0.332547565250426,1.04819563086294,-0.825929961952608)); #156403=CARTESIAN_POINT('Ctrl Pts',(-0.332547565250426,1.02819867695981, -0.825580913823862)); #156404=CARTESIAN_POINT('Ctrl Pts',(-0.346025687769053,1.02821115175373, -0.824866233358851)); #156405=CARTESIAN_POINT('Ctrl Pts',(-0.377562497802673,1.01719999072602, -0.824398822296674)); #156406=CARTESIAN_POINT('Ctrl Pts',(-0.392509758364367,0.986059822691991, -0.827223336249042)); #156407=CARTESIAN_POINT('Ctrl Pts',(-0.392471451914728,0.968140840223374, -0.829417911586827)); #156408=CARTESIAN_POINT('Ctrl Pts',(-0.411594911454563,0.966399388647212, -0.809862077048748)); #156409=CARTESIAN_POINT('Ctrl Pts',(-0.411631325771932,0.990276781896805, -0.807771477840007)); #156410=CARTESIAN_POINT('Ctrl Pts',(-0.391725821291163,1.03177877288931, -0.805038657673204)); #156411=CARTESIAN_POINT('Ctrl Pts',(-0.34977058065489,1.04646482187752, -0.805383378771215)); #156412=CARTESIAN_POINT('Ctrl Pts',(-0.331843321192759,1.04645359698655, -0.806018423632308)); #156413=CARTESIAN_POINT('Ctrl Pts',(0.33184332119276,1.04645359698655,-0.806018423632308)); #156414=CARTESIAN_POINT('Ctrl Pts',(0.110705919617033,1.04631513504112, -0.813851866929256)); #156415=CARTESIAN_POINT('Ctrl Pts',(-0.110705919617031,1.04631513504111, -0.813851866929255)); #156416=CARTESIAN_POINT('Ctrl Pts',(-0.331843321192759,1.04645359698655, -0.806018423632308)); #156417=CARTESIAN_POINT('Ctrl Pts',(0.331861396918226,1.04158503269071, -0.80644372850199)); #156418=CARTESIAN_POINT('Ctrl Pts',(0.110712693931767,1.04144688934983, -0.814277978466651)); #156419=CARTESIAN_POINT('Ctrl Pts',(-0.110712693931766,1.04144688934983, -0.814277978466651)); #156420=CARTESIAN_POINT('Ctrl Pts',(-0.331861396918225,1.04158503269071, -0.80644372850199)); #156421=CARTESIAN_POINT('Ctrl Pts',(0.332029570822038,1.03220589772139, -0.811027641113327)); #156422=CARTESIAN_POINT('Ctrl Pts',(0.110767770465057,1.03206860714109, -0.818866187302432)); #156423=CARTESIAN_POINT('Ctrl Pts',(-0.110767770465056,1.03206860714109, -0.818866187302432)); #156424=CARTESIAN_POINT('Ctrl Pts',(-0.332029570822036,1.03220589772139, -0.811027641113327)); #156425=CARTESIAN_POINT('Ctrl Pts',(0.332374531596523,1.02828391582181, -0.820697582690534)); #156426=CARTESIAN_POINT('Ctrl Pts',(0.110883302886063,1.02814696898099, -0.828543700069571)); #156427=CARTESIAN_POINT('Ctrl Pts',(-0.110883302886062,1.02814696898099, -0.828543700069572)); #156428=CARTESIAN_POINT('Ctrl Pts',(-0.332374531596522,1.02828391582181, -0.820697582690535)); #156429=CARTESIAN_POINT('Ctrl Pts',(0.332547565250427,1.02819867695981, -0.825580913823862)); #156430=CARTESIAN_POINT('Ctrl Pts',(0.110940861775153,1.02806165617014, -0.83343082960659)); #156431=CARTESIAN_POINT('Ctrl Pts',(-0.110940861775152,1.02806165617014, -0.833430829606591)); #156432=CARTESIAN_POINT('Ctrl Pts',(-0.332547565250426,1.02819867695981, -0.825580913823864)); #156433=CARTESIAN_POINT('',(0.33184332119276,1.04645359698655,-0.806018423632308)); #156434=CARTESIAN_POINT('',(0.332547565250427,1.02819867695981,-0.825580913823865)); #156435=CARTESIAN_POINT('Origin',(0.332547565250427,1.04819563086294,-0.825929961952608)); #156436=CARTESIAN_POINT('Origin',(0.,1.19382605952841,8.66320547853413)); #156437=CARTESIAN_POINT('Origin',(0.,1.21382301343153,8.66285643040538)); #156438=CARTESIAN_POINT('Ctrl Pts',(0.332547565250427,1.02819867695981, -0.825580913823862)); #156439=CARTESIAN_POINT('Ctrl Pts',(0.346025646195673,1.02821115171525, -0.824866235563289)); #156440=CARTESIAN_POINT('Ctrl Pts',(0.377564337535336,1.01719827514625, -0.8243989201333)); #156441=CARTESIAN_POINT('Ctrl Pts',(0.39250975825155,0.986059767402646, -0.827223343020098)); #156442=CARTESIAN_POINT('Ctrl Pts',(0.39247145191469,0.968140840205307, -0.82941791158904)); #156443=CARTESIAN_POINT('Ctrl Pts',(0.332374531596523,1.02828391582181, -0.820697582690534)); #156444=CARTESIAN_POINT('Ctrl Pts',(0.34595263887331,1.02835482290699,-0.820019819344709)); #156445=CARTESIAN_POINT('Ctrl Pts',(0.377839232229283,1.01727320931727, -0.8195918738112)); #156446=CARTESIAN_POINT('Ctrl Pts',(0.39275564494861,0.985710219419303, -0.822309880263559)); #156447=CARTESIAN_POINT('Ctrl Pts',(0.392558944635346,0.967701837367743, -0.824405456979675)); #156448=CARTESIAN_POINT('Ctrl Pts',(0.332029572254575,1.03220594194171, -0.811027682326367)); #156449=CARTESIAN_POINT('Ctrl Pts',(0.346672848475146,1.03232577057185, -0.810435055569466)); #156450=CARTESIAN_POINT('Ctrl Pts',(0.381112949719559,1.02040064544823, -0.810101935419994)); #156451=CARTESIAN_POINT('Ctrl Pts',(0.397050938590922,0.986237770132966, -0.812599286638671)); #156452=CARTESIAN_POINT('Ctrl Pts',(0.396722884655291,0.966827017790448, -0.814486564591784)); #156453=CARTESIAN_POINT('Ctrl Pts',(0.331861396918226,1.04158503269071, -0.80644372850199)); #156454=CARTESIAN_POINT('Ctrl Pts',(0.348687459298311,1.04163529920825, -0.805845228484484)); #156455=CARTESIAN_POINT('Ctrl Pts',(0.388129735438614,1.02787074646445, -0.805528379553352)); #156456=CARTESIAN_POINT('Ctrl Pts',(0.406706249521236,0.988812553006899, -0.808118744828447)); #156457=CARTESIAN_POINT('Ctrl Pts',(0.406567316217333,0.966426184246284, -0.810080360820369)); #156458=CARTESIAN_POINT('Ctrl Pts',(0.33184332119276,1.04645359698655,-0.806018423632309)); #156459=CARTESIAN_POINT('Ctrl Pts',(0.349770525358113,1.04646482184289, -0.805383380730016)); #156460=CARTESIAN_POINT('Ctrl Pts',(0.39172826962844,1.03177648602458,-0.805038756464929)); #156461=CARTESIAN_POINT('Ctrl Pts',(0.411631325666729,0.990276708222671, -0.807771484290275)); #156462=CARTESIAN_POINT('Ctrl Pts',(0.411594911454527,0.966399388623136, -0.809862077050856)); #156463=CARTESIAN_POINT('',(0.411594911454527,0.966399388623136,-0.809862077050856)); #156464=CARTESIAN_POINT('',(0.39247145191469,0.968140840205306,-0.82941791158904)); #156465=CARTESIAN_POINT('Origin',(0.412468405817818,0.968140840205306,-0.829766959717786)); #156466=CARTESIAN_POINT('Ctrl Pts',(0.39247145191469,0.968140840205307, -0.82941791158904)); #156467=CARTESIAN_POINT('Ctrl Pts',(0.39250975825155,0.986059767402646, -0.827223343020098)); #156468=CARTESIAN_POINT('Ctrl Pts',(0.377564337535336,1.01719827514625, -0.8243989201333)); #156469=CARTESIAN_POINT('Ctrl Pts',(0.346025646195673,1.02821115171525, -0.824866235563289)); #156470=CARTESIAN_POINT('Ctrl Pts',(0.332547565250427,1.02819867695981, -0.825580913823862)); #156471=CARTESIAN_POINT('Ctrl Pts',(0.33184332119276,1.04645359698655,-0.806018423632309)); #156472=CARTESIAN_POINT('Ctrl Pts',(0.349770525358113,1.04646482184289, -0.805383380730016)); #156473=CARTESIAN_POINT('Ctrl Pts',(0.39172826962844,1.03177648602458,-0.805038756464929)); #156474=CARTESIAN_POINT('Ctrl Pts',(0.411631325666729,0.990276708222671, -0.807771484290275)); #156475=CARTESIAN_POINT('Ctrl Pts',(0.411594911454527,0.966399388623136, -0.809862077050856)); #156476=CARTESIAN_POINT('Ctrl Pts',(0.41154584659883,0.934226852065106, -0.812678962281738)); #156477=CARTESIAN_POINT('Ctrl Pts',(0.411562201556615,0.944951030946954, -0.81174000057816)); #156478=CARTESIAN_POINT('Ctrl Pts',(0.411578556512539,0.955675209825086, -0.810801038832129)); #156479=CARTESIAN_POINT('Ctrl Pts',(0.411594911466599,0.966399388699505, -0.809862077043644)); #156480=CARTESIAN_POINT('Ctrl Pts',(0.406518232910168,0.934253645495804, -0.812897220702092)); #156481=CARTESIAN_POINT('Ctrl Pts',(0.406534594039074,0.944977825123665, -0.811958267627759)); #156482=CARTESIAN_POINT('Ctrl Pts',(0.406550955175007,0.955702004700687, -0.811019313969648)); #156483=CARTESIAN_POINT('Ctrl Pts',(0.4065673162787,0.966426184321215,-0.810080360811127)); #156484=CARTESIAN_POINT('Ctrl Pts',(0.396673703037927,0.934654473708367, -0.817303362253983)); #156485=CARTESIAN_POINT('Ctrl Pts',(0.396690082751978,0.94537865390389, -0.816364415864817)); #156486=CARTESIAN_POINT('Ctrl Pts',(0.39670646260023,0.956102834117434, -0.815425469685276)); #156487=CARTESIAN_POINT('Ctrl Pts',(0.396722842247081,0.966827014307346, -0.814486523230861)); #156488=CARTESIAN_POINT('Ctrl Pts',(0.392509776137878,0.935529299723382, -0.82722232929956)); #156489=CARTESIAN_POINT('Ctrl Pts',(0.392526165912252,0.946253478962918, -0.826283371871772)); #156490=CARTESIAN_POINT('Ctrl Pts',(0.392542555144955,0.956977658189093, -0.825344414281024)); #156491=CARTESIAN_POINT('Ctrl Pts',(0.392558944834069,0.967701837426749, -0.824405456830282)); #156492=CARTESIAN_POINT('Ctrl Pts',(0.392422283115991,0.935968304032285, -0.832234801166443)); #156493=CARTESIAN_POINT('Ctrl Pts',(0.392438672824824,0.946692482774836, -0.831295837908544)); #156494=CARTESIAN_POINT('Ctrl Pts',(0.392455062490917,0.957416661517386, -0.830356874649897)); #156495=CARTESIAN_POINT('Ctrl Pts',(0.39247145211427,0.968140840259937, -0.829417911390506)); #156496=CARTESIAN_POINT('',(0.41154584659883,0.934226852065106,-0.812678962281738)); #156497=CARTESIAN_POINT('',(0.392422282924271,0.935968304027293,-0.832234801163533)); #156498=CARTESIAN_POINT('Origin',(0.412419236827399,0.935968304027293,-0.832583849292279)); #156499=CARTESIAN_POINT('Ctrl Pts',(0.392422283115991,0.935968304032285, -0.832234801166443)); #156500=CARTESIAN_POINT('Ctrl Pts',(0.392438672824785,0.946692482749358, -0.831295837910774)); #156501=CARTESIAN_POINT('Ctrl Pts',(0.392455062490839,0.957416661466431, -0.830356874654358)); #156502=CARTESIAN_POINT('Ctrl Pts',(0.392471452114153,0.968140840183503, -0.829417911397198)); #156503=CARTESIAN_POINT('Ctrl Pts',(0.411594911466482,0.966399388623072, -0.809862077050336)); #156504=CARTESIAN_POINT('Ctrl Pts',(0.411578556512503,0.955675209801395, -0.810801038834203)); #156505=CARTESIAN_POINT('Ctrl Pts',(0.41156220155666,0.944951030976004, -0.811740000575616)); #156506=CARTESIAN_POINT('Ctrl Pts',(0.411545846598955,0.934226852146899, -0.812678962274577)); #156507=CARTESIAN_POINT('Ctrl Pts',(0.392422282920929,0.935968304035105, -0.832234801355026)); #156508=CARTESIAN_POINT('Ctrl Pts',(0.392413368567127,0.93373116019936, -0.832745504399794)); #156509=CARTESIAN_POINT('Ctrl Pts',(0.39108160252639,0.930027551922232, -0.83381170855039)); #156510=CARTESIAN_POINT('Ctrl Pts',(0.386857100551335,0.926709580217091, -0.835257652148539)); #156511=CARTESIAN_POINT('Ctrl Pts',(0.383858529102487,0.926033613059081, -0.835889097702289)); #156512=CARTESIAN_POINT('Ctrl Pts',(0.382355918327253,0.926037714721188, -0.836124081767078)); #156513=CARTESIAN_POINT('Ctrl Pts',(0.392509775943811,0.935529299722216, -0.827222329440173)); #156514=CARTESIAN_POINT('Ctrl Pts',(0.392421127874546,0.933226820532138, -0.827685002927739)); #156515=CARTESIAN_POINT('Ctrl Pts',(0.390851583891315,0.929489075524521, -0.82864158443585)); #156516=CARTESIAN_POINT('Ctrl Pts',(0.386500588776943,0.926430983617498, -0.829909954469034)); #156517=CARTESIAN_POINT('Ctrl Pts',(0.383570415943801,0.925890094101857, -0.830458372254193)); #156518=CARTESIAN_POINT('Ctrl Pts',(0.382133979391682,0.92594235474328, -0.830660912291619)); #156519=CARTESIAN_POINT('Ctrl Pts',(0.396673655925884,0.934654469783789, -0.817303316728417)); #156520=CARTESIAN_POINT('Ctrl Pts',(0.396518376052144,0.931299038083276, -0.817691588686906)); #156521=CARTESIAN_POINT('Ctrl Pts',(0.394216087222778,0.925938918672515, -0.8184489697369)); #156522=CARTESIAN_POINT('Ctrl Pts',(0.38790092587036,0.921604831476441, -0.819378513249494)); #156523=CARTESIAN_POINT('Ctrl Pts',(0.383735352514705,0.920909256604019, -0.819745081842216)); #156524=CARTESIAN_POINT('Ctrl Pts',(0.381692398727312,0.920999835808148, -0.819874080749632)); #156525=CARTESIAN_POINT('Ctrl Pts',(0.406518232850372,0.934253645492622, -0.812897220704987)); #156526=CARTESIAN_POINT('Ctrl Pts',(0.406456964734537,0.928652829061428, -0.813388394524115)); #156527=CARTESIAN_POINT('Ctrl Pts',(0.403045892466126,0.91942950645868, -0.814348725782031)); #156528=CARTESIAN_POINT('Ctrl Pts',(0.392550709638964,0.911320149536846, -0.815497986669346)); #156529=CARTESIAN_POINT('Ctrl Pts',(0.385198736586833,0.90971511563089, -0.815944256575024)); #156530=CARTESIAN_POINT('Ctrl Pts',(0.381530724926201,0.90974680583745, -0.816089586109249)); #156531=CARTESIAN_POINT('Ctrl Pts',(0.411545846587139,0.934226852065169, -0.812678962282245)); #156532=CARTESIAN_POINT('Ctrl Pts',(0.411535586188809,0.927498959586711, -0.813268026811145)); #156533=CARTESIAN_POINT('Ctrl Pts',(0.407584427524649,0.916352348332394, -0.814417912566389)); #156534=CARTESIAN_POINT('Ctrl Pts',(0.39498865199204,0.906347334383801, -0.815832852988611)); #156535=CARTESIAN_POINT('Ctrl Pts',(0.386035383297365,0.904295919704451, -0.816383253383931)); #156536=CARTESIAN_POINT('Ctrl Pts',(0.381546193910257,0.904299075148485, -0.816565571597606)); #156537=CARTESIAN_POINT('',(0.381546193910257,0.904299075148485,-0.816565571597606)); #156538=CARTESIAN_POINT('',(0.382355918327251,0.926037714721188,-0.836124081767078)); #156539=CARTESIAN_POINT('Origin',(0.382355918327253,0.90604076081806,-0.836473129895824)); #156540=CARTESIAN_POINT('Ctrl Pts',(0.382355918327253,0.926037714721188, -0.836124081767078)); #156541=CARTESIAN_POINT('Ctrl Pts',(0.383858529102487,0.926033613059081, -0.835889097702289)); #156542=CARTESIAN_POINT('Ctrl Pts',(0.386857100551335,0.926709580217091, -0.835257652148539)); #156543=CARTESIAN_POINT('Ctrl Pts',(0.39108160252639,0.930027551922232, -0.83381170855039)); #156544=CARTESIAN_POINT('Ctrl Pts',(0.392413368567127,0.93373116019936, -0.832745504399794)); #156545=CARTESIAN_POINT('Ctrl Pts',(0.392422282920929,0.935968304035105, -0.832234801355026)); #156546=CARTESIAN_POINT('Ctrl Pts',(0.411545846587139,0.934226852065169, -0.812678962282245)); #156547=CARTESIAN_POINT('Ctrl Pts',(0.411535586188809,0.927498959586711, -0.813268026811145)); #156548=CARTESIAN_POINT('Ctrl Pts',(0.407584427524649,0.916352348332394, -0.814417912566389)); #156549=CARTESIAN_POINT('Ctrl Pts',(0.39498865199204,0.906347334383801, -0.815832852988611)); #156550=CARTESIAN_POINT('Ctrl Pts',(0.386035383297365,0.904295919704451, -0.816383253383931)); #156551=CARTESIAN_POINT('Ctrl Pts',(0.381546193910257,0.904299075148485, -0.816565571597606)); #156552=CARTESIAN_POINT('Ctrl Pts',(0.332430175999129,0.904331373435306, -0.818431732394735)); #156553=CARTESIAN_POINT('Ctrl Pts',(0.34880376329068,0.904321347986018, -0.817852472555393)); #156554=CARTESIAN_POINT('Ctrl Pts',(0.365175843484651,0.904310581841601, -0.817230416136228)); #156555=CARTESIAN_POINT('Ctrl Pts',(0.381546193910257,0.904299075148485, -0.816565571597606)); #156556=CARTESIAN_POINT('Ctrl Pts',(0.332416695528779,0.909779142099021, -0.817955592025301)); #156557=CARTESIAN_POINT('Ctrl Pts',(0.348789531072909,0.909769105013176, -0.81737638398105)); #156558=CARTESIAN_POINT('Ctrl Pts',(0.365161125676817,0.909758325887015, -0.816754371212067)); #156559=CARTESIAN_POINT('Ctrl Pts',(0.381530724926202,0.90974680583745, -0.816089586109248)); #156560=CARTESIAN_POINT('Ctrl Pts',(0.332557553386304,0.921032368248197, -0.82174076141835)); #156561=CARTESIAN_POINT('Ctrl Pts',(0.348937454709544,0.921022280628128, -0.821161327071459)); #156562=CARTESIAN_POINT('Ctrl Pts',(0.365315733248046,0.921011447303797, -0.820539088489384)); #156563=CARTESIAN_POINT('Ctrl Pts',(0.381692397243819,0.920999869253535, -0.819874043637604)); #156564=CARTESIAN_POINT('Ctrl Pts',(0.332942294693123,0.925974977658455, -0.832529877850155)); #156565=CARTESIAN_POINT('Ctrl Pts',(0.349341085355724,0.925964850927858, -0.831949748340204)); #156566=CARTESIAN_POINT('Ctrl Pts',(0.365738430306257,0.925953977681973, -0.831326755001005)); #156567=CARTESIAN_POINT('Ctrl Pts',(0.382133979391681,0.92594235474328, -0.830660912291619)); #156568=CARTESIAN_POINT('Ctrl Pts',(0.333135665490963,0.926070357386895, -0.837994178832879)); #156569=CARTESIAN_POINT('Ctrl Pts',(0.349544001113477,0.926060225041751, -0.837413697168299)); #156570=CARTESIAN_POINT('Ctrl Pts',(0.365950826440019,0.926049344103851, -0.836790328653628)); #156571=CARTESIAN_POINT('Ctrl Pts',(0.382355918327252,0.926037714721188, -0.836124081767078)); #156572=CARTESIAN_POINT('',(0.333135665490963,0.926070357386893,-0.837994178832881)); #156573=CARTESIAN_POINT('Origin',(0.333135665490963,0.906073403483766,-0.838343226961624)); #156574=CARTESIAN_POINT('Origin',(0.,0.760948432193301,8.62183457990515)); #156575=CARTESIAN_POINT('Origin',(-1.24230063814333E-30,0.740951478290173, 8.62148553177641)); #156576=CARTESIAN_POINT('Ctrl Pts',(-0.332430176047131,0.904331373498711, -0.818431732387488)); #156577=CARTESIAN_POINT('Ctrl Pts',(-0.332351288233813,0.852595835491794, -0.822960800559731)); #156578=CARTESIAN_POINT('Ctrl Pts',(-0.332272400385488,0.800860297398492, -0.82748986774459)); #156579=CARTESIAN_POINT('Ctrl Pts',(-0.332193512502227,0.749124759218806, -0.832018933942064)); #156580=CARTESIAN_POINT('Ctrl Pts',(-0.327372905762653,0.904354609767447, -0.818608884974069)); #156581=CARTESIAN_POINT('Ctrl Pts',(-0.327293988837569,0.852619068118671, -0.823137911031906)); #156582=CARTESIAN_POINT('Ctrl Pts',(-0.32721507150771,0.800883526615946, -0.827666938767081)); #156583=CARTESIAN_POINT('Ctrl Pts',(-0.327136154010159,0.749147984796265, -0.832195962862673)); #156584=CARTESIAN_POINT('Ctrl Pts',(-0.317438086856891,0.904752513296375, -0.822980717556769)); #156585=CARTESIAN_POINT('Ctrl Pts',(-0.317359080813205,0.853016968810657, -0.827509710250991)); #156586=CARTESIAN_POINT('Ctrl Pts',(-0.317280073164014,0.801281424155577, -0.832038700975366)); #156587=CARTESIAN_POINT('Ctrl Pts',(-0.317201065562725,0.749545879538719, -0.836567692144423)); #156588=CARTESIAN_POINT('Ctrl Pts',(-0.31322669252948,0.905632020736391, -0.832953806271997)); #156589=CARTESIAN_POINT('Ctrl Pts',(-0.313147637905493,0.85389648080309, -0.837482851558597)); #156590=CARTESIAN_POINT('Ctrl Pts',(-0.313068584737923,0.802160940909192, -0.842011897325142)); #156591=CARTESIAN_POINT('Ctrl Pts',(-0.312989528131561,0.750425400931941, -0.846540942075218)); #156592=CARTESIAN_POINT('Ctrl Pts',(-0.313138712514821,0.906073403448137, -0.837994177936088)); #156593=CARTESIAN_POINT('Ctrl Pts',(-0.313059658223636,0.854337865884809, -0.842523251041406)); #156594=CARTESIAN_POINT('Ctrl Pts',(-0.312980602938725,0.802602328321479, -0.847052324129424)); #156595=CARTESIAN_POINT('Ctrl Pts',(-0.31290154666009,0.750866790758138, -0.851581397200053)); #156596=CARTESIAN_POINT('',(-0.313138711603795,0.906073403467804,-0.837994177918464)); #156597=CARTESIAN_POINT('Origin',(-0.333135665506923,0.906073403467804, -0.83834322604721)); #156598=CARTESIAN_POINT('',(-0.312901545809269,0.75086679073767,-0.851581397186995)); #156599=CARTESIAN_POINT('Ctrl Pts',(-0.313138712514821,0.906073403448137, -0.837994177936088)); #156600=CARTESIAN_POINT('Ctrl Pts',(-0.313059658223636,0.854337865884809, -0.842523251041406)); #156601=CARTESIAN_POINT('Ctrl Pts',(-0.312980602938725,0.802602328321479, -0.847052324129424)); #156602=CARTESIAN_POINT('Ctrl Pts',(-0.31290154666009,0.750866790758138, -0.851581397200053)); #156603=CARTESIAN_POINT('',(-0.332193512502227,0.749124759218806,-0.832018933942064)); #156604=CARTESIAN_POINT('Origin',(-0.332898499712397,0.75086679073767,-0.85193044531574)); #156605=CARTESIAN_POINT('Ctrl Pts',(-0.332193512502821,0.749124759607805, -0.83201893390801)); #156606=CARTESIAN_POINT('Ctrl Pts',(-0.332272400385661,0.800860297512089, -0.827489867734645)); #156607=CARTESIAN_POINT('Ctrl Pts',(-0.332351288233566,0.852595835329988, -0.822960800573896)); #156608=CARTESIAN_POINT('Ctrl Pts',(-0.332430176046464,0.904331373061502, -0.818431732425763)); #156609=CARTESIAN_POINT('Ctrl Pts',(-0.383734711836988,0.378173385775758, -0.792364313173346)); #156610=CARTESIAN_POINT('Ctrl Pts',(-0.383750239641371,0.37818181949091, -0.792449794809728)); #156611=CARTESIAN_POINT('Ctrl Pts',(-0.383765784160642,0.378190297021289, -0.792535294873722)); #156612=CARTESIAN_POINT('Ctrl Pts',(-0.386197360954336,0.37952728806423, -0.805943540849243)); #156613=CARTESIAN_POINT('Ctrl Pts',(-0.389419962089175,0.382710741996033, -0.823782627212735)); #156614=CARTESIAN_POINT('Ctrl Pts',(-0.391746551456847,0.389049974267172, -0.844153684217997)); #156615=CARTESIAN_POINT('Ctrl Pts',(-0.392083415658638,0.392049756689038, -0.851648493809556)); #156616=CARTESIAN_POINT('Ctrl Pts',(-0.392023448034983,0.393608454205812, -0.85508403666786)); #156617=CARTESIAN_POINT('Ctrl Pts',(-0.383734711837,0.378173385775758,-0.792364313173339)); #156618=CARTESIAN_POINT('Ctrl Pts',(-0.383761387031062,0.378180636297791, -0.792443480602874)); #156619=CARTESIAN_POINT('Ctrl Pts',(-0.383787715160092,0.378191926751505, -0.792522480673328)); #156620=CARTESIAN_POINT('Ctrl Pts',(-0.387960836853952,0.379476977836285, -0.804924599064366)); #156621=CARTESIAN_POINT('Ctrl Pts',(-0.393333132419824,0.384895356373869, -0.821273674214884)); #156622=CARTESIAN_POINT('Ctrl Pts',(-0.394208066992272,0.394543252846414, -0.838657027632069)); #156623=CARTESIAN_POINT('Ctrl Pts',(-0.393068066456747,0.398198070307266, -0.844685787123626)); #156624=CARTESIAN_POINT('Ctrl Pts',(-0.392158538547345,0.399742613093499, -0.847344706398082)); #156625=CARTESIAN_POINT('Ctrl Pts',(-0.383734711837012,0.378173385775758, -0.792364313173332)); #156626=CARTESIAN_POINT('Ctrl Pts',(-0.383772629508914,0.378181148998244, -0.792436932855185)); #156627=CARTESIAN_POINT('Ctrl Pts',(-0.383809626029707,0.378191748580091, -0.792509872714936)); #156628=CARTESIAN_POINT('Ctrl Pts',(-0.389702870247209,0.379523810296133, -0.803911191936355)); #156629=CARTESIAN_POINT('Ctrl Pts',(-0.397456480388608,0.385477153729646, -0.818863712147428)); #156630=CARTESIAN_POINT('Ctrl Pts',(-0.401423605644815,0.397705820077327, -0.833575924324198)); #156631=CARTESIAN_POINT('Ctrl Pts',(-0.401275877869624,0.402967184098802, -0.83831497456215)); #156632=CARTESIAN_POINT('Ctrl Pts',(-0.400661235845404,0.405433494224297, -0.84031087722066)); #156633=CARTESIAN_POINT('Ctrl Pts',(-0.383734711837024,0.378173385775759, -0.792364313173325)); #156634=CARTESIAN_POINT('Ctrl Pts',(-0.38378333434204,0.378182148485313, -0.792430658983063)); #156635=CARTESIAN_POINT('Ctrl Pts',(-0.383832008526536,0.37819101147528, -0.79249701315347)); #156636=CARTESIAN_POINT('Ctrl Pts',(-0.391447172517666,0.379598126894882, -0.802901814100106)); #156637=CARTESIAN_POINT('Ctrl Pts',(-0.401589695939652,0.384737577895979, -0.816551923450988)); #156638=CARTESIAN_POINT('Ctrl Pts',(-0.409247567198735,0.396838593036812, -0.831775992674117)); #156639=CARTESIAN_POINT('Ctrl Pts',(-0.410545491550449,0.402820741585792, -0.837288901579303)); #156640=CARTESIAN_POINT('Ctrl Pts',(-0.410508810809577,0.405980763665061, -0.839792079365222)); #156641=CARTESIAN_POINT('',(-0.410508810809577,0.405980763665061,-0.839792079365222)); #156642=CARTESIAN_POINT('',(-0.392023448034983,0.393608454205813,-0.85508403666786)); #156643=CARTESIAN_POINT('Origin',(-0.412020401938111,0.393608454205806, -0.855433084796606)); #156644=CARTESIAN_POINT('',(-0.383734711837003,0.378173385775687,-0.792364313172523)); #156645=CARTESIAN_POINT('Ctrl Pts',(-0.392023448034983,0.393608454205812, -0.85508403666786)); #156646=CARTESIAN_POINT('Ctrl Pts',(-0.392083415658638,0.392049756689038, -0.851648493809556)); #156647=CARTESIAN_POINT('Ctrl Pts',(-0.391746551456847,0.389049974267172, -0.844153684217997)); #156648=CARTESIAN_POINT('Ctrl Pts',(-0.389419962089175,0.382710741996033, -0.823782627212735)); #156649=CARTESIAN_POINT('Ctrl Pts',(-0.386197360954336,0.37952728806423, -0.805943540849243)); #156650=CARTESIAN_POINT('Ctrl Pts',(-0.383765784160642,0.378190297021289, -0.792535294873722)); #156651=CARTESIAN_POINT('Ctrl Pts',(-0.383750239641371,0.37818181949091, -0.792449794809728)); #156652=CARTESIAN_POINT('Ctrl Pts',(-0.383734711836988,0.378173385775758, -0.792364313173346)); #156653=CARTESIAN_POINT('Ctrl Pts',(-0.383734711837024,0.378173385775759, -0.792364313173325)); #156654=CARTESIAN_POINT('Ctrl Pts',(-0.38378333434204,0.378182148485313, -0.792430658983063)); #156655=CARTESIAN_POINT('Ctrl Pts',(-0.383832008526536,0.37819101147528, -0.79249701315347)); #156656=CARTESIAN_POINT('Ctrl Pts',(-0.391447172517666,0.379598126894882, -0.802901814100106)); #156657=CARTESIAN_POINT('Ctrl Pts',(-0.401589695939652,0.384737577895979, -0.816551923450988)); #156658=CARTESIAN_POINT('Ctrl Pts',(-0.409247567198735,0.396838593036812, -0.831775992674117)); #156659=CARTESIAN_POINT('Ctrl Pts',(-0.410545491550449,0.402820741585792, -0.837288901579303)); #156660=CARTESIAN_POINT('Ctrl Pts',(-0.410508810809577,0.405980763665061, -0.839792079365222)); #156661=CARTESIAN_POINT('Ctrl Pts',(-0.410462349978003,0.411123016162864, -0.843497317343684)); #156662=CARTESIAN_POINT('Ctrl Pts',(-0.410474072572508,0.409353749234219, -0.842341067704983)); #156663=CARTESIAN_POINT('Ctrl Pts',(-0.410489582097172,0.407637304790238, -0.841104290749189)); #156664=CARTESIAN_POINT('Ctrl Pts',(-0.410508810809577,0.405980763665062, -0.839792079365223)); #156665=CARTESIAN_POINT('Ctrl Pts',(-0.405534779947304,0.410884177933187, -0.843776534767692)); #156666=CARTESIAN_POINT('Ctrl Pts',(-0.405548185268204,0.409103570419293, -0.84261529418979)); #156667=CARTESIAN_POINT('Ctrl Pts',(-0.405564978495708,0.407373906969204, -0.841370677946263)); #156668=CARTESIAN_POINT('Ctrl Pts',(-0.40558502332749,0.40570712894468, -0.840051478292942)); #156669=CARTESIAN_POINT('Ctrl Pts',(-0.395994897937669,0.408314847873346, -0.847539003386514)); #156670=CARTESIAN_POINT('Ctrl Pts',(-0.396014199968289,0.406413216799608, -0.846300397404094)); #156671=CARTESIAN_POINT('Ctrl Pts',(-0.396035699602653,0.404569601012597, -0.844975115683929)); #156672=CARTESIAN_POINT('Ctrl Pts',(-0.396059269490799,0.402789738651948, -0.843567132806961)); #156673=CARTESIAN_POINT('Ctrl Pts',(-0.392012445312904,0.402923166477514, -0.855714382193585)); #156674=CARTESIAN_POINT('Ctrl Pts',(-0.392036988686788,0.400773772083355, -0.854310507400082)); #156675=CARTESIAN_POINT('Ctrl Pts',(-0.392063156819234,0.398687993473881, -0.852808161569045)); #156676=CARTESIAN_POINT('Ctrl Pts',(-0.392090993291163,0.396675533649652, -0.851214371532973)); #156677=CARTESIAN_POINT('Ctrl Pts',(-0.391940274272078,0.400218504415122, -0.859849058353382)); #156678=CARTESIAN_POINT('Ctrl Pts',(-0.391966232366019,0.397944390989132, -0.858361920147498)); #156679=CARTESIAN_POINT('Ctrl Pts',(-0.391993995059443,0.395738006786993, -0.856771396506491)); #156680=CARTESIAN_POINT('Ctrl Pts',(-0.392023448034983,0.393608454205808, -0.855084036667862)); #156681=CARTESIAN_POINT('',(-0.410462349978003,0.411123016162864,-0.843497317343684)); #156682=CARTESIAN_POINT('',(-0.391940274271946,0.400218504410176,-0.859849058360944)); #156683=CARTESIAN_POINT('Origin',(-0.411937228175206,0.400218504415122, -0.860198106482128)); #156684=CARTESIAN_POINT('Ctrl Pts',(-0.391940274272078,0.400218504415122, -0.859849058353382)); #156685=CARTESIAN_POINT('Ctrl Pts',(-0.391966232366019,0.397944390989132, -0.858361920147498)); #156686=CARTESIAN_POINT('Ctrl Pts',(-0.391993995059443,0.395738006786993, -0.856771396506491)); #156687=CARTESIAN_POINT('Ctrl Pts',(-0.392023448034983,0.393608454205808, -0.855084036667862)); #156688=CARTESIAN_POINT('Ctrl Pts',(-0.410508810809577,0.405980763665062, -0.839792079365223)); #156689=CARTESIAN_POINT('Ctrl Pts',(-0.410489582097172,0.407637304790238, -0.841104290749189)); #156690=CARTESIAN_POINT('Ctrl Pts',(-0.410474072572508,0.409353749234219, -0.842341067704983)); #156691=CARTESIAN_POINT('Ctrl Pts',(-0.410462349978003,0.411123016162864, -0.843497317343684)); #156692=CARTESIAN_POINT('Ctrl Pts',(-0.391940274271864,0.400218504415069, -0.859849058365616)); #156693=CARTESIAN_POINT('Ctrl Pts',(-0.391908021573928,0.408599069119565, -0.861696814256366)); #156694=CARTESIAN_POINT('Ctrl Pts',(-0.390887435453033,0.426930280427936, -0.864494411433837)); #156695=CARTESIAN_POINT('Ctrl Pts',(-0.390469751046572,0.447160634055588, -0.865108306471702)); #156696=CARTESIAN_POINT('Ctrl Pts',(-0.390645169870607,0.457098934933957, -0.864839664798576)); #156697=CARTESIAN_POINT('Ctrl Pts',(-0.39201244531018,0.402923166478276, -0.855714382204262)); #156698=CARTESIAN_POINT('Ctrl Pts',(-0.392743649142351,0.410601670292987, -0.857714143000892)); #156699=CARTESIAN_POINT('Ctrl Pts',(-0.392557971436396,0.427864073600379, -0.861484812326204)); #156700=CARTESIAN_POINT('Ctrl Pts',(-0.392175337875745,0.447252064119355, -0.862336357918049)); #156701=CARTESIAN_POINT('Ctrl Pts',(-0.392300671153598,0.456747647236701, -0.8619062987198)); #156702=CARTESIAN_POINT('Ctrl Pts',(-0.395995012852279,0.408314789513618, -0.847539094644359)); #156703=CARTESIAN_POINT('Ctrl Pts',(-0.397368440290715,0.414611451723549, -0.850517901573068)); #156704=CARTESIAN_POINT('Ctrl Pts',(-0.397394680927098,0.429735665996429, -0.856373205855722)); #156705=CARTESIAN_POINT('Ctrl Pts',(-0.396931742280147,0.447430366586446, -0.857628043232211)); #156706=CARTESIAN_POINT('Ctrl Pts',(-0.397140922122686,0.456041881644691, -0.856908199264381)); #156707=CARTESIAN_POINT('Ctrl Pts',(-0.405534779945581,0.410884177934485, -0.843776534768692)); #156708=CARTESIAN_POINT('Ctrl Pts',(-0.406000378354392,0.417041363279513, -0.847762797190586)); #156709=CARTESIAN_POINT('Ctrl Pts',(-0.404120037161264,0.431235886694319, -0.854326158937933)); #156710=CARTESIAN_POINT('Ctrl Pts',(-0.403302799612897,0.447541601215084, -0.855662091836742)); #156711=CARTESIAN_POINT('Ctrl Pts',(-0.403817763799644,0.455506909467773, -0.854898044376908)); #156712=CARTESIAN_POINT('Ctrl Pts',(-0.410462349978003,0.411123016162864, -0.843497317343684)); #156713=CARTESIAN_POINT('Ctrl Pts',(-0.410419231364687,0.417630819737017, -0.847750290939136)); #156714=CARTESIAN_POINT('Ctrl Pts',(-0.407528075090266,0.431838953746028, -0.854178469860288)); #156715=CARTESIAN_POINT('Ctrl Pts',(-0.406529779480778,0.447568490730034, -0.85547482770779)); #156716=CARTESIAN_POINT('Ctrl Pts',(-0.407196422649463,0.455315866491519, -0.854768078788756)); #156717=CARTESIAN_POINT('',(-0.407196422649463,0.455315866491519,-0.854768078788756)); #156718=CARTESIAN_POINT('',(-0.390645169870607,0.457098934933957,-0.864839664798576)); #156719=CARTESIAN_POINT('Origin',(-0.408060582458144,0.457057353449235, -0.87467336580003)); #156720=CARTESIAN_POINT('Ctrl Pts',(-0.390645169870607,0.457098934933957, -0.864839664798576)); #156721=CARTESIAN_POINT('Ctrl Pts',(-0.390469751046572,0.447160634055588, -0.865108306471702)); #156722=CARTESIAN_POINT('Ctrl Pts',(-0.390887435453033,0.426930280427936, -0.864494411433837)); #156723=CARTESIAN_POINT('Ctrl Pts',(-0.391908021573928,0.408599069119565, -0.861696814256366)); #156724=CARTESIAN_POINT('Ctrl Pts',(-0.391940274271864,0.400218504415069, -0.859849058365616)); #156725=CARTESIAN_POINT('Ctrl Pts',(-0.410462349978003,0.411123016162864, -0.843497317343684)); #156726=CARTESIAN_POINT('Ctrl Pts',(-0.410419231364687,0.417630819737017, -0.847750290939136)); #156727=CARTESIAN_POINT('Ctrl Pts',(-0.407528075090266,0.431838953746028, -0.854178469860288)); #156728=CARTESIAN_POINT('Ctrl Pts',(-0.406529779480778,0.447568490730034, -0.85547482770779)); #156729=CARTESIAN_POINT('Ctrl Pts',(-0.407196422649463,0.455315866491519, -0.854768078788756)); #156730=CARTESIAN_POINT('Ctrl Pts',(-0.411217825918184,0.719138884581638, -0.831511108301198)); #156731=CARTESIAN_POINT('Ctrl Pts',(-0.411161519390286,0.682217815116822, -0.834743751979666)); #156732=CARTESIAN_POINT('Ctrl Pts',(-0.411105212840307,0.645296745607984, -0.837976395154952)); #156733=CARTESIAN_POINT('Ctrl Pts',(-0.411048906268271,0.608375676055122, -0.841209037827052)); #156734=CARTESIAN_POINT('Ctrl Pts',(-0.406190088752879,0.719165663335461, -0.831729197253446)); #156735=CARTESIAN_POINT('Ctrl Pts',(-0.40613376132155,0.682244591300554, -0.834961811209503)); #156736=CARTESIAN_POINT('Ctrl Pts',(-0.406077433672116,0.645323519384288, -0.838194426527997)); #156737=CARTESIAN_POINT('Ctrl Pts',(-0.406021105941964,0.60840244726247, -0.841427039486192)); #156738=CARTESIAN_POINT('Ctrl Pts',(-0.396344797976835,0.719566447243696, -0.836134822569557)); #156739=CARTESIAN_POINT('Ctrl Pts',(-0.396288407226188,0.682645373226777, -0.839367413205532)); #156740=CARTESIAN_POINT('Ctrl Pts',(-0.39623201548709,0.645724299103281, -0.842600002601896)); #156741=CARTESIAN_POINT('Ctrl Pts',(-0.396175623939962,0.608803225019863, -0.845832592464483)); #156742=CARTESIAN_POINT('Ctrl Pts',(-0.392181062097012,0.720441324931516, -0.846054389353763)); #156743=CARTESIAN_POINT('Ctrl Pts',(-0.392124636995637,0.683520254146681, -0.849287017322529)); #156744=CARTESIAN_POINT('Ctrl Pts',(-0.392068213103462,0.646599183393405, -0.852519645676003)); #156745=CARTESIAN_POINT('Ctrl Pts',(-0.392011786991532,0.609678112586285, -0.855752273372663)); #156746=CARTESIAN_POINT('Ctrl Pts',(-0.392093567059107,0.720880339086568, -0.851066976718204)); #156747=CARTESIAN_POINT('Ctrl Pts',(-0.392037142186925,0.683959269982945, -0.854299624418504)); #156748=CARTESIAN_POINT('Ctrl Pts',(-0.391980716808159,0.647038200879323, -0.857532272110021)); #156749=CARTESIAN_POINT('Ctrl Pts',(-0.39192429092281,0.610117131775691, -0.860764919792612)); #156750=CARTESIAN_POINT('',(-0.391924287852448,0.61011713198599,-0.860764919720604)); #156751=CARTESIAN_POINT('',(-0.411048906268584,0.608375676259198,-0.841209037809184)); #156752=CARTESIAN_POINT('Origin',(-0.411921241755576,0.610117131985989, -0.861113967849346)); #156753=CARTESIAN_POINT('',(-0.411217825918184,0.719138884581638,-0.831511108301198)); #156754=CARTESIAN_POINT('Ctrl Pts',(-0.411048906268582,0.608375676259198, -0.841209037809184)); #156755=CARTESIAN_POINT('Ctrl Pts',(-0.411105212840185,0.645296745528443, -0.837976395161916)); #156756=CARTESIAN_POINT('Ctrl Pts',(-0.411161519389733,0.682217814753665, -0.834743752011462)); #156757=CARTESIAN_POINT('Ctrl Pts',(-0.411217825917198,0.719138883934864, -0.831511108357826)); #156758=CARTESIAN_POINT('',(-0.392093566077584,0.72088033912602,-0.851066976697616)); #156759=CARTESIAN_POINT('Origin',(-0.412090519980712,0.72088033912602,-0.851416024826362)); #156760=CARTESIAN_POINT('Ctrl Pts',(-0.392093567059107,0.720880339086568, -0.851066976718204)); #156761=CARTESIAN_POINT('Ctrl Pts',(-0.392037142187029,0.68395927005097, -0.854299624412548)); #156762=CARTESIAN_POINT('Ctrl Pts',(-0.391980716808366,0.647038201015374, -0.857532272098109)); #156763=CARTESIAN_POINT('Ctrl Pts',(-0.391924290923122,0.610117131979767, -0.860764919774744)); #156764=CARTESIAN_POINT('Ctrl Pts',(-0.392093566060505,0.720880339143247, -0.851066977676084)); #156765=CARTESIAN_POINT('Ctrl Pts',(-0.392101315873982,0.722378187994103, -0.850622990866517)); #156766=CARTESIAN_POINT('Ctrl Pts',(-0.391435968579349,0.725370851641389, -0.849848677479607)); #156767=CARTESIAN_POINT('Ctrl Pts',(-0.388105943573704,0.729597061904671, -0.849145613386062)); #156768=CARTESIAN_POINT('Ctrl Pts',(-0.384368664066248,0.730908710610517, -0.84935432005285)); #156769=CARTESIAN_POINT('Ctrl Pts',(-0.382118830702676,0.730902557654234, -0.849706822682287)); #156770=CARTESIAN_POINT('Ctrl Pts',(-0.39218106110449,0.720441324967186, -0.846054390066756)); #156771=CARTESIAN_POINT('Ctrl Pts',(-0.392268012502575,0.721983873051351, -0.845659899268166)); #156772=CARTESIAN_POINT('Ctrl Pts',(-0.391700681272381,0.725146543502136, -0.844967862693144)); #156773=CARTESIAN_POINT('Ctrl Pts',(-0.388278821033577,0.729688820444712, -0.844332023810137)); #156774=CARTESIAN_POINT('Ctrl Pts',(-0.384275976574684,0.731058189010478, -0.844512088831302)); #156775=CARTESIAN_POINT('Ctrl Pts',(-0.381920001358004,0.730987780592197, -0.844824403836306)); #156776=CARTESIAN_POINT('Ctrl Pts',(-0.39634481362872,0.719566448615002, -0.836134838752286)); #156777=CARTESIAN_POINT('Ctrl Pts',(-0.39649745195035,0.72181018021751, -0.835844498182348)); #156778=CARTESIAN_POINT('Ctrl Pts',(-0.395739916990513,0.726412243388109, -0.835325209518346)); #156779=CARTESIAN_POINT('Ctrl Pts',(-0.390773548301898,0.733076862571192, -0.83482153206436)); #156780=CARTESIAN_POINT('Ctrl Pts',(-0.384956675925395,0.735033721922952, -0.83493496194144)); #156781=CARTESIAN_POINT('Ctrl Pts',(-0.381523601810234,0.734909508663109, -0.835155973700788)); #156782=CARTESIAN_POINT('Ctrl Pts',(-0.406190088447434,0.719165663329907, -0.831729197267292)); #156783=CARTESIAN_POINT('Ctrl Pts',(-0.406248173227163,0.722897285352999, -0.831401687134164)); #156784=CARTESIAN_POINT('Ctrl Pts',(-0.404650244851624,0.730399872104765, -0.830815336827816)); #156785=CARTESIAN_POINT('Ctrl Pts',(-0.396342927505519,0.741053817019759, -0.830240948942254)); #156786=CARTESIAN_POINT('Ctrl Pts',(-0.386951013791692,0.744336931084133, -0.830341885806728)); #156787=CARTESIAN_POINT('Ctrl Pts',(-0.381330374678576,0.744289183354061, -0.830573398996918)); #156788=CARTESIAN_POINT('Ctrl Pts',(-0.411217825858373,0.719138884581964, -0.831511108303791)); #156789=CARTESIAN_POINT('Ctrl Pts',(-0.411224653719745,0.723616024100847, -0.831119109995977)); #156790=CARTESIAN_POINT('Ctrl Pts',(-0.409204466888187,0.73256556646629, -0.830424864062461)); #156791=CARTESIAN_POINT('Ctrl Pts',(-0.399210526320093,0.745219042507831, -0.829751297616693)); #156792=CARTESIAN_POINT('Ctrl Pts',(-0.388031171550571,0.749162661042466, -0.829874644534584)); #156793=CARTESIAN_POINT('Ctrl Pts',(-0.381309608371905,0.749157824115218, -0.830148292252271)); #156794=CARTESIAN_POINT('',(-0.381309608371904,0.749157824115218,-0.830148292252271)); #156795=CARTESIAN_POINT('',(-0.382118830702673,0.730902557654234,-0.849706822682287)); #156796=CARTESIAN_POINT('Origin',(-0.382118830702677,0.750899511557362, -0.850055870811032)); #156797=CARTESIAN_POINT('Ctrl Pts',(-0.382118830702676,0.730902557654234, -0.849706822682287)); #156798=CARTESIAN_POINT('Ctrl Pts',(-0.384368664066248,0.730908710610517, -0.84935432005285)); #156799=CARTESIAN_POINT('Ctrl Pts',(-0.388105943573704,0.729597061904671, -0.849145613386062)); #156800=CARTESIAN_POINT('Ctrl Pts',(-0.391435968526236,0.725370851708796, -0.849848677468393)); #156801=CARTESIAN_POINT('Ctrl Pts',(-0.392101315836839,0.722378188161167, -0.850622990823292)); #156802=CARTESIAN_POINT('Ctrl Pts',(-0.392093566061803,0.720880339394096, -0.851066977601729)); #156803=CARTESIAN_POINT('Ctrl Pts',(-0.411217825858373,0.719138884581964, -0.831511108303791)); #156804=CARTESIAN_POINT('Ctrl Pts',(-0.411224653719745,0.723616024100847, -0.831119109995977)); #156805=CARTESIAN_POINT('Ctrl Pts',(-0.409204466888187,0.73256556646629, -0.830424864062461)); #156806=CARTESIAN_POINT('Ctrl Pts',(-0.399210526320093,0.745219042507831, -0.829751297616693)); #156807=CARTESIAN_POINT('Ctrl Pts',(-0.388031171550571,0.749162661042466, -0.829874644534584)); #156808=CARTESIAN_POINT('Ctrl Pts',(-0.381309608371905,0.749157824115218, -0.830148292252271)); #156809=CARTESIAN_POINT('Ctrl Pts',(-0.332193512457373,0.749124759129737, -0.832018933951445)); #156810=CARTESIAN_POINT('Ctrl Pts',(-0.348567124679253,0.749135022059636, -0.831438311751073)); #156811=CARTESIAN_POINT('Ctrl Pts',(-0.364939230874573,0.749146043771431, -0.830814761691118)); #156812=CARTESIAN_POINT('Ctrl Pts',(-0.381309608371904,0.749157824115218, -0.830148292252271)); #156813=CARTESIAN_POINT('Ctrl Pts',(-0.332211607235708,0.744256194329901, -0.832444237515134)); #156814=CARTESIAN_POINT('Ctrl Pts',(-0.348586056241289,0.744266433644534, -0.831863555523864)); #156815=CARTESIAN_POINT('Ctrl Pts',(-0.364959161069563,0.744277430116658, -0.831239937054199)); #156816=CARTESIAN_POINT('Ctrl Pts',(-0.381330374678575,0.744289183354061, -0.830573398996918)); #156817=CARTESIAN_POINT('Ctrl Pts',(-0.332379947205912,0.734876706857863, -0.837027816093395)); #156818=CARTESIAN_POINT('Ctrl Pts',(-0.348762770244523,0.734886882965075, -0.836446815661976)); #156819=CARTESIAN_POINT('Ctrl Pts',(-0.365144015577984,0.734897810732276, -0.835822861200265)); #156820=CARTESIAN_POINT('Ctrl Pts',(-0.381523601168228,0.734909491416493, -0.835155957629944)); #156821=CARTESIAN_POINT('Ctrl Pts',(-0.332725283428925,0.730955075576632, -0.846698072923176)); #156822=CARTESIAN_POINT('Ctrl Pts',(-0.349125093968242,0.730965226205548, -0.846116511307938)); #156823=CARTESIAN_POINT('Ctrl Pts',(-0.365523431028257,0.730976129153691, -0.845491951578463)); #156824=CARTESIAN_POINT('Ctrl Pts',(-0.381920001358004,0.7309877805922, -0.844824403836304)); #156825=CARTESIAN_POINT('Ctrl Pts',(-0.332898499697445,0.73086983681959, -0.851581398043533)); #156826=CARTESIAN_POINT('Ctrl Pts',(-0.349306860303196,0.730879992929666, -0.850999554886989)); #156827=CARTESIAN_POINT('Ctrl Pts',(-0.365713711686265,0.730890899923997, -0.850374693600396)); #156828=CARTESIAN_POINT('Ctrl Pts',(-0.382118830702675,0.730902557654236, -0.849706822682284)); #156829=CARTESIAN_POINT('',(-0.332898499697446,0.730869836819591,-0.851581398043535)); #156830=CARTESIAN_POINT('Origin',(-0.332898499697446,0.750866790722718, -0.851930446172279)); #156831=CARTESIAN_POINT('Origin',(-6.99246571515598E-18,0.896497002288623, 8.63719255668879)); #156832=CARTESIAN_POINT('Origin',(2.48460127628665E-30,0.916493956191751, 8.63684350856005)); #156833=CARTESIAN_POINT('Ctrl Pts',(-0.390645169870607,0.457098934933957, -0.864839664798576)); #156834=CARTESIAN_POINT('Ctrl Pts',(-0.391544494242311,0.508049887560638, -0.863462412355878)); #156835=CARTESIAN_POINT('Ctrl Pts',(-0.391900874034907,0.559084546275049, -0.862106287275359)); #156836=CARTESIAN_POINT('Ctrl Pts',(-0.391924287834602,0.610117132264228, -0.860764920742961)); #156837=CARTESIAN_POINT('Ctrl Pts',(-0.392300671153598,0.456747647236701, -0.861906298719799)); #156838=CARTESIAN_POINT('Ctrl Pts',(-0.392955843366258,0.507677942043694, -0.859692484162971)); #156839=CARTESIAN_POINT('Ctrl Pts',(-0.392779372938406,0.558686823293295, -0.857623797539409)); #156840=CARTESIAN_POINT('Ctrl Pts',(-0.392011784422597,0.609678113034014, -0.855752273900063)); #156841=CARTESIAN_POINT('Ctrl Pts',(-0.397140971322741,0.456041886220041, -0.856908279307819)); #156842=CARTESIAN_POINT('Ctrl Pts',(-0.398044232300215,0.506931553225837, -0.853070960033815)); #156843=CARTESIAN_POINT('Ctrl Pts',(-0.397589050146908,0.557888286662215, -0.849351740133619)); #156844=CARTESIAN_POINT('Ctrl Pts',(-0.396175426069534,0.608803208995381, -0.845832401958707)); #156845=CARTESIAN_POINT('Ctrl Pts',(-0.403817763799644,0.455506909467773, -0.854898044376908)); #156846=CARTESIAN_POINT('Ctrl Pts',(-0.405906123841115,0.506427672155881, -0.850348119787427)); #156847=CARTESIAN_POINT('Ctrl Pts',(-0.406467006025236,0.557426792056009, -0.845882313672031)); #156848=CARTESIAN_POINT('Ctrl Pts',(-0.406021105321847,0.608402447519302, -0.841427039490798)); #156849=CARTESIAN_POINT('Ctrl Pts',(-0.407196422649464,0.455315866491519, -0.854768078788756)); #156850=CARTESIAN_POINT('Ctrl Pts',(-0.409896425805319,0.50628093699314, -0.850191996075823)); #156851=CARTESIAN_POINT('Ctrl Pts',(-0.410971057388222,0.557329436837717, -0.845678416808915)); #156852=CARTESIAN_POINT('Ctrl Pts',(-0.411048906081532,0.608375676261108, -0.841209037817215)); #156853=CARTESIAN_POINT('Ctrl Pts',(-0.391924287834487,0.610117132013044, -0.860764920749564)); #156854=CARTESIAN_POINT('Ctrl Pts',(-0.391900874033737,0.559084546107586, -0.862106287279809)); #156855=CARTESIAN_POINT('Ctrl Pts',(-0.391544494240835,0.508049887477044, -0.863462412358138)); #156856=CARTESIAN_POINT('Ctrl Pts',(-0.390645169870607,0.457098934933957, -0.864839664798576)); #156857=CARTESIAN_POINT('Ctrl Pts',(-0.407196422649464,0.455315866491519, -0.854768078788756)); #156858=CARTESIAN_POINT('Ctrl Pts',(-0.409896425805319,0.50628093699314, -0.850191996075823)); #156859=CARTESIAN_POINT('Ctrl Pts',(-0.410971057388222,0.557329436837717, -0.845678416808915)); #156860=CARTESIAN_POINT('Ctrl Pts',(-0.411048906081532,0.608375676261108, -0.841209037817215)); #156861=CARTESIAN_POINT('Origin',(-0.332898499697446,0.750866790722718, -0.851930446172279)); #156862=CARTESIAN_POINT('Origin',(-0.332898499697446,0.750866790722718, -0.851930446172279)); #156863=CARTESIAN_POINT('Origin',(-0.333135665506923,0.906073403467804, -0.83834322604721)); #156864=CARTESIAN_POINT('Origin',(-0.333135665506923,0.906073403467804, -0.83834322604721)); #156865=CARTESIAN_POINT('Origin',(0.333135665490963,0.906073403483765,-0.838343226961624)); #156866=CARTESIAN_POINT('Origin',(0.333135665490963,0.906073403483765,-0.838343226961624)); #156867=CARTESIAN_POINT('Origin',(0.332898499712398,0.750866790737669,-0.85193044531574)); #156868=CARTESIAN_POINT('Origin',(0.332898499712398,0.750866790737669,-0.85193044531574)); #156869=CARTESIAN_POINT('Ctrl Pts',(0.391924287834715,0.610117132509042, -0.860764920736526)); #156870=CARTESIAN_POINT('Ctrl Pts',(0.391900872380149,0.559080937014109, -0.862106382142964)); #156871=CARTESIAN_POINT('Ctrl Pts',(0.391544532641677,0.50805206306521, -0.863462353549932)); #156872=CARTESIAN_POINT('Ctrl Pts',(0.390645169870608,0.457098934933957, -0.864839664798576)); #156873=CARTESIAN_POINT('Ctrl Pts',(0.392011784418993,0.609678113278631, -0.855752273891079)); #156874=CARTESIAN_POINT('Ctrl Pts',(0.392779427227851,0.558683216953071, -0.85762392990156)); #156875=CARTESIAN_POINT('Ctrl Pts',(0.392955871340811,0.507680116666218, -0.859692389637482)); #156876=CARTESIAN_POINT('Ctrl Pts',(0.392300671153598,0.4567476472367,-0.861906298719799)); #156877=CARTESIAN_POINT('Ctrl Pts',(0.396175426062716,0.608803209239627, -0.8458324019418)); #156878=CARTESIAN_POINT('Ctrl Pts',(0.397589150128279,0.557884685723132, -0.849351989036866)); #156879=CARTESIAN_POINT('Ctrl Pts',(0.398044270867666,0.506933726113633, -0.853070796187896)); #156880=CARTESIAN_POINT('Ctrl Pts',(0.39714097132274,0.456041886220041, -0.856908279307819)); #156881=CARTESIAN_POINT('Ctrl Pts',(0.406021105319733,0.608402447763849, -0.841427039469496)); #156882=CARTESIAN_POINT('Ctrl Pts',(0.406467037564924,0.557423186821532, -0.8458826287696)); #156883=CARTESIAN_POINT('Ctrl Pts',(0.405906213009944,0.506429846371401, -0.850347925514675)); #156884=CARTESIAN_POINT('Ctrl Pts',(0.403817763799644,0.455506909467772, -0.854898044376907)); #156885=CARTESIAN_POINT('Ctrl Pts',(0.411048906081906,0.608375676505987, -0.841209037795775)); #156886=CARTESIAN_POINT('Ctrl Pts',(0.41097105188592,0.557325826611144, -0.845678732903952)); #156887=CARTESIAN_POINT('Ctrl Pts',(0.409896541090095,0.506283113100515, -0.850191800686171)); #156888=CARTESIAN_POINT('Ctrl Pts',(0.407196422649463,0.455315866491519, -0.854768078788756)); #156889=CARTESIAN_POINT('',(0.407196422649462,0.455315866491519,-0.854768078788756)); #156890=CARTESIAN_POINT('',(0.390645169870608,0.457098934933957,-0.864839664798576)); #156891=CARTESIAN_POINT('Origin',(0.408060582458145,0.457057353449235,-0.874673365800029)); #156892=CARTESIAN_POINT('Ctrl Pts',(0.390645169870608,0.457098934933957, -0.864839664798576)); #156893=CARTESIAN_POINT('Ctrl Pts',(0.391544532640212,0.508052062982232, -0.863462353552175)); #156894=CARTESIAN_POINT('Ctrl Pts',(0.391900872378989,0.559080936847905, -0.86210638214738)); #156895=CARTESIAN_POINT('Ctrl Pts',(0.3919242878346,0.6101171322597,-0.86076492074308)); #156896=CARTESIAN_POINT('Ctrl Pts',(0.411048906081906,0.608375676505987, -0.841209037795775)); #156897=CARTESIAN_POINT('Ctrl Pts',(0.41097105188592,0.557325826611144, -0.845678732903952)); #156898=CARTESIAN_POINT('Ctrl Pts',(0.409896541090095,0.506283113100515, -0.850191800686171)); #156899=CARTESIAN_POINT('Ctrl Pts',(0.407196422649463,0.455315866491519, -0.854768078788756)); #156900=CARTESIAN_POINT('Ctrl Pts',(0.410508810809577,0.405980763665061, -0.839792079365223)); #156901=CARTESIAN_POINT('Ctrl Pts',(0.410489582026175,0.407637310906562, -0.841104295594171)); #156902=CARTESIAN_POINT('Ctrl Pts',(0.410474072526802,0.40935375617442, -0.84234107223284)); #156903=CARTESIAN_POINT('Ctrl Pts',(0.41046234997918,0.411123015985182, -0.843497317227566)); #156904=CARTESIAN_POINT('Ctrl Pts',(0.40558502332749,0.405707128944678, -0.840051478292941)); #156905=CARTESIAN_POINT('Ctrl Pts',(0.405564978421698,0.407373913123326, -0.841370682817047)); #156906=CARTESIAN_POINT('Ctrl Pts',(0.405548185215872,0.409103577403908, -0.842615298737087)); #156907=CARTESIAN_POINT('Ctrl Pts',(0.405534779948651,0.410884177754285, -0.843776534651015)); #156908=CARTESIAN_POINT('Ctrl Pts',(0.396059269490798,0.402789738651947, -0.843567132806959)); #156909=CARTESIAN_POINT('Ctrl Pts',(0.396035699515627,0.404569607584252, -0.84497512088252)); #156910=CARTESIAN_POINT('Ctrl Pts',(0.396014199892758,0.406413224259076, -0.8463004022544)); #156911=CARTESIAN_POINT('Ctrl Pts',(0.395994897939608,0.408314847682408, -0.84753900326215)); #156912=CARTESIAN_POINT('Ctrl Pts',(0.392090993291163,0.39667553364965, -0.851214371532972)); #156913=CARTESIAN_POINT('Ctrl Pts',(0.392063156716455,0.398688000904338, -0.852808167453679)); #156914=CARTESIAN_POINT('Ctrl Pts',(0.392036988590672,0.40077378051464, -0.854310512897603)); #156915=CARTESIAN_POINT('Ctrl Pts',(0.392012445315365,0.40292316626164, -0.855714382052586)); #156916=CARTESIAN_POINT('Ctrl Pts',(0.392023448034983,0.393608454205807, -0.855084036667861)); #156917=CARTESIAN_POINT('Ctrl Pts',(0.391993994950695,0.395738014649782, -0.856771402736606)); #156918=CARTESIAN_POINT('Ctrl Pts',(0.391966232264367,0.397944399909655, -0.858361925971122)); #156919=CARTESIAN_POINT('Ctrl Pts',(0.391940274274685,0.40021850418674, -0.859849058204034)); #156920=CARTESIAN_POINT('',(0.391940274273486,0.400218504141802,-0.859849058272732)); #156921=CARTESIAN_POINT('',(0.41046234997918,0.411123015985182,-0.843497317227565)); #156922=CARTESIAN_POINT('Origin',(0.411937228177813,0.40021850418674,-0.86019810633278)); #156923=CARTESIAN_POINT('',(0.410508810809577,0.405980763665061,-0.839792079365222)); #156924=CARTESIAN_POINT('Ctrl Pts',(0.41046234997918,0.411123015985182, -0.843497317227566)); #156925=CARTESIAN_POINT('Ctrl Pts',(0.410474072526802,0.40935375617442, -0.84234107223284)); #156926=CARTESIAN_POINT('Ctrl Pts',(0.410489582026175,0.407637310906562, -0.841104295594171)); #156927=CARTESIAN_POINT('Ctrl Pts',(0.410508810809577,0.405980763665061, -0.839792079365223)); #156928=CARTESIAN_POINT('',(0.392023448034983,0.393608454205811,-0.855084036667861)); #156929=CARTESIAN_POINT('Origin',(0.412020401938111,0.393608454205807,-0.855433084796607)); #156930=CARTESIAN_POINT('Ctrl Pts',(0.392023448034983,0.393608454205807, -0.855084036667861)); #156931=CARTESIAN_POINT('Ctrl Pts',(0.391993994950695,0.395738014649782, -0.856771402736606)); #156932=CARTESIAN_POINT('Ctrl Pts',(0.391966232264367,0.397944399909655, -0.858361925971122)); #156933=CARTESIAN_POINT('Ctrl Pts',(0.391940274274685,0.40021850418674, -0.859849058204034)); #156934=CARTESIAN_POINT('Ctrl Pts',(0.392023448034983,0.393608454205812, -0.85508403666786)); #156935=CARTESIAN_POINT('Ctrl Pts',(0.392143383282293,0.390491059172273, -0.848212950951272)); #156936=CARTESIAN_POINT('Ctrl Pts',(0.390995783209472,0.386280973022128, -0.836215267300658)); #156937=CARTESIAN_POINT('Ctrl Pts',(0.3877993641385,0.381131591193589,-0.814855558068956)); #156938=CARTESIAN_POINT('Ctrl Pts',(0.385395991043478,0.379087023810799, -0.801512919988529)); #156939=CARTESIAN_POINT('Ctrl Pts',(0.383765757728286,0.378190280362843, -0.79253522691083)); #156940=CARTESIAN_POINT('Ctrl Pts',(0.383750239641308,0.378181819490918, -0.79244979480987)); #156941=CARTESIAN_POINT('Ctrl Pts',(0.383734711836988,0.378173385775758, -0.792364313173346)); #156942=CARTESIAN_POINT('Ctrl Pts',(0.392090993291164,0.396675533649655, -0.851214371532971)); #156943=CARTESIAN_POINT('Ctrl Pts',(0.393066264020228,0.393589914615275, -0.845118411855126)); #156944=CARTESIAN_POINT('Ctrl Pts',(0.39273526302959,0.388364406207137, -0.834122560992447)); #156945=CARTESIAN_POINT('Ctrl Pts',(0.389210311225741,0.381651156950666, -0.813969245470225)); #156946=CARTESIAN_POINT('Ctrl Pts',(0.38598648052327,0.37909829716261,-0.801170917713936)); #156947=CARTESIAN_POINT('Ctrl Pts',(0.383776781253072,0.378190420936349, -0.792528848297392)); #156948=CARTESIAN_POINT('Ctrl Pts',(0.383755755424747,0.37818187432332, -0.792446605505446)); #156949=CARTESIAN_POINT('Ctrl Pts',(0.383734711836994,0.378173385775758, -0.792364313173342)); #156950=CARTESIAN_POINT('Ctrl Pts',(0.396059249624468,0.402789750079613, -0.843567118037773)); #156951=CARTESIAN_POINT('Ctrl Pts',(0.397740894895894,0.398798976049611, -0.839053188567253)); #156952=CARTESIAN_POINT('Ctrl Pts',(0.397398166619805,0.391471192035622, -0.830037507923955)); #156953=CARTESIAN_POINT('Ctrl Pts',(0.392047632652113,0.382350224804792, -0.812213345194944)); #156954=CARTESIAN_POINT('Ctrl Pts',(0.387160513116424,0.379120662369845, -0.800484612520684)); #156955=CARTESIAN_POINT('Ctrl Pts',(0.383798876289888,0.378190660169071, -0.79251610973748)); #156956=CARTESIAN_POINT('Ctrl Pts',(0.383766786991625,0.378181983988124, -0.792440226896597)); #156957=CARTESIAN_POINT('Ctrl Pts',(0.383734711837006,0.378173385775758, -0.792364313173335)); #156958=CARTESIAN_POINT('Ctrl Pts',(0.40558502332749,0.405707128944679, -0.840051478292941)); #156959=CARTESIAN_POINT('Ctrl Pts',(0.40623048096688,0.400081601831856, -0.835563777563934)); #156960=CARTESIAN_POINT('Ctrl Pts',(0.403575923144475,0.39189623718634, -0.827005822773808)); #156961=CARTESIAN_POINT('Ctrl Pts',(0.395010185974885,0.382345864957799, -0.810550057455409)); #156962=CARTESIAN_POINT('Ctrl Pts',(0.388346081135934,0.379130836519735, -0.79980573738862)); #156963=CARTESIAN_POINT('Ctrl Pts',(0.38382090236043,0.378190892060078, -0.792503330785367)); #156964=CARTESIAN_POINT('Ctrl Pts',(0.383777818558503,0.378182093652928, -0.79243384828775)); #156965=CARTESIAN_POINT('Ctrl Pts',(0.383734711837018,0.378173385775759, -0.792364313173329)); #156966=CARTESIAN_POINT('Ctrl Pts',(0.410508810809577,0.40598076366506, -0.839792079365222)); #156967=CARTESIAN_POINT('Ctrl Pts',(0.41058217229132,0.399660719506541, -0.834785723793398)); #156968=CARTESIAN_POINT('Ctrl Pts',(0.406715819871472,0.391434918750607, -0.825883364897643)); #156969=CARTESIAN_POINT('Ctrl Pts',(0.396491240310478,0.382197604776143, -0.809719340791112)); #156970=CARTESIAN_POINT('Ctrl Pts',(0.388937196988418,0.379136826624651, -0.799463417539032)); #156971=CARTESIAN_POINT('Ctrl Pts',(0.38383192749868,0.378190980654342, -0.792496961930654)); #156972=CARTESIAN_POINT('Ctrl Pts',(0.383783334341943,0.37818214848533, -0.792430658983326)); #156973=CARTESIAN_POINT('Ctrl Pts',(0.383734711837024,0.378173385775759, -0.792364313173325)); #156974=CARTESIAN_POINT('',(0.383734711837003,0.378173385775687,-0.792364313172522)); #156975=CARTESIAN_POINT('Ctrl Pts',(0.410508810809577,0.40598076366506, -0.839792079365222)); #156976=CARTESIAN_POINT('Ctrl Pts',(0.41058217229132,0.399660719506541, -0.834785723793398)); #156977=CARTESIAN_POINT('Ctrl Pts',(0.406715819871472,0.391434918750607, -0.825883364897643)); #156978=CARTESIAN_POINT('Ctrl Pts',(0.396491240310478,0.382197604776143, -0.809719340791112)); #156979=CARTESIAN_POINT('Ctrl Pts',(0.388937196988418,0.379136826624651, -0.799463417539032)); #156980=CARTESIAN_POINT('Ctrl Pts',(0.38383192749868,0.378190980654342, -0.792496961930654)); #156981=CARTESIAN_POINT('Ctrl Pts',(0.383783334341943,0.37818214848533, -0.792430658983326)); #156982=CARTESIAN_POINT('Ctrl Pts',(0.383734711837024,0.378173385775759, -0.792364313173325)); #156983=CARTESIAN_POINT('Ctrl Pts',(0.383734711836988,0.378173385775758, -0.792364313173346)); #156984=CARTESIAN_POINT('Ctrl Pts',(0.383750239641308,0.378181819490918, -0.79244979480987)); #156985=CARTESIAN_POINT('Ctrl Pts',(0.383765757728286,0.378190280362843, -0.79253522691083)); #156986=CARTESIAN_POINT('Ctrl Pts',(0.385395991043478,0.379087023810799, -0.801512919988529)); #156987=CARTESIAN_POINT('Ctrl Pts',(0.3877993641385,0.381131591193589,-0.814855558068956)); #156988=CARTESIAN_POINT('Ctrl Pts',(0.390995783209472,0.386280973022128, -0.836215267300658)); #156989=CARTESIAN_POINT('Ctrl Pts',(0.392143383282293,0.390491059172273, -0.848212950951272)); #156990=CARTESIAN_POINT('Ctrl Pts',(0.392023448034983,0.393608454205812, -0.85508403666786)); #156991=CARTESIAN_POINT('Ctrl Pts',(0.390645169870608,0.457098934933957, -0.864839664798576)); #156992=CARTESIAN_POINT('Ctrl Pts',(0.390469747499431,0.447160433093368, -0.865108311903901)); #156993=CARTESIAN_POINT('Ctrl Pts',(0.390887468053888,0.426929268390071, -0.864494323999861)); #156994=CARTESIAN_POINT('Ctrl Pts',(0.391908020931449,0.408599238338735, -0.86169685157729)); #156995=CARTESIAN_POINT('Ctrl Pts',(0.391940274272745,0.400218504186255, -0.859849058315167)); #156996=CARTESIAN_POINT('Ctrl Pts',(0.392300671153598,0.456747647236701, -0.861906298719799)); #156997=CARTESIAN_POINT('Ctrl Pts',(0.392175335341383,0.447251872109324, -0.862336366614269)); #156998=CARTESIAN_POINT('Ctrl Pts',(0.392557980287272,0.427863113067902, -0.861484697725872)); #156999=CARTESIAN_POINT('Ctrl Pts',(0.392743663922213,0.4106018253319,-0.85771418338433)); #157000=CARTESIAN_POINT('Ctrl Pts',(0.392012445290621,0.402923166268557, -0.855714382149582)); #157001=CARTESIAN_POINT('Ctrl Pts',(0.397140922122686,0.456041881644691, -0.856908199264381)); #157002=CARTESIAN_POINT('Ctrl Pts',(0.396931738050325,0.447430192453141, -0.857628057788164)); #157003=CARTESIAN_POINT('Ctrl Pts',(0.397394684154694,0.42973480804232, -0.856373031349242)); #157004=CARTESIAN_POINT('Ctrl Pts',(0.397368468048033,0.414611578852589, -0.850517961724622)); #157005=CARTESIAN_POINT('Ctrl Pts',(0.395995012814581,0.408314789341837, -0.847539094562969)); #157006=CARTESIAN_POINT('Ctrl Pts',(0.403817763799644,0.455506909467772, -0.854898044376908)); #157007=CARTESIAN_POINT('Ctrl Pts',(0.403302789199815,0.447541440148716, -0.855662107286533)); #157008=CARTESIAN_POINT('Ctrl Pts',(0.404120094553015,0.431235088401405, -0.854325959262737)); #157009=CARTESIAN_POINT('Ctrl Pts',(0.406000387781814,0.417041487597803, -0.847762877699532)); #157010=CARTESIAN_POINT('Ctrl Pts',(0.405534779933003,0.410884177766078, -0.843776534660097)); #157011=CARTESIAN_POINT('Ctrl Pts',(0.407196422649463,0.455315866491519, -0.854768078788756)); #157012=CARTESIAN_POINT('Ctrl Pts',(0.406529766000598,0.447568334070475, -0.855474841998948)); #157013=CARTESIAN_POINT('Ctrl Pts',(0.407528161728017,0.43183816781676, -0.854178272199362)); #157014=CARTESIAN_POINT('Ctrl Pts',(0.410419230519841,0.417630951141913, -0.847750376840683)); #157015=CARTESIAN_POINT('Ctrl Pts',(0.41046234997918,0.411123015985182, -0.843497317227565)); #157016=CARTESIAN_POINT('Ctrl Pts',(0.391940274272745,0.400218504186255, -0.859849058315167)); #157017=CARTESIAN_POINT('Ctrl Pts',(0.391908020931449,0.408599238338735, -0.86169685157729)); #157018=CARTESIAN_POINT('Ctrl Pts',(0.390887468053888,0.426929268390071, -0.864494323999861)); #157019=CARTESIAN_POINT('Ctrl Pts',(0.390469747499431,0.447160433093368, -0.865108311903901)); #157020=CARTESIAN_POINT('Ctrl Pts',(0.390645169870608,0.457098934933957, -0.864839664798576)); #157021=CARTESIAN_POINT('Ctrl Pts',(0.407196422649463,0.455315866491519, -0.854768078788756)); #157022=CARTESIAN_POINT('Ctrl Pts',(0.406529766000598,0.447568334070475, -0.855474841998948)); #157023=CARTESIAN_POINT('Ctrl Pts',(0.407528161728017,0.43183816781676, -0.854178272199362)); #157024=CARTESIAN_POINT('Ctrl Pts',(0.410419230519841,0.417630951141913, -0.847750376840683)); #157025=CARTESIAN_POINT('Ctrl Pts',(0.41046234997918,0.411123015985182, -0.843497317227565)); #157026=CARTESIAN_POINT('Origin',(-0.373702785782759,0.712488035782759, -1.33168718916236)); #157027=CARTESIAN_POINT('',(-0.370601457368843,0.719385184320407,-1.50953669906437)); #157028=CARTESIAN_POINT('',(-0.373702785782759,0.722486512734323,-1.33186171322673)); #157029=CARTESIAN_POINT('',(-0.380599934320407,0.709386707368843,-1.50953669906437)); #157030=CARTESIAN_POINT('Origin',(-0.370601457368843,0.709386707368843, -1.509362175)); #157031=CARTESIAN_POINT('',(-0.383701262734323,0.712488035782759,-1.33186171322673)); #157032=CARTESIAN_POINT('Origin',(-0.38686561904682,1.01608868,-1.15057586149776)); #157033=CARTESIAN_POINT('',(-0.391940746462697,0.394018727695635,-0.859822006570896)); #157034=CARTESIAN_POINT('',(-0.391926723058117,0.578143347361365,-0.860625406881225)); #157035=CARTESIAN_POINT('Ctrl Pts',(-0.392023448034983,0.393608454205814, -0.85508403666786)); #157036=CARTESIAN_POINT('Ctrl Pts',(-0.391995880844089,0.393745211948303, -0.856663359976442)); #157037=CARTESIAN_POINT('Ctrl Pts',(-0.391968313653327,0.393881969778238, -0.858242683277455)); #157038=CARTESIAN_POINT('Ctrl Pts',(-0.391940746462698,0.394018727695635, -0.859822006570896)); #157039=CARTESIAN_POINT('Origin',(-0.38686561904682,1.01608868,-1.15057586149776)); #157040=CARTESIAN_POINT('',(-0.380599934320407,0.700908037388976,-1.50953669906437)); #157041=CARTESIAN_POINT('',(-0.380599934320407,0.89218526,-1.50953669906437)); #157042=CARTESIAN_POINT('',(-0.391918235014479,0.689589736694904,-0.861111686575588)); #157043=CARTESIAN_POINT('',(-0.386708995150228,0.694798976559155,-1.15954883852395)); #157044=CARTESIAN_POINT('',(-0.391926723058117,0.578143347361365,-0.860625406881225)); #157045=CARTESIAN_POINT('Origin',(-0.426245388974727,0.71921368,-1.519362175)); #157046=CARTESIAN_POINT('',(-0.321413847198262,0.719385184320407,-1.50953669906437)); #157047=CARTESIAN_POINT('',(-0.321255284789568,0.719226621911713,-1.51862073337455)); #157048=CARTESIAN_POINT('',(-0.213122694487364,0.719385184320407,-1.50953669906437)); #157049=CARTESIAN_POINT('Origin',(-0.321255284789568,0.73922357581484,-1.5189697815033)); #157050=CARTESIAN_POINT('',(-0.301416893295134,0.739382138223535,-1.50953669906437)); #157051=CARTESIAN_POINT('',(-0.30125833088644,0.73922357581484,-1.51862073337455)); #157052=CARTESIAN_POINT('Origin',(-0.321422986184888,0.73939127721016,-1.509362175)); #157053=CARTESIAN_POINT('Origin',(-0.301245388974727,0.71921368,-1.519362175)); #157054=CARTESIAN_POINT('',(-0.301416893295134,0.917795221776465,-1.50953669906437)); #157055=CARTESIAN_POINT('',(-0.301272604030773,0.917939511040826,-1.51780302548336)); #157056=CARTESIAN_POINT('',(-0.301416893295134,0.74374776,-1.50953669906437)); #157057=CARTESIAN_POINT('Origin',(-0.321269557933901,0.917939511040826, -1.51815207361211)); #157058=CARTESIAN_POINT('',(-0.321413847198262,0.937792175679593,-1.50953669906437)); #157059=CARTESIAN_POINT('',(-0.321269557933901,0.937936464943954,-1.51780302548336)); #157060=CARTESIAN_POINT('Origin',(-0.321422986184888,0.91778608278984,-1.509362175)); #157061=CARTESIAN_POINT('Origin',(-0.301245388974727,0.93796368,-1.519362175)); #157062=CARTESIAN_POINT('',(-0.370601457368843,0.937792175679593,-1.50953669906437)); #157063=CARTESIAN_POINT('',(-0.373626662346316,0.934766970702119,-1.33622282197974)); #157064=CARTESIAN_POINT('',(-0.150622694487364,0.937792175679593,-1.50953669906437)); #157065=CARTESIAN_POINT('Origin',(-0.373626662346316,0.944765447653683, -1.33604829791536)); #157066=CARTESIAN_POINT('',(-0.380599934320407,0.947790652631157,-1.50953669906437)); #157067=CARTESIAN_POINT('',(-0.38362513929788,0.944765447653683,-1.33622282197974)); #157068=CARTESIAN_POINT('Origin',(-0.370601457368843,0.947790652631157, -1.509362175)); #157069=CARTESIAN_POINT('Origin',(-0.38686561904682,1.01608868,-1.15057586149776)); #157070=CARTESIAN_POINT('',(-0.380599934320407,0.956269322611023,-1.50953669906437)); #157071=CARTESIAN_POINT('',(-0.38676608772756,0.962435476018177,-1.1562780069592)); #157072=CARTESIAN_POINT('',(-0.380599934320407,0.89218526,-1.50953669906437)); #157073=CARTESIAN_POINT('Origin',(-0.326775226018176,0.962435476018177, -1.15523086257296)); #157074=CARTESIAN_POINT('',(-0.320609072611023,1.01626018432041,-1.50953669906437)); #157075=CARTESIAN_POINT('',(-0.326775226018176,1.02242633772756,-1.1562780069592)); #157076=CARTESIAN_POINT('Origin',(-0.320593840966648,0.956254090966648, -1.509362175)); #157077=CARTESIAN_POINT('Origin',(0.38042843,1.02252586904682,-1.15057586149776)); #157078=CARTESIAN_POINT('',(0.320609072611024,1.01626018432041,-1.50953669906437)); #157079=CARTESIAN_POINT('',(0.326815608462886,1.02246672017227,-1.15396449825125)); #157080=CARTESIAN_POINT('',(0.190214215,1.01626018432041,-1.50953669906437)); #157081=CARTESIAN_POINT('',(0.2616994225,1.02506057890318,-1.00536243108177)); #157082=CARTESIAN_POINT('Origin',(0.326815608462886,0.962475858462886,-1.15291735386501)); #157083=CARTESIAN_POINT('',(0.380599934320407,0.956269322611023,-1.50953669906437)); #157084=CARTESIAN_POINT('',(0.386806470172269,0.962475858462886,-1.15396449825125)); #157085=CARTESIAN_POINT('Origin',(0.320593840966648,0.956254090966648,-1.509362175)); #157086=CARTESIAN_POINT('Origin',(0.38686561904682,0.520475,-1.15057586149776)); #157087=CARTESIAN_POINT('',(0.380599934320407,0.947790652631157,-1.50953669906437)); #157088=CARTESIAN_POINT('',(0.38371962578993,0.944670961161634,-1.33080969447557)); #157089=CARTESIAN_POINT('',(0.380599934320407,0.64437842,-1.50953669906437)); #157090=CARTESIAN_POINT('Origin',(0.373721148838366,0.944670961161634,-1.3306351704112)); #157091=CARTESIAN_POINT('',(0.370601457368843,0.937792175679593,-1.50953669906437)); #157092=CARTESIAN_POINT('',(0.373721148838366,0.93467248421007,-1.33080969447557)); #157093=CARTESIAN_POINT('Origin',(0.370601457368843,0.947790652631157,-1.509362175)); #157094=CARTESIAN_POINT('Origin',(0.426245388974729,0.93796368,-1.519362175)); #157095=CARTESIAN_POINT('',(0.321413847198264,0.937792175679593,-1.50953669906437)); #157096=CARTESIAN_POINT('',(0.321255284789569,0.937950738088287,-1.51862073337455)); #157097=CARTESIAN_POINT('',(0.213122694487364,0.937792175679593,-1.50953669906437)); #157098=CARTESIAN_POINT('Origin',(0.321255284789569,0.917953784185159,-1.5189697815033)); #157099=CARTESIAN_POINT('',(0.301416893295136,0.917795221776465,-1.50953669906437)); #157100=CARTESIAN_POINT('',(0.301258330886442,0.917953784185159,-1.51862073337455)); #157101=CARTESIAN_POINT('Origin',(0.321422986184889,0.91778608278984,-1.509362175)); #157102=CARTESIAN_POINT('Origin',(0.301245388974729,0.93796368,-1.519362175)); #157103=CARTESIAN_POINT('',(0.301416893295136,0.739382138223535,-1.50953669906437)); #157104=CARTESIAN_POINT('',(0.301272604030774,0.739237848959173,-1.51780302548336)); #157105=CARTESIAN_POINT('',(0.301416893295136,0.85312276,-1.50953669906437)); #157106=CARTESIAN_POINT('Origin',(0.321269557933902,0.739237848959173,-1.51815207361211)); #157107=CARTESIAN_POINT('',(0.321413847198264,0.719385184320407,-1.50953669906437)); #157108=CARTESIAN_POINT('',(0.321269557933902,0.719240895056045,-1.51780302548336)); #157109=CARTESIAN_POINT('Origin',(0.321422986184889,0.73939127721016,-1.509362175)); #157110=CARTESIAN_POINT('Origin',(0.301245388974729,0.71921368,-1.519362175)); #157111=CARTESIAN_POINT('',(0.370601457368843,0.719385184320407,-1.50953669906437)); #157112=CARTESIAN_POINT('',(0.373608299290709,0.722392026242273,-1.3372748407309)); #157113=CARTESIAN_POINT('',(0.150622694487364,0.719385184320407,-1.50953669906437)); #157114=CARTESIAN_POINT('Origin',(0.373608299290709,0.712393549290709,-1.33710031666652)); #157115=CARTESIAN_POINT('',(0.380599934320407,0.709386707368843,-1.50953669906437)); #157116=CARTESIAN_POINT('',(0.383606776242273,0.712393549290709,-1.3372748407309)); #157117=CARTESIAN_POINT('Origin',(0.370601457368843,0.709386707368843,-1.509362175)); #157118=CARTESIAN_POINT('Origin',(0.381947120495924,0.330326225319272,-0.859369569774006)); #157119=CARTESIAN_POINT('',(0.391940746462697,0.394018727695679,-0.859822006570896)); #157120=CARTESIAN_POINT('',(0.391945597447488,0.330326225319272,-0.859544093838379)); #157121=CARTESIAN_POINT('Origin',(0.381942269511134,0.394018727695679,-0.859647482506523)); #157122=CARTESIAN_POINT('',(0.391918235014479,0.689589736694904,-0.861111686575588)); #157123=CARTESIAN_POINT('Ctrl Pts',(0.391918235014479,0.689589736694904, -0.861111686575588)); #157124=CARTESIAN_POINT('Ctrl Pts',(0.391917966545557,0.689513693500722, -0.86112706714981)); #157125=CARTESIAN_POINT('Ctrl Pts',(0.391917662943751,0.689437645731215, -0.861142449118156)); #157126=CARTESIAN_POINT('Ctrl Pts',(0.391897493930091,0.685009153797145, -0.862038022961438)); #157127=CARTESIAN_POINT('Ctrl Pts',(0.39159386242263,0.676166617162871, -0.863838249111043)); #157128=CARTESIAN_POINT('Ctrl Pts',(0.389677447989009,0.654660025101998, -0.867944950180145)); #157129=CARTESIAN_POINT('Ctrl Pts',(0.385892482183915,0.63785391159539, -0.870711516150007)); #157130=CARTESIAN_POINT('Ctrl Pts',(0.381924433447015,0.628159204699039, -0.870669214742714)); #157131=CARTESIAN_POINT('',(0.391945597447488,0.330326225319272,-0.859544093838379)); #157132=CARTESIAN_POINT('Origin',(0.38686561904682,0.520475,-1.15057586149776)); #157133=CARTESIAN_POINT('Ctrl Pts',(0.391940746462698,0.394018727695635, -0.859822006570896)); #157134=CARTESIAN_POINT('Ctrl Pts',(0.391968313653327,0.393881969778238, -0.858242683277455)); #157135=CARTESIAN_POINT('Ctrl Pts',(0.391995880844089,0.393745211948304, -0.856663359976442)); #157136=CARTESIAN_POINT('Ctrl Pts',(0.392023448034983,0.393608454205815, -0.85508403666786)); #157137=CARTESIAN_POINT('Origin',(0.38686561904682,0.520475,-1.15057586149776)); #157138=CARTESIAN_POINT('',(0.380599934320407,0.700908037388976,-1.50953669906437)); #157139=CARTESIAN_POINT('',(0.386900288860816,0.694607682848568,-1.14858962918418)); #157140=CARTESIAN_POINT('',(0.380599934320407,0.64437842,-1.50953669906437)); #157141=CARTESIAN_POINT('Ctrl Pts',(0.383734711837003,0.378173385775687, -0.792364313172522)); #157142=CARTESIAN_POINT('Ctrl Pts',(0.383337728734288,0.380140557788358, -0.815082556990082)); #157143=CARTESIAN_POINT('Ctrl Pts',(0.382940745249519,0.382107747852161, -0.837800799245379)); #157144=CARTESIAN_POINT('Ctrl Pts',(0.382543761381616,0.384074956017828, -0.860519039934)); #157145=CARTESIAN_POINT('Ctrl Pts',(0.386244699805588,0.378328208932821, -0.79239471886363)); #157146=CARTESIAN_POINT('Ctrl Pts',(0.385847795638812,0.380295274267727, -0.815112973223052)); #157147=CARTESIAN_POINT('Ctrl Pts',(0.385450891453626,0.382262364278337, -0.837831225425076)); #157148=CARTESIAN_POINT('Ctrl Pts',(0.385053986656396,0.384229465545522, -0.860549476677159)); #157149=CARTESIAN_POINT('Ctrl Pts',(0.391123634654955,0.380621073099571, -0.792281339293131)); #157150=CARTESIAN_POINT('Ctrl Pts',(0.390726964512222,0.382588058612141, -0.81499960341424)); #157151=CARTESIAN_POINT('Ctrl Pts',(0.390330295579443,0.384555060153213, -0.837717866181792)); #157152=CARTESIAN_POINT('Ctrl Pts',(0.389933625250129,0.386522081413108, -0.860436127216115)); #157153=CARTESIAN_POINT('Ctrl Pts',(0.393126605744976,0.385611509218183, -0.791884173789692)); #157154=CARTESIAN_POINT('Ctrl Pts',(0.392730059282387,0.387578634856827, -0.814602425277487)); #157155=CARTESIAN_POINT('Ctrl Pts',(0.392333506570318,0.389545780083276, -0.837320674956567)); #157156=CARTESIAN_POINT('Ctrl Pts',(0.39193696016656,0.391512941730587, -0.86003892333132)); #157157=CARTESIAN_POINT('Ctrl Pts',(0.393130391643484,0.388117078412353, -0.791667279809418)); #157158=CARTESIAN_POINT('Ctrl Pts',(0.392733843222594,0.390084276775896, -0.814385523626978)); #157159=CARTESIAN_POINT('Ctrl Pts',(0.392337294828972,0.392051493186776, -0.837103765882275)); #157160=CARTESIAN_POINT('Ctrl Pts',(0.391940746462698,0.394018727695635, -0.859822006570896)); #157161=CARTESIAN_POINT('',(0.382543761381616,0.384074956017828,-0.860519039934)); #157162=CARTESIAN_POINT('Ctrl Pts',(0.383734711837003,0.378173385775687, -0.792364313172522)); #157163=CARTESIAN_POINT('Ctrl Pts',(0.383337728734288,0.380140557788358, -0.815082556990082)); #157164=CARTESIAN_POINT('Ctrl Pts',(0.382940745249519,0.382107747852161, -0.837800799245379)); #157165=CARTESIAN_POINT('Ctrl Pts',(0.382543761381616,0.384074956017828, -0.860519039934)); #157166=CARTESIAN_POINT('Origin',(0.381942269511134,0.394018727695635,-0.859647482506523)); #157167=CARTESIAN_POINT('Ctrl Pts',(1.20153622023767,0.47458604560813,-0.715680502068471)); #157168=CARTESIAN_POINT('Ctrl Pts',(0.933626827983807,0.426353328088185, -0.753917450247204)); #157169=CARTESIAN_POINT('Ctrl Pts',(0.659434877056519,0.393794096842906, -0.779899589068296)); #157170=CARTESIAN_POINT('Ctrl Pts',(0.383734711837036,0.378173385775761, -0.792364313173346)); #157171=CARTESIAN_POINT('Ctrl Pts',(1.20405543253305,0.476882107184561, -0.736000389374488)); #157172=CARTESIAN_POINT('Ctrl Pts',(0.935636609579439,0.428439085209296, -0.774479824325256)); #157173=CARTESIAN_POINT('Ctrl Pts',(0.660892638799881,0.395743062696265, -0.80062507346429)); #157174=CARTESIAN_POINT('Ctrl Pts',(0.384579762097505,0.380048404757186, -0.813172142907819)); #157175=CARTESIAN_POINT('Ctrl Pts',(1.20522144771631,0.497458153342632, -0.769731060304632)); #157176=CARTESIAN_POINT('Ctrl Pts',(0.936609827724541,0.449069987034454, -0.80858478938241)); #157177=CARTESIAN_POINT('Ctrl Pts',(0.661571864549237,0.416381878023726, -0.835005901707305)); #157178=CARTESIAN_POINT('Ctrl Pts',(0.384987970463467,0.400701578100569, -0.847679797532136)); #157179=CARTESIAN_POINT('Ctrl Pts',(1.20009516426239,0.533955950226867, -0.779705830983086)); #157180=CARTESIAN_POINT('Ctrl Pts',(0.932544243391916,0.486095844954957, -0.818376226164475)); #157181=CARTESIAN_POINT('Ctrl Pts',(0.658681642857746,0.453780216701804, -0.844656417393)); #157182=CARTESIAN_POINT('Ctrl Pts',(0.383298823687915,0.438277886802721, -0.857265739235059)); #157183=CARTESIAN_POINT('Ctrl Pts',(1.19723073540601,0.549110763750295, -0.778748225385725)); #157184=CARTESIAN_POINT('Ctrl Pts',(0.930274340466851,0.501466073315831, -0.817238169650473)); #157185=CARTESIAN_POINT('Ctrl Pts',(0.657064923662581,0.469304866450066, -0.843392358915983)); #157186=CARTESIAN_POINT('Ctrl Pts',(0.382355176211352,0.453875112832855, -0.855939622415206)); #157187=CARTESIAN_POINT('',(1.19723073540601,0.549110763750294,-0.778748225385725)); #157188=CARTESIAN_POINT('Ctrl Pts',(0.407196386314512,0.455316204055496, -0.854767750767173)); #157189=CARTESIAN_POINT('Ctrl Pts',(0.673532398819546,0.471258098508519, -0.841804180788576)); #157190=CARTESIAN_POINT('Ctrl Pts',(0.938322406704279,0.502902441321046, -0.816077794297831)); #157191=CARTESIAN_POINT('Ctrl Pts',(1.19723073540601,0.549110763750295, -0.778748225385725)); #157192=CARTESIAN_POINT('',(1.20153622024014,0.474586045608607,-0.715680502068473)); #157193=CARTESIAN_POINT('Origin',(1.18833798686998,0.543059293164033,-0.709579600076136)); #157194=CARTESIAN_POINT('',(0.393125726811066,0.37871199765409,-0.791934529430869)); #157195=CARTESIAN_POINT('Ctrl Pts',(1.20153622023767,0.47458604560813,-0.715680502068471)); #157196=CARTESIAN_POINT('Ctrl Pts',(0.936668898338822,0.426901003251325, -0.753483275522898)); #157197=CARTESIAN_POINT('Ctrl Pts',(0.665660882734341,0.394535527891847, -0.779307962564137)); #157198=CARTESIAN_POINT('Ctrl Pts',(0.393125721660792,0.378712048091302, -0.791934481384174)); #157199=CARTESIAN_POINT('Ctrl Pts',(0.393125721633895,0.37871204808974, -0.79193448138542)); #157200=CARTESIAN_POINT('Ctrl Pts',(0.389995584152672,0.378530311292886, -0.79207950025072)); #157201=CARTESIAN_POINT('Ctrl Pts',(0.386865245223823,0.378350756570886, -0.792222778129517)); #157202=CARTESIAN_POINT('Ctrl Pts',(0.383734711837036,0.378173385775761, -0.792364313173346)); #157203=CARTESIAN_POINT('Ctrl Pts',(-0.391918235014479,0.689589736694904, -0.861111686575588)); #157204=CARTESIAN_POINT('Ctrl Pts',(-0.39191796654559,0.689513693510085, -0.861127067147903)); #157205=CARTESIAN_POINT('Ctrl Pts',(-0.391917671771335,0.689437686779612, -0.861142456328214)); #157206=CARTESIAN_POINT('Ctrl Pts',(-0.391855840721403,0.676294558332839, -0.863796436471148)); #157207=CARTESIAN_POINT('Ctrl Pts',(-0.390339473235275,0.658616003805731, -0.86739510362758)); #157208=CARTESIAN_POINT('Ctrl Pts',(-0.385696578193716,0.638621483954291, -0.870195522374333)); #157209=CARTESIAN_POINT('Ctrl Pts',(-0.38324711635979,0.631390773665651, -0.870683315211816)); #157210=CARTESIAN_POINT('Ctrl Pts',(-0.381924433447015,0.628159204699039, -0.870669214742714)); #157211=CARTESIAN_POINT('Ctrl Pts',(-0.391918235014479,0.689589736694904, -0.861111686575588)); #157212=CARTESIAN_POINT('Ctrl Pts',(-0.391919134606616,0.689520294431747, -0.861137568435862)); #157213=CARTESIAN_POINT('Ctrl Pts',(-0.391915842034819,0.689450178908965, -0.861163032621683)); #157214=CARTESIAN_POINT('Ctrl Pts',(-0.391908618990329,0.677425403456854, -0.865618578940573)); #157215=CARTESIAN_POINT('Ctrl Pts',(-0.387940034643153,0.661166565101076, -0.871550694296345)); #157216=CARTESIAN_POINT('Ctrl Pts',(-0.379678617071603,0.644183488155563, -0.8727181408203)); #157217=CARTESIAN_POINT('Ctrl Pts',(-0.376625565526181,0.63852723104378, -0.871589303527568)); #157218=CARTESIAN_POINT('Ctrl Pts',(-0.375429413894764,0.636134789800726, -0.870704015018513)); #157219=CARTESIAN_POINT('Ctrl Pts',(-0.391918235014479,0.689589736694904, -0.861111686575588)); #157220=CARTESIAN_POINT('Ctrl Pts',(-0.391918696143256,0.689526592406863, -0.86114786498181)); #157221=CARTESIAN_POINT('Ctrl Pts',(-0.391915752810504,0.689462988278613, -0.861183856672359)); #157222=CARTESIAN_POINT('Ctrl Pts',(-0.391846970881924,0.678531475478732, -0.867413480202737)); #157223=CARTESIAN_POINT('Ctrl Pts',(-0.387358991431258,0.663771337813495, -0.875860329073952)); #157224=CARTESIAN_POINT('Ctrl Pts',(-0.376406299780983,0.649551060357222, -0.880490121349365)); #157225=CARTESIAN_POINT('Ctrl Pts',(-0.371648689280826,0.645169485773621, -0.880473624645762)); #157226=CARTESIAN_POINT('Ctrl Pts',(-0.369481604707116,0.643398459418692, -0.87989543546368)); #157227=CARTESIAN_POINT('Ctrl Pts',(-0.391918235014479,0.689589736694904, -0.861111686575588)); #157228=CARTESIAN_POINT('Ctrl Pts',(-0.391917423896271,0.689532799822546, -0.861158155506593)); #157229=CARTESIAN_POINT('Ctrl Pts',(-0.391916553475149,0.689475890352728, -0.861204650441967)); #157230=CARTESIAN_POINT('Ctrl Pts',(-0.391741698832387,0.679635026074007, -0.869223349962341)); #157231=CARTESIAN_POINT('Ctrl Pts',(-0.388281101147639,0.666398632031424, -0.880114430671586)); #157232=CARTESIAN_POINT('Ctrl Pts',(-0.377795368773011,0.651420247853984, -0.888662200995026)); #157233=CARTESIAN_POINT('Ctrl Pts',(-0.372276588147829,0.646000201910176, -0.890188922560929)); #157234=CARTESIAN_POINT('Ctrl Pts',(-0.369300187830702,0.643576368639567, -0.890178036495697)); #157235=CARTESIAN_POINT('',(-0.369300187830702,0.643576368639567,-0.890178036495698)); #157236=CARTESIAN_POINT('Origin',(-0.381924433447015,0.628071938513344, -0.890669024357128)); #157237=CARTESIAN_POINT('Ctrl Pts',(-0.381924433447015,0.628159204699039, -0.870669214742714)); #157238=CARTESIAN_POINT('Ctrl Pts',(-0.38324711635979,0.631390773665651, -0.870683315211816)); #157239=CARTESIAN_POINT('Ctrl Pts',(-0.385696578193716,0.638621483954291, -0.870195522374333)); #157240=CARTESIAN_POINT('Ctrl Pts',(-0.390339473235275,0.658616003805731, -0.86739510362758)); #157241=CARTESIAN_POINT('Ctrl Pts',(-0.391855840721403,0.676294558332839, -0.863796436471148)); #157242=CARTESIAN_POINT('Ctrl Pts',(-0.391917671771335,0.689437686779612, -0.861142456328214)); #157243=CARTESIAN_POINT('Ctrl Pts',(-0.39191796654559,0.689513693510085, -0.861127067147903)); #157244=CARTESIAN_POINT('Ctrl Pts',(-0.391918235014479,0.689589736694904, -0.861111686575588)); #157245=CARTESIAN_POINT('Ctrl Pts',(-0.391918235014479,0.689589736694904, -0.861111686575588)); #157246=CARTESIAN_POINT('Ctrl Pts',(-0.391917423896271,0.689532799822546, -0.861158155506593)); #157247=CARTESIAN_POINT('Ctrl Pts',(-0.391916553475149,0.689475890352728, -0.861204650441967)); #157248=CARTESIAN_POINT('Ctrl Pts',(-0.391741698832387,0.679635026074007, -0.869223349962341)); #157249=CARTESIAN_POINT('Ctrl Pts',(-0.388281101147639,0.666398632031424, -0.880114430671586)); #157250=CARTESIAN_POINT('Ctrl Pts',(-0.377795368773011,0.651420247853984, -0.888662200995026)); #157251=CARTESIAN_POINT('Ctrl Pts',(-0.372276588147829,0.646000201910176, -0.890188922560929)); #157252=CARTESIAN_POINT('Ctrl Pts',(-0.369300187830702,0.643576368639567, -0.890178036495697)); #157253=CARTESIAN_POINT('Ctrl Pts',(-0.331418908710823,0.630107339579793, -0.890241603672072)); #157254=CARTESIAN_POINT('Ctrl Pts',(-0.345087159951789,0.630105952421581, -0.890162133431302)); #157255=CARTESIAN_POINT('Ctrl Pts',(-0.358704403425225,0.634947685655491, -0.890139282837612)); #157256=CARTESIAN_POINT('Ctrl Pts',(-0.369300187830702,0.643576368639567, -0.890178036495697)); #157257=CARTESIAN_POINT('Ctrl Pts',(-0.331418908710823,0.630017228168187, -0.885079124358631)); #157258=CARTESIAN_POINT('Ctrl Pts',(-0.34510380811193,0.629995105938771, -0.885013229473428)); #157259=CARTESIAN_POINT('Ctrl Pts',(-0.358776778057582,0.634843432789369, -0.884998107130873)); #157260=CARTESIAN_POINT('Ctrl Pts',(-0.369390896268909,0.64348741402913, -0.885036735979689)); #157261=CARTESIAN_POINT('Ctrl Pts',(-0.331418908710823,0.625627685920418, -0.874868889450993)); #157262=CARTESIAN_POINT('Ctrl Pts',(-0.346126714441149,0.62558881242764, -0.874845860250199)); #157263=CARTESIAN_POINT('Ctrl Pts',(-0.360795554251434,0.630779137802543, -0.874856154104694)); #157264=CARTESIAN_POINT('Ctrl Pts',(-0.372207406931638,0.640073045833915, -0.874897022730613)); #157265=CARTESIAN_POINT('Ctrl Pts',(-0.331418908710823,0.615360868415609, -0.870613371111588)); #157266=CARTESIAN_POINT('Ctrl Pts',(-0.348463336976609,0.615347497364162, -0.870613726568746)); #157267=CARTESIAN_POINT('Ctrl Pts',(-0.3654594214585,0.621383121008804, -0.870639653991352)); #157268=CARTESIAN_POINT('Ctrl Pts',(-0.37867692367089,0.632146997249883, -0.870686614880613)); #157269=CARTESIAN_POINT('Ctrl Pts',(-0.331418908710823,0.610197651862361, -0.870590842186403)); #157270=CARTESIAN_POINT('Ctrl Pts',(-0.349641394154495,0.610197651862361, -0.870590842186403)); #157271=CARTESIAN_POINT('Ctrl Pts',(-0.367796695217849,0.616654319742133, -0.870619014893495)); #157272=CARTESIAN_POINT('Ctrl Pts',(-0.381924433447015,0.628159204699039, -0.870669214742714)); #157273=CARTESIAN_POINT('Origin',(-0.331418908710823,0.610110385676666, -0.890590651800817)); #157274=CARTESIAN_POINT('Origin',(-0.33138844368177,0.690128666318229,-0.890939799631326)); #157275=CARTESIAN_POINT('Origin',(0.2886984665,0.610110385676666,-0.890590651800817)); #157276=CARTESIAN_POINT('Origin',(-0.326718133440845,0.694798976559155, -1.15850169413771)); #157277=CARTESIAN_POINT('Origin',(-0.320593840966648,0.700923269033352, -1.509362175)); #157278=CARTESIAN_POINT('Origin',(-0.381928246106553,0.578143347361365, -0.860450882816852)); #157279=CARTESIAN_POINT('Origin',(-0.381942269511134,0.394018727695643, -0.859647482506523)); #157280=CARTESIAN_POINT('Origin',(0.2886984665,0.610110385676666,-0.890590651800817)); #157281=CARTESIAN_POINT('Origin',(0.331418908710823,0.610110385676666,-0.890590651800817)); #157282=CARTESIAN_POINT('Ctrl Pts',(0.369300187830702,0.643576368639567, -0.890178036495698)); #157283=CARTESIAN_POINT('Ctrl Pts',(0.358704403425225,0.634947685655491, -0.890139282837612)); #157284=CARTESIAN_POINT('Ctrl Pts',(0.34508715995179,0.630105952421581, -0.890162133431302)); #157285=CARTESIAN_POINT('Ctrl Pts',(0.331418908710823,0.630107339579793, -0.890241603672072)); #157286=CARTESIAN_POINT('Ctrl Pts',(0.369390896268909,0.64348741402913, -0.885036735979689)); #157287=CARTESIAN_POINT('Ctrl Pts',(0.358776778057582,0.634843432789369, -0.884998107130873)); #157288=CARTESIAN_POINT('Ctrl Pts',(0.34510380811193,0.629995105938771, -0.885013229473428)); #157289=CARTESIAN_POINT('Ctrl Pts',(0.331418908710823,0.630017228168187, -0.885079124358631)); #157290=CARTESIAN_POINT('Ctrl Pts',(0.372207406931638,0.640073045833915, -0.874897022730613)); #157291=CARTESIAN_POINT('Ctrl Pts',(0.360795554251434,0.630779137802543, -0.874856154104695)); #157292=CARTESIAN_POINT('Ctrl Pts',(0.34612671444115,0.62558881242764,-0.874845860250199)); #157293=CARTESIAN_POINT('Ctrl Pts',(0.331418908710823,0.625627685920418, -0.874868889450994)); #157294=CARTESIAN_POINT('Ctrl Pts',(0.37867692367089,0.632146997249883, -0.870686614880613)); #157295=CARTESIAN_POINT('Ctrl Pts',(0.3654594214585,0.621383121008804,-0.870639653991352)); #157296=CARTESIAN_POINT('Ctrl Pts',(0.348463336976609,0.615347497364162, -0.870613726568746)); #157297=CARTESIAN_POINT('Ctrl Pts',(0.331418908710823,0.615360868415609, -0.870613371111588)); #157298=CARTESIAN_POINT('Ctrl Pts',(0.381924433447015,0.628159204699039, -0.870669214742714)); #157299=CARTESIAN_POINT('Ctrl Pts',(0.367796695217849,0.616654319742133, -0.870619014893495)); #157300=CARTESIAN_POINT('Ctrl Pts',(0.349641394154495,0.610197651862361, -0.870590842186403)); #157301=CARTESIAN_POINT('Ctrl Pts',(0.331418908710823,0.610197651862361, -0.870590842186403)); #157302=CARTESIAN_POINT('',(0.369300187830702,0.643576368639567,-0.890178036495698)); #157303=CARTESIAN_POINT('Origin',(0.381924433447015,0.628071938513344,-0.890669024357128)); #157304=CARTESIAN_POINT('Origin',(0.33138844368177,0.690128666318229,-0.890939799631326)); #157305=CARTESIAN_POINT('Ctrl Pts',(0.381924433447015,0.628159204699039, -0.870669214742714)); #157306=CARTESIAN_POINT('Ctrl Pts',(0.385892482183915,0.63785391159539, -0.870711516150007)); #157307=CARTESIAN_POINT('Ctrl Pts',(0.389677447989009,0.654660025101998, -0.867944950180145)); #157308=CARTESIAN_POINT('Ctrl Pts',(0.39159386242263,0.676166617162871, -0.863838249111043)); #157309=CARTESIAN_POINT('Ctrl Pts',(0.391897493930091,0.685009153797145, -0.862038022961438)); #157310=CARTESIAN_POINT('Ctrl Pts',(0.391917662943751,0.689437645731215, -0.861142449118156)); #157311=CARTESIAN_POINT('Ctrl Pts',(0.391917966545557,0.689513693500722, -0.86112706714981)); #157312=CARTESIAN_POINT('Ctrl Pts',(0.391918235014479,0.689589736694904, -0.861111686575588)); #157313=CARTESIAN_POINT('Ctrl Pts',(0.37867692367089,0.632146997249883, -0.870686614880613)); #157314=CARTESIAN_POINT('Ctrl Pts',(0.3824289755477,0.64058590709149,-0.872040168331167)); #157315=CARTESIAN_POINT('Ctrl Pts',(0.388359599434299,0.656208801378906, -0.870019095779303)); #157316=CARTESIAN_POINT('Ctrl Pts',(0.391367551238026,0.676737577219636, -0.864700691336369)); #157317=CARTESIAN_POINT('Ctrl Pts',(0.391889451516653,0.685201021165163, -0.862350324935478)); #157318=CARTESIAN_POINT('Ctrl Pts',(0.391917453484969,0.689444014390989, -0.861152807488629)); #157319=CARTESIAN_POINT('Ctrl Pts',(0.391917876103993,0.689516877886528, -0.861132248543565)); #157320=CARTESIAN_POINT('Ctrl Pts',(0.391918235014479,0.689589736694904, -0.861111686575588)); #157321=CARTESIAN_POINT('Ctrl Pts',(0.372207457757703,0.640072983060693, -0.874897105227947)); #157322=CARTESIAN_POINT('Ctrl Pts',(0.377205865422688,0.646071369054627, -0.877340813413661)); #157323=CARTESIAN_POINT('Ctrl Pts',(0.386545191562049,0.65931208959248, -0.874489403158957)); #157324=CARTESIAN_POINT('Ctrl Pts',(0.391043307127643,0.677887422578776, -0.866483781209591)); #157325=CARTESIAN_POINT('Ctrl Pts',(0.391874474022587,0.685585307219861, -0.862973112488435)); #157326=CARTESIAN_POINT('Ctrl Pts',(0.391917061256737,0.689456745579018, -0.861173547583504)); #157327=CARTESIAN_POINT('Ctrl Pts',(0.391917695220864,0.68952324665814, -0.861142611331075)); #157328=CARTESIAN_POINT('Ctrl Pts',(0.391918235014479,0.689589736694904, -0.861111686575588)); #157329=CARTESIAN_POINT('Ctrl Pts',(0.369390896268909,0.64348741402913, -0.885036735979689)); #157330=CARTESIAN_POINT('Ctrl Pts',(0.377107072985658,0.649764852538511, -0.885908170873167)); #157331=CARTESIAN_POINT('Ctrl Pts',(0.386414979346892,0.662132245516427, -0.879353660862836)); #157332=CARTESIAN_POINT('Ctrl Pts',(0.391074105881593,0.67899260640716, -0.868398589445658)); #157333=CARTESIAN_POINT('Ctrl Pts',(0.391864049417663,0.685967989038051, -0.863598250133142)); #157334=CARTESIAN_POINT('Ctrl Pts',(0.391916698401132,0.689469493898211, -0.861194265739302)); #157335=CARTESIAN_POINT('Ctrl Pts',(0.391917514337735,0.689529615429753, -0.861152974118585)); #157336=CARTESIAN_POINT('Ctrl Pts',(0.391918235014479,0.689589736694905, -0.861111686575589)); #157337=CARTESIAN_POINT('Ctrl Pts',(0.369300187830702,0.643576368639567, -0.890178036495697)); #157338=CARTESIAN_POINT('Ctrl Pts',(0.378229388778882,0.650847868448787, -0.89021069469138)); #157339=CARTESIAN_POINT('Ctrl Pts',(0.386783898697318,0.663435054661502, -0.881789604193961)); #157340=CARTESIAN_POINT('Ctrl Pts',(0.391151631098208,0.679539364922117, -0.869352751212194)); #157341=CARTESIAN_POINT('Ctrl Pts',(0.391859095539718,0.686160052724162, -0.863910465844344)); #157342=CARTESIAN_POINT('Ctrl Pts',(0.391916533702264,0.689475859517567, -0.861204629110277)); #157343=CARTESIAN_POINT('Ctrl Pts',(0.391917423896171,0.689532799815559, -0.86115815551234)); #157344=CARTESIAN_POINT('Ctrl Pts',(0.391918235014479,0.689589736694905, -0.861111686575589)); #157345=CARTESIAN_POINT('Ctrl Pts',(0.369300187830702,0.643576368639567, -0.890178036495697)); #157346=CARTESIAN_POINT('Ctrl Pts',(0.378229388778882,0.650847868448787, -0.89021069469138)); #157347=CARTESIAN_POINT('Ctrl Pts',(0.386783898697318,0.663435054661502, -0.881789604193961)); #157348=CARTESIAN_POINT('Ctrl Pts',(0.391151631098208,0.679539364922117, -0.869352751212194)); #157349=CARTESIAN_POINT('Ctrl Pts',(0.391859095539718,0.686160052724162, -0.863910465844344)); #157350=CARTESIAN_POINT('Ctrl Pts',(0.391916533702264,0.689475859517567, -0.861204629110277)); #157351=CARTESIAN_POINT('Ctrl Pts',(0.391917423896171,0.689532799815559, -0.86115815551234)); #157352=CARTESIAN_POINT('Ctrl Pts',(0.391918235014479,0.689589736694905, -0.861111686575589)); #157353=CARTESIAN_POINT('Origin',(0.326909427151432,0.694607682848568,-1.14754248479794)); #157354=CARTESIAN_POINT('Origin',(0.320593840966648,0.700923269033352,-1.509362175)); #157355=CARTESIAN_POINT('Ctrl Pts',(-0.370601457368843,0.700908037388976, -1.519362175)); #157356=CARTESIAN_POINT('Ctrl Pts',(-0.370601457368843,0.685948695509225, -1.519362175)); #157357=CARTESIAN_POINT('Ctrl Pts',(-0.35805088896659,0.660050183558312, -1.519362175)); #157358=CARTESIAN_POINT('Ctrl Pts',(-0.331828579020837,0.650915652631157, -1.519362175)); #157359=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,0.650915652631157, -1.519362175)); #157360=CARTESIAN_POINT('Ctrl Pts',(-0.373190362425968,0.700908037388976, -1.519362175)); #157361=CARTESIAN_POINT('Ctrl Pts',(-0.37318158901645,0.685173043747176, -1.51936190061503)); #157362=CARTESIAN_POINT('Ctrl Pts',(-0.359978687689533,0.657948449340547, -1.51936190687836)); #157363=CARTESIAN_POINT('Ctrl Pts',(-0.332410317842373,0.64833332763117, -1.51936196921127)); #157364=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,0.648326747574032, -1.519362175)); #157365=CARTESIAN_POINT('Ctrl Pts',(-0.378342703564135,0.700908037388976, -1.51723972435915)); #157366=CARTESIAN_POINT('Ctrl Pts',(-0.378317108147035,0.683634144315227, -1.51725478400326)); #157367=CARTESIAN_POINT('Ctrl Pts',(-0.363812303214055,0.653765810335263, -1.51726807242778)); #157368=CARTESIAN_POINT('Ctrl Pts',(-0.333564492416335,0.643193602998689, -1.51725101909223)); #157369=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,0.643174406435865, -1.51723972435915)); #157370=CARTESIAN_POINT('Ctrl Pts',(-0.380554751697122,0.700908037388976, -1.51212520981872)); #157371=CARTESIAN_POINT('Ctrl Pts',(-0.380540184781386,0.682965306926024, -1.51216849233677)); #157372=CARTESIAN_POINT('Ctrl Pts',(-0.365489763365895,0.651938211121748, -1.51220695486347)); #157373=CARTESIAN_POINT('Ctrl Pts',(-0.334066120458237,0.640973283489679, -1.51215767170725)); #157374=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,0.640962358302877, -1.51212520981872)); #157375=CARTESIAN_POINT('Ctrl Pts',(-0.380599934320407,0.700908037388976, -1.50953669906437)); #157376=CARTESIAN_POINT('Ctrl Pts',(-0.380599023315198,0.682957738138484, -1.50958889051782)); #157377=CARTESIAN_POINT('Ctrl Pts',(-0.365537528359429,0.651880336670453, -1.50963545998458)); #157378=CARTESIAN_POINT('Ctrl Pts',(-0.334071797048893,0.640917858933499, -1.50957584265446)); #157379=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,0.640917175679593, -1.50953669906437)); #157380=CARTESIAN_POINT('',(-0.370601457368843,0.700908037388976,-1.519362175)); #157381=CARTESIAN_POINT('Origin',(-0.370601457368843,0.700908037388976, -1.509362175)); #157382=CARTESIAN_POINT('',(-0.320609072611023,0.650915652631157,-1.519362175)); #157383=CARTESIAN_POINT('Origin',(-0.320593840966648,0.700923269033352, -1.519362175)); #157384=CARTESIAN_POINT('Origin',(-0.320609072611023,0.650915652631157, -1.509362175)); #157385=CARTESIAN_POINT('Origin',(0.190214215,0.650915652631157,-1.509362175)); #157386=CARTESIAN_POINT('',(0.320609072611024,0.650915652631157,-1.519362175)); #157387=CARTESIAN_POINT('Origin',(0.320609072611024,0.650915652631157,-1.509362175)); #157388=CARTESIAN_POINT('',(0.190214215,0.650915652631157,-1.519362175)); #157389=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,0.650915652631157, -1.519362175)); #157390=CARTESIAN_POINT('Ctrl Pts',(0.331828579020837,0.650915652631157, -1.519362175)); #157391=CARTESIAN_POINT('Ctrl Pts',(0.35805088896659,0.660050183558312, -1.519362175)); #157392=CARTESIAN_POINT('Ctrl Pts',(0.370601457368843,0.685948695509225, -1.519362175)); #157393=CARTESIAN_POINT('Ctrl Pts',(0.370601457368843,0.700908037388976, -1.519362175)); #157394=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,0.648326747574032, -1.519362175)); #157395=CARTESIAN_POINT('Ctrl Pts',(0.332410317842374,0.64833332763117, -1.51936196921127)); #157396=CARTESIAN_POINT('Ctrl Pts',(0.359978687689533,0.657948449340548, -1.51936190687836)); #157397=CARTESIAN_POINT('Ctrl Pts',(0.37318158901645,0.685173043747176, -1.51936190061503)); #157398=CARTESIAN_POINT('Ctrl Pts',(0.373190362425968,0.700908037388976, -1.519362175)); #157399=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,0.643174406435865, -1.51723972435915)); #157400=CARTESIAN_POINT('Ctrl Pts',(0.333564492416335,0.643193602998689, -1.51725101909223)); #157401=CARTESIAN_POINT('Ctrl Pts',(0.363812303214055,0.653765810335263, -1.51726807242778)); #157402=CARTESIAN_POINT('Ctrl Pts',(0.378317108147035,0.683634144315227, -1.51725478400326)); #157403=CARTESIAN_POINT('Ctrl Pts',(0.378342703564135,0.700908037388976, -1.51723972435915)); #157404=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,0.640962358302877, -1.51212520981872)); #157405=CARTESIAN_POINT('Ctrl Pts',(0.334066120458238,0.640973283489679, -1.51215767170725)); #157406=CARTESIAN_POINT('Ctrl Pts',(0.365489763365895,0.651938211121748, -1.51220695486347)); #157407=CARTESIAN_POINT('Ctrl Pts',(0.380540184781386,0.682965306926024, -1.51216849233677)); #157408=CARTESIAN_POINT('Ctrl Pts',(0.380554751697122,0.700908037388976, -1.51212520981872)); #157409=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,0.640917175679593, -1.50953669906437)); #157410=CARTESIAN_POINT('Ctrl Pts',(0.334071797048893,0.640917858933499, -1.50957584265446)); #157411=CARTESIAN_POINT('Ctrl Pts',(0.365537528359429,0.651880336670453, -1.50963545998458)); #157412=CARTESIAN_POINT('Ctrl Pts',(0.380599023315198,0.682957738138484, -1.50958889051782)); #157413=CARTESIAN_POINT('Ctrl Pts',(0.380599934320407,0.700908037388976, -1.50953669906437)); #157414=CARTESIAN_POINT('',(0.370601457368843,0.700908037388976,-1.519362175)); #157415=CARTESIAN_POINT('Origin',(0.370601457368843,0.700908037388976,-1.509362175)); #157416=CARTESIAN_POINT('Origin',(0.320593840966648,0.700923269033352,-1.519362175)); #157417=CARTESIAN_POINT('Origin',(0.370601457368843,0.64437842,-1.509362175)); #157418=CARTESIAN_POINT('',(0.370601457368843,0.709386707368843,-1.519362175)); #157419=CARTESIAN_POINT('Origin',(0.370601457368843,0.709386707368843,-1.509362175)); #157420=CARTESIAN_POINT('',(0.370601457368843,0.64437842,-1.519362175)); #157421=CARTESIAN_POINT('Origin',(-0.370601457368843,0.89218526,-1.509362175)); #157422=CARTESIAN_POINT('',(-0.370601457368843,0.709386707368843,-1.519362175)); #157423=CARTESIAN_POINT('Origin',(-0.370601457368843,0.709386707368843, -1.509362175)); #157424=CARTESIAN_POINT('',(-0.370601457368843,0.89218526,-1.519362175)); #157425=CARTESIAN_POINT('Origin',(0.150622694487364,0.709386707368843,-1.509362175)); #157426=CARTESIAN_POINT('',(0.321413847198264,0.709386707368843,-1.519362175)); #157427=CARTESIAN_POINT('Origin',(0.321413847198264,0.709386707368843,-1.509362175)); #157428=CARTESIAN_POINT('Origin',(0.370601457368843,0.709386707368843,-1.509362175)); #157429=CARTESIAN_POINT('',(0.150622694487364,0.709386707368843,-1.519362175)); #157430=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.709386707368843, -1.519362175)); #157431=CARTESIAN_POINT('Ctrl Pts',(0.314682143352376,0.709386707368843, -1.519362175)); #157432=CARTESIAN_POINT('Ctrl Pts',(0.298948664022712,0.714867313914387, -1.519362175)); #157433=CARTESIAN_POINT('Ctrl Pts',(0.291418416343572,0.730406533095684, -1.519362175)); #157434=CARTESIAN_POINT('Ctrl Pts',(0.291418416343572,0.739382138223535, -1.519362175)); #157435=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.711975612425968, -1.519362175)); #157436=CARTESIAN_POINT('Ctrl Pts',(0.315263882173913,0.711969032368829, -1.51936196921127)); #157437=CARTESIAN_POINT('Ctrl Pts',(0.30087646329841,0.716969052815847, -1.51936190962754)); #157438=CARTESIAN_POINT('Ctrl Pts',(0.293998547991179,0.731182184857733, -1.51936190061503)); #157439=CARTESIAN_POINT('Ctrl Pts',(0.294007321400697,0.739382138223535, -1.519362175)); #157440=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.717127993960764, -1.51723976546705)); #157441=CARTESIAN_POINT('Ctrl Pts',(0.316418056747874,0.717108797397939, -1.51725106020014)); #157442=CARTESIAN_POINT('Ctrl Pts',(0.304710128273982,0.721151747794611, -1.51726811307403)); #157443=CARTESIAN_POINT('Ctrl Pts',(0.299134107518393,0.732721084289682, -1.51725482511117)); #157444=CARTESIAN_POINT('Ctrl Pts',(0.299159702935493,0.739382138223534, -1.51723976546705)); #157445=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.719340001697122, -1.51212520981872)); #157446=CARTESIAN_POINT('Ctrl Pts',(0.316919684789777,0.71932907651032, -1.51215767170725)); #157447=CARTESIAN_POINT('Ctrl Pts',(0.306387533608913,0.722979301219817, -1.51220695559126)); #157448=CARTESIAN_POINT('Ctrl Pts',(0.301357143756115,0.733389921678885, -1.51216849233677)); #157449=CARTESIAN_POINT('Ctrl Pts',(0.301371710671851,0.739382138223535, -1.51212520981872)); #157450=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.719385184320407, -1.50953669906437)); #157451=CARTESIAN_POINT('Ctrl Pts',(0.316925361380432,0.7193845010665,-1.50957584265446)); #157452=CARTESIAN_POINT('Ctrl Pts',(0.306435334515443,0.723037198118317, -1.50963546117878)); #157453=CARTESIAN_POINT('Ctrl Pts',(0.301415982289927,0.733397490466426, -1.50958889051782)); #157454=CARTESIAN_POINT('Ctrl Pts',(0.301416893295136,0.739382138223535, -1.50953669906437)); #157455=CARTESIAN_POINT('',(0.291418416343572,0.739382138223534,-1.519362175)); #157456=CARTESIAN_POINT('Origin',(0.291418416343572,0.739382138223534,-1.509362175)); #157457=CARTESIAN_POINT('Origin',(0.321422986184889,0.73939127721016,-1.519362175)); #157458=CARTESIAN_POINT('Origin',(0.291418416343572,0.85312276,-1.509362175)); #157459=CARTESIAN_POINT('',(0.291418416343572,0.917795221776465,-1.519362175)); #157460=CARTESIAN_POINT('Origin',(0.291418416343572,0.917795221776465,-1.509362175)); #157461=CARTESIAN_POINT('',(0.291418416343572,0.85312276,-1.519362175)); #157462=CARTESIAN_POINT('Ctrl Pts',(0.291418416343572,0.917795221776465, -1.519362175)); #157463=CARTESIAN_POINT('Ctrl Pts',(0.291418416343572,0.924526925622353, -1.519362175)); #157464=CARTESIAN_POINT('Ctrl Pts',(0.296899635185846,0.940259894595846, -1.519362175)); #157465=CARTESIAN_POINT('Ctrl Pts',(0.312438242070413,0.947790652631157, -1.519362175)); #157466=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.947790652631157, -1.519362175)); #157467=CARTESIAN_POINT('Ctrl Pts',(0.294007321400697,0.917795221776465, -1.519362175)); #157468=CARTESIAN_POINT('Ctrl Pts',(0.294000741343558,0.923945186800816, -1.51936196921127)); #157469=CARTESIAN_POINT('Ctrl Pts',(0.299001348484302,0.938332098341731, -1.5193618945994)); #157470=CARTESIAN_POINT('Ctrl Pts',(0.313213893832462,0.94521052098355, -1.51936190061503)); #157471=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.945201747574032, -1.519362175)); #157472=CARTESIAN_POINT('Ctrl Pts',(0.299159483200995,0.917795221776465, -1.5172395418636)); #157473=CARTESIAN_POINT('Ctrl Pts',(0.29914028663817,0.922791012226854, -1.51725083659669)); #157474=CARTESIAN_POINT('Ctrl Pts',(0.30318373933277,0.934498701409169, -1.5172678918807)); #157475=CARTESIAN_POINT('Ctrl Pts',(0.314752793264411,0.940075181190834, -1.51725460150772)); #157476=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.940049585773734, -1.5172395418636)); #157477=CARTESIAN_POINT('Ctrl Pts',(0.301371710671851,0.917795221776465, -1.51212520981872)); #157478=CARTESIAN_POINT('Ctrl Pts',(0.301360785485049,0.922289384184952, -1.51215767170725)); #157479=CARTESIAN_POINT('Ctrl Pts',(0.305011541211954,0.932820998699242, -1.51220695161287)); #157480=CARTESIAN_POINT('Ctrl Pts',(0.315421630653614,0.937851925218613, -1.51216849233677)); #157481=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.937837358302877, -1.51212520981872)); #157482=CARTESIAN_POINT('Ctrl Pts',(0.301416893295136,0.917795221776465, -1.50953669906437)); #157483=CARTESIAN_POINT('Ctrl Pts',(0.301416210041229,0.922283707594297, -1.50957584265446)); #157484=CARTESIAN_POINT('Ctrl Pts',(0.305069315404812,0.932773394107891, -1.50963545465077)); #157485=CARTESIAN_POINT('Ctrl Pts',(0.315429199441155,0.937793086684802, -1.50958889051782)); #157486=CARTESIAN_POINT('Ctrl Pts',(0.321413847198264,0.937792175679593, -1.50953669906437)); #157487=CARTESIAN_POINT('',(0.321413847198264,0.947790652631157,-1.519362175)); #157488=CARTESIAN_POINT('Origin',(0.321413847198264,0.947790652631157,-1.509362175)); #157489=CARTESIAN_POINT('Origin',(0.321422986184889,0.91778608278984,-1.519362175)); #157490=CARTESIAN_POINT('Origin',(0.213122694487364,0.947790652631157,-1.509362175)); #157491=CARTESIAN_POINT('',(0.370601457368843,0.947790652631157,-1.519362175)); #157492=CARTESIAN_POINT('Origin',(0.370601457368843,0.947790652631157,-1.509362175)); #157493=CARTESIAN_POINT('',(0.213122694487364,0.947790652631157,-1.519362175)); #157494=CARTESIAN_POINT('Origin',(0.370601457368843,0.709386707368843,-1.509362175)); #157495=CARTESIAN_POINT('Origin',(0.370601457368843,0.64437842,-1.509362175)); #157496=CARTESIAN_POINT('',(0.370601457368843,0.956269322611023,-1.519362175)); #157497=CARTESIAN_POINT('Origin',(0.370601457368843,0.956269322611023,-1.509362175)); #157498=CARTESIAN_POINT('Origin',(0.370601457368843,0.947790652631157,-1.509362175)); #157499=CARTESIAN_POINT('',(0.370601457368843,0.64437842,-1.519362175)); #157500=CARTESIAN_POINT('Ctrl Pts',(0.370601457368843,0.956269322611023, -1.519362175)); #157501=CARTESIAN_POINT('Ctrl Pts',(0.370601457368843,0.967488829020837, -1.519362175)); #157502=CARTESIAN_POINT('Ctrl Pts',(0.361465749603571,0.993710158058859, -1.519362175)); #157503=CARTESIAN_POINT('Ctrl Pts',(0.335568414490775,1.00626170736884, -1.519362175)); #157504=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,1.00626170736884, -1.519362175)); #157505=CARTESIAN_POINT('Ctrl Pts',(0.373190362425968,0.956269322611023, -1.519362175)); #157506=CARTESIAN_POINT('Ctrl Pts',(0.37318378236883,0.968070567842374, -1.51936196921127)); #157507=CARTESIAN_POINT('Ctrl Pts',(0.363567454295856,0.9956379532973,-1.51936188954786)); #157508=CARTESIAN_POINT('Ctrl Pts',(0.336344066252824,1.00884183901645, -1.51936190061503)); #157509=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,1.00885061242597, -1.519362175)); #157510=CARTESIAN_POINT('Ctrl Pts',(0.378342450959926,0.956269322611023, -1.51723946730724)); #157511=CARTESIAN_POINT('Ctrl Pts',(0.378323254397101,0.969224742416335, -1.51725076204032)); #157512=CARTESIAN_POINT('Ctrl Pts',(0.367749743920649,0.999471261372904, -1.51726781807251)); #157513=CARTESIAN_POINT('Ctrl Pts',(0.337882965684773,1.01397710554283, -1.51725452695135)); #157514=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,1.01400270095993, -1.51723946730724)); #157515=CARTESIAN_POINT('Ctrl Pts',(0.380554751697122,0.956269322611023, -1.51212520981872)); #157516=CARTESIAN_POINT('Ctrl Pts',(0.38054382651032,0.969726370458238, -1.51215767170725)); #157517=CARTESIAN_POINT('Ctrl Pts',(0.369577628308519,1.00114906279942, -1.51220695027558)); #157518=CARTESIAN_POINT('Ctrl Pts',(0.338551803073976,1.01620043478139, -1.51216849233677)); #157519=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,1.01621500169712, -1.51212520981872)); #157520=CARTESIAN_POINT('Ctrl Pts',(0.380599934320407,0.956269322611023, -1.50953669906437)); #157521=CARTESIAN_POINT('Ctrl Pts',(0.380599251066501,0.969732047048893, -1.50957584265446)); #157522=CARTESIAN_POINT('Ctrl Pts',(0.36963536125521,1.00119660140156,-1.50963545245645)); #157523=CARTESIAN_POINT('Ctrl Pts',(0.338559371861516,1.0162592733152,-1.50958889051782)); #157524=CARTESIAN_POINT('Ctrl Pts',(0.320609072611024,1.01626018432041, -1.50953669906437)); #157525=CARTESIAN_POINT('',(0.320609072611024,1.00626170736884,-1.519362175)); #157526=CARTESIAN_POINT('Origin',(0.320609072611024,1.00626170736884,-1.509362175)); #157527=CARTESIAN_POINT('Origin',(0.320593840966648,0.956254090966648,-1.519362175)); #157528=CARTESIAN_POINT('Origin',(0.190214215,1.00626170736884,-1.509362175)); #157529=CARTESIAN_POINT('',(-0.320609072611023,1.00626170736884,-1.519362175)); #157530=CARTESIAN_POINT('Origin',(-0.320609072611023,1.00626170736884,-1.509362175)); #157531=CARTESIAN_POINT('',(0.190214215,1.00626170736884,-1.519362175)); #157532=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,1.00626170736884, -1.519362175)); #157533=CARTESIAN_POINT('Ctrl Pts',(-0.331828579020837,1.00626170736884, -1.519362175)); #157534=CARTESIAN_POINT('Ctrl Pts',(-0.358049908058859,0.997125999603571, -1.519362175)); #157535=CARTESIAN_POINT('Ctrl Pts',(-0.370601457368843,0.971228664490774, -1.519362175)); #157536=CARTESIAN_POINT('Ctrl Pts',(-0.370601457368843,0.956269322611023, -1.519362175)); #157537=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,1.00885061242597, -1.519362175)); #157538=CARTESIAN_POINT('Ctrl Pts',(-0.332410317842373,1.00884403236883, -1.51936196921127)); #157539=CARTESIAN_POINT('Ctrl Pts',(-0.3599777032973,0.999227704295856, -1.51936188954786)); #157540=CARTESIAN_POINT('Ctrl Pts',(-0.37318158901645,0.972004316252824, -1.51936190061503)); #157541=CARTESIAN_POINT('Ctrl Pts',(-0.373190362425968,0.956269322611023, -1.519362175)); #157542=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,1.01400270095993, -1.51723946730724)); #157543=CARTESIAN_POINT('Ctrl Pts',(-0.333564492416335,1.0139835043971, -1.51725076204032)); #157544=CARTESIAN_POINT('Ctrl Pts',(-0.363811011372904,1.00340999392065, -1.51726781807251)); #157545=CARTESIAN_POINT('Ctrl Pts',(-0.378316855542826,0.973543215684773, -1.51725452695135)); #157546=CARTESIAN_POINT('Ctrl Pts',(-0.378342450959925,0.956269322611024, -1.51723946730724)); #157547=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,1.01621500169712, -1.51212520981872)); #157548=CARTESIAN_POINT('Ctrl Pts',(-0.334066120458237,1.01620407651032, -1.51215767170725)); #157549=CARTESIAN_POINT('Ctrl Pts',(-0.365488812799419,1.00523787830852, -1.51220695027558)); #157550=CARTESIAN_POINT('Ctrl Pts',(-0.380540184781386,0.974212053073976, -1.51216849233677)); #157551=CARTESIAN_POINT('Ctrl Pts',(-0.380554751697122,0.956269322611023, -1.51212520981872)); #157552=CARTESIAN_POINT('Ctrl Pts',(-0.320609072611023,1.01626018432041, -1.50953669906437)); #157553=CARTESIAN_POINT('Ctrl Pts',(-0.334071797048893,1.0162595010665, -1.50957584265446)); #157554=CARTESIAN_POINT('Ctrl Pts',(-0.365536351401555,1.00529561125521, -1.50963545245645)); #157555=CARTESIAN_POINT('Ctrl Pts',(-0.380599023315198,0.974219621861516, -1.50958889051782)); #157556=CARTESIAN_POINT('Ctrl Pts',(-0.380599934320407,0.956269322611023, -1.50953669906437)); #157557=CARTESIAN_POINT('',(-0.370601457368843,0.956269322611023,-1.519362175)); #157558=CARTESIAN_POINT('Origin',(-0.370601457368843,0.956269322611023, -1.509362175)); #157559=CARTESIAN_POINT('Origin',(-0.320593840966648,0.956254090966648, -1.519362175)); #157560=CARTESIAN_POINT('Origin',(-0.370601457368843,0.89218526,-1.509362175)); #157561=CARTESIAN_POINT('',(-0.370601457368843,0.947790652631157,-1.519362175)); #157562=CARTESIAN_POINT('Origin',(-0.370601457368843,0.947790652631157, -1.509362175)); #157563=CARTESIAN_POINT('',(-0.370601457368843,0.89218526,-1.519362175)); #157564=CARTESIAN_POINT('Origin',(0.370601457368843,0.947790652631157,-1.509362175)); #157565=CARTESIAN_POINT('Origin',(-0.150622694487364,0.947790652631157, -1.509362175)); #157566=CARTESIAN_POINT('',(-0.321413847198262,0.947790652631157,-1.519362175)); #157567=CARTESIAN_POINT('Origin',(-0.321413847198262,0.947790652631157, -1.509362175)); #157568=CARTESIAN_POINT('Origin',(-0.370601457368843,0.947790652631157, -1.509362175)); #157569=CARTESIAN_POINT('',(-0.150622694487364,0.947790652631157,-1.519362175)); #157570=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.947790652631157, -1.519362175)); #157571=CARTESIAN_POINT('Ctrl Pts',(-0.312438242070411,0.947790652631157, -1.519362175)); #157572=CARTESIAN_POINT('Ctrl Pts',(-0.296899635185844,0.940259894595846, -1.519362175)); #157573=CARTESIAN_POINT('Ctrl Pts',(-0.29141841634357,0.924526925622353, -1.519362175)); #157574=CARTESIAN_POINT('Ctrl Pts',(-0.29141841634357,0.917795221776465, -1.519362175)); #157575=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.945201747574032, -1.519362175)); #157576=CARTESIAN_POINT('Ctrl Pts',(-0.313213893832461,0.94521052098355, -1.51936190061503)); #157577=CARTESIAN_POINT('Ctrl Pts',(-0.2990013484843,0.938332098341732, -1.5193618945994)); #157578=CARTESIAN_POINT('Ctrl Pts',(-0.294000741343557,0.923945186800816, -1.51936196921127)); #157579=CARTESIAN_POINT('Ctrl Pts',(-0.294007321400695,0.917795221776465, -1.519362175)); #157580=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.940049585773734, -1.5172395418636)); #157581=CARTESIAN_POINT('Ctrl Pts',(-0.31475279326441,0.940075181190834, -1.51725460150772)); #157582=CARTESIAN_POINT('Ctrl Pts',(-0.303183739332768,0.934498701409169, -1.5172678918807)); #157583=CARTESIAN_POINT('Ctrl Pts',(-0.299140286638168,0.922791012226854, -1.51725083659669)); #157584=CARTESIAN_POINT('Ctrl Pts',(-0.299159483200993,0.917795221776465, -1.5172395418636)); #157585=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.937837358302877, -1.51212520981872)); #157586=CARTESIAN_POINT('Ctrl Pts',(-0.315421630653613,0.937851925218613, -1.51216849233677)); #157587=CARTESIAN_POINT('Ctrl Pts',(-0.305011541211952,0.932820998699242, -1.51220695161287)); #157588=CARTESIAN_POINT('Ctrl Pts',(-0.301360785485048,0.922289384184952, -1.51215767170725)); #157589=CARTESIAN_POINT('Ctrl Pts',(-0.30137171067185,0.917795221776465, -1.51212520981872)); #157590=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.937792175679593, -1.50953669906437)); #157591=CARTESIAN_POINT('Ctrl Pts',(-0.315429199441153,0.937793086684802, -1.50958889051782)); #157592=CARTESIAN_POINT('Ctrl Pts',(-0.305069315404811,0.932773394107891, -1.50963545465077)); #157593=CARTESIAN_POINT('Ctrl Pts',(-0.301416210041228,0.922283707594297, -1.50957584265446)); #157594=CARTESIAN_POINT('Ctrl Pts',(-0.301416893295134,0.917795221776465, -1.50953669906437)); #157595=CARTESIAN_POINT('',(-0.29141841634357,0.917795221776465,-1.519362175)); #157596=CARTESIAN_POINT('Origin',(-0.29141841634357,0.917795221776465,-1.509362175)); #157597=CARTESIAN_POINT('Origin',(-0.321422986184888,0.91778608278984,-1.519362175)); #157598=CARTESIAN_POINT('Origin',(-0.29141841634357,0.74374776,-1.509362175)); #157599=CARTESIAN_POINT('',(-0.29141841634357,0.739382138223535,-1.519362175)); #157600=CARTESIAN_POINT('Origin',(-0.29141841634357,0.739382138223535,-1.509362175)); #157601=CARTESIAN_POINT('',(-0.29141841634357,0.74374776,-1.519362175)); #157602=CARTESIAN_POINT('Ctrl Pts',(-0.29141841634357,0.739382138223535, -1.519362175)); #157603=CARTESIAN_POINT('Ctrl Pts',(-0.29141841634357,0.730406533095684, -1.519362175)); #157604=CARTESIAN_POINT('Ctrl Pts',(-0.298948664022711,0.714867313914388, -1.519362175)); #157605=CARTESIAN_POINT('Ctrl Pts',(-0.314682143352374,0.709386707368843, -1.519362175)); #157606=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.709386707368843, -1.519362175)); #157607=CARTESIAN_POINT('Ctrl Pts',(-0.294007321400695,0.739382138223535, -1.519362175)); #157608=CARTESIAN_POINT('Ctrl Pts',(-0.293998547991177,0.731182184857733, -1.51936190061503)); #157609=CARTESIAN_POINT('Ctrl Pts',(-0.300876463298408,0.716969052815848, -1.51936190962754)); #157610=CARTESIAN_POINT('Ctrl Pts',(-0.315263882173911,0.71196903236883, -1.51936196921127)); #157611=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.711975612425968, -1.519362175)); #157612=CARTESIAN_POINT('Ctrl Pts',(-0.299159702935491,0.739382138223535, -1.51723976546706)); #157613=CARTESIAN_POINT('Ctrl Pts',(-0.299134107518392,0.732721084289682, -1.51725482511117)); #157614=CARTESIAN_POINT('Ctrl Pts',(-0.30471012827398,0.721151747794611, -1.51726811307403)); #157615=CARTESIAN_POINT('Ctrl Pts',(-0.316418056747873,0.717108797397939, -1.51725106020014)); #157616=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.717127993960764, -1.51723976546706)); #157617=CARTESIAN_POINT('Ctrl Pts',(-0.30137171067185,0.739382138223535, -1.51212520981872)); #157618=CARTESIAN_POINT('Ctrl Pts',(-0.301357143756114,0.733389921678885, -1.51216849233677)); #157619=CARTESIAN_POINT('Ctrl Pts',(-0.306387533608911,0.722979301219817, -1.51220695559126)); #157620=CARTESIAN_POINT('Ctrl Pts',(-0.316919684789775,0.71932907651032, -1.51215767170725)); #157621=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.719340001697122, -1.51212520981872)); #157622=CARTESIAN_POINT('Ctrl Pts',(-0.301416893295134,0.739382138223535, -1.50953669906437)); #157623=CARTESIAN_POINT('Ctrl Pts',(-0.301415982289925,0.733397490466426, -1.50958889051782)); #157624=CARTESIAN_POINT('Ctrl Pts',(-0.306435334515442,0.723037198118317, -1.50963546117878)); #157625=CARTESIAN_POINT('Ctrl Pts',(-0.31692536138043,0.7193845010665,-1.50957584265446)); #157626=CARTESIAN_POINT('Ctrl Pts',(-0.321413847198262,0.719385184320407, -1.50953669906437)); #157627=CARTESIAN_POINT('',(-0.321413847198262,0.709386707368843,-1.519362175)); #157628=CARTESIAN_POINT('Origin',(-0.321413847198262,0.709386707368843, -1.509362175)); #157629=CARTESIAN_POINT('Origin',(-0.321422986184888,0.73939127721016,-1.519362175)); #157630=CARTESIAN_POINT('Origin',(-0.213122694487364,0.709386707368843, -1.509362175)); #157631=CARTESIAN_POINT('Origin',(-0.370601457368843,0.709386707368843, -1.509362175)); #157632=CARTESIAN_POINT('',(-0.213122694487364,0.709386707368843,-1.519362175)); #157633=CARTESIAN_POINT('Origin',(-0.370601457368843,0.947790652631157, -1.509362175)); #157634=CARTESIAN_POINT('Origin',(-0.370601457368843,0.709386707368843, -1.509362175)); #157635=CARTESIAN_POINT('Origin',(5.13596143448154E-17,0.76828184,-1.519362175)); #157636=CARTESIAN_POINT('Ctrl Pts',(-0.382543761381617,0.384074956017828, -0.860519039934)); #157637=CARTESIAN_POINT('Ctrl Pts',(-0.382940745249519,0.382107747852161, -0.83780079924538)); #157638=CARTESIAN_POINT('Ctrl Pts',(-0.383337728734288,0.380140557788358, -0.815082556990083)); #157639=CARTESIAN_POINT('Ctrl Pts',(-0.383734711837003,0.378173385775687, -0.792364313172523)); #157640=CARTESIAN_POINT('Ctrl Pts',(-0.385053986656396,0.384229465545522, -0.86054947667716)); #157641=CARTESIAN_POINT('Ctrl Pts',(-0.385450891453626,0.382262364278337, -0.837831225425077)); #157642=CARTESIAN_POINT('Ctrl Pts',(-0.385847795638812,0.380295274267727, -0.815112973223053)); #157643=CARTESIAN_POINT('Ctrl Pts',(-0.386244699805589,0.378328208932821, -0.792394718863631)); #157644=CARTESIAN_POINT('Ctrl Pts',(-0.389933625250129,0.386522081413108, -0.860436127216116)); #157645=CARTESIAN_POINT('Ctrl Pts',(-0.390330295579443,0.384555060153213, -0.837717866181793)); #157646=CARTESIAN_POINT('Ctrl Pts',(-0.390726964512222,0.382588058612141, -0.814999603414241)); #157647=CARTESIAN_POINT('Ctrl Pts',(-0.391123634654955,0.380621073099571, -0.792281339293133)); #157648=CARTESIAN_POINT('Ctrl Pts',(-0.39193696016656,0.391512941730586, -0.860038923331321)); #157649=CARTESIAN_POINT('Ctrl Pts',(-0.392333506570318,0.389545780083276, -0.837320674956568)); #157650=CARTESIAN_POINT('Ctrl Pts',(-0.392730059282387,0.387578634856827, -0.814602425277488)); #157651=CARTESIAN_POINT('Ctrl Pts',(-0.393126605744976,0.385611509218183, -0.791884173789693)); #157652=CARTESIAN_POINT('Ctrl Pts',(-0.391940746462698,0.394018727695635, -0.859822006570896)); #157653=CARTESIAN_POINT('Ctrl Pts',(-0.392337294828972,0.392051493186776, -0.837103765882276)); #157654=CARTESIAN_POINT('Ctrl Pts',(-0.392733843222593,0.390084276775896, -0.814385523626979)); #157655=CARTESIAN_POINT('Ctrl Pts',(-0.393130391643484,0.388117078412353, -0.791667279809419)); #157656=CARTESIAN_POINT('',(-0.382543761381616,0.384074956017828,-0.860519039934)); #157657=CARTESIAN_POINT('Origin',(-0.381942269511134,0.394018727695635, -0.859647482506524)); #157658=CARTESIAN_POINT('Ctrl Pts',(-0.382543761381617,0.384074956017828, -0.860519039934)); #157659=CARTESIAN_POINT('Ctrl Pts',(-0.382940745249519,0.382107747852161, -0.83780079924538)); #157660=CARTESIAN_POINT('Ctrl Pts',(-0.383337728734288,0.380140557788358, -0.815082556990083)); #157661=CARTESIAN_POINT('Ctrl Pts',(-0.383734711837003,0.378173385775687, -0.792364313172523)); #157662=CARTESIAN_POINT('Origin',(-0.381942269511134,0.394018727695638, -0.859647482506523)); #157663=CARTESIAN_POINT('Origin',(-0.381942269511134,0.394018727695638, -0.859647482506523)); #157664=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,6.70822995564441, 0.)); #157665=CARTESIAN_POINT('',(-0.393125726917112,0.378711997666389,-0.791934529419209)); #157666=CARTESIAN_POINT('Ctrl Pts',(-0.383734711837035,0.378173385775761, -0.792364313173346)); #157667=CARTESIAN_POINT('Ctrl Pts',(-0.386865245259399,0.378350756572902, -0.792222778127909)); #157668=CARTESIAN_POINT('Ctrl Pts',(-0.38999558422382,0.378530311296968, -0.792079500247464)); #157669=CARTESIAN_POINT('Ctrl Pts',(-0.393125721740611,0.378712048095937, -0.791934481380476)); #157670=CARTESIAN_POINT('',(-0.356462290350385,0.3825506888868,-0.860512399475331)); #157671=CARTESIAN_POINT('Ctrl Pts',(-0.356462290350385,0.382550688886801, -0.86051239947533)); #157672=CARTESIAN_POINT('Ctrl Pts',(-0.365157149676413,0.383040845434063, -0.860514534838763)); #157673=CARTESIAN_POINT('Ctrl Pts',(-0.373850998010735,0.383548935918769, -0.860516748331264)); #157674=CARTESIAN_POINT('Ctrl Pts',(-0.382543761381656,0.384074956017833, -0.860519039933999)); #157675=CARTESIAN_POINT('',(-0.415811188869419,0.317030468573923,-0.0718775001325979)); #157676=CARTESIAN_POINT('Ctrl Pts',(-0.415811442906266,0.317030469801418, -0.0718775165110405)); #157677=CARTESIAN_POINT('Ctrl Pts',(-0.398965426809739,0.338999601582293, -0.334962136306557)); #157678=CARTESIAN_POINT('Ctrl Pts',(-0.379685192199793,0.360845090347852, -0.597908522480132)); #157679=CARTESIAN_POINT('Ctrl Pts',(-0.356474600269688,0.382550773992756, -0.860513492667677)); #157680=CARTESIAN_POINT('Origin',(-9.17900925871192E-16,6.70822995564441, -0.0718775001325979)); #157681=CARTESIAN_POINT('',(-1.20153622024064,0.474586045608705,-0.715680502068452)); #157682=CARTESIAN_POINT('',(-1.21338693390977,0.413103813253217,0.)); #157683=CARTESIAN_POINT('Ctrl Pts',(-0.393125721872649,0.378712048103603, -0.791934481374359)); #157684=CARTESIAN_POINT('Ctrl Pts',(-0.665660882874839,0.39453552790862, -0.779307962550752)); #157685=CARTESIAN_POINT('Ctrl Pts',(-0.93666889840785,0.426901003263522, -0.753483275513108)); #157686=CARTESIAN_POINT('Ctrl Pts',(-1.20153622023806,0.474586045608209, -0.715680502068452)); #157687=CARTESIAN_POINT('Ctrl Pts',(-0.381942269511173,0.393975094602792, -0.86964738731373)); #157688=CARTESIAN_POINT('Ctrl Pts',(-0.373263174207305,0.39344990127565, -0.869645095710995)); #157689=CARTESIAN_POINT('Ctrl Pts',(-0.364582995644028,0.392942609381921, -0.869642882218494)); #157690=CARTESIAN_POINT('Ctrl Pts',(-0.355901807676862,0.392453223237914, -0.869640746855061)); #157691=CARTESIAN_POINT('Ctrl Pts',(-0.382091179330098,0.391514328561087, -0.869636650128202)); #157692=CARTESIAN_POINT('Ctrl Pts',(-0.373408695991461,0.390988930824701, -0.8696343576172)); #157693=CARTESIAN_POINT('Ctrl Pts',(-0.364725141679296,0.39048144221969, -0.86963214329798)); #157694=CARTESIAN_POINT('Ctrl Pts',(-0.356040564867671,0.389991865603136, -0.869630007088205)); #157695=CARTESIAN_POINT('Ctrl Pts',(-0.382387867594283,0.386619843954332, -0.867701294985294)); #157696=CARTESIAN_POINT('Ctrl Pts',(-0.373698652329768,0.386094040025246, -0.86769900170422)); #157697=CARTESIAN_POINT('Ctrl Pts',(-0.365008335362229,0.385586157351686, -0.867696786576074)); #157698=CARTESIAN_POINT('Ctrl Pts',(-0.356317025015499,0.385096202236788, -0.867694649648731)); #157699=CARTESIAN_POINT('Ctrl Pts',(-0.382530137073111,0.384289388870236, -0.862974949513638)); #157700=CARTESIAN_POINT('Ctrl Pts',(-0.373837661304403,0.383763387030888, -0.862972657307836)); #157701=CARTESIAN_POINT('Ctrl Pts',(-0.365144166442471,0.383255317979939, -0.862970443248746)); #157702=CARTESIAN_POINT('Ctrl Pts',(-0.356449594798182,0.382765178447843, -0.862968307323363)); #157703=CARTESIAN_POINT('Ctrl Pts',(-0.382543761381656,0.384074956017833, -0.860519039933999)); #157704=CARTESIAN_POINT('Ctrl Pts',(-0.373850998010735,0.383548935918769, -0.860516748331264)); #157705=CARTESIAN_POINT('Ctrl Pts',(-0.365157149676413,0.383040845434063, -0.860514534838763)); #157706=CARTESIAN_POINT('Ctrl Pts',(-0.356462290350385,0.382550688886801, -0.86051239947533)); #157707=CARTESIAN_POINT('Origin',(-0.355901807676862,0.392496856330761, -0.859640842047854)); #157708=CARTESIAN_POINT('Origin',(0.381942269511133,0.394018727695638,-0.859647482506523)); #157709=CARTESIAN_POINT('Origin',(0.381942269511133,0.394018727695638,-0.859647482506523)); #157710=CARTESIAN_POINT('Ctrl Pts',(0.355901807676861,0.392453223237915, -0.869640746855061)); #157711=CARTESIAN_POINT('Ctrl Pts',(0.364582995644127,0.392942609381928, -0.869642882218494)); #157712=CARTESIAN_POINT('Ctrl Pts',(0.373263174207504,0.393449901275662, -0.869645095710995)); #157713=CARTESIAN_POINT('Ctrl Pts',(0.381942269511473,0.39397509460281, -0.86964738731373)); #157714=CARTESIAN_POINT('Ctrl Pts',(0.356040564867671,0.389991865603137, -0.869630007088205)); #157715=CARTESIAN_POINT('Ctrl Pts',(0.364725141679396,0.390481442219697, -0.86963214329798)); #157716=CARTESIAN_POINT('Ctrl Pts',(0.373408695991661,0.390988930824713, -0.8696343576172)); #157717=CARTESIAN_POINT('Ctrl Pts',(0.382091179330397,0.391514328561106, -0.869636650128203)); #157718=CARTESIAN_POINT('Ctrl Pts',(0.356317025015499,0.385096202236789, -0.867694649648732)); #157719=CARTESIAN_POINT('Ctrl Pts',(0.365008335362328,0.385586157351693, -0.867696786576074)); #157720=CARTESIAN_POINT('Ctrl Pts',(0.373698652329968,0.386094040025258, -0.867699001704221)); #157721=CARTESIAN_POINT('Ctrl Pts',(0.382387867594583,0.38661984395435, -0.867701294985295)); #157722=CARTESIAN_POINT('Ctrl Pts',(0.356449594798181,0.382765178447845, -0.862968307323363)); #157723=CARTESIAN_POINT('Ctrl Pts',(0.365144166442571,0.383255317979946, -0.862970443248746)); #157724=CARTESIAN_POINT('Ctrl Pts',(0.373837661304603,0.383763387030899, -0.862972657307836)); #157725=CARTESIAN_POINT('Ctrl Pts',(0.382530137073411,0.384289388870254, -0.862974949513638)); #157726=CARTESIAN_POINT('Ctrl Pts',(0.356462290350384,0.382550688886802, -0.86051239947533)); #157727=CARTESIAN_POINT('Ctrl Pts',(0.365157149676513,0.38304084543407, -0.860514534838764)); #157728=CARTESIAN_POINT('Ctrl Pts',(0.373850998010935,0.383548935918781, -0.860516748331264)); #157729=CARTESIAN_POINT('Ctrl Pts',(0.382543761381956,0.384074956017851, -0.860519039933999)); #157730=CARTESIAN_POINT('Ctrl Pts',(0.382543761381956,0.384074956017851, -0.860519039933999)); #157731=CARTESIAN_POINT('Ctrl Pts',(0.373850998010935,0.383548935918781, -0.860516748331264)); #157732=CARTESIAN_POINT('Ctrl Pts',(0.365157149676513,0.38304084543407, -0.860514534838764)); #157733=CARTESIAN_POINT('Ctrl Pts',(0.356462290350384,0.382550688886802, -0.86051239947533)); #157734=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,6.70822995564441, 0.)); #157735=CARTESIAN_POINT('',(1.21338693390976,0.413103813253216,0.)); #157736=CARTESIAN_POINT('Origin',(-6.99353086378051E-16,6.70822995564441, -0.0718775001325979)); #157737=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #157738=CARTESIAN_POINT('',(0.285192026668651,3.01639532638888,-0.635204743084113)); #157739=CARTESIAN_POINT('Ctrl Pts',(0.285192026668651,3.01639532638888, -0.635204743084113)); #157740=CARTESIAN_POINT('Ctrl Pts',(0.340291623263938,2.98974505125637, -0.6358617803243)); #157741=CARTESIAN_POINT('Ctrl Pts',(0.423499044608107,2.93377070574995, -0.637432697062234)); #157742=CARTESIAN_POINT('Ctrl Pts',(0.558508702456884,2.79000635792656, -0.64302607919217)); #157743=CARTESIAN_POINT('Ctrl Pts',(0.639905662024902,2.61878418420591, -0.652517662144191)); #157744=CARTESIAN_POINT('Ctrl Pts',(0.665987604410341,2.37288399659034, -0.672176373923934)); #157745=CARTESIAN_POINT('Ctrl Pts',(0.631507360065643,2.22595310319806, -0.68752787841764)); #157746=CARTESIAN_POINT('Ctrl Pts',(0.588523666702005,2.13808248992949, -0.69791536375949)); #157747=CARTESIAN_POINT('',(1.12199050595829,2.91118270993976,-0.581458424405836)); #157748=CARTESIAN_POINT('Ctrl Pts',(1.12199050595838,2.91118270993974,-0.581458424405828)); #157749=CARTESIAN_POINT('Ctrl Pts',(0.847233623592827,2.9668192093497,-0.609662336073841)); #157750=CARTESIAN_POINT('Ctrl Pts',(0.566909929321,3.00205999329964,-0.627759725131815)); #157751=CARTESIAN_POINT('Ctrl Pts',(0.285186862899886,3.01633059656231, -0.635133036909222)); #157752=CARTESIAN_POINT('Ctrl Pts',(1.36392100322318,2.80407878994268,-0.558513382728141)); #157753=CARTESIAN_POINT('Ctrl Pts',(1.29245499012891,2.85667605233073,-0.564404758112537)); #157754=CARTESIAN_POINT('Ctrl Pts',(1.20891221188026,2.893581624216,-0.572535874059516)); #157755=CARTESIAN_POINT('Ctrl Pts',(1.12199050595829,2.91118270993976,-0.581458424405837)); #157756=CARTESIAN_POINT('Ctrl Pts',(1.19723073540601,0.549110763750296, -0.778748225385726)); #157757=CARTESIAN_POINT('Ctrl Pts',(1.25506019187471,0.559431799708017, -0.770410337256706)); #157758=CARTESIAN_POINT('Ctrl Pts',(1.31177365876384,0.578378045256764, -0.760893530934513)); #157759=CARTESIAN_POINT('Ctrl Pts',(1.36392100322097,0.604694655338489, -0.750934561245106)); #157760=CARTESIAN_POINT('',(-1.19723073540614,0.549110763750322,-0.778748225385705)); #157761=CARTESIAN_POINT('Ctrl Pts',(-1.19723073540615,0.549110763750323, -0.778748225385705)); #157762=CARTESIAN_POINT('Ctrl Pts',(-0.938322406704464,0.502902441320851, -0.816077794297819)); #157763=CARTESIAN_POINT('Ctrl Pts',(-0.673532398819596,0.471258098508509, -0.841804180788573)); #157764=CARTESIAN_POINT('Ctrl Pts',(-0.407196386314513,0.455316204055496, -0.854767750767173)); #157765=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322145,0.604694655338723, -0.750934561245015)); #157766=CARTESIAN_POINT('Ctrl Pts',(-1.31177365876464,0.578378045257102, -0.76089353093437)); #157767=CARTESIAN_POINT('Ctrl Pts',(-1.25506019187596,0.559431799708401, -0.770410337256501)); #157768=CARTESIAN_POINT('Ctrl Pts',(-1.19723073540766,0.549110763750588, -0.778748225385488)); #157769=CARTESIAN_POINT('',(-1.12199050594828,2.91118270994179,-0.581458424406864)); #157770=CARTESIAN_POINT('Ctrl Pts',(-1.12199050595448,2.91118270994054, -0.581458424406229)); #157771=CARTESIAN_POINT('Ctrl Pts',(-1.20891204731808,2.89358165753936, -0.57253589095188)); #157772=CARTESIAN_POINT('Ctrl Pts',(-1.29245512542941,2.85667595275372, -0.564404746958868)); #157773=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322687,2.80407878994006, -0.558513382727828)); #157774=CARTESIAN_POINT('',(-0.285192026668659,3.01639532638888,-0.635204743084113)); #157775=CARTESIAN_POINT('Ctrl Pts',(-0.28519470557329,3.01643027892401, -0.635239823720644)); #157776=CARTESIAN_POINT('Ctrl Pts',(-0.566915458117772,3.00219764721808, -0.627907087441772)); #157777=CARTESIAN_POINT('Ctrl Pts',(-0.847229341541542,2.96682007643631, -0.609662775628068)); #157778=CARTESIAN_POINT('Ctrl Pts',(-1.12199050594829,2.91118270994179, -0.581458424406864)); #157779=CARTESIAN_POINT('Ctrl Pts',(-0.588523666702003,2.13808248992949, -0.697915363759491)); #157780=CARTESIAN_POINT('Ctrl Pts',(-0.620761436693805,2.20398544981612, -0.690124749760514)); #157781=CARTESIAN_POINT('Ctrl Pts',(-0.66299872239985,2.34726671580323, -0.674637768118648)); #157782=CARTESIAN_POINT('Ctrl Pts',(-0.650837078042218,2.59592034816608, -0.653758609238854)); #157783=CARTESIAN_POINT('Ctrl Pts',(-0.553173658722771,2.80144750562414, -0.642393722014111)); #157784=CARTESIAN_POINT('Ctrl Pts',(-0.413583405720092,2.94173645253523, -0.63720407214234)); #157785=CARTESIAN_POINT('Ctrl Pts',(-0.329271703944886,2.99507510628287, -0.635730372876263)); #157786=CARTESIAN_POINT('Ctrl Pts',(-0.285192026668647,3.01639532638888, -0.635204743084113)); #157787=CARTESIAN_POINT('Ctrl Pts',(-0.0449697806676537,1.77225771515931, -0.748277691344677)); #157788=CARTESIAN_POINT('Ctrl Pts',(-0.0655042710414749,1.77367104129539, -0.748055679742014)); #157789=CARTESIAN_POINT('Ctrl Pts',(-0.0859154279704217,1.7760757617235, -0.747674310276333)); #157790=CARTESIAN_POINT('Ctrl Pts',(-0.106072586491559,1.77941281131705, -0.747148538850497)); #157791=CARTESIAN_POINT('Ctrl Pts',(-0.132984964106183,1.78386819815574, -0.74644656693634)); #157792=CARTESIAN_POINT('Ctrl Pts',(-0.159774128914104,1.79004191676358, -0.745478226541388)); #157793=CARTESIAN_POINT('Ctrl Pts',(-0.186126606221105,1.79787483003271, -0.744266700502777)); #157794=CARTESIAN_POINT('Ctrl Pts',(-0.21270273834241,1.80577422163487, -0.743044892181099)); #157795=CARTESIAN_POINT('Ctrl Pts',(-0.238833676564957,1.81536081249794, -0.741575863158149)); #157796=CARTESIAN_POINT('Ctrl Pts',(-0.264205710000292,1.82652223718097, -0.739891448163806)); #157797=CARTESIAN_POINT('Ctrl Pts',(-0.289386788872659,1.83759965894094, -0.738219710384709)); #157798=CARTESIAN_POINT('Ctrl Pts',(-0.313819341705073,1.8502278300551, -0.736335953701424)); #157799=CARTESIAN_POINT('Ctrl Pts',(-0.33721981640671,1.86425200525728, -0.734277310351599)); #157800=CARTESIAN_POINT('Ctrl Pts',(-0.340042019116958,1.8659433839908, -0.734029028685718)); #157801=CARTESIAN_POINT('Ctrl Pts',(-0.342849248096653,1.86765501926976, -0.733778208987432)); #157802=CARTESIAN_POINT('Ctrl Pts',(-0.345641039519035,1.86938660027797, -0.733524920869275)); #157803=CARTESIAN_POINT('Ctrl Pts',(-0.35171323131385,1.87315281716144, -0.732974015088684)); #157804=CARTESIAN_POINT('Ctrl Pts',(-0.357729214019485,1.87702148910884, -0.732410097171939)); #157805=CARTESIAN_POINT('Ctrl Pts',(-0.363682980458169,1.88099094009089, -0.731833701737958)); #157806=CARTESIAN_POINT('Ctrl Pts',(-0.385490655829146,1.89553039159763, -0.729722459276734)); #157807=CARTESIAN_POINT('Ctrl Pts',(-0.406461809326774,1.91142562632122, -0.727443322202427)); #157808=CARTESIAN_POINT('Ctrl Pts',(-0.426378365523996,1.92850048045894, -0.725043016611685)); #157809=CARTESIAN_POINT('Ctrl Pts',(-0.440807276507915,1.94087066875741, -0.723304071617377)); #157810=CARTESIAN_POINT('Ctrl Pts',(-0.45468301691867,1.95385887356618, -0.721501702175067)); #157811=CARTESIAN_POINT('Ctrl Pts',(-0.467932039777833,1.96738393137963, -0.719655194568857)); #157812=CARTESIAN_POINT('Ctrl Pts',(-0.486816961900466,1.98666230882877, -0.717023215387238)); #157813=CARTESIAN_POINT('Ctrl Pts',(-0.504569684742545,2.00717384271384, -0.714281922576466)); #157814=CARTESIAN_POINT('Ctrl Pts',(-0.520980200301771,2.02869591323885, -0.711484996016847)); #157815=CARTESIAN_POINT('Ctrl Pts',(-0.528480122344955,2.03853191446398, -0.710206746647902)); #157816=CARTESIAN_POINT('Ctrl Pts',(-0.535700223623193,2.04857848719124, -0.708916922194378)); #157817=CARTESIAN_POINT('Ctrl Pts',(-0.542623356177088,2.05881220360607, -0.707620778424035)); #157818=CARTESIAN_POINT('Ctrl Pts',(0.542623356177088,2.05881220360607, -0.707620778424036)); #157819=CARTESIAN_POINT('Ctrl Pts',(0.53737813436649,2.05105876076431,-0.70860278498262)); #157820=CARTESIAN_POINT('Ctrl Pts',(0.531970152166304,2.04343347228722, -0.709578333722069)); #157821=CARTESIAN_POINT('Ctrl Pts',(0.526410516494126,2.03594369869994, -0.710545418395324)); #157822=CARTESIAN_POINT('Ctrl Pts',(0.509494610945923,2.01315510066035, -0.713487897714924)); #157823=CARTESIAN_POINT('Ctrl Pts',(0.490967826095972,1.99134895249991, -0.7163874113502)); #157824=CARTESIAN_POINT('Ctrl Pts',(0.471059992634258,1.9707915154433,-0.719184244196538)); #157825=CARTESIAN_POINT('Ctrl Pts',(0.451031056661518,1.95010902423389, -0.721998090621036)); #157826=CARTESIAN_POINT('Ctrl Pts',(0.429605056012613,1.93069132519712, -0.724707773765386)); #157827=CARTESIAN_POINT('Ctrl Pts',(0.407057335036864,1.91277765614466, -0.727254495944619)); #157828=CARTESIAN_POINT('Ctrl Pts',(0.387366061069659,1.89713337149838, -0.729478587869633)); #157829=CARTESIAN_POINT('Ctrl Pts',(0.366820281627241,1.88263601178908, -0.731578330725116)); #157830=CARTESIAN_POINT('Ctrl Pts',(0.345621063281643,1.86941775709963, -0.733517878197182)); #157831=CARTESIAN_POINT('Ctrl Pts',(0.32744713156044,1.85808584597521,-0.735180637774101)); #157832=CARTESIAN_POINT('Ctrl Pts',(0.308652172209781,1.84761190078395, -0.736738105661082)); #157833=CARTESIAN_POINT('Ctrl Pts',(0.289370561202748,1.83810312031388, -0.738164014290628)); #157834=CARTESIAN_POINT('Ctrl Pts',(0.26603076786518,1.82659303586178,-0.739890032553298)); #157835=CARTESIAN_POINT('Ctrl Pts',(0.241978185368,1.81649875323592,-0.741422975041811)); #157836=CARTESIAN_POINT('Ctrl Pts',(0.217483828380734,1.80787566851765, -0.742742501543178)); #157837=CARTESIAN_POINT('Ctrl Pts',(0.193153508488718,1.79931033202972, -0.744053191262485)); #157838=CARTESIAN_POINT('Ctrl Pts',(0.168222961361415,1.79215845354033, -0.745160627768392)); #157839=CARTESIAN_POINT('Ctrl Pts',(0.142953779226659,1.78650647135521, -0.746041652051752)); #157840=CARTESIAN_POINT('Ctrl Pts',(0.120252570132803,1.78142887016119, -0.746833142507069)); #157841=CARTESIAN_POINT('Ctrl Pts',(0.0972788569163097,1.77756134192619, -0.747441921282037)); #157842=CARTESIAN_POINT('Ctrl Pts',(0.0742318687380677,1.77493233637322, -0.747856339708782)); #157843=CARTESIAN_POINT('Ctrl Pts',(0.0645197068219783,1.77382445505652, -0.748030978530585)); #157844=CARTESIAN_POINT('Ctrl Pts',(0.0547610945215649,1.77293162132999, -0.748171831143568)); #157845=CARTESIAN_POINT('Ctrl Pts',(0.0449697806657041,1.77225771515917, -0.748277691344698)); #157846=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.859271421310454)); #157847=CARTESIAN_POINT('Ctrl Pts',(-0.271875354978848,0.266419116034205, -0.85972744626318)); #157848=CARTESIAN_POINT('Ctrl Pts',(-0.265602220727093,0.315150610347193, -0.860466877669719)); #157849=CARTESIAN_POINT('Ctrl Pts',(-0.303623671560683,0.368647623908492, -0.860836745739732)); #157850=CARTESIAN_POINT('Ctrl Pts',(-0.338596218766728,0.381557998183924, -0.860673210009759)); #157851=CARTESIAN_POINT('Ctrl Pts',(-0.356462290350385,0.3825506888868, -0.86051239947533)); #157852=CARTESIAN_POINT('Ctrl Pts',(-0.286360577346845,0.243786292551291, -0.861841673345104)); #157853=CARTESIAN_POINT('Ctrl Pts',(-0.27172905440024,0.266332367601722, -0.862235539321561)); #157854=CARTESIAN_POINT('Ctrl Pts',(-0.265295986573229,0.315188228762241, -0.862874767608811)); #157855=CARTESIAN_POINT('Ctrl Pts',(-0.303428309761889,0.368902673199671, -0.863218075954429)); #157856=CARTESIAN_POINT('Ctrl Pts',(-0.338539877567,0.381809212520882,-0.863098023956943)); #157857=CARTESIAN_POINT('Ctrl Pts',(-0.356449594798182,0.382765178447842, -0.862968307323363)); #157858=CARTESIAN_POINT('Ctrl Pts',(-0.284482604163147,0.242555704587147, -0.866895583848094)); #157859=CARTESIAN_POINT('Ctrl Pts',(-0.269430595615122,0.265620721413446, -0.867098567393786)); #157860=CARTESIAN_POINT('Ctrl Pts',(-0.26271130741702,0.31573455302611, -0.867459959350906)); #157861=CARTESIAN_POINT('Ctrl Pts',(-0.301870215082648,0.370933857201861, -0.867736739004098)); #157862=CARTESIAN_POINT('Ctrl Pts',(-0.337935922263962,0.384141499169187, -0.86773566397091)); #157863=CARTESIAN_POINT('Ctrl Pts',(-0.356317027410464,0.385096159549973, -0.86769469610292)); #157864=CARTESIAN_POINT('Ctrl Pts',(-0.280177401475974,0.239776097253443, -0.868974562992094)); #157865=CARTESIAN_POINT('Ctrl Pts',(-0.264409933263571,0.264166510402163, -0.869079146145206)); #157866=CARTESIAN_POINT('Ctrl Pts',(-0.257559046696126,0.316935240235646, -0.869309215802963)); #157867=CARTESIAN_POINT('Ctrl Pts',(-0.298781172634482,0.374949385041686, -0.869561827408287)); #157868=CARTESIAN_POINT('Ctrl Pts',(-0.336692429751882,0.38892902345722, -0.869624620705572)); #157869=CARTESIAN_POINT('Ctrl Pts',(-0.356040564867671,0.389991865603134, -0.869630007088205)); #157870=CARTESIAN_POINT('Ctrl Pts',(-0.278015012228006,0.238384556907152, -0.868968491213382)); #157871=CARTESIAN_POINT('Ctrl Pts',(-0.261888660763291,0.263443683083583, -0.86907783297215)); #157872=CARTESIAN_POINT('Ctrl Pts',(-0.254970883227435,0.317541429027291, -0.869313880416312)); #157873=CARTESIAN_POINT('Ctrl Pts',(-0.29723053233791,0.37697003096488, -0.869573188255349)); #157874=CARTESIAN_POINT('Ctrl Pts',(-0.33606702986358,0.391335073801682, -0.869635867976801)); #157875=CARTESIAN_POINT('Ctrl Pts',(-0.355901807676862,0.392453223237912, -0.869640746855061)); #157876=CARTESIAN_POINT('',(-0.283650202649381,0.248428190000028,-0.859362327598701)); #157877=CARTESIAN_POINT('Ctrl Pts',(-0.286420958711033,0.2438363648072, -0.859271421310454)); #157878=CARTESIAN_POINT('Ctrl Pts',(-0.285452380689963,0.245340128892659, -0.859301787581556)); #157879=CARTESIAN_POINT('Ctrl Pts',(-0.284531609933259,0.246873407008072, -0.859332099020065)); #157880=CARTESIAN_POINT('Ctrl Pts',(-0.283659016567366,0.248433115693149, -0.859362308761809)); #157881=CARTESIAN_POINT('Ctrl Pts',(-0.283659016567366,0.248433115693149, -0.859362308761809)); #157882=CARTESIAN_POINT('Ctrl Pts',(-0.27142745410532,0.270296305295301, -0.859785773295863)); #157883=CARTESIAN_POINT('Ctrl Pts',(-0.266687283234678,0.316677317045925, -0.860477433026412)); #157884=CARTESIAN_POINT('Ctrl Pts',(-0.303623671560683,0.368647623908492, -0.860836745739732)); #157885=CARTESIAN_POINT('Ctrl Pts',(-0.338596218766728,0.381557998183924, -0.860673210009759)); #157886=CARTESIAN_POINT('Ctrl Pts',(-0.356462290350385,0.3825506888868, -0.86051239947533)); #157887=CARTESIAN_POINT('Origin',(0.,0.,-0.06)); #157888=CARTESIAN_POINT('Origin',(0.396417414248774,0.211823453633641,-0.06)); #157889=CARTESIAN_POINT('Ctrl Pts',(0.434565175817359,0.114756480408713, 0.)); #157890=CARTESIAN_POINT('Ctrl Pts',(0.434485542620637,0.114746143139308, 4.87612697357751E-21)); #157891=CARTESIAN_POINT('Ctrl Pts',(0.434405909675784,0.114735804505776, -1.58536151092403E-7)); #157892=CARTESIAN_POINT('Ctrl Pts',(0.42656302728557,0.113717436417569, -3.13863127920313E-5)); #157893=CARTESIAN_POINT('Ctrl Pts',(0.418876042132293,0.11270552436449, -0.00156872642874839)); #157894=CARTESIAN_POINT('Ctrl Pts',(0.404475928342373,0.110785905699718, -0.00750633846474182)); #157895=CARTESIAN_POINT('Ctrl Pts',(0.397898264667777,0.109896300968564, -0.0118666547078073)); #157896=CARTESIAN_POINT('Ctrl Pts',(0.386830842209652,0.108385655831235, -0.0228313180362193)); #157897=CARTESIAN_POINT('Ctrl Pts',(0.382424945406314,0.107776613216548, -0.0293105173653173)); #157898=CARTESIAN_POINT('Ctrl Pts',(0.376341644627818,0.106932477113853, -0.0435742838108552)); #157899=CARTESIAN_POINT('Ctrl Pts',(0.37470944800386,0.106704026647994, -0.0512110399035181)); #157900=CARTESIAN_POINT('Ctrl Pts',(0.374574314107976,0.106685157634328, -0.058952855613763)); #157901=CARTESIAN_POINT('Origin',(0.434565175817359,0.191919363363676,-0.06)); #157902=CARTESIAN_POINT('',(0.374574314107976,-0.106685157634328,-0.058952855613763)); #157903=CARTESIAN_POINT('Ctrl Pts',(0.434565175817359,-0.114756480408712, 0.)); #157904=CARTESIAN_POINT('Ctrl Pts',(0.434485542620611,-0.114746143139305, 1.10968857240957E-19)); #157905=CARTESIAN_POINT('Ctrl Pts',(0.434405909675805,-0.114735804505793, -1.58536150991666E-7)); #157906=CARTESIAN_POINT('Ctrl Pts',(0.426563027284536,-0.113717436417114, -3.13863127968945E-5)); #157907=CARTESIAN_POINT('Ctrl Pts',(0.418876042132097,-0.112705524364464, -0.00156872642882878)); #157908=CARTESIAN_POINT('Ctrl Pts',(0.404475928342373,-0.110785905699718, -0.00750633846474189)); #157909=CARTESIAN_POINT('Ctrl Pts',(0.397898264667777,-0.109896300968563, -0.0118666547078073)); #157910=CARTESIAN_POINT('Ctrl Pts',(0.386830842209652,-0.108385655831235, -0.0228313180362193)); #157911=CARTESIAN_POINT('Ctrl Pts',(0.382424945406314,-0.107776613216548, -0.0293105173653173)); #157912=CARTESIAN_POINT('Ctrl Pts',(0.376341644627819,-0.106932477113853, -0.0435742838108547)); #157913=CARTESIAN_POINT('Ctrl Pts',(0.37470944800386,-0.106704026647994, -0.0512110399035179)); #157914=CARTESIAN_POINT('Ctrl Pts',(0.374574314107976,-0.106685157634328, -0.058952855613763)); #157915=CARTESIAN_POINT('Ctrl Pts',(0.374574314107976,-0.106685157634328, -0.0589528556137638)); #157916=CARTESIAN_POINT('Ctrl Pts',(0.374574081123273,-0.106685125102212, -0.0589662032984604)); #157917=CARTESIAN_POINT('Ctrl Pts',(0.374573852611206,-0.106685076927062, -0.058979550033452)); #157918=CARTESIAN_POINT('Ctrl Pts',(0.374570925096771,-0.10668424312059, -0.0591539372701224)); #157919=CARTESIAN_POINT('Ctrl Pts',(0.374568867919073,-0.106681194661395, -0.0593151259992532)); #157920=CARTESIAN_POINT('Ctrl Pts',(0.374567460433509,-0.10667585878657, -0.0594764078700976)); #157921=CARTESIAN_POINT('Ctrl Pts',(-0.415811188869404,0.317030468573993, -0.0718775001333837)); #157922=CARTESIAN_POINT('Ctrl Pts',(-0.415763925712723,0.317012547220412, -0.0717081628465035)); #157923=CARTESIAN_POINT('Ctrl Pts',(-0.415716702429629,0.316994093323489, -0.0715388897152556)); #157924=CARTESIAN_POINT('Ctrl Pts',(-0.413782082259784,0.316213775636311, -0.0646010766909262)); #157925=CARTESIAN_POINT('Ctrl Pts',(-0.410103790188691,0.312919814437169, -0.0511642420764463)); #157926=CARTESIAN_POINT('Ctrl Pts',(-0.405054415586583,0.302730727074586, -0.0323910297348008)); #157927=CARTESIAN_POINT('Ctrl Pts',(-0.400588183996553,0.286910727177776, -0.0159515897748394)); #157928=CARTESIAN_POINT('Ctrl Pts',(-0.396980939618752,0.265223477154686, -0.00359166597114496)); #157929=CARTESIAN_POINT('Ctrl Pts',(-0.395427244185744,0.246812470907308, 3.41315786811166E-12)); #157930=CARTESIAN_POINT('Ctrl Pts',(-0.394944011894971,0.237118778460514, 0.)); #157931=CARTESIAN_POINT('Ctrl Pts',(-0.415811188869404,0.317030468573993, -0.0718775001333837)); #157932=CARTESIAN_POINT('Ctrl Pts',(-0.415744273390737,0.317013339259459, -0.0717316011235714)); #157933=CARTESIAN_POINT('Ctrl Pts',(-0.415677546574316,0.316995797147877, -0.0715857404456315)); #157934=CARTESIAN_POINT('Ctrl Pts',(-0.412936677882584,0.316259171258098, -0.065607628728793)); #157935=CARTESIAN_POINT('Ctrl Pts',(-0.407652863097458,0.313547830405961, -0.0540024152558324)); #157936=CARTESIAN_POINT('Ctrl Pts',(-0.399911116262667,0.305487988504429, -0.0370874618668809)); #157937=CARTESIAN_POINT('Ctrl Pts',(-0.392302042241896,0.292444287722439, -0.0209383588672275)); #157938=CARTESIAN_POINT('Ctrl Pts',(-0.384954231715259,0.272898964283104, -0.00674003687011617)); #157939=CARTESIAN_POINT('Ctrl Pts',(-0.380768223141564,0.254002295151918, -0.000890109990438327)); #157940=CARTESIAN_POINT('Ctrl Pts',(-0.379207974846566,0.243334743903807, 2.53461636553564E-12)); #157941=CARTESIAN_POINT('Ctrl Pts',(-0.415811188869404,0.317030468573993, -0.0718775001333837)); #157942=CARTESIAN_POINT('Ctrl Pts',(-0.415710015134022,0.317014699945274, -0.0717725658831883)); #157943=CARTESIAN_POINT('Ctrl Pts',(-0.415608879390428,0.316998668275864, -0.0716678008195378)); #157944=CARTESIAN_POINT('Ctrl Pts',(-0.411462406934562,0.316330162003927, -0.0673679486392043)); #157945=CARTESIAN_POINT('Ctrl Pts',(-0.403382012987925,0.314211809316262, -0.0590167846148215)); #157946=CARTESIAN_POINT('Ctrl Pts',(-0.39104189734081,0.308346601391279, -0.0464366235639252)); #157947=CARTESIAN_POINT('Ctrl Pts',(-0.3780209517669,0.298529967865392, -0.0336198909041171)); #157948=CARTESIAN_POINT('Ctrl Pts',(-0.36422064151238,0.28251975284959, -0.0209860641994704)); #157949=CARTESIAN_POINT('Ctrl Pts',(-0.355364944008768,0.264800696362267, -0.0146329662154631)); #157950=CARTESIAN_POINT('Ctrl Pts',(-0.351896778096397,0.254123067213157, -0.0132874476631724)); #157951=CARTESIAN_POINT('Ctrl Pts',(-0.415811188869404,0.317030468573993, -0.0718775001333837)); #157952=CARTESIAN_POINT('Ctrl Pts',(-0.415675718687368,0.317016057771387, -0.071813571130237)); #157953=CARTESIAN_POINT('Ctrl Pts',(-0.415540265987386,0.317001329731771, -0.071749828991052)); #157954=CARTESIAN_POINT('Ctrl Pts',(-0.409988319302181,0.316383008769364, -0.0691316679694269)); #157955=CARTESIAN_POINT('Ctrl Pts',(-0.399169326823688,0.314142852351492, -0.0640994916103204)); #157956=CARTESIAN_POINT('Ctrl Pts',(-0.383180176408212,0.307570687338794, -0.0568967870036415)); #157957=CARTESIAN_POINT('Ctrl Pts',(-0.367394856069863,0.297096626923883, -0.0501838248530251)); #157958=CARTESIAN_POINT('Ctrl Pts',(-0.35231645788001,0.281656467435074, -0.0444144480177513)); #157959=CARTESIAN_POINT('Ctrl Pts',(-0.343750436211826,0.26695042749274, -0.0421722596637408)); #157960=CARTESIAN_POINT('Ctrl Pts',(-0.340432668497222,0.258651558665157, -0.0419480006398429)); #157961=CARTESIAN_POINT('Ctrl Pts',(-0.415811188869404,0.317030468573993, -0.0718775001333837)); #157962=CARTESIAN_POINT('Ctrl Pts',(-0.415661010584956,0.317016638272858, -0.0718311953566072)); #157963=CARTESIAN_POINT('Ctrl Pts',(-0.415510873940906,0.317002429199125, -0.0717849290398167)); #157964=CARTESIAN_POINT('Ctrl Pts',(-0.409356409747123,0.316402974263202, -0.0698895788636149)); #157965=CARTESIAN_POINT('Ctrl Pts',(-0.397375065363638,0.313972081043249, -0.0662874241615901)); #157966=CARTESIAN_POINT('Ctrl Pts',(-0.380096901968534,0.306577294333483, -0.0614558194279225)); #157967=CARTESIAN_POINT('Ctrl Pts',(-0.363862474195622,0.295139802771668, -0.0574548378616179)); #157968=CARTESIAN_POINT('Ctrl Pts',(-0.349545878752056,0.279462950423753, -0.0547504032808717)); #157969=CARTESIAN_POINT('Ctrl Pts',(-0.342178140530099,0.266120361884953, -0.0543150313852116)); #157970=CARTESIAN_POINT('Ctrl Pts',(-0.33936766701656,0.25907224987534, -0.0545856686591479)); #157971=CARTESIAN_POINT('',(-0.33936766701656,0.25907224987534,-0.0545856686591479)); #157972=CARTESIAN_POINT('Origin',(-0.394944011893678,0.237118778481705, -0.06)); #157973=CARTESIAN_POINT('Ctrl Pts',(-0.394944011894971,0.237118778460514, 0.)); #157974=CARTESIAN_POINT('Ctrl Pts',(-0.395427244185744,0.246812470907308, 3.41315786811166E-12)); #157975=CARTESIAN_POINT('Ctrl Pts',(-0.396980939618752,0.265223477154686, -0.00359166597114496)); #157976=CARTESIAN_POINT('Ctrl Pts',(-0.400588183996553,0.286910727177776, -0.0159515897748394)); #157977=CARTESIAN_POINT('Ctrl Pts',(-0.405054415586583,0.302730727074586, -0.0323910297348008)); #157978=CARTESIAN_POINT('Ctrl Pts',(-0.410103790188691,0.312919814437169, -0.0511642420764463)); #157979=CARTESIAN_POINT('Ctrl Pts',(-0.413782082259784,0.316213775636311, -0.0646010766909262)); #157980=CARTESIAN_POINT('Ctrl Pts',(-0.415716702429629,0.316994093323489, -0.0715388897152556)); #157981=CARTESIAN_POINT('Ctrl Pts',(-0.415763925712723,0.317012547220412, -0.0717081628465035)); #157982=CARTESIAN_POINT('Ctrl Pts',(-0.415811188869404,0.317030468573993, -0.0718775001333837)); #157983=CARTESIAN_POINT('Ctrl Pts',(-0.415811188869404,0.317030468573993, -0.0718775001333837)); #157984=CARTESIAN_POINT('Ctrl Pts',(-0.415661010584956,0.317016638272858, -0.0718311953566072)); #157985=CARTESIAN_POINT('Ctrl Pts',(-0.415510873940906,0.317002429199125, -0.0717849290398167)); #157986=CARTESIAN_POINT('Ctrl Pts',(-0.409356409747123,0.316402974263202, -0.0698895788636149)); #157987=CARTESIAN_POINT('Ctrl Pts',(-0.397375065363638,0.313972081043249, -0.0662874241615901)); #157988=CARTESIAN_POINT('Ctrl Pts',(-0.380096901968534,0.306577294333483, -0.0614558194279225)); #157989=CARTESIAN_POINT('Ctrl Pts',(-0.363862474195622,0.295139802771668, -0.0574548378616179)); #157990=CARTESIAN_POINT('Ctrl Pts',(-0.349545878752056,0.279462950423753, -0.0547504032808717)); #157991=CARTESIAN_POINT('Ctrl Pts',(-0.342178140530099,0.266120361884953, -0.0543150313852116)); #157992=CARTESIAN_POINT('Ctrl Pts',(-0.33936766701656,0.25907224987534, -0.0545856686591479)); #157993=CARTESIAN_POINT('Ctrl Pts',(-0.394944011892463,0.237118778478629, 0.)); #157994=CARTESIAN_POINT('Ctrl Pts',(-0.39174177198407,0.229012143022463, 0.)); #157995=CARTESIAN_POINT('Ctrl Pts',(-0.392309629627251,0.219510975145998, 0.)); #157996=CARTESIAN_POINT('Ctrl Pts',(-0.39641741417714,0.211823453767701, 0.)); #157997=CARTESIAN_POINT('Ctrl Pts',(-0.379207974844721,0.243334743919124, 0.)); #157998=CARTESIAN_POINT('Ctrl Pts',(-0.3740229822444,0.230701546442076, 6.21962751196324E-6)); #157999=CARTESIAN_POINT('Ctrl Pts',(-0.374544770892705,0.215828676765356, -1.36514388674793E-5)); #158000=CARTESIAN_POINT('Ctrl Pts',(-0.38076002940658,0.20345701677362, 0.)); #158001=CARTESIAN_POINT('Ctrl Pts',(-0.351894409012117,0.254124003041804, -0.0132850947488281)); #158002=CARTESIAN_POINT('Ctrl Pts',(-0.343307060133279,0.233678222095536, -0.0135819132005625)); #158003=CARTESIAN_POINT('Ctrl Pts',(-0.34375514168518,0.209364850218103, -0.0141022261419332)); #158004=CARTESIAN_POINT('Ctrl Pts',(-0.353630454795709,0.188960478559596, -0.0147060615025782)); #158005=CARTESIAN_POINT('Ctrl Pts',(-0.340432668494928,0.258651558659944, -0.0419480006414177)); #158006=CARTESIAN_POINT('Ctrl Pts',(-0.330710787508262,0.234777362535405, -0.0427294416821439)); #158007=CARTESIAN_POINT('Ctrl Pts',(-0.33187917792087,0.206713277398977, -0.0441065141478942)); #158008=CARTESIAN_POINT('Ctrl Pts',(-0.343711476200532,0.183660327181939, -0.0456405145914169)); #158009=CARTESIAN_POINT('Ctrl Pts',(-0.339367667012893,0.259072249866143, -0.054585668659501)); #158010=CARTESIAN_POINT('Ctrl Pts',(-0.329700953874994,0.234830044182229, -0.0555165341782036)); #158011=CARTESIAN_POINT('Ctrl Pts',(-0.331298684472057,0.206463651140384, -0.0571591278138656)); #158012=CARTESIAN_POINT('Ctrl Pts',(-0.343506531918462,0.1835508163378, -0.0589528556137631)); #158013=CARTESIAN_POINT('',(-0.343506531918462,0.183550816337799,-0.0589528556137599)); #158014=CARTESIAN_POINT('Origin',(-0.39641741417714,0.211823453767701,-0.0600000000000001)); #158015=CARTESIAN_POINT('Ctrl Pts',(-0.339367667012893,0.259072249866143, -0.054585668659501)); #158016=CARTESIAN_POINT('Ctrl Pts',(-0.329700953874994,0.234830044182229, -0.0555165341782036)); #158017=CARTESIAN_POINT('Ctrl Pts',(-0.331298684472057,0.206463651140384, -0.0571591278138656)); #158018=CARTESIAN_POINT('Ctrl Pts',(-0.343506531918462,0.1835508163378, -0.0589528556137631)); #158019=CARTESIAN_POINT('Origin',(0.,0.,-0.06)); #158020=CARTESIAN_POINT('Origin',(-2.18547839493141E-17,0.,-0.058952855613763)); #158021=CARTESIAN_POINT('Ctrl Pts',(0.396417414177263,0.21182345376747, 0.)); #158022=CARTESIAN_POINT('Ctrl Pts',(0.392309630055018,0.219510974345292, 0.)); #158023=CARTESIAN_POINT('Ctrl Pts',(0.391741772317813,0.229012143869969, 0.)); #158024=CARTESIAN_POINT('Ctrl Pts',(0.394944011893677,0.237118778481704, 0.)); #158025=CARTESIAN_POINT('Ctrl Pts',(0.380760029406767,0.203457016773248, 0.)); #158026=CARTESIAN_POINT('Ctrl Pts',(0.374544771539928,0.215828675476773, -1.36514374461075E-5)); #158027=CARTESIAN_POINT('Ctrl Pts',(0.374022982784875,0.230701547762826, 6.21962686314601E-6)); #158028=CARTESIAN_POINT('Ctrl Pts',(0.379207974846684,0.243334743923912, 0.)); #158029=CARTESIAN_POINT('Ctrl Pts',(0.353630454796006,0.188960478558982, -0.0147060615025964)); #158030=CARTESIAN_POINT('Ctrl Pts',(0.343755142713534,0.20936484809287, -0.0141022262048226)); #158031=CARTESIAN_POINT('Ctrl Pts',(0.343307061028505,0.233678224233091, -0.0135819131694893)); #158032=CARTESIAN_POINT('Ctrl Pts',(0.351894409015376,0.254124003049563, -0.0132850947487165)); #158033=CARTESIAN_POINT('Ctrl Pts',(0.343711476200888,0.183660327181246, -0.0456405145914629)); #158034=CARTESIAN_POINT('Ctrl Pts',(0.331879179153025,0.206713274997869, -0.0441065143076605)); #158035=CARTESIAN_POINT('Ctrl Pts',(0.330710788521617,0.234777365031341, -0.0427294416003369)); #158036=CARTESIAN_POINT('Ctrl Pts',(0.340432668498614,0.258651558668997, -0.0419480006411218)); #158037=CARTESIAN_POINT('Ctrl Pts',(0.343506531918829,0.18355081633711, -0.0589528556138169)); #158038=CARTESIAN_POINT('Ctrl Pts',(0.331298685743329,0.206463648753862, -0.0571591280006831)); #158039=CARTESIAN_POINT('Ctrl Pts',(0.329700954882503,0.234830046716613, -0.0555165340807545)); #158040=CARTESIAN_POINT('Ctrl Pts',(0.33936766701656,0.25907224987534,-0.0545856686591479)); #158041=CARTESIAN_POINT('Origin',(0.394944011893677,0.237118778481704,-0.06)); #158042=CARTESIAN_POINT('Ctrl Pts',(0.394944011895554,0.23711877847224, 0.)); #158043=CARTESIAN_POINT('Ctrl Pts',(0.395427244186518,0.246812470917901, 1.98356947660411E-12)); #158044=CARTESIAN_POINT('Ctrl Pts',(0.396980373206108,0.265221408095025, -0.0035894636701397)); #158045=CARTESIAN_POINT('Ctrl Pts',(0.400589090295501,0.286919469466244, -0.0159524307417556)); #158046=CARTESIAN_POINT('Ctrl Pts',(0.40653936452005,0.307983999205585, -0.0378593545166791)); #158047=CARTESIAN_POINT('Ctrl Pts',(0.411894538621578,0.315445541649131, -0.0578337149364341)); #158048=CARTESIAN_POINT('Ctrl Pts',(0.415716703469218,0.316994153389051, -0.0715388794742285)); #158049=CARTESIAN_POINT('Ctrl Pts',(0.415763925712573,0.317012547220484, -0.0717081628474573)); #158050=CARTESIAN_POINT('Ctrl Pts',(0.415811188869405,0.317030468573986, -0.0718775001333242)); #158051=CARTESIAN_POINT('Ctrl Pts',(0.379207974848434,0.243334743916664, 1.47269793082037E-12)); #158052=CARTESIAN_POINT('Ctrl Pts',(0.380764529250931,0.253982840611517, -0.000903040252958101)); #158053=CARTESIAN_POINT('Ctrl Pts',(0.38497873697296,0.272935164197858, -0.00679633598589199)); #158054=CARTESIAN_POINT('Ctrl Pts',(0.392328807607719,0.29244808139954, -0.0210282125959948)); #158055=CARTESIAN_POINT('Ctrl Pts',(0.402470053398286,0.309797674882764, -0.0425298848143824)); #158056=CARTESIAN_POINT('Ctrl Pts',(0.410270684807455,0.315526331207486, -0.0597918219460209)); #158057=CARTESIAN_POINT('Ctrl Pts',(0.415677451566766,0.31699593630326, -0.0715856033941378)); #158058=CARTESIAN_POINT('Ctrl Pts',(0.415744322831251,0.317013326468581, -0.0717315976113082)); #158059=CARTESIAN_POINT('Ctrl Pts',(0.415811188869405,0.317030468573986, -0.0718775001333242)); #158060=CARTESIAN_POINT('Ctrl Pts',(0.351896778100574,0.254123067226028, -0.0132874476647854)); #158061=CARTESIAN_POINT('Ctrl Pts',(0.355345905348223,0.264759335985335, -0.014611885269652)); #158062=CARTESIAN_POINT('Ctrl Pts',(0.364209745160206,0.28251864478729, -0.020967658919786)); #158063=CARTESIAN_POINT('Ctrl Pts',(0.378003279060224,0.298535885139107, -0.0335855308443222)); #158064=CARTESIAN_POINT('Ctrl Pts',(0.395358209522291,0.311595702579262, -0.0506821972038763)); #158065=CARTESIAN_POINT('Ctrl Pts',(0.407412711779552,0.315662830913614, -0.0631721058112139)); #158066=CARTESIAN_POINT('Ctrl Pts',(0.415608914485567,0.316998808932798, -0.0716677835767196)); #158067=CARTESIAN_POINT('Ctrl Pts',(0.415710017788937,0.317014690152751, -0.0717726084480474)); #158068=CARTESIAN_POINT('Ctrl Pts',(0.415811188869405,0.317030468573986, -0.0718775001333242)); #158069=CARTESIAN_POINT('Ctrl Pts',(0.34043266850122,0.258651558675155, -0.0419480006401045)); #158070=CARTESIAN_POINT('Ctrl Pts',(0.343746641653828,0.266918020959333, -0.0421608883268111)); #158071=CARTESIAN_POINT('Ctrl Pts',(0.352330122585359,0.281659295270156, -0.0444080938590385)); #158072=CARTESIAN_POINT('Ctrl Pts',(0.367417726743738,0.297086552958609, -0.0501695420816308)); #158073=CARTESIAN_POINT('Ctrl Pts',(0.388444020335855,0.311037099451227, -0.059126644335967)); #158074=CARTESIAN_POINT('Ctrl Pts',(0.404573465838535,0.315769657287806, -0.066579751595166)); #158075=CARTESIAN_POINT('Ctrl Pts',(0.415540255331249,0.317001424740025, -0.0717497813310802)); #158076=CARTESIAN_POINT('Ctrl Pts',(0.415675712746622,0.317016053836921, -0.0718136192847865)); #158077=CARTESIAN_POINT('Ctrl Pts',(0.415811188869405,0.317030468573986, -0.0718775001333242)); #158078=CARTESIAN_POINT('Ctrl Pts',(0.339367667019958,0.259072249883862, -0.0545856686588207)); #158079=CARTESIAN_POINT('Ctrl Pts',(0.342178140533961,0.266120361892633, -0.0543150313852932)); #158080=CARTESIAN_POINT('Ctrl Pts',(0.349543824897122,0.279461465548099, -0.0547498713495709)); #158081=CARTESIAN_POINT('Ctrl Pts',(0.363866564276199,0.295146246021452, -0.0574548685949793)); #158082=CARTESIAN_POINT('Ctrl Pts',(0.385493693487368,0.310375187656247, -0.0627869004907551)); #158083=CARTESIAN_POINT('Ctrl Pts',(0.403350737956375,0.315813056186457, -0.0680409737653399)); #158084=CARTESIAN_POINT('Ctrl Pts',(0.415510885612959,0.317002473142092, -0.0717849246894679)); #158085=CARTESIAN_POINT('Ctrl Pts',(0.41566101058563,0.317016638272994, -0.0718311953576746)); #158086=CARTESIAN_POINT('Ctrl Pts',(0.415811188869405,0.317030468573986, -0.0718775001333242)); #158087=CARTESIAN_POINT('Ctrl Pts',(0.415811188869405,0.317030468573986, -0.0718775001333242)); #158088=CARTESIAN_POINT('Ctrl Pts',(0.415763925712573,0.317012547220484, -0.0717081628474573)); #158089=CARTESIAN_POINT('Ctrl Pts',(0.415716703469218,0.316994153389051, -0.0715388794742285)); #158090=CARTESIAN_POINT('Ctrl Pts',(0.411894538621578,0.315445541649131, -0.0578337149364341)); #158091=CARTESIAN_POINT('Ctrl Pts',(0.40653936452005,0.307983999205585, -0.0378593545166791)); #158092=CARTESIAN_POINT('Ctrl Pts',(0.400589090295501,0.286919469466244, -0.0159524307417556)); #158093=CARTESIAN_POINT('Ctrl Pts',(0.396980373206108,0.265221408095025, -0.0035894636701397)); #158094=CARTESIAN_POINT('Ctrl Pts',(0.395427244186518,0.246812470917901, 1.98356947660411E-12)); #158095=CARTESIAN_POINT('Ctrl Pts',(0.394944011895554,0.23711877847224, 0.)); #158096=CARTESIAN_POINT('Origin',(0.,0.,0.)); #158097=CARTESIAN_POINT('Ctrl Pts',(-0.281299403943843,0.244994877811095, -1.00082000584362)); #158098=CARTESIAN_POINT('Ctrl Pts',(-0.306085602024773,0.224868609433294, -0.687285331874172)); #158099=CARTESIAN_POINT('Ctrl Pts',(-0.326159901995828,0.20437478466446, -0.373214185183123)); #158100=CARTESIAN_POINT('Ctrl Pts',(-0.343506531918462,0.183550816337799, -0.0589528556137597)); #158101=CARTESIAN_POINT('Ctrl Pts',(-0.344276412359286,0.393619633955785, -0.994546705575691)); #158102=CARTESIAN_POINT('Ctrl Pts',(-0.373650959855523,0.367749684006188, -0.68101203160624)); #158103=CARTESIAN_POINT('Ctrl Pts',(-0.397054326007971,0.341676333093971, -0.36694088491519)); #158104=CARTESIAN_POINT('Ctrl Pts',(-0.417036425398286,0.315427126783682, -0.0526795553458262)); #158105=CARTESIAN_POINT('Ctrl Pts',(-0.325293688653861,0.39243531604069, -0.992841950794446)); #158106=CARTESIAN_POINT('Ctrl Pts',(-0.355402421687426,0.366548240194687, -0.679637982247442)); #158107=CARTESIAN_POINT('Ctrl Pts',(-0.379442696415674,0.340475738423993, -0.365772856245044)); #158108=CARTESIAN_POINT('Ctrl Pts',(-0.400025208066309,0.314230113948087, -0.0516840457738848)); #158109=CARTESIAN_POINT('Ctrl Pts',(-0.288296539816809,0.377633285922807, -0.990549413127863)); #158110=CARTESIAN_POINT('Ctrl Pts',(-0.319844517673516,0.352729832598034, -0.677912010679898)); #158111=CARTESIAN_POINT('Ctrl Pts',(-0.345093331673513,0.327433915358507, -0.364384196692457)); #158112=CARTESIAN_POINT('Ctrl Pts',(-0.366837403394461,0.301920096656622, -0.050574498024964)); #158113=CARTESIAN_POINT('Ctrl Pts',(-0.250620433084463,0.317904418483561, -0.991896263530551)); #158114=CARTESIAN_POINT('Ctrl Pts',(-0.281790854053311,0.296894684721743, -0.67943316834831)); #158115=CARTESIAN_POINT('Ctrl Pts',(-0.30705067140083,0.274909123544352, -0.366004928561823)); #158116=CARTESIAN_POINT('Ctrl Pts',(-0.328881241559536,0.252354720426094, -0.0522677418630896)); #158117=CARTESIAN_POINT('Ctrl Pts',(-0.262677760774262,0.26647001107797, -0.997281446782308)); #158118=CARTESIAN_POINT('Ctrl Pts',(-0.290033814858926,0.247134807783322, -0.684177000999926)); #158119=CARTESIAN_POINT('Ctrl Pts',(-0.312206419444763,0.226827419773116, -0.370353286200565)); #158120=CARTESIAN_POINT('Ctrl Pts',(-0.331536148895289,0.206050924816704, -0.0563038585283599)); #158121=CARTESIAN_POINT('Ctrl Pts',(-0.281299403943843,0.244994877811095, -1.00082000584362)); #158122=CARTESIAN_POINT('Ctrl Pts',(-0.306085602024773,0.224868609433294, -0.687285331874172)); #158123=CARTESIAN_POINT('Ctrl Pts',(-0.326159901995828,0.20437478466446, -0.373214185183123)); #158124=CARTESIAN_POINT('Ctrl Pts',(-0.343506531918462,0.183550816337799, -0.0589528556137597)); #158125=CARTESIAN_POINT('Origin',(0.256968503,0.13877096,-0.887362181375784)); #158126=CARTESIAN_POINT('Origin',(0.,-0.159625,-0.589299212)); #158127=CARTESIAN_POINT('',(0.318186165790323,0.0260408601941794,-0.589299212)); #158128=CARTESIAN_POINT('',(0.250619234303241,0.0260408603017528,-0.589299211952565)); #158129=CARTESIAN_POINT('',(0.,0.0260408601941794,-0.589299212)); #158130=CARTESIAN_POINT('',(0.318186165790323,-0.0260408601941794,-0.589299212)); #158131=CARTESIAN_POINT('Origin',(0.,0.,-0.589299212)); #158132=CARTESIAN_POINT('',(0.250619234303241,-0.0260408603017529,-0.589299211952565)); #158133=CARTESIAN_POINT('',(0.,-0.0260408601941794,-0.589299212)); #158134=CARTESIAN_POINT('Origin',(2.41659001099573E-33,3.52606938999263E-32, -0.589299212)); #158135=CARTESIAN_POINT('Origin',(0.,0.,-0.559771653)); #158136=CARTESIAN_POINT('',(0.318186165975923,0.0260408579263926,-0.530244094)); #158137=CARTESIAN_POINT('',(0.316813130123901,0.0393700785,-0.559771654)); #158138=CARTESIAN_POINT('Ctrl Pts',(0.318186165975923,0.0260408579263925, -0.530244094)); #158139=CARTESIAN_POINT('Ctrl Pts',(0.31813520548915,0.026663530264927, -0.530793239337524)); #158140=CARTESIAN_POINT('Ctrl Pts',(0.318084276169607,0.0272633363130464, -0.531357291346653)); #158141=CARTESIAN_POINT('Ctrl Pts',(0.317728171386682,0.0313315142260835, -0.53542546925969)); #158142=CARTESIAN_POINT('Ctrl Pts',(0.317401958869931,0.0343606029262111, -0.539849153233951)); #158143=CARTESIAN_POINT('Ctrl Pts',(0.31694104891136,0.0383800217548079, -0.549547615239931)); #158144=CARTESIAN_POINT('Ctrl Pts',(0.316813130123901,0.0393700785,-0.55482282752997)); #158145=CARTESIAN_POINT('Ctrl Pts',(0.316813130123901,0.0393700785,-0.559771654)); #158146=CARTESIAN_POINT('',(0.318186165975923,-0.0260408579263926,-0.530244094)); #158147=CARTESIAN_POINT('Origin',(0.,0.,-0.530244094)); #158148=CARTESIAN_POINT('Ctrl Pts',(0.318186165790323,-0.0260408601941794, -0.589299212)); #158149=CARTESIAN_POINT('Ctrl Pts',(0.318135205365479,-0.0266635317214378, -0.588750067292936)); #158150=CARTESIAN_POINT('Ctrl Pts',(0.318084276108439,-0.0272633370118319, -0.588186015954561)); #158151=CARTESIAN_POINT('Ctrl Pts',(0.317728171386682,-0.0313315142260835, -0.58411783874031)); #158152=CARTESIAN_POINT('Ctrl Pts',(0.317401958869931,-0.034360602926211, -0.579694154766049)); #158153=CARTESIAN_POINT('Ctrl Pts',(0.31694104891136,-0.0383800217548078, -0.569995692760069)); #158154=CARTESIAN_POINT('Ctrl Pts',(0.316813130123901,-0.0393700785,-0.56472048047003)); #158155=CARTESIAN_POINT('Ctrl Pts',(0.316813130123901,-0.0393700785,-0.559771654)); #158156=CARTESIAN_POINT('Ctrl Pts',(0.316813130123901,-0.0393700785,-0.55482282752997)); #158157=CARTESIAN_POINT('Ctrl Pts',(0.31694104891136,-0.0383800217548078, -0.549547615239931)); #158158=CARTESIAN_POINT('Ctrl Pts',(0.317401958869931,-0.034360602926211, -0.539849153233951)); #158159=CARTESIAN_POINT('Ctrl Pts',(0.317728171386682,-0.0313315142260835, -0.53542546925969)); #158160=CARTESIAN_POINT('Ctrl Pts',(0.318084276169607,-0.0272633363130464, -0.531357291346653)); #158161=CARTESIAN_POINT('Ctrl Pts',(0.31813520548915,-0.026663530264927, -0.530793239337524)); #158162=CARTESIAN_POINT('Ctrl Pts',(0.318186165975923,-0.0260408579263925, -0.530244094)); #158163=CARTESIAN_POINT('Ctrl Pts',(0.316813130123901,0.0393700785,-0.559771654)); #158164=CARTESIAN_POINT('Ctrl Pts',(0.316813130123901,0.0393700785,-0.56472048047003)); #158165=CARTESIAN_POINT('Ctrl Pts',(0.31694104891136,0.0383800217548078, -0.569995692760069)); #158166=CARTESIAN_POINT('Ctrl Pts',(0.317401958869931,0.034360602926211, -0.579694154766049)); #158167=CARTESIAN_POINT('Ctrl Pts',(0.317728171386682,0.0313315142260835, -0.58411783874031)); #158168=CARTESIAN_POINT('Ctrl Pts',(0.318084276108454,0.0272633370116648, -0.588186015954728)); #158169=CARTESIAN_POINT('Ctrl Pts',(0.318135205365491,0.0266635317212936, -0.588750067293063)); #158170=CARTESIAN_POINT('Ctrl Pts',(0.318186165790323,0.0260408601941794, -0.589299212)); #158171=CARTESIAN_POINT('Origin',(0.,-0.159625,-0.530244094)); #158172=CARTESIAN_POINT('',(0.250619234538877,0.0260408580339664,-0.530244094047436)); #158173=CARTESIAN_POINT('',(0.,0.0260408579263926,-0.530244094)); #158174=CARTESIAN_POINT('',(0.250619234538877,-0.0260408580339665,-0.530244094047436)); #158175=CARTESIAN_POINT('Origin',(2.41659001099573E-33,3.20052576877548E-32, -0.530244094)); #158176=CARTESIAN_POINT('',(0.,-0.0260408579263926,-0.530244094)); #158177=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-4.88708174317245E-33, 0.139)); #158178=CARTESIAN_POINT('',(-0.244093992158754,0.0625,0.017)); #158179=CARTESIAN_POINT('',(-0.244093992158754,0.0625,-0.03811811)); #158180=CARTESIAN_POINT('',(-0.244093992158754,0.0625,0.139)); #158181=CARTESIAN_POINT('',(-0.110236221,-0.226574717450198,-0.03811811)); #158182=CARTESIAN_POINT('Origin',(2.41659001099573E-33,4.87662254957595E-33, -0.03811811)); #158183=CARTESIAN_POINT('',(-0.110236221,-0.226574717450198,-0.475125984)); #158184=CARTESIAN_POINT('',(-0.110236221,-0.226574717450198,0.139)); #158185=CARTESIAN_POINT('',(0.11023622,-0.226574717936732,-0.475125984)); #158186=CARTESIAN_POINT('Origin',(2.41659001099573E-33,2.89668505637449E-32, -0.475125984)); #158187=CARTESIAN_POINT('',(0.11023622,-0.226574717936732,-0.03811811)); #158188=CARTESIAN_POINT('',(0.11023622,-0.226574717936732,0.139)); #158189=CARTESIAN_POINT('',(0.251968504,-3.08572421909547E-17,-0.03811811)); #158190=CARTESIAN_POINT('Origin',(2.41659001099573E-33,4.87662254957595E-33, -0.03811811)); #158191=CARTESIAN_POINT('',(0.251968504,-1.40626970986452E-17,-0.5204015755)); #158192=CARTESIAN_POINT('',(0.251968504,-3.08572421909547E-17,0.139)); #158193=CARTESIAN_POINT('Ctrl Pts',(0.251968504,0.,-0.5204015755)); #158194=CARTESIAN_POINT('Ctrl Pts',(0.251968504,-0.00495207123138053,-0.5204015755)); #158195=CARTESIAN_POINT('Ctrl Pts',(0.251807656183657,-0.0102287206145798, -0.521392907197275)); #158196=CARTESIAN_POINT('Ctrl Pts',(0.251274237998334,-0.0191269781404973, -0.525081911874484)); #158197=CARTESIAN_POINT('Ctrl Pts',(0.250947003878149,-0.0228863805276452, -0.527462106650687)); #158198=CARTESIAN_POINT('Ctrl Pts',(0.250619234538877,-0.0260408580339665, -0.530244094094871)); #158199=CARTESIAN_POINT('Ctrl Pts',(0.250619234538877,0.0260408580339664, -0.530244094094871)); #158200=CARTESIAN_POINT('Ctrl Pts',(0.250947003878149,0.0228863805276451, -0.527462106650687)); #158201=CARTESIAN_POINT('Ctrl Pts',(0.251274237998334,0.0191269781404973, -0.525081911874484)); #158202=CARTESIAN_POINT('Ctrl Pts',(0.251807656183657,0.0102287206145798, -0.521392907197275)); #158203=CARTESIAN_POINT('Ctrl Pts',(0.251968504,0.00495207123138052,-0.5204015755)); #158204=CARTESIAN_POINT('Ctrl Pts',(0.251968504,4.09777199049639E-18,-0.5204015755)); #158205=CARTESIAN_POINT('',(0.244093992158754,0.0625,-0.03811811)); #158206=CARTESIAN_POINT('Origin',(2.41659001099573E-33,4.87662254957595E-33, -0.03811811)); #158207=CARTESIAN_POINT('',(0.244093992158754,0.0625,0.017)); #158208=CARTESIAN_POINT('',(0.244093992158754,0.0625,0.139)); #158209=CARTESIAN_POINT('',(0.11023622,0.226574717936732,0.017)); #158210=CARTESIAN_POINT('Origin',(2.41659001099573E-33,1.83821542556599E-33, 0.017)); #158211=CARTESIAN_POINT('',(0.11023622,0.226574717936732,-0.475125984)); #158212=CARTESIAN_POINT('',(0.11023622,0.226574717936732,0.139)); #158213=CARTESIAN_POINT('',(-0.110236221,0.226574717450198,-0.475125984)); #158214=CARTESIAN_POINT('Origin',(2.41659001099573E-33,2.89668505637449E-32, -0.475125984)); #158215=CARTESIAN_POINT('',(-0.110236221,0.226574717450198,0.017)); #158216=CARTESIAN_POINT('',(-0.110236221,0.226574717450198,0.139)); #158217=CARTESIAN_POINT('Origin',(2.41659001099573E-33,1.83821542556599E-33, 0.017)); #158218=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-4.88708174317245E-33, 0.139)); #158219=CARTESIAN_POINT('',(0.251968504,-1.2013811103397E-17,-0.5991417325)); #158220=CARTESIAN_POINT('Ctrl Pts',(0.250619234303241,-0.0260408603017529, -0.589299211905129)); #158221=CARTESIAN_POINT('Ctrl Pts',(0.250947003720634,-0.0228863823212532, -0.592081200198204)); #158222=CARTESIAN_POINT('Ctrl Pts',(0.251274237940167,-0.0191269791108128, -0.594461395723246)); #158223=CARTESIAN_POINT('Ctrl Pts',(0.251807656183657,-0.0102287206145798, -0.598150400802725)); #158224=CARTESIAN_POINT('Ctrl Pts',(0.251968504,-0.00495207123138052,-0.5991417325)); #158225=CARTESIAN_POINT('Ctrl Pts',(0.251968504,1.36592399683213E-17,-0.5991417325)); #158226=CARTESIAN_POINT('',(0.251968504,-3.08572421909547E-17,0.139)); #158227=CARTESIAN_POINT('Origin',(2.41659001099573E-33,5.06202482933905E-32, -0.86792863)); #158228=CARTESIAN_POINT('Ctrl Pts',(0.251968504,6.82961998416066E-18,-0.5991417325)); #158229=CARTESIAN_POINT('Ctrl Pts',(0.251968504,0.00495207123138055,-0.5991417325)); #158230=CARTESIAN_POINT('Ctrl Pts',(0.251807656183657,0.0102287206145798, -0.598150400802725)); #158231=CARTESIAN_POINT('Ctrl Pts',(0.251274237940167,0.0191269791108128, -0.594461395723246)); #158232=CARTESIAN_POINT('Ctrl Pts',(0.250947003720634,0.0228863823212531, -0.592081200198204)); #158233=CARTESIAN_POINT('Ctrl Pts',(0.250619234303241,0.0260408603017528, -0.589299211905129)); #158234=CARTESIAN_POINT('Origin',(0.142970415,0.,-1.00983513)); #158235=CARTESIAN_POINT('Origin',(0.225937998,0.,-0.86792863)); #158236=CARTESIAN_POINT('Origin',(-0.238707758989717,0.000985827979989274, -0.867932931513326)); #158237=CARTESIAN_POINT('Ctrl Pts',(2.09196453148909,0.771444240055347, 0.278573653784476)); #158238=CARTESIAN_POINT('Ctrl Pts',(2.0914527688664,0.778956165661092,0.278573988792742)); #158239=CARTESIAN_POINT('Ctrl Pts',(2.09093114813268,0.786467247215642, 0.278573602213696)); #158240=CARTESIAN_POINT('Ctrl Pts',(2.09039983429616,0.793977620006838, 0.278572595849716)); #158241=CARTESIAN_POINT('Ctrl Pts',(2.08805140089705,0.771183408175887, 0.277937711126392)); #158242=CARTESIAN_POINT('Ctrl Pts',(2.08753710976369,0.778690721205501, 0.277936139653921)); #158243=CARTESIAN_POINT('Ctrl Pts',(2.08701219346601,0.786197268495143, 0.277934049302764)); #158244=CARTESIAN_POINT('Ctrl Pts',(2.08647668276103,0.793703014387601, 0.277931552365927)); #158245=CARTESIAN_POINT('Ctrl Pts',(2.08426755199883,0.77093141665168,0.276512867305956)); #158246=CARTESIAN_POINT('Ctrl Pts',(2.08375122281696,0.778434214092048, 0.276508185275687)); #158247=CARTESIAN_POINT('Ctrl Pts',(2.08322347361186,0.785936326507094, 0.276502903065341)); #158248=CARTESIAN_POINT('Ctrl Pts',(2.08268423199152,0.793437547482533, 0.276497040548132)); #158249=CARTESIAN_POINT('Ctrl Pts',(2.08090471926797,0.770707694010828, 0.274408977677603)); #158250=CARTESIAN_POINT('Ctrl Pts',(2.08038744518598,0.778206451113184, 0.274400388848976)); #158251=CARTESIAN_POINT('Ctrl Pts',(2.07985809096028,0.785704607010582, 0.274390879078348)); #158252=CARTESIAN_POINT('Ctrl Pts',(2.07931655173262,0.793201803813312, 0.274380293619939)); #158253=CARTESIAN_POINT('Origin',(2.09675527886804,0.771771938469547,0.248960458190275)); #158254=CARTESIAN_POINT('Ctrl Pts',(1.71442239613672,2.72143662274965,0.278333356277126)); #158255=CARTESIAN_POINT('Ctrl Pts',(1.71044492095969,2.73367250042389,0.278328405221961)); #158256=CARTESIAN_POINT('Ctrl Pts',(1.70644348939465,2.74589883496521,0.278321305729282)); #158257=CARTESIAN_POINT('Ctrl Pts',(1.70241670254496,2.75812965430818,0.278306794505827)); #158258=CARTESIAN_POINT('Ctrl Pts',(1.70924974223101,2.71976481846612,0.277231804101475)); #158259=CARTESIAN_POINT('Ctrl Pts',(1.70525630652821,2.73198683654965,0.277218830586814)); #158260=CARTESIAN_POINT('Ctrl Pts',(1.70123750714235,2.74419723225489,0.277204064801555)); #158261=CARTESIAN_POINT('Ctrl Pts',(1.69717231491779,2.75641478871319,0.277176037787425)); #158262=CARTESIAN_POINT('Ctrl Pts',(1.70438306233881,2.71819183592359,0.274586897786276)); #158263=CARTESIAN_POINT('Ctrl Pts',(1.70037716534351,2.73040131951141,0.27455430319832)); #158264=CARTESIAN_POINT('Ctrl Pts',(1.69634417737028,2.74259710559888,0.274519499727832)); #158265=CARTESIAN_POINT('Ctrl Pts',(1.69224736256337,2.75480318148861,0.274453508196738)); #158266=CARTESIAN_POINT('Ctrl Pts',(1.70053795156986,2.71694896598978,0.270787543540025)); #158267=CARTESIAN_POINT('Ctrl Pts',(1.69653003957017,2.72915073951641,0.270729398762492)); #158268=CARTESIAN_POINT('Ctrl Pts',(1.69249361593239,2.74133720111149,0.270668111539977)); #158269=CARTESIAN_POINT('Ctrl Pts',(1.68838754163037,2.7535388490663,0.270551428976608)); #158270=CARTESIAN_POINT('Ctrl Pts',(1.30444951998456,3.16327565123813,0.278470207308608)); #158271=CARTESIAN_POINT('Ctrl Pts',(1.28828944351926,3.16881598944616,0.278481920291786)); #158272=CARTESIAN_POINT('Ctrl Pts',(1.27210193186771,3.17425668234426,0.278487516472639)); #158273=CARTESIAN_POINT('Ctrl Pts',(1.25588070960977,3.17959811494048,0.278490551411847)); #158274=CARTESIAN_POINT('Ctrl Pts',(1.30298036554416,3.15899083522051,0.277662038189427)); #158275=CARTESIAN_POINT('Ctrl Pts',(1.28684546959017,3.1645492813889,0.277678406080262)); #158276=CARTESIAN_POINT('Ctrl Pts',(1.27068310906404,3.16999880038653,0.277687858326141)); #158277=CARTESIAN_POINT('Ctrl Pts',(1.25448487606081,3.17534628633676,0.277695552759611)); #158278=CARTESIAN_POINT('Ctrl Pts',(1.30157294994944,3.15488834015026,0.27579436462307)); #158279=CARTESIAN_POINT('Ctrl Pts',(1.28546205419936,3.16046284093855,0.275827484708892)); #158280=CARTESIAN_POINT('Ctrl Pts',(1.26932369643336,3.16591989827666,0.275848422476186)); #158281=CARTESIAN_POINT('Ctrl Pts',(1.25314731121932,3.1712720087207,0.275866967811804)); #158282=CARTESIAN_POINT('Ctrl Pts',(1.30037167172554,3.15138907527397,0.273058806845571)); #158283=CARTESIAN_POINT('Ctrl Pts',(1.28427956033438,3.15697135414531,0.273116972738307)); #158284=CARTESIAN_POINT('Ctrl Pts',(1.26816067079188,3.16243099840777,0.273154561408549)); #158285=CARTESIAN_POINT('Ctrl Pts',(1.2520020230635,3.16778347591515,0.27318799888074)); #158286=CARTESIAN_POINT('Origin',(1.25751691424471,3.18458383425057,0.248953025493896)); #158287=CARTESIAN_POINT('Ctrl Pts',(1.25588005293098,3.17959611464063,0.278490177322267)); #158288=CARTESIAN_POINT('Ctrl Pts',(1.02507294745707,3.2555978635997,0.278533383647476)); #158289=CARTESIAN_POINT('Ctrl Pts',(0.608882843694486,3.35205254320228, 0.278564572054593)); #158290=CARTESIAN_POINT('Ctrl Pts',(0.18226501915772,3.3811762260563,0.278576793073835)); #158291=CARTESIAN_POINT('Ctrl Pts',(-1.88735800826183E-15,3.3811762260563, 0.278576793073835)); #158292=CARTESIAN_POINT('Ctrl Pts',(1.2544844559462,3.17534500665004,0.277694984422862)); #158293=CARTESIAN_POINT('Ctrl Pts',(1.02398717169308,3.25143860902565,0.277804512842387)); #158294=CARTESIAN_POINT('Ctrl Pts',(0.60826195528819,3.34793816716844,0.277884790399436)); #158295=CARTESIAN_POINT('Ctrl Pts',(0.182079641538261,3.37708873333861, 0.277915878865226)); #158296=CARTESIAN_POINT('Ctrl Pts',(-1.8937024037305E-15,3.37708873333861, 0.277915878865226)); #158297=CARTESIAN_POINT('Ctrl Pts',(1.25314713161547,3.17127146164974,0.275866547696477)); #158298=CARTESIAN_POINT('Ctrl Pts',(1.02294147251058,3.24743722138518,0.276130500934726)); #158299=CARTESIAN_POINT('Ctrl Pts',(0.607662834166505,3.34396982892474, 0.276322361028756)); #158300=CARTESIAN_POINT('Ctrl Pts',(0.181900675706143,3.37314197666775, 0.276397172363237)); #158301=CARTESIAN_POINT('Ctrl Pts',(-1.89981635935435E-15,3.37314197666775, 0.276397172363237)); #158302=CARTESIAN_POINT('Ctrl Pts',(1.2520020230635,3.16778347591515,0.27318799888074)); #158303=CARTESIAN_POINT('Ctrl Pts',(1.02202949079007,3.24396081618522,0.273663886484828)); #158304=CARTESIAN_POINT('Ctrl Pts',(0.607136324233733,3.34048867747108, 0.274011811098197)); #158305=CARTESIAN_POINT('Ctrl Pts',(0.181743099534215,3.36966579872601, 0.274147596575288)); #158306=CARTESIAN_POINT('Ctrl Pts',(-1.9051889129205E-15,3.36966579872601, 0.274147596575288)); #158307=CARTESIAN_POINT('Origin',(-2.62257407391769E-15,3.38596478837107, 0.248961430996737)); #158308=CARTESIAN_POINT('Ctrl Pts',(-1.2520020230635,3.16778347591515,0.27318799888074)); #158309=CARTESIAN_POINT('Ctrl Pts',(-1.02202949269148,3.24396081555538, 0.273663886480894)); #158310=CARTESIAN_POINT('Ctrl Pts',(-0.607136335495834,3.34048867599494, 0.274011811092541)); #158311=CARTESIAN_POINT('Ctrl Pts',(-0.181743098031574,3.36966579872601, 0.274147596575288)); #158312=CARTESIAN_POINT('Ctrl Pts',(-2.42588101837387E-15,3.36966579872601, 0.274147596575288)); #158313=CARTESIAN_POINT('Ctrl Pts',(-1.25314713161548,3.17127146164974, 0.275866547696477)); #158314=CARTESIAN_POINT('Ctrl Pts',(-1.02294147441392,3.24743722075545, 0.276130500932544)); #158315=CARTESIAN_POINT('Ctrl Pts',(-0.607662845438619,3.34396982744864, 0.276322361025645)); #158316=CARTESIAN_POINT('Ctrl Pts',(-0.181900674202199,3.37314197666775, 0.276397172363238)); #158317=CARTESIAN_POINT('Ctrl Pts',(-2.4258954875209E-15,3.37314197666775, 0.276397172363238)); #158318=CARTESIAN_POINT('Ctrl Pts',(-1.25448445594621,3.17534500665004, 0.277694984422862)); #158319=CARTESIAN_POINT('Ctrl Pts',(-1.02398717359884,3.25143860839651, 0.277804512841481)); #158320=CARTESIAN_POINT('Ctrl Pts',(-0.60826196657177,3.34793816569302, 0.277884790398139)); #158321=CARTESIAN_POINT('Ctrl Pts',(-0.182079640032838,3.37708873333861, 0.277915878865226)); #158322=CARTESIAN_POINT('Ctrl Pts',(-2.43034268914382E-15,3.37708873333861, 0.277915878865226)); #158323=CARTESIAN_POINT('Ctrl Pts',(-1.25588005293098,3.17959611464063, 0.278490177322267)); #158324=CARTESIAN_POINT('Ctrl Pts',(-1.02507294936539,3.25559786297132, 0.278533383647119)); #158325=CARTESIAN_POINT('Ctrl Pts',(-0.608882854989968,3.35205254172774, 0.278564572054086)); #158326=CARTESIAN_POINT('Ctrl Pts',(-0.182265017650765,3.3811762260563, 0.278576793073835)); #158327=CARTESIAN_POINT('Ctrl Pts',(-2.4388509568253E-15,3.3811762260563, 0.278576793073835)); #158328=CARTESIAN_POINT('Origin',(-1.25751691424472,3.18458383425057,0.248953025493896)); #158329=CARTESIAN_POINT('Ctrl Pts',(-1.30037167172555,3.15138907527397, 0.273058806845571)); #158330=CARTESIAN_POINT('Ctrl Pts',(-1.28427956033438,3.15697135414531, 0.273116972738307)); #158331=CARTESIAN_POINT('Ctrl Pts',(-1.26816067079188,3.16243099840778, 0.273154561408549)); #158332=CARTESIAN_POINT('Ctrl Pts',(-1.2520020230635,3.16778347591515,0.27318799888074)); #158333=CARTESIAN_POINT('Ctrl Pts',(-1.30157295040408,3.15488834147462, 0.275794365658382)); #158334=CARTESIAN_POINT('Ctrl Pts',(-1.28546205464692,3.16046284225999, 0.275827485734634)); #158335=CARTESIAN_POINT('Ctrl Pts',(-1.26932369687351,3.16591989959707, 0.275848423495764)); #158336=CARTESIAN_POINT('Ctrl Pts',(-1.25314731165277,3.17127201004099, 0.2758669688257)); #158337=CARTESIAN_POINT('Ctrl Pts',(-1.30298036661108,3.15899083833052, 0.277662039589953)); #158338=CARTESIAN_POINT('Ctrl Pts',(-1.28684547063889,3.16454928448669, 0.277678407468271)); #158339=CARTESIAN_POINT('Ctrl Pts',(-1.27068311009451,3.16999880347847, 0.277687859705826)); #158340=CARTESIAN_POINT('Ctrl Pts',(-1.25448487707472,3.17534628942517, 0.277695554131165)); #158341=CARTESIAN_POINT('Ctrl Pts',(-1.30444952165263,3.16327565610309, 0.278470208226199)); #158342=CARTESIAN_POINT('Ctrl Pts',(-1.28828944515876,3.1688159942906,0.278481921203998)); #158343=CARTESIAN_POINT('Ctrl Pts',(-1.27210193347862,3.17425668717862, 0.278487517380612)); #158344=CARTESIAN_POINT('Ctrl Pts',(-1.25588071119459,3.17959811976799, 0.278490552314484)); #158345=CARTESIAN_POINT('Origin',(0.,0.,-0.559771654)); #158346=CARTESIAN_POINT('',(0.,0.0393700785,-0.559771654)); #158347=CARTESIAN_POINT('Origin',(0.,1.705,0.199)); #158348=CARTESIAN_POINT('Origin',(0.,1.705,0.209)); #158349=CARTESIAN_POINT('Origin',(-2.06979049101206,0.704913828115463,0.198610802382854)); #158350=CARTESIAN_POINT('Origin',(-2.06979049101206,0.704913828115462,0.198610802382854)); #158351=CARTESIAN_POINT('Ctrl Pts',(-2.07007533229901,0.704893038008416, 0.208606723211507)); #158352=CARTESIAN_POINT('Ctrl Pts',(-2.0844676968523,0.674886099340715, 0.208134191746962)); #158353=CARTESIAN_POINT('Ctrl Pts',(-2.10637599201972,0.598534667883366, 0.206218174562231)); #158354=CARTESIAN_POINT('Ctrl Pts',(-2.09693966551933,0.518914919160252, 0.204617556709121)); #158355=CARTESIAN_POINT('Ctrl Pts',(-2.08209479375454,0.477192914239486, 0.204300715077489)); #158356=CARTESIAN_POINT('Ctrl Pts',(-2.06769998402463,0.703765208234626, 0.208672064821239)); #158357=CARTESIAN_POINT('Ctrl Pts',(-2.08194382877948,0.674012137467185, 0.20824275599966)); #158358=CARTESIAN_POINT('Ctrl Pts',(-2.10360453308801,0.59832752422681, 0.20642291978479)); #158359=CARTESIAN_POINT('Ctrl Pts',(-2.09422607375785,0.519445124889125, 0.204876696138294)); #158360=CARTESIAN_POINT('Ctrl Pts',(-2.07951350565846,0.478111179034459, 0.204571506503369)); #158361=CARTESIAN_POINT('Ctrl Pts',(-2.06290823836088,0.701524239852304, 0.206612414540117)); #158362=CARTESIAN_POINT('Ctrl Pts',(-2.07681958039523,0.672281196866652, 0.206220692568961)); #158363=CARTESIAN_POINT('Ctrl Pts',(-2.09791109873473,0.597951941048191, 0.204491755079163)); #158364=CARTESIAN_POINT('Ctrl Pts',(-2.08861391440834,0.520581216270059, 0.203003862863639)); #158365=CARTESIAN_POINT('Ctrl Pts',(-2.07416137187494,0.480033385472012, 0.202709640551178)); #158366=CARTESIAN_POINT('Ctrl Pts',(-2.06081532844707,0.700612478990523, 0.201414978123877)); #158367=CARTESIAN_POINT('Ctrl Pts',(-2.07456414078209,0.671618339282002, 0.200973749958169)); #158368=CARTESIAN_POINT('Ctrl Pts',(-2.09540738548111,0.597909014667253, 0.199135103203179)); #158369=CARTESIAN_POINT('Ctrl Pts',(-2.08615915371134,0.521142011855678, 0.197583388032241)); #158370=CARTESIAN_POINT('Ctrl Pts',(-2.07181247757383,0.48091173592538, 0.197276597711985)); #158371=CARTESIAN_POINT('Ctrl Pts',(-2.06075796613922,0.700626283856514, 0.198785326447227)); #158372=CARTESIAN_POINT('Ctrl Pts',(-2.07450179110614,0.671653275978424, 0.198317696204587)); #158373=CARTESIAN_POINT('Ctrl Pts',(-2.09535834556969,0.597979574047449, 0.196421552459197)); #158374=CARTESIAN_POINT('Ctrl Pts',(-2.08612385351723,0.521195142337246, 0.194837536639757)); #158375=CARTESIAN_POINT('Ctrl Pts',(-2.07177377357707,0.480946257801113, 0.194523981373715)); #158376=CARTESIAN_POINT('Origin',(-2.08119200440493,0.477589701615959,0.194349457309342)); #158377=CARTESIAN_POINT('Ctrl Pts',(-2.08209479375454,0.477192914239486, 0.204300715077489)); #158378=CARTESIAN_POINT('Ctrl Pts',(-2.07489843904635,0.456962584574141, 0.204146930793919)); #158379=CARTESIAN_POINT('Ctrl Pts',(-2.06542809792726,0.437409579205304, 0.204198617174783)); #158380=CARTESIAN_POINT('Ctrl Pts',(-2.05401616762634,0.419220076359289, 0.204445001655325)); #158381=CARTESIAN_POINT('Ctrl Pts',(-2.07951350565846,0.478111179034459, 0.204571506503369)); #158382=CARTESIAN_POINT('Ctrl Pts',(-2.07238134483243,0.458069786508885, 0.204423851570529)); #158383=CARTESIAN_POINT('Ctrl Pts',(-2.06299974469376,0.438697812052624, 0.20447365895954)); #158384=CARTESIAN_POINT('Ctrl Pts',(-2.05169755236404,0.420674555612647, 0.204710157468213)); #158385=CARTESIAN_POINT('Ctrl Pts',(-2.07416123242486,0.480033433941605, 0.202709806372417)); #158386=CARTESIAN_POINT('Ctrl Pts',(-2.0671530004232,0.460369737666104, 0.202567890905043)); #158387=CARTESIAN_POINT('Ctrl Pts',(-2.05795194853632,0.4413630742178,0.202615610766582)); #158388=CARTESIAN_POINT('Ctrl Pts',(-2.04687428348097,0.423667797360316, 0.202842865134985)); #158389=CARTESIAN_POINT('Ctrl Pts',(-2.07181247757383,0.480911735925379, 0.197276597711985)); #158390=CARTESIAN_POINT('Ctrl Pts',(-2.06485111625042,0.461391030533388, 0.1971280019297)); #158391=CARTESIAN_POINT('Ctrl Pts',(-2.05571311371614,0.442512293174674, 0.197178014369024)); #158392=CARTESIAN_POINT('Ctrl Pts',(-2.04472129277106,0.424942345367429, 0.19741604673773)); #158393=CARTESIAN_POINT('Ctrl Pts',(-2.07177377357707,0.480946257801113, 0.194523981373715)); #158394=CARTESIAN_POINT('Ctrl Pts',(-2.06480872687093,0.461410801425061, 0.194371792181597)); #158395=CARTESIAN_POINT('Ctrl Pts',(-2.05566540624357,0.442522059495217, 0.194422942457585)); #158396=CARTESIAN_POINT('Ctrl Pts',(-2.04466403748585,0.424941326359667, 0.194666771372828)); #158397=CARTESIAN_POINT('Origin',(-2.05313873333007,0.419635756484629,0.194492247308455)); #158398=CARTESIAN_POINT('Ctrl Pts',(-2.05401616762634,0.419220076359289, 0.204445001655325)); #158399=CARTESIAN_POINT('Ctrl Pts',(-1.95743215697334,0.265274592087356, 0.206530258107638)); #158400=CARTESIAN_POINT('Ctrl Pts',(-1.84224593231601,0.120646406708262, 0.207784078576315)); #158401=CARTESIAN_POINT('Ctrl Pts',(-1.71237734521608,-0.00885619121578143, 0.208423888643171)); #158402=CARTESIAN_POINT('Ctrl Pts',(-2.05169755236404,0.420674555612647, 0.204710157468213)); #158403=CARTESIAN_POINT('Ctrl Pts',(-1.95524783935571,0.266885719791143, 0.206729948808284)); #158404=CARTESIAN_POINT('Ctrl Pts',(-1.84021403924589,0.122397097237719, 0.207927806628305)); #158405=CARTESIAN_POINT('Ctrl Pts',(-1.71050822353975,-0.00698518287876257, 0.208514898971082)); #158406=CARTESIAN_POINT('Ctrl Pts',(-2.04687423072903,0.423667831313493, 0.202842935454215)); #158407=CARTESIAN_POINT('Ctrl Pts',(-1.95075197554323,0.270181357579095, 0.204800465488971)); #158408=CARTESIAN_POINT('Ctrl Pts',(-1.83607292480912,0.125954516255362, 0.205938041200201)); #158409=CARTESIAN_POINT('Ctrl Pts',(-1.70672773494276,-0.00321172447368222, 0.206478916475975)); #158410=CARTESIAN_POINT('Ctrl Pts',(-2.04472129277106,0.424942345367428, 0.19741604673773)); #158411=CARTESIAN_POINT('Ctrl Pts',(-1.94876554244975,0.271596540318016, 0.199442515445071)); #158412=CARTESIAN_POINT('Ctrl Pts',(-1.83425331738329,0.127483033079679, 0.200649153801882)); #158413=CARTESIAN_POINT('Ctrl Pts',(-1.70508210323212,-0.0015903681405037, 0.201250206596761)); #158414=CARTESIAN_POINT('Ctrl Pts',(-2.04466403748585,0.424941326359666, 0.194666771372828)); #158415=CARTESIAN_POINT('Ctrl Pts',(-1.94871123097635,0.271606053729629, 0.196730398991148)); #158416=CARTESIAN_POINT('Ctrl Pts',(-1.83420964595558,0.127502982089179, 0.197971214500774)); #158417=CARTESIAN_POINT('Ctrl Pts',(-1.70504299607304,-0.00156415701654243, 0.198604388287614)); #158418=CARTESIAN_POINT('Ctrl Pts',(1.44352767367278,-0.240288910842802, 0.209)); #158419=CARTESIAN_POINT('Ctrl Pts',(1.52040110036403,-0.183350317009538, 0.209)); #158420=CARTESIAN_POINT('Ctrl Pts',(1.59433434664555,-0.121519325392799, 0.208893444042688)); #158421=CARTESIAN_POINT('Ctrl Pts',(1.66447940580858,-0.0553217711340601, 0.208630860783268)); #158422=CARTESIAN_POINT('Ctrl Pts',(1.44198491106081,-0.238209893546594, 0.209)); #158423=CARTESIAN_POINT('Ctrl Pts',(1.51876764463739,-0.181320935309627, 0.209022596047603)); #158424=CARTESIAN_POINT('Ctrl Pts',(1.59261173133458,-0.119544729006788, 0.208939192795853)); #158425=CARTESIAN_POINT('Ctrl Pts',(1.66266992204242,-0.0534079485869097, 0.208703418684109)); #158426=CARTESIAN_POINT('Ctrl Pts',(1.43891407670467,-0.234071656276201, 0.206878380008997)); #158427=CARTESIAN_POINT('Ctrl Pts',(1.51550503106602,-0.17726790445453, 0.206919825106495)); #158428=CARTESIAN_POINT('Ctrl Pts',(1.58915606898367,-0.115588522108411, 0.206859446712053)); #158429=CARTESIAN_POINT('Ctrl Pts',(1.65902354103566,-0.0495599486553134, 0.206646969064872)); #158430=CARTESIAN_POINT('Ctrl Pts',(1.43759637452633,-0.232295929019932, 0.201763034818718)); #158431=CARTESIAN_POINT('Ctrl Pts',(1.51409816230011,-0.175526506428207, 0.201777396314494)); #158432=CARTESIAN_POINT('Ctrl Pts',(1.58766188616027,-0.113886258463366, 0.201687011108058)); #158433=CARTESIAN_POINT('Ctrl Pts',(1.65743800804059,-0.0479036485050641, 0.201443610736803)); #158434=CARTESIAN_POINT('Ctrl Pts',(1.43756944960619,-0.232259645165089, 0.199174524064373)); #158435=CARTESIAN_POINT('Ctrl Pts',(1.51406902664752,-0.175492110086927, 0.199174524064373)); #158436=CARTESIAN_POINT('Ctrl Pts',(1.58762754152369,-0.113854705980869, 0.199069073333763)); #158437=CARTESIAN_POINT('Ctrl Pts',(1.65740125532714,-0.0478751150421039, 0.19880921365768)); #158438=CARTESIAN_POINT('Origin',(2.06979049101206,0.704913828115475,0.198610802382854)); #158439=CARTESIAN_POINT('',(2.0650998199363,0.706084961634116,0.207364433123329)); #158440=CARTESIAN_POINT('Origin',(2.06979049101206,0.704913828115475,0.198610802382854)); #158441=CARTESIAN_POINT('Origin',(2.06979049101206,0.704913828115475,0.198610802382854)); #158442=CARTESIAN_POINT('Origin',(2.06979049101206,0.704913828115474,0.198610802382854)); #158443=CARTESIAN_POINT('Ctrl Pts',(2.07007533229901,0.704893038008428, 0.208606723211507)); #158444=CARTESIAN_POINT('Ctrl Pts',(2.07447379989938,0.723034715999969, 0.208519117701274)); #158445=CARTESIAN_POINT('Ctrl Pts',(2.07817265136299,0.741345047028301, 0.208413261994859)); #158446=CARTESIAN_POINT('Ctrl Pts',(2.08116829409902,0.759772540667489, 0.208285745217556)); #158447=CARTESIAN_POINT('Ctrl Pts',(2.06834949267894,0.70530877585244,0.208656766986493)); #158448=CARTESIAN_POINT('Ctrl Pts',(2.07270175270695,0.723414666804621, 0.208575922476473)); #158449=CARTESIAN_POINT('Ctrl Pts',(2.0763527393478,0.741686979378384,0.20847680973176)); #158450=CARTESIAN_POINT('Ctrl Pts',(2.07929827120225,0.760073762446847, 0.208357558145825)); #158451=CARTESIAN_POINT('Ctrl Pts',(2.0666121543863,0.705724969081572,0.208222986908496)); #158452=CARTESIAN_POINT('Ctrl Pts',(2.07091633100522,0.723794811705946, 0.208128321498782)); #158453=CARTESIAN_POINT('Ctrl Pts',(2.07451722984089,0.742028689951097, 0.208013701845072)); #158454=CARTESIAN_POINT('Ctrl Pts',(2.07741017835153,0.76037410270636,0.207876668659631)); #158455=CARTESIAN_POINT('Ctrl Pts',(2.0650998199363,0.706084961634116,0.207364433123329)); #158456=CARTESIAN_POINT('Ctrl Pts',(2.0693700101906,0.724121158383109,0.207239600733187)); #158457=CARTESIAN_POINT('Ctrl Pts',(2.07293641360831,0.74231949421421,0.207092101750275)); #158458=CARTESIAN_POINT('Ctrl Pts',(2.07579516326737,0.760627248373077, 0.206917230886329)); #158459=CARTESIAN_POINT('Ctrl Pts',(2.0650998199363,0.706084961634116,0.207364433123329)); #158460=CARTESIAN_POINT('Ctrl Pts',(2.0693700101906,0.724121158383109,0.207239600733187)); #158461=CARTESIAN_POINT('Ctrl Pts',(2.07293641360831,0.74231949421421,0.207092101750275)); #158462=CARTESIAN_POINT('Ctrl Pts',(2.07579516326737,0.760627248373077, 0.206917230886329)); #158463=CARTESIAN_POINT('Ctrl Pts',(2.05401616762634,0.419220076359288, 0.204445001655324)); #158464=CARTESIAN_POINT('Ctrl Pts',(2.06542809792725,0.437409579205301, 0.204198617174783)); #158465=CARTESIAN_POINT('Ctrl Pts',(2.07489843904635,0.456962584574137, 0.204146930793918)); #158466=CARTESIAN_POINT('Ctrl Pts',(2.08209479375454,0.477192914239479, 0.204300715077488)); #158467=CARTESIAN_POINT('Ctrl Pts',(2.05169755236404,0.420674555612646, 0.204710157468213)); #158468=CARTESIAN_POINT('Ctrl Pts',(2.06299974469375,0.438697812052621, 0.204473658959539)); #158469=CARTESIAN_POINT('Ctrl Pts',(2.07238134483243,0.458069786508881, 0.204423851570529)); #158470=CARTESIAN_POINT('Ctrl Pts',(2.07951350565846,0.478111179034453, 0.204571506503368)); #158471=CARTESIAN_POINT('Ctrl Pts',(2.04687428348097,0.423667797360315, 0.202842865134984)); #158472=CARTESIAN_POINT('Ctrl Pts',(2.05795194853631,0.441363074217797, 0.202615610766581)); #158473=CARTESIAN_POINT('Ctrl Pts',(2.0671530004232,0.460369737666099,0.202567890905043)); #158474=CARTESIAN_POINT('Ctrl Pts',(2.07416123242486,0.4800334339416,0.202709806372417)); #158475=CARTESIAN_POINT('Ctrl Pts',(2.04472129277106,0.424942345367428, 0.197416046737729)); #158476=CARTESIAN_POINT('Ctrl Pts',(2.05571311371614,0.442512293174671, 0.197178014369023)); #158477=CARTESIAN_POINT('Ctrl Pts',(2.06485111625042,0.461391030533384, 0.197128001929699)); #158478=CARTESIAN_POINT('Ctrl Pts',(2.07181247757383,0.480911735925373, 0.197276597711984)); #158479=CARTESIAN_POINT('Ctrl Pts',(2.04466403748585,0.424941326359666, 0.194666771372827)); #158480=CARTESIAN_POINT('Ctrl Pts',(2.05566540624357,0.442522059495214, 0.194422942457584)); #158481=CARTESIAN_POINT('Ctrl Pts',(2.06480872687093,0.461410801425056, 0.194371792181596)); #158482=CARTESIAN_POINT('Ctrl Pts',(2.07177377357706,0.480946257801107, 0.194523981373715)); #158483=CARTESIAN_POINT('Origin',(2.08119200440492,0.477589701615953,0.194349457309342)); #158484=CARTESIAN_POINT('Ctrl Pts',(2.08209479375454,0.477192914239479, 0.204300715077488)); #158485=CARTESIAN_POINT('Ctrl Pts',(2.09322844757813,0.508484417930056, 0.204538346301212)); #158486=CARTESIAN_POINT('Ctrl Pts',(2.10699278500681,0.586676923202758, 0.205844295179198)); #158487=CARTESIAN_POINT('Ctrl Pts',(2.08926515170339,0.66488378645149,0.20797668125878)); #158488=CARTESIAN_POINT('Ctrl Pts',(2.07007533229901,0.704893038008428, 0.208606723211507)); #158489=CARTESIAN_POINT('Ctrl Pts',(2.07951350565846,0.478111179034453, 0.204571506503368)); #158490=CARTESIAN_POINT('Ctrl Pts',(2.090547931733,0.509111638425455,0.204800398729562)); #158491=CARTESIAN_POINT('Ctrl Pts',(2.10420848290698,0.586577868521507, 0.206060180018227)); #158492=CARTESIAN_POINT('Ctrl Pts',(2.0866917770311,0.66409444721138,0.208099653059134)); #158493=CARTESIAN_POINT('Ctrl Pts',(2.06769998402463,0.703765208234638, 0.208672064821239)); #158494=CARTESIAN_POINT('Ctrl Pts',(2.07416137187494,0.480033385472006, 0.202709640551177)); #158495=CARTESIAN_POINT('Ctrl Pts',(2.08500077877499,0.510444258570544, 0.202930307285523)); #158496=CARTESIAN_POINT('Ctrl Pts',(2.0984811452744,0.586427670461075,0.204142784715008)); #158497=CARTESIAN_POINT('Ctrl Pts',(2.08145669440668,0.662533515871443, 0.206090118578576)); #158498=CARTESIAN_POINT('Ctrl Pts',(2.06290823836087,0.701524239852315, 0.206612414540117)); #158499=CARTESIAN_POINT('Ctrl Pts',(2.07181247757383,0.480911735925373, 0.197276597711984)); #158500=CARTESIAN_POINT('Ctrl Pts',(2.08257248467697,0.5110844428731,0.197506690452176)); #158501=CARTESIAN_POINT('Ctrl Pts',(2.09596985971205,0.586472646057372, 0.198771848367458)); #158502=CARTESIAN_POINT('Ctrl Pts',(2.0791470782271,0.661953626045837,0.200826673902933)); #158503=CARTESIAN_POINT('Ctrl Pts',(2.06081532844707,0.700612478990535, 0.201414978123877)); #158504=CARTESIAN_POINT('Ctrl Pts',(2.07177377357706,0.480946257801107, 0.194523981373714)); #158505=CARTESIAN_POINT('Ctrl Pts',(2.08253633353219,0.511132921203209, 0.194759147823245)); #158506=CARTESIAN_POINT('Ctrl Pts',(2.09592534056914,0.586546254738923, 0.196051551052469)); #158507=CARTESIAN_POINT('Ctrl Pts',(2.0790830660951,0.661995606685737,0.198161819457041)); #158508=CARTESIAN_POINT('Ctrl Pts',(2.06075796613922,0.700626283856525, 0.198785326447227)); #158509=CARTESIAN_POINT('Ctrl Pts',(2.0650998199363,0.706084961634115,0.207364433123329)); #158510=CARTESIAN_POINT('Ctrl Pts',(2.05574868546857,0.725363211232691, 0.199774382522758)); #158511=CARTESIAN_POINT('Ctrl Pts',(2.04442885601614,0.743368193878967, 0.191311019174497)); #158512=CARTESIAN_POINT('Ctrl Pts',(2.03156856686097,0.75976814979892,0.182160068388528)); #158513=CARTESIAN_POINT('Ctrl Pts',(2.06335381261039,0.704936262106546, 0.206582510085328)); #158514=CARTESIAN_POINT('Ctrl Pts',(2.05404699981685,0.724055065177136, 0.199024882360429)); #158515=CARTESIAN_POINT('Ctrl Pts',(2.04278013841132,0.7419048758821,0.190599446491346)); #158516=CARTESIAN_POINT('Ctrl Pts',(2.0299781176237,0.758153166928322,0.181496586627969)); #158517=CARTESIAN_POINT('Ctrl Pts',(2.06094600874959,0.702773732653768, 0.20402939672839)); #158518=CARTESIAN_POINT('Ctrl Pts',(2.05174493782421,0.721614654805885, 0.196489828246369)); #158519=CARTESIAN_POINT('Ctrl Pts',(2.04059868999102,0.739188665906192, 0.188087303649878)); #158520=CARTESIAN_POINT('Ctrl Pts',(2.02793638172605,0.755167735842377, 0.179008056484138)); #158521=CARTESIAN_POINT('Ctrl Pts',(2.06054375331831,0.701141786180511, 0.200363082487431)); #158522=CARTESIAN_POINT('Ctrl Pts',(2.05148173243111,0.719830790669591, 0.19280002256354)); #158523=CARTESIAN_POINT('Ctrl Pts',(2.04049624197582,0.737266935885371, 0.184363605847891)); #158524=CARTESIAN_POINT('Ctrl Pts',(2.02801012030483,0.753125902209998, 0.175240745191214)); #158525=CARTESIAN_POINT('Ctrl Pts',(2.06075796613922,0.700626283856525, 0.198785326447227)); #158526=CARTESIAN_POINT('Ctrl Pts',(2.05175499554049,0.719284479250819, 0.191212059562467)); #158527=CARTESIAN_POINT('Ctrl Pts',(2.04083674704185,0.736697087264468, 0.182760760966898)); #158528=CARTESIAN_POINT('Ctrl Pts',(2.02842224195463,0.752544758755138, 0.173619017583312)); #158529=CARTESIAN_POINT('Ctrl Pts',(2.03156856686097,0.75976814979892,0.182160068388528)); #158530=CARTESIAN_POINT('Ctrl Pts',(2.04442885601614,0.743368193878967, 0.191311019174497)); #158531=CARTESIAN_POINT('Ctrl Pts',(2.05574868546857,0.725363211232691, 0.199774382522758)); #158532=CARTESIAN_POINT('Ctrl Pts',(2.0650998199363,0.706084961634115,0.207364433123329)); #158533=CARTESIAN_POINT('Origin',(2.3626852223004,0.782557150544088,0.03)); #158534=CARTESIAN_POINT('Ctrl Pts',(-1.81619511821428,0.898614499261163, 0.0330142392630043)); #158535=CARTESIAN_POINT('Ctrl Pts',(-1.85361092877145,0.879901767998254, 0.0609092097061135)); #158536=CARTESIAN_POINT('Ctrl Pts',(-1.89129326056628,0.861148019777231, 0.0887140345172475)); #158537=CARTESIAN_POINT('Ctrl Pts',(-1.93029882767281,0.842019154176448, 0.114629985314731)); #158538=CARTESIAN_POINT('Ctrl Pts',(-1.81516598742228,0.896115937412634, 0.0327356041057927)); #158539=CARTESIAN_POINT('Ctrl Pts',(-1.85262058265248,0.877427855335362, 0.0605931589658647)); #158540=CARTESIAN_POINT('Ctrl Pts',(-1.8903154092702,0.858690308690433, 0.0883746468364243)); #158541=CARTESIAN_POINT('Ctrl Pts',(-1.92926507919561,0.839535325847596, 0.114330141404519)); #158542=CARTESIAN_POINT('Ctrl Pts',(-1.81450197116837,0.891501743103339, 0.0305606040743808)); #158543=CARTESIAN_POINT('Ctrl Pts',(-1.85200172816388,0.872897179155604, 0.0584003705273297)); #158544=CARTESIAN_POINT('Ctrl Pts',(-1.88969153360495,0.854215498968338, 0.086151143300114)); #158545=CARTESIAN_POINT('Ctrl Pts',(-1.92851041673917,0.8349963120351,0.112076800257554)); #158546=CARTESIAN_POINT('Ctrl Pts',(-1.81614577732253,0.888907233032069, 0.0266289089770574)); #158547=CARTESIAN_POINT('Ctrl Pts',(-1.85362529340505,0.870357005764932, 0.054509949490558)); #158548=CARTESIAN_POINT('Ctrl Pts',(-1.89125896426025,0.851725103944571, 0.0822452107201527)); #158549=CARTESIAN_POINT('Ctrl Pts',(-1.92996698385121,0.832507086636548, 0.108029238060007)); #158550=CARTESIAN_POINT('Ctrl Pts',(-1.81714026449898,0.888367325597442, 0.0249346548099143)); #158551=CARTESIAN_POINT('Ctrl Pts',(-1.854603590341,0.869825353897953,0.0528335063675793)); #158552=CARTESIAN_POINT('Ctrl Pts',(-1.89220945022478,0.851207423587268, 0.0805621771036188)); #158553=CARTESIAN_POINT('Ctrl Pts',(-1.93087922469294,0.832008115325541, 0.106285445184086)); #158554=CARTESIAN_POINT('',(-1.93029882767281,0.842019154176448,0.114629985314731)); #158555=CARTESIAN_POINT('Origin',(-1.93541843824081,0.840916823521331,0.106110921119713)); #158556=CARTESIAN_POINT('Ctrl Pts',(-1.93029882767281,0.842019154176448, 0.114629985314731)); #158557=CARTESIAN_POINT('Ctrl Pts',(-1.89129326056628,0.861148019777231, 0.0887140345172475)); #158558=CARTESIAN_POINT('Ctrl Pts',(-1.85361092877145,0.879901767998254, 0.0609092097061135)); #158559=CARTESIAN_POINT('Ctrl Pts',(-1.81619511821428,0.898614499261163, 0.0330142392630043)); #158560=CARTESIAN_POINT('Ctrl Pts',(-1.93029882767283,0.842019154176443, 0.114629985314738)); #158561=CARTESIAN_POINT('Ctrl Pts',(-1.93681189413526,0.838825056967997, 0.118957375543938)); #158562=CARTESIAN_POINT('Ctrl Pts',(-1.94336912223,0.835446403143024,0.123260041111794)); #158563=CARTESIAN_POINT('Ctrl Pts',(-1.94971093080775,0.831702840305614, 0.127345287064464)); #158564=CARTESIAN_POINT('Ctrl Pts',(-1.92926507919562,0.839535325847591, 0.114330141404526)); #158565=CARTESIAN_POINT('Ctrl Pts',(-1.93573417160229,0.836380864092284, 0.118636755365604)); #158566=CARTESIAN_POINT('Ctrl Pts',(-1.94221733863281,0.833026553148657, 0.122944928138084)); #158567=CARTESIAN_POINT('Ctrl Pts',(-1.94852116468146,0.829328342049595, 0.127006486795816)); #158568=CARTESIAN_POINT('Ctrl Pts',(-1.92851042219852,0.834996317009893, 0.112076795763627)); #158569=CARTESIAN_POINT('Ctrl Pts',(-1.9348766739678,0.831909668096719, 0.116364739493552)); #158570=CARTESIAN_POINT('Ctrl Pts',(-1.94120501672765,0.828580409436011, 0.12065245159576)); #158571=CARTESIAN_POINT('Ctrl Pts',(-1.94742037159634,0.824963123602912, 0.124698076177893)); #158572=CARTESIAN_POINT('Ctrl Pts',(-1.92996698385122,0.832507086636542, 0.108029238060015)); #158573=CARTESIAN_POINT('Ctrl Pts',(-1.93623757861212,0.829424720584393, 0.112322220724066)); #158574=CARTESIAN_POINT('Ctrl Pts',(-1.9424464937653,0.826085041079763, 0.116560026246517)); #158575=CARTESIAN_POINT('Ctrl Pts',(-1.94857570933585,0.822480917382812, 0.12062185396839)); #158576=CARTESIAN_POINT('Ctrl Pts',(-1.93087922469295,0.832008115325535, 0.106285445184093)); #158577=CARTESIAN_POINT('Ctrl Pts',(-1.93711908475095,0.828912892306812, 0.110580661663872)); #158578=CARTESIAN_POINT('Ctrl Pts',(-1.94329193385923,0.825564962995763, 0.114797130367986)); #158579=CARTESIAN_POINT('Ctrl Pts',(-1.94939256762964,0.821949910959344, 0.118865979283867)); #158580=CARTESIAN_POINT('',(-1.94971093080774,0.831702840305603,0.127345287064456)); #158581=CARTESIAN_POINT('Origin',(-1.95457556438684,0.830500120662378,0.118691455219495)); #158582=CARTESIAN_POINT('Ctrl Pts',(-1.94971093080775,0.831702840305614, 0.127345287064464)); #158583=CARTESIAN_POINT('Ctrl Pts',(-1.94336912223,0.835446403143024,0.123260041111794)); #158584=CARTESIAN_POINT('Ctrl Pts',(-1.93681189413526,0.838825056967997, 0.118957375543938)); #158585=CARTESIAN_POINT('Ctrl Pts',(-1.93029882767283,0.842019154176443, 0.114629985314738)); #158586=CARTESIAN_POINT('Ctrl Pts',(-1.94971093080775,0.831702840305616, 0.127345287064463)); #158587=CARTESIAN_POINT('Ctrl Pts',(-1.95458583081699,0.828809822343559, 0.130487720647412)); #158588=CARTESIAN_POINT('Ctrl Pts',(-1.95940100863263,0.825761778697224, 0.133601875360106)); #158589=CARTESIAN_POINT('Ctrl Pts',(-1.96414302075574,0.822562302576321, 0.136683753946509)); #158590=CARTESIAN_POINT('Ctrl Pts',(-1.94852116468145,0.829328342049597, 0.127006486795815)); #158591=CARTESIAN_POINT('Ctrl Pts',(-1.9533699883553,0.8264719397502,0.130127987084956)); #158592=CARTESIAN_POINT('Ctrl Pts',(-1.9581591014129,0.823460758059475, 0.13322301444551)); #158593=CARTESIAN_POINT('Ctrl Pts',(-1.96287679790642,0.820299406840885, 0.136284830907214)); #158594=CARTESIAN_POINT('Ctrl Pts',(-1.94742037521643,0.824963126574232, 0.124698073295252)); #158595=CARTESIAN_POINT('Ctrl Pts',(-1.95220692572441,0.822173948522799, 0.127807462098606)); #158596=CARTESIAN_POINT('Ctrl Pts',(-1.95693709602206,0.81923208169703, 0.130889213061946)); #158597=CARTESIAN_POINT('Ctrl Pts',(-1.961596816987,0.816140373449468,0.133940074178099)); #158598=CARTESIAN_POINT('Ctrl Pts',(-1.94857570933584,0.822480917382815, 0.120621853968389)); #158599=CARTESIAN_POINT('Ctrl Pts',(-1.95329857794494,0.819703742932057, 0.123749537288292)); #158600=CARTESIAN_POINT('Ctrl Pts',(-1.95796603015139,0.816775049506827, 0.126849925322929)); #158601=CARTESIAN_POINT('Ctrl Pts',(-1.96256408073539,0.813699142493586, 0.12991911266127)); #158602=CARTESIAN_POINT('Ctrl Pts',(-1.94939256762964,0.821949910959347, 0.118865979283866)); #158603=CARTESIAN_POINT('Ctrl Pts',(-1.95409391795235,0.819164032196973, 0.122001568942845)); #158604=CARTESIAN_POINT('Ctrl Pts',(-1.95873965689701,0.81622801696139, 0.125110125526006)); #158605=CARTESIAN_POINT('Ctrl Pts',(-1.96331606646532,0.813145172248759, 0.128187232109652)); #158606=CARTESIAN_POINT('Ctrl Pts',(-1.96414302075574,0.822562302576321, 0.136683753946509)); #158607=CARTESIAN_POINT('Ctrl Pts',(-1.95940100863263,0.825761778697224, 0.133601875360106)); #158608=CARTESIAN_POINT('Ctrl Pts',(-1.95458583081699,0.828809822343559, 0.130487720647412)); #158609=CARTESIAN_POINT('Ctrl Pts',(-1.94971093080775,0.831702840305616, 0.127345287064463)); #158610=CARTESIAN_POINT('Ctrl Pts',(-1.76666306115842,0.921594629449808, -0.0590865761230401)); #158611=CARTESIAN_POINT('Ctrl Pts',(-1.7675333467987,0.921313273987303, -0.0486358355725642)); #158612=CARTESIAN_POINT('Ctrl Pts',(-1.769628026478,0.920433051896696,-0.0382157998688519)); #158613=CARTESIAN_POINT('Ctrl Pts',(-1.77284809195828,0.918994966613373, -0.0283341455452033)); #158614=CARTESIAN_POINT('Ctrl Pts',(-1.76586308940618,0.91887776500807, -0.0590826237972569)); #158615=CARTESIAN_POINT('Ctrl Pts',(-1.76674259732473,0.918601889783775, -0.0486761235878964)); #158616=CARTESIAN_POINT('Ctrl Pts',(-1.76883244678044,0.917727554096329, -0.0383053772440435)); #158617=CARTESIAN_POINT('Ctrl Pts',(-1.77203624977898,0.916294597359656, -0.0284649248520101)); #158618=CARTESIAN_POINT('Ctrl Pts',(-1.76628388443717,0.915826065298675, -0.059189433239384)); #158619=CARTESIAN_POINT('Ctrl Pts',(-1.76715752867423,0.915564747877517, -0.0489333913581192)); #158620=CARTESIAN_POINT('Ctrl Pts',(-1.76921983621051,0.914707259346712, -0.0387129208139143)); #158621=CARTESIAN_POINT('Ctrl Pts',(-1.77237584734645,0.91329279446257, -0.029014764253988)); #158622=CARTESIAN_POINT('Ctrl Pts',(-1.76778838930674,0.913433497948137, -0.0593722155980302)); #158623=CARTESIAN_POINT('Ctrl Pts',(-1.76864370270416,0.913185982860382, -0.0493237525830409)); #158624=CARTESIAN_POINT('Ctrl Pts',(-1.77066480793617,0.912349000298208, -0.0393069282957011)); #158625=CARTESIAN_POINT('Ctrl Pts',(-1.77375693615839,0.910961425109326, -0.0298056471086928)); #158626=CARTESIAN_POINT('',(-1.76666306115842,0.921594629449808,-0.0590865761230401)); #158627=CARTESIAN_POINT('Ctrl Pts',(-1.77284809195828,0.918994966613373, -0.0283341455452033)); #158628=CARTESIAN_POINT('Ctrl Pts',(-1.769628026478,0.920433051896696,-0.0382157998688519)); #158629=CARTESIAN_POINT('Ctrl Pts',(-1.7675333467987,0.921313273987303, -0.0486358355725642)); #158630=CARTESIAN_POINT('Ctrl Pts',(-1.76666306115842,0.921594629449808, -0.0590865761230401)); #158631=CARTESIAN_POINT('Origin',(-1.77621901416079,0.918779642794955,-0.0599581335505166)); #158632=CARTESIAN_POINT('Ctrl Pts',(-1.76558459386031,0.921893829516218, -0.0718775001365575)); #158633=CARTESIAN_POINT('Ctrl Pts',(-1.76594408298982,0.921794096006391, -0.0676138588051334)); #158634=CARTESIAN_POINT('Ctrl Pts',(-1.76630357208915,0.921694362651157, -0.0633502174672844)); #158635=CARTESIAN_POINT('Ctrl Pts',(-1.76666306115842,0.921594629449808, -0.0590865761230401)); #158636=CARTESIAN_POINT('Ctrl Pts',(-1.76531470564642,0.920977317898404, -0.0718761648012694)); #158637=CARTESIAN_POINT('Ctrl Pts',(-1.7656742038748,0.92087758760957,-0.0676125241597102)); #158638=CARTESIAN_POINT('Ctrl Pts',(-1.76603370204567,0.920777857477306, -0.0633488835093741)); #158639=CARTESIAN_POINT('Ctrl Pts',(-1.76639320018576,0.920678127487358, -0.0590852428528626)); #158640=CARTESIAN_POINT('Ctrl Pts',(-1.76517658029667,0.920022099729995, -0.0718868444696555)); #158641=CARTESIAN_POINT('Ctrl Pts',(-1.7655360863659,0.919922374210481, -0.0676232043740997)); #158642=CARTESIAN_POINT('Ctrl Pts',(-1.76589559236517,0.919822648839547, -0.063359564268912)); #158643=CARTESIAN_POINT('Ctrl Pts',(-1.76625509832188,0.91972292360451, -0.0590959241567145)); #158644=CARTESIAN_POINT('Ctrl Pts',(-1.76517582260048,0.919066935431899, -0.0719091057868495)); #158645=CARTESIAN_POINT('Ctrl Pts',(-1.76553533486846,0.918967215581939, -0.0676454660763454)); #158646=CARTESIAN_POINT('Ctrl Pts',(-1.76589484707043,0.918867495865911, -0.063381826356904)); #158647=CARTESIAN_POINT('Ctrl Pts',(-1.7662543592067,0.918767776283201, -0.0591181866285662)); #158648=CARTESIAN_POINT('Ctrl Pts',(-1.76666306115842,0.921594629449808, -0.0590865761230401)); #158649=CARTESIAN_POINT('Ctrl Pts',(-1.76630357208915,0.921694362651157, -0.0633502174672844)); #158650=CARTESIAN_POINT('Ctrl Pts',(-1.76594408298982,0.921794096006391, -0.0676138588051334)); #158651=CARTESIAN_POINT('Ctrl Pts',(-1.76558459386031,0.921893829516218, -0.0718775001365575)); #158652=CARTESIAN_POINT('Origin',(-1.07616878898939,1.125,0.)); #158653=CARTESIAN_POINT('',(-1.79385901036461,1.06300847399488,-0.053006298339787)); #158654=CARTESIAN_POINT('Ctrl Pts',(-1.79385901036461,1.06300847399488, -0.053006298339787)); #158655=CARTESIAN_POINT('Ctrl Pts',(-1.79378151728985,1.06212877965589, -0.05302347330652)); #158656=CARTESIAN_POINT('Ctrl Pts',(-1.79362225553937,1.06036998143848, -0.0530699716308624)); #158657=CARTESIAN_POINT('Ctrl Pts',(-1.79328974791142,1.05685391840042, -0.0531752927644109)); #158658=CARTESIAN_POINT('Ctrl Pts',(-1.7926719498126,1.05070480183478,-0.0533829043536677)); #158659=CARTESIAN_POINT('Ctrl Pts',(-1.79129686264704,1.03842244342474, -0.0538443719013633)); #158660=CARTESIAN_POINT('Ctrl Pts',(-1.78894039701876,1.02092508114245, -0.0545681355462276)); #158661=CARTESIAN_POINT('Ctrl Pts',(-1.78549294332233,1.00002280139619, -0.0554789510673089)); #158662=CARTESIAN_POINT('Ctrl Pts',(-1.77897982080312,0.966690358867221, -0.0569788441684376)); #158663=CARTESIAN_POINT('Ctrl Pts',(-1.77234323414911,0.940600951013783, -0.0581943371405869)); #158664=CARTESIAN_POINT('Ctrl Pts',(-1.76666305584109,0.921594630119362, -0.0590865565341349)); #158665=CARTESIAN_POINT('',(-1.79615236633558,1.14581194869258,-0.0539005704547596)); #158666=CARTESIAN_POINT('Ctrl Pts',(-1.79615236633545,1.14581194869772, -0.0539005704545569)); #158667=CARTESIAN_POINT('Ctrl Pts',(-1.79704538189889,1.11820990840809, -0.0528134890813219)); #158668=CARTESIAN_POINT('Ctrl Pts',(-1.79628345387231,1.09053053626192, -0.0524689634009265)); #158669=CARTESIAN_POINT('Ctrl Pts',(-1.79385901036462,1.06300847399489, -0.053006298339785)); #158670=CARTESIAN_POINT('',(-1.76506095429402,1.14491321585694,-0.409425475352869)); #158671=CARTESIAN_POINT('',(-1.80086608630952,1.14594820414478,0.)); #158672=CARTESIAN_POINT('',(-1.76506095429402,1.1156354019009,-0.411986952166843)); #158673=CARTESIAN_POINT('Origin',(-1.07616878898939,1.13027430887896,-0.410706213759852)); #158674=CARTESIAN_POINT('',(-1.75968520428258,1.11570847827358,-0.473437732887918)); #158675=CARTESIAN_POINT('',(-1.80110181230842,1.1151454730475,4.19611851826831E-15)); #158676=CARTESIAN_POINT('',(-1.38632328202296,0.536978392244247,-0.688036015431825)); #158677=CARTESIAN_POINT('Ctrl Pts',(-1.38632328202296,0.536978392244246, -0.688036015431823)); #158678=CARTESIAN_POINT('Ctrl Pts',(-1.44995704601375,0.569463536584508, -0.677129899468545)); #158679=CARTESIAN_POINT('Ctrl Pts',(-1.56500300318665,0.652884080596473, -0.63830828825296)); #158680=CARTESIAN_POINT('Ctrl Pts',(-1.66667542760073,0.786688802527003, -0.571724556605059)); #158681=CARTESIAN_POINT('Ctrl Pts',(-1.73696643826763,0.939164868016105, -0.508817726383479)); #158682=CARTESIAN_POINT('Ctrl Pts',(-1.75807883450435,1.04368056944888, -0.480606496644161)); #158683=CARTESIAN_POINT('Ctrl Pts',(-1.75968520428257,1.11570847827359, -0.473437732888003)); #158684=CARTESIAN_POINT('Ctrl Pts',(-1.20153622023891,0.474586045608348, -0.715680502068235)); #158685=CARTESIAN_POINT('Ctrl Pts',(-1.26567387600551,0.486210577821064, -0.707397419242362)); #158686=CARTESIAN_POINT('Ctrl Pts',(-1.32854512409862,0.507482544722814, -0.697938545958777)); #158687=CARTESIAN_POINT('Ctrl Pts',(-1.38632328202296,0.536978392244247, -0.688036015431825)); #158688=CARTESIAN_POINT('Ctrl Pts',(-1.76549895861436,0.917738823563334, -0.0592676493618226)); #158689=CARTESIAN_POINT('Ctrl Pts',(-1.77167701394132,0.937997192814216, -0.0583157496793824)); #158690=CARTESIAN_POINT('Ctrl Pts',(-1.77872230635845,0.965372467886054, -0.0570381466209649)); #158691=CARTESIAN_POINT('Ctrl Pts',(-1.78549294332233,1.00002280139619, -0.0554789510673089)); #158692=CARTESIAN_POINT('Ctrl Pts',(-1.78894039701876,1.02092508114245, -0.0545681355462276)); #158693=CARTESIAN_POINT('Ctrl Pts',(-1.79129686264704,1.03842244342474, -0.0538443719013633)); #158694=CARTESIAN_POINT('Ctrl Pts',(-1.7926719498126,1.05070480183478,-0.0533829043536677)); #158695=CARTESIAN_POINT('Ctrl Pts',(-1.79328974791142,1.05685391840042, -0.0531752927644109)); #158696=CARTESIAN_POINT('Ctrl Pts',(-1.79362225553937,1.06036998143848, -0.0530699716308624)); #158697=CARTESIAN_POINT('Ctrl Pts',(-1.79378151728985,1.06212877965589, -0.05302347330652)); #158698=CARTESIAN_POINT('Ctrl Pts',(-1.79385901036461,1.06300847399488, -0.053006298339787)); #158699=CARTESIAN_POINT('Ctrl Pts',(-1.76816537481921,0.915457720340349, -0.0225736893793505)); #158700=CARTESIAN_POINT('Ctrl Pts',(-1.77438332040907,0.935810717655653, -0.0220927633474757)); #158701=CARTESIAN_POINT('Ctrl Pts',(-1.78145200472066,0.963330269133562, -0.0214504831959194)); #158702=CARTESIAN_POINT('Ctrl Pts',(-1.78827729014906,0.998178023481446, -0.0206041840754081)); #158703=CARTESIAN_POINT('Ctrl Pts',(-1.79175523393497,1.01922581662014, -0.0200702861001202)); #158704=CARTESIAN_POINT('Ctrl Pts',(-1.79413688346867,1.03685264954249, -0.0196284444840574)); #158705=CARTESIAN_POINT('Ctrl Pts',(-1.79554669899303,1.04931138985469, -0.0192018078851841)); #158706=CARTESIAN_POINT('Ctrl Pts',(-1.79618546237178,1.05556844509672, -0.0189684116362463)); #158707=CARTESIAN_POINT('Ctrl Pts',(-1.79654977260897,1.05924702611267, -0.0186798891049989)); #158708=CARTESIAN_POINT('Ctrl Pts',(-1.79671766242582,1.06105057428406, -0.018597576777978)); #158709=CARTESIAN_POINT('Ctrl Pts',(-1.79684152447083,1.06216736517126, -0.0182151354443541)); #158710=CARTESIAN_POINT('Ctrl Pts',(-1.78729767916921,0.908240361157232, 0.012252719427479)); #158711=CARTESIAN_POINT('Ctrl Pts',(-1.7932539959426,0.929312614089265, 0.0123296745145721)); #158712=CARTESIAN_POINT('Ctrl Pts',(-1.79991545067538,0.957729737373416, 0.0124136211240917)); #158713=CARTESIAN_POINT('Ctrl Pts',(-1.80627803879548,0.993661500969138, 0.0126389200007467)); #158714=CARTESIAN_POINT('Ctrl Pts',(-1.80951153404896,1.01535329268428, 0.0128418769508818)); #158715=CARTESIAN_POINT('Ctrl Pts',(-1.81170727231616,1.03350438341585, 0.0130357070251649)); #158716=CARTESIAN_POINT('Ctrl Pts',(-1.81314501587074,1.04639691656976, 0.0134317132177243)); #158717=CARTESIAN_POINT('Ctrl Pts',(-1.81383728777789,1.05288650547445, 0.013688115503482)); #158718=CARTESIAN_POINT('Ctrl Pts',(-1.81440570756388,1.0567853103956,0.0141373951364583)); #158719=CARTESIAN_POINT('Ctrl Pts',(-1.81461551356603,1.05866750397752, 0.0142516011933251)); #158720=CARTESIAN_POINT('Ctrl Pts',(-1.81512318514327,1.06000941465445, 0.0149535470934398)); #158721=CARTESIAN_POINT('Ctrl Pts',(-1.81619511821428,0.898614499261163, 0.0330142392630043)); #158722=CARTESIAN_POINT('Ctrl Pts',(-1.82183441853895,0.920719100241974, 0.0331767894749826)); #158723=CARTESIAN_POINT('Ctrl Pts',(-1.82799510587958,0.950424625237911, 0.0333321135880035)); #158724=CARTESIAN_POINT('Ctrl Pts',(-1.83374431414918,0.987917693447531, 0.0336282084091947)); #158725=CARTESIAN_POINT('Ctrl Pts',(-1.83664824820441,1.01052212889455, 0.0338584307026254)); #158726=CARTESIAN_POINT('Ctrl Pts',(-1.83858817425299,1.02941407318023, 0.0340689675619559)); #158727=CARTESIAN_POINT('Ctrl Pts',(-1.84004874352823,1.0428612086332,0.0344679114142416)); #158728=CARTESIAN_POINT('Ctrl Pts',(-1.84080488237742,1.04963753799218, 0.0347261053249615)); #158729=CARTESIAN_POINT('Ctrl Pts',(-1.84163205498657,1.05375224214177, 0.0351654394532169)); #158730=CARTESIAN_POINT('Ctrl Pts',(-1.84189572600476,1.05572459504644, 0.0352809092560515)); #158731=CARTESIAN_POINT('Ctrl Pts',(-1.8428926346019,1.05722058980538,0.035956118430999)); #158732=CARTESIAN_POINT('',(-1.84289263460191,1.05722058980538,0.0359561184309986)); #158733=CARTESIAN_POINT('Ctrl Pts',(-1.81619511821428,0.898614499261163, 0.0330142392630043)); #158734=CARTESIAN_POINT('Ctrl Pts',(-1.82183441853895,0.920719100241974, 0.0331767894749826)); #158735=CARTESIAN_POINT('Ctrl Pts',(-1.82799510587958,0.950424625237911, 0.0333321135880035)); #158736=CARTESIAN_POINT('Ctrl Pts',(-1.83374431414918,0.987917693447531, 0.0336282084091947)); #158737=CARTESIAN_POINT('Ctrl Pts',(-1.83664824820441,1.01052212889455, 0.0338584307026254)); #158738=CARTESIAN_POINT('Ctrl Pts',(-1.83858817425299,1.02941407318023, 0.0340689675619559)); #158739=CARTESIAN_POINT('Ctrl Pts',(-1.84004874352823,1.0428612086332,0.0344679114142416)); #158740=CARTESIAN_POINT('Ctrl Pts',(-1.84080488237742,1.04963753799218, 0.0347261053249615)); #158741=CARTESIAN_POINT('Ctrl Pts',(-1.84163205498657,1.05375224214177, 0.0351654394532169)); #158742=CARTESIAN_POINT('Ctrl Pts',(-1.84189572600476,1.05572459504644, 0.0352809092560515)); #158743=CARTESIAN_POINT('Ctrl Pts',(-1.8428926346019,1.05722058980538,0.035956118430999)); #158744=CARTESIAN_POINT('Origin',(-1.91792139978314,1.0522924065178,-0.0639007661832443)); #158745=CARTESIAN_POINT('Ctrl Pts',(-1.94971093080774,0.831702840305541, 0.127345287064464)); #158746=CARTESIAN_POINT('Ctrl Pts',(-1.91295864765621,0.90929527980057, 0.0959016044566865)); #158747=CARTESIAN_POINT('Ctrl Pts',(-1.87620636450468,0.986887719295598, 0.0644579218489089)); #158748=CARTESIAN_POINT('Ctrl Pts',(-1.83945408135315,1.06448015879063, 0.0330142392411313)); #158749=CARTESIAN_POINT('Ctrl Pts',(-1.94399544037479,0.808585427334489, 0.127345287064464)); #158750=CARTESIAN_POINT('Ctrl Pts',(-1.90875298414274,0.887587906327099, 0.0959016044566865)); #158751=CARTESIAN_POINT('Ctrl Pts',(-1.8735885971534,0.9665530132485,0.0644579218489088)); #158752=CARTESIAN_POINT('Ctrl Pts',(-1.83834614092134,1.04555549224111, 0.0330142392411313)); #158753=CARTESIAN_POINT('Ctrl Pts',(-1.93097422900559,0.742929531707293, 0.127345287064464)); #158754=CARTESIAN_POINT('Ctrl Pts',(-1.89958593141956,0.823054411746122, 0.0959016044566864)); #158755=CARTESIAN_POINT('Ctrl Pts',(-1.86823697637245,0.903117546371943, 0.0644579218489089)); #158756=CARTESIAN_POINT('Ctrl Pts',(-1.83684867878641,0.983242426410772, 0.0330142392411313)); #158757=CARTESIAN_POINT('Ctrl Pts',(-1.89894145735558,0.655942563280088, 0.127345287064464)); #158758=CARTESIAN_POINT('Ctrl Pts',(-1.87135934429118,0.736833208581192, 0.0959016044566865)); #158759=CARTESIAN_POINT('Ctrl Pts',(-1.84377723122678,0.817723853882297, 0.0644579218489089)); #158760=CARTESIAN_POINT('Ctrl Pts',(-1.81619511816239,0.898614499183402, 0.0330142392411313)); #158761=CARTESIAN_POINT('',(-2.12696933341265,0.457469984556136,0.279)); #158762=CARTESIAN_POINT('Ctrl Pts',(-2.08403139987004,0.94003002202885, 0.20619348278347)); #158763=CARTESIAN_POINT('Ctrl Pts',(-2.00288275569328,1.05037262653718, 0.148481934272717)); #158764=CARTESIAN_POINT('Ctrl Pts',(-1.92173411151652,1.1607152310455,0.0907703857619637)); #158765=CARTESIAN_POINT('Ctrl Pts',(-1.84058546733976,1.27105783555383, 0.0330588372512106)); #158766=CARTESIAN_POINT('Ctrl Pts',(-2.0909097155425,0.83718215847527,0.20619348278347)); #158767=CARTESIAN_POINT('Ctrl Pts',(-2.00856567577815,0.958749501215292, 0.148481934272717)); #158768=CARTESIAN_POINT('Ctrl Pts',(-1.92629515221667,1.08030700159828, 0.0907703857619637)); #158769=CARTESIAN_POINT('Ctrl Pts',(-1.84395111245232,1.2018743443383,0.0330588372512106)); #158770=CARTESIAN_POINT('Ctrl Pts',(-2.07627904431777,0.732881081897719, 0.20619348278347)); #158771=CARTESIAN_POINT('Ctrl Pts',(-1.9986614739588,0.866073201648222, 0.148481934272717)); #158772=CARTESIAN_POINT('Ctrl Pts',(-1.92111645050007,0.999249879458391, 0.0907703857619637)); #158773=CARTESIAN_POINT('Ctrl Pts',(-1.8434988801411,1.13244199920889,0.0330588372512106)); #158774=CARTESIAN_POINT('Ctrl Pts',(-2.04187097615609,0.637131996555019, 0.20619348278347)); #158775=CARTESIAN_POINT('Ctrl Pts',(-1.97441605370195,0.779544699667521, 0.148481934272717)); #158776=CARTESIAN_POINT('Ctrl Pts',(-1.9069611312478,0.921957402780024, 0.0907703857619637)); #158777=CARTESIAN_POINT('Ctrl Pts',(-1.83950620879366,1.06437010589253, 0.0330588372512106)); #158778=CARTESIAN_POINT('',(-2.08249717503341,0.942116198157756,0.205102367928951)); #158779=CARTESIAN_POINT('Ctrl Pts',(-2.08249717503341,0.942116198157756, 0.205102367928951)); #158780=CARTESIAN_POINT('Ctrl Pts',(-2.08541974213392,0.903941835932294, 0.205393733444231)); #158781=CARTESIAN_POINT('Ctrl Pts',(-2.08564052321447,0.865540140328541, 0.205769566176057)); #158782=CARTESIAN_POINT('Ctrl Pts',(-2.08310923674734,0.827222919543514, 0.20619348278315)); #158783=CARTESIAN_POINT('',(-1.84058546733976,1.27105783555383,0.0330588372512099)); #158784=CARTESIAN_POINT('',(-2.18640519353548,0.800826328103441,0.279)); #158785=CARTESIAN_POINT('',(-1.84737122912516,1.15047804535094,0.0364856925337942)); #158786=CARTESIAN_POINT('Ctrl Pts',(-1.84737122912516,1.15047804535094, 0.0364856925337943)); #158787=CARTESIAN_POINT('Ctrl Pts',(-1.84588030576936,1.19060856090391, 0.0349841435786339)); #158788=CARTESIAN_POINT('Ctrl Pts',(-1.84373619614661,1.23087295147808, 0.0339695189982668)); #158789=CARTESIAN_POINT('Ctrl Pts',(-1.84058546733976,1.27105783555383, 0.0330588372512102)); #158790=CARTESIAN_POINT('Ctrl Pts',(-1.84289263460191,1.05722058980539, 0.0359561184310027)); #158791=CARTESIAN_POINT('Ctrl Pts',(-1.8474836649636,1.0882697394965,0.0378732965913855)); #158792=CARTESIAN_POINT('Ctrl Pts',(-1.84852696948366,1.11936950028991, 0.0376496696897838)); #158793=CARTESIAN_POINT('Ctrl Pts',(-1.84737122912537,1.15047804534515, 0.0364856925340114)); #158794=CARTESIAN_POINT('Ctrl Pts',(1.96414302075574,0.822562302576322, 0.136683753946513)); #158795=CARTESIAN_POINT('Ctrl Pts',(1.95940100861991,0.825761778705811, 0.133601875351838)); #158796=CARTESIAN_POINT('Ctrl Pts',(1.95458583080353,0.828809822351543, 0.130487720638741)); #158797=CARTESIAN_POINT('Ctrl Pts',(1.94971093080786,0.831702840305553, 0.127345287064535)); #158798=CARTESIAN_POINT('Ctrl Pts',(1.96287679790642,0.820299406840886, 0.136284830907218)); #158799=CARTESIAN_POINT('Ctrl Pts',(1.95815910140024,0.82346075806796,0.133223014437297)); #158800=CARTESIAN_POINT('Ctrl Pts',(1.95336998834191,0.826471939758083, 0.130127987076343)); #158801=CARTESIAN_POINT('Ctrl Pts',(1.94852116468156,0.829328342049534, 0.127006486795887)); #158802=CARTESIAN_POINT('Ctrl Pts',(1.961596816987,0.816140373449468,0.133940074178104)); #158803=CARTESIAN_POINT('Ctrl Pts',(1.95693709600955,0.819232081705328, 0.130889213053762)); #158804=CARTESIAN_POINT('Ctrl Pts',(1.9522069257112,0.822173948530496,0.127807462090027)); #158805=CARTESIAN_POINT('Ctrl Pts',(1.94742037521653,0.824963126574171, 0.124698073295324)); #158806=CARTESIAN_POINT('Ctrl Pts',(1.96256408073539,0.813699142493585, 0.129919112661274)); #158807=CARTESIAN_POINT('Ctrl Pts',(1.95796603013905,0.816775049515083, 0.126849925314696)); #158808=CARTESIAN_POINT('Ctrl Pts',(1.95329857793191,0.819703742939721, 0.123749537279663)); #158809=CARTESIAN_POINT('Ctrl Pts',(1.94857570933595,0.822480917382754, 0.120621853968461)); #158810=CARTESIAN_POINT('Ctrl Pts',(1.96331606646532,0.813145172248758, 0.128187232109656)); #158811=CARTESIAN_POINT('Ctrl Pts',(1.95873965688472,0.816228016969663, 0.125110125517751)); #158812=CARTESIAN_POINT('Ctrl Pts',(1.95409391793937,0.819164032204661, 0.122001568934194)); #158813=CARTESIAN_POINT('Ctrl Pts',(1.94939256762974,0.821949910959286, 0.118865979283939)); #158814=CARTESIAN_POINT('',(1.94971093080781,0.831702840305521,0.127345287064513)); #158815=CARTESIAN_POINT('Origin',(1.95457556438694,0.830500120662318,0.118691455219566)); #158816=CARTESIAN_POINT('Ctrl Pts',(1.94971093080786,0.831702840305553, 0.127345287064535)); #158817=CARTESIAN_POINT('Ctrl Pts',(1.95458583080353,0.828809822351543, 0.130487720638741)); #158818=CARTESIAN_POINT('Ctrl Pts',(1.95940100861991,0.825761778705811, 0.133601875351838)); #158819=CARTESIAN_POINT('Ctrl Pts',(1.96414302075574,0.822562302576322, 0.136683753946513)); #158820=CARTESIAN_POINT('Ctrl Pts',(1.94971093080769,0.831702840305651, 0.127345287064428)); #158821=CARTESIAN_POINT('Ctrl Pts',(1.94336912222995,0.835446403143049, 0.123260041111769)); #158822=CARTESIAN_POINT('Ctrl Pts',(1.93681189413523,0.838825056968012, 0.118957375543924)); #158823=CARTESIAN_POINT('Ctrl Pts',(1.93029882767282,0.842019154176448, 0.114629985314737)); #158824=CARTESIAN_POINT('Ctrl Pts',(1.94852116468139,0.829328342049632, 0.12700648679578)); #158825=CARTESIAN_POINT('Ctrl Pts',(1.94221733863276,0.833026553148682, 0.122944928138059)); #158826=CARTESIAN_POINT('Ctrl Pts',(1.93573417160226,0.836380864092298, 0.11863675536559)); #158827=CARTESIAN_POINT('Ctrl Pts',(1.92926507919561,0.839535325847596, 0.114330141404524)); #158828=CARTESIAN_POINT('Ctrl Pts',(1.94742037159628,0.824963123602948, 0.124698076177857)); #158829=CARTESIAN_POINT('Ctrl Pts',(1.94120501672761,0.828580409436036, 0.120652451595735)); #158830=CARTESIAN_POINT('Ctrl Pts',(1.93487667396778,0.831909668096732, 0.116364739493539)); #158831=CARTESIAN_POINT('Ctrl Pts',(1.92851042219851,0.834996317009898, 0.112076795763625)); #158832=CARTESIAN_POINT('Ctrl Pts',(1.94857570933579,0.822480917382848, 0.120621853968354)); #158833=CARTESIAN_POINT('Ctrl Pts',(1.94244649376526,0.826085041079788, 0.116560026246492)); #158834=CARTESIAN_POINT('Ctrl Pts',(1.9362375786121,0.829424720584407,0.112322220724052)); #158835=CARTESIAN_POINT('Ctrl Pts',(1.92996698385121,0.832507086636547, 0.108029238060013)); #158836=CARTESIAN_POINT('Ctrl Pts',(1.94939256762958,0.821949910959381, 0.118865979283832)); #158837=CARTESIAN_POINT('Ctrl Pts',(1.94329193385919,0.825564962995787, 0.114797130367961)); #158838=CARTESIAN_POINT('Ctrl Pts',(1.93711908475092,0.828912892306826, 0.110580661663858)); #158839=CARTESIAN_POINT('Ctrl Pts',(1.93087922469294,0.83200811532554,0.106285445184091)); #158840=CARTESIAN_POINT('',(1.93029882767282,0.842019154176448,0.114629985314737)); #158841=CARTESIAN_POINT('Origin',(1.93541843824081,0.840916823521331,0.106110921119718)); #158842=CARTESIAN_POINT('Ctrl Pts',(1.93029882767282,0.842019154176448, 0.114629985314737)); #158843=CARTESIAN_POINT('Ctrl Pts',(1.93681189413523,0.838825056968012, 0.118957375543924)); #158844=CARTESIAN_POINT('Ctrl Pts',(1.94336912222995,0.835446403143049, 0.123260041111769)); #158845=CARTESIAN_POINT('Ctrl Pts',(1.94971093080769,0.831702840305651, 0.127345287064428)); #158846=CARTESIAN_POINT('Ctrl Pts',(1.93029882767281,0.842019154176449, 0.114629985314736)); #158847=CARTESIAN_POINT('Ctrl Pts',(1.89129326054752,0.861148019786431, 0.0887140345047901)); #158848=CARTESIAN_POINT('Ctrl Pts',(1.8536109287352,0.87990176801624,0.0609092096793434)); #158849=CARTESIAN_POINT('Ctrl Pts',(1.8161951181603,0.898614499288165,0.0330142392227593)); #158850=CARTESIAN_POINT('Ctrl Pts',(1.92926507919561,0.839535325847597, 0.114330141404524)); #158851=CARTESIAN_POINT('Ctrl Pts',(1.89031540925147,0.858690308699645, 0.0883746468239478)); #158852=CARTESIAN_POINT('Ctrl Pts',(1.85262058261622,0.87742785535334,0.060593158939103)); #158853=CARTESIAN_POINT('Ctrl Pts',(1.81516598736823,0.8961159374396,0.0327356040656004)); #158854=CARTESIAN_POINT('Ctrl Pts',(1.92851041673917,0.834996312035101, 0.112076800257559)); #158855=CARTESIAN_POINT('Ctrl Pts',(1.88969153358628,0.854215498977581, 0.0861511432876519)); #158856=CARTESIAN_POINT('Ctrl Pts',(1.85200172812766,0.872897179173548, 0.0584003705005976)); #158857=CARTESIAN_POINT('Ctrl Pts',(1.81450197111426,0.891501743130185, 0.0305606040342159)); #158858=CARTESIAN_POINT('Ctrl Pts',(1.92996698385121,0.832507086636549, 0.108029238060012)); #158859=CARTESIAN_POINT('Ctrl Pts',(1.89125896424163,0.851725103953814, 0.0822452107077587)); #158860=CARTESIAN_POINT('Ctrl Pts',(1.85362529336889,0.870357005782835, 0.0545099494638631)); #158861=CARTESIAN_POINT('Ctrl Pts',(1.81614577726845,0.888907233058837, 0.0266289089368327)); #158862=CARTESIAN_POINT('Ctrl Pts',(1.93087922469294,0.832008115325542, 0.106285445184091)); #158863=CARTESIAN_POINT('Ctrl Pts',(1.89220945020619,0.851207423596502, 0.080562177091254)); #158864=CARTESIAN_POINT('Ctrl Pts',(1.85460359030486,0.869825353915841, 0.0528335063409001)); #158865=CARTESIAN_POINT('Ctrl Pts',(1.81714026444492,0.888367325624197, 0.0249346547696637)); #158866=CARTESIAN_POINT('Ctrl Pts',(1.8161951181603,0.898614499288165,0.0330142392227593)); #158867=CARTESIAN_POINT('Ctrl Pts',(1.8536109287352,0.87990176801624,0.0609092096793434)); #158868=CARTESIAN_POINT('Ctrl Pts',(1.89129326054752,0.861148019786431, 0.0887140345047901)); #158869=CARTESIAN_POINT('Ctrl Pts',(1.93029882767281,0.842019154176449, 0.114629985314736)); #158870=CARTESIAN_POINT('Ctrl Pts',(2.08403139987003,0.940030022028847, 0.20619348278347)); #158871=CARTESIAN_POINT('Ctrl Pts',(2.00288275569327,1.05037262653717,0.148481934272717)); #158872=CARTESIAN_POINT('Ctrl Pts',(1.92173411151652,1.1607152310455,0.0907703857619644)); #158873=CARTESIAN_POINT('Ctrl Pts',(1.84058546733976,1.27105783555383,0.0330588372512117)); #158874=CARTESIAN_POINT('Ctrl Pts',(2.09090971554249,0.837182158475267, 0.20619348278347)); #158875=CARTESIAN_POINT('Ctrl Pts',(2.00856567577815,0.958749501215289, 0.148481934272717)); #158876=CARTESIAN_POINT('Ctrl Pts',(1.92629515221666,1.08030700159827,0.0907703857619644)); #158877=CARTESIAN_POINT('Ctrl Pts',(1.84395111245232,1.20187434433829,0.0330588372512117)); #158878=CARTESIAN_POINT('Ctrl Pts',(2.07627904431776,0.732881081897717, 0.20619348278347)); #158879=CARTESIAN_POINT('Ctrl Pts',(1.99866147395879,0.866073201648218, 0.148481934272717)); #158880=CARTESIAN_POINT('Ctrl Pts',(1.92111645050007,0.999249879458387, 0.0907703857619644)); #158881=CARTESIAN_POINT('Ctrl Pts',(1.8434988801411,1.13244199920889,0.0330588372512117)); #158882=CARTESIAN_POINT('Ctrl Pts',(2.04187097615608,0.637131996555016, 0.20619348278347)); #158883=CARTESIAN_POINT('Ctrl Pts',(1.97441605370194,0.779544699667518, 0.148481934272717)); #158884=CARTESIAN_POINT('Ctrl Pts',(1.9069611312478,0.921957402780019,0.0907703857619644)); #158885=CARTESIAN_POINT('Ctrl Pts',(1.83950620879365,1.06437010589252,0.0330588372512117)); #158886=CARTESIAN_POINT('',(1.8428926346019,1.05722058980538,0.0359561184309985)); #158887=CARTESIAN_POINT('',(2.12696933341264,0.457469984556133,0.279)); #158888=CARTESIAN_POINT('',(1.84737122912515,1.15047804535094,0.0364856925337941)); #158889=CARTESIAN_POINT('Ctrl Pts',(1.84737122912536,1.15047804534515,0.0364856925340105)); #158890=CARTESIAN_POINT('Ctrl Pts',(1.84852696948365,1.11936950028992,0.0376496696897824)); #158891=CARTESIAN_POINT('Ctrl Pts',(1.84748366496359,1.0882697394965,0.0378732965913827)); #158892=CARTESIAN_POINT('Ctrl Pts',(1.8428926346019,1.0572205898054,0.0359561184309998)); #158893=CARTESIAN_POINT('Ctrl Pts',(1.84058546733976,1.27105783555382,0.0330588372512101)); #158894=CARTESIAN_POINT('Ctrl Pts',(1.8437361961466,1.23087295147807,0.0339695189982666)); #158895=CARTESIAN_POINT('Ctrl Pts',(1.84588030576935,1.19060856090391,0.0349841435786339)); #158896=CARTESIAN_POINT('Ctrl Pts',(1.84737122912515,1.15047804535094,0.0364856925337941)); #158897=CARTESIAN_POINT('Ctrl Pts',(2.08310923674734,0.827222919543514, 0.20619348278315)); #158898=CARTESIAN_POINT('Ctrl Pts',(2.08564052321444,0.865540140328154, 0.205769566176061)); #158899=CARTESIAN_POINT('Ctrl Pts',(2.08541974213391,0.903941835932233, 0.205393733444231)); #158900=CARTESIAN_POINT('Ctrl Pts',(2.0824971750334,0.942116198157754,0.205102367928951)); #158901=CARTESIAN_POINT('Ctrl Pts',(2.08899248271042,0.826812650664099, 0.207761883305329)); #158902=CARTESIAN_POINT('Ctrl Pts',(2.09181767744373,0.865388500554131, 0.207471608868163)); #158903=CARTESIAN_POINT('Ctrl Pts',(2.09188704313748,0.904075787277997, 0.207264776637735)); #158904=CARTESIAN_POINT('Ctrl Pts',(2.08925917751651,0.942581936180178, 0.207214032975061)); #158905=CARTESIAN_POINT('Ctrl Pts',(2.0869332856883,0.826961109263998,0.207865479350642)); #158906=CARTESIAN_POINT('Ctrl Pts',(2.0896471379867,0.865446635844086,0.207594128021629)); #158907=CARTESIAN_POINT('Ctrl Pts',(2.08960347781112,0.904032905874071, 0.207399876627362)); #158908=CARTESIAN_POINT('Ctrl Pts',(2.0868500899608,0.942417181601024,0.207361182433063)); #158909=CARTESIAN_POINT('Ctrl Pts',(2.08484569313534,0.827106687786934, 0.207308953026448)); #158910=CARTESIAN_POINT('Ctrl Pts',(2.08744220095171,0.86550133399016,0.206986696341141)); #158911=CARTESIAN_POINT('Ctrl Pts',(2.08727922368783,0.903985887980321, 0.206727986222949)); #158912=CARTESIAN_POINT('Ctrl Pts',(2.08439645688537,0.94224818577188,0.206594952131564)); #158913=CARTESIAN_POINT('Ctrl Pts',(2.08310923674734,0.827222919543514, 0.20619348278315)); #158914=CARTESIAN_POINT('Ctrl Pts',(2.08564052321444,0.865540140328154, 0.205769566176061)); #158915=CARTESIAN_POINT('Ctrl Pts',(2.08541974213391,0.903941835932233, 0.205393733444231)); #158916=CARTESIAN_POINT('Ctrl Pts',(2.0824971750334,0.942116198157754,0.205102367928951)); #158917=CARTESIAN_POINT('Origin',(2.08865322675781,0.942527429202692,0.197232557499589)); #158918=CARTESIAN_POINT('Ctrl Pts',(2.08925917751651,0.942581936180182, 0.207214032975061)); #158919=CARTESIAN_POINT('Ctrl Pts',(2.07107985983708,1.20896371305531,0.206862993273262)); #158920=CARTESIAN_POINT('Ctrl Pts',(2.03894045501373,1.4743714489033,0.206508163968556)); #158921=CARTESIAN_POINT('Ctrl Pts',(1.99371515219946,1.73778441932534,0.206112812242523)); #158922=CARTESIAN_POINT('Ctrl Pts',(2.0868500899608,0.942417181601027,0.207361182433063)); #158923=CARTESIAN_POINT('Ctrl Pts',(2.06850817446517,1.20866885856445,0.207037298414905)); #158924=CARTESIAN_POINT('Ctrl Pts',(2.03628970764193,1.47401116208846,0.206697498930729)); #158925=CARTESIAN_POINT('Ctrl Pts',(1.99093391712979,1.73730667380778,0.206331659141909)); #158926=CARTESIAN_POINT('Ctrl Pts',(2.08439645688537,0.942248185771883, 0.206594952131564)); #158927=CARTESIAN_POINT('Ctrl Pts',(2.06588265433169,1.20836757969558,0.206161537121759)); #158928=CARTESIAN_POINT('Ctrl Pts',(2.03357601839211,1.47364094214999,0.205763022553975)); #158929=CARTESIAN_POINT('Ctrl Pts',(1.98807959313908,1.73681448300241,0.205283653471453)); #158930=CARTESIAN_POINT('Ctrl Pts',(2.0824971750334,0.942116198157756,0.205102367928951)); #158931=CARTESIAN_POINT('Ctrl Pts',(2.06392375177584,1.20813901796261,0.20447438430071)); #158932=CARTESIAN_POINT('Ctrl Pts',(2.03159275556634,1.47336562783359,0.203975784157414)); #158933=CARTESIAN_POINT('Ctrl Pts',(1.98608082309991,1.73646797921544,0.203309759676488)); #158934=CARTESIAN_POINT('Ctrl Pts',(1.98216816638845,1.80341418986183,0.206013382855994)); #158935=CARTESIAN_POINT('Ctrl Pts',(1.96137036597683,1.91883494397783,0.2058368170827)); #158936=CARTESIAN_POINT('Ctrl Pts',(1.91478235580993,2.14871288495158,0.205465361562809)); #158937=CARTESIAN_POINT('Ctrl Pts',(1.8586722868123,2.37620611540906,0.205029849736445)); #158938=CARTESIAN_POINT('Ctrl Pts',(1.82880907349006,2.48950846432454,0.204734555263797)); #158939=CARTESIAN_POINT('Ctrl Pts',(1.97935439937498,1.80290694580155,0.206238914123341)); #158940=CARTESIAN_POINT('Ctrl Pts',(1.95849836212168,1.91827511429272,0.206075673219399)); #158941=CARTESIAN_POINT('Ctrl Pts',(1.91178913473869,2.14804353582397,0.205727801360209)); #158942=CARTESIAN_POINT('Ctrl Pts',(1.85553692706416,2.37541247149976,0.205320042681911)); #158943=CARTESIAN_POINT('Ctrl Pts',(1.82556216871541,2.48865254081455,0.205051353329065)); #158944=CARTESIAN_POINT('Ctrl Pts',(1.9764648381812,1.80238405704557,0.205162077715831)); #158945=CARTESIAN_POINT('Ctrl Pts',(1.95554601434126,1.9176975532274,0.204946937477375)); #158946=CARTESIAN_POINT('Ctrl Pts',(1.90870483680851,2.14735132741287,0.204483118085242)); #158947=CARTESIAN_POINT('Ctrl Pts',(1.85229726795253,2.37458912299904,0.20392326849475)); #158948=CARTESIAN_POINT('Ctrl Pts',(1.82220356462333,2.48776241123179,0.203533590121234)); #158949=CARTESIAN_POINT('Ctrl Pts',(1.97446219948283,1.80201973472533,0.203141643805481)); #158950=CARTESIAN_POINT('Ctrl Pts',(1.95353796184307,1.91730218811155,0.202842005361757)); #158951=CARTESIAN_POINT('Ctrl Pts',(1.9066909127469,2.14689460048133,0.202198442045484)); #158952=CARTESIAN_POINT('Ctrl Pts',(1.85029448525491,2.37407575751832,0.201415546206374)); #158953=CARTESIAN_POINT('Ctrl Pts',(1.8202237919195,2.48723311037011,0.200854840912411)); #158954=CARTESIAN_POINT('Ctrl Pts',(1.97446219948283,1.80201973472533,0.203141643805481)); #158955=CARTESIAN_POINT('Ctrl Pts',(1.95353796184307,1.91730218811155,0.202842005361757)); #158956=CARTESIAN_POINT('Ctrl Pts',(1.9066909127469,2.14689460048133,0.202198442045484)); #158957=CARTESIAN_POINT('Ctrl Pts',(1.85029448525491,2.37407575751832,0.201415546206374)); #158958=CARTESIAN_POINT('Ctrl Pts',(1.8202237919195,2.48723311037011,0.200854840912411)); #158959=CARTESIAN_POINT('Ctrl Pts',(1.26356312741579,3.20440616081707,0.206115467568544)); #158960=CARTESIAN_POINT('Ctrl Pts',(1.25833854836142,3.20606545967659,0.206120296701742)); #158961=CARTESIAN_POINT('Ctrl Pts',(1.25309718000769,3.20767277683183,0.206128490644218)); #158962=CARTESIAN_POINT('Ctrl Pts',(1.24784055985023,3.20922760481459,0.206140007165232)); #158963=CARTESIAN_POINT('Ctrl Pts',(1.26270350223688,3.20169993879715,0.206335595589892)); #158964=CARTESIAN_POINT('Ctrl Pts',(1.25749723152481,3.20335466415014,0.206340110595572)); #158965=CARTESIAN_POINT('Ctrl Pts',(1.25227412464554,3.20495767231939,0.20634792484239)); #158966=CARTESIAN_POINT('Ctrl Pts',(1.24703592934555,3.20650844543867,0.206358836070741)); #158967=CARTESIAN_POINT('Ctrl Pts',(1.26182010156006,3.19892258150641,0.205272297885952)); #158968=CARTESIAN_POINT('Ctrl Pts',(1.2566321296309,3.2005728561945,0.205277652196721)); #158969=CARTESIAN_POINT('Ctrl Pts',(1.25142736054187,3.2021717272764,0.205286236379894)); #158970=CARTESIAN_POINT('Ctrl Pts',(1.24620761796599,3.20371868055609,0.205297859443484)); #158971=CARTESIAN_POINT('Ctrl Pts',(1.26120355866608,3.19698782207007,0.203275392730848)); #158972=CARTESIAN_POINT('Ctrl Pts',(1.25602760013917,3.19863454253549,0.20328219460563)); #158973=CARTESIAN_POINT('Ctrl Pts',(1.25083506208537,3.20023006687027,0.203292171206653)); #158974=CARTESIAN_POINT('Ctrl Pts',(1.24562747137448,3.20177389034925,0.203305281958333)); #158975=CARTESIAN_POINT('Ctrl Pts',(1.26120355866608,3.19698782207007,0.203275392730848)); #158976=CARTESIAN_POINT('Ctrl Pts',(1.25602760013917,3.19863454253549,0.20328219460563)); #158977=CARTESIAN_POINT('Ctrl Pts',(1.25083506208537,3.20023006687027,0.203292171206653)); #158978=CARTESIAN_POINT('Ctrl Pts',(1.24562747137448,3.20177389034925,0.203305281958333)); #158979=CARTESIAN_POINT('Origin',(1.24760224354612,3.20849574590574,0.196169671770837)); #158980=CARTESIAN_POINT('Ctrl Pts',(1.24784055985023,3.20922760481459,0.206140007165232)); #158981=CARTESIAN_POINT('Ctrl Pts',(1.14206227166877,3.24051520740085,0.206371752629475)); #158982=CARTESIAN_POINT('Ctrl Pts',(0.892931937270042,3.30593292200762, 0.206685525204096)); #158983=CARTESIAN_POINT('Ctrl Pts',(0.638649013273212,3.34859406761646, 0.206884416254974)); #158984=CARTESIAN_POINT('Ctrl Pts',(0.492250323881762,3.36550644591234, 0.206959215418092)); #158985=CARTESIAN_POINT('Ctrl Pts',(1.24703592934555,3.20650844543867,0.206358836070741)); #158986=CARTESIAN_POINT('Ctrl Pts',(1.14130546784699,3.23786790742084,0.2065737615476)); #158987=CARTESIAN_POINT('Ctrl Pts',(0.892394564257501,3.30334014841958, 0.206867979610357)); #158988=CARTESIAN_POINT('Ctrl Pts',(0.638267100519164,3.34605024603432, 0.207055404254767)); #158989=CARTESIAN_POINT('Ctrl Pts',(0.491958353643968,3.36298009974342, 0.207124945630384)); #158990=CARTESIAN_POINT('Ctrl Pts',(1.24620761796599,3.20371868055609,0.205297859443484)); #158991=CARTESIAN_POINT('Ctrl Pts',(1.14052936997874,3.23515510631842,0.205575890798205)); #158992=CARTESIAN_POINT('Ctrl Pts',(0.891843932766042,3.300689183047,0.205948457833351)); #158993=CARTESIAN_POINT('Ctrl Pts',(0.637876564414599,3.34345301316793, 0.2061850911414)); #158994=CARTESIAN_POINT('Ctrl Pts',(0.491659883295888,3.36040197815805, 0.206272910540478)); #158995=CARTESIAN_POINT('Ctrl Pts',(1.24562747137448,3.20177389034925,0.203305281958333)); #158996=CARTESIAN_POINT('Ctrl Pts',(1.13997415715931,3.23321836598744,0.203687029063838)); #158997=CARTESIAN_POINT('Ctrl Pts',(0.891435882025616,3.2987439690919,0.204191332816499)); #158998=CARTESIAN_POINT('Ctrl Pts',(0.63758409010089,3.34151437708012,0.204512015272137)); #158999=CARTESIAN_POINT('Ctrl Pts',(0.491435120444383,3.35846489692132, 0.204632076596673)); #159000=CARTESIAN_POINT('Ctrl Pts',(1.24562747137448,3.20177389034925,0.203305281958333)); #159001=CARTESIAN_POINT('Ctrl Pts',(1.21533550503181,3.15013173677365,0.146274303748236)); #159002=CARTESIAN_POINT('Ctrl Pts',(1.18504353868915,3.09848958319805,0.0892433255381394)); #159003=CARTESIAN_POINT('Ctrl Pts',(1.15475157234648,3.04684742962244,0.0322123473280425)); #159004=CARTESIAN_POINT('Ctrl Pts',(1.26411809130475,3.19634164602803,0.203305281958333)); #159005=CARTESIAN_POINT('Ctrl Pts',(1.2345938386487,3.14495440469531,0.146274303748236)); #159006=CARTESIAN_POINT('Ctrl Pts',(1.20506957558652,3.09356710942868,0.0892433255381394)); #159007=CARTESIAN_POINT('Ctrl Pts',(1.17554532293047,3.04217986809596,0.0322123473280425)); #159008=CARTESIAN_POINT('Ctrl Pts',(1.28241084576878,3.19021854025977,0.203305281958333)); #159009=CARTESIAN_POINT('Ctrl Pts',(1.25365278175387,3.13901242065082,0.146274303748236)); #159010=CARTESIAN_POINT('Ctrl Pts',(1.2248947064673,3.08780624729934,0.0892433255381394)); #159011=CARTESIAN_POINT('Ctrl Pts',(1.19613664245239,3.0366001276904,0.0322123473280425)); #159012=CARTESIAN_POINT('Ctrl Pts',(1.30045380254819,3.18342122498648,0.203305281958333)); #159013=CARTESIAN_POINT('Ctrl Pts',(1.27245121338388,3.13232395474023,0.146274303748236)); #159014=CARTESIAN_POINT('Ctrl Pts',(1.24444862421957,3.08122668449398,0.0892433255381394)); #159015=CARTESIAN_POINT('Ctrl Pts',(1.21644603505527,3.03012941424773,0.0322123473280425)); #159016=CARTESIAN_POINT('Ctrl Pts',(-0.425222669338812,3.36562161250742, 0.204682468521441)); #159017=CARTESIAN_POINT('Ctrl Pts',(-0.28386011387674,3.37978681693331, 0.204781620885688)); #159018=CARTESIAN_POINT('Ctrl Pts',(-0.141767921435824,3.38687864430257, 0.204828721543441)); #159019=CARTESIAN_POINT('Ctrl Pts',(-4.37095678986282E-17,3.38687864430257, 0.204828721543441)); #159020=CARTESIAN_POINT('Ctrl Pts',(-0.425416775250775,3.3675579390339, 0.206309936071217)); #159021=CARTESIAN_POINT('Ctrl Pts',(-0.283989079613371,3.38172175315715, 0.206382466935841)); #159022=CARTESIAN_POINT('Ctrl Pts',(-0.1418321438032,3.38881247396111,0.206417402063133)); #159023=CARTESIAN_POINT('Ctrl Pts',(-4.33458776770395E-17,3.38881247396111, 0.206417402063133)); #159024=CARTESIAN_POINT('Ctrl Pts',(-0.42567384607613,3.37012805146625, 0.207154320435768)); #159025=CARTESIAN_POINT('Ctrl Pts',(-0.28415830712705,3.38427603687236, 0.207211799167593)); #159026=CARTESIAN_POINT('Ctrl Pts',(-0.141916726562339,3.39135920026595, 0.207239703062376)); #159027=CARTESIAN_POINT('Ctrl Pts',(-4.29840626054112E-17,3.39135920026595, 0.207239703062376)); #159028=CARTESIAN_POINT('Ctrl Pts',(-0.425925381266679,3.37264710308839, 0.206990622174649)); #159029=CARTESIAN_POINT('Ctrl Pts',(-0.284323963949417,3.38678061166353, 0.207052442843602)); #159030=CARTESIAN_POINT('Ctrl Pts',(-0.141999590623778,3.39385694023669, 0.207081912123343)); #159031=CARTESIAN_POINT('Ctrl Pts',(-4.27181568316225E-17,3.39385694023669, 0.207081912123343)); #159032=CARTESIAN_POINT('Origin',(-4.37095678986282E-17,3.39322646221612, 0.197101807040451)); #159033=CARTESIAN_POINT('Ctrl Pts',(0.425925381266676,3.37264710308839, 0.206990622174648)); #159034=CARTESIAN_POINT('Ctrl Pts',(0.284323963959774,3.38678061166249, 0.207052442843597)); #159035=CARTESIAN_POINT('Ctrl Pts',(0.141999590644624,3.39385694023566, 0.207081912123339)); #159036=CARTESIAN_POINT('Ctrl Pts',(3.12333710950512E-11,3.39385694023669, 0.207081912123343)); #159037=CARTESIAN_POINT('Ctrl Pts',(0.425673846076126,3.37012805146625, 0.207154320435768)); #159038=CARTESIAN_POINT('Ctrl Pts',(0.284158307137401,3.38427603687132, 0.207211799167588)); #159039=CARTESIAN_POINT('Ctrl Pts',(0.141916726583173,3.39135920026491, 0.207239703062372)); #159040=CARTESIAN_POINT('Ctrl Pts',(3.12151551743575E-11,3.39135920026595, 0.207239703062376)); #159041=CARTESIAN_POINT('Ctrl Pts',(0.425416775250772,3.3675579390339,0.206309936071217)); #159042=CARTESIAN_POINT('Ctrl Pts',(0.283989079623715,3.38172175315612, 0.206382466935835)); #159043=CARTESIAN_POINT('Ctrl Pts',(0.141832143824021,3.38881247396008, 0.206417402063127)); #159044=CARTESIAN_POINT('Ctrl Pts',(3.11965571034011E-11,3.38881247396112, 0.206417402063132)); #159045=CARTESIAN_POINT('Ctrl Pts',(0.425222669338809,3.36562161250742, 0.204682468521441)); #159046=CARTESIAN_POINT('Ctrl Pts',(0.283860113887079,3.37978681693227, 0.204781620885681)); #159047=CARTESIAN_POINT('Ctrl Pts',(0.141767921456635,3.38687864430154, 0.204828721543434)); #159048=CARTESIAN_POINT('Ctrl Pts',(3.11824104391334E-11,3.38687864430258, 0.204828721543441)); #159049=CARTESIAN_POINT('Ctrl Pts',(0.425222669338809,3.36562161250742, 0.204682468521441)); #159050=CARTESIAN_POINT('Ctrl Pts',(0.283860113887079,3.37978681693227, 0.204781620885681)); #159051=CARTESIAN_POINT('Ctrl Pts',(0.141767921456635,3.38687864430154, 0.204828721543434)); #159052=CARTESIAN_POINT('Ctrl Pts',(3.11824104391334E-11,3.38687864430258, 0.204828721543441)); #159053=CARTESIAN_POINT('Ctrl Pts',(-6.4240374986509E-15,3.38687864430258, 0.204828721543442)); #159054=CARTESIAN_POINT('Ctrl Pts',(-6.28334155495509E-15,3.31728169230573, 0.147653405517551)); #159055=CARTESIAN_POINT('Ctrl Pts',(-6.14264561125927E-15,3.24768474030889, 0.090478089491661)); #159056=CARTESIAN_POINT('Ctrl Pts',(-6.00194966756346E-15,3.17808778831205, 0.0333027734657705)); #159057=CARTESIAN_POINT('Ctrl Pts',(0.150833254702523,3.38687864430258, 0.204828721543442)); #159058=CARTESIAN_POINT('Ctrl Pts',(0.145789969755425,3.31727391459693, 0.147653405517551)); #159059=CARTESIAN_POINT('Ctrl Pts',(0.140750356128398,3.24769251801769, 0.090478089491661)); #159060=CARTESIAN_POINT('Ctrl Pts',(0.135707071181301,3.17808778831205, 0.0333027734657705)); #159061=CARTESIAN_POINT('Ctrl Pts',(0.301635777412858,3.37865042855338, 0.204828721543442)); #159062=CARTESIAN_POINT('Ctrl Pts',(0.291555412923525,3.30994635687532, 0.147653405517551)); #159063=CARTESIAN_POINT('Ctrl Pts',(0.281479982069512,3.24126488304264, 0.0904780894916609)); #159064=CARTESIAN_POINT('Ctrl Pts',(0.271399617580179,3.17256081136458, 0.0333027734657705)); #159065=CARTESIAN_POINT('Ctrl Pts',(0.443490144193095,3.36394271499626, 0.204828721543442)); #159066=CARTESIAN_POINT('Ctrl Pts',(0.428943548541576,3.29682631638492, 0.147653405517551)); #159067=CARTESIAN_POINT('Ctrl Pts',(0.414396952890058,3.22970991777358, 0.090478089491661)); #159068=CARTESIAN_POINT('Ctrl Pts',(0.39985035723854,3.16259351916223,0.0333027734657705)); #159069=CARTESIAN_POINT('Ctrl Pts',(-1.26120355866642,3.19698782206996, 0.203275392730847)); #159070=CARTESIAN_POINT('Ctrl Pts',(-1.2560276001394,3.19863454253543,0.20328219460563)); #159071=CARTESIAN_POINT('Ctrl Pts',(-1.25083506208549,3.20023006687024, 0.203292171206653)); #159072=CARTESIAN_POINT('Ctrl Pts',(-1.24562747137448,3.20177389034925, 0.203305281958333)); #159073=CARTESIAN_POINT('Ctrl Pts',(-1.2618201015604,3.1989225815063,0.205272297885951)); #159074=CARTESIAN_POINT('Ctrl Pts',(-1.25663212963113,3.20057285619443, 0.20527765219672)); #159075=CARTESIAN_POINT('Ctrl Pts',(-1.25142736054199,3.20217172727637, 0.205286236379893)); #159076=CARTESIAN_POINT('Ctrl Pts',(-1.24620761796599,3.20371868055609, 0.205297859443484)); #159077=CARTESIAN_POINT('Ctrl Pts',(-1.26270350223723,3.20169993879704, 0.206335595589892)); #159078=CARTESIAN_POINT('Ctrl Pts',(-1.25749723152504,3.20335466415007, 0.206340110595572)); #159079=CARTESIAN_POINT('Ctrl Pts',(-1.25227412464566,3.20495767231936, 0.20634792484239)); #159080=CARTESIAN_POINT('Ctrl Pts',(-1.24703592934556,3.20650844543867, 0.206358836070741)); #159081=CARTESIAN_POINT('Ctrl Pts',(-1.26356312741613,3.20440616081696, 0.206115467568544)); #159082=CARTESIAN_POINT('Ctrl Pts',(-1.25833854836166,3.20606545967652, 0.206120296701742)); #159083=CARTESIAN_POINT('Ctrl Pts',(-1.25309718000781,3.20767277683179, 0.206128490644217)); #159084=CARTESIAN_POINT('Ctrl Pts',(-1.24784055985023,3.20922760481459, 0.206140007165232)); #159085=CARTESIAN_POINT('Origin',(-1.24760224354613,3.20849574590574,0.196169671770837)); #159086=CARTESIAN_POINT('Ctrl Pts',(-1.24562747137448,3.20177389034926, 0.203305281958333)); #159087=CARTESIAN_POINT('Ctrl Pts',(-1.13997415715907,3.23321836598752, 0.203687029063839)); #159088=CARTESIAN_POINT('Ctrl Pts',(-0.891435882024789,3.2987439690921, 0.204191332816501)); #159089=CARTESIAN_POINT('Ctrl Pts',(-0.637584090099453,3.34151437708035, 0.204512015272138)); #159090=CARTESIAN_POINT('Ctrl Pts',(-0.491435120442597,3.35846489692153, 0.204632076596674)); #159091=CARTESIAN_POINT('Ctrl Pts',(-1.24620761796599,3.20371868055609, 0.205297859443484)); #159092=CARTESIAN_POINT('Ctrl Pts',(-1.1405293699785,3.23515510631849,0.205575890798205)); #159093=CARTESIAN_POINT('Ctrl Pts',(-0.891843932765214,3.30068918304721, 0.205948457833352)); #159094=CARTESIAN_POINT('Ctrl Pts',(-0.637876564413161,3.34345301316817, 0.206185091141401)); #159095=CARTESIAN_POINT('Ctrl Pts',(-0.491659883294101,3.36040197815826, 0.206272910540479)); #159096=CARTESIAN_POINT('Ctrl Pts',(-1.24703592934556,3.20650844543867, 0.206358836070741)); #159097=CARTESIAN_POINT('Ctrl Pts',(-1.14130546784675,3.23786790742091, 0.2065737615476)); #159098=CARTESIAN_POINT('Ctrl Pts',(-0.892394564256672,3.30334014841978, 0.206867979610358)); #159099=CARTESIAN_POINT('Ctrl Pts',(-0.638267100517725,3.34605024603455, 0.207055404254768)); #159100=CARTESIAN_POINT('Ctrl Pts',(-0.49195835364218,3.36298009974363, 0.207124945630385)); #159101=CARTESIAN_POINT('Ctrl Pts',(-1.24784055985023,3.20922760481459, 0.206140007165232)); #159102=CARTESIAN_POINT('Ctrl Pts',(-1.14206227166853,3.24051520740092, 0.206371752629476)); #159103=CARTESIAN_POINT('Ctrl Pts',(-0.892931937269213,3.30593292200783, 0.206685525204097)); #159104=CARTESIAN_POINT('Ctrl Pts',(-0.638649013271772,3.34859406761669, 0.206884416254975)); #159105=CARTESIAN_POINT('Ctrl Pts',(-0.492250323879973,3.36550644591255, 0.206959215418093)); #159106=CARTESIAN_POINT('Ctrl Pts',(-0.491435120442597,3.35846489692153, 0.204632076596674)); #159107=CARTESIAN_POINT('Ctrl Pts',(-0.637584090099453,3.34151437708035, 0.204512015272138)); #159108=CARTESIAN_POINT('Ctrl Pts',(-0.891435882024789,3.2987439690921, 0.204191332816501)); #159109=CARTESIAN_POINT('Ctrl Pts',(-1.13997415715907,3.23321836598752, 0.203687029063839)); #159110=CARTESIAN_POINT('Ctrl Pts',(-1.24562747137448,3.20177389034926, 0.203305281958333)); #159111=CARTESIAN_POINT('Ctrl Pts',(-0.491435120250905,3.35846489694357, 0.204632076596673)); #159112=CARTESIAN_POINT('Ctrl Pts',(-0.475304292344263,3.29156471172745, 0.147158833507129)); #159113=CARTESIAN_POINT('Ctrl Pts',(-0.459173464437622,3.22466452651134, 0.0896855904175849)); #159114=CARTESIAN_POINT('Ctrl Pts',(-0.44304263653098,3.15776434129523, 0.032212347328041)); #159115=CARTESIAN_POINT('Ctrl Pts',(-0.649421149475815,3.34029676406042, 0.204632076596673)); #159116=CARTESIAN_POINT('Ctrl Pts',(-0.628353097452876,3.27533038940653, 0.147158833507129)); #159117=CARTESIAN_POINT('Ctrl Pts',(-0.607317114996388,3.21043174938135, 0.0896855904175848)); #159118=CARTESIAN_POINT('Ctrl Pts',(-0.586249062973449,3.14546537472746, 0.032212347328041)); #159119=CARTESIAN_POINT('Ctrl Pts',(-0.988201878140421,3.27957755190291, 0.204632076596673)); #159120=CARTESIAN_POINT('Ctrl Pts',(-0.95757197588552,3.22110305408967, 0.147158833507129)); #159121=CARTESIAN_POINT('Ctrl Pts',(-0.926943948994947,3.16265104592792, 0.0896855904175852)); #159122=CARTESIAN_POINT('Ctrl Pts',(-0.896314046740046,3.10417654811468, 0.032212347328041)); #159123=CARTESIAN_POINT('Ctrl Pts',(-1.19514686870789,3.21804370657674, 0.204632076596673)); #159124=CARTESIAN_POINT('Ctrl Pts',(-1.16247758078558,3.16532566132611, 0.147158833507129)); #159125=CARTESIAN_POINT('Ctrl Pts',(-1.12980440314099,3.11249860867669, 0.0896855904175847)); #159126=CARTESIAN_POINT('Ctrl Pts',(-1.09713511521869,3.05978056342607, 0.032212347328041)); #159127=CARTESIAN_POINT('Ctrl Pts',(-1.24633219746878,3.20297531691823, 0.204632076596673)); #159128=CARTESIAN_POINT('Ctrl Pts',(-1.21580532242802,3.15093268781964, 0.147158833507129)); #159129=CARTESIAN_POINT('Ctrl Pts',(-1.18527844738725,3.09889005872104, 0.0896855904175849)); #159130=CARTESIAN_POINT('Ctrl Pts',(-1.15475157234648,3.04684742962244, 0.032212347328041)); #159131=CARTESIAN_POINT('Ctrl Pts',(-1.15475157234648,3.04684742962244, 0.0322123473280409)); #159132=CARTESIAN_POINT('Ctrl Pts',(-1.15102963121809,3.04774829870632, 0.0322761754360307)); #159133=CARTESIAN_POINT('Ctrl Pts',(-1.14730572442501,3.04862053233086, 0.0323142908118826)); #159134=CARTESIAN_POINT('Ctrl Pts',(-1.14357881173665,3.04946416183335, 0.0323271421121907)); #159135=CARTESIAN_POINT('Ctrl Pts',(-1.97446219948284,1.80201973472533, 0.203141643805481)); #159136=CARTESIAN_POINT('Ctrl Pts',(-1.95353796184733,1.91730218808811, 0.202842005361818)); #159137=CARTESIAN_POINT('Ctrl Pts',(-1.90669091377528,2.14689459585826, 0.202198442059376)); #159138=CARTESIAN_POINT('Ctrl Pts',(-1.85029448546451,2.37407575672963, 0.201415546210282)); #159139=CARTESIAN_POINT('Ctrl Pts',(-1.82022379191949,2.48723311037016, 0.20085484091241)); #159140=CARTESIAN_POINT('Ctrl Pts',(-1.97646483818121,1.80238405704558, 0.205162077715832)); #159141=CARTESIAN_POINT('Ctrl Pts',(-1.95554601434552,1.91769755320395, 0.204946937477419)); #159142=CARTESIAN_POINT('Ctrl Pts',(-1.90870483783694,2.14735132278859, 0.204483118095149)); #159143=CARTESIAN_POINT('Ctrl Pts',(-1.85229726816229,2.37458912221023, 0.203923268497466)); #159144=CARTESIAN_POINT('Ctrl Pts',(-1.82220356462332,2.48776241123184, 0.203533590121234)); #159145=CARTESIAN_POINT('Ctrl Pts',(-1.97935439937499,1.80290694580156, 0.206238914123342)); #159146=CARTESIAN_POINT('Ctrl Pts',(-1.95849836212593,1.91827511426927, 0.206075673219433)); #159147=CARTESIAN_POINT('Ctrl Pts',(-1.91178913576431,2.14804353119723, 0.205727801367535)); #159148=CARTESIAN_POINT('Ctrl Pts',(-1.85553692727308,2.37541247071049, 0.205320042683784)); #159149=CARTESIAN_POINT('Ctrl Pts',(-1.8255621687154,2.4886525408146,0.205051353329064)); #159150=CARTESIAN_POINT('Ctrl Pts',(-1.98216816638846,1.80341418986183, 0.206013382855995)); #159151=CARTESIAN_POINT('Ctrl Pts',(-1.96137036598107,1.91883494395436, 0.205836817082736)); #159152=CARTESIAN_POINT('Ctrl Pts',(-1.91478235683296,2.1487128803225,0.205465361570716)); #159153=CARTESIAN_POINT('Ctrl Pts',(-1.85867228702045,2.37620611461935, 0.205029849738503)); #159154=CARTESIAN_POINT('Ctrl Pts',(-1.82880907349005,2.4895084643246,0.204734555263797)); #159155=CARTESIAN_POINT('Ctrl Pts',(-2.08310923674734,0.827222919543514, 0.20619348278315)); #159156=CARTESIAN_POINT('Ctrl Pts',(-2.08564052321447,0.865540140328541, 0.205769566176057)); #159157=CARTESIAN_POINT('Ctrl Pts',(-2.08541974213392,0.903941835932294, 0.205393733444231)); #159158=CARTESIAN_POINT('Ctrl Pts',(-2.08249717503341,0.942116198157756, 0.205102367928951)); #159159=CARTESIAN_POINT('Ctrl Pts',(-2.08484569313535,0.827106687786934, 0.207308953026448)); #159160=CARTESIAN_POINT('Ctrl Pts',(-2.08744220095175,0.865501333990548, 0.206986696341137)); #159161=CARTESIAN_POINT('Ctrl Pts',(-2.08727922368783,0.903985887980382, 0.206727986222948)); #159162=CARTESIAN_POINT('Ctrl Pts',(-2.08439645688538,0.942248185771882, 0.206594952131564)); #159163=CARTESIAN_POINT('Ctrl Pts',(-2.08693328568831,0.826961109263998, 0.207865479350642)); #159164=CARTESIAN_POINT('Ctrl Pts',(-2.08964713798673,0.865446635844475, 0.207594128021626)); #159165=CARTESIAN_POINT('Ctrl Pts',(-2.08960347781112,0.904032905874132, 0.207399876627362)); #159166=CARTESIAN_POINT('Ctrl Pts',(-2.08685008996081,0.942417181601026, 0.207361182433063)); #159167=CARTESIAN_POINT('Ctrl Pts',(-2.08899248271042,0.826812650664099, 0.207761883305329)); #159168=CARTESIAN_POINT('Ctrl Pts',(-2.09181767744376,0.865388500554521, 0.20747160886816)); #159169=CARTESIAN_POINT('Ctrl Pts',(-2.09188704313748,0.904075787278058, 0.207264776637734)); #159170=CARTESIAN_POINT('Ctrl Pts',(-2.08925917751652,0.942581936180181, 0.207214032975061)); #159171=CARTESIAN_POINT('Origin',(-2.08865322675782,0.942527429202695,0.19723255749959)); #159172=CARTESIAN_POINT('Ctrl Pts',(-2.08249717503341,0.942116198157758, 0.205102367928951)); #159173=CARTESIAN_POINT('Ctrl Pts',(-2.06392375177585,1.20813901796261, 0.20447438430071)); #159174=CARTESIAN_POINT('Ctrl Pts',(-2.03159275556634,1.47336562783359, 0.203975784157414)); #159175=CARTESIAN_POINT('Ctrl Pts',(-1.98608082309992,1.73646797921544, 0.203309759676488)); #159176=CARTESIAN_POINT('Ctrl Pts',(-2.08439645688538,0.942248185771884, 0.206594952131564)); #159177=CARTESIAN_POINT('Ctrl Pts',(-2.0658826543317,1.20836757969558,0.206161537121759)); #159178=CARTESIAN_POINT('Ctrl Pts',(-2.03357601839211,1.47364094214999, 0.205763022553975)); #159179=CARTESIAN_POINT('Ctrl Pts',(-1.98807959313909,1.73681448300241, 0.205283653471453)); #159180=CARTESIAN_POINT('Ctrl Pts',(-2.08685008996081,0.942417181601028, 0.207361182433063)); #159181=CARTESIAN_POINT('Ctrl Pts',(-2.06850817446518,1.20866885856445, 0.207037298414905)); #159182=CARTESIAN_POINT('Ctrl Pts',(-2.03628970764194,1.47401116208846, 0.206697498930729)); #159183=CARTESIAN_POINT('Ctrl Pts',(-1.9909339171298,1.73730667380778,0.206331659141909)); #159184=CARTESIAN_POINT('Ctrl Pts',(-2.08925917751652,0.942581936180183, 0.207214032975061)); #159185=CARTESIAN_POINT('Ctrl Pts',(-2.07107985983709,1.20896371305531, 0.206862993273262)); #159186=CARTESIAN_POINT('Ctrl Pts',(-2.03894045501374,1.4743714489033,0.206508163968556)); #159187=CARTESIAN_POINT('Ctrl Pts',(-1.99371515219947,1.73778441932534, 0.206112812242523)); #159188=CARTESIAN_POINT('Ctrl Pts',(-1.98608082309992,1.73646797921544, 0.203309759676488)); #159189=CARTESIAN_POINT('Ctrl Pts',(-2.03159275556634,1.47336562783359, 0.203975784157414)); #159190=CARTESIAN_POINT('Ctrl Pts',(-2.06392375177585,1.20813901796261, 0.20447438430071)); #159191=CARTESIAN_POINT('Ctrl Pts',(-2.08249717503341,0.942116198157758, 0.205102367928951)); #159192=CARTESIAN_POINT('Ctrl Pts',(-1.98800191943267,1.73616099257216, 0.205102367928952)); #159193=CARTESIAN_POINT('Ctrl Pts',(-1.92634783544707,1.74601316914688, 0.147571874602411)); #159194=CARTESIAN_POINT('Ctrl Pts',(-1.86469375146147,1.75586534572159, 0.0900413812758709)); #159195=CARTESIAN_POINT('Ctrl Pts',(-1.80303966747587,1.7657175222963,0.0325108879493305)); #159196=CARTESIAN_POINT('Ctrl Pts',(-2.0158473607836,1.57315170195794,0.205102367928952)); #159197=CARTESIAN_POINT('Ctrl Pts',(-1.94830957864134,1.60252788881365, 0.147571874602411)); #159198=CARTESIAN_POINT('Ctrl Pts',(-1.8809339604532,1.6319271736906,0.0900413812758709)); #159199=CARTESIAN_POINT('Ctrl Pts',(-1.81339617831094,1.66130336054631, 0.0325108879493305)); #159200=CARTESIAN_POINT('Ctrl Pts',(-2.06600660446424,1.20016965933594, 0.205102367928952)); #159201=CARTESIAN_POINT('Ctrl Pts',(-1.98810461890223,1.2766364628864,0.147571874602411)); #159202=CARTESIAN_POINT('Ctrl Pts',(-1.91022124590721,1.35310913922188, 0.090041381275871)); #159203=CARTESIAN_POINT('Ctrl Pts',(-1.8323192603452,1.42957594277233,0.0325108879493305)); #159204=CARTESIAN_POINT('Ctrl Pts',(-2.08055847417092,0.971138186975291, 0.205102367928952)); #159205=CARTESIAN_POINT('Ctrl Pts',(-2.00003158372896,1.07798347401826, 0.147571874602411)); #159206=CARTESIAN_POINT('Ctrl Pts',(-1.91939333239216,1.18479288964831, 0.0900413812758708)); #159207=CARTESIAN_POINT('Ctrl Pts',(-1.8388664419502,1.29163817669128,0.0325108879493305)); #159208=CARTESIAN_POINT('Ctrl Pts',(-2.08249717503341,0.942116198157756, 0.205102367928952)); #159209=CARTESIAN_POINT('Ctrl Pts',(-2.00160311391844,1.0521126310401,0.147571874602411)); #159210=CARTESIAN_POINT('Ctrl Pts',(-1.92070905280346,1.16210906392245, 0.0900413812758709)); #159211=CARTESIAN_POINT('Ctrl Pts',(-1.83981499168849,1.27210549680479, 0.0325108879493305)); #159212=CARTESIAN_POINT('Ctrl Pts',(-1.84058546733976,1.27105783555383, 0.0330588372512111)); #159213=CARTESIAN_POINT('Ctrl Pts',(-1.83749827775836,1.32448615623807, 0.0326871932372817)); #159214=CARTESIAN_POINT('Ctrl Pts',(-1.83144774201302,1.43251223352499, 0.0328389353828632)); #159215=CARTESIAN_POINT('Ctrl Pts',(-1.82036216561571,1.57831334140277, 0.0326386925807356)); #159216=CARTESIAN_POINT('Ctrl Pts',(-1.81211897061587,1.67036318613306, 0.032513135710714)); #159217=CARTESIAN_POINT('Ctrl Pts',(-1.80845754233389,1.70870142529298, 0.0324462890633348)); #159218=CARTESIAN_POINT('Ctrl Pts',(-1.79615236633517,1.14581194870684, -0.0539005704547596)); #159219=CARTESIAN_POINT('Ctrl Pts',(-1.79483348880416,1.18719195367963, -0.0553029226332474)); #159220=CARTESIAN_POINT('Ctrl Pts',(-1.79340100363166,1.22859783372933, -0.0558064147953212)); #159221=CARTESIAN_POINT('Ctrl Pts',(-1.79185255371206,1.26999168856569, -0.0554490115431093)); #159222=CARTESIAN_POINT('Ctrl Pts',(-1.79923046054637,1.1471052010292,-0.0183053716472191)); #159223=CARTESIAN_POINT('Ctrl Pts',(-1.79791889888282,1.18796235809297, -0.0197737769748408)); #159224=CARTESIAN_POINT('Ctrl Pts',(-1.79646106023398,1.22889443632597, -0.0205671463188567)); #159225=CARTESIAN_POINT('Ctrl Pts',(-1.79488352105933,1.26980960771269, -0.0209094659043542)); #159226=CARTESIAN_POINT('Ctrl Pts',(-1.81842449691633,1.14885379956916, 0.015566470183011)); #159227=CARTESIAN_POINT('Ctrl Pts',(-1.81703828547951,1.18924145206574, 0.0140429888192796)); #159228=CARTESIAN_POINT('Ctrl Pts',(-1.81527643059402,1.22974334679825, 0.0129933749323638)); #159229=CARTESIAN_POINT('Ctrl Pts',(-1.81302460051013,1.27020648644984, 0.0120380391281379)); #159230=CARTESIAN_POINT('Ctrl Pts',(-1.84737122912516,1.15047804535094, 0.0364856925337943)); #159231=CARTESIAN_POINT('Ctrl Pts',(-1.84588030576936,1.19060856090391, 0.0349841435786339)); #159232=CARTESIAN_POINT('Ctrl Pts',(-1.84373619614661,1.23087295147808, 0.0339695189982668)); #159233=CARTESIAN_POINT('Ctrl Pts',(-1.84058546733976,1.27105783555383, 0.0330588372512102)); #159234=CARTESIAN_POINT('',(-1.79185255371206,1.26999168856569,-0.0554490115431093)); #159235=CARTESIAN_POINT('Origin',(-1.91628630719725,1.27474053726787,-0.0663434793865667)); #159236=CARTESIAN_POINT('Ctrl Pts',(-1.79185255371206,1.26999168856569, -0.0554490115431093)); #159237=CARTESIAN_POINT('Ctrl Pts',(-1.79340100363166,1.22859783372933, -0.0558064147953212)); #159238=CARTESIAN_POINT('Ctrl Pts',(-1.79483348880416,1.18719195367963, -0.0553029226332474)); #159239=CARTESIAN_POINT('Ctrl Pts',(-1.79615236633517,1.14581194870684, -0.0539005704547596)); #159240=CARTESIAN_POINT('Origin',(-1.92062471207856,1.14940996420771,-0.064795038298217)); #159241=CARTESIAN_POINT('Ctrl Pts',(-1.79185255371207,1.26999168856569, -0.0554490115431079)); #159242=CARTESIAN_POINT('Ctrl Pts',(-1.78983941307784,1.3238078631307,-0.0549843513686163)); #159243=CARTESIAN_POINT('Ctrl Pts',(-1.78519582303516,1.43141367089189, -0.0537172047438634)); #159244=CARTESIAN_POINT('Ctrl Pts',(-1.77634992751396,1.59272209851023, -0.0511376837283918)); #159245=CARTESIAN_POINT('Ctrl Pts',(-1.76208574728688,1.8076247756865,-0.0464058660802005)); #159246=CARTESIAN_POINT('Ctrl Pts',(-1.73959963102825,2.07587043371553, -0.0383939754466609)); #159247=CARTESIAN_POINT('Ctrl Pts',(-1.71679731036338,2.28987414381396, -0.028286841394119)); #159248=CARTESIAN_POINT('Ctrl Pts',(-1.70397497682735,2.39676228076113, -0.0247415264685573)); #159249=CARTESIAN_POINT('Ctrl Pts',(-1.79488352105933,1.26980960771269, -0.0209094659043531)); #159250=CARTESIAN_POINT('Ctrl Pts',(-1.79284731900082,1.32343349888162, -0.0209069061783804)); #159251=CARTESIAN_POINT('Ctrl Pts',(-1.7881341119168,1.43110131833788,-0.0202251956800509)); #159252=CARTESIAN_POINT('Ctrl Pts',(-1.77919007119259,1.59224818352889, -0.0191228639033597)); #159253=CARTESIAN_POINT('Ctrl Pts',(-1.76472777018211,1.80703208269293, -0.0168649614250407)); #159254=CARTESIAN_POINT('Ctrl Pts',(-1.74191531251611,2.07511846157119, -0.0130670673539431)); #159255=CARTESIAN_POINT('Ctrl Pts',(-1.71861391881851,2.2891180138283,-0.00860264514621829)); #159256=CARTESIAN_POINT('Ctrl Pts',(-1.70551540738555,2.39638985127414, -0.00778497440776794)); #159257=CARTESIAN_POINT('Ctrl Pts',(-1.81302460051013,1.27020648644984, 0.0120380391281388)); #159258=CARTESIAN_POINT('Ctrl Pts',(-1.81053705625588,1.32368477985853, 0.0116359823132153)); #159259=CARTESIAN_POINT('Ctrl Pts',(-1.80524157787878,1.43150809094671, 0.0117926917197116)); #159260=CARTESIAN_POINT('Ctrl Pts',(-1.79489502085147,1.59258754473249, 0.0115834691879206)); #159261=CARTESIAN_POINT('Ctrl Pts',(-1.77824179880026,1.80731101820641, 0.0116032691208775)); #159262=CARTESIAN_POINT('Ctrl Pts',(-1.75193217972543,2.07516535579054, 0.0115430477620142)); #159263=CARTESIAN_POINT('Ctrl Pts',(-1.72506848837262,2.28890403448892, 0.010657881851325)); #159264=CARTESIAN_POINT('Ctrl Pts',(-1.71054354567492,2.3964462644718,0.00885625998713652)); #159265=CARTESIAN_POINT('Ctrl Pts',(-1.84058546733976,1.27105783555383, 0.0330588372512111)); #159266=CARTESIAN_POINT('Ctrl Pts',(-1.83749827775836,1.32448615623807, 0.0326871932372817)); #159267=CARTESIAN_POINT('Ctrl Pts',(-1.83144774201302,1.43251223352499, 0.0328389353828632)); #159268=CARTESIAN_POINT('Ctrl Pts',(-1.81919608565391,1.5936500044873,0.0326176292538787)); #159269=CARTESIAN_POINT('Ctrl Pts',(-1.79948161255572,1.80839511212783, 0.0323250507676206)); #159270=CARTESIAN_POINT('Ctrl Pts',(-1.76806503272656,2.07601814235001, 0.0313601273553332)); #159271=CARTESIAN_POINT('Ctrl Pts',(-1.73550550300123,2.28924822383692, 0.0274967442448274)); #159272=CARTESIAN_POINT('Ctrl Pts',(-1.71864965531256,2.39692692331676, 0.0238261043896352)); #159273=CARTESIAN_POINT('Origin',(-1.82756964138375,2.41195008717289,-0.0356359943120147)); #159274=CARTESIAN_POINT('Ctrl Pts',(-1.70397497682735,2.39676228076113, -0.0247415264685573)); #159275=CARTESIAN_POINT('Ctrl Pts',(-1.71679731036338,2.28987414381396, -0.028286841394119)); #159276=CARTESIAN_POINT('Ctrl Pts',(-1.73959963102825,2.07587043371553, -0.0383939754466609)); #159277=CARTESIAN_POINT('Ctrl Pts',(-1.76208574728688,1.8076247756865,-0.0464058660802005)); #159278=CARTESIAN_POINT('Ctrl Pts',(-1.77634992751396,1.59272209851023, -0.0511376837283918)); #159279=CARTESIAN_POINT('Ctrl Pts',(-1.78519582303516,1.43141367089189, -0.0537172047438634)); #159280=CARTESIAN_POINT('Ctrl Pts',(-1.78983941307784,1.3238078631307,-0.0549843513686163)); #159281=CARTESIAN_POINT('Ctrl Pts',(-1.79185255371207,1.26999168856569, -0.0554490115431079)); #159282=CARTESIAN_POINT('Ctrl Pts',(-1.79385901036462,1.06300847399489, -0.053006298339785)); #159283=CARTESIAN_POINT('Ctrl Pts',(-1.79628345387231,1.09053053626192, -0.0524689634009265)); #159284=CARTESIAN_POINT('Ctrl Pts',(-1.79704538189889,1.11820990840809, -0.0528134890813219)); #159285=CARTESIAN_POINT('Ctrl Pts',(-1.79615236633545,1.14581194869772, -0.0539005704545569)); #159286=CARTESIAN_POINT('Ctrl Pts',(-1.79684152447083,1.06216736517128, -0.0182151354443512)); #159287=CARTESIAN_POINT('Ctrl Pts',(-1.79940836926702,1.09046786314056, -0.0169133149252451)); #159288=CARTESIAN_POINT('Ctrl Pts',(-1.80019253347928,1.1188075389633,-0.0171842831575579)); #159289=CARTESIAN_POINT('Ctrl Pts',(-1.7992304605466,1.14710520102094,-0.0183053716469953)); #159290=CARTESIAN_POINT('Ctrl Pts',(-1.81512318514327,1.06000941465447, 0.0149535470934435)); #159291=CARTESIAN_POINT('Ctrl Pts',(-1.81858338115988,1.08961579006072, 0.0169244305264528)); #159292=CARTESIAN_POINT('Ctrl Pts',(-1.81948683212788,1.11924129112415, 0.0167194588368192)); #159293=CARTESIAN_POINT('Ctrl Pts',(-1.81842449691654,1.14885379956214, 0.0155664701832502)); #159294=CARTESIAN_POINT('Ctrl Pts',(-1.84289263460191,1.05722058980539, 0.0359561184310027)); #159295=CARTESIAN_POINT('Ctrl Pts',(-1.8474836649636,1.0882697394965,0.0378732965913855)); #159296=CARTESIAN_POINT('Ctrl Pts',(-1.84852696948366,1.11936950028991, 0.0376496696897838)); #159297=CARTESIAN_POINT('Ctrl Pts',(-1.84737122912537,1.15047804534515, 0.0364856925340114)); #159298=CARTESIAN_POINT('Ctrl Pts',(-1.70397497682735,2.39676228076113, -0.02474152646856)); #159299=CARTESIAN_POINT('Ctrl Pts',(-1.70303636941658,2.40461750562479, -0.0244389172440533)); #159300=CARTESIAN_POINT('Ctrl Pts',(-1.70193804990561,2.41246415279862, -0.0244723205617267)); #159301=CARTESIAN_POINT('Ctrl Pts',(-1.70067939794858,2.42027014592103, -0.0249018923711637)); #159302=CARTESIAN_POINT('Ctrl Pts',(-1.70551540738555,2.39638985127414, -0.00778497440777067)); #159303=CARTESIAN_POINT('Ctrl Pts',(-1.70450978629029,2.40467739485955, -0.00771646282863645)); #159304=CARTESIAN_POINT('Ctrl Pts',(-1.70332531280623,2.41297177183823, -0.00799910487786322)); #159305=CARTESIAN_POINT('Ctrl Pts',(-1.70196478783707,2.42123805621852, -0.00867703123507755)); #159306=CARTESIAN_POINT('Ctrl Pts',(-1.71054354567492,2.3964462644718,0.00885625998713373)); #159307=CARTESIAN_POINT('Ctrl Pts',(-1.70936254949496,2.40519143052738, 0.00870046155780384)); #159308=CARTESIAN_POINT('Ctrl Pts',(-1.70798701321893,2.41395558248396, 0.00817778067519157)); #159309=CARTESIAN_POINT('Ctrl Pts',(-1.70642886182054,2.42270271554585, 0.00726001346649194)); #159310=CARTESIAN_POINT('Ctrl Pts',(-1.71864965531256,2.39692692331675, 0.0238261043896324)); #159311=CARTESIAN_POINT('Ctrl Pts',(-1.71721092981015,2.40611780436105, 0.023512798095735)); #159312=CARTESIAN_POINT('Ctrl Pts',(-1.71556509508214,2.41533899890545, 0.0228149496992359)); #159313=CARTESIAN_POINT('Ctrl Pts',(-1.71373752070942,2.42455450620939, 0.0217164851307403)); #159314=CARTESIAN_POINT('Ctrl Pts',(-1.14648785259763,3.01167419431388, -0.0452752230755715)); #159315=CARTESIAN_POINT('Ctrl Pts',(-1.14326918605588,3.01240755125624, -0.0452629747251381)); #159316=CARTESIAN_POINT('Ctrl Pts',(-1.14004490849443,3.01311659197802, -0.04527681693439)); #159317=CARTESIAN_POINT('Ctrl Pts',(-1.1368156880371,3.01380123847911,-0.0453160142462715)); #159318=CARTESIAN_POINT('Ctrl Pts',(-1.14714028012271,3.01412554267636, -0.0163000133973136)); #159319=CARTESIAN_POINT('Ctrl Pts',(-1.14378310771935,3.01489274210677, -0.016263541267087)); #159320=CARTESIAN_POINT('Ctrl Pts',(-1.14042108821376,3.01563438550982, -0.0162530899991793)); #159321=CARTESIAN_POINT('Ctrl Pts',(-1.13705549888783,3.01634863532615, -0.0162672755242982)); #159322=CARTESIAN_POINT('Ctrl Pts',(-1.15012040640149,3.02680998576475, 0.0116441511988585)); #159323=CARTESIAN_POINT('Ctrl Pts',(-1.14658205707276,3.02763920903622, 0.0117027448682542)); #159324=CARTESIAN_POINT('Ctrl Pts',(-1.14304029081635,3.02844133642366, 0.011735556482488)); #159325=CARTESIAN_POINT('Ctrl Pts',(-1.13949543194039,3.02921475301209, 0.0117440565051401)); #159326=CARTESIAN_POINT('Ctrl Pts',(-1.15475157234648,3.04684742962244, 0.0322123473280409)); #159327=CARTESIAN_POINT('Ctrl Pts',(-1.15102963121809,3.04774829870632, 0.0322761754360307)); #159328=CARTESIAN_POINT('Ctrl Pts',(-1.14730572442501,3.04862053233086, 0.0323142908118826)); #159329=CARTESIAN_POINT('Ctrl Pts',(-1.14357881173665,3.04946416183335, 0.0323271421121907)); #159330=CARTESIAN_POINT('Ctrl Pts',(1.71373752070811,2.42455450621911,0.0217164851307927)); #159331=CARTESIAN_POINT('Ctrl Pts',(1.71249802684727,2.43523200508484,0.0221226219283345)); #159332=CARTESIAN_POINT('Ctrl Pts',(1.71102544068412,2.44589038943368,0.0225113222628571)); #159333=CARTESIAN_POINT('Ctrl Pts',(1.70931855945506,2.45651944061699,0.0228833245106937)); #159334=CARTESIAN_POINT('Ctrl Pts',(1.70642886181911,2.42270271555536,0.00726001346639689)); #159335=CARTESIAN_POINT('Ctrl Pts',(1.704922996001,2.43322494800341,0.00740650762411202)); #159336=CARTESIAN_POINT('Ctrl Pts',(1.70318995270821,2.44371769654262,0.00754705723874498)); #159337=CARTESIAN_POINT('Ctrl Pts',(1.70122596909685,2.45416949314069,0.00768368371184854)); #159338=CARTESIAN_POINT('Ctrl Pts',(1.70196478783557,2.42123805622785,-0.0086770312353736)); #159339=CARTESIAN_POINT('Ctrl Pts',(1.70030462551809,2.43166198321564,-0.00888294691075633)); #159340=CARTESIAN_POINT('Ctrl Pts',(1.69841917547691,2.44205030365983,-0.00908559838321758)); #159341=CARTESIAN_POINT('Ctrl Pts',(1.69630558738473,2.4523916092318,-0.00928340638543272)); #159342=CARTESIAN_POINT('Ctrl Pts',(1.70067939794709,2.42027014593024,-0.0249018923716686)); #159343=CARTESIAN_POINT('Ctrl Pts',(1.69900332423323,2.43066493363057,-0.0254739282025605)); #159344=CARTESIAN_POINT('Ctrl Pts',(1.69710114288893,2.44102362812873,-0.0260331717272446)); #159345=CARTESIAN_POINT('Ctrl Pts',(1.69497454397251,2.4513365105503,-0.0265795459104275)); #159346=CARTESIAN_POINT('Origin',(1.82370871435926,2.43950807392577,-0.0357963602151259)); #159347=CARTESIAN_POINT('Ctrl Pts',(1.1368156880371,3.01380123847911,-0.0453160142462719)); #159348=CARTESIAN_POINT('Ctrl Pts',(1.14004490849443,3.01311659197802,-0.0452768169343904)); #159349=CARTESIAN_POINT('Ctrl Pts',(1.14326918605587,3.01240755125624,-0.0452629747251376)); #159350=CARTESIAN_POINT('Ctrl Pts',(1.14648785259763,3.01167419431388,-0.045275223075571)); #159351=CARTESIAN_POINT('Ctrl Pts',(1.13705549888782,3.01634863532615,-0.0162672755242987)); #159352=CARTESIAN_POINT('Ctrl Pts',(1.14042108821375,3.01563438550982,-0.0162530899991798)); #159353=CARTESIAN_POINT('Ctrl Pts',(1.14378310771934,3.01489274210677,-0.0162635412670865)); #159354=CARTESIAN_POINT('Ctrl Pts',(1.14714028012271,3.01412554267636,-0.0163000133973131)); #159355=CARTESIAN_POINT('Ctrl Pts',(1.13949543194038,3.02921475301209,0.0117440565051395)); #159356=CARTESIAN_POINT('Ctrl Pts',(1.14304029081634,3.02844133642365,0.0117355564824874)); #159357=CARTESIAN_POINT('Ctrl Pts',(1.14658205707275,3.02763920903622,0.0117027448682547)); #159358=CARTESIAN_POINT('Ctrl Pts',(1.15012040640149,3.02680998576475,0.0116441511988591)); #159359=CARTESIAN_POINT('Ctrl Pts',(1.14357881173665,3.04946416183334,0.0323271421121901)); #159360=CARTESIAN_POINT('Ctrl Pts',(1.147305724425,3.04862053233086,0.0323142908118819)); #159361=CARTESIAN_POINT('Ctrl Pts',(1.15102963121808,3.04774829870631,0.0322761754360312)); #159362=CARTESIAN_POINT('Ctrl Pts',(1.15475157234648,3.04684742962244,0.0322123473280415)); #159363=CARTESIAN_POINT('Ctrl Pts',(1.84737122912515,1.15047804535094,0.0364856925337941)); #159364=CARTESIAN_POINT('Ctrl Pts',(1.84588030576935,1.19060856090391,0.0349841435786339)); #159365=CARTESIAN_POINT('Ctrl Pts',(1.8437361961466,1.23087295147807,0.0339695189982666)); #159366=CARTESIAN_POINT('Ctrl Pts',(1.84058546733976,1.27105783555382,0.0330588372512101)); #159367=CARTESIAN_POINT('Ctrl Pts',(1.81842449691632,1.14885379956916,0.0155664701830108)); #159368=CARTESIAN_POINT('Ctrl Pts',(1.8170382854795,1.18924145206574,0.0140429888192797)); #159369=CARTESIAN_POINT('Ctrl Pts',(1.81527643059401,1.22974334679824,0.0129933749323636)); #159370=CARTESIAN_POINT('Ctrl Pts',(1.81302460051012,1.27020648644983,0.0120380391281378)); #159371=CARTESIAN_POINT('Ctrl Pts',(1.79923046054636,1.1471052010292,-0.0183053716472192)); #159372=CARTESIAN_POINT('Ctrl Pts',(1.79791889888281,1.18796235809297,-0.0197737769748407)); #159373=CARTESIAN_POINT('Ctrl Pts',(1.79646106023398,1.22889443632596,-0.0205671463188568)); #159374=CARTESIAN_POINT('Ctrl Pts',(1.79488352105933,1.26980960771268,-0.0209094659043542)); #159375=CARTESIAN_POINT('Ctrl Pts',(1.79615236633516,1.14581194870684,-0.0539005704547596)); #159376=CARTESIAN_POINT('Ctrl Pts',(1.79483348880416,1.18719195367963,-0.0553029226332472)); #159377=CARTESIAN_POINT('Ctrl Pts',(1.79340100363166,1.22859783372931,-0.0558064147953211)); #159378=CARTESIAN_POINT('Ctrl Pts',(1.79185255371206,1.26999168856568,-0.0554490115431092)); #159379=CARTESIAN_POINT('',(1.79615236633557,1.14581194869258,-0.0539005704547596)); #159380=CARTESIAN_POINT('Origin',(1.92062471207856,1.14940996420772,-0.0647950382982172)); #159381=CARTESIAN_POINT('Ctrl Pts',(1.79615236633516,1.14581194870684,-0.0539005704547596)); #159382=CARTESIAN_POINT('Ctrl Pts',(1.79483348880416,1.18719195367963,-0.0553029226332472)); #159383=CARTESIAN_POINT('Ctrl Pts',(1.79340100363166,1.22859783372931,-0.0558064147953211)); #159384=CARTESIAN_POINT('Ctrl Pts',(1.79185255371206,1.26999168856568,-0.0554490115431092)); #159385=CARTESIAN_POINT('Ctrl Pts',(1.70067939794858,2.42027014592102,-0.0249018923711654)); #159386=CARTESIAN_POINT('Ctrl Pts',(1.70193804990561,2.41246415279861,-0.0244723205617284)); #159387=CARTESIAN_POINT('Ctrl Pts',(1.70303636941657,2.40461750562478,-0.0244389172440552)); #159388=CARTESIAN_POINT('Ctrl Pts',(1.70397497682735,2.39676228076112,-0.0247415264685619)); #159389=CARTESIAN_POINT('Ctrl Pts',(1.70196478783706,2.42123805621851,-0.00867703123507931)); #159390=CARTESIAN_POINT('Ctrl Pts',(1.70332531280623,2.41297177183823,-0.00799910487786491)); #159391=CARTESIAN_POINT('Ctrl Pts',(1.70450978629028,2.40467739485955,-0.00771646282863813)); #159392=CARTESIAN_POINT('Ctrl Pts',(1.70551540738554,2.39638985127413,-0.00778497440777262)); #159393=CARTESIAN_POINT('Ctrl Pts',(1.70642886182054,2.42270271554584,0.00726001346649018)); #159394=CARTESIAN_POINT('Ctrl Pts',(1.70798701321892,2.41395558248395,0.00817778067518995)); #159395=CARTESIAN_POINT('Ctrl Pts',(1.70936254949496,2.40519143052739,0.00870046155780239)); #159396=CARTESIAN_POINT('Ctrl Pts',(1.71054354567491,2.39644626447179,0.0088562599871318)); #159397=CARTESIAN_POINT('Ctrl Pts',(1.71373752070941,2.42455450620938,0.0217164851307386)); #159398=CARTESIAN_POINT('Ctrl Pts',(1.71556509508214,2.41533899890544,0.0228149496992343)); #159399=CARTESIAN_POINT('Ctrl Pts',(1.71721092981014,2.40611780436106,0.0235127980957338)); #159400=CARTESIAN_POINT('Ctrl Pts',(1.71864965531255,2.39692692331675,0.0238261043896305)); #159401=CARTESIAN_POINT('Ctrl Pts',(1.71373752070941,2.42455450620938,0.0217164851307386)); #159402=CARTESIAN_POINT('Ctrl Pts',(1.71556509508214,2.41533899890544,0.0228149496992343)); #159403=CARTESIAN_POINT('Ctrl Pts',(1.71721092981014,2.40611780436106,0.0235127980957338)); #159404=CARTESIAN_POINT('Ctrl Pts',(1.71864965531255,2.39692692331675,0.0238261043896305)); #159405=CARTESIAN_POINT('Ctrl Pts',(1.81619511821547,0.898614499265832, 0.0330142392630386)); #159406=CARTESIAN_POINT('Ctrl Pts',(1.82183441853983,0.920719100246005, 0.0331767894750116)); #159407=CARTESIAN_POINT('Ctrl Pts',(1.82799510588013,0.950424625241069, 0.0333321135880287)); #159408=CARTESIAN_POINT('Ctrl Pts',(1.83374431414946,0.987917693449573, 0.0336282084092161)); #159409=CARTESIAN_POINT('Ctrl Pts',(1.83664824820456,1.01052212889592,0.0338584307026451)); #159410=CARTESIAN_POINT('Ctrl Pts',(1.83858817425307,1.02941407318104,0.0340689675619776)); #159411=CARTESIAN_POINT('Ctrl Pts',(1.84004874352828,1.04286120863361,0.0344679114142604)); #159412=CARTESIAN_POINT('Ctrl Pts',(1.84080488237745,1.04963753799239,0.0347261053249825)); #159413=CARTESIAN_POINT('Ctrl Pts',(1.84163205498659,1.05375224214186,0.035165439453231)); #159414=CARTESIAN_POINT('Ctrl Pts',(1.84189572600478,1.05572459504649,0.0352809092560719)); #159415=CARTESIAN_POINT('Ctrl Pts',(1.84289263460189,1.05722058980537,0.0359561184309989)); #159416=CARTESIAN_POINT('Ctrl Pts',(1.78729767917046,0.908240361161684, 0.0122527194274968)); #159417=CARTESIAN_POINT('Ctrl Pts',(1.79325399594354,0.929312614093117, 0.0123296745145898)); #159418=CARTESIAN_POINT('Ctrl Pts',(1.79991545067598,0.957729737376438, 0.01241362112411)); #159419=CARTESIAN_POINT('Ctrl Pts',(1.80627803879579,0.993661500971096, 0.0126389200007655)); #159420=CARTESIAN_POINT('Ctrl Pts',(1.80951153404912,1.01535329268559,0.0128418769509004)); #159421=CARTESIAN_POINT('Ctrl Pts',(1.81170727231624,1.03350438341662,0.0130357070251864)); #159422=CARTESIAN_POINT('Ctrl Pts',(1.81314501587078,1.04639691657015,0.0134317132177432)); #159423=CARTESIAN_POINT('Ctrl Pts',(1.81383728777791,1.05288650547466,0.0136881155035035)); #159424=CARTESIAN_POINT('Ctrl Pts',(1.81440570756388,1.05678531039569,0.0141373951364727)); #159425=CARTESIAN_POINT('Ctrl Pts',(1.81461551356604,1.05866750397756,0.0142516011933463)); #159426=CARTESIAN_POINT('Ctrl Pts',(1.81512318514326,1.06000941465445,0.0149535470934398)); #159427=CARTESIAN_POINT('Ctrl Pts',(1.76816537482052,0.915457720344649, -0.0225736893792476)); #159428=CARTESIAN_POINT('Ctrl Pts',(1.77438332041006,0.935810717659379, -0.0220927633473857)); #159429=CARTESIAN_POINT('Ctrl Pts',(1.7814520047213,0.96333026913649,-0.0214504831958473)); #159430=CARTESIAN_POINT('Ctrl Pts',(1.78827729014938,0.998178023483345, -0.0206041840753591)); #159431=CARTESIAN_POINT('Ctrl Pts',(1.79175523393514,1.01922581662142,-0.0200702861000852)); #159432=CARTESIAN_POINT('Ctrl Pts',(1.79413688346875,1.03685264954324,-0.0196284444840324)); #159433=CARTESIAN_POINT('Ctrl Pts',(1.79554669899306,1.04931138985507,-0.0192018078851679)); #159434=CARTESIAN_POINT('Ctrl Pts',(1.79618546237179,1.05556844509691,-0.0189684116362322)); #159435=CARTESIAN_POINT('Ctrl Pts',(1.79654977260897,1.05924702611275,-0.0186798891049903)); #159436=CARTESIAN_POINT('Ctrl Pts',(1.79671766242582,1.0610505742841,-0.0185975767779665)); #159437=CARTESIAN_POINT('Ctrl Pts',(1.79684152447082,1.06216736517126,-0.0182151354443541)); #159438=CARTESIAN_POINT('Ctrl Pts',(1.76549895861566,0.917738823567613, -0.0592676493616214)); #159439=CARTESIAN_POINT('Ctrl Pts',(1.7716770139423,0.937997192817921,-0.0583157496792093)); #159440=CARTESIAN_POINT('Ctrl Pts',(1.77872230635909,0.965372467888966, -0.0570381466208311)); #159441=CARTESIAN_POINT('Ctrl Pts',(1.78549294332266,1.00002280139807,-0.0554789510672254)); #159442=CARTESIAN_POINT('Ctrl Pts',(1.78894039701893,1.02092508114371,-0.0545681355461741)); #159443=CARTESIAN_POINT('Ctrl Pts',(1.79129686264712,1.03842244342548,-0.0538443719013342)); #159444=CARTESIAN_POINT('Ctrl Pts',(1.79267194981263,1.05070480183515,-0.0533829043536546)); #159445=CARTESIAN_POINT('Ctrl Pts',(1.79328974791143,1.05685391840061,-0.0531752927644051)); #159446=CARTESIAN_POINT('Ctrl Pts',(1.79362225553936,1.06036998143856,-0.0530699716308602)); #159447=CARTESIAN_POINT('Ctrl Pts',(1.79378151728984,1.06212877965591,-0.0530234733065195)); #159448=CARTESIAN_POINT('Ctrl Pts',(1.79385901036461,1.06300847399488,-0.053006298339787)); #159449=CARTESIAN_POINT('',(1.79385901036461,1.06300847399488,-0.0530062983397871)); #159450=CARTESIAN_POINT('Ctrl Pts',(1.76666305584109,0.921594630119366, -0.0590865565341346)); #159451=CARTESIAN_POINT('Ctrl Pts',(1.77234323414934,0.940600951014602, -0.0581943371405485)); #159452=CARTESIAN_POINT('Ctrl Pts',(1.77897982080347,0.966690358868672, -0.0569788441683697)); #159453=CARTESIAN_POINT('Ctrl Pts',(1.78549294332266,1.00002280139807,-0.0554789510672254)); #159454=CARTESIAN_POINT('Ctrl Pts',(1.78894039701893,1.02092508114371,-0.0545681355461741)); #159455=CARTESIAN_POINT('Ctrl Pts',(1.79129686264712,1.03842244342548,-0.0538443719013342)); #159456=CARTESIAN_POINT('Ctrl Pts',(1.79267194981263,1.05070480183515,-0.0533829043536546)); #159457=CARTESIAN_POINT('Ctrl Pts',(1.79328974791143,1.05685391840061,-0.0531752927644051)); #159458=CARTESIAN_POINT('Ctrl Pts',(1.79362225553936,1.06036998143856,-0.0530699716308602)); #159459=CARTESIAN_POINT('Ctrl Pts',(1.79378151728984,1.06212877965591,-0.0530234733065195)); #159460=CARTESIAN_POINT('Ctrl Pts',(1.79385901036461,1.06300847399488,-0.053006298339787)); #159461=CARTESIAN_POINT('Origin',(1.91792139978313,1.0522924065178,-0.0639007661832443)); #159462=CARTESIAN_POINT('Ctrl Pts',(1.84289263460189,1.05722058980537,0.0359561184309989)); #159463=CARTESIAN_POINT('Ctrl Pts',(1.84189572600478,1.05572459504649,0.0352809092560719)); #159464=CARTESIAN_POINT('Ctrl Pts',(1.84163205498659,1.05375224214186,0.035165439453231)); #159465=CARTESIAN_POINT('Ctrl Pts',(1.84080488237745,1.04963753799239,0.0347261053249825)); #159466=CARTESIAN_POINT('Ctrl Pts',(1.84004874352828,1.04286120863361,0.0344679114142604)); #159467=CARTESIAN_POINT('Ctrl Pts',(1.83858817425307,1.02941407318104,0.0340689675619776)); #159468=CARTESIAN_POINT('Ctrl Pts',(1.83664824820456,1.01052212889592,0.0338584307026451)); #159469=CARTESIAN_POINT('Ctrl Pts',(1.83374431414946,0.987917693449573, 0.0336282084092161)); #159470=CARTESIAN_POINT('Ctrl Pts',(1.82799510589079,0.950424625310579, 0.0333321135885776)); #159471=CARTESIAN_POINT('Ctrl Pts',(1.82183441856839,0.920719100383687, 0.0331767894757315)); #159472=CARTESIAN_POINT('Ctrl Pts',(1.81619511826774,0.898614499470737, 0.0330142392645454)); #159473=CARTESIAN_POINT('Ctrl Pts',(1.79615236633544,1.14581194869772,-0.0539005704545578)); #159474=CARTESIAN_POINT('Ctrl Pts',(1.79704538189889,1.11820990840809,-0.0528134890813227)); #159475=CARTESIAN_POINT('Ctrl Pts',(1.7962834538723,1.09053053626192,-0.0524689634009285)); #159476=CARTESIAN_POINT('Ctrl Pts',(1.79385901036461,1.0630084739949,-0.0530062983397871)); #159477=CARTESIAN_POINT('Ctrl Pts',(1.79923046054659,1.14710520102094,-0.0183053716469963)); #159478=CARTESIAN_POINT('Ctrl Pts',(1.80019253347927,1.11880753896331,-0.0171842831575591)); #159479=CARTESIAN_POINT('Ctrl Pts',(1.79940836926701,1.09046786314056,-0.0169133149252475)); #159480=CARTESIAN_POINT('Ctrl Pts',(1.79684152447082,1.06216736517128,-0.0182151354443537)); #159481=CARTESIAN_POINT('Ctrl Pts',(1.81842449691653,1.14885379956214,0.0155664701832492)); #159482=CARTESIAN_POINT('Ctrl Pts',(1.81948683212788,1.11924129112417,0.0167194588368179)); #159483=CARTESIAN_POINT('Ctrl Pts',(1.81858338115987,1.08961579006072,0.0169244305264501)); #159484=CARTESIAN_POINT('Ctrl Pts',(1.81512318514327,1.06000941465447,0.0149535470934407)); #159485=CARTESIAN_POINT('Ctrl Pts',(1.84737122912536,1.15047804534515,0.0364856925340105)); #159486=CARTESIAN_POINT('Ctrl Pts',(1.84852696948365,1.11936950028992,0.0376496696897824)); #159487=CARTESIAN_POINT('Ctrl Pts',(1.84748366496359,1.0882697394965,0.0378732965913827)); #159488=CARTESIAN_POINT('Ctrl Pts',(1.8428926346019,1.0572205898054,0.0359561184309998)); #159489=CARTESIAN_POINT('Ctrl Pts',(1.79385901036461,1.0630084739949,-0.0530062983397871)); #159490=CARTESIAN_POINT('Ctrl Pts',(1.7962834538723,1.09053053626192,-0.0524689634009285)); #159491=CARTESIAN_POINT('Ctrl Pts',(1.79704538189889,1.11820990840809,-0.0528134890813227)); #159492=CARTESIAN_POINT('Ctrl Pts',(1.79615236633544,1.14581194869772,-0.0539005704545578)); #159493=CARTESIAN_POINT('Origin',(11.635495729502,0.7575548,0.)); #159494=CARTESIAN_POINT('',(-1.75966242925762,1.14476153680585,-0.471155229208354)); #159495=CARTESIAN_POINT('Ctrl Pts',(-1.75966242925731,1.14476153680584, -0.471155229208327)); #159496=CARTESIAN_POINT('Ctrl Pts',(-1.7499564052567,1.56094062802774,-0.444600086429527)); #159497=CARTESIAN_POINT('Ctrl Pts',(-1.71881576283334,1.97831410834193, -0.43972901671186)); #159498=CARTESIAN_POINT('Ctrl Pts',(-1.6681410170413,2.39235886054755,-0.43740643106392)); #159499=CARTESIAN_POINT('',(-1.75967247387596,1.14475745562037,-0.471041814479647)); #159500=CARTESIAN_POINT('Ctrl Pts',(-1.75967247387596,1.14475745566827, -0.471041814475465)); #159501=CARTESIAN_POINT('Ctrl Pts',(-1.75966920189512,1.14475736108793, -0.471079229008866)); #159502=CARTESIAN_POINT('Ctrl Pts',(-1.75966584883349,1.14475868626644, -0.471117101025268)); #159503=CARTESIAN_POINT('Ctrl Pts',(-1.75966242925796,1.1447615367766,-0.471155229210571)); #159504=CARTESIAN_POINT('',(-1.8008660863099,1.14594820409675,8.95171950563906E-14)); #159505=CARTESIAN_POINT('Origin',(0.,-2.320811,0.)); #159506=CARTESIAN_POINT('Ctrl Pts',(-1.12819064051839,2.97332740933796, -0.518321670552577)); #159507=CARTESIAN_POINT('Ctrl Pts',(-0.811570150459831,3.03793338171427, -0.550365674756739)); #159508=CARTESIAN_POINT('Ctrl Pts',(-0.0556550877424329,3.12577411305909, -0.594876199665759)); #159509=CARTESIAN_POINT('Ctrl Pts',(0.706029987118065,3.0594687058393,-0.561047009490694)); #159510=CARTESIAN_POINT('Ctrl Pts',(1.12819064052908,2.97332740933577,-0.51832167055156)); #159511=CARTESIAN_POINT('Origin',(-11.635495729502,0.757554800000017,0.)); #159512=CARTESIAN_POINT('',(1.76506095429403,1.1449132158564,-0.409425475352917)); #159513=CARTESIAN_POINT('',(1.80086608630952,1.14594820414477,0.)); #159514=CARTESIAN_POINT('',(1.75967247387595,1.14475745563074,-0.471041814478753)); #159515=CARTESIAN_POINT('',(1.80086608630988,1.145948204105,6.99353086378051E-14)); #159516=CARTESIAN_POINT('',(1.75966242925761,1.14476153680579,-0.471155229208366)); #159517=CARTESIAN_POINT('Ctrl Pts',(1.75966242925795,1.14476153677645,-0.47115522921059)); #159518=CARTESIAN_POINT('Ctrl Pts',(1.75966584883349,1.14475868626629,-0.471117101025288)); #159519=CARTESIAN_POINT('Ctrl Pts',(1.75966920189512,1.14475736108776,-0.471079229008888)); #159520=CARTESIAN_POINT('Ctrl Pts',(1.75967247387595,1.1447574556681,-0.471041814475485)); #159521=CARTESIAN_POINT('Ctrl Pts',(1.6681410170413,2.39235886054755,-0.437406431063924)); #159522=CARTESIAN_POINT('Ctrl Pts',(1.71881437603793,1.97832543933823,-0.439728953150598)); #159523=CARTESIAN_POINT('Ctrl Pts',(1.74995667995019,1.56092884960146,-0.444600837975676)); #159524=CARTESIAN_POINT('Ctrl Pts',(1.7596624292573,1.14476153680578,-0.471155229208339)); #159525=CARTESIAN_POINT('Origin',(1.07616878898938,1.125,0.)); #159526=CARTESIAN_POINT('',(1.3863232820248,0.536978392245189,-0.688036015431507)); #159527=CARTESIAN_POINT('Ctrl Pts',(1.38632328202243,0.536978392243981, -0.688036015431915)); #159528=CARTESIAN_POINT('Ctrl Pts',(1.32854512409769,0.507482544722406, -0.697938545958927)); #159529=CARTESIAN_POINT('Ctrl Pts',(1.26567387600412,0.48621057782063,-0.707397419242566)); #159530=CARTESIAN_POINT('Ctrl Pts',(1.20153622023708,0.474586045608017, -0.715680502068472)); #159531=CARTESIAN_POINT('',(1.75968520428333,1.11570847830779,-0.473437732884609)); #159532=CARTESIAN_POINT('Ctrl Pts',(1.75968520428333,1.11570847830779,-0.473437732884609)); #159533=CARTESIAN_POINT('Ctrl Pts',(1.75806883526172,1.04323221388989,-0.48065112038622)); #159534=CARTESIAN_POINT('Ctrl Pts',(1.72967282096485,0.904251761268928, -0.518564732484281)); #159535=CARTESIAN_POINT('Ctrl Pts',(1.64787281403914,0.758000162521592, -0.586326653832487)); #159536=CARTESIAN_POINT('Ctrl Pts',(1.53611580612071,0.631789308411875, -0.648032037556206)); #159537=CARTESIAN_POINT('Ctrl Pts',(1.4499964404938,0.569483647533564,-0.677123147694763)); #159538=CARTESIAN_POINT('Ctrl Pts',(1.3863232820248,0.536978392245189,-0.688036015431507)); #159539=CARTESIAN_POINT('',(1.76506095429402,1.11563540190132,-0.411986952166807)); #159540=CARTESIAN_POINT('',(1.80110181230818,1.1151454730847,6.99353086378051E-14)); #159541=CARTESIAN_POINT('Origin',(1.07616878898938,1.13027430887896,-0.410706213759852)); #159542=CARTESIAN_POINT('Ctrl Pts',(0.0449697804803055,1.77225771514641, -0.748277691346702)); #159543=CARTESIAN_POINT('Ctrl Pts',(0.129913178730722,1.77810410929293, -0.747359313556146)); #159544=CARTESIAN_POINT('Ctrl Pts',(0.324492540294095,1.83031933183681, -0.739050859841679)); #159545=CARTESIAN_POINT('Ctrl Pts',(0.479328376795685,1.96525009650649, -0.719470817778408)); #159546=CARTESIAN_POINT('Ctrl Pts',(0.542623356177088,2.05881220360607, -0.707620778424036)); #159547=CARTESIAN_POINT('Ctrl Pts',(0.0447804468818008,1.7749743069176, -0.748040927283943)); #159548=CARTESIAN_POINT('Ctrl Pts',(0.129365487340563,1.78079282233833, -0.747128548279117)); #159549=CARTESIAN_POINT('Ctrl Pts',(0.323133344042231,1.8327567649548,-0.738873688861025)); #159550=CARTESIAN_POINT('Ctrl Pts',(0.47739772755041,1.96708975315011,-0.719405834583123)); #159551=CARTESIAN_POINT('Ctrl Pts',(0.540478181989651,2.06026459974591, -0.707617903487296)); #159552=CARTESIAN_POINT('Ctrl Pts',(0.0444151394141082,1.78058558174175, -0.749937006575204)); #159553=CARTESIAN_POINT('Ctrl Pts',(0.128313110033252,1.78634863320824, -0.749029768919167)); #159554=CARTESIAN_POINT('Ctrl Pts',(0.320514448293205,1.83780866345012, -0.740824515132657)); #159555=CARTESIAN_POINT('Ctrl Pts',(0.473664894856375,1.97093963821161, -0.721459338863027)); #159556=CARTESIAN_POINT('Ctrl Pts',(0.536328199673334,2.06334168600198, -0.709722347503882)); #159557=CARTESIAN_POINT('Ctrl Pts',(0.0443036916829597,1.78305444571392, -0.755332121167777)); #159558=CARTESIAN_POINT('Ctrl Pts',(0.127985994436071,1.78880373418748, -0.754417336653902)); #159559=CARTESIAN_POINT('Ctrl Pts',(0.319694719978363,1.84015054953209, -0.746141510494301)); #159560=CARTESIAN_POINT('Ctrl Pts',(0.472360254639124,1.97291998568922, -0.726628977757736)); #159561=CARTESIAN_POINT('Ctrl Pts',(0.534796312483367,2.06502440959154, -0.714815603891533)); #159562=CARTESIAN_POINT('Ctrl Pts',(0.0443298097483679,1.78310406218616, -0.758065000846166)); #159563=CARTESIAN_POINT('Ctrl Pts',(0.128064384419798,1.78886086532196, -0.757146194586223)); #159564=CARTESIAN_POINT('Ctrl Pts',(0.319875058192269,1.84027575325419, -0.748833802243607)); #159565=CARTESIAN_POINT('Ctrl Pts',(0.472512317696901,1.97314135695512, -0.729245572587944)); #159566=CARTESIAN_POINT('Ctrl Pts',(0.534910204348595,2.06527365717756, -0.717391674424461)); #159567=CARTESIAN_POINT('Ctrl Pts',(-0.542623356177088,2.05881220360607, -0.707620778424035)); #159568=CARTESIAN_POINT('Ctrl Pts',(-0.495246719758922,1.988780457425,-0.716490597349958)); #159569=CARTESIAN_POINT('Ctrl Pts',(-0.352986459898118,1.84687127192165, -0.736362299536118)); #159570=CARTESIAN_POINT('Ctrl Pts',(-0.158503585696907,1.7800718995195, -0.74705020424958)); #159571=CARTESIAN_POINT('Ctrl Pts',(-0.0449697805447269,1.77225771515085, -0.748277691346006)); #159572=CARTESIAN_POINT('Ctrl Pts',(-0.540478181989651,2.06026459974591, -0.707617903487296)); #159573=CARTESIAN_POINT('Ctrl Pts',(-0.493262118657799,1.99052272015878, -0.716441234043802)); #159574=CARTESIAN_POINT('Ctrl Pts',(-0.351515337254886,1.84922989254735, -0.736201491932239)); #159575=CARTESIAN_POINT('Ctrl Pts',(-0.157835277574738,1.78275122909147, -0.746821458055021)); #159576=CARTESIAN_POINT('Ctrl Pts',(-0.0447804469459513,1.77497430692201, -0.748040927283251)); #159577=CARTESIAN_POINT('Ctrl Pts',(-0.536328199673334,2.06334168600198, -0.709722347503881)); #159578=CARTESIAN_POINT('Ctrl Pts',(-0.489424375103229,1.99417825052507, -0.718507549390348)); #159579=CARTESIAN_POINT('Ctrl Pts',(-0.348676585694253,1.8541214836508, -0.738169215030324)); #159580=CARTESIAN_POINT('Ctrl Pts',(-0.156551645022955,1.78828837180041, -0.74872440917982)); #159581=CARTESIAN_POINT('Ctrl Pts',(-0.0444151394777359,1.78058558174612, -0.749937006574516)); #159582=CARTESIAN_POINT('Ctrl Pts',(-0.534796312483367,2.06502440959154, -0.714815603891533)); #159583=CARTESIAN_POINT('Ctrl Pts',(-0.488062583508658,1.99608374721403, -0.723657978500085)); #159584=CARTESIAN_POINT('Ctrl Pts',(-0.347779975833965,1.85642971667631, -0.743463084938284)); #159585=CARTESIAN_POINT('Ctrl Pts',(-0.156151939534313,1.79073884040585, -0.754109436779092)); #159586=CARTESIAN_POINT('Ctrl Pts',(-0.0443036917464278,1.78305444571828, -0.755332121167084)); #159587=CARTESIAN_POINT('Ctrl Pts',(-0.534910204348595,2.06527365717756, -0.71739167442446)); #159588=CARTESIAN_POINT('Ctrl Pts',(-0.488205046719176,1.99631212922278, -0.726264381689996)); #159589=CARTESIAN_POINT('Ctrl Pts',(-0.347963864693755,1.85657396035166, -0.746143935680099)); #159590=CARTESIAN_POINT('Ctrl Pts',(-0.156247923297523,1.79079850083935, -0.756836941064634)); #159591=CARTESIAN_POINT('Ctrl Pts',(-0.0443298098118732,1.78310406219053, -0.758065000845469)); #159592=CARTESIAN_POINT('Ctrl Pts',(0.588523666318533,2.13808248914556, -0.697915363852161)); #159593=CARTESIAN_POINT('Ctrl Pts',(0.631507359928927,2.22595310261548, -0.687527878478509)); #159594=CARTESIAN_POINT('Ctrl Pts',(0.665987604441366,2.37288399629784, -0.672176373947318)); #159595=CARTESIAN_POINT('Ctrl Pts',(0.639905662024902,2.61878418420591, -0.652517662144191)); #159596=CARTESIAN_POINT('Ctrl Pts',(0.558508702456884,2.79000635792656, -0.64302607919217)); #159597=CARTESIAN_POINT('Ctrl Pts',(0.423499044608107,2.93377070574995, -0.637432697062234)); #159598=CARTESIAN_POINT('Ctrl Pts',(0.340291623263938,2.98974505125637, -0.6358617803243)); #159599=CARTESIAN_POINT('Ctrl Pts',(0.285192026668651,3.01639532638888, -0.635204743084113)); #159600=CARTESIAN_POINT('Ctrl Pts',(0.586227891512234,2.13921207382668, -0.697960735455682)); #159601=CARTESIAN_POINT('Ctrl Pts',(0.629075791495096,2.22672919010647, -0.6876243082112)); #159602=CARTESIAN_POINT('Ctrl Pts',(0.663472364667114,2.37309559407762, -0.672336578812294)); #159603=CARTESIAN_POINT('Ctrl Pts',(0.637533187688963,2.61808129702214, -0.652741964392058)); #159604=CARTESIAN_POINT('Ctrl Pts',(0.556445316057903,2.78867445804734, -0.643263509743002)); #159605=CARTESIAN_POINT('Ctrl Pts',(0.421932711790964,2.93190112362343, -0.637665540068359)); #159606=CARTESIAN_POINT('Ctrl Pts',(0.339030555707014,2.98766373019428, -0.636088487664424)); #159607=CARTESIAN_POINT('Ctrl Pts',(0.284134556430663,3.01421217982593, -0.63542788177214)); #159608=CARTESIAN_POINT('Ctrl Pts',(0.581788081894304,2.14164050252722, -0.700111292879594)); #159609=CARTESIAN_POINT('Ctrl Pts',(0.624370567886569,2.22845171087136, -0.689816429729511)); #159610=CARTESIAN_POINT('Ctrl Pts',(0.658601600513012,2.37368453465575, -0.674585046167599)); #159611=CARTESIAN_POINT('Ctrl Pts',(0.632933478201365,2.61684552070103, -0.655042060666174)); #159612=CARTESIAN_POINT('Ctrl Pts',(0.552446109003597,2.78618123306076, -0.645577284266004)); #159613=CARTESIAN_POINT('Ctrl Pts',(0.418895463422117,2.9283392339049,-0.639977199262256)); #159614=CARTESIAN_POINT('Ctrl Pts',(0.336586896340711,2.9836793892478,-0.638396064649604)); #159615=CARTESIAN_POINT('Ctrl Pts',(0.282084546161198,3.01002597693721, -0.637733012083332)); #159616=CARTESIAN_POINT('Ctrl Pts',(0.580081890821635,2.143070517664,-0.705139020135798)); #159617=CARTESIAN_POINT('Ctrl Pts',(0.622497887316271,2.22958933052952, -0.694781729550287)); #159618=CARTESIAN_POINT('Ctrl Pts',(0.656562265410745,2.37431190532764, -0.679469213770294)); #159619=CARTESIAN_POINT('Ctrl Pts',(0.630920374777407,2.61656227669411, -0.65985180099869)); #159620=CARTESIAN_POINT('Ctrl Pts',(0.550677603233499,2.78526497407114, -0.650372983648975)); #159621=CARTESIAN_POINT('Ctrl Pts',(0.417559991241722,2.92689606210396, -0.644782178241832)); #159622=CARTESIAN_POINT('Ctrl Pts',(0.335514850893899,2.98203845496933, -0.643210047525978)); #159623=CARTESIAN_POINT('Ctrl Pts',(0.281188488629414,3.00828990826001, -0.642552100060298)); #159624=CARTESIAN_POINT('Ctrl Pts',(0.58016038628224,2.14333293540163,-0.707683342735056)); #159625=CARTESIAN_POINT('Ctrl Pts',(0.62253574252167,2.22986157885407,-0.697293014336816)); #159626=CARTESIAN_POINT('Ctrl Pts',(0.65653049499878,2.37455078733227,-0.681938880640356)); #159627=CARTESIAN_POINT('Ctrl Pts',(0.630824126575173,2.61670405590138, -0.662282121997699)); #159628=CARTESIAN_POINT('Ctrl Pts',(0.550584541268325,2.78531953180546, -0.652796318616678)); #159629=CARTESIAN_POINT('Ctrl Pts',(0.417491312028442,2.92689576170533, -0.647210291368797)); #159630=CARTESIAN_POINT('Ctrl Pts',(0.335464459100859,2.98201826211228, -0.645642877286486)); #159631=CARTESIAN_POINT('Ctrl Pts',(0.281146534415059,3.00826295966825, -0.644987603439676)); #159632=CARTESIAN_POINT('Origin',(0.285494646575772,3.017266484644,-0.645162127504049)); #159633=CARTESIAN_POINT('Ctrl Pts',(-0.281146534415067,3.00826295966825, -0.644987603439675)); #159634=CARTESIAN_POINT('Ctrl Pts',(-0.256096117205213,3.02036652634769, -0.64468540332168)); #159635=CARTESIAN_POINT('Ctrl Pts',(-0.204285979971589,3.04144675164572, -0.639649610903987)); #159636=CARTESIAN_POINT('Ctrl Pts',(-0.124121554750074,3.06268385485458, -0.62654427617963)); #159637=CARTESIAN_POINT('Ctrl Pts',(-0.0420076957189809,3.07355188794258, -0.614318916516791)); #159638=CARTESIAN_POINT('Ctrl Pts',(0.0418815468754287,3.07358100146385, -0.614290967472463)); #159639=CARTESIAN_POINT('Ctrl Pts',(0.124319884682682,3.06263995528344, -0.626634302797282)); #159640=CARTESIAN_POINT('Ctrl Pts',(0.204575596968131,3.04135147544244, -0.639709007740149)); #159641=CARTESIAN_POINT('Ctrl Pts',(0.256096117205204,3.02036652634769, -0.64468540332168)); #159642=CARTESIAN_POINT('Ctrl Pts',(0.281146534415058,3.00826295966825, -0.644987603439675)); #159643=CARTESIAN_POINT('Ctrl Pts',(-0.281194482088615,3.00829375805883, -0.642204171006101)); #159644=CARTESIAN_POINT('Ctrl Pts',(-0.25627551680635,3.02033950379266, -0.642148099553695)); #159645=CARTESIAN_POINT('Ctrl Pts',(-0.204579720882114,3.04139457830986, -0.637582167615396)); #159646=CARTESIAN_POINT('Ctrl Pts',(-0.12437506048062,3.06267678809163, -0.625049251421435)); #159647=CARTESIAN_POINT('Ctrl Pts',(-0.0421056852715979,3.07358256652319, -0.613211134834378)); #159648=CARTESIAN_POINT('Ctrl Pts',(0.0419769769287151,3.07361068501925, -0.613183837681203)); #159649=CARTESIAN_POINT('Ctrl Pts',(0.12457263052724,3.0626339470874,-0.625137095865665)); #159650=CARTESIAN_POINT('Ctrl Pts',(0.204868520856037,3.04129947322958, -0.63763890902371)); #159651=CARTESIAN_POINT('Ctrl Pts',(0.256275516806341,3.02033950379267, -0.642148099553694)); #159652=CARTESIAN_POINT('Ctrl Pts',(0.281194482088606,3.00829375805883, -0.642204171006101)); #159653=CARTESIAN_POINT('Ctrl Pts',(-0.282215831060605,3.0102897781635, -0.637411001932202)); #159654=CARTESIAN_POINT('Ctrl Pts',(-0.257256401202086,3.02190224037555, -0.637749148082656)); #159655=CARTESIAN_POINT('Ctrl Pts',(-0.205414926322217,3.04238241378643, -0.633977879032547)); #159656=CARTESIAN_POINT('Ctrl Pts',(-0.124903175952941,3.06321953718601, -0.622430168020221)); #159657=CARTESIAN_POINT('Ctrl Pts',(-0.0422883327127448,3.07393264225957, -0.611265659434649)); #159658=CARTESIAN_POINT('Ctrl Pts',(0.0421612733583989,3.07396149334288, -0.61124090762237)); #159659=CARTESIAN_POINT('Ctrl Pts',(0.125102350839835,3.06317674892755, -0.622512931033164)); #159660=CARTESIAN_POINT('Ctrl Pts',(0.20570473790867,3.042290231472,-0.634030349261396)); #159661=CARTESIAN_POINT('Ctrl Pts',(0.257256401202077,3.02190224037555, -0.637749148082655)); #159662=CARTESIAN_POINT('Ctrl Pts',(0.282215831060596,3.01028977816351, -0.637411001932202)); #159663=CARTESIAN_POINT('Ctrl Pts',(-0.284285623607526,3.01452405790635, -0.635396004816707)); #159664=CARTESIAN_POINT('Ctrl Pts',(-0.258964085985211,3.02559118540069, -0.635463190509843)); #159665=CARTESIAN_POINT('Ctrl Pts',(-0.206581897065407,3.04501341261748, -0.63150963755471)); #159666=CARTESIAN_POINT('Ctrl Pts',(-0.125525852403974,3.06471486553586, -0.620256821959303)); #159667=CARTESIAN_POINT('Ctrl Pts',(-0.0424865453100276,3.07483199489866, -0.609523799356174)); #159668=CARTESIAN_POINT('Ctrl Pts',(0.0423590113094717,3.07485907776591, -0.609499309591806)); #159669=CARTESIAN_POINT('Ctrl Pts',(0.125726444839926,3.06467431538362, -0.620336773780294)); #159670=CARTESIAN_POINT('Ctrl Pts',(0.206874610809446,3.04492564126609, -0.631560775223293)); #159671=CARTESIAN_POINT('Ctrl Pts',(0.258964085985202,3.02559118540069, -0.635463190509842)); #159672=CARTESIAN_POINT('Ctrl Pts',(0.284285623607517,3.01452405790635, -0.635396004816707)); #159673=CARTESIAN_POINT('Ctrl Pts',(-0.285192026668659,3.01639532638888, -0.635204743084113)); #159674=CARTESIAN_POINT('Ctrl Pts',(-0.259715083674608,3.02731327067788, -0.635023829392555)); #159675=CARTESIAN_POINT('Ctrl Pts',(-0.207094357695551,3.04633197489853, -0.630765257572704)); #159676=CARTESIAN_POINT('Ctrl Pts',(-0.125796957669305,3.06549645521079, -0.619450180220663)); #159677=CARTESIAN_POINT('Ctrl Pts',(-0.04257224773979,3.07530409587468, -0.608831695868075)); #159678=CARTESIAN_POINT('Ctrl Pts',(0.0424443292917265,3.07533035408112, -0.608807442807554)); #159679=CARTESIAN_POINT('Ctrl Pts',(0.125998065479699,3.06545687090424, -0.619528646663759)); #159680=CARTESIAN_POINT('Ctrl Pts',(0.207388293644867,3.04624600273031, -0.630816550015596)); #159681=CARTESIAN_POINT('Ctrl Pts',(0.259715083674598,3.02731327067788, -0.635023829392554)); #159682=CARTESIAN_POINT('Ctrl Pts',(0.28519202666865,3.01639532638888,-0.635204743084113)); #159683=CARTESIAN_POINT('Origin',(-0.285494646575778,3.01726648464399,-0.645162127504048)); #159684=CARTESIAN_POINT('Ctrl Pts',(-0.285192026668659,3.01639532638888, -0.635204743084113)); #159685=CARTESIAN_POINT('Ctrl Pts',(-0.259715083674608,3.02731327067788, -0.635023829392555)); #159686=CARTESIAN_POINT('Ctrl Pts',(-0.207094357695551,3.04633197489853, -0.630765257572704)); #159687=CARTESIAN_POINT('Ctrl Pts',(-0.125796957669305,3.06549645521079, -0.619450180220663)); #159688=CARTESIAN_POINT('Ctrl Pts',(-0.04257224773979,3.07530409587468, -0.608831695868075)); #159689=CARTESIAN_POINT('Ctrl Pts',(0.0424443292917265,3.07533035408112, -0.608807442807554)); #159690=CARTESIAN_POINT('Ctrl Pts',(0.125998065479699,3.06545687090424, -0.619528646663759)); #159691=CARTESIAN_POINT('Ctrl Pts',(0.207388293644867,3.04624600273031, -0.630816550015596)); #159692=CARTESIAN_POINT('Ctrl Pts',(0.259715083674598,3.02731327067788, -0.635023829392554)); #159693=CARTESIAN_POINT('Ctrl Pts',(0.28519202666865,3.01639532638888,-0.635204743084113)); #159694=CARTESIAN_POINT('Ctrl Pts',(-0.285192026668647,3.01639532638888, -0.635204743084113)); #159695=CARTESIAN_POINT('Ctrl Pts',(-0.329271703944886,2.99507510628287, -0.635730372876263)); #159696=CARTESIAN_POINT('Ctrl Pts',(-0.413583405720092,2.94173645253523, -0.63720407214234)); #159697=CARTESIAN_POINT('Ctrl Pts',(-0.553173658722771,2.80144750562414, -0.642393722014111)); #159698=CARTESIAN_POINT('Ctrl Pts',(-0.650837078042218,2.59592034816608, -0.653758609238854)); #159699=CARTESIAN_POINT('Ctrl Pts',(-0.662998722414316,2.34726671550746, -0.674637768143484)); #159700=CARTESIAN_POINT('Ctrl Pts',(-0.620761436526334,2.20398544924801, -0.69012474982192)); #159701=CARTESIAN_POINT('Ctrl Pts',(-0.588523666318533,2.13808248914557, -0.69791536385216)); #159702=CARTESIAN_POINT('Ctrl Pts',(-0.284134556430659,3.01421217982593, -0.63542788177214)); #159703=CARTESIAN_POINT('Ctrl Pts',(-0.328051355851749,2.9929734201206, -0.635956366485967)); #159704=CARTESIAN_POINT('Ctrl Pts',(-0.41205341100918,2.93983662217826, -0.637436122799499)); #159705=CARTESIAN_POINT('Ctrl Pts',(-0.551130763551138,2.80007342141144, -0.642632111689483)); #159706=CARTESIAN_POINT('Ctrl Pts',(-0.648423216180181,2.59530161312267, -0.653980983278796)); #159707=CARTESIAN_POINT('Ctrl Pts',(-0.660487201854193,2.34757420826693, -0.674788755772135)); #159708=CARTESIAN_POINT('Ctrl Pts',(-0.618363816499386,2.20484991103654, -0.690208415022319)); #159709=CARTESIAN_POINT('Ctrl Pts',(-0.586227891512234,2.13921207382668, -0.697960735455681)); #159710=CARTESIAN_POINT('Ctrl Pts',(-0.282084546161194,3.01002597693721, -0.637733012083332)); #159711=CARTESIAN_POINT('Ctrl Pts',(-0.325686426304814,2.98894870678568, -0.63826345413635)); #159712=CARTESIAN_POINT('Ctrl Pts',(-0.409086274737851,2.93621500356115, -0.639747204775984)); #159713=CARTESIAN_POINT('Ctrl Pts',(-0.547170312873608,2.79749692426799, -0.644946671648763)); #159714=CARTESIAN_POINT('Ctrl Pts',(-0.643743796601196,2.59423276911567, -0.656279815707639)); #159715=CARTESIAN_POINT('Ctrl Pts',(-0.655624233305405,2.34835570827835, -0.677029019858322)); #159716=CARTESIAN_POINT('Ctrl Pts',(-0.613724946388508,2.20674890878534, -0.69239014551703)); #159717=CARTESIAN_POINT('Ctrl Pts',(-0.581788081894304,2.14164050252723, -0.700111292879594)); #159718=CARTESIAN_POINT('Ctrl Pts',(-0.281188488629409,3.00828990826001, -0.642552100060298)); #159719=CARTESIAN_POINT('Ctrl Pts',(-0.324649578441007,2.98728874562746, -0.643078458032842)); #159720=CARTESIAN_POINT('Ctrl Pts',(-0.407783174921006,2.93474260588999, -0.644553416472395)); #159721=CARTESIAN_POINT('Ctrl Pts',(-0.54541926123162,2.7965364876987,-0.649741495353499)); #159722=CARTESIAN_POINT('Ctrl Pts',(-0.641696535740491,2.59403642577399, -0.66109104717497)); #159723=CARTESIAN_POINT('Ctrl Pts',(-0.653603818300174,2.34907528437956, -0.681924879159799)); #159724=CARTESIAN_POINT('Ctrl Pts',(-0.611893888192617,2.20795962731316, -0.697371052196663)); #159725=CARTESIAN_POINT('Ctrl Pts',(-0.580081890821634,2.143070517664,-0.705139020135797)); #159726=CARTESIAN_POINT('Ctrl Pts',(-0.281146534415055,3.00826295966825, -0.644987603439676)); #159727=CARTESIAN_POINT('Ctrl Pts',(-0.324600874163705,2.98726720162347, -0.645511822517124)); #159728=CARTESIAN_POINT('Ctrl Pts',(-0.407716365393088,2.93474028211563, -0.646982159578697)); #159729=CARTESIAN_POINT('Ctrl Pts',(-0.545325359667185,2.79658650813656, -0.65216434960673)); #159730=CARTESIAN_POINT('Ctrl Pts',(-0.641600125226573,2.59418827511363, -0.663522269428707)); #159731=CARTESIAN_POINT('Ctrl Pts',(-0.65358330495394,2.34932409811547, -0.684400506688984)); #159732=CARTESIAN_POINT('Ctrl Pts',(-0.611941903461818,2.20822941799097, -0.699890596436375)); #159733=CARTESIAN_POINT('Ctrl Pts',(-0.58016038628224,2.14333293540164, -0.707683342735056)); #159734=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,6.70822995564441, -0.078740157)); #159735=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,6.70822995564441, -0.078740157)); #159736=CARTESIAN_POINT('Ctrl Pts',(-0.383734711837035,0.378173385775761, -0.792364313173346)); #159737=CARTESIAN_POINT('Ctrl Pts',(-0.659434877056563,0.393794096842909, -0.779899589068294)); #159738=CARTESIAN_POINT('Ctrl Pts',(-0.933626827984203,0.426353328088023, -0.753917450247209)); #159739=CARTESIAN_POINT('Ctrl Pts',(-1.20153622023806,0.474586045608209, -0.715680502068452)); #159740=CARTESIAN_POINT('Ctrl Pts',(-0.384579762097504,0.380048404757187, -0.813172142907819)); #159741=CARTESIAN_POINT('Ctrl Pts',(-0.660892638799926,0.395743062696268, -0.800625073464288)); #159742=CARTESIAN_POINT('Ctrl Pts',(-0.935636609579848,0.428439085209145, -0.774479824325249)); #159743=CARTESIAN_POINT('Ctrl Pts',(-1.20405543253344,0.47688210718465, -0.736000389374461)); #159744=CARTESIAN_POINT('Ctrl Pts',(-0.384987970463466,0.400701578100569, -0.847679797532136)); #159745=CARTESIAN_POINT('Ctrl Pts',(-0.66157186454928,0.416381878023729, -0.835005901707303)); #159746=CARTESIAN_POINT('Ctrl Pts',(-0.936609827724911,0.4490699870343, -0.808584789382384)); #159747=CARTESIAN_POINT('Ctrl Pts',(-1.20522144771663,0.497458153342714, -0.769731060304591)); #159748=CARTESIAN_POINT('Ctrl Pts',(-0.383298823687914,0.438277886802721, -0.857265739235059)); #159749=CARTESIAN_POINT('Ctrl Pts',(-0.65868164285779,0.453780216701806, -0.844656417392998)); #159750=CARTESIAN_POINT('Ctrl Pts',(-0.932544243392161,0.48609584495477, -0.818376226164456)); #159751=CARTESIAN_POINT('Ctrl Pts',(-1.20009516426258,0.533955950226911, -0.779705830983057)); #159752=CARTESIAN_POINT('Ctrl Pts',(-0.382355176211351,0.453875112832855, -0.855939622415206)); #159753=CARTESIAN_POINT('Ctrl Pts',(-0.657064923662625,0.469304866450068, -0.843392358915981)); #159754=CARTESIAN_POINT('Ctrl Pts',(-0.930274340467038,0.501466073315629, -0.817238169650461)); #159755=CARTESIAN_POINT('Ctrl Pts',(-1.19723073540615,0.549110763750323, -0.778748225385705)); #159756=CARTESIAN_POINT('Origin',(-1.18833798687011,0.543059293164061,-0.709579600076115)); #159757=CARTESIAN_POINT('Ctrl Pts',(-1.20153622023891,0.474586045608348, -0.715680502068235)); #159758=CARTESIAN_POINT('Ctrl Pts',(-1.26567387600551,0.486210577821064, -0.707397419242362)); #159759=CARTESIAN_POINT('Ctrl Pts',(-1.32854512409862,0.507482544722814, -0.697938545958777)); #159760=CARTESIAN_POINT('Ctrl Pts',(-1.38632328202296,0.536978392244247, -0.688036015431825)); #159761=CARTESIAN_POINT('Ctrl Pts',(-1.2040554325343,0.476882107184765, -0.736000389374263)); #159762=CARTESIAN_POINT('Ctrl Pts',(-1.26820586627451,0.488788779921439, -0.727479916311351)); #159763=CARTESIAN_POINT('Ctrl Pts',(-1.33093073996612,0.510319564307469, -0.717766181351069)); #159764=CARTESIAN_POINT('Ctrl Pts',(-1.38849746737064,0.54005926872832, -0.707589531018857)); #159765=CARTESIAN_POINT('Ctrl Pts',(-1.2052212059255,0.497458898087527, -0.76973031315002)); #159766=CARTESIAN_POINT('Ctrl Pts',(-1.26777152422577,0.509162405856913, -0.76085792900446)); #159767=CARTESIAN_POINT('Ctrl Pts',(-1.32892234943356,0.530292263429403, -0.750720690313623)); #159768=CARTESIAN_POINT('Ctrl Pts',(-1.38493102415612,0.559317376141538, -0.740137178534547)); #159769=CARTESIAN_POINT('Ctrl Pts',(-1.20009516426395,0.533955950227138, -0.779705830982862)); #159770=CARTESIAN_POINT('Ctrl Pts',(-1.25935078527871,0.544682676916706, -0.771128546024317)); #159771=CARTESIAN_POINT('Ctrl Pts',(-1.31740628954091,0.564277642817955, -0.761341773582477)); #159772=CARTESIAN_POINT('Ctrl Pts',(-1.37071577405627,0.591395485132398, -0.751100441631988)); #159773=CARTESIAN_POINT('Ctrl Pts',(-1.19723073540766,0.549110763750588, -0.778748225385488)); #159774=CARTESIAN_POINT('Ctrl Pts',(-1.25506019187596,0.559431799708401, -0.770410337256501)); #159775=CARTESIAN_POINT('Ctrl Pts',(-1.31177365876464,0.578378045257102, -0.76089353093437)); #159776=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322145,0.604694655338723, -0.750934561245015)); #159777=CARTESIAN_POINT('Origin',(-1.35379011855071,0.59865798586619,-0.681935113439488)); #159778=CARTESIAN_POINT('Ctrl Pts',(-1.73941992831389,1.12097341574682, -0.516681355866935)); #159779=CARTESIAN_POINT('Ctrl Pts',(-1.73773719863959,1.05630945986733, -0.524025451669478)); #159780=CARTESIAN_POINT('Ctrl Pts',(-1.71627554338529,0.962708090094796, -0.554559379992028)); #159781=CARTESIAN_POINT('Ctrl Pts',(-1.6452493026902,0.82665774212174,-0.623382993272324)); #159782=CARTESIAN_POINT('Ctrl Pts',(-1.54288896441312,0.707656834549719, -0.69648309066271)); #159783=CARTESIAN_POINT('Ctrl Pts',(-1.42759625920302,0.633598772749825, -0.73905662158019)); #159784=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322153,0.604694655338721, -0.750934561245002)); #159785=CARTESIAN_POINT('Ctrl Pts',(-1.74602656803153,1.12009407201983, -0.510135932204146)); #159786=CARTESIAN_POINT('Ctrl Pts',(-1.74447601721632,1.05391181167987, -0.517528669556041)); #159787=CARTESIAN_POINT('Ctrl Pts',(-1.72374198188151,0.958064837247489, -0.548114269362934)); #159788=CARTESIAN_POINT('Ctrl Pts',(-1.65444280756339,0.818186720414036, -0.617729853352521)); #159789=CARTESIAN_POINT('Ctrl Pts',(-1.55377751041103,0.695098805817231, -0.692685378167984)); #159790=CARTESIAN_POINT('Ctrl Pts',(-1.43789864761889,0.617427039707844, -0.738030414686112)); #159791=CARTESIAN_POINT('Ctrl Pts',(-1.37298069766795,0.586962428396959, -0.751155735094289)); #159792=CARTESIAN_POINT('Ctrl Pts',(-1.75523826734836,1.11835118902277, -0.49634676938488)); #159793=CARTESIAN_POINT('Ctrl Pts',(-1.75382186266122,1.04950409730327, -0.503712482278155)); #159794=CARTESIAN_POINT('Ctrl Pts',(-1.7338511368568,0.949647424067767, -0.533750447448116)); #159795=CARTESIAN_POINT('Ctrl Pts',(-1.66642632384555,0.80285548813088, -0.602200103069319)); #159796=CARTESIAN_POINT('Ctrl Pts',(-1.5678696521416,0.672313755000098, -0.676360966626783)); #159797=CARTESIAN_POINT('Ctrl Pts',(-1.45216805351863,0.588061294166976, -0.722386620716824)); #159798=CARTESIAN_POINT('Ctrl Pts',(-1.38641236019796,0.554837905930355, -0.735973331049854)); #159799=CARTESIAN_POINT('Ctrl Pts',(-1.75908890757171,1.11649119725629, -0.480374410955062)); #159800=CARTESIAN_POINT('Ctrl Pts',(-1.7575707621628,1.04533900664009,-0.487604988116849)); #159801=CARTESIAN_POINT('Ctrl Pts',(-1.73697697291159,0.942087718488799, -0.516382376719236)); #159802=CARTESIAN_POINT('Ctrl Pts',(-1.66797888739801,0.790806311274693, -0.581002306004281)); #159803=CARTESIAN_POINT('Ctrl Pts',(-1.5676814798557,0.657123957976152, -0.649926385357094)); #159804=CARTESIAN_POINT('Ctrl Pts',(-1.45241111139737,0.572442571990111, -0.690969898316856)); #159805=CARTESIAN_POINT('Ctrl Pts',(-1.38795392103373,0.539289049607307, -0.70270115212209)); #159806=CARTESIAN_POINT('Ctrl Pts',(-1.75968520428257,1.11570847827359, -0.473437732888003)); #159807=CARTESIAN_POINT('Ctrl Pts',(-1.75807883450435,1.04368056944888, -0.480606496644161)); #159808=CARTESIAN_POINT('Ctrl Pts',(-1.73696643826763,0.939164868016105, -0.508817726383479)); #159809=CARTESIAN_POINT('Ctrl Pts',(-1.66667542760073,0.786688802527003, -0.571724556605059)); #159810=CARTESIAN_POINT('Ctrl Pts',(-1.56500300318665,0.652884080596473, -0.63830828825296)); #159811=CARTESIAN_POINT('Ctrl Pts',(-1.44995704601375,0.569463536584508, -0.677129899468545)); #159812=CARTESIAN_POINT('Ctrl Pts',(-1.38632328202296,0.536978392244246, -0.688036015431823)); #159813=CARTESIAN_POINT('',(-1.75967247387596,1.11571578488395,-0.473582631438936)); #159814=CARTESIAN_POINT('Ctrl Pts',(-1.75968520428257,1.11570847827359, -0.473437732888003)); #159815=CARTESIAN_POINT('Ctrl Pts',(-1.75968094123912,1.11570853622388, -0.473486464199447)); #159816=CARTESIAN_POINT('Ctrl Pts',(-1.75967669699767,1.1157109898278,-0.473534767530244)); #159817=CARTESIAN_POINT('Ctrl Pts',(-1.75967247299277,1.11571578490843, -0.473582631485124)); #159818=CARTESIAN_POINT('Ctrl Pts',(-1.75967247299277,1.11571578490843, -0.473582631485123)); #159819=CARTESIAN_POINT('Ctrl Pts',(-1.7591427329434,1.11631714177668,-0.479585335708705)); #159820=CARTESIAN_POINT('Ctrl Pts',(-1.75783316545546,1.11691800552268, -0.485485462457375)); #159821=CARTESIAN_POINT('Ctrl Pts',(-1.75578422945006,1.1175035444744,-0.491134598800494)); #159822=CARTESIAN_POINT('Ctrl Pts',(-1.75547246185387,1.11759264050813, -0.491994175504492)); #159823=CARTESIAN_POINT('Ctrl Pts',(-1.7551434796561,1.11768138147121,-0.492847920958752)); #159824=CARTESIAN_POINT('Ctrl Pts',(-1.75479732425117,1.11776971356813, -0.493695280139767)); #159825=CARTESIAN_POINT('Ctrl Pts',(-1.75433993061469,1.11788643148862, -0.494814941111951)); #159826=CARTESIAN_POINT('Ctrl Pts',(-1.75385255507235,1.11800243573465, -0.495923453797351)); #159827=CARTESIAN_POINT('Ctrl Pts',(-1.75333576494896,1.11811761360609, -0.497019744331671)); #159828=CARTESIAN_POINT('Ctrl Pts',(-1.75076314690399,1.1186909772542,-0.5024771566999)); #159829=CARTESIAN_POINT('Ctrl Pts',(-1.74749423228047,1.11923756005832, -0.507572344425203)); #159830=CARTESIAN_POINT('Ctrl Pts',(-1.74361803929323,1.11974435861728, -0.512182272594442)); #159831=CARTESIAN_POINT('Ctrl Pts',(-1.74233229525719,1.11991246511424, -0.513711398638913)); #159832=CARTESIAN_POINT('Ctrl Pts',(-1.74097936628541,1.12007617416521, -0.515186921280001)); #159833=CARTESIAN_POINT('Ctrl Pts',(-1.73956152325324,1.12023492720084, -0.516603536664869)); #159834=CARTESIAN_POINT('Ctrl Pts',(-1.73950798872209,1.12024092135473, -0.516657024842841)); #159835=CARTESIAN_POINT('Ctrl Pts',(-1.7394543653595,1.12024690802936,-0.516710425217014)); #159836=CARTESIAN_POINT('Ctrl Pts',(-1.73940065331066,1.12025288719412, -0.516763737527088)); #159837=CARTESIAN_POINT('Ctrl Pts',(1.73941981524646,1.14706371296924,-0.514398863968332)); #159838=CARTESIAN_POINT('Ctrl Pts',(1.72864842420165,1.56116395193259,-0.488966797467948)); #159839=CARTESIAN_POINT('Ctrl Pts',(1.6940459726603,1.97670898667739,-0.487747208954353)); #159840=CARTESIAN_POINT('Ctrl Pts',(1.63773957156577,2.38893095966747,-0.489338998847941)); #159841=CARTESIAN_POINT('Ctrl Pts',(1.75092029850692,1.14659481592028,-0.502912050866623)); #159842=CARTESIAN_POINT('Ctrl Pts',(1.74074028250946,1.56147099443375,-0.477352631509543)); #159843=CARTESIAN_POINT('Ctrl Pts',(1.70810003558611,1.9777735119179,-0.475677548216419)); #159844=CARTESIAN_POINT('Ctrl Pts',(1.65483991830004,2.39095833106034,-0.477725273812193)); #159845=CARTESIAN_POINT('Ctrl Pts',(1.75821850456776,1.14576479683182,-0.487321131772421)); #159846=CARTESIAN_POINT('Ctrl Pts',(1.74845618924422,1.56138266786921,-0.461285642941079)); #159847=CARTESIAN_POINT('Ctrl Pts',(1.71714651071876,1.97834860931712,-0.458064991697621)); #159848=CARTESIAN_POINT('Ctrl Pts',(1.66633006531018,2.39225389725571,-0.458097495293145)); #159849=CARTESIAN_POINT('Ctrl Pts',(1.7596624292573,1.14476153680578,-0.471155229208339)); #159850=CARTESIAN_POINT('Ctrl Pts',(1.74995667995019,1.56092884960146,-0.444600837975676)); #159851=CARTESIAN_POINT('Ctrl Pts',(1.71881437603793,1.97832543933823,-0.439728953150598)); #159852=CARTESIAN_POINT('Ctrl Pts',(1.6681410170413,2.39235886054755,-0.437406431063924)); #159853=CARTESIAN_POINT('Origin',(1.59892800488972,2.38385368895697,-0.431305529071588)); #159854=CARTESIAN_POINT('Ctrl Pts',(1.7596624292573,1.14476153680578,-0.471155229208339)); #159855=CARTESIAN_POINT('Ctrl Pts',(1.75912266794987,1.14521147425578,-0.477173554858097)); #159856=CARTESIAN_POINT('Ctrl Pts',(1.75777757225656,1.14564320279297,-0.483078103891628)); #159857=CARTESIAN_POINT('Ctrl Pts',(1.75570771975522,1.14604803414405,-0.488738346196455)); #159858=CARTESIAN_POINT('Ctrl Pts',(1.75499275303677,1.14618787065048,-0.490693502355523)); #159859=CARTESIAN_POINT('Ctrl Pts',(1.75419085425219,1.14632448237054,-0.492619430272724)); #159860=CARTESIAN_POINT('Ctrl Pts',(1.75330400091212,1.14645744957322,-0.494510267495241)); #159861=CARTESIAN_POINT('Ctrl Pts',(1.75073626422583,1.14684243404467,-0.499984872917194)); #159862=CARTESIAN_POINT('Ctrl Pts',(1.74748847744083,1.14719273192767,-0.505105699043758)); #159863=CARTESIAN_POINT('Ctrl Pts',(1.74362405974991,1.14749867264186,-0.509737599593015)); #159864=CARTESIAN_POINT('Ctrl Pts',(1.74233782013075,1.14760050249028,-0.511279289564172)); #159865=CARTESIAN_POINT('Ctrl Pts',(1.74098290211842,1.14769739655428,-0.512766603996159)); #159866=CARTESIAN_POINT('Ctrl Pts',(1.73956064101625,1.1477889225632,-0.514193729814613)); #159867=CARTESIAN_POINT('Ctrl Pts',(1.73950740455177,1.14779234846096,-0.514247148369634)); #159868=CARTESIAN_POINT('Ctrl Pts',(1.73945407740377,1.14779576659289,-0.514300478798211)); #159869=CARTESIAN_POINT('Ctrl Pts',(1.73940065966798,1.14779917693536,-0.514353720817687)); #159870=CARTESIAN_POINT('Ctrl Pts',(1.39564289837345,2.85381956946301,-0.495614836914239)); #159871=CARTESIAN_POINT('Ctrl Pts',(1.45899020557889,2.80679675846809,-0.490951844761508)); #159872=CARTESIAN_POINT('Ctrl Pts',(1.55081535981378,2.71100211326991,-0.472078386160006)); #159873=CARTESIAN_POINT('Ctrl Pts',(1.63566475418838,2.54864243414255,-0.444546315718472)); #159874=CARTESIAN_POINT('Ctrl Pts',(1.66174080195023,2.44463221804478,-0.437141599689632)); #159875=CARTESIAN_POINT('Ctrl Pts',(1.6681410170413,2.39235886054755,-0.437406431063926)); #159876=CARTESIAN_POINT('Ctrl Pts',(1.39565273175553,2.85188812284275,-0.513205614657616)); #159877=CARTESIAN_POINT('Ctrl Pts',(1.45965057808886,2.80428228005235,-0.507611911507827)); #159878=CARTESIAN_POINT('Ctrl Pts',(1.55134439087462,2.7079231121576,-0.486809316833712)); #159879=CARTESIAN_POINT('Ctrl Pts',(1.63510226906271,2.54658444853733,-0.457183222597613)); #159880=CARTESIAN_POINT('Ctrl Pts',(1.6607751680621,2.44386935500352,-0.449115005831216)); #159881=CARTESIAN_POINT('Ctrl Pts',(1.66710618748066,2.39229888152364,-0.449229896337766)); #159882=CARTESIAN_POINT('Ctrl Pts',(1.38829460357158,2.83869811417551,-0.542740895798145)); #159883=CARTESIAN_POINT('Ctrl Pts',(1.45303277552441,2.79199549920554,-0.535703758380854)); #159884=CARTESIAN_POINT('Ctrl Pts',(1.54492429246875,2.69771649053052,-0.511765462558194)); #159885=CARTESIAN_POINT('Ctrl Pts',(1.62820989466351,2.54097915916204,-0.478751058316813)); #159886=CARTESIAN_POINT('Ctrl Pts',(1.653655544095,2.44144774144423,-0.46955903491769)); #159887=CARTESIAN_POINT('Ctrl Pts',(1.65995290947758,2.39153729729496,-0.469426194078951)); #159888=CARTESIAN_POINT('Ctrl Pts',(1.37162052522957,2.81469169702041,-0.556454386806201)); #159889=CARTESIAN_POINT('Ctrl Pts',(1.43574701823596,2.77107283541461,-0.550091454377883)); #159890=CARTESIAN_POINT('Ctrl Pts',(1.52802520596865,2.68243437293373,-0.526959197221173)); #159891=CARTESIAN_POINT('Ctrl Pts',(1.61272647868007,2.5330707463298,-0.493925952518662)); #159892=CARTESIAN_POINT('Ctrl Pts',(1.63868982915689,2.43767880509302,-0.484561447747875)); #159893=CARTESIAN_POINT('Ctrl Pts',(1.64506829159474,2.38979983312156,-0.484361688118336)); #159894=CARTESIAN_POINT('Ctrl Pts',(1.36392100323303,2.80407878993639,-0.558513382727243)); #159895=CARTESIAN_POINT('Ctrl Pts',(1.42746898048702,2.76181507441787,-0.552880509424673)); #159896=CARTESIAN_POINT('Ctrl Pts',(1.51969326679718,2.67566669836987,-0.530943269708005)); #159897=CARTESIAN_POINT('Ctrl Pts',(1.60507646639773,2.52953202622852,-0.498734149121443)); #159898=CARTESIAN_POINT('Ctrl Pts',(1.63131909372878,2.43593559839506,-0.489520506661162)); #159899=CARTESIAN_POINT('Ctrl Pts',(1.63773957156577,2.38893095966747,-0.489338998847943)); #159900=CARTESIAN_POINT('Origin',(1.35379011856101,2.79804212046387,-0.489513934921903)); #159901=CARTESIAN_POINT('Ctrl Pts',(1.12819064052715,2.97332740933618,-0.51832167055156)); #159902=CARTESIAN_POINT('Ctrl Pts',(1.22431243799009,2.95363492869965,-0.50947624247949)); #159903=CARTESIAN_POINT('Ctrl Pts',(1.31665863674441,2.91244971760782,-0.501428864508109)); #159904=CARTESIAN_POINT('Ctrl Pts',(1.39564289836396,2.85381956947006,-0.49561483691494)); #159905=CARTESIAN_POINT('Ctrl Pts',(1.12965763320126,2.97139013477629,-0.536483757035574)); #159906=CARTESIAN_POINT('Ctrl Pts',(1.22538078619391,2.95152261237379,-0.527433263172983)); #159907=CARTESIAN_POINT('Ctrl Pts',(1.31717438658737,2.91033727467216,-0.519187889110546)); #159908=CARTESIAN_POINT('Ctrl Pts',(1.39565273174589,2.85188812284991,-0.513205614658503)); #159909=CARTESIAN_POINT('Ctrl Pts',(1.12945319819503,2.95509353594588,-0.566905125349618)); #159910=CARTESIAN_POINT('Ctrl Pts',(1.2226372621957,2.93566801065302,-0.557546020880269)); #159911=CARTESIAN_POINT('Ctrl Pts',(1.31198060061674,2.89551282512484,-0.548977689690371)); #159912=CARTESIAN_POINT('Ctrl Pts',(1.38829460469385,2.83869811550198,-0.542740897677506)); #159913=CARTESIAN_POINT('Ctrl Pts',(1.12457421461788,2.92459869482953,-0.579973686316336)); #159914=CARTESIAN_POINT('Ctrl Pts',(1.21339073654263,2.90644510329048,-0.570845278847739)); #159915=CARTESIAN_POINT('Ctrl Pts',(1.29868950114856,2.86855768728764,-0.562511587341261)); #159916=CARTESIAN_POINT('Ctrl Pts',(1.37162052521967,2.81469169702693,-0.556454386807245)); #159917=CARTESIAN_POINT('Ctrl Pts',(1.12199050595829,2.91118270993976,-0.581458424405837)); #159918=CARTESIAN_POINT('Ctrl Pts',(1.20891221188026,2.893581624216,-0.572535874059516)); #159919=CARTESIAN_POINT('Ctrl Pts',(1.29245499012891,2.85667605233073,-0.564404758112537)); #159920=CARTESIAN_POINT('Ctrl Pts',(1.36392100322318,2.80407878994268,-0.558513382728141)); #159921=CARTESIAN_POINT('Origin',(1.11365662416405,2.90512519996407,-0.512220768559224)); #159922=CARTESIAN_POINT('Ctrl Pts',(-1.12819064051839,2.97332740933796, -0.518321670552577)); #159923=CARTESIAN_POINT('Ctrl Pts',(-0.811570150459831,3.03793338171427, -0.550365674756739)); #159924=CARTESIAN_POINT('Ctrl Pts',(-0.0556550877424329,3.12577411305909, -0.594876199665759)); #159925=CARTESIAN_POINT('Ctrl Pts',(0.706029987118065,3.0594687058393,-0.561047009490694)); #159926=CARTESIAN_POINT('Ctrl Pts',(1.12819064052908,2.97332740933577,-0.51832167055156)); #159927=CARTESIAN_POINT('Ctrl Pts',(-1.1296576331924,2.97139013477805,-0.536483757036577)); #159928=CARTESIAN_POINT('Ctrl Pts',(-0.812673994254901,3.03617151769371, -0.568743093765213)); #159929=CARTESIAN_POINT('Ctrl Pts',(-0.0557445614344523,3.12426490426964, -0.613556362384401)); #159930=CARTESIAN_POINT('Ctrl Pts',(0.707012781287784,3.05776531199832, -0.579496206007204)); #159931=CARTESIAN_POINT('Ctrl Pts',(1.1296576332031,2.97139013477584,-0.53648375703554)); #159932=CARTESIAN_POINT('Ctrl Pts',(-1.12945322338859,2.95509361325343, -0.566905217404257)); #159933=CARTESIAN_POINT('Ctrl Pts',(-0.812580070233253,3.01975362374262, -0.599501960657391)); #159934=CARTESIAN_POINT('Ctrl Pts',(-0.0557520034397594,3.10772828946538, -0.644812673739061)); #159935=CARTESIAN_POINT('Ctrl Pts',(0.706955685861707,3.04130696057142, -0.610367541740674)); #159936=CARTESIAN_POINT('Ctrl Pts',(1.12945322339914,2.95509361325125,-0.566905217403189)); #159937=CARTESIAN_POINT('Ctrl Pts',(-1.12457421460811,2.92459869483149, -0.579973686317343)); #159938=CARTESIAN_POINT('Ctrl Pts',(-0.808999584988344,2.98863561251476, -0.612445465724918)); #159939=CARTESIAN_POINT('Ctrl Pts',(-0.0554872725315022,3.07571982613628, -0.657557817998915)); #159940=CARTESIAN_POINT('Ctrl Pts',(0.703808041795827,3.0099812517415,-0.623269392192933)); #159941=CARTESIAN_POINT('Ctrl Pts',(1.12457421461835,2.9245986948294,-0.579973686316291)); #159942=CARTESIAN_POINT('Ctrl Pts',(-1.12199050594829,2.91118270994179, -0.581458424406864)); #159943=CARTESIAN_POINT('Ctrl Pts',(-0.807102465779383,2.97494551038503, -0.613781816613533)); #159944=CARTESIAN_POINT('Ctrl Pts',(-0.0553466615238251,3.06163654715268, -0.658679203373338)); #159945=CARTESIAN_POINT('Ctrl Pts',(0.702139785737143,2.99619977719844, -0.624556280681242)); #159946=CARTESIAN_POINT('Ctrl Pts',(1.12199050595838,2.91118270993974,-0.581458424405828)); #159947=CARTESIAN_POINT('Origin',(-1.11365662415412,2.9051251999661,-0.512220768560241)); #159948=CARTESIAN_POINT('Ctrl Pts',(-1.39564289836797,2.85381956946708, -0.495614836914644)); #159949=CARTESIAN_POINT('Ctrl Pts',(-1.31665878628036,2.91244960660794, -0.501428853500751)); #159950=CARTESIAN_POINT('Ctrl Pts',(-1.22431225601008,2.95363496598255, -0.509476259225845)); #159951=CARTESIAN_POINT('Ctrl Pts',(-1.12819064052293,2.97332740933705, -0.518321670551948)); #159952=CARTESIAN_POINT('Ctrl Pts',(-1.39565273174988,2.85188812284694, -0.513205614658185)); #159953=CARTESIAN_POINT('Ctrl Pts',(-1.31717453516507,2.91033716401527, -0.519187877784681)); #159954=CARTESIAN_POINT('Ctrl Pts',(-1.22538060496863,2.95152264998808, -0.527433280307574)); #159955=CARTESIAN_POINT('Ctrl Pts',(-1.12965763319706,2.97139013477716, -0.536483757035971)); #159956=CARTESIAN_POINT('Ctrl Pts',(-1.38829460469775,2.83869811549911, -0.542740897677152)); #159957=CARTESIAN_POINT('Ctrl Pts',(-1.31198074509609,2.89551271756288, -0.548977677882686)); #159958=CARTESIAN_POINT('Ctrl Pts',(-1.22263708577748,2.93566804743049, -0.557546038599129)); #159959=CARTESIAN_POINT('Ctrl Pts',(-1.12945319819094,2.95509353594673, -0.566905125350029)); #159960=CARTESIAN_POINT('Ctrl Pts',(-1.37162052522342,2.81469169702424, -0.556454386806912)); #159961=CARTESIAN_POINT('Ctrl Pts',(-1.29868963922274,2.86855758530859, -0.562511575873629)); #159962=CARTESIAN_POINT('Ctrl Pts',(-1.21339056839314,2.90644513765986, -0.570845296129838)); #159963=CARTESIAN_POINT('Ctrl Pts',(-1.12457421461398,2.92459869483033, -0.579973686316737)); #159964=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322687,2.80407878994006, -0.558513382727828)); #159965=CARTESIAN_POINT('Ctrl Pts',(-1.29245512542941,2.85667595275372, -0.564404746958868)); #159966=CARTESIAN_POINT('Ctrl Pts',(-1.20891204731808,2.89358165753936, -0.57253589095188)); #159967=CARTESIAN_POINT('Ctrl Pts',(-1.12199050595448,2.91118270994054, -0.581458424406229)); #159968=CARTESIAN_POINT('Origin',(-1.35379011855609,2.79804212046753,-0.489513934922306)); #159969=CARTESIAN_POINT('Ctrl Pts',(-1.6681410170413,2.39235886054755,-0.437406431063921)); #159970=CARTESIAN_POINT('Ctrl Pts',(-1.65854128707348,2.47076405620815, -0.437009208526232)); #159971=CARTESIAN_POINT('Ctrl Pts',(-1.61817964756299,2.59831323655608, -0.451345499413632)); #159972=CARTESIAN_POINT('Ctrl Pts',(-1.51567776046108,2.74971335573284, -0.480359544885075)); #159973=CARTESIAN_POINT('Ctrl Pts',(-1.43787182937147,2.82247296408406, -0.49250636739079)); #159974=CARTESIAN_POINT('Ctrl Pts',(-1.39564289836671,2.85381956946803, -0.495614836914735)); #159975=CARTESIAN_POINT('Ctrl Pts',(-1.66710618748066,2.39229888152364, -0.449229896337761)); #159976=CARTESIAN_POINT('Ctrl Pts',(-1.65761024461399,2.46964981624625, -0.449057571216956)); #159977=CARTESIAN_POINT('Ctrl Pts',(-1.61794954046617,2.5956905672348,-0.464440778311674)); #159978=CARTESIAN_POINT('Ctrl Pts',(-1.51635541268515,2.74680934973268, -0.49586244704008)); #159979=CARTESIAN_POINT('Ctrl Pts',(-1.43831532873174,2.82015285359555, -0.50947670944074)); #159980=CARTESIAN_POINT('Ctrl Pts',(-1.39565273174872,2.85188812284782, -0.51320561465821)); #159981=CARTESIAN_POINT('Ctrl Pts',(-1.65995290947852,2.39153729729508, -0.469426194079564)); #159982=CARTESIAN_POINT('Ctrl Pts',(-1.6505074445494,2.46639834174279,-0.469625443036427)); #159983=CARTESIAN_POINT('Ctrl Pts',(-1.61124637345035,2.58859134078139, -0.486779249899106)); #159984=CARTESIAN_POINT('Ctrl Pts',(-1.5099317665042,2.73572534654796,-0.522074063084)); #159985=CARTESIAN_POINT('Ctrl Pts',(-1.43145072049414,2.80756495963786, -0.538049760479935)); #159986=CARTESIAN_POINT('Ctrl Pts',(-1.38829460356705,2.83869811418326, -0.542740895802825)); #159987=CARTESIAN_POINT('Ctrl Pts',(-1.64506829159475,2.38979983312156, -0.48436168811833)); #159988=CARTESIAN_POINT('Ctrl Pts',(-1.63550118859243,2.46161385741969, -0.484661309064621)); #159989=CARTESIAN_POINT('Ctrl Pts',(-1.59534283422918,2.57866747881689, -0.502076333531751)); #159990=CARTESIAN_POINT('Ctrl Pts',(-1.4927732809149,2.71822954599174,-0.53700402872703)); #159991=CARTESIAN_POINT('Ctrl Pts',(-1.41436888135986,2.78561425114028, -0.552212693728948)); #159992=CARTESIAN_POINT('Ctrl Pts',(-1.37162052522274,2.81469169702507, -0.556454386806877)); #159993=CARTESIAN_POINT('Ctrl Pts',(-1.63773957156577,2.38893095966747, -0.489338998847937)); #159994=CARTESIAN_POINT('Ctrl Pts',(-1.62810944935543,2.45943356506427, -0.489611243759891)); #159995=CARTESIAN_POINT('Ctrl Pts',(-1.5874590314637,2.5742498799475,-0.506775933342925)); #159996=CARTESIAN_POINT('Ctrl Pts',(-1.48438926348999,2.71048438739669, -0.540538468308656)); #159997=CARTESIAN_POINT('Ctrl Pts',(-1.40628370600459,2.77590471900834, -0.554758365687306)); #159998=CARTESIAN_POINT('Ctrl Pts',(-1.36392100322626,2.8040787899409,-0.558513382727842)); #159999=CARTESIAN_POINT('Origin',(-1.59892800488972,2.38385368895697,-0.431305529071585)); #160000=CARTESIAN_POINT('Ctrl Pts',(-1.75966242925731,1.14476153680584, -0.471155229208327)); #160001=CARTESIAN_POINT('Ctrl Pts',(-1.7499564052567,1.56094062802774,-0.444600086429527)); #160002=CARTESIAN_POINT('Ctrl Pts',(-1.71881576283334,1.97831410834193, -0.43972901671186)); #160003=CARTESIAN_POINT('Ctrl Pts',(-1.6681410170413,2.39235886054755,-0.43740643106392)); #160004=CARTESIAN_POINT('Ctrl Pts',(-1.75821850456777,1.14576479683188, -0.487321131772409)); #160005=CARTESIAN_POINT('Ctrl Pts',(-1.7484559129498,1.56139443074511,-0.461284906080979)); #160006=CARTESIAN_POINT('Ctrl Pts',(-1.71714790143006,1.97833728182749, -0.458064990808078)); #160007=CARTESIAN_POINT('Ctrl Pts',(-1.66633006531019,2.39225389725571, -0.45809749529314)); #160008=CARTESIAN_POINT('Ctrl Pts',(-1.75092029850693,1.14659481592033, -0.50291205086661)); #160009=CARTESIAN_POINT('Ctrl Pts',(-1.74073999439321,1.56148273631816, -0.477351908123228)); #160010=CARTESIAN_POINT('Ctrl Pts',(-1.70810149317423,1.97776220414558, -0.475677492175596)); #160011=CARTESIAN_POINT('Ctrl Pts',(-1.65483991830004,2.39095833106034, -0.477725273812188)); #160012=CARTESIAN_POINT('Ctrl Pts',(-1.73941981524647,1.1470637129693,-0.514398863968319)); #160013=CARTESIAN_POINT('Ctrl Pts',(-1.72864811934822,1.56117567185625, -0.488966077685992)); #160014=CARTESIAN_POINT('Ctrl Pts',(-1.69404751361713,1.97669770525562, -0.487747165391281)); #160015=CARTESIAN_POINT('Ctrl Pts',(-1.63773957156577,2.38893095966747, -0.489338998847937)); #160016=CARTESIAN_POINT('Ctrl Pts',(-1.73940065964809,1.1477991778029,-0.51435372076224)); #160017=CARTESIAN_POINT('Ctrl Pts',(-1.73945407738394,1.14779576745751, -0.514300478742964)); #160018=CARTESIAN_POINT('Ctrl Pts',(-1.73950740453201,1.14779234932419, -0.514247148314444)); #160019=CARTESIAN_POINT('Ctrl Pts',(-1.73956064099655,1.14778892342315, -0.51419372975965)); #160020=CARTESIAN_POINT('Ctrl Pts',(-1.74383372580243,1.14751393961633, -0.50990602927447)); #160021=CARTESIAN_POINT('Ctrl Pts',(-1.74750185185596,1.14719074074854, -0.505077756652797)); #160022=CARTESIAN_POINT('Ctrl Pts',(-1.75051581249467,1.14682995055423, -0.499853945720404)); #160023=CARTESIAN_POINT('Ctrl Pts',(-1.75151898448382,1.1467098645079,-0.498115243251407)); #160024=CARTESIAN_POINT('Ctrl Pts',(-1.75244935088747,1.1465855887096,-0.496332444831569)); #160025=CARTESIAN_POINT('Ctrl Pts',(-1.75330400090801,1.14645744976304, -0.494510267483119)); #160026=CARTESIAN_POINT('Ctrl Pts',(-1.75587147091347,1.14607250517295, -0.489036230655931)); #160027=CARTESIAN_POINT('Ctrl Pts',(-1.75773036417899,1.1456571908913,-0.483269605847081)); #160028=CARTESIAN_POINT('Ctrl Pts',(-1.75881747455063,1.14522089047808, -0.477345566092144)); #160029=CARTESIAN_POINT('Ctrl Pts',(-1.75919298330633,1.14507018395412, -0.475299289232743)); #160030=CARTESIAN_POINT('Ctrl Pts',(-1.7594759853579,1.14491695382354,-0.473234074221161)); #160031=CARTESIAN_POINT('Ctrl Pts',(-1.75966242925731,1.14476153680584, -0.471155229208327)); #160032=CARTESIAN_POINT('Origin',(1.68994076736168,0.049059855128984,-0.560756710090183)); #160033=CARTESIAN_POINT('',(1.75967247387595,1.11571578488407,-0.473582631438939)); #160034=CARTESIAN_POINT('',(1.75967247387595,0.0495934953481089,-0.566856245705638)); #160035=CARTESIAN_POINT('Ctrl Pts',(1.75967247178997,1.11571578487957,-0.473582630945469)); #160036=CARTESIAN_POINT('Ctrl Pts',(1.75914213179338,1.11631770665094,-0.47959095534715)); #160037=CARTESIAN_POINT('Ctrl Pts',(1.75782433770446,1.11691897274315,-0.485493747664052)); #160038=CARTESIAN_POINT('Ctrl Pts',(1.75577372277883,1.11750509032392,-0.491148561815014)); #160039=CARTESIAN_POINT('Ctrl Pts',(1.75505202332937,1.11771137026954,-0.493138733742717)); #160040=CARTESIAN_POINT('Ctrl Pts',(1.75423908575898,1.11791577482737,-0.495098112495462)); #160041=CARTESIAN_POINT('Ctrl Pts',(1.75333584600431,1.11811764246036,-0.49701996700202)); #160042=CARTESIAN_POINT('Ctrl Pts',(1.75286145897873,1.11822366457186,-0.498029336705089)); #160043=CARTESIAN_POINT('Ctrl Pts',(1.75236313357486,1.11832876531333,-0.499026257212031)); #160044=CARTESIAN_POINT('Ctrl Pts',(1.75184122877766,1.1184328580347,-0.500009852730623)); #160045=CARTESIAN_POINT('Ctrl Pts',(1.74902023549313,1.11899549871075,-0.505326370929944)); #160046=CARTESIAN_POINT('Ctrl Pts',(1.74551390505337,1.11952890963692,-0.51025584031836)); #160047=CARTESIAN_POINT('Ctrl Pts',(1.74141685676525,1.12002002978411,-0.514677088727791)); #160048=CARTESIAN_POINT('Ctrl Pts',(1.74081131136484,1.12009261754126,-0.51533055103775)); #160049=CARTESIAN_POINT('Ctrl Pts',(1.74019278962278,1.12016427546787,-0.515972853219355)); #160050=CARTESIAN_POINT('Ctrl Pts',(1.73956152781799,1.12023495410525,-0.516603535809205)); #160051=CARTESIAN_POINT('Ctrl Pts',(1.73950799142622,1.12024094825727,-0.516657023078593)); #160052=CARTESIAN_POINT('Ctrl Pts',(1.73945436715257,1.12024693495604,-0.516710423003898)); #160053=CARTESIAN_POINT('Ctrl Pts',(1.73940065517203,1.12025291417463,-0.51676373533563)); #160054=CARTESIAN_POINT('Origin',(-1.68994076736169,0.0490598551289835, -0.560756710090177)); #160055=CARTESIAN_POINT('',(-1.75967247387596,0.0495934953481078,-0.566856245705625)); #160056=CARTESIAN_POINT('Ctrl Pts',(1.75968520428333,1.11570847830779,-0.473437732884609)); #160057=CARTESIAN_POINT('Ctrl Pts',(1.75806883526172,1.04323221388989,-0.48065112038622)); #160058=CARTESIAN_POINT('Ctrl Pts',(1.72967282096485,0.904251761268928, -0.518564732484281)); #160059=CARTESIAN_POINT('Ctrl Pts',(1.64787281403914,0.758000162521592, -0.586326653832487)); #160060=CARTESIAN_POINT('Ctrl Pts',(1.53611580612071,0.631789308411875, -0.648032037556206)); #160061=CARTESIAN_POINT('Ctrl Pts',(1.4499964404938,0.569483647533564,-0.677123147694763)); #160062=CARTESIAN_POINT('Ctrl Pts',(1.3863232820248,0.536978392245189,-0.688036015431507)); #160063=CARTESIAN_POINT('Ctrl Pts',(1.75889014200212,1.11675210361749,-0.482686636973983)); #160064=CARTESIAN_POINT('Ctrl Pts',(1.75739282732767,1.04545024441708,-0.489983282995281)); #160065=CARTESIAN_POINT('Ctrl Pts',(1.72989897132275,0.908728661286856, -0.528889117007342)); #160066=CARTESIAN_POINT('Ctrl Pts',(1.65005621702289,0.763676026585637, -0.599276399770586)); #160067=CARTESIAN_POINT('Ctrl Pts',(1.5396484191709,0.637017700237886,-0.664260647211293)); #160068=CARTESIAN_POINT('Ctrl Pts',(1.45327755817485,0.573481294465381, -0.695550123247965)); #160069=CARTESIAN_POINT('Ctrl Pts',(1.38849746737252,0.540059268729295, -0.707589531018497)); #160070=CARTESIAN_POINT('Ctrl Pts',(1.75419350831436,1.11862261842049,-0.498576157516474)); #160071=CARTESIAN_POINT('Ctrl Pts',(1.75276079058898,1.04973000055766,-0.506004359135357)); #160072=CARTESIAN_POINT('Ctrl Pts',(1.72581252938611,0.917722209916307, -0.546571310981924)); #160073=CARTESIAN_POINT('Ctrl Pts',(1.64717684668433,0.777470853974103, -0.621285885389372)); #160074=CARTESIAN_POINT('Ctrl Pts',(1.53749653330734,0.654507295355703, -0.691517641427289)); #160075=CARTESIAN_POINT('Ctrl Pts',(1.45076671161549,0.592210917081846, -0.72637013051848)); #160076=CARTESIAN_POINT('Ctrl Pts',(1.38492993989898,0.559318876996841, -0.740135500533907)); #160077=CARTESIAN_POINT('Ctrl Pts',(1.74437490810286,1.12031390798282,-0.511772288116351)); #160078=CARTESIAN_POINT('Ctrl Pts',(1.74278094553256,1.05410195156002,-0.519198545210492)); #160079=CARTESIAN_POINT('Ctrl Pts',(1.71464790634058,0.927391116800153, -0.560305269448755)); #160080=CARTESIAN_POINT('Ctrl Pts',(1.63351140718418,0.794157743741749, -0.635404836583242)); #160081=CARTESIAN_POINT('Ctrl Pts',(1.52200373555994,0.678818775727868, -0.704843241459807)); #160082=CARTESIAN_POINT('Ctrl Pts',(1.43535643216274,0.621471181832511, -0.738299356627472)); #160083=CARTESIAN_POINT('Ctrl Pts',(1.37071577405821,0.591395485133272, -0.751100441631597)); #160084=CARTESIAN_POINT('Ctrl Pts',(1.73941992831468,1.12097341577752,-0.516681355863459)); #160085=CARTESIAN_POINT('Ctrl Pts',(1.73772672407592,1.05590694305738,-0.524071166808874)); #160086=CARTESIAN_POINT('Ctrl Pts',(1.70885909272948,0.931443011096832, -0.565119783749756)); #160087=CARTESIAN_POINT('Ctrl Pts',(1.62628456199211,0.801133615044831, -0.639419975753958)); #160088=CARTESIAN_POINT('Ctrl Pts',(1.5139410727916,0.68893051551044,-0.707144798556287)); #160089=CARTESIAN_POINT('Ctrl Pts',(1.42763567937,0.633616666751672,-0.739049268168405)); #160090=CARTESIAN_POINT('Ctrl Pts',(1.36392100322337,0.604694655339559, -0.750934561244658)); #160091=CARTESIAN_POINT('Origin',(1.35379011855236,0.59865798586703,-0.681935113439171)); #160092=CARTESIAN_POINT('Ctrl Pts',(1.75967247178997,1.11571578487957,-0.47358263094547)); #160093=CARTESIAN_POINT('Ctrl Pts',(1.75967670127882,1.11571098449683,-0.473534714244313)); #160094=CARTESIAN_POINT('Ctrl Pts',(1.75968094594136,1.11570853618197,-0.473486410450976)); #160095=CARTESIAN_POINT('Ctrl Pts',(1.75968520428333,1.11570847830779,-0.473437732884609)); #160096=CARTESIAN_POINT('Ctrl Pts',(1.38632328202243,0.536978392243981, -0.688036015431915)); #160097=CARTESIAN_POINT('Ctrl Pts',(1.32854512409769,0.507482544722406, -0.697938545958927)); #160098=CARTESIAN_POINT('Ctrl Pts',(1.26567387600412,0.48621057782063,-0.707397419242566)); #160099=CARTESIAN_POINT('Ctrl Pts',(1.20153622023708,0.474586045608017, -0.715680502068472)); #160100=CARTESIAN_POINT('Ctrl Pts',(1.38849746737011,0.540059268728052, -0.707589531018951)); #160101=CARTESIAN_POINT('Ctrl Pts',(1.33093073996521,0.510319564307064, -0.717766181351222)); #160102=CARTESIAN_POINT('Ctrl Pts',(1.26820586627313,0.488788779920999, -0.727479916311561)); #160103=CARTESIAN_POINT('Ctrl Pts',(1.20405543253248,0.476882107184427, -0.736000389374506)); #160104=CARTESIAN_POINT('Ctrl Pts',(1.38493102415561,0.559317376141277, -0.740137178534646)); #160105=CARTESIAN_POINT('Ctrl Pts',(1.32892234943269,0.530292263429019, -0.750720690313779)); #160106=CARTESIAN_POINT('Ctrl Pts',(1.26777152422442,0.509162405856483, -0.760857929004679)); #160107=CARTESIAN_POINT('Ctrl Pts',(1.20522120592372,0.497458898087194, -0.769730313150274)); #160108=CARTESIAN_POINT('Ctrl Pts',(1.37071577405578,0.591395485132156, -0.751100441632083)); #160109=CARTESIAN_POINT('Ctrl Pts',(1.3174062895401,0.564277642817605,-0.761341773582625)); #160110=CARTESIAN_POINT('Ctrl Pts',(1.25935078527743,0.544682676916309, -0.771128546024528)); #160111=CARTESIAN_POINT('Ctrl Pts',(1.20009516426226,0.533955950226834, -0.779705830983106)); #160112=CARTESIAN_POINT('Ctrl Pts',(1.36392100322097,0.604694655338489, -0.750934561245106)); #160113=CARTESIAN_POINT('Ctrl Pts',(1.31177365876384,0.578378045256764, -0.760893530934513)); #160114=CARTESIAN_POINT('Ctrl Pts',(1.25506019187471,0.559431799708017, -0.770410337256706)); #160115=CARTESIAN_POINT('Ctrl Pts',(1.19723073540601,0.549110763750296, -0.778748225385726)); #160116=CARTESIAN_POINT('Origin',(1.76506095429402,0.044244896020164,-0.505721475640713)); #160117=CARTESIAN_POINT('',(1.76506095429402,0.044244896020164,-0.505721475640713)); #160118=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-7.15062836853359E-33, 0.129)); #160119=CARTESIAN_POINT('',(0.395344782907478,4.84157722947248E-17,0.129)); #160120=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-7.15062836853359E-33, 0.129)); #160121=CARTESIAN_POINT('',(0.385344782907478,-4.71911254955775E-17,0.139)); #160122=CARTESIAN_POINT('Origin',(0.385344782907478,-4.71911254955775E-17, 0.129)); #160123=CARTESIAN_POINT('Origin',(2.69120251224524E-33,-7.70597856662137E-33, 0.139)); #160124=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-4.88708174317245E-33, 0.088)); #160125=CARTESIAN_POINT('',(-0.373039515395969,0.13091606977488,0.098)); #160126=CARTESIAN_POINT('',(-0.186812621342741,0.348418326915155,0.0580013342522477)); #160127=CARTESIAN_POINT('Ctrl Pts',(-0.373039515394043,0.13091606978037, 0.098)); #160128=CARTESIAN_POINT('Ctrl Pts',(-0.366560365669863,0.149378118613093, 0.0980000000000072)); #160129=CARTESIAN_POINT('Ctrl Pts',(-0.350961057684765,0.185061879070785, 0.0918802300830011)); #160130=CARTESIAN_POINT('Ctrl Pts',(-0.325299822028566,0.226122267405496, 0.0841997965316618)); #160131=CARTESIAN_POINT('Ctrl Pts',(-0.30124189286199,0.256580307267651, 0.077826900235451)); #160132=CARTESIAN_POINT('Ctrl Pts',(-0.274864274022279,0.285327637389329, 0.0717743040802214)); #160133=CARTESIAN_POINT('Ctrl Pts',(-0.238086727773687,0.3174132177214, 0.0647861063375618)); #160134=CARTESIAN_POINT('Ctrl Pts',(-0.205268321588384,0.338438873980766, 0.0601866247741084)); #160135=CARTESIAN_POINT('Ctrl Pts',(-0.187701679982921,0.347945170045034, 0.0581042582436899)); #160136=CARTESIAN_POINT('Ctrl Pts',(-0.187258446993545,0.348183895295591, 0.0580520625284467)); #160137=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0580000000000005)); #160138=CARTESIAN_POINT('',(0.395344782907478,-4.84157722947248E-17,0.098)); #160139=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-5.43916628594346E-33, 0.098)); #160140=CARTESIAN_POINT('',(0.395344782907478,-4.84157722947248E-17,0.088)); #160141=CARTESIAN_POINT('',(0.373039515394111,0.130916069780176,0.098)); #160142=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-5.43916628594346E-33, 0.098)); #160143=CARTESIAN_POINT('',(0.186814681160881,0.348421830939049,0.058)); #160144=CARTESIAN_POINT('Ctrl Pts',(0.186814681160881,0.348421830939049, 0.0580000000000001)); #160145=CARTESIAN_POINT('Ctrl Pts',(0.187258446989069,0.348183895297991, 0.0580520625279245)); #160146=CARTESIAN_POINT('Ctrl Pts',(0.187701875660564,0.347944524102881, 0.0581050429158531)); #160147=CARTESIAN_POINT('Ctrl Pts',(0.239482907125811,0.320014560066886, 0.0641140542881649)); #160148=CARTESIAN_POINT('Ctrl Pts',(0.299695572818254,0.267252051623182, 0.0762616609392453)); #160149=CARTESIAN_POINT('Ctrl Pts',(0.350942833832148,0.185042922325795, 0.0919424572976042)); #160150=CARTESIAN_POINT('Ctrl Pts',(0.366560365674165,0.149378118600867, 0.0980000000000399)); #160151=CARTESIAN_POINT('Ctrl Pts',(0.373039515394111,0.130916069780176, 0.098)); #160152=CARTESIAN_POINT('Ctrl Pts',(0.170843642497719,0.356524819879728, 0.0558236622921724)); #160153=CARTESIAN_POINT('Ctrl Pts',(0.176233165253968,0.353942206699214, 0.0565171657273246)); #160154=CARTESIAN_POINT('Ctrl Pts',(0.181558034112994,0.351239487402073, 0.0572431618285736)); #160155=CARTESIAN_POINT('Ctrl Pts',(0.186813867061554,0.348420456231445, 0.0580005737172812)); #160156=CARTESIAN_POINT('',(-0.170843642497719,0.356524819879728,0.0558236622921724)); #160157=CARTESIAN_POINT('Ctrl Pts',(-0.170843642497719,0.356524819879728, 0.0558236622921724)); #160158=CARTESIAN_POINT('Ctrl Pts',(-0.153445510261745,0.364861855951588, 0.0535849363387675)); #160159=CARTESIAN_POINT('Ctrl Pts',(-0.135340281185142,0.371967031802232, 0.0517169297317434)); #160160=CARTESIAN_POINT('Ctrl Pts',(-0.116741285357657,0.377715461247224, 0.0502196424711)); #160161=CARTESIAN_POINT('Ctrl Pts',(-0.0979615536110342,0.38351975111011, 0.0487078053072373)); #160162=CARTESIAN_POINT('Ctrl Pts',(-0.0786791097751972,0.387940305423467, 0.0475739274343403)); #160163=CARTESIAN_POINT('Ctrl Pts',(-0.0591382365115735,0.390896618499652, 0.046818008852409)); #160164=CARTESIAN_POINT('Ctrl Pts',(-0.0395973632479498,0.393852931575836, 0.0460620902704777)); #160165=CARTESIAN_POINT('Ctrl Pts',(-0.0197988990960236,0.395344782907478, 0.045684130979512)); #160166=CARTESIAN_POINT('Ctrl Pts',(-1.99776238407089E-16,0.395344782907478, 0.045684130979512)); #160167=CARTESIAN_POINT('Ctrl Pts',(-0.186811857913207,0.348417028220251, 0.058001828765598)); #160168=CARTESIAN_POINT('Ctrl Pts',(-0.181557091783992,0.351238296666603, 0.0572436073583027)); #160169=CARTESIAN_POINT('Ctrl Pts',(-0.176232978087755,0.353942296387661, 0.0565171416434831)); #160170=CARTESIAN_POINT('Ctrl Pts',(-0.170843642497719,0.356524819879728, 0.0558236622921724)); #160171=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-4.88708174317245E-33, 0.139)); #160172=CARTESIAN_POINT('',(0.3307086615,-4.05001303756281E-17,0.139)); #160173=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-4.88708174317245E-33, 0.139)); #160174=CARTESIAN_POINT('Ctrl Pts',(0.186814681160881,0.348421830939049, 0.058)); #160175=CARTESIAN_POINT('Ctrl Pts',(0.187259603758419,0.348183275068494, 0.0580390468959418)); #160176=CARTESIAN_POINT('Ctrl Pts',(0.18770421675545,0.347943099880247, 0.05807878218689)); #160177=CARTESIAN_POINT('Ctrl Pts',(0.239625092768199,0.31997614815311, 0.062585540716091)); #160178=CARTESIAN_POINT('Ctrl Pts',(0.300381373218962,0.26717631107233, 0.0716962457044425)); #160179=CARTESIAN_POINT('Ctrl Pts',(0.355385653733584,0.18614752836492, 0.0834568429731984)); #160180=CARTESIAN_POINT('Ctrl Pts',(0.374158920688158,0.150929632884994, 0.0880000000000299)); #160181=CARTESIAN_POINT('Ctrl Pts',(0.382475317547652,0.134227510209933, 0.088)); #160182=CARTESIAN_POINT('Ctrl Pts',(0.186814681160881,0.348421830939049, 0.058)); #160183=CARTESIAN_POINT('Ctrl Pts',(0.18725915533165,0.348184079442908, 0.0580421750526003)); #160184=CARTESIAN_POINT('Ctrl Pts',(0.187703521550771,0.3479428920323,0.0580887488226095)); #160185=CARTESIAN_POINT('Ctrl Pts',(0.2395652210116,0.32002102872851,0.0630438689719174)); #160186=CARTESIAN_POINT('Ctrl Pts',(0.300113559702088,0.266955471923665, 0.0733433653976657)); #160187=CARTESIAN_POINT('Ctrl Pts',(0.352840967932438,0.185059428724107, 0.085436466484511)); #160188=CARTESIAN_POINT('Ctrl Pts',(0.370077363045677,0.149317140817295, 0.0892178518501927)); #160189=CARTESIAN_POINT('Ctrl Pts',(0.377534743093272,0.132493644055457, 0.0879999999999844)); #160190=CARTESIAN_POINT('Ctrl Pts',(0.186814681160881,0.348421830939049, 0.058)); #160191=CARTESIAN_POINT('Ctrl Pts',(0.187258572674612,0.348184480302213, 0.0580475281741002)); #160192=CARTESIAN_POINT('Ctrl Pts',(0.187702913186478,0.34794331999293, 0.0580965632089003)); #160193=CARTESIAN_POINT('Ctrl Pts',(0.239520400987727,0.32001150814155, 0.063581855265191)); #160194=CARTESIAN_POINT('Ctrl Pts',(0.299885517378234,0.267082580751984, 0.0748339981316988)); #160195=CARTESIAN_POINT('Ctrl Pts',(0.351189028773592,0.184605214629218, 0.0886633667902278)); #160196=CARTESIAN_POINT('Ctrl Pts',(0.366776944434744,0.148812352278371, 0.0935855791391011)); #160197=CARTESIAN_POINT('Ctrl Pts',(0.373039515394107,0.130916069780186, 0.0927640122440071)); #160198=CARTESIAN_POINT('Ctrl Pts',(0.186814681160881,0.348421830939049, 0.0580000000000001)); #160199=CARTESIAN_POINT('Ctrl Pts',(0.187258446989069,0.348183895297991, 0.0580520625279245)); #160200=CARTESIAN_POINT('Ctrl Pts',(0.187701875660564,0.347944524102881, 0.0581050429158531)); #160201=CARTESIAN_POINT('Ctrl Pts',(0.239482907125811,0.320014560066886, 0.0641140542881649)); #160202=CARTESIAN_POINT('Ctrl Pts',(0.299695572818254,0.267252051623182, 0.0762616609392453)); #160203=CARTESIAN_POINT('Ctrl Pts',(0.350942833832148,0.185042922325795, 0.0919424572976042)); #160204=CARTESIAN_POINT('Ctrl Pts',(0.366560365674165,0.149378118600867, 0.0980000000000399)); #160205=CARTESIAN_POINT('Ctrl Pts',(0.373039515394111,0.130916069780176, 0.098)); #160206=CARTESIAN_POINT('Origin',(0.38247531754763,0.134227510209904,0.098)); #160207=CARTESIAN_POINT('Ctrl Pts',(0.382475317547652,0.134227510209933, 0.088)); #160208=CARTESIAN_POINT('Ctrl Pts',(0.374158920688158,0.150929632884994, 0.0880000000000299)); #160209=CARTESIAN_POINT('Ctrl Pts',(0.355385653733584,0.18614752836492, 0.0834568429731984)); #160210=CARTESIAN_POINT('Ctrl Pts',(0.300381373218962,0.26717631107233, 0.0716962457044425)); #160211=CARTESIAN_POINT('Ctrl Pts',(0.239625092768199,0.31997614815311, 0.062585540716091)); #160212=CARTESIAN_POINT('Ctrl Pts',(0.18770421675545,0.347943099880247, 0.05807878218689)); #160213=CARTESIAN_POINT('Ctrl Pts',(0.187259603758419,0.348183275068494, 0.0580390468959418)); #160214=CARTESIAN_POINT('Ctrl Pts',(0.186814681160881,0.348421830939049, 0.058)); #160215=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-5.43916628594346E-33, 0.098)); #160216=CARTESIAN_POINT('Origin',(-0.382475317549536,0.134227510204473, 0.098)); #160217=CARTESIAN_POINT('Ctrl Pts',(-0.382475317547564,0.134227510210108, 0.088)); #160218=CARTESIAN_POINT('Ctrl Pts',(-0.374158920682636,0.15092963289607, 0.0880000000000054)); #160219=CARTESIAN_POINT('Ctrl Pts',(-0.355408398201245,0.186188256467901, 0.0834101725622503)); #160220=CARTESIAN_POINT('Ctrl Pts',(-0.327884568255041,0.226595082510924, 0.0776498473987451)); #160221=CARTESIAN_POINT('Ctrl Pts',(-0.302741722650846,0.256849035224214, 0.0728701751765869)); #160222=CARTESIAN_POINT('Ctrl Pts',(-0.275616121903858,0.285389931651655, 0.0683307280601625)); #160223=CARTESIAN_POINT('Ctrl Pts',(-0.238296650298622,0.317377060630773, 0.0630895797531713)); #160224=CARTESIAN_POINT('Ctrl Pts',(-0.20531929967884,0.338412709987946, 0.0596399685805654)); #160225=CARTESIAN_POINT('Ctrl Pts',(-0.187703999119041,0.347943962068681, 0.0580781936827677)); #160226=CARTESIAN_POINT('Ctrl Pts',(-0.187259603762906,0.348183275066087, 0.0580390468963335)); #160227=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0580000000000004)); #160228=CARTESIAN_POINT('Ctrl Pts',(-0.380005030320379,0.133360577132871, 0.0879999999999986)); #160229=CARTESIAN_POINT('Ctrl Pts',(-0.372095633186825,0.150064570939179, 0.0886200227289478)); #160230=CARTESIAN_POINT('Ctrl Pts',(-0.354142209913388,0.18566036965948, 0.0843971052708237)); #160231=CARTESIAN_POINT('Ctrl Pts',(-0.327175552111449,0.226248086241092, 0.0785655149245292)); #160232=CARTESIAN_POINT('Ctrl Pts',(-0.302342437447581,0.256652506033203, 0.0736261519608735)); #160233=CARTESIAN_POINT('Ctrl Pts',(-0.275432946888342,0.285297943108034, 0.0688879300917639)); #160234=CARTESIAN_POINT('Ctrl Pts',(-0.238255666191491,0.317370014229722, 0.0633733242694779)); #160235=CARTESIAN_POINT('Ctrl Pts',(-0.205310699429126,0.338416235810776, 0.0597310921935423)); #160236=CARTESIAN_POINT('Ctrl Pts',(-0.187703609581237,0.347944168355827, 0.058082537545737)); #160237=CARTESIAN_POINT('Ctrl Pts',(-0.187259410968013,0.348183378437671, 0.0580412161683523)); #160238=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0580000000000004)); #160239=CARTESIAN_POINT('Ctrl Pts',(-0.375085306959148,0.131634028549461, 0.0901681162150479)); #160240=CARTESIAN_POINT('Ctrl Pts',(-0.368332161077674,0.148831431859739, 0.0913028695638203)); #160241=CARTESIAN_POINT('Ctrl Pts',(-0.352001486316752,0.184892970564922, 0.0869685539125842)); #160242=CARTESIAN_POINT('Ctrl Pts',(-0.32598982879184,0.225813033249358, 0.0806450636701096)); #160243=CARTESIAN_POINT('Ctrl Pts',(-0.301676624991103,0.256406644870972, 0.0752348309392038)); #160244=CARTESIAN_POINT('Ctrl Pts',(-0.275119252673427,0.285195901750506, 0.0700215782537821)); #160245=CARTESIAN_POINT('Ctrl Pts',(-0.238178448908289,0.317368577498126, 0.063937111927604)); #160246=CARTESIAN_POINT('Ctrl Pts',(-0.205293414608287,0.338424148499939, 0.0599130107658323)); #160247=CARTESIAN_POINT('Ctrl Pts',(-0.18770283654612,0.347944575509677, 0.058091230559573)); #160248=CARTESIAN_POINT('Ctrl Pts',(-0.187259025378226,0.348183585180839, 0.0580455547123901)); #160249=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0580000000000004)); #160250=CARTESIAN_POINT('Ctrl Pts',(-0.373039515394042,0.130916069780371, 0.0953820061220076)); #160251=CARTESIAN_POINT('Ctrl Pts',(-0.366706948935849,0.148998503817533, 0.0957715010131042)); #160252=CARTESIAN_POINT('Ctrl Pts',(-0.351080703055734,0.184873875813702, 0.090244138882333)); #160253=CARTESIAN_POINT('Ctrl Pts',(-0.325424310965986,0.225938852672145, 0.0830174566810733)); #160254=CARTESIAN_POINT('Ctrl Pts',(-0.301334419933566,0.256474433586553, 0.0769642234576355)); #160255=CARTESIAN_POINT('Ctrl Pts',(-0.274932137992975,0.285261072237612, 0.0711913468166837)); #160256=CARTESIAN_POINT('Ctrl Pts',(-0.238116319334645,0.317394600297339, 0.0645034821125568)); #160257=CARTESIAN_POINT('Ctrl Pts',(-0.205276812126888,0.338433914145789, 0.0600954869131298)); #160258=CARTESIAN_POINT('Ctrl Pts',(-0.187702061898445,0.347944969804922, 0.0580999146010003)); #160259=CARTESIAN_POINT('Ctrl Pts',(-0.187258639788439,0.348183791924007, 0.0580498932564278)); #160260=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0580000000000005)); #160261=CARTESIAN_POINT('Ctrl Pts',(-0.373039515394043,0.13091606978037, 0.098)); #160262=CARTESIAN_POINT('Ctrl Pts',(-0.366560365669863,0.149378118613093, 0.0980000000000072)); #160263=CARTESIAN_POINT('Ctrl Pts',(-0.350961057684765,0.185061879070785, 0.0918802300830011)); #160264=CARTESIAN_POINT('Ctrl Pts',(-0.325299822028566,0.226122267405496, 0.0841997965316618)); #160265=CARTESIAN_POINT('Ctrl Pts',(-0.30124189286199,0.256580307267651, 0.077826900235451)); #160266=CARTESIAN_POINT('Ctrl Pts',(-0.274864274022279,0.285327637389329, 0.0717743040802214)); #160267=CARTESIAN_POINT('Ctrl Pts',(-0.238086727773687,0.3174132177214, 0.0647861063375618)); #160268=CARTESIAN_POINT('Ctrl Pts',(-0.205268321588384,0.338438873980766, 0.0601866247741084)); #160269=CARTESIAN_POINT('Ctrl Pts',(-0.187701679982921,0.347945170045034, 0.0581042582436899)); #160270=CARTESIAN_POINT('Ctrl Pts',(-0.187258446993545,0.348183895295591, 0.0580520625284467)); #160271=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0580000000000005)); #160272=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0580000000000004)); #160273=CARTESIAN_POINT('Ctrl Pts',(-0.187259603762906,0.348183275066087, 0.0580390468963335)); #160274=CARTESIAN_POINT('Ctrl Pts',(-0.187703999119041,0.347943962068681, 0.0580781936827677)); #160275=CARTESIAN_POINT('Ctrl Pts',(-0.20531929967884,0.338412709987946, 0.0596399685805654)); #160276=CARTESIAN_POINT('Ctrl Pts',(-0.238296650298622,0.317377060630773, 0.0630895797531713)); #160277=CARTESIAN_POINT('Ctrl Pts',(-0.275616121903858,0.285389931651655, 0.0683307280601625)); #160278=CARTESIAN_POINT('Ctrl Pts',(-0.302741722650846,0.256849035224214, 0.0728701751765869)); #160279=CARTESIAN_POINT('Ctrl Pts',(-0.327884568255041,0.226595082510924, 0.0776498473987451)); #160280=CARTESIAN_POINT('Ctrl Pts',(-0.355408398201245,0.186188256467901, 0.0834101725622503)); #160281=CARTESIAN_POINT('Ctrl Pts',(-0.374158920682636,0.15092963289607, 0.0880000000000054)); #160282=CARTESIAN_POINT('Ctrl Pts',(-0.382475317547564,0.134227510210108, 0.088)); #160283=CARTESIAN_POINT('Ctrl Pts',(0.172638598214725,0.321982491455929, 0.088)); #160284=CARTESIAN_POINT('Ctrl Pts',(0.211125522137356,0.301346808547968, 0.088)); #160285=CARTESIAN_POINT('Ctrl Pts',(0.269686344730985,0.256532359387723, 0.088)); #160286=CARTESIAN_POINT('Ctrl Pts',(0.328169759230623,0.19168830874803, 0.088)); #160287=CARTESIAN_POINT('Ctrl Pts',(0.361612804016648,0.15286125685253, 0.088)); #160288=CARTESIAN_POINT('Ctrl Pts',(0.382647231895007,0.133056152070921, 0.088)); #160289=CARTESIAN_POINT('Ctrl Pts',(0.396007987184042,0.125206398283346, 0.088)); #160290=CARTESIAN_POINT('Ctrl Pts',(0.403242682818653,0.126002310910941, 0.088)); #160291=CARTESIAN_POINT('Ctrl Pts',(0.176880072313803,0.32989312333078, 0.088)); #160292=CARTESIAN_POINT('Ctrl Pts',(0.215937873603257,0.308299618203398, 0.0879768332862905)); #160293=CARTESIAN_POINT('Ctrl Pts',(0.274739436023269,0.261693212907584, 0.0880058779627623)); #160294=CARTESIAN_POINT('Ctrl Pts',(0.33209102757343,0.194992524456515, 0.0879881236308259)); #160295=CARTESIAN_POINT('Ctrl Pts',(0.364210171011826,0.155244730479344, 0.0879981641853196)); #160296=CARTESIAN_POINT('Ctrl Pts',(0.384077344067283,0.134912127023371, 0.0879969987674225)); #160297=CARTESIAN_POINT('Ctrl Pts',(0.396508901642243,0.126747102471863, 0.0880000217482546)); #160298=CARTESIAN_POINT('Ctrl Pts',(0.403097282820011,0.127323969468645, 0.088)); #160299=CARTESIAN_POINT('Ctrl Pts',(0.184226045973957,0.343593853791555, 0.0804709815440596)); #160300=CARTESIAN_POINT('Ctrl Pts',(0.224298610925438,0.32041881093767, 0.0814780414207608)); #160301=CARTESIAN_POINT('Ctrl Pts',(0.283550839163537,0.270702806257365, 0.0834599554924306)); #160302=CARTESIAN_POINT('Ctrl Pts',(0.338957206047115,0.200787565765501, 0.0857534683909792)); #160303=CARTESIAN_POINT('Ctrl Pts',(0.368756605039354,0.159417553472856, 0.0869527887980037)); #160304=CARTESIAN_POINT('Ctrl Pts',(0.386582016763235,0.138163073314212, 0.0875542462384636)); #160305=CARTESIAN_POINT('Ctrl Pts',(0.39738549405111,0.129443310764193, 0.0878107314390662)); #160306=CARTESIAN_POINT('Ctrl Pts',(0.402842834077095,0.129636860539578, 0.0878450647637576)); #160307=CARTESIAN_POINT('Ctrl Pts',(0.186814681160881,0.348421830939049, 0.0647319842576924)); #160308=CARTESIAN_POINT('Ctrl Pts',(0.227790967172104,0.325692802640906, 0.067267911212772)); #160309=CARTESIAN_POINT('Ctrl Pts',(0.288788916463817,0.276330490130925, 0.072669960318728)); #160310=CARTESIAN_POINT('Ctrl Pts',(0.344465665667699,0.205423495279159, 0.0799829524861613)); #160311=CARTESIAN_POINT('Ctrl Pts',(0.372875876132021,0.163182574623112, 0.0841659039073527)); #160312=CARTESIAN_POINT('Ctrl Pts',(0.389000912248045,0.141276768714549, 0.086339469378835)); #160313=CARTESIAN_POINT('Ctrl Pts',(0.398249424213046,0.132090016087131, 0.0872938512588553)); #160314=CARTESIAN_POINT('Ctrl Pts',(0.402592313665828,0.131914043816909, 0.0874214698888644)); #160315=CARTESIAN_POINT('Ctrl Pts',(0.186814681160881,0.348421830939049, 0.058)); #160316=CARTESIAN_POINT('Ctrl Pts',(0.228104615114519,0.326283247297738, 0.0609515206213972)); #160317=CARTESIAN_POINT('Ctrl Pts',(0.290048407923377,0.277799522575052, 0.0674758677477449)); #160318=CARTESIAN_POINT('Ctrl Pts',(0.346424251639516,0.207075200592372, 0.0770125982315991)); #160319=CARTESIAN_POINT('Ctrl Pts',(0.374509555244819,0.16467082283701, 0.0826871638703807)); #160320=CARTESIAN_POINT('Ctrl Pts',(0.390011417609746,0.142569767619304, 0.0856833262931807)); #160321=CARTESIAN_POINT('Ctrl Pts',(0.398615630658808,0.133208518499183, 0.0870134941470488)); #160322=CARTESIAN_POINT('Ctrl Pts',(0.402486202497895,0.132878574315375, 0.0871915182735868)); #160323=CARTESIAN_POINT('',(0.402486202497888,0.132878574315373,0.0871915182735871)); #160324=CARTESIAN_POINT('Origin',(0.403242682818653,0.126002310910941,0.058)); #160325=CARTESIAN_POINT('Ctrl Pts',(0.402486202497895,0.132878574315375, 0.0871915182735868)); #160326=CARTESIAN_POINT('Ctrl Pts',(0.398615630658808,0.133208518499183, 0.0870134941470488)); #160327=CARTESIAN_POINT('Ctrl Pts',(0.390011417609746,0.142569767619304, 0.0856833262931807)); #160328=CARTESIAN_POINT('Ctrl Pts',(0.374509555244819,0.16467082283701, 0.0826871638703807)); #160329=CARTESIAN_POINT('Ctrl Pts',(0.346424251639516,0.207075200592372, 0.0770125982315991)); #160330=CARTESIAN_POINT('Ctrl Pts',(0.290048407923377,0.277799522575052, 0.0674758677477449)); #160331=CARTESIAN_POINT('Ctrl Pts',(0.228104615114519,0.326283247297738, 0.0609515206213972)); #160332=CARTESIAN_POINT('Ctrl Pts',(0.186814681160881,0.348421830939049, 0.058)); #160333=CARTESIAN_POINT('Ctrl Pts',(-1.70053795156986,2.71694896598979, 0.270787543540025)); #160334=CARTESIAN_POINT('Ctrl Pts',(-1.69653003957018,2.72915073951641, 0.270729398762493)); #160335=CARTESIAN_POINT('Ctrl Pts',(-1.69249361593239,2.74133720111149, 0.270668111539977)); #160336=CARTESIAN_POINT('Ctrl Pts',(-1.68838754163037,2.7535388490663,0.270551428976608)); #160337=CARTESIAN_POINT('Ctrl Pts',(-1.70438306191783,2.71819183578752, 0.274586897370297)); #160338=CARTESIAN_POINT('Ctrl Pts',(-1.70037716492232,2.7304013193745,0.274554302779509)); #160339=CARTESIAN_POINT('Ctrl Pts',(-1.69634417694865,2.74259710546093, 0.274519499306233)); #160340=CARTESIAN_POINT('Ctrl Pts',(-1.69224736214078,2.75480318135019, 0.274453507769512)); #160341=CARTESIAN_POINT('Ctrl Pts',(-1.709249741162,2.7197648181206,0.277231803530142)); #160342=CARTESIAN_POINT('Ctrl Pts',(-1.70525630545643,2.73198683620137, 0.277218830011246)); #160343=CARTESIAN_POINT('Ctrl Pts',(-1.70123750606733,2.74419723190336, 0.277204064221874)); #160344=CARTESIAN_POINT('Ctrl Pts',(-1.69717231383576,2.75641478835912, 0.277176037199566)); #160345=CARTESIAN_POINT('Ctrl Pts',(-1.71442239443771,2.72143662220054, 0.278333355915311)); #160346=CARTESIAN_POINT('Ctrl Pts',(-1.71044491925546,2.73367249987023, 0.278328404857474)); #160347=CARTESIAN_POINT('Ctrl Pts',(-1.70644348768465,2.74589883440629, 0.27832130536239)); #160348=CARTESIAN_POINT('Ctrl Pts',(-1.70241670082239,2.75812965374492, 0.278306794134419)); #160349=CARTESIAN_POINT('Ctrl Pts',(2.04976583014888,0.491254387213523, 0.27864301080053)); #160350=CARTESIAN_POINT('Ctrl Pts',(2.08463425409385,0.579780054566741, 0.278669774116418)); #160351=CARTESIAN_POINT('Ctrl Pts',(2.09900148144327,0.676784321623192, 0.278668794588455)); #160352=CARTESIAN_POINT('Ctrl Pts',(2.09181230314476,0.771434093302187, 0.278548510705471)); #160353=CARTESIAN_POINT('Ctrl Pts',(2.0471188876178,0.492308026394339,0.278331710825374)); #160354=CARTESIAN_POINT('Ctrl Pts',(2.08166599751408,0.580501301536701, 0.278306585528538)); #160355=CARTESIAN_POINT('Ctrl Pts',(2.09567467047982,0.67712372682518,0.278233086793457)); #160356=CARTESIAN_POINT('Ctrl Pts',(2.08795321573721,0.771176869339121, 0.277900875068332)); #160357=CARTESIAN_POINT('Ctrl Pts',(2.04451407051493,0.493345196261376, 0.277609436005027)); #160358=CARTESIAN_POINT('Ctrl Pts',(2.07875210454647,0.58120853217238,0.277480777739213)); #160359=CARTESIAN_POINT('Ctrl Pts',(2.09241511816433,0.677448924528896, 0.277263817148208)); #160360=CARTESIAN_POINT('Ctrl Pts',(2.08422392667952,0.770928514345074, 0.276485573989822)); #160361=CARTESIAN_POINT('Ctrl Pts',(2.04205704867405,0.49432382185769,0.276505486922676)); #160362=CARTESIAN_POINT('Ctrl Pts',(2.07602393461747,0.581867832308771, 0.276229498503721)); #160363=CARTESIAN_POINT('Ctrl Pts',(2.08938404217638,0.677729843772476, 0.275802019471084)); #160364=CARTESIAN_POINT('Ctrl Pts',(2.08090471926797,0.770707694010826, 0.274408977677604)); #160365=CARTESIAN_POINT('',(2.04205704867405,0.49432382185769,0.276505486922676)); #160366=CARTESIAN_POINT('Ctrl Pts',(2.08090471926797,0.770707694010826, 0.274408977677604)); #160367=CARTESIAN_POINT('Ctrl Pts',(2.08938404217638,0.677729843772476, 0.275802019471084)); #160368=CARTESIAN_POINT('Ctrl Pts',(2.07602393461747,0.581867832308771, 0.276229498503721)); #160369=CARTESIAN_POINT('Ctrl Pts',(2.04205704867405,0.49432382185769,0.276505486922676)); #160370=CARTESIAN_POINT('Origin',(2.05280090306719,0.490067950965901,0.248820524294358)); #160371=CARTESIAN_POINT('Ctrl Pts',(1.99625296211848,0.393375547718033, 0.277968419334434)); #160372=CARTESIAN_POINT('Ctrl Pts',(2.0155244693801,0.425017267703198,0.277889024223042)); #160373=CARTESIAN_POINT('Ctrl Pts',(2.0320650473944,0.458461318126505,0.27782368554031)); #160374=CARTESIAN_POINT('Ctrl Pts',(2.04552983417859,0.4929408228731,0.277783917814834)); #160375=CARTESIAN_POINT('Ctrl Pts',(1.99524307147416,0.39399436292026,0.277671333462858)); #160376=CARTESIAN_POINT('Ctrl Pts',(2.01445270326987,0.425593886665481, 0.277579462326631)); #160377=CARTESIAN_POINT('Ctrl Pts',(2.0309361717,0.458988650818969,0.277499241056253)); #160378=CARTESIAN_POINT('Ctrl Pts',(2.04434780552755,0.493411486953273, 0.27744049226435)); #160379=CARTESIAN_POINT('Ctrl Pts',(1.99424861417447,0.394603596699604, 0.277301845631732)); #160380=CARTESIAN_POINT('Ctrl Pts',(2.01339800444968,0.426161179934863, 0.277193668045254)); #160381=CARTESIAN_POINT('Ctrl Pts',(2.02982619508603,0.459507063066357, 0.277094790917752)); #160382=CARTESIAN_POINT('Ctrl Pts',(2.04318685881192,0.493873821332054, 0.277013114833906)); #160383=CARTESIAN_POINT('Ctrl Pts',(1.99327698770843,0.395198717136799, 0.276862704357432)); #160384=CARTESIAN_POINT('Ctrl Pts',(2.01236861550589,0.426714684449518, 0.276734658684608)); #160385=CARTESIAN_POINT('Ctrl Pts',(2.02874423769028,0.460012261303164, 0.276613656439934)); #160386=CARTESIAN_POINT('Ctrl Pts',(2.04205704867405,0.49432382185769,0.276505486922676)); #160387=CARTESIAN_POINT('',(1.99327698770843,0.395198717136799,0.276862704357432)); #160388=CARTESIAN_POINT('Ctrl Pts',(2.04205704867405,0.49432382185769,0.276505486922676)); #160389=CARTESIAN_POINT('Ctrl Pts',(2.02874423769028,0.460012261303164, 0.276613656439934)); #160390=CARTESIAN_POINT('Ctrl Pts',(2.01236861550589,0.426714684449518, 0.276734658684608)); #160391=CARTESIAN_POINT('Ctrl Pts',(1.99327698770843,0.395198717136799, 0.276862704357432)); #160392=CARTESIAN_POINT('Origin',(2.00245406774384,0.389525727475451,0.248869876877416)); #160393=CARTESIAN_POINT('Ctrl Pts',(1.89647557796626,0.231861196522523, 0.279)); #160394=CARTESIAN_POINT('Ctrl Pts',(1.93412853370024,0.282792108844279, 0.279)); #160395=CARTESIAN_POINT('Ctrl Pts',(1.96963116096716,0.335387590782326, 0.278955997576719)); #160396=CARTESIAN_POINT('Ctrl Pts',(2.00288590448005,0.389309475439521, 0.278865989160347)); #160397=CARTESIAN_POINT('Ctrl Pts',(1.8933970720373,0.234125115983284,0.279)); #160398=CARTESIAN_POINT('Ctrl Pts',(1.93097500838823,0.284976962081381, 0.279020406950434)); #160399=CARTESIAN_POINT('Ctrl Pts',(1.96643024964288,0.337469392345898, 0.278996508841055)); #160400=CARTESIAN_POINT('Ctrl Pts',(1.99961361835813,0.391316242207612, 0.278927565832039)); #160401=CARTESIAN_POINT('Ctrl Pts',(1.89031965259337,0.236388236447826, 0.278261117928022)); #160402=CARTESIAN_POINT('Ctrl Pts',(1.92781923548613,0.287163104626316, 0.278296576337428)); #160403=CARTESIAN_POINT('Ctrl Pts',(1.96322360988432,0.339554267457566, 0.278299856140273)); #160404=CARTESIAN_POINT('Ctrl Pts',(1.99633177240882,0.393327663938914, 0.278243360497346)); #160405=CARTESIAN_POINT('Ctrl Pts',(1.8874631946463,0.238488862818036,0.276836145321676)); #160406=CARTESIAN_POINT('Ctrl Pts',(1.92488823009648,0.289193219002696, 0.27688059158767)); #160407=CARTESIAN_POINT('Ctrl Pts',(1.96023977953609,0.34149351694588,0.276915715861923)); #160408=CARTESIAN_POINT('Ctrl Pts',(1.99327698770843,0.395198717136799, 0.276862704357432)); #160409=CARTESIAN_POINT('',(1.8874631946463,0.238488862818036,0.276836145321676)); #160410=CARTESIAN_POINT('Ctrl Pts',(1.99327698770843,0.395198717136799, 0.276862704357432)); #160411=CARTESIAN_POINT('Ctrl Pts',(1.96023977953609,0.34149351694588,0.276915715861923)); #160412=CARTESIAN_POINT('Ctrl Pts',(1.92488823009648,0.289193219002696, 0.27688059158767)); #160413=CARTESIAN_POINT('Ctrl Pts',(1.8874631946463,0.238488862818036,0.276836145321676)); #160414=CARTESIAN_POINT('Origin',(1.89647557796626,0.231861196522523,0.249)); #160415=CARTESIAN_POINT('Ctrl Pts',(1.37151438409831,-0.284891044239781, 0.279)); #160416=CARTESIAN_POINT('Ctrl Pts',(1.57150767558984,-0.142435872491036, 0.279)); #160417=CARTESIAN_POINT('Ctrl Pts',(1.75100592104457,0.034049742061495, 0.279)); #160418=CARTESIAN_POINT('Ctrl Pts',(1.89647557796466,0.231861196520355, 0.279)); #160419=CARTESIAN_POINT('Ctrl Pts',(1.36963206252359,-0.282248446693108, 0.279)); #160420=CARTESIAN_POINT('Ctrl Pts',(1.56909522409147,-0.139633041512638, 0.279000526269481)); #160421=CARTESIAN_POINT('Ctrl Pts',(1.74804176087416,0.036729288020129, 0.279000300524269)); #160422=CARTESIAN_POINT('Ctrl Pts',(1.89339707203571,0.23412511598112,0.279)); #160423=CARTESIAN_POINT('Ctrl Pts',(1.36775008683195,-0.279606334732858, 0.27846910870424)); #160424=CARTESIAN_POINT('Ctrl Pts',(1.56668344258246,-0.13683102857219, 0.278325073222362)); #160425=CARTESIAN_POINT('Ctrl Pts',(1.74507884064959,0.0394077206552504, 0.278201141987921)); #160426=CARTESIAN_POINT('Ctrl Pts',(1.89031965259178,0.236388236445667, 0.278261117928021)); #160427=CARTESIAN_POINT('Ctrl Pts',(1.3659659701255,-0.277101607328797, 0.277434833830992)); #160428=CARTESIAN_POINT('Ctrl Pts',(1.56442328382424,-0.134211476156493, 0.277015969781817)); #160429=CARTESIAN_POINT('Ctrl Pts',(1.74234623568864,0.0418808466358502, 0.27666380328164)); #160430=CARTESIAN_POINT('Ctrl Pts',(1.88746319464471,0.238488862815881, 0.276836145321675)); #160431=CARTESIAN_POINT('',(1.3659659701255,-0.277101607328797,0.277434833830992)); #160432=CARTESIAN_POINT('Ctrl Pts',(1.88746319464471,0.238488862815881, 0.276836145321675)); #160433=CARTESIAN_POINT('Ctrl Pts',(1.74234623568864,0.0418808466358502, 0.27666380328164)); #160434=CARTESIAN_POINT('Ctrl Pts',(1.56442328382424,-0.134211476156493, 0.277015969781817)); #160435=CARTESIAN_POINT('Ctrl Pts',(1.3659659701255,-0.277101607328797, 0.277434833830992)); #160436=CARTESIAN_POINT('',(1.37151438409831,-0.284891044239781,0.279)); #160437=CARTESIAN_POINT('Origin',(1.37151438409831,-0.284891044239781,0.249)); #160438=CARTESIAN_POINT('Ctrl Pts',(1.37151438409831,-0.284891044239781, 0.279)); #160439=CARTESIAN_POINT('Ctrl Pts',(1.57150767558984,-0.142435872491036, 0.279)); #160440=CARTESIAN_POINT('Ctrl Pts',(1.75100592104457,0.034049742061495, 0.279)); #160441=CARTESIAN_POINT('Ctrl Pts',(1.89647557796466,0.231861196520355, 0.279)); #160442=CARTESIAN_POINT('Ctrl Pts',(-4.06498981457242E-15,-0.723999992905908, 0.279)); #160443=CARTESIAN_POINT('Ctrl Pts',(0.138988109438611,-0.723999992905908, 0.279)); #160444=CARTESIAN_POINT('Ctrl Pts',(0.419095205574755,-0.699076418442209, 0.279)); #160445=CARTESIAN_POINT('Ctrl Pts',(0.891129419222295,-0.570397682357848, 0.279)); #160446=CARTESIAN_POINT('Ctrl Pts',(1.20170611385343,-0.405845432867945, 0.279)); #160447=CARTESIAN_POINT('Ctrl Pts',(1.37151438409831,-0.284891044239781, 0.279)); #160448=CARTESIAN_POINT('Ctrl Pts',(-4.06870285356116E-15,-0.72173259751525, 0.279)); #160449=CARTESIAN_POINT('Ctrl Pts',(0.138854526913334,-0.72173259751525, 0.279)); #160450=CARTESIAN_POINT('Ctrl Pts',(0.418694031027713,-0.696793771539198, 0.278999881478303)); #160451=CARTESIAN_POINT('Ctrl Pts',(0.890194229758504,-0.568025021462712, 0.279000160435171)); #160452=CARTESIAN_POINT('Ctrl Pts',(1.20027032598278,-0.40333976409018, 0.278999554797666)); #160453=CARTESIAN_POINT('Ctrl Pts',(1.36963206252359,-0.282248446693108, 0.279)); #160454=CARTESIAN_POINT('Ctrl Pts',(-4.07241572938606E-15,-0.719465301761829, 0.278741850280125)); #160455=CARTESIAN_POINT('Ctrl Pts',(0.138720951089689,-0.719465301761829, 0.278741850280125)); #160456=CARTESIAN_POINT('Ctrl Pts',(0.418292858174036,-0.694511216365577, 0.278734054754825)); #160457=CARTESIAN_POINT('Ctrl Pts',(0.889259124046636,-0.56565254125636, 0.278678052166096)); #160458=CARTESIAN_POINT('Ctrl Pts',(1.1988346102206,-0.400834299369357, 0.278590956797295)); #160459=CARTESIAN_POINT('Ctrl Pts',(1.36775008683195,-0.279606334732858, 0.27846910870424)); #160460=CARTESIAN_POINT('Ctrl Pts',(-4.07603373139739E-15,-0.717255941421484, 0.278232135905121)); #160461=CARTESIAN_POINT('Ctrl Pts',(0.138590790785008,-0.717255941421484, 0.278232135905121)); #160462=CARTESIAN_POINT('Ctrl Pts',(0.417901215225784,-0.692288465538111, 0.278209269487167)); #160463=CARTESIAN_POINT('Ctrl Pts',(0.888353434812386,-0.563355616083923, 0.278044128814936)); #160464=CARTESIAN_POINT('Ctrl Pts',(1.19745137221185,-0.398428015059071, 0.277789175587455)); #160465=CARTESIAN_POINT('Ctrl Pts',(1.3659659701255,-0.277101607328797, 0.277434833830992)); #160466=CARTESIAN_POINT('',(-4.68996350477747E-15,-0.717255941421484,0.278232135905121)); #160467=CARTESIAN_POINT('Ctrl Pts',(1.3659659701255,-0.277101607328797, 0.277434833830992)); #160468=CARTESIAN_POINT('Ctrl Pts',(1.19745137221185,-0.398428015059071, 0.277789175587455)); #160469=CARTESIAN_POINT('Ctrl Pts',(0.888353434812386,-0.563355616083923, 0.278044128814936)); #160470=CARTESIAN_POINT('Ctrl Pts',(0.417901215225784,-0.692288465538111, 0.278209269487167)); #160471=CARTESIAN_POINT('Ctrl Pts',(0.138590790785008,-0.717255941421484, 0.278232135905121)); #160472=CARTESIAN_POINT('Ctrl Pts',(-4.07603373139739E-15,-0.717255941421484, 0.278232135905121)); #160473=CARTESIAN_POINT('',(-4.0212802466738E-15,-0.723999992905908,0.279)); #160474=CARTESIAN_POINT('Origin',(-4.0212802466738E-15,-0.723999992905908, 0.249)); #160475=CARTESIAN_POINT('Ctrl Pts',(-4.06498981457242E-15,-0.723999992905908, 0.279)); #160476=CARTESIAN_POINT('Ctrl Pts',(0.138988109438611,-0.723999992905908, 0.279)); #160477=CARTESIAN_POINT('Ctrl Pts',(0.419095205574755,-0.699076418442209, 0.279)); #160478=CARTESIAN_POINT('Ctrl Pts',(0.891129419222295,-0.570397682357848, 0.279)); #160479=CARTESIAN_POINT('Ctrl Pts',(1.20170611385343,-0.405845432867945, 0.279)); #160480=CARTESIAN_POINT('Ctrl Pts',(1.37151438409831,-0.284891044239781, 0.279)); #160481=CARTESIAN_POINT('Ctrl Pts',(-7.4908583273311E-12,-0.717255941421484, 0.278232135905121)); #160482=CARTESIAN_POINT('Ctrl Pts',(-0.207691696358999,-0.71725594142082, 0.27823213590512)); #160483=CARTESIAN_POINT('Ctrl Pts',(-0.698293917220044,-0.651336806881386, 0.27814665862572)); #160484=CARTESIAN_POINT('Ctrl Pts',(-1.14149004674186,-0.438718806926128, 0.277906847496041)); #160485=CARTESIAN_POINT('Ctrl Pts',(-1.36596597012551,-0.277101607328795, 0.277434833830992)); #160486=CARTESIAN_POINT('Ctrl Pts',(-7.49789472802246E-12,-0.719465301761829, 0.278741850280125)); #160487=CARTESIAN_POINT('Ctrl Pts',(-0.207886754157028,-0.719465301761165, 0.278741850280125)); #160488=CARTESIAN_POINT('Ctrl Pts',(-0.698954784079584,-0.653581565661962, 0.278712760043893)); #160489=CARTESIAN_POINT('Ctrl Pts',(-1.14274015857902,-0.441092399670941, 0.278631420833514)); #160490=CARTESIAN_POINT('Ctrl Pts',(-1.36775008683196,-0.279606334732856, 0.27846910870424)); #160491=CARTESIAN_POINT('Ctrl Pts',(-7.50511587075833E-12,-0.72173259751525, 0.279)); #160492=CARTESIAN_POINT('Ctrl Pts',(-0.208086930440374,-0.721732597514586, 0.279)); #160493=CARTESIAN_POINT('Ctrl Pts',(-0.699636937222117,-0.655892910364728, 0.279000265556482)); #160494=CARTESIAN_POINT('Ctrl Pts',(-1.14402767770147,-0.44355248576739, 0.278999406952239)); #160495=CARTESIAN_POINT('Ctrl Pts',(-1.3696320625236,-0.282248446693106, 0.279)); #160496=CARTESIAN_POINT('Ctrl Pts',(-7.51233755354744E-12,-0.723999992905908, 0.279)); #160497=CARTESIAN_POINT('Ctrl Pts',(-0.208287116766764,-0.723999992905244, 0.279)); #160498=CARTESIAN_POINT('Ctrl Pts',(-0.700319180833632,-0.658204427404234, 0.279)); #160499=CARTESIAN_POINT('Ctrl Pts',(-1.1453151780157,-0.446012682428804, 0.279)); #160500=CARTESIAN_POINT('Ctrl Pts',(-1.37151438409832,-0.284891044239779, 0.279)); #160501=CARTESIAN_POINT('',(-1.36596597012551,-0.277101607328795,0.277434833830992)); #160502=CARTESIAN_POINT('',(-1.37151438409832,-0.284891044239779,0.279)); #160503=CARTESIAN_POINT('Origin',(-1.37151438409832,-0.284891044239779, 0.249)); #160504=CARTESIAN_POINT('Ctrl Pts',(-1.37151438409832,-0.284891044239779, 0.279)); #160505=CARTESIAN_POINT('Ctrl Pts',(-1.1453151780157,-0.446012682428804, 0.279)); #160506=CARTESIAN_POINT('Ctrl Pts',(-0.700319180833632,-0.658204427404234, 0.279)); #160507=CARTESIAN_POINT('Ctrl Pts',(-0.208287116766764,-0.723999992905244, 0.279)); #160508=CARTESIAN_POINT('Ctrl Pts',(-7.51233755354744E-12,-0.723999992905908, 0.279)); #160509=CARTESIAN_POINT('Ctrl Pts',(-7.4908583273311E-12,-0.717255941421484, 0.278232135905121)); #160510=CARTESIAN_POINT('Ctrl Pts',(-0.207691696358999,-0.71725594142082, 0.27823213590512)); #160511=CARTESIAN_POINT('Ctrl Pts',(-0.698293917220044,-0.651336806881386, 0.27814665862572)); #160512=CARTESIAN_POINT('Ctrl Pts',(-1.14149004674186,-0.438718806926128, 0.277906847496041)); #160513=CARTESIAN_POINT('Ctrl Pts',(-1.36596597012551,-0.277101607328795, 0.277434833830992)); #160514=CARTESIAN_POINT('Ctrl Pts',(-1.36596597012551,-0.277101607328795, 0.277434833830992)); #160515=CARTESIAN_POINT('Ctrl Pts',(-1.56442328382496,-0.134211476155975, 0.277015969781815)); #160516=CARTESIAN_POINT('Ctrl Pts',(-1.74234623568994,0.0418808466371349, 0.276663803281639)); #160517=CARTESIAN_POINT('Ctrl Pts',(-1.88746319464631,0.23848886281804, 0.276836145321676)); #160518=CARTESIAN_POINT('Ctrl Pts',(-1.36775008683196,-0.279606334732856, 0.27846910870424)); #160519=CARTESIAN_POINT('Ctrl Pts',(-1.56668344258319,-0.136831028571673, 0.278325073222362)); #160520=CARTESIAN_POINT('Ctrl Pts',(-1.74507884065089,0.0394077206565373, 0.278201141987921)); #160521=CARTESIAN_POINT('Ctrl Pts',(-1.89031965259338,0.23638823644783, 0.278261117928022)); #160522=CARTESIAN_POINT('Ctrl Pts',(-1.3696320625236,-0.282248446693106, 0.279)); #160523=CARTESIAN_POINT('Ctrl Pts',(-1.5690952240922,-0.13963304151212, 0.279000526269481)); #160524=CARTESIAN_POINT('Ctrl Pts',(-1.74804176087547,0.0367292880214167, 0.279000300524269)); #160525=CARTESIAN_POINT('Ctrl Pts',(-1.89339707203731,0.234125115983288, 0.279)); #160526=CARTESIAN_POINT('Ctrl Pts',(-1.37151438409832,-0.284891044239779, 0.279)); #160527=CARTESIAN_POINT('Ctrl Pts',(-1.57150767559057,-0.142435872490519, 0.279)); #160528=CARTESIAN_POINT('Ctrl Pts',(-1.75100592104588,0.0340497420627852, 0.279)); #160529=CARTESIAN_POINT('Ctrl Pts',(-1.89647557796627,0.231861196522528, 0.279)); #160530=CARTESIAN_POINT('',(-1.88746319464631,0.23848886281804,0.276836145321676)); #160531=CARTESIAN_POINT('Origin',(-1.89647557796627,0.231861196522528,0.249)); #160532=CARTESIAN_POINT('Ctrl Pts',(-1.89647557796627,0.231861196522528, 0.279)); #160533=CARTESIAN_POINT('Ctrl Pts',(-1.75100592104588,0.0340497420627852, 0.279)); #160534=CARTESIAN_POINT('Ctrl Pts',(-1.57150767559057,-0.142435872490519, 0.279)); #160535=CARTESIAN_POINT('Ctrl Pts',(-1.37151438409832,-0.284891044239779, 0.279)); #160536=CARTESIAN_POINT('Ctrl Pts',(-1.36596597012551,-0.277101607328795, 0.277434833830992)); #160537=CARTESIAN_POINT('Ctrl Pts',(-1.56442328382496,-0.134211476155975, 0.277015969781815)); #160538=CARTESIAN_POINT('Ctrl Pts',(-1.74234623568994,0.0418808466371349, 0.276663803281639)); #160539=CARTESIAN_POINT('Ctrl Pts',(-1.88746319464631,0.23848886281804, 0.276836145321676)); #160540=CARTESIAN_POINT('Ctrl Pts',(-1.88746319464631,0.23848886281804, 0.276836145321676)); #160541=CARTESIAN_POINT('Ctrl Pts',(-1.92488823009649,0.289193219002701, 0.27688059158767)); #160542=CARTESIAN_POINT('Ctrl Pts',(-1.9602397795361,0.341493516945884, 0.276915715861923)); #160543=CARTESIAN_POINT('Ctrl Pts',(-1.99327698770844,0.395198717136802, 0.276862704357432)); #160544=CARTESIAN_POINT('Ctrl Pts',(-1.89031965259338,0.23638823644783, 0.278261117928022)); #160545=CARTESIAN_POINT('Ctrl Pts',(-1.92781923548615,0.28716310462632, 0.278296576337428)); #160546=CARTESIAN_POINT('Ctrl Pts',(-1.96322360988433,0.33955426745757, 0.278299856140273)); #160547=CARTESIAN_POINT('Ctrl Pts',(-1.99633177240883,0.393327663938917, 0.278243360497346)); #160548=CARTESIAN_POINT('Ctrl Pts',(-1.89339707203731,0.234125115983288, 0.279)); #160549=CARTESIAN_POINT('Ctrl Pts',(-1.93097500838824,0.284976962081386, 0.279020406950434)); #160550=CARTESIAN_POINT('Ctrl Pts',(-1.96643024964289,0.337469392345902, 0.278996508841055)); #160551=CARTESIAN_POINT('Ctrl Pts',(-1.99961361835814,0.391316242207615, 0.278927565832039)); #160552=CARTESIAN_POINT('Ctrl Pts',(-1.89647557796627,0.231861196522528, 0.279)); #160553=CARTESIAN_POINT('Ctrl Pts',(-1.93412853370025,0.282792108844284, 0.279)); #160554=CARTESIAN_POINT('Ctrl Pts',(-1.96963116096717,0.33538759078233, 0.278955997576719)); #160555=CARTESIAN_POINT('Ctrl Pts',(-2.00288590448006,0.389309475439524, 0.278865989160347)); #160556=CARTESIAN_POINT('',(-1.99327698770844,0.395198717136802,0.276862704357432)); #160557=CARTESIAN_POINT('Ctrl Pts',(-1.88746319464631,0.23848886281804, 0.276836145321676)); #160558=CARTESIAN_POINT('Ctrl Pts',(-1.92488823009649,0.289193219002701, 0.27688059158767)); #160559=CARTESIAN_POINT('Ctrl Pts',(-1.9602397795361,0.341493516945884, 0.276915715861923)); #160560=CARTESIAN_POINT('Ctrl Pts',(-1.99327698770844,0.395198717136802, 0.276862704357432)); #160561=CARTESIAN_POINT('Origin',(-2.00245406774385,0.389525727475453,0.248869876877416)); #160562=CARTESIAN_POINT('Ctrl Pts',(-1.99327698770844,0.395198717136803, 0.276862704357432)); #160563=CARTESIAN_POINT('Ctrl Pts',(-2.0123686155059,0.426714684449522, 0.276734658684608)); #160564=CARTESIAN_POINT('Ctrl Pts',(-2.02874423769029,0.460012261303167, 0.276613656439934)); #160565=CARTESIAN_POINT('Ctrl Pts',(-2.04205704867406,0.494323821857693, 0.276505486922676)); #160566=CARTESIAN_POINT('Ctrl Pts',(-1.99424861417448,0.394603596699608, 0.277301845631732)); #160567=CARTESIAN_POINT('Ctrl Pts',(-2.01339800444969,0.426161179934867, 0.277193668045254)); #160568=CARTESIAN_POINT('Ctrl Pts',(-2.02982619508603,0.459507063066361, 0.277094790917752)); #160569=CARTESIAN_POINT('Ctrl Pts',(-2.04318685881193,0.493873821332057, 0.277013114833906)); #160570=CARTESIAN_POINT('Ctrl Pts',(-1.99524307147417,0.393994362920264, 0.277671333462858)); #160571=CARTESIAN_POINT('Ctrl Pts',(-2.01445270326988,0.425593886665485, 0.277579462326631)); #160572=CARTESIAN_POINT('Ctrl Pts',(-2.0309361717,0.458988650818972,0.277499241056253)); #160573=CARTESIAN_POINT('Ctrl Pts',(-2.04434780552756,0.493411486953276, 0.27744049226435)); #160574=CARTESIAN_POINT('Ctrl Pts',(-1.99625296211849,0.393375547718037, 0.277968419334433)); #160575=CARTESIAN_POINT('Ctrl Pts',(-2.01552446938011,0.425017267703202, 0.277889024223042)); #160576=CARTESIAN_POINT('Ctrl Pts',(-2.03206504739441,0.458461318126508, 0.277823685540309)); #160577=CARTESIAN_POINT('Ctrl Pts',(-2.04552983417859,0.492940822873103, 0.277783917814833)); #160578=CARTESIAN_POINT('',(-2.04205704867406,0.494323821857693,0.276505486922676)); #160579=CARTESIAN_POINT('Ctrl Pts',(-1.99327698770844,0.395198717136803, 0.276862704357432)); #160580=CARTESIAN_POINT('Ctrl Pts',(-2.0123686155059,0.426714684449522, 0.276734658684608)); #160581=CARTESIAN_POINT('Ctrl Pts',(-2.02874423769029,0.460012261303167, 0.276613656439934)); #160582=CARTESIAN_POINT('Ctrl Pts',(-2.04205704867406,0.494323821857693, 0.276505486922676)); #160583=CARTESIAN_POINT('Origin',(-2.0528009030672,0.490067950965904,0.248820524294358)); #160584=CARTESIAN_POINT('Ctrl Pts',(-2.04205704867406,0.494323821857693, 0.276505486922676)); #160585=CARTESIAN_POINT('Ctrl Pts',(-2.07602393460739,0.581867832282755, 0.276229498503803)); #160586=CARTESIAN_POINT('Ctrl Pts',(-2.08938404217387,0.677729843800113, 0.27580201947067)); #160587=CARTESIAN_POINT('Ctrl Pts',(-2.08090471926798,0.77070769401083, 0.274408977677603)); #160588=CARTESIAN_POINT('Ctrl Pts',(-2.04451407051494,0.493345196261379, 0.277609436005027)); #160589=CARTESIAN_POINT('Ctrl Pts',(-2.07875210453631,0.581208532146269, 0.277480777739251)); #160590=CARTESIAN_POINT('Ctrl Pts',(-2.09241511816191,0.677448924556682, 0.277263817147977)); #160591=CARTESIAN_POINT('Ctrl Pts',(-2.08422392667953,0.770928514345078, 0.276485573989822)); #160592=CARTESIAN_POINT('Ctrl Pts',(-2.0471188876178,0.492308026394342, 0.278331710825374)); #160593=CARTESIAN_POINT('Ctrl Pts',(-2.08166599750383,0.580501301510491, 0.278306585528545)); #160594=CARTESIAN_POINT('Ctrl Pts',(-2.09567467047753,0.677123726853137, 0.278233086793358)); #160595=CARTESIAN_POINT('Ctrl Pts',(-2.08795321573722,0.771176869339124, 0.277900875068332)); #160596=CARTESIAN_POINT('Ctrl Pts',(-2.04976583014889,0.491254387213527, 0.27864301080053)); #160597=CARTESIAN_POINT('Ctrl Pts',(-2.08463425408349,0.579780054540433, 0.27866977411641)); #160598=CARTESIAN_POINT('Ctrl Pts',(-2.09900148144114,0.676784321651327, 0.278668794588419)); #160599=CARTESIAN_POINT('Ctrl Pts',(-2.09181230314477,0.77143409330219, 0.278548510705471)); #160600=CARTESIAN_POINT('Ctrl Pts',(-2.04205704867406,0.494323821857693, 0.276505486922676)); #160601=CARTESIAN_POINT('Ctrl Pts',(-2.07602393460739,0.581867832282755, 0.276229498503803)); #160602=CARTESIAN_POINT('Ctrl Pts',(-2.08938404217387,0.677729843800113, 0.27580201947067)); #160603=CARTESIAN_POINT('Ctrl Pts',(-2.08090471926798,0.77070769401083, 0.274408977677603)); #160604=CARTESIAN_POINT('Origin',(-2.09675527886805,0.771771938469549,0.248960458190275)); #160605=CARTESIAN_POINT('Ctrl Pts',(-2.08090471926798,0.770707694010832, 0.274408977677603)); #160606=CARTESIAN_POINT('Ctrl Pts',(-2.08038744518599,0.778206451113188, 0.274400388848977)); #160607=CARTESIAN_POINT('Ctrl Pts',(-2.07985809096029,0.785704607010586, 0.274390879078348)); #160608=CARTESIAN_POINT('Ctrl Pts',(-2.07931655173263,0.793201803813316, 0.274380293619939)); #160609=CARTESIAN_POINT('Ctrl Pts',(-2.08426755598647,0.770931416916974, 0.276512869800739)); #160610=CARTESIAN_POINT('Ctrl Pts',(-2.08375122680571,0.77843421436213, 0.276508187775125)); #160611=CARTESIAN_POINT('Ctrl Pts',(-2.08322347760254,0.785936326781873, 0.276502905569735)); #160612=CARTESIAN_POINT('Ctrl Pts',(-2.08268423598491,0.79343754776208, 0.276497043058162)); #160613=CARTESIAN_POINT('Ctrl Pts',(-2.0880514098785,0.771183408774032, 0.277937714482237)); #160614=CARTESIAN_POINT('Ctrl Pts',(-2.08753711874999,0.77869072181436, 0.277936143017105)); #160615=CARTESIAN_POINT('Ctrl Pts',(-2.0870122024591,0.786197269114535, 0.277934052673354)); #160616=CARTESIAN_POINT('Ctrl Pts',(-2.086476691763,0.79370301501773,0.277931555744438)); #160617=CARTESIAN_POINT('Ctrl Pts',(-2.09196454540962,0.771444240983231, 0.278573656046767)); #160618=CARTESIAN_POINT('Ctrl Pts',(-2.09145278279591,0.778956166605382, 0.278573991061837)); #160619=CARTESIAN_POINT('Ctrl Pts',(-2.09093116207394,0.786467248176068, 0.278573604488795)); #160620=CARTESIAN_POINT('Ctrl Pts',(-2.09039984825234,0.79397762098372, 0.278572598130152)); #160621=CARTESIAN_POINT('Origin',(1.20460739418688E-18,1.32079817238328, 0.279)); #160622=CARTESIAN_POINT('Ctrl Pts',(2.08518479165676,0.765635709638564, 0.276862704357432)); #160623=CARTESIAN_POINT('Ctrl Pts',(1.96226671493259,0.911296461976894, 0.206394885850132)); #160624=CARTESIAN_POINT('Ctrl Pts',(1.83934863820842,1.05695721431522,0.135927067342832)); #160625=CARTESIAN_POINT('Ctrl Pts',(1.71643056148425,1.20261796665355,0.0654592488355325)); #160626=CARTESIAN_POINT('Ctrl Pts',(2.09386150773191,0.636611362704171, 0.276862704357432)); #160627=CARTESIAN_POINT('Ctrl Pts',(1.96939943422799,0.798373275278484, 0.206394885850132)); #160628=CARTESIAN_POINT('Ctrl Pts',(1.84514744091146,0.960094068852549, 0.135927067342832)); #160629=CARTESIAN_POINT('Ctrl Pts',(1.72068536740754,1.12185598142686,0.0654592488355325)); #160630=CARTESIAN_POINT('Ctrl Pts',(2.06122922609926,0.505123671618301, 0.276862704357432)); #160631=CARTESIAN_POINT('Ctrl Pts',(1.94568407677289,0.683601597740073, 0.206394885850132)); #160632=CARTESIAN_POINT('Ctrl Pts',(1.83034372767686,0.862017223455281, 0.135927067342832)); #160633=CARTESIAN_POINT('Ctrl Pts',(1.71479857835049,1.04049514957705,0.0654592488355325)); #160634=CARTESIAN_POINT('Ctrl Pts',(1.99327698770843,0.395198717136798, 0.276862704357432)); #160635=CARTESIAN_POINT('Ctrl Pts',(1.89557998941605,0.584873012006553, 0.206394885850132)); #160636=CARTESIAN_POINT('Ctrl Pts',(1.79788299112368,0.774547306876308, 0.135927067342832)); #160637=CARTESIAN_POINT('Ctrl Pts',(1.7001859928313,0.964221601746063,0.0654592488355325)); #160638=CARTESIAN_POINT('',(1.71963876646284,1.14409748796938,0.0665181548551016)); #160639=CARTESIAN_POINT('Ctrl Pts',(1.71963876646284,1.14409748796938,0.0665181548551027)); #160640=CARTESIAN_POINT('Ctrl Pts',(1.71883505695075,1.16337187910601,0.066008736319341)); #160641=CARTESIAN_POINT('Ctrl Pts',(1.7179809838775,1.18264144587452,0.0657632860304115)); #160642=CARTESIAN_POINT('Ctrl Pts',(1.71705907142188,1.20187316789972,0.0658195678821265)); #160643=CARTESIAN_POINT('',(1.7001859928313,0.964221601746064,0.065459248835533)); #160644=CARTESIAN_POINT('Ctrl Pts',(1.7001859928313,0.964221601746064,0.0654592488355334)); #160645=CARTESIAN_POINT('Ctrl Pts',(1.71561488506227,1.02254710976784,0.0676961829531131)); #160646=CARTESIAN_POINT('Ctrl Pts',(1.7221530557191,1.08380033604218,0.0681117972496985)); #160647=CARTESIAN_POINT('Ctrl Pts',(1.71963876646284,1.14409748796937,0.0665181548551017)); #160648=CARTESIAN_POINT('',(1.77136275059547,0.82603515204951,0.116798296459627)); #160649=CARTESIAN_POINT('',(1.9962401469656,0.38944587771989,0.279)); #160650=CARTESIAN_POINT('',(1.82948479716286,0.804493882980618,0.145221551168834)); #160651=CARTESIAN_POINT('Ctrl Pts',(1.82948479716286,0.804493882980618, 0.145221551168833)); #160652=CARTESIAN_POINT('Ctrl Pts',(1.81091581689412,0.813898551098738, 0.135871234669493)); #160653=CARTESIAN_POINT('Ctrl Pts',(1.7914836758279,0.821506215745837,0.126334201544982)); #160654=CARTESIAN_POINT('Ctrl Pts',(1.77136275059547,0.82603515204951,0.116798296459627)); #160655=CARTESIAN_POINT('',(1.88798496109668,0.774763198498567,0.1746415961922)); #160656=CARTESIAN_POINT('Ctrl Pts',(1.88798496109668,0.774763198498567, 0.1746415961922)); #160657=CARTESIAN_POINT('Ctrl Pts',(1.86852410698907,0.784683494971184, 0.164839681941495)); #160658=CARTESIAN_POINT('Ctrl Pts',(1.84902095964477,0.794599364176411, 0.155058887400799)); #160659=CARTESIAN_POINT('Ctrl Pts',(1.82948479716286,0.804493882980618, 0.145221551168834)); #160660=CARTESIAN_POINT('',(1.97747907864664,0.466200030653988,0.257618956329769)); #160661=CARTESIAN_POINT('Ctrl Pts',(1.97747907864664,0.466200030653988, 0.257618956329769)); #160662=CARTESIAN_POINT('Ctrl Pts',(1.99594505125372,0.496006280959768, 0.258408721774278)); #160663=CARTESIAN_POINT('Ctrl Pts',(2.0122521817751,0.548352752169651,0.256198738452258)); #160664=CARTESIAN_POINT('Ctrl Pts',(2.00621787381986,0.636736656486567, 0.242260462099274)); #160665=CARTESIAN_POINT('Ctrl Pts',(1.96938606462957,0.71692979191044,0.21759338688153)); #160666=CARTESIAN_POINT('Ctrl Pts',(1.91698887351303,0.759978266221442, 0.189250094918312)); #160667=CARTESIAN_POINT('Ctrl Pts',(1.88798496109668,0.774763198498567, 0.174641596192201)); #160668=CARTESIAN_POINT('',(1.96608281762856,0.447994965994234,0.257247835168612)); #160669=CARTESIAN_POINT('Ctrl Pts',(1.96608281762856,0.447994965994234, 0.257247835168612)); #160670=CARTESIAN_POINT('Ctrl Pts',(1.96991104397198,0.454047649493883, 0.25733449060452)); #160671=CARTESIAN_POINT('Ctrl Pts',(1.97371005049583,0.460116376201379, 0.257457759935935)); #160672=CARTESIAN_POINT('Ctrl Pts',(1.97747907864664,0.466200030653988, 0.257618956329769)); #160673=CARTESIAN_POINT('',(1.9962401469656,0.38944587771989,0.279)); #160674=CARTESIAN_POINT('Ctrl Pts',(-1.30037167172555,3.15138907527397, 0.273058806845571)); #160675=CARTESIAN_POINT('Ctrl Pts',(-1.25282101404577,3.07606235933381, 0.20898561029109)); #160676=CARTESIAN_POINT('Ctrl Pts',(-1.20527035636599,3.00073564339365, 0.144912413736609)); #160677=CARTESIAN_POINT('Ctrl Pts',(-1.15771969868621,2.92540892745349, 0.0808392171821274)); #160678=CARTESIAN_POINT('Ctrl Pts',(-1.40392861313307,3.11600063601244, 0.273058806845571)); #160679=CARTESIAN_POINT('Ctrl Pts',(-1.3610084765496,3.0429082242276,0.20898561029109)); #160680=CARTESIAN_POINT('Ctrl Pts',(-1.31795032153663,2.96955878670815, 0.144912413736609)); #160681=CARTESIAN_POINT('Ctrl Pts',(-1.27503018495316,2.89646637492332, 0.0808392171821274)); #160682=CARTESIAN_POINT('Ctrl Pts',(-1.56821872437809,3.0050982517569,0.273058806845571)); #160683=CARTESIAN_POINT('Ctrl Pts',(-1.53305296251877,2.9285398479066,0.20898561029109)); #160684=CARTESIAN_POINT('Ctrl Pts',(-1.49793561233695,2.85203442746592, 0.144912413736609)); #160685=CARTESIAN_POINT('Ctrl Pts',(-1.46276985047762,2.77547602361563, 0.0808392171821274)); #160686=CARTESIAN_POINT('Ctrl Pts',(-1.66438995690544,2.83501844293054, 0.273058806845571)); #160687=CARTESIAN_POINT('Ctrl Pts',(-1.62689683815948,2.74872522944449, 0.20898561029109)); #160688=CARTESIAN_POINT('Ctrl Pts',(-1.58934775700977,2.66249682133441, 0.144912413736608)); #160689=CARTESIAN_POINT('Ctrl Pts',(-1.55185463826381,2.57620360784836, 0.0808392171821274)); #160690=CARTESIAN_POINT('Ctrl Pts',(-1.68998479885584,2.75705936101138, 0.273058806845571)); #160691=CARTESIAN_POINT('Ctrl Pts',(-1.64916870271742,2.66709667265012, 0.20898561029109)); #160692=CARTESIAN_POINT('Ctrl Pts',(-1.608352606579,2.57713398428886,0.144912413736609)); #160693=CARTESIAN_POINT('Ctrl Pts',(-1.56753651044058,2.48717129592761, 0.0808392171821274)); #160694=CARTESIAN_POINT('Ctrl Pts',(-1.15882937260392,2.92716680202483, 0.0823344721062441)); #160695=CARTESIAN_POINT('Ctrl Pts',(-1.27016761996284,2.89629123906819, 0.0798817656935358)); #160696=CARTESIAN_POINT('Ctrl Pts',(-1.39928249696095,2.81815513880428, 0.0811228601383708)); #160697=CARTESIAN_POINT('Ctrl Pts',(-1.52745898109386,2.66152074044104, 0.0933552210543242)); #160698=CARTESIAN_POINT('Ctrl Pts',(-1.56821365164943,2.58402651106516, 0.102574335668048)); #160699=CARTESIAN_POINT('Ctrl Pts',(-1.58767462504667,2.53155768021941, 0.112452073321455)); #160700=CARTESIAN_POINT('Ctrl Pts',(0.403242682822451,0.126002310911359, 0.088)); #160701=CARTESIAN_POINT('Ctrl Pts',(0.68789162033997,0.157317475396785, 0.088)); #160702=CARTESIAN_POINT('Ctrl Pts',(0.969439086672258,0.222130494275058, 0.088)); #160703=CARTESIAN_POINT('Ctrl Pts',(1.23911186856117,0.31847491738764,0.088)); #160704=CARTESIAN_POINT('Ctrl Pts',(0.40298823282483,0.128315213387343, 0.088)); #160705=CARTESIAN_POINT('Ctrl Pts',(0.687448006900084,0.159696570703729, 0.0880000386362289)); #160706=CARTESIAN_POINT('Ctrl Pts',(0.968796174774721,0.224627876714869, 0.0879995613205818)); #160707=CARTESIAN_POINT('Ctrl Pts',(1.23809585809284,0.321318780691529, 0.088)); #160708=CARTESIAN_POINT('Ctrl Pts',(0.402733795226874,0.13062800315271, 0.0877280720425674)); #160709=CARTESIAN_POINT('Ctrl Pts',(0.68700441848626,0.162075530508904, 0.087707808412694)); #160710=CARTESIAN_POINT('Ctrl Pts',(0.968153248659123,0.227125188963234, 0.087678606024946)); #160711=CARTESIAN_POINT('Ctrl Pts',(1.23707998785239,0.324162251490643, 0.0875405742555742)); #160712=CARTESIAN_POINT('Ctrl Pts',(0.402486202501699,0.1328785743158,0.0871915182735853)); #160713=CARTESIAN_POINT('Ctrl Pts',(0.686573273413874,0.164385887951401, 0.0871316957873753)); #160714=CARTESIAN_POINT('Ctrl Pts',(0.967525709105,0.229547912983153,0.0870454583212487)); #160715=CARTESIAN_POINT('Ctrl Pts',(1.23610995559979,0.326877419505888, 0.0866423895079497)); #160716=CARTESIAN_POINT('',(1.23610995559979,0.326877419505889,0.0866423895079497)); #160717=CARTESIAN_POINT('Origin',(1.23911186856117,0.31847491738764,0.058)); #160718=CARTESIAN_POINT('Ctrl Pts',(1.23610995559979,0.326877419505888, 0.0866423895079497)); #160719=CARTESIAN_POINT('Ctrl Pts',(0.967525709105,0.229547912983153,0.0870454583212487)); #160720=CARTESIAN_POINT('Ctrl Pts',(0.686573273413874,0.164385887951401, 0.0871316957873753)); #160721=CARTESIAN_POINT('Ctrl Pts',(0.402486202501699,0.1328785743158,0.0871915182735853)); #160722=CARTESIAN_POINT('Ctrl Pts',(1.23911186856117,0.318474917387641, 0.088)); #160723=CARTESIAN_POINT('Ctrl Pts',(1.30133439286474,0.340704796008114, 0.088)); #160724=CARTESIAN_POINT('Ctrl Pts',(1.42160440235433,0.402146326113922, 0.088)); #160725=CARTESIAN_POINT('Ctrl Pts',(1.59538866003922,0.558296546498761, 0.088)); #160726=CARTESIAN_POINT('Ctrl Pts',(1.67849631510097,0.705509007410863, 0.088)); #160727=CARTESIAN_POINT('Ctrl Pts',(1.71023797512337,0.799400112399287, 0.088)); #160728=CARTESIAN_POINT('Ctrl Pts',(1.23809585809284,0.32131878069153,0.088)); #160729=CARTESIAN_POINT('Ctrl Pts',(1.30003532957218,0.343583751806179, 0.0880001245491602)); #160730=CARTESIAN_POINT('Ctrl Pts',(1.41966213687498,0.405026717441594, 0.0879993554753944)); #160731=CARTESIAN_POINT('Ctrl Pts',(1.59222454445887,0.560893528722496, 0.0880002121119388)); #160732=CARTESIAN_POINT('Ctrl Pts',(1.67437726527559,0.707506649539156, 0.0879992352425209)); #160733=CARTESIAN_POINT('Ctrl Pts',(1.70573921458927,0.800921003301716, 0.088)); #160734=CARTESIAN_POINT('Ctrl Pts',(1.23707998785239,0.324162251490644, 0.0875405742555742)); #160735=CARTESIAN_POINT('Ctrl Pts',(1.29873647827444,0.346462233562481, 0.0875013844959547)); #160736=CARTESIAN_POINT('Ctrl Pts',(1.41772020255259,0.407906473706095, 0.0874040954813317)); #160737=CARTESIAN_POINT('Ctrl Pts',(1.58906157230197,0.563489376609308, 0.0871898440175376)); #160738=CARTESIAN_POINT('Ctrl Pts',(1.67026087637313,0.709502812118006, 0.086952731096603)); #160739=CARTESIAN_POINT('Ctrl Pts',(1.70124422667464,0.802440618798929, 0.0868516856553473)); #160740=CARTESIAN_POINT('Ctrl Pts',(1.23610995559979,0.326877419505889, 0.0866423895079497)); #160741=CARTESIAN_POINT('Ctrl Pts',(1.29750011109819,0.349200020245117, 0.086527950853898)); #160742=CARTESIAN_POINT('Ctrl Pts',(1.41588755875816,0.410619182995803, 0.08624836320778)); #160743=CARTESIAN_POINT('Ctrl Pts',(1.5861341116379,0.565876156625879,0.0856295803086178)); #160744=CARTESIAN_POINT('Ctrl Pts',(1.66655458580053,0.711293694007961, 0.0849640174372347)); #160745=CARTESIAN_POINT('Ctrl Pts',(1.69724328330607,0.803793213213383, 0.0846803045299402)); #160746=CARTESIAN_POINT('',(1.69724328330607,0.803793213213383,0.0846803045299401)); #160747=CARTESIAN_POINT('Origin',(1.71023797512337,0.799400112399287,0.058)); #160748=CARTESIAN_POINT('Ctrl Pts',(1.69724328330607,0.803793213213383, 0.0846803045299402)); #160749=CARTESIAN_POINT('Ctrl Pts',(1.66655458580053,0.711293694007961, 0.0849640174372347)); #160750=CARTESIAN_POINT('Ctrl Pts',(1.5861341116379,0.565876156625879,0.0856295803086178)); #160751=CARTESIAN_POINT('Ctrl Pts',(1.41588755875816,0.410619182995803, 0.08624836320778)); #160752=CARTESIAN_POINT('Ctrl Pts',(1.29750011109819,0.349200020245117, 0.086527950853898)); #160753=CARTESIAN_POINT('Ctrl Pts',(1.23610995559979,0.326877419505889, 0.0866423895079497)); #160754=CARTESIAN_POINT('Ctrl Pts',(1.77136275059547,0.82603515204951,0.116798296459627)); #160755=CARTESIAN_POINT('Ctrl Pts',(1.75522407207374,0.828902074934047, 0.109260042849415)); #160756=CARTESIAN_POINT('Ctrl Pts',(1.73155586720239,0.83150336294409,0.0973318490359691)); #160757=CARTESIAN_POINT('Ctrl Pts',(1.70519352416181,0.819075223779038, 0.0861055361507863)); #160758=CARTESIAN_POINT('Ctrl Pts',(1.69856883436939,0.807788587602229, 0.0846680499874964)); #160759=CARTESIAN_POINT('Ctrl Pts',(1.69724328330607,0.803793213213385, 0.0846803045299401)); #160760=CARTESIAN_POINT('Ctrl Pts',(1.76997937772944,0.820180753282642, 0.117031274653969)); #160761=CARTESIAN_POINT('Ctrl Pts',(1.75456565530106,0.823698999706341, 0.109568424402835)); #160762=CARTESIAN_POINT('Ctrl Pts',(1.73177911462934,0.827266035639617, 0.0980487712198724)); #160763=CARTESIAN_POINT('Ctrl Pts',(1.7067438995456,0.816839581084724,0.0872125085885829)); #160764=CARTESIAN_POINT('Ctrl Pts',(1.7006834026494,0.806656525338005,0.0858870089802617)); #160765=CARTESIAN_POINT('Ctrl Pts',(1.69952953665954,0.803020302119419, 0.0859210937444591)); #160766=CARTESIAN_POINT('Ctrl Pts',(1.76887441198389,0.809530435830695, 0.114469565172125)); #160767=CARTESIAN_POINT('Ctrl Pts',(1.75445642590378,0.814332806749303, 0.108013927873084)); #160768=CARTESIAN_POINT('Ctrl Pts',(1.73277900070439,0.819664569649254, 0.098049249456166)); #160769=CARTESIAN_POINT('Ctrl Pts',(1.70974307399381,0.812838987157385, 0.0885833210643018)); #160770=CARTESIAN_POINT('Ctrl Pts',(1.70465458081764,0.804585658899497, 0.0874659959567614)); #160771=CARTESIAN_POINT('Ctrl Pts',(1.70381107312438,0.801572847913233, 0.0875154180708027)); #160772=CARTESIAN_POINT('Ctrl Pts',(1.77038476284085,0.801379962999304, 0.107526501924693)); #160773=CARTESIAN_POINT('Ctrl Pts',(1.75614375120966,0.806541967531488, 0.103027072953139)); #160774=CARTESIAN_POINT('Ctrl Pts',(1.73463155203911,0.812634850416541, 0.0957756581471017)); #160775=CARTESIAN_POINT('Ctrl Pts',(1.71304108675756,0.808911816594537, 0.0888456626194962)); #160776=CARTESIAN_POINT('Ctrl Pts',(1.70885607806996,0.802486274323703, 0.0879795387160972)); #160777=CARTESIAN_POINT('Ctrl Pts',(1.70830993489449,0.800051922786076, 0.0880000000000005)); #160778=CARTESIAN_POINT('Ctrl Pts',(1.77144308079825,0.798695703772474, 0.104053631117543)); #160779=CARTESIAN_POINT('Ctrl Pts',(1.75713582115174,0.803731200918396, 0.100403643124295)); #160780=CARTESIAN_POINT('Ctrl Pts',(1.73553804870805,0.809843189853684, 0.0944108859097355)); #160781=CARTESIAN_POINT('Ctrl Pts',(1.71447641846592,0.80727149026769,0.0887518911861353)); #160782=CARTESIAN_POINT('Ctrl Pts',(1.71066324169992,0.801599424726944, 0.0879999999999971)); #160783=CARTESIAN_POINT('Ctrl Pts',(1.7102379751234,0.799400112399326,0.0880000000000001)); #160784=CARTESIAN_POINT('',(1.77144308079825,0.798695703772474,0.104053631117542)); #160785=CARTESIAN_POINT('Ctrl Pts',(1.7102379751234,0.799400112399326,0.0880000000000001)); #160786=CARTESIAN_POINT('Ctrl Pts',(1.71066324169992,0.801599424726944, 0.0879999999999971)); #160787=CARTESIAN_POINT('Ctrl Pts',(1.71447641846592,0.80727149026769,0.0887518911861353)); #160788=CARTESIAN_POINT('Ctrl Pts',(1.73553804870805,0.809843189853684, 0.0944108859097355)); #160789=CARTESIAN_POINT('Ctrl Pts',(1.75713582115174,0.803731200918396, 0.100403643124295)); #160790=CARTESIAN_POINT('Ctrl Pts',(1.77144308079825,0.798695703772474, 0.104053631117543)); #160791=CARTESIAN_POINT('Origin',(1.78337522691633,0.822113859097034,0.089589403166778)); #160792=CARTESIAN_POINT('Ctrl Pts',(1.77136275059547,0.82603515204951,0.116798296459627)); #160793=CARTESIAN_POINT('Ctrl Pts',(1.75522407207374,0.828902074934047, 0.109260042849415)); #160794=CARTESIAN_POINT('Ctrl Pts',(1.73155586720239,0.83150336294409,0.0973318490359691)); #160795=CARTESIAN_POINT('Ctrl Pts',(1.70519352416181,0.819075223779038, 0.0861055361507863)); #160796=CARTESIAN_POINT('Ctrl Pts',(1.69856883436939,0.807788587602229, 0.0846680499874964)); #160797=CARTESIAN_POINT('Ctrl Pts',(1.69724328330607,0.803793213213385, 0.0846803045299401)); #160798=CARTESIAN_POINT('Ctrl Pts',(1.82783464697978,0.774268429671984, 0.118458975400444)); #160799=CARTESIAN_POINT('Ctrl Pts',(1.84739068814258,0.764496707443705, 0.128289282143991)); #160800=CARTESIAN_POINT('Ctrl Pts',(1.86696143591738,0.754716776538333, 0.138083076693712)); #160801=CARTESIAN_POINT('Ctrl Pts',(1.88651817395417,0.744944651110244, 0.147910926872949)); #160802=CARTESIAN_POINT('Ctrl Pts',(1.82518792719959,0.775748980690799, 0.125195987377398)); #160803=CARTESIAN_POINT('Ctrl Pts',(1.84475879521356,0.765970021776314, 0.135011785190583)); #160804=CARTESIAN_POINT('Ctrl Pts',(1.8643368362266,0.75618445194932,0.144782243918079)); #160805=CARTESIAN_POINT('Ctrl Pts',(1.88389880940018,0.746409938073024, 0.154580258740867)); #160806=CARTESIAN_POINT('Ctrl Pts',(1.82251009013396,0.783798372908887, 0.138524596561479)); #160807=CARTESIAN_POINT('Ctrl Pts',(1.84209458757334,0.773974998808136, 0.148313189539296)); #160808=CARTESIAN_POINT('Ctrl Pts',(1.86167087413886,0.764145325595408, 0.158036921315894)); #160809=CARTESIAN_POINT('Ctrl Pts',(1.8812201628563,0.754322192197041,0.167777969949842)); #160810=CARTESIAN_POINT('Ctrl Pts',(1.82639195015391,0.797802815524408, 0.144723152766491)); #160811=CARTESIAN_POINT('Ctrl Pts',(1.84594768574265,0.787923058460142, 0.154534308160217)); #160812=CARTESIAN_POINT('Ctrl Pts',(1.86547587704663,0.778026244827747, 0.164284215296943)); #160813=CARTESIAN_POINT('Ctrl Pts',(1.8849671162699,0.768127717085766,0.174049039750821)); #160814=CARTESIAN_POINT('Ctrl Pts',(1.82948479716286,0.804493882980618, 0.145221551168834)); #160815=CARTESIAN_POINT('Ctrl Pts',(1.84902095964477,0.794599364176411, 0.155058887400799)); #160816=CARTESIAN_POINT('Ctrl Pts',(1.86852410698907,0.784683494971184, 0.164839681941495)); #160817=CARTESIAN_POINT('Ctrl Pts',(1.88798496109668,0.774763198498567, 0.1746415961922)); #160818=CARTESIAN_POINT('',(1.82783464697978,0.774268429671984,0.118458975400444)); #160819=CARTESIAN_POINT('Origin',(1.8414522876234,0.800994554259356,0.117935403207326)); #160820=CARTESIAN_POINT('',(1.88651817395417,0.744944651110243,0.147910926872949)); #160821=CARTESIAN_POINT('Ctrl Pts',(1.82783464697978,0.774268429671984, 0.118458975400444)); #160822=CARTESIAN_POINT('Ctrl Pts',(1.84739068814258,0.764496707443705, 0.128289282143991)); #160823=CARTESIAN_POINT('Ctrl Pts',(1.86696143591738,0.754716776538333, 0.138083076693712)); #160824=CARTESIAN_POINT('Ctrl Pts',(1.88651817395417,0.744944651110244, 0.147910926872949)); #160825=CARTESIAN_POINT('Origin',(1.90013581459779,0.771670775697616,0.14738735467983)); #160826=CARTESIAN_POINT('Ctrl Pts',(1.88651817395417,0.744944651110244, 0.147910926872949)); #160827=CARTESIAN_POINT('Ctrl Pts',(1.91167129534968,0.732415437412848, 0.162558079781218)); #160828=CARTESIAN_POINT('Ctrl Pts',(1.95707562630636,0.695539455136461, 0.190960525227809)); #160829=CARTESIAN_POINT('Ctrl Pts',(1.98846649656268,0.625903917709523, 0.215436874154208)); #160830=CARTESIAN_POINT('Ctrl Pts',(1.99285262958504,0.548780698071885, 0.229083666598547)); #160831=CARTESIAN_POINT('Ctrl Pts',(1.97812638342015,0.502973103894993, 0.231123058400901)); #160832=CARTESIAN_POINT('Ctrl Pts',(1.96175266289985,0.476847860751868, 0.230246398496285)); #160833=CARTESIAN_POINT('Ctrl Pts',(1.88389880940019,0.746409938073023, 0.154580258740867)); #160834=CARTESIAN_POINT('Ctrl Pts',(1.90945961333401,0.734181289098737, 0.16893801098139)); #160835=CARTESIAN_POINT('Ctrl Pts',(1.95594040047341,0.697501137627065, 0.196905487996822)); #160836=CARTESIAN_POINT('Ctrl Pts',(1.98833233676058,0.627220317725432, 0.221210852858143)); #160837=CARTESIAN_POINT('Ctrl Pts',(1.99311583846018,0.549273633449539, 0.234859086127135)); #160838=CARTESIAN_POINT('Ctrl Pts',(1.97829295213531,0.503001480893968, 0.236960876191861)); #160839=CARTESIAN_POINT('Ctrl Pts',(1.96176304945746,0.476670894965644, 0.236130557019516)); #160840=CARTESIAN_POINT('Ctrl Pts',(1.88122022396413,0.754322248242382, 0.167777904077086)); #160841=CARTESIAN_POINT('Ctrl Pts',(1.90808305602249,0.741776503079302, 0.181588247332351)); #160842=CARTESIAN_POINT('Ctrl Pts',(1.9571495184674,0.703828636781382,0.208714595835981)); #160843=CARTESIAN_POINT('Ctrl Pts',(1.9916137997221,0.63072833098192,0.23269062583488)); #160844=CARTESIAN_POINT('Ctrl Pts',(1.99712523531297,0.549708928374146, 0.246343269116916)); #160845=CARTESIAN_POINT('Ctrl Pts',(1.98190862837081,0.501700635147874, 0.248561480962009)); #160846=CARTESIAN_POINT('Ctrl Pts',(1.9648102457165,0.474422718430944,0.24782127626862)); #160847=CARTESIAN_POINT('Ctrl Pts',(1.8849671162699,0.768127717085765,0.174049039750821)); #160848=CARTESIAN_POINT('Ctrl Pts',(1.91332787894612,0.754063574365587, 0.188208392039678)); #160849=CARTESIAN_POINT('Ctrl Pts',(1.96473787102877,0.712680798452745, 0.215859953251947)); #160850=CARTESIAN_POINT('Ctrl Pts',(2.00087320129919,0.634859154204098, 0.240150511098324)); #160851=CARTESIAN_POINT('Ctrl Pts',(2.00678955134658,0.548964081087079, 0.253962891379166)); #160852=CARTESIAN_POINT('Ctrl Pts',(1.99086407483134,0.498091894662229, 0.256206948870126)); #160853=CARTESIAN_POINT('Ctrl Pts',(1.97286734500286,0.469152547859233, 0.255458099729221)); #160854=CARTESIAN_POINT('Ctrl Pts',(1.88798496109668,0.774763198498567, 0.174641596192201)); #160855=CARTESIAN_POINT('Ctrl Pts',(1.91698887351303,0.759978266221442, 0.189250094918312)); #160856=CARTESIAN_POINT('Ctrl Pts',(1.96938606462957,0.71692979191044,0.21759338688153)); #160857=CARTESIAN_POINT('Ctrl Pts',(2.00621787381986,0.636736656486567, 0.242260462099274)); #160858=CARTESIAN_POINT('Ctrl Pts',(2.0122521817751,0.548352752169651,0.256198738452258)); #160859=CARTESIAN_POINT('Ctrl Pts',(1.99594505125372,0.496006280959768, 0.258408721774278)); #160860=CARTESIAN_POINT('Ctrl Pts',(1.97747907864664,0.466200030653988, 0.257618956329769)); #160861=CARTESIAN_POINT('',(1.96175266289985,0.476847860751868,0.230246398496285)); #160862=CARTESIAN_POINT('Origin',(1.98717675043251,0.460931151126754,0.229722826303167)); #160863=CARTESIAN_POINT('Ctrl Pts',(1.88651817395417,0.744944651110244, 0.147910926872949)); #160864=CARTESIAN_POINT('Ctrl Pts',(1.91167129534968,0.732415437412848, 0.162558079781218)); #160865=CARTESIAN_POINT('Ctrl Pts',(1.95707562630636,0.695539455136461, 0.190960525227809)); #160866=CARTESIAN_POINT('Ctrl Pts',(1.98846649656268,0.625903917709523, 0.215436874154208)); #160867=CARTESIAN_POINT('Ctrl Pts',(1.99285262958504,0.548780698071885, 0.229083666598547)); #160868=CARTESIAN_POINT('Ctrl Pts',(1.97812638342015,0.502973103894993, 0.231123058400901)); #160869=CARTESIAN_POINT('Ctrl Pts',(1.96175266289985,0.476847860751868, 0.230246398496285)); #160870=CARTESIAN_POINT('Ctrl Pts',(1.96175266289985,0.476847860751868, 0.230246398496285)); #160871=CARTESIAN_POINT('Ctrl Pts',(1.95793154206456,0.470750191762094, 0.230067466363681)); #160872=CARTESIAN_POINT('Ctrl Pts',(1.95408196620535,0.464669036820452, 0.229927554856714)); #160873=CARTESIAN_POINT('Ctrl Pts',(1.95020447132942,0.458605328608305, 0.229825220462045)); #160874=CARTESIAN_POINT('Ctrl Pts',(1.96176304945746,0.476670894965644, 0.236130557019516)); #160875=CARTESIAN_POINT('Ctrl Pts',(1.95795250907523,0.470590902117322, 0.235959106910328)); #160876=CARTESIAN_POINT('Ctrl Pts',(1.95411361259662,0.46452671354956,0.235826301119154)); #160877=CARTESIAN_POINT('Ctrl Pts',(1.95024667731677,0.458479948631762, 0.235730845410698)); #160878=CARTESIAN_POINT('Ctrl Pts',(1.96481420971045,0.474420327333546, 0.247818068697872)); #160879=CARTESIAN_POINT('Ctrl Pts',(1.96102640481074,0.468361238360479, 0.247661242863728)); #160880=CARTESIAN_POINT('Ctrl Pts',(1.95720978660637,0.462317677186716, 0.247542537153448)); #160881=CARTESIAN_POINT('Ctrl Pts',(1.95336498643737,0.45629050754457,0.247460515185226)); #160882=CARTESIAN_POINT('Ctrl Pts',(1.97286734500286,0.469152547859233, 0.255458099729221)); #160883=CARTESIAN_POINT('Ctrl Pts',(1.96909515116658,0.463081416436072, 0.255301858425837)); #160884=CARTESIAN_POINT('Ctrl Pts',(1.96529330792868,0.457025057408013, 0.255183200240316)); #160885=CARTESIAN_POINT('Ctrl Pts',(1.96146267505653,0.450985099933071, 0.255101375488119)); #160886=CARTESIAN_POINT('Ctrl Pts',(1.97747907864664,0.466200030653988, 0.257618956329769)); #160887=CARTESIAN_POINT('Ctrl Pts',(1.97371005049583,0.460116376201379, 0.257457759935935)); #160888=CARTESIAN_POINT('Ctrl Pts',(1.96991104397198,0.454047649493883, 0.25733449060452)); #160889=CARTESIAN_POINT('Ctrl Pts',(1.96608281762856,0.447994965994234, 0.257247835168612)); #160890=CARTESIAN_POINT('',(1.95020447132942,0.458605328608306,0.229825220462045)); #160891=CARTESIAN_POINT('Origin',(1.97547897591705,0.442452146568574,0.229301648268927)); #160892=CARTESIAN_POINT('Ctrl Pts',(1.96175266289985,0.476847860751868, 0.230246398496285)); #160893=CARTESIAN_POINT('Ctrl Pts',(1.95793154206456,0.470750191762094, 0.230067466363681)); #160894=CARTESIAN_POINT('Ctrl Pts',(1.95408196620535,0.464669036820452, 0.229927554856714)); #160895=CARTESIAN_POINT('Ctrl Pts',(1.95020447132942,0.458605328608305, 0.229825220462045)); #160896=CARTESIAN_POINT('Ctrl Pts',(1.95020447132942,0.458605328608305, 0.229825220462045)); #160897=CARTESIAN_POINT('Ctrl Pts',(1.85857998308804,0.315321022745626, 0.227407077604085)); #160898=CARTESIAN_POINT('Ctrl Pts',(1.6702330011535,0.0820603042605261, 0.221806128120335)); #160899=CARTESIAN_POINT('Ctrl Pts',(1.44150517210046,-0.110122551099039, 0.224100812130442)); #160900=CARTESIAN_POINT('Ctrl Pts',(1.33740853436042,-0.183853716206432, 0.22528041449532)); #160901=CARTESIAN_POINT('Ctrl Pts',(1.95024667731677,0.458479948631761, 0.235730845410698)); #160902=CARTESIAN_POINT('Ctrl Pts',(1.8585436835251,0.315084933647298,0.233206907680223)); #160903=CARTESIAN_POINT('Ctrl Pts',(1.67030927285796,0.082021512131091, 0.227569836867485)); #160904=CARTESIAN_POINT('Ctrl Pts',(1.44160578082429,-0.110179030897182, 0.230145670134573)); #160905=CARTESIAN_POINT('Ctrl Pts',(1.33751662320548,-0.183908987736456, 0.231443075254959)); #160906=CARTESIAN_POINT('Ctrl Pts',(1.95336087864913,0.456293078091895, 0.247463888288937)); #160907=CARTESIAN_POINT('Ctrl Pts',(1.86124261141414,0.312522868391925, 0.244733587050513)); #160908=CARTESIAN_POINT('Ctrl Pts',(1.6729407619675,0.0795661986601003, 0.239024893259425)); #160909=CARTESIAN_POINT('Ctrl Pts',(1.44416269357057,-0.113236866494189, 0.24215427719837)); #160910=CARTESIAN_POINT('Ctrl Pts',(1.34000102147429,-0.187223296760667, 0.243680611121875)); #160911=CARTESIAN_POINT('Ctrl Pts',(1.96146267505653,0.450985099933071, 0.255101375488119)); #160912=CARTESIAN_POINT('Ctrl Pts',(1.86860734645982,0.306637317337501, 0.252371449584115)); #160913=CARTESIAN_POINT('Ctrl Pts',(1.67966545600136,0.0731454328723387, 0.246668627932629)); #160914=CARTESIAN_POINT('Ctrl Pts',(1.45050972455892,-0.121106373433728, 0.249781507369095)); #160915=CARTESIAN_POINT('Ctrl Pts',(1.34609435163933,-0.195706038149006, 0.251285122230322)); #160916=CARTESIAN_POINT('Ctrl Pts',(1.96608281762856,0.447994965994234, 0.257247835168611)); #160917=CARTESIAN_POINT('Ctrl Pts',(1.87286101478895,0.303340831905504, 0.254595055694378)); #160918=CARTESIAN_POINT('Ctrl Pts',(1.68351275759734,0.0694526247695577, 0.24892113613447)); #160919=CARTESIAN_POINT('Ctrl Pts',(1.45407533369297,-0.12555514054169, 0.251826040108076)); #160920=CARTESIAN_POINT('Ctrl Pts',(1.3494900000676,-0.200469497541096, 0.25322732514207)); #160921=CARTESIAN_POINT('',(1.33740853436042,-0.183853716206432,0.22528041449532)); #160922=CARTESIAN_POINT('',(1.3494900000676,-0.200469497541096,0.25322732514207)); #160923=CARTESIAN_POINT('Origin',(1.3547418433888,-0.208333958653868,0.224756842302201)); #160924=CARTESIAN_POINT('Ctrl Pts',(1.3494900000676,-0.200469497541096, 0.25322732514207)); #160925=CARTESIAN_POINT('Ctrl Pts',(1.45407533369297,-0.12555514054169, 0.251826040108076)); #160926=CARTESIAN_POINT('Ctrl Pts',(1.68351275759734,0.0694526247695577, 0.24892113613447)); #160927=CARTESIAN_POINT('Ctrl Pts',(1.87286101478895,0.303340831905504, 0.254595055694378)); #160928=CARTESIAN_POINT('Ctrl Pts',(1.96608281762856,0.447994965994234, 0.257247835168611)); #160929=CARTESIAN_POINT('Ctrl Pts',(1.95020447132942,0.458605328608305, 0.229825220462045)); #160930=CARTESIAN_POINT('Ctrl Pts',(1.85857998308804,0.315321022745626, 0.227407077604085)); #160931=CARTESIAN_POINT('Ctrl Pts',(1.6702330011535,0.0820603042605261, 0.221806128120335)); #160932=CARTESIAN_POINT('Ctrl Pts',(1.44150517210046,-0.110122551099039, 0.224100812130442)); #160933=CARTESIAN_POINT('Ctrl Pts',(1.33740853436042,-0.183853716206432, 0.22528041449532)); #160934=CARTESIAN_POINT('Ctrl Pts',(1.33740853436042,-0.183853716206432, 0.22528041449532)); #160935=CARTESIAN_POINT('Ctrl Pts',(1.11611552508321,-0.340594527037176, 0.227788062799255)); #160936=CARTESIAN_POINT('Ctrl Pts',(0.680034769603653,-0.546324361880585, 0.229482986469538)); #160937=CARTESIAN_POINT('Ctrl Pts',(0.203393132370769,-0.609479019951189, 0.230022957885577)); #160938=CARTESIAN_POINT('Ctrl Pts',(1.32002895053857E-14,-0.60947901995119, 0.230022957885577)); #160939=CARTESIAN_POINT('Ctrl Pts',(1.33751662320548,-0.183908987736456, 0.231443075254959)); #160940=CARTESIAN_POINT('Ctrl Pts',(1.11619902590358,-0.340675871000097, 0.234186242071365)); #160941=CARTESIAN_POINT('Ctrl Pts',(0.68008493641362,-0.546434415979037, 0.236061426052789)); #160942=CARTESIAN_POINT('Ctrl Pts',(0.203404999540892,-0.609594782068086, 0.236654965120933)); #160943=CARTESIAN_POINT('Ctrl Pts',(1.31965303298605E-14,-0.609594782068087, 0.236654965120933)); #160944=CARTESIAN_POINT('Ctrl Pts',(1.34000041894636,-0.187222433866884, 0.243681384626872)); #160945=CARTESIAN_POINT('Ctrl Pts',(1.11843584626013,-0.344574537948526, 0.246882262047372)); #160946=CARTESIAN_POINT('Ctrl Pts',(0.681520916864439,-0.551018876424708, 0.249115523444498)); #160947=CARTESIAN_POINT('Ctrl Pts',(0.203839904895897,-0.614394304620971, 0.249812070069745)); #160948=CARTESIAN_POINT('Ctrl Pts',(1.32089990151599E-14,-0.614394304620972, 0.249812070069745)); #160949=CARTESIAN_POINT('Ctrl Pts',(1.34609435163933,-0.195706038149006, 0.251285122230321)); #160950=CARTESIAN_POINT('Ctrl Pts',(1.12391297972436,-0.354360773625008, 0.254441219437059)); #160951=CARTESIAN_POINT('Ctrl Pts',(0.685017631815934,-0.562407188054054, 0.256564885780958)); #160952=CARTESIAN_POINT('Ctrl Pts',(0.204885892789822,-0.626267157044096, 0.257240407885728)); #160953=CARTESIAN_POINT('Ctrl Pts',(1.3255993469754E-14,-0.626267157044097, 0.257240407885728)); #160954=CARTESIAN_POINT('Ctrl Pts',(1.3494900000676,-0.200469497541096, 0.25322732514207)); #160955=CARTESIAN_POINT('Ctrl Pts',(1.12692231020717,-0.359785766381832, 0.256179363781309)); #160956=CARTESIAN_POINT('Ctrl Pts',(0.686914573610207,-0.568626798002739, 0.25811066892833)); #160957=CARTESIAN_POINT('Ctrl Pts',(0.205456071008272,-0.632730399321457, 0.258731521597579)); #160958=CARTESIAN_POINT('Ctrl Pts',(1.32832171042551E-14,-0.632730399321458, 0.258731521597579)); #160959=CARTESIAN_POINT('',(1.3126930519789E-14,-0.60947901995119,0.230022957885577)); #160960=CARTESIAN_POINT('',(1.20521217958295E-14,-0.632730399321458,0.258731521597579)); #160961=CARTESIAN_POINT('Origin',(1.34568261336023E-14,-0.639474450805882, 0.229499385692458)); #160962=CARTESIAN_POINT('Ctrl Pts',(1.32832171042551E-14,-0.632730399321458, 0.258731521597579)); #160963=CARTESIAN_POINT('Ctrl Pts',(0.205456071008272,-0.632730399321457, 0.258731521597579)); #160964=CARTESIAN_POINT('Ctrl Pts',(0.686914573610207,-0.568626798002739, 0.25811066892833)); #160965=CARTESIAN_POINT('Ctrl Pts',(1.12692231020717,-0.359785766381832, 0.256179363781309)); #160966=CARTESIAN_POINT('Ctrl Pts',(1.3494900000676,-0.200469497541096, 0.25322732514207)); #160967=CARTESIAN_POINT('Ctrl Pts',(1.33740853436042,-0.183853716206432, 0.22528041449532)); #160968=CARTESIAN_POINT('Ctrl Pts',(1.11611552508321,-0.340594527037176, 0.227788062799255)); #160969=CARTESIAN_POINT('Ctrl Pts',(0.680034769603653,-0.546324361880585, 0.229482986469538)); #160970=CARTESIAN_POINT('Ctrl Pts',(0.203393132370769,-0.609479019951189, 0.230022957885577)); #160971=CARTESIAN_POINT('Ctrl Pts',(1.32002895053857E-14,-0.60947901995119, 0.230022957885577)); #160972=CARTESIAN_POINT('Ctrl Pts',(-6.63039177367871E-12,-0.60947901995119, 0.230022957885577)); #160973=CARTESIAN_POINT('Ctrl Pts',(-0.27062887077725,-0.609479019950415, 0.230022957885571)); #160974=CARTESIAN_POINT('Ctrl Pts',(-0.648114943685943,-0.542419566367245, 0.229565037256362)); #160975=CARTESIAN_POINT('Ctrl Pts',(-1.08075453805893,-0.34888538376318, 0.227610804785425)); #160976=CARTESIAN_POINT('Ctrl Pts',(-1.25459562042859,-0.242509718577804, 0.226218833941298)); #160977=CARTESIAN_POINT('Ctrl Pts',(-1.33740853436043,-0.183853716206425, 0.225280414495318)); #160978=CARTESIAN_POINT('Ctrl Pts',(-6.63087660176512E-12,-0.609594782068088, 0.236654965120933)); #160979=CARTESIAN_POINT('Ctrl Pts',(-0.27064466088192,-0.609594782067312, 0.236654965120927)); #160980=CARTESIAN_POINT('Ctrl Pts',(-0.648163912689205,-0.542527229952609, 0.236148871539112)); #160981=CARTESIAN_POINT('Ctrl Pts',(-1.08083520236383,-0.348970089287913, 0.233996896811934)); #160982=CARTESIAN_POINT('Ctrl Pts',(-1.25469450787149,-0.242574747009542, 0.232469631124073)); #160983=CARTESIAN_POINT('Ctrl Pts',(-1.33751662320549,-0.183908987736449, 0.231443075254957)); #160984=CARTESIAN_POINT('Ctrl Pts',(-6.64495898072138E-12,-0.614394304620972, 0.249812070069744)); #160985=CARTESIAN_POINT('Ctrl Pts',(-0.271223333051169,-0.614394304620194, 0.249812070069736)); #160986=CARTESIAN_POINT('Ctrl Pts',(-0.649534946219316,-0.547107641722952, 0.249210772433123)); #160987=CARTESIAN_POINT('Ctrl Pts',(-1.08298210187147,-0.352879505557699, 0.246673716461479)); #160988=CARTESIAN_POINT('Ctrl Pts',(-1.25708587976531,-0.246107196177574, 0.244879226290266)); #160989=CARTESIAN_POINT('Ctrl Pts',(-1.34000041894636,-0.187222433866877, 0.243681384626871)); #160990=CARTESIAN_POINT('Ctrl Pts',(-6.67921789909119E-12,-0.626267157044098, 0.257240407885727)); #160991=CARTESIAN_POINT('Ctrl Pts',(-0.27261509352646,-0.626267157043314, 0.257240407885719)); #160992=CARTESIAN_POINT('Ctrl Pts',(-0.652884782976536,-0.558470337593187, 0.256669783787895)); #160993=CARTESIAN_POINT('Ctrl Pts',(-1.08822764376675,-0.362717623162698, 0.254215127939706)); #160994=CARTESIAN_POINT('Ctrl Pts',(-1.26294899205591,-0.255078274970972, 0.252466206111803)); #160995=CARTESIAN_POINT('Ctrl Pts',(-1.34609435163934,-0.195706038148999, 0.25128512223032)); #160996=CARTESIAN_POINT('Ctrl Pts',(-6.69780879404904E-12,-0.632730399321458, 0.258731521597578)); #160997=CARTESIAN_POINT('Ctrl Pts',(-0.273373755756606,-0.632730399320671, 0.258731521597571)); #160998=CARTESIAN_POINT('Ctrl Pts',(-0.654700264475708,-0.564680369164451, 0.258213689268168)); #160999=CARTESIAN_POINT('Ctrl Pts',(-1.09111514325355,-0.368162935526032, 0.255957549541619)); #161000=CARTESIAN_POINT('Ctrl Pts',(-1.26620007145838,-0.260089295303986, 0.254332045632577)); #161001=CARTESIAN_POINT('Ctrl Pts',(-1.34949000006761,-0.200469497541089, 0.253227325142068)); #161002=CARTESIAN_POINT('',(-1.33740853436043,-0.183853716206425,0.225280414495318)); #161003=CARTESIAN_POINT('',(-1.34949000006761,-0.200469497541089,0.253227325142068)); #161004=CARTESIAN_POINT('Origin',(-1.35474184338881,-0.208333958653861, 0.2247568423022)); #161005=CARTESIAN_POINT('Ctrl Pts',(-1.34949000006761,-0.200469497541089, 0.253227325142068)); #161006=CARTESIAN_POINT('Ctrl Pts',(-1.26620007145838,-0.260089295303986, 0.254332045632577)); #161007=CARTESIAN_POINT('Ctrl Pts',(-1.09111514325355,-0.368162935526032, 0.255957549541619)); #161008=CARTESIAN_POINT('Ctrl Pts',(-0.654700264475708,-0.564680369164451, 0.258213689268168)); #161009=CARTESIAN_POINT('Ctrl Pts',(-0.273373755756606,-0.632730399320671, 0.258731521597571)); #161010=CARTESIAN_POINT('Ctrl Pts',(-6.69780879404904E-12,-0.632730399321458, 0.258731521597578)); #161011=CARTESIAN_POINT('Ctrl Pts',(-6.63039177367871E-12,-0.60947901995119, 0.230022957885577)); #161012=CARTESIAN_POINT('Ctrl Pts',(-0.27062887077725,-0.609479019950415, 0.230022957885571)); #161013=CARTESIAN_POINT('Ctrl Pts',(-0.648114943685943,-0.542419566367245, 0.229565037256362)); #161014=CARTESIAN_POINT('Ctrl Pts',(-1.08075453805893,-0.34888538376318, 0.227610804785425)); #161015=CARTESIAN_POINT('Ctrl Pts',(-1.25459562042859,-0.242509718577804, 0.226218833941298)); #161016=CARTESIAN_POINT('Ctrl Pts',(-1.33740853436043,-0.183853716206425, 0.225280414495318)); #161017=CARTESIAN_POINT('Ctrl Pts',(-1.33740853436043,-0.183853716206425, 0.225280414495318)); #161018=CARTESIAN_POINT('Ctrl Pts',(-1.44149752445703,-0.11012796788937, 0.224100898792013)); #161019=CARTESIAN_POINT('Ctrl Pts',(-1.67043659543065,0.0822640760767333, 0.221807172120388)); #161020=CARTESIAN_POINT('Ctrl Pts',(-1.85858671444299,0.31533154938037, 0.227407255257221)); #161021=CARTESIAN_POINT('Ctrl Pts',(-1.95020447132943,0.458605328608314, 0.229825220462042)); #161022=CARTESIAN_POINT('Ctrl Pts',(-1.33751662320549,-0.183908987736449, 0.231443075254957)); #161023=CARTESIAN_POINT('Ctrl Pts',(-1.4415981337304,-0.110184447598744, 0.230145765450731)); #161024=CARTESIAN_POINT('Ctrl Pts',(-1.67051281925675,0.0822252286945524, 0.227570742538671)); #161025=CARTESIAN_POINT('Ctrl Pts',(-1.8585504206476,0.315095468415483, 0.233207093105766)); #161026=CARTESIAN_POINT('Ctrl Pts',(-1.95024667731678,0.45847994863177, 0.235730845410695)); #161027=CARTESIAN_POINT('Ctrl Pts',(-1.3400010214743,-0.18722329676066, 0.243680611121873)); #161028=CARTESIAN_POINT('Ctrl Pts',(-1.44415504114928,-0.11324230203802, 0.242154389333187)); #161029=CARTESIAN_POINT('Ctrl Pts',(-1.67314440215499,0.0797702460442149, 0.239025529597916)); #161030=CARTESIAN_POINT('Ctrl Pts',(-1.86124937904544,0.312533430724453, 0.244733787636916)); #161031=CARTESIAN_POINT('Ctrl Pts',(-1.95336087864913,0.456293078091903, 0.247463888288934)); #161032=CARTESIAN_POINT('Ctrl Pts',(-1.34609435163934,-0.195706038148999, 0.25128512223032)); #161033=CARTESIAN_POINT('Ctrl Pts',(-1.45050205349905,-0.121111854029909, 0.249781617834816)); #161034=CARTESIAN_POINT('Ctrl Pts',(-1.67986957920233,0.0733505155037333, 0.246669263972969)); #161035=CARTESIAN_POINT('Ctrl Pts',(-1.86861416824063,0.306647922102442, 0.252371650142943)); #161036=CARTESIAN_POINT('Ctrl Pts',(-1.96146267505653,0.45098509993308, 0.255101375488116)); #161037=CARTESIAN_POINT('Ctrl Pts',(-1.34949000006761,-0.200469497541089, 0.253227325142068)); #161038=CARTESIAN_POINT('Ctrl Pts',(-1.45406765014664,-0.125560644257295, 0.251826143055955)); #161039=CARTESIAN_POINT('Ctrl Pts',(-1.68371720551376,0.0696582942217958, 0.248921873558131)); #161040=CARTESIAN_POINT('Ctrl Pts',(-1.87286786349344,0.3033514591771,0.254595250585507)); #161041=CARTESIAN_POINT('Ctrl Pts',(-1.96608281762856,0.447994965994243, 0.257247835168608)); #161042=CARTESIAN_POINT('',(-1.95020447132943,0.458605328608314,0.229825220462042)); #161043=CARTESIAN_POINT('',(-1.96608281762856,0.447994965994243,0.257247835168609)); #161044=CARTESIAN_POINT('Origin',(-1.97547897591705,0.442452146568583,0.229301648268924)); #161045=CARTESIAN_POINT('Ctrl Pts',(-1.96608281762856,0.447994965994243, 0.257247835168608)); #161046=CARTESIAN_POINT('Ctrl Pts',(-1.87286786349344,0.3033514591771,0.254595250585507)); #161047=CARTESIAN_POINT('Ctrl Pts',(-1.68371720551376,0.0696582942217958, 0.248921873558131)); #161048=CARTESIAN_POINT('Ctrl Pts',(-1.45406765014664,-0.125560644257295, 0.251826143055955)); #161049=CARTESIAN_POINT('Ctrl Pts',(-1.34949000006761,-0.200469497541089, 0.253227325142068)); #161050=CARTESIAN_POINT('Ctrl Pts',(-1.33740853436043,-0.183853716206425, 0.225280414495318)); #161051=CARTESIAN_POINT('Ctrl Pts',(-1.44149752445703,-0.11012796788937, 0.224100898792013)); #161052=CARTESIAN_POINT('Ctrl Pts',(-1.67043659543065,0.0822640760767333, 0.221807172120388)); #161053=CARTESIAN_POINT('Ctrl Pts',(-1.85858671444299,0.31533154938037, 0.227407255257221)); #161054=CARTESIAN_POINT('Ctrl Pts',(-1.95020447132943,0.458605328608314, 0.229825220462042)); #161055=CARTESIAN_POINT('Ctrl Pts',(-1.95020447132943,0.458605328608314, 0.229825220462043)); #161056=CARTESIAN_POINT('Ctrl Pts',(-1.95408196620535,0.464669036820459, 0.229927554856711)); #161057=CARTESIAN_POINT('Ctrl Pts',(-1.95793154206456,0.470750191762098, 0.230067466363679)); #161058=CARTESIAN_POINT('Ctrl Pts',(-1.96175266289985,0.476847860751869, 0.230246398496283)); #161059=CARTESIAN_POINT('Ctrl Pts',(-1.95024667731678,0.458479948631771, 0.235730845410696)); #161060=CARTESIAN_POINT('Ctrl Pts',(-1.95411361259663,0.464526713549566, 0.235826301119152)); #161061=CARTESIAN_POINT('Ctrl Pts',(-1.95795250907523,0.470590902117325, 0.235959106910325)); #161062=CARTESIAN_POINT('Ctrl Pts',(-1.96176304945746,0.476670894965645, 0.236130557019513)); #161063=CARTESIAN_POINT('Ctrl Pts',(-1.95336498643737,0.45629050754458, 0.247460515185224)); #161064=CARTESIAN_POINT('Ctrl Pts',(-1.95720978660638,0.462317677186723, 0.247542537153446)); #161065=CARTESIAN_POINT('Ctrl Pts',(-1.96102640481074,0.468361238360483, 0.247661242863725)); #161066=CARTESIAN_POINT('Ctrl Pts',(-1.96481420971045,0.474420327333547, 0.247818068697869)); #161067=CARTESIAN_POINT('Ctrl Pts',(-1.96146267505653,0.45098509993308, 0.255101375488116)); #161068=CARTESIAN_POINT('Ctrl Pts',(-1.96529330792869,0.45702505740802, 0.255183200240314)); #161069=CARTESIAN_POINT('Ctrl Pts',(-1.96909515116658,0.463081416436076, 0.255301858425834)); #161070=CARTESIAN_POINT('Ctrl Pts',(-1.97286734500286,0.469152547859234, 0.255458099729218)); #161071=CARTESIAN_POINT('Ctrl Pts',(-1.96608281762856,0.447994965994243, 0.257247835168609)); #161072=CARTESIAN_POINT('Ctrl Pts',(-1.96991104397199,0.454047649493891, 0.257334490604517)); #161073=CARTESIAN_POINT('Ctrl Pts',(-1.97371005049583,0.460116376201383, 0.257457759935932)); #161074=CARTESIAN_POINT('Ctrl Pts',(-1.97747907864664,0.466200030653989, 0.257618956329766)); #161075=CARTESIAN_POINT('',(-1.96175266289985,0.476847860751869,0.230246398496283)); #161076=CARTESIAN_POINT('',(-1.97747907864664,0.466200030653989,0.257618956329766)); #161077=CARTESIAN_POINT('Origin',(-1.98717675043251,0.460931151126755,0.229722826303164)); #161078=CARTESIAN_POINT('Ctrl Pts',(-1.97747907864664,0.466200030653989, 0.257618956329766)); #161079=CARTESIAN_POINT('Ctrl Pts',(-1.97371005049583,0.460116376201383, 0.257457759935932)); #161080=CARTESIAN_POINT('Ctrl Pts',(-1.96991104397199,0.454047649493891, 0.257334490604517)); #161081=CARTESIAN_POINT('Ctrl Pts',(-1.96608281762856,0.447994965994243, 0.257247835168609)); #161082=CARTESIAN_POINT('Ctrl Pts',(-1.95020447132943,0.458605328608314, 0.229825220462043)); #161083=CARTESIAN_POINT('Ctrl Pts',(-1.95408196620535,0.464669036820459, 0.229927554856711)); #161084=CARTESIAN_POINT('Ctrl Pts',(-1.95793154206456,0.470750191762098, 0.230067466363679)); #161085=CARTESIAN_POINT('Ctrl Pts',(-1.96175266289985,0.476847860751869, 0.230246398496283)); #161086=CARTESIAN_POINT('Ctrl Pts',(-1.96175266292484,0.476847860791751, 0.230246398497621)); #161087=CARTESIAN_POINT('Ctrl Pts',(-1.97812354752356,0.502968579068116, 0.231122906563212)); #161088=CARTESIAN_POINT('Ctrl Pts',(-1.99285093430252,0.548749738657614, 0.229090795933781)); #161089=CARTESIAN_POINT('Ctrl Pts',(-1.98842179404691,0.626021258680848, 0.215404897172848)); #161090=CARTESIAN_POINT('Ctrl Pts',(-1.95698431035614,0.695600114062987, 0.190909683878718)); #161091=CARTESIAN_POINT('Ctrl Pts',(-1.91166693884949,0.732417607462482, 0.16255554290623)); #161092=CARTESIAN_POINT('Ctrl Pts',(-1.88651817395417,0.744944651110244, 0.147910926872945)); #161093=CARTESIAN_POINT('Ctrl Pts',(-1.96176304948269,0.476670895005845, 0.236130557020781)); #161094=CARTESIAN_POINT('Ctrl Pts',(-1.97829008918825,0.502996920502295, 0.236960732380287)); #161095=CARTESIAN_POINT('Ctrl Pts',(-1.99311432078887,0.549242574972151, 0.234866129546259)); #161096=CARTESIAN_POINT('Ctrl Pts',(-1.98828622749488,0.627339288977279, 0.221178889566318)); #161097=CARTESIAN_POINT('Ctrl Pts',(-1.95584668989744,0.697561920996484, 0.196855259635143)); #161098=CARTESIAN_POINT('Ctrl Pts',(-1.90945518622353,0.734183407090804, 0.168935524230363)); #161099=CARTESIAN_POINT('Ctrl Pts',(-1.88389880940019,0.746409938073023, 0.154580258740864)); #161100=CARTESIAN_POINT('Ctrl Pts',(-1.96481024574442,0.474422718471484, 0.24782127626827)); #161101=CARTESIAN_POINT('Ctrl Pts',(-1.98190566696586,0.501695910679828, 0.24856135275659)); #161102=CARTESIAN_POINT('Ctrl Pts',(-1.99712393477005,0.549676748894837, 0.246350160837737)); #161103=CARTESIAN_POINT('Ctrl Pts',(-1.99156477509536,0.630852327778124, 0.232658692127871)); #161104=CARTESIAN_POINT('Ctrl Pts',(-1.95705066481567,0.703891903209669, 0.208665555289058)); #161105=CARTESIAN_POINT('Ctrl Pts',(-1.90807840340526,0.741778675995338, 0.181585855388396)); #161106=CARTESIAN_POINT('Ctrl Pts',(-1.88122022396758,0.754322248245549, 0.167777904073362)); #161107=CARTESIAN_POINT('Ctrl Pts',(-1.97286734503034,0.469152547903413, 0.255458099730362)); #161108=CARTESIAN_POINT('Ctrl Pts',(-1.99086095783252,0.498086882439714, 0.256206819168964)); #161109=CARTESIAN_POINT('Ctrl Pts',(-2.00678810465815,0.548929651170879, 0.253969909174095)); #161110=CARTESIAN_POINT('Ctrl Pts',(-2.0008215494362,0.634990248650319, 0.240118297198795)); #161111=CARTESIAN_POINT('Ctrl Pts',(-1.96463460033134,0.7127491911172,0.215810204139517)); #161112=CARTESIAN_POINT('Ctrl Pts',(-1.91332296688506,0.754066010263679, 0.188205939651363)); #161113=CARTESIAN_POINT('Ctrl Pts',(-1.8849671162699,0.768127717085766, 0.174049039750818)); #161114=CARTESIAN_POINT('Ctrl Pts',(-1.97747907867483,0.466200030699491, 0.257618956330972)); #161115=CARTESIAN_POINT('Ctrl Pts',(-1.99594185298294,0.496001118591704, 0.258408584986483)); #161116=CARTESIAN_POINT('Ctrl Pts',(-2.0122505832402,0.548317175153847, 0.256205928505816)); #161117=CARTESIAN_POINT('Ctrl Pts',(-2.00616503990685,0.636871352438403, 0.242227989247369)); #161118=CARTESIAN_POINT('Ctrl Pts',(-1.96928087835466,0.717000677537756, 0.217542624985548)); #161119=CARTESIAN_POINT('Ctrl Pts',(-1.91698385005896,0.7599808269597,0.189247564738199)); #161120=CARTESIAN_POINT('Ctrl Pts',(-1.88798496109668,0.774763198498567, 0.174641596192197)); #161121=CARTESIAN_POINT('',(-1.88651817395417,0.744944651110243,0.147910926872946)); #161122=CARTESIAN_POINT('',(-1.88798496109668,0.774763198498567,0.174641596192197)); #161123=CARTESIAN_POINT('Origin',(-1.90013581459779,0.771670775697616,0.147387354679827)); #161124=CARTESIAN_POINT('Ctrl Pts',(-1.88798496109668,0.774763198498567, 0.174641596192197)); #161125=CARTESIAN_POINT('Ctrl Pts',(-1.91698385005896,0.7599808269597,0.189247564738199)); #161126=CARTESIAN_POINT('Ctrl Pts',(-1.96928087835466,0.717000677537756, 0.217542624985548)); #161127=CARTESIAN_POINT('Ctrl Pts',(-2.00616503990685,0.636871352438403, 0.242227989247369)); #161128=CARTESIAN_POINT('Ctrl Pts',(-2.0122505832402,0.548317175153847, 0.256205928505816)); #161129=CARTESIAN_POINT('Ctrl Pts',(-1.99594185298294,0.496001118591704, 0.258408584986483)); #161130=CARTESIAN_POINT('Ctrl Pts',(-1.97747907867483,0.466200030699491, 0.257618956330972)); #161131=CARTESIAN_POINT('Ctrl Pts',(-1.96175266292484,0.476847860791751, 0.230246398497621)); #161132=CARTESIAN_POINT('Ctrl Pts',(-1.97812354752356,0.502968579068116, 0.231122906563212)); #161133=CARTESIAN_POINT('Ctrl Pts',(-1.99285093430252,0.548749738657614, 0.229090795933781)); #161134=CARTESIAN_POINT('Ctrl Pts',(-1.98842179404691,0.626021258680848, 0.215404897172848)); #161135=CARTESIAN_POINT('Ctrl Pts',(-1.95698431035614,0.695600114062987, 0.190909683878718)); #161136=CARTESIAN_POINT('Ctrl Pts',(-1.91166693884949,0.732417607462482, 0.16255554290623)); #161137=CARTESIAN_POINT('Ctrl Pts',(-1.88651817395417,0.744944651110244, 0.147910926872945)); #161138=CARTESIAN_POINT('Ctrl Pts',(-1.88651817395417,0.744944651110244, 0.147910926872945)); #161139=CARTESIAN_POINT('Ctrl Pts',(-1.86696143591738,0.754716776538332, 0.13808307669371)); #161140=CARTESIAN_POINT('Ctrl Pts',(-1.84739068814258,0.764496707443703, 0.12828928214399)); #161141=CARTESIAN_POINT('Ctrl Pts',(-1.82783464697979,0.774268429671981, 0.118458975400444)); #161142=CARTESIAN_POINT('Ctrl Pts',(-1.88389880940018,0.746409938073024, 0.154580258740863)); #161143=CARTESIAN_POINT('Ctrl Pts',(-1.8643368362266,0.756184451949319, 0.144782243918077)); #161144=CARTESIAN_POINT('Ctrl Pts',(-1.84475879521356,0.765970021776312, 0.135011785190582)); #161145=CARTESIAN_POINT('Ctrl Pts',(-1.8251879271996,0.775748980690796, 0.125195987377398)); #161146=CARTESIAN_POINT('Ctrl Pts',(-1.8812201628563,0.754322192197041, 0.167777969949838)); #161147=CARTESIAN_POINT('Ctrl Pts',(-1.86167087413886,0.764145325595407, 0.158036921315892)); #161148=CARTESIAN_POINT('Ctrl Pts',(-1.84209458757334,0.773974998808134, 0.148313189539295)); #161149=CARTESIAN_POINT('Ctrl Pts',(-1.82251009013397,0.783798372908883, 0.138524596561479)); #161150=CARTESIAN_POINT('Ctrl Pts',(-1.8849671162699,0.768127717085766, 0.174049039750817)); #161151=CARTESIAN_POINT('Ctrl Pts',(-1.86547587704664,0.778026244827745, 0.164284215296941)); #161152=CARTESIAN_POINT('Ctrl Pts',(-1.84594768574265,0.78792305846014, 0.154534308160216)); #161153=CARTESIAN_POINT('Ctrl Pts',(-1.82639195015392,0.797802815524405, 0.144723152766491)); #161154=CARTESIAN_POINT('Ctrl Pts',(-1.88798496109668,0.774763198498567, 0.174641596192197)); #161155=CARTESIAN_POINT('Ctrl Pts',(-1.86852410698907,0.784683494971182, 0.164839681941493)); #161156=CARTESIAN_POINT('Ctrl Pts',(-1.84902095964477,0.794599364176409, 0.155058887400798)); #161157=CARTESIAN_POINT('Ctrl Pts',(-1.82948479716287,0.804493882980615, 0.145221551168834)); #161158=CARTESIAN_POINT('',(-1.82783464697979,0.774268429671981,0.118458975400444)); #161159=CARTESIAN_POINT('',(-1.82948479716287,0.804493882980615,0.145221551168834)); #161160=CARTESIAN_POINT('Origin',(-1.84145228762341,0.800994554259352,0.117935403207326)); #161161=CARTESIAN_POINT('Ctrl Pts',(-1.82948479716287,0.804493882980615, 0.145221551168834)); #161162=CARTESIAN_POINT('Ctrl Pts',(-1.84902095964477,0.794599364176409, 0.155058887400798)); #161163=CARTESIAN_POINT('Ctrl Pts',(-1.86852410698907,0.784683494971182, 0.164839681941493)); #161164=CARTESIAN_POINT('Ctrl Pts',(-1.88798496109668,0.774763198498567, 0.174641596192197)); #161165=CARTESIAN_POINT('Ctrl Pts',(-1.88651817395417,0.744944651110244, 0.147910926872945)); #161166=CARTESIAN_POINT('Ctrl Pts',(-1.86696143591738,0.754716776538332, 0.13808307669371)); #161167=CARTESIAN_POINT('Ctrl Pts',(-1.84739068814258,0.764496707443703, 0.12828928214399)); #161168=CARTESIAN_POINT('Ctrl Pts',(-1.82783464697979,0.774268429671981, 0.118458975400444)); #161169=CARTESIAN_POINT('Ctrl Pts',(1.77144308079825,0.798695703772474, 0.104053631117542)); #161170=CARTESIAN_POINT('Ctrl Pts',(1.79033009520023,0.792048343658384, 0.108871980507903)); #161171=CARTESIAN_POINT('Ctrl Pts',(1.80928318676744,0.78362784821075,0.113710570717255)); #161172=CARTESIAN_POINT('Ctrl Pts',(1.82783464697978,0.774268429671985, 0.118458975400442)); #161173=CARTESIAN_POINT('Ctrl Pts',(1.77020837651462,0.801827339537109, 0.108105313725884)); #161174=CARTESIAN_POINT('Ctrl Pts',(1.78883532361226,0.794927978182367, 0.114018459648355)); #161175=CARTESIAN_POINT('Ctrl Pts',(1.80731693086034,0.7859297912106,0.119790926135334)); #161176=CARTESIAN_POINT('Ctrl Pts',(1.82518792719959,0.775748980690799, 0.125195987377396)); #161177=CARTESIAN_POINT('Ctrl Pts',(1.76887144493663,0.810304182755134, 0.114831724964592)); #161178=CARTESIAN_POINT('Ctrl Pts',(1.78743502538133,0.803785421264574, 0.122957596481004)); #161179=CARTESIAN_POINT('Ctrl Pts',(1.80544154013516,0.79456766449518,0.130968215558909)); #161180=CARTESIAN_POINT('Ctrl Pts',(1.82251002103969,0.783798310183451, 0.13852467166339)); #161181=CARTESIAN_POINT('Ctrl Pts',(1.77015229933769,0.820912553128501, 0.117002152379676)); #161182=CARTESIAN_POINT('Ctrl Pts',(1.78964025690292,0.815748255407866, 0.126329164486307)); #161183=CARTESIAN_POINT('Ctrl Pts',(1.80848749105464,0.807638323642607, 0.135619515677954)); #161184=CARTESIAN_POINT('Ctrl Pts',(1.82639195015391,0.797802815524408, 0.14472315276649)); #161185=CARTESIAN_POINT('Ctrl Pts',(1.77136275059547,0.82603515204951,0.116798296459627)); #161186=CARTESIAN_POINT('Ctrl Pts',(1.7914836758279,0.821506215745837,0.126334201544982)); #161187=CARTESIAN_POINT('Ctrl Pts',(1.81091581689412,0.813898551098738, 0.135871234669493)); #161188=CARTESIAN_POINT('Ctrl Pts',(1.82948479716286,0.804493882980618, 0.145221551168833)); #161189=CARTESIAN_POINT('Ctrl Pts',(1.77144308079825,0.798695703772474, 0.104053631117542)); #161190=CARTESIAN_POINT('Ctrl Pts',(1.79033009520023,0.792048343658384, 0.108871980507903)); #161191=CARTESIAN_POINT('Ctrl Pts',(1.80928318676744,0.78362784821075,0.113710570717255)); #161192=CARTESIAN_POINT('Ctrl Pts',(1.82783464697978,0.774268429671985, 0.118458975400442)); #161193=CARTESIAN_POINT('Ctrl Pts',(-1.69724328330607,0.80379321321338, 0.0846803045299401)); #161194=CARTESIAN_POINT('Ctrl Pts',(-1.69856883436939,0.807788587602199, 0.0846680499874962)); #161195=CARTESIAN_POINT('Ctrl Pts',(-1.70519352416228,0.819075223779359, 0.0861055361509636)); #161196=CARTESIAN_POINT('Ctrl Pts',(-1.73155586720259,0.831503362944065, 0.0973318490360666)); #161197=CARTESIAN_POINT('Ctrl Pts',(-1.75522407207375,0.828902074934044, 0.109260042849415)); #161198=CARTESIAN_POINT('Ctrl Pts',(-1.77136275059548,0.826035152049505, 0.11679829645963)); #161199=CARTESIAN_POINT('Ctrl Pts',(-1.69952953665955,0.803020302119414, 0.085921093744459)); #161200=CARTESIAN_POINT('Ctrl Pts',(-1.7006834026494,0.806656525337977, 0.0858870089802616)); #161201=CARTESIAN_POINT('Ctrl Pts',(-1.70674389954604,0.816839581085002, 0.0872125085887528)); #161202=CARTESIAN_POINT('Ctrl Pts',(-1.73177911462954,0.827266035639585, 0.0980487712199674)); #161203=CARTESIAN_POINT('Ctrl Pts',(-1.75456565530107,0.823698999706338, 0.109568424402834)); #161204=CARTESIAN_POINT('Ctrl Pts',(-1.76997937772945,0.820180753282637, 0.117031274653972)); #161205=CARTESIAN_POINT('Ctrl Pts',(-1.70381107312438,0.801572847913229, 0.0875154180708025)); #161206=CARTESIAN_POINT('Ctrl Pts',(-1.70465458081764,0.804585658899474, 0.0874659959567614)); #161207=CARTESIAN_POINT('Ctrl Pts',(-1.70974307399421,0.812838987157588, 0.0885833210644489)); #161208=CARTESIAN_POINT('Ctrl Pts',(-1.73277900070457,0.819664569649207, 0.0980492494562486)); #161209=CARTESIAN_POINT('Ctrl Pts',(-1.75445642590379,0.814332806749299, 0.108013927873084)); #161210=CARTESIAN_POINT('Ctrl Pts',(-1.7688744119839,0.809530435830689, 0.114469565172127)); #161211=CARTESIAN_POINT('Ctrl Pts',(-1.7083099348945,0.800051922786072, 0.0880000000000004)); #161212=CARTESIAN_POINT('Ctrl Pts',(-1.70885607806997,0.802486274323685, 0.0879795387160971)); #161213=CARTESIAN_POINT('Ctrl Pts',(-1.71304108675793,0.808911816594671, 0.0888456626196041)); #161214=CARTESIAN_POINT('Ctrl Pts',(-1.73463155203929,0.812634850416488, 0.0957756581471617)); #161215=CARTESIAN_POINT('Ctrl Pts',(-1.75614375120967,0.806541967531485, 0.103027072953139)); #161216=CARTESIAN_POINT('Ctrl Pts',(-1.77038476284086,0.801379962999298, 0.107526501924694)); #161217=CARTESIAN_POINT('Ctrl Pts',(-1.7102379751234,0.799400112399322, 0.088)); #161218=CARTESIAN_POINT('Ctrl Pts',(-1.71066324169993,0.801599424726927, 0.0879999999999969)); #161219=CARTESIAN_POINT('Ctrl Pts',(-1.71447641846627,0.807271490267798, 0.088751891186224)); #161220=CARTESIAN_POINT('Ctrl Pts',(-1.73553804870824,0.809843189853631, 0.094410885909785)); #161221=CARTESIAN_POINT('Ctrl Pts',(-1.75713582115174,0.803731200918393, 0.100403643124295)); #161222=CARTESIAN_POINT('Ctrl Pts',(-1.77144308079827,0.798695703772468, 0.104053631117544)); #161223=CARTESIAN_POINT('',(-1.77136275059548,0.826035152049505,0.11679829645963)); #161224=CARTESIAN_POINT('',(-1.77144308079827,0.798695703772468,0.104053631117544)); #161225=CARTESIAN_POINT('Origin',(-1.78337522691634,0.822113859097028,0.0895894031667808)); #161226=CARTESIAN_POINT('Ctrl Pts',(-1.77144308079827,0.798695703772468, 0.104053631117544)); #161227=CARTESIAN_POINT('Ctrl Pts',(-1.75713582115174,0.803731200918393, 0.100403643124295)); #161228=CARTESIAN_POINT('Ctrl Pts',(-1.73553804870824,0.809843189853631, 0.094410885909785)); #161229=CARTESIAN_POINT('Ctrl Pts',(-1.71447641846627,0.807271490267798, 0.088751891186224)); #161230=CARTESIAN_POINT('Ctrl Pts',(-1.71066324169993,0.801599424726927, 0.0879999999999969)); #161231=CARTESIAN_POINT('Ctrl Pts',(-1.7102379751234,0.799400112399322, 0.088)); #161232=CARTESIAN_POINT('',(-1.69724328330607,0.80379321321338,0.0846803045299401)); #161233=CARTESIAN_POINT('Origin',(-1.71023797512338,0.799400112399284,0.058)); #161234=CARTESIAN_POINT('Ctrl Pts',(-1.69724328330607,0.80379321321338, 0.0846803045299401)); #161235=CARTESIAN_POINT('Ctrl Pts',(-1.69856883436939,0.807788587602199, 0.0846680499874962)); #161236=CARTESIAN_POINT('Ctrl Pts',(-1.70519352416228,0.819075223779359, 0.0861055361509636)); #161237=CARTESIAN_POINT('Ctrl Pts',(-1.73155586720259,0.831503362944065, 0.0973318490360666)); #161238=CARTESIAN_POINT('Ctrl Pts',(-1.75522407207375,0.828902074934044, 0.109260042849415)); #161239=CARTESIAN_POINT('Ctrl Pts',(-1.77136275059548,0.826035152049505, 0.11679829645963)); #161240=CARTESIAN_POINT('Ctrl Pts',(-1.71023797512178,0.799400112394549, 0.088)); #161241=CARTESIAN_POINT('Ctrl Pts',(-1.68907670524923,0.736805559688435, 0.088)); #161242=CARTESIAN_POINT('Ctrl Pts',(-1.63017595315455,0.615241715032214, 0.088)); #161243=CARTESIAN_POINT('Ctrl Pts',(-1.4778114579987,0.438200750265189, 0.0880000000000001)); #161244=CARTESIAN_POINT('Ctrl Pts',(-1.33244637478954,0.351819992467458, 0.088)); #161245=CARTESIAN_POINT('Ctrl Pts',(-1.23911186856118,0.318474917387643, 0.088)); #161246=CARTESIAN_POINT('Ctrl Pts',(-1.70573921458769,0.800921003297002, 0.088)); #161247=CARTESIAN_POINT('Ctrl Pts',(-1.68483108713981,0.738644287192541, 0.0879994901577488)); #161248=CARTESIAN_POINT('Ctrl Pts',(-1.62663654052604,0.617628811889733, 0.0879999581700914)); #161249=CARTESIAN_POINT('Ctrl Pts',(-1.47554198601247,0.441065218215651, 0.0879990037737576)); #161250=CARTESIAN_POINT('Ctrl Pts',(-1.33100578181059,0.354716494918551, 0.088000186825181)); #161251=CARTESIAN_POINT('Ctrl Pts',(-1.23809585809285,0.321318780691532, 0.088)); #161252=CARTESIAN_POINT('Ctrl Pts',(-1.70124422667309,0.80244061879424, 0.0868516856553524)); #161253=CARTESIAN_POINT('Ctrl Pts',(-1.68058850051311,0.740481603194229, 0.0869190498023539)); #161254=CARTESIAN_POINT('Ctrl Pts',(-1.62309877436893,0.620014613709907, 0.0871020204078339)); #161255=CARTESIAN_POINT('Ctrl Pts',(-1.47327286540717,0.443928959939604, 0.0873552189875793)); #161256=CARTESIAN_POINT('Ctrl Pts',(-1.32956543671075,0.357612482558445, 0.0874817891628086)); #161257=CARTESIAN_POINT('Ctrl Pts',(-1.2370799878524,0.324162251490646, 0.0875405742555742)); #161258=CARTESIAN_POINT('Ctrl Pts',(-1.69724328330453,0.803793213208716, 0.0846803045299544)); #161259=CARTESIAN_POINT('Ctrl Pts',(-1.67678399385674,0.742126391512366, 0.0848694479267838)); #161260=CARTESIAN_POINT('Ctrl Pts',(-1.61985941462205,0.622188478701032, 0.0853817250948941)); #161261=CARTESIAN_POINT('Ctrl Pts',(-1.47113621338927,0.446610910261837, 0.0861072555912183)); #161262=CARTESIAN_POINT('Ctrl Pts',(-1.3281958989918,0.360361578836423, 0.0864707302030773)); #161263=CARTESIAN_POINT('Ctrl Pts',(-1.2361099555998,0.326877419505891, 0.0866423895079498)); #161264=CARTESIAN_POINT('Ctrl Pts',(-1.2361099555998,0.326877419505891, 0.0866423895079498)); #161265=CARTESIAN_POINT('Ctrl Pts',(-1.3281958989918,0.360361578836423, 0.0864707302030773)); #161266=CARTESIAN_POINT('Ctrl Pts',(-1.47113621338927,0.446610910261837, 0.0861072555912183)); #161267=CARTESIAN_POINT('Ctrl Pts',(-1.61985941462205,0.622188478701032, 0.0853817250948941)); #161268=CARTESIAN_POINT('Ctrl Pts',(-1.67678399385674,0.742126391512366, 0.0848694479267838)); #161269=CARTESIAN_POINT('Ctrl Pts',(-1.69724328330453,0.803793213208716, 0.0846803045299544)); #161270=CARTESIAN_POINT('Ctrl Pts',(-0.403242682818627,0.126002310910938, 0.088)); #161271=CARTESIAN_POINT('Ctrl Pts',(-0.396007987116532,0.125206398275932, 0.088)); #161272=CARTESIAN_POINT('Ctrl Pts',(-0.382618550991071,0.132979484533333, 0.088)); #161273=CARTESIAN_POINT('Ctrl Pts',(-0.351260935423458,0.163124905821381, 0.088)); #161274=CARTESIAN_POINT('Ctrl Pts',(-0.291467804877305,0.236912063801703, 0.088)); #161275=CARTESIAN_POINT('Ctrl Pts',(-0.223954497256885,0.294468247322005, 0.088)); #161276=CARTESIAN_POINT('Ctrl Pts',(-0.17263859821473,0.321982491455926, 0.088)); #161277=CARTESIAN_POINT('Ctrl Pts',(-0.403097282819987,0.127323969468642, 0.088)); #161278=CARTESIAN_POINT('Ctrl Pts',(-0.396508901580765,0.126747102466493, 0.0880000217482548)); #161279=CARTESIAN_POINT('Ctrl Pts',(-0.384054189190846,0.134836942413183, 0.0879989937693282)); #161280=CARTESIAN_POINT('Ctrl Pts',(-0.354413529137654,0.165765559451332, 0.0879924179139041)); #161281=CARTESIAN_POINT('Ctrl Pts',(-0.296468473390111,0.24133022512903, 0.0880068981918785)); #161282=CARTESIAN_POINT('Ctrl Pts',(-0.228957141185494,0.301101782892383, 0.0879691110480992)); #161283=CARTESIAN_POINT('Ctrl Pts',(-0.176880072313808,0.329893123330777, 0.088)); #161284=CARTESIAN_POINT('Ctrl Pts',(-0.402842834077075,0.129636860539577, 0.0878450647637574)); #161285=CARTESIAN_POINT('Ctrl Pts',(-0.397385494000184,0.1294433107624, 0.0878107314387456)); #161286=CARTESIAN_POINT('Ctrl Pts',(-0.386567383984244,0.138088429992494, 0.0875552850767269)); #161287=CARTESIAN_POINT('Ctrl Pts',(-0.359934480447187,0.170394797849997, 0.0866458774347442)); #161288=CARTESIAN_POINT('Ctrl Pts',(-0.305207787108546,0.249053367513177, 0.084291875949713)); #161289=CARTESIAN_POINT('Ctrl Pts',(-0.237656133074306,0.312693796364817, 0.0818137280588524)); #161290=CARTESIAN_POINT('Ctrl Pts',(-0.184226045973963,0.343593853791552, 0.0804709815440598)); #161291=CARTESIAN_POINT('Ctrl Pts',(-0.402592313665812,0.131914043816909, 0.0874214698888639)); #161292=CARTESIAN_POINT('Ctrl Pts',(-0.39824942417252,0.132090016088786, 0.0872938512576635)); #161293=CARTESIAN_POINT('Ctrl Pts',(-0.388994835690195,0.141207635034698, 0.0863443933973197)); #161294=CARTESIAN_POINT('Ctrl Pts',(-0.36485833290952,0.174457558497883, 0.0830563506442691)); #161295=CARTESIAN_POINT('Ctrl Pts',(-0.311203654337514,0.254628608982771, 0.0750371312029717)); #161296=CARTESIAN_POINT('Ctrl Pts',(-0.241449729685458,0.318116459592182, 0.0681132202293373)); #161297=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0647319842576927)); #161298=CARTESIAN_POINT('Ctrl Pts',(-0.402486202497881,0.132878574315376, 0.0871915182735861)); #161299=CARTESIAN_POINT('Ctrl Pts',(-0.398615630622688,0.133208518502273, 0.0870134941453862)); #161300=CARTESIAN_POINT('Ctrl Pts',(-0.390008118581274,0.142502442853974, 0.0856901836118783)); #161301=CARTESIAN_POINT('Ctrl Pts',(-0.366787720635321,0.176032609288582, 0.0811582935155664)); #161302=CARTESIAN_POINT('Ctrl Pts',(-0.312961609211333,0.256371045974398, 0.0703916291204932)); #161303=CARTESIAN_POINT('Ctrl Pts',(-0.241867926945913,0.318903719141968, 0.061935360865237)); #161304=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0580000000000004)); #161305=CARTESIAN_POINT('Ctrl Pts',(-0.186814681160886,0.348421830939046, 0.0580000000000004)); #161306=CARTESIAN_POINT('Ctrl Pts',(-0.241867926945913,0.318903719141968, 0.061935360865237)); #161307=CARTESIAN_POINT('Ctrl Pts',(-0.312961609211333,0.256371045974398, 0.0703916291204932)); #161308=CARTESIAN_POINT('Ctrl Pts',(-0.366787720635321,0.176032609288582, 0.0811582935155664)); #161309=CARTESIAN_POINT('Ctrl Pts',(-0.390008118581274,0.142502442853974, 0.0856901836118783)); #161310=CARTESIAN_POINT('Ctrl Pts',(-0.398615630622688,0.133208518502273, 0.0870134941453862)); #161311=CARTESIAN_POINT('Ctrl Pts',(-0.402486202497881,0.132878574315376, 0.0871915182735861)); #161312=CARTESIAN_POINT('Ctrl Pts',(-1.82783464697979,0.774268429671981, 0.118458975400443)); #161313=CARTESIAN_POINT('Ctrl Pts',(-1.80938121632491,0.783578391205905, 0.11373566221973)); #161314=CARTESIAN_POINT('Ctrl Pts',(-1.79037830189844,0.792031377118512, 0.108884278730901)); #161315=CARTESIAN_POINT('Ctrl Pts',(-1.77144308079826,0.798695703772469, 0.104053631117544)); #161316=CARTESIAN_POINT('Ctrl Pts',(-1.8251879271996,0.775748980690796, 0.125195987377397)); #161317=CARTESIAN_POINT('Ctrl Pts',(-1.80741136471313,0.785875993809285, 0.119819487539969)); #161318=CARTESIAN_POINT('Ctrl Pts',(-1.7888828665218,0.794910368441431, 0.114033552200033)); #161319=CARTESIAN_POINT('Ctrl Pts',(-1.77020837651463,0.801827339537104, 0.108105313725886)); #161320=CARTESIAN_POINT('Ctrl Pts',(-1.8225100210397,0.783798310183449, 0.138524671663391)); #161321=CARTESIAN_POINT('Ctrl Pts',(-1.80553173333886,0.794510757112936, 0.131008145356324)); #161322=CARTESIAN_POINT('Ctrl Pts',(-1.78748240655557,0.803768782956306, 0.122978336732878)); #161323=CARTESIAN_POINT('Ctrl Pts',(-1.76887144493664,0.810304182755129, 0.114831724964594)); #161324=CARTESIAN_POINT('Ctrl Pts',(-1.82639195015392,0.797802815524405, 0.144723152766491)); #161325=CARTESIAN_POINT('Ctrl Pts',(-1.80858210173123,0.807586350886965, 0.135667621083405)); #161326=CARTESIAN_POINT('Ctrl Pts',(-1.789689997432,0.815735074195821,0.126352970496523)); #161327=CARTESIAN_POINT('Ctrl Pts',(-1.77015229933771,0.820912553128497, 0.117002152379679)); #161328=CARTESIAN_POINT('Ctrl Pts',(-1.82948479716287,0.804493882980615, 0.145221551168834)); #161329=CARTESIAN_POINT('Ctrl Pts',(-1.81101393903102,0.813848854986233, 0.135920643577375)); #161330=CARTESIAN_POINT('Ctrl Pts',(-1.79153503192632,0.821494656212868, 0.126358540727915)); #161331=CARTESIAN_POINT('Ctrl Pts',(-1.77136275059548,0.826035152049506, 0.116798296459629)); #161332=CARTESIAN_POINT('Ctrl Pts',(-1.77136275059548,0.826035152049506, 0.116798296459629)); #161333=CARTESIAN_POINT('Ctrl Pts',(-1.79153503192632,0.821494656212868, 0.126358540727915)); #161334=CARTESIAN_POINT('Ctrl Pts',(-1.81101393903102,0.813848854986233, 0.135920643577375)); #161335=CARTESIAN_POINT('Ctrl Pts',(-1.82948479716287,0.804493882980615, 0.145221551168834)); #161336=CARTESIAN_POINT('Ctrl Pts',(-1.82783464697979,0.774268429671981, 0.118458975400443)); #161337=CARTESIAN_POINT('Ctrl Pts',(-1.80938121632491,0.783578391205905, 0.11373566221973)); #161338=CARTESIAN_POINT('Ctrl Pts',(-1.79037830189844,0.792031377118512, 0.108884278730901)); #161339=CARTESIAN_POINT('Ctrl Pts',(-1.77144308079826,0.798695703772469, 0.104053631117544)); #161340=CARTESIAN_POINT('Ctrl Pts',(0.184055155136422,0.376801446577749, 0.0266003317891485)); #161341=CARTESIAN_POINT('Ctrl Pts',(0.227984159276876,0.3557683829371,0.0318502438516672)); #161342=CARTESIAN_POINT('Ctrl Pts',(0.321210669017083,0.28943171910657, 0.0484405083304395)); #161343=CARTESIAN_POINT('Ctrl Pts',(0.381671451687166,0.192473097445215, 0.0726142159255537)); #161344=CARTESIAN_POINT('Ctrl Pts',(0.402486202497882,0.132878574315371, 0.0871915182735875)); #161345=CARTESIAN_POINT('Ctrl Pts',(0.180590923655589,0.369960776888988, 0.0281575244260548)); #161346=CARTESIAN_POINT('Ctrl Pts',(0.223667992116513,0.349254184176091, 0.0333181211658433)); #161347=CARTESIAN_POINT('Ctrl Pts',(0.315028092507107,0.283957502060235, 0.0496278017156112)); #161348=CARTESIAN_POINT('Ctrl Pts',(0.374051051779951,0.188631892463388, 0.0733528889657125)); #161349=CARTESIAN_POINT('Ctrl Pts',(0.394264428599363,0.130124893302089, 0.0876271045868483)); #161350=CARTESIAN_POINT('Ctrl Pts',(0.174518165078734,0.359334481347095, 0.0363575588168654)); #161351=CARTESIAN_POINT('Ctrl Pts',(0.216108481610217,0.339227224223284, 0.0414752590686752)); #161352=CARTESIAN_POINT('Ctrl Pts',(0.304187542561683,0.275836460436695, 0.0576349100653041)); #161353=CARTESIAN_POINT('Ctrl Pts',(0.360659687032128,0.183497215884426, 0.0810943118797141)); #161354=CARTESIAN_POINT('Ctrl Pts',(0.379829484738397,0.126957625082847, 0.0952093264580208)); #161355=CARTESIAN_POINT('Ctrl Pts',(0.171411133464021,0.356252883065342, 0.0499892350279605)); #161356=CARTESIAN_POINT('Ctrl Pts',(0.212285121543866,0.336586102419222, 0.0551968388048446)); #161357=CARTESIAN_POINT('Ctrl Pts',(0.298932241665231,0.274567955783055, 0.0716498815871027)); #161358=CARTESIAN_POINT('Ctrl Pts',(0.35478845944805,0.18405152701541,0.0956020202077471)); #161359=CARTESIAN_POINT('Ctrl Pts',(0.373876818471838,0.128513693974806, 0.11003889739567)); #161360=CARTESIAN_POINT('Ctrl Pts',(0.170843642497719,0.356524819879728, 0.0558236622921724)); #161361=CARTESIAN_POINT('Ctrl Pts',(0.211610635170741,0.33698962588037, 0.0610694049558963)); #161362=CARTESIAN_POINT('Ctrl Pts',(0.298102079327243,0.275372887693944, 0.0776473644531845)); #161363=CARTESIAN_POINT('Ctrl Pts',(0.354127974172793,0.185282374494338, 0.101809637005672)); #161364=CARTESIAN_POINT('Ctrl Pts',(0.373395316420629,0.129897786922253, 0.116383036547174)); #161365=CARTESIAN_POINT('Ctrl Pts',(0.402486202497882,0.132878574315371, 0.0871915182735875)); #161366=CARTESIAN_POINT('Ctrl Pts',(0.381671451687166,0.192473097445215, 0.0726142159255537)); #161367=CARTESIAN_POINT('Ctrl Pts',(0.321210669017083,0.28943171910657, 0.0484405083304395)); #161368=CARTESIAN_POINT('Ctrl Pts',(0.227984159276876,0.3557683829371,0.0318502438516672)); #161369=CARTESIAN_POINT('Ctrl Pts',(0.184055155136422,0.376801446577749, 0.0266003317891485)); #161370=CARTESIAN_POINT('Ctrl Pts',(1.23648370034225,0.325139078877916, 0.0871915182735875)); #161371=CARTESIAN_POINT('Ctrl Pts',(1.22273729645961,0.389075583242645, 0.0669944561120957)); #161372=CARTESIAN_POINT('Ctrl Pts',(1.20899089257698,0.453012087607374, 0.0467973939506039)); #161373=CARTESIAN_POINT('Ctrl Pts',(1.19524448869435,0.516948591972103, 0.0266003317891122)); #161374=CARTESIAN_POINT('Ctrl Pts',(1.18945205873539,0.308287632023712, 0.0871915182735875)); #161375=CARTESIAN_POINT('Ctrl Pts',(1.17577580829358,0.374010067212672, 0.0669944561120957)); #161376=CARTESIAN_POINT('Ctrl Pts',(1.16209709303819,0.439825514227374, 0.0467973939506039)); #161377=CARTESIAN_POINT('Ctrl Pts',(1.14842084259638,0.505547949416334, 0.0266003317891122)); #161378=CARTESIAN_POINT('Ctrl Pts',(1.01434680704267,0.246193364967552, 0.0871915182735875)); #161379=CARTESIAN_POINT('Ctrl Pts',(1.00104919325004,0.31867606397614,0.0669944561120957)); #161380=CARTESIAN_POINT('Ctrl Pts',(0.987752114285476,0.391142262589793, 0.046797393950604)); #161381=CARTESIAN_POINT('Ctrl Pts',(0.974454500492836,0.463624961598381, 0.0266003317891122)); #161382=CARTESIAN_POINT('Ctrl Pts',(0.611736776596284,0.154865479867965, 0.0871915182735875)); #161383=CARTESIAN_POINT('Ctrl Pts',(0.602529940110691,0.237456874465562, 0.0669944561120957)); #161384=CARTESIAN_POINT('Ctrl Pts',(0.593331714393556,0.320067298842247, 0.0467973939506039)); #161385=CARTESIAN_POINT('Ctrl Pts',(0.584124877907962,0.402658693439844, 0.0266003317891122)); #161386=CARTESIAN_POINT('Ctrl Pts',(0.335164528968616,0.123337177991958, 0.0871915182735875)); #161387=CARTESIAN_POINT('Ctrl Pts',(0.329982397803009,0.209481727423446, 0.0669944561120957)); #161388=CARTESIAN_POINT('Ctrl Pts',(0.324799281242919,0.295604509335997, 0.046797393950604)); #161389=CARTESIAN_POINT('Ctrl Pts',(0.319617150077313,0.381749058767484, 0.0266003317891122)); #161390=CARTESIAN_POINT('Ctrl Pts',(0.193062129552238,0.115875732222149, 0.0871915182735875)); #161391=CARTESIAN_POINT('Ctrl Pts',(0.190059804639286,0.202850970336805, 0.0669944561120957)); #161392=CARTESIAN_POINT('Ctrl Pts',(0.187057479726334,0.289826208451461, 0.0467973939506039)); #161393=CARTESIAN_POINT('Ctrl Pts',(0.184055154813382,0.376801446566117, 0.0266003317891122)); #161394=CARTESIAN_POINT('',(1.20096799222434,0.490327752692892,0.0350096552429907)); #161395=CARTESIAN_POINT('',(1.36703124418024,-0.282056350389752,0.279)); #161396=CARTESIAN_POINT('',(1.19804846254809,0.489749947453082,0.0349562489862911)); #161397=CARTESIAN_POINT('Ctrl Pts',(1.19804846254809,0.489749947453082, 0.034956248986291)); #161398=CARTESIAN_POINT('Ctrl Pts',(1.1990220620667,0.489940262764617,0.0349746425179461)); #161399=CARTESIAN_POINT('Ctrl Pts',(1.19999524420755,0.490132865443373, 0.0349924456766056)); #161400=CARTESIAN_POINT('Ctrl Pts',(1.20096799222434,0.490327752692892, 0.0350096552429906)); #161401=CARTESIAN_POINT('Ctrl Pts',(0.184055155136422,0.376801446577749, 0.0266003317891488)); #161402=CARTESIAN_POINT('Ctrl Pts',(0.524406146278,0.386783977241309,0.0271660582386665)); #161403=CARTESIAN_POINT('Ctrl Pts',(0.864313287151584,0.424512739893303, 0.0286512247995087)); #161404=CARTESIAN_POINT('Ctrl Pts',(1.19804846254809,0.489749947453083, 0.034956248986291)); #161405=CARTESIAN_POINT('Ctrl Pts',(1.77136275059547,0.82603515204951,0.116798296459627)); #161406=CARTESIAN_POINT('Ctrl Pts',(1.73356533275501,0.8994171259289,0.0895354160540813)); #161407=CARTESIAN_POINT('Ctrl Pts',(1.69576791491456,0.97279909980829,0.0622725356485359)); #161408=CARTESIAN_POINT('Ctrl Pts',(1.6579704970741,1.04618107368768,0.0350096552429904)); #161409=CARTESIAN_POINT('Ctrl Pts',(1.76742474356965,0.813971473889686, 0.116798296459627)); #161410=CARTESIAN_POINT('Ctrl Pts',(1.73061081786991,0.887964425853162, 0.0895354160540813)); #161411=CARTESIAN_POINT('Ctrl Pts',(1.69379445360602,0.961984328573748, 0.0622725356485359)); #161412=CARTESIAN_POINT('Ctrl Pts',(1.65698052790628,1.03597728053722,0.0350096552429904)); #161413=CARTESIAN_POINT('Ctrl Pts',(1.7562439695592,0.775976065005853,0.116798296459627)); #161414=CARTESIAN_POINT('Ctrl Pts',(1.72218975342774,0.850948091121154, 0.0895354160540814)); #161415=CARTESIAN_POINT('Ctrl Pts',(1.68814959818583,0.925883075794583, 0.0622725356485359)); #161416=CARTESIAN_POINT('Ctrl Pts',(1.65409538205436,1.00085510190988,0.0350096552429904)); #161417=CARTESIAN_POINT('Ctrl Pts',(1.72394748633212,0.687231494477529, 0.116798296459627)); #161418=CARTESIAN_POINT('Ctrl Pts',(1.69517645211978,0.762752981783001, 0.0895354160540813)); #161419=CARTESIAN_POINT('Ctrl Pts',(1.666398931451,0.838303795727441,0.0622725356485359)); #161420=CARTESIAN_POINT('Ctrl Pts',(1.63762789723866,0.913825283032912, 0.0350096552429904)); #161421=CARTESIAN_POINT('Ctrl Pts',(1.66882104943851,0.57955620200442,0.116798296459627)); #161422=CARTESIAN_POINT('Ctrl Pts',(1.64490907711343,0.655191965815096, 0.0895354160540813)); #161423=CARTESIAN_POINT('Ctrl Pts',(1.62100701060833,0.730799226830558, 0.0622725356485359)); #161424=CARTESIAN_POINT('Ctrl Pts',(1.59709503828325,0.806434990641234, 0.0350096552429904)); #161425=CARTESIAN_POINT('Ctrl Pts',(1.56151568292015,0.428829006301262, 0.116798296459627)); #161426=CARTESIAN_POINT('Ctrl Pts',(1.54217780521,0.504829360668797,0.0895354160540814)); #161427=CARTESIAN_POINT('Ctrl Pts',(1.52284592223807,0.58083213373052,0.0622725356485358)); #161428=CARTESIAN_POINT('Ctrl Pts',(1.50350804452792,0.656832488098056, 0.0350096552429904)); #161429=CARTESIAN_POINT('Ctrl Pts',(1.40708561888437,0.30099640231609,0.116798296459627)); #161430=CARTESIAN_POINT('Ctrl Pts',(1.38855215755669,0.380168861065262, 0.0895354160540813)); #161431=CARTESIAN_POINT('Ctrl Pts',(1.36998196091491,0.459402535154354, 0.062272535648536)); #161432=CARTESIAN_POINT('Ctrl Pts',(1.35144849958723,0.538574993903526, 0.0350096552429904)); #161433=CARTESIAN_POINT('Ctrl Pts',(1.29209053910436,0.24609494759912,0.116798296459627)); #161434=CARTESIAN_POINT('Ctrl Pts',(1.27344236912177,0.330590363834197, 0.0895354160540814)); #161435=CARTESIAN_POINT('Ctrl Pts',(1.25486100926465,0.414977562601407, 0.0622725356485358)); #161436=CARTESIAN_POINT('Ctrl Pts',(1.23621283928206,0.499472978836484, 0.0350096552429904)); #161437=CARTESIAN_POINT('Ctrl Pts',(1.25663448897451,0.231414858613525, 0.116798296459627)); #161438=CARTESIAN_POINT('Ctrl Pts',(1.23807899005778,0.317719156639981, 0.0895354160540813)); #161439=CARTESIAN_POINT('Ctrl Pts',(1.21952349114106,0.404023454666437, 0.0622725356485359)); #161440=CARTESIAN_POINT('Ctrl Pts',(1.20096799222434,0.490327752692893, 0.0350096552429904)); #161441=CARTESIAN_POINT('Ctrl Pts',(1.20096799222434,0.490327752692892, 0.0350096552429908)); #161442=CARTESIAN_POINT('Ctrl Pts',(1.26872368415802,0.503882722298065, 0.0362142590496853)); #161443=CARTESIAN_POINT('Ctrl Pts',(1.40047816836458,0.553328032692635, 0.0392982234074631)); #161444=CARTESIAN_POINT('Ctrl Pts',(1.53597622654956,0.663434524023497, 0.0455168207216195)); #161445=CARTESIAN_POINT('Ctrl Pts',(1.61953655439213,0.773793633165751, 0.0524355207578682)); #161446=CARTESIAN_POINT('Ctrl Pts',(1.66023160941056,0.850396758256836, 0.0576866623988683)); #161447=CARTESIAN_POINT('Ctrl Pts',(1.68187474010467,0.906136653487786, 0.061611526398375)); #161448=CARTESIAN_POINT('Ctrl Pts',(1.69267331297884,0.938925876346299, 0.063858568412186)); #161449=CARTESIAN_POINT('Ctrl Pts',(1.69741493443068,0.955497383121031, 0.0648811110184589)); #161450=CARTESIAN_POINT('Ctrl Pts',(1.7001859928313,0.964221601746064,0.0654592488355316)); #161451=CARTESIAN_POINT('Ctrl Pts',(1.99407019137765,0.393658748158471, 0.277434833830992)); #161452=CARTESIAN_POINT('Ctrl Pts',(1.98179134109027,0.417497579553264, 0.268578228114819)); #161453=CARTESIAN_POINT('Ctrl Pts',(1.96951249080289,0.441336410948058, 0.259721622398647)); #161454=CARTESIAN_POINT('Ctrl Pts',(1.95723364051552,0.465175242342852, 0.250865016682474)); #161455=CARTESIAN_POINT('Ctrl Pts',(1.97461402178106,0.36222559769995,0.277434833830992)); #161456=CARTESIAN_POINT('Ctrl Pts',(1.96293875428839,0.386466332446729, 0.268578228114819)); #161457=CARTESIAN_POINT('Ctrl Pts',(1.95136813462479,0.410709759768554, 0.259721622398647)); #161458=CARTESIAN_POINT('Ctrl Pts',(1.93969286713212,0.434950494515333, 0.250865016682474)); #161459=CARTESIAN_POINT('Ctrl Pts',(1.90383705679864,0.250278929126732, 0.277434833830992)); #161460=CARTESIAN_POINT('Ctrl Pts',(1.89459313445773,0.274929757437084, 0.268578228114819)); #161461=CARTESIAN_POINT('Ctrl Pts',(1.88532758470391,0.299584913007204, 0.259721622398647)); #161462=CARTESIAN_POINT('Ctrl Pts',(1.876083662363,0.324235741317557,0.250865016682474)); #161463=CARTESIAN_POINT('Ctrl Pts',(1.71533770855821,0.0176667754022942, 0.277434833830992)); #161464=CARTESIAN_POINT('Ctrl Pts',(1.70901227121758,0.0421253336465339, 0.268578228114819)); #161465=CARTESIAN_POINT('Ctrl Pts',(1.70270797952138,0.0665627174635708, 0.259721622398647)); #161466=CARTESIAN_POINT('Ctrl Pts',(1.69638254218075,0.0910212757078105, 0.250865016682474)); #161467=CARTESIAN_POINT('Ctrl Pts',(1.51631055315759,-0.165641123565693, 0.277434833830992)); #161468=CARTESIAN_POINT('Ctrl Pts',(1.51027587535245,-0.139937983344273, 0.268578228114819)); #161469=CARTESIAN_POINT('Ctrl Pts',(1.50423361883644,-0.114214867571063, 0.259721622398647)); #161470=CARTESIAN_POINT('Ctrl Pts',(1.49819894103131,-0.0885117273496433, 0.250865016682474)); #161471=CARTESIAN_POINT('Ctrl Pts',(1.40183683250416,-0.251550800985155, 0.277434833830992)); #161472=CARTESIAN_POINT('Ctrl Pts',(1.3957852578347,-0.224093027712579, 0.268578228114819)); #161473=CARTESIAN_POINT('Ctrl Pts',(1.38973600550911,-0.196696313541768, 0.259721622398647)); #161474=CARTESIAN_POINT('Ctrl Pts',(1.38368443083965,-0.169238540269192, 0.250865016682474)); #161475=CARTESIAN_POINT('Ctrl Pts',(1.3659659701255,-0.277101607328797, 0.277434833830992)); #161476=CARTESIAN_POINT('Ctrl Pts',(1.35993804001218,-0.249064836163712, 0.268578228114819)); #161477=CARTESIAN_POINT('Ctrl Pts',(1.35391010989887,-0.221028064998627, 0.259721622398647)); #161478=CARTESIAN_POINT('Ctrl Pts',(1.34788217978555,-0.192991293833542, 0.250865016682474)); #161479=CARTESIAN_POINT('',(1.36703124418024,-0.282056350389752,0.279)); #161480=CARTESIAN_POINT('Ctrl Pts',(1.36650862510372,-0.27962557379159, 0.278232135905121)); #161481=CARTESIAN_POINT('Ctrl Pts',(1.36083575009168,-0.253240215041425, 0.269897198984104)); #161482=CARTESIAN_POINT('Ctrl Pts',(1.35516287507964,-0.22685485629126, 0.261562262063087)); #161483=CARTESIAN_POINT('Ctrl Pts',(1.3494900000676,-0.200469497541095, 0.25322732514207)); #161484=CARTESIAN_POINT('Ctrl Pts',(1.33960393416294,-0.298829145271458, 0.278232135905121)); #161485=CARTESIAN_POINT('Ctrl Pts',(1.33394565842203,-0.272020309556378, 0.269897198984104)); #161486=CARTESIAN_POINT('Ctrl Pts',(1.32829201237036,-0.245212796374188, 0.261562262063087)); #161487=CARTESIAN_POINT('Ctrl Pts',(1.32263373662945,-0.218403960659107, 0.25322732514207)); #161488=CARTESIAN_POINT('Ctrl Pts',(1.24791410812639,-0.362997615462854, 0.278232135905121)); #161489=CARTESIAN_POINT('Ctrl Pts',(1.24233295906914,-0.334776075573876, 0.269897198984104)); #161490=CARTESIAN_POINT('Ctrl Pts',(1.23674560284511,-0.30654960061102, 0.261562262063087)); #161491=CARTESIAN_POINT('Ctrl Pts',(1.23116445378787,-0.278328060722042, 0.25322732514207)); #161492=CARTESIAN_POINT('Ctrl Pts',(0.981081751008456,-0.513599984267164, 0.278232135905121)); #161493=CARTESIAN_POINT('Ctrl Pts',(0.976135047220269,-0.482033429710639, 0.269897198984104)); #161494=CARTESIAN_POINT('Ctrl Pts',(0.971193807246353,-0.450473126171683, 0.261562262063087)); #161495=CARTESIAN_POINT('Ctrl Pts',(0.966247103458166,-0.418906571615159, 0.25322732514207)); #161496=CARTESIAN_POINT('Ctrl Pts',(0.558610585287191,-0.670929350478137, 0.278232135905121)); #161497=CARTESIAN_POINT('Ctrl Pts',(0.555470518860827,-0.635845537869749, 0.269897198984104)); #161498=CARTESIAN_POINT('Ctrl Pts',(0.55233426427155,-0.600759457387458, 0.261562262063086)); #161499=CARTESIAN_POINT('Ctrl Pts',(0.549194197845187,-0.56567564477907, 0.253227325142069)); #161500=CARTESIAN_POINT('Ctrl Pts',(0.186274327052056,-0.717255941421488, 0.278232135905121)); #161501=CARTESIAN_POINT('Ctrl Pts',(0.185227734869542,-0.681120924702943, 0.269897198984104)); #161502=CARTESIAN_POINT('Ctrl Pts',(0.184176201882869,-0.64500743998399, 0.261562262063087)); #161503=CARTESIAN_POINT('Ctrl Pts',(0.183129609700355,-0.608872423265445, 0.25322732514207)); #161504=CARTESIAN_POINT('Ctrl Pts',(-5.77188280915103E-14,-0.717255941421484, 0.278232135905121)); #161505=CARTESIAN_POINT('Ctrl Pts',(-5.74040397690974E-14,-0.681128102036136, 0.269897198984104)); #161506=CARTESIAN_POINT('Ctrl Pts',(-5.70892514466845E-14,-0.645000262650789, 0.261562262063087)); #161507=CARTESIAN_POINT('Ctrl Pts',(-5.67744631242716E-14,-0.608872423265441, 0.25322732514207)); #161508=CARTESIAN_POINT('Ctrl Pts',(1.0705179783026E-14,-0.632730399321459, 0.258731521597579)); #161509=CARTESIAN_POINT('Ctrl Pts',(1.70709279972899E-15,-0.660905580021467, 0.265231726366759)); #161510=CARTESIAN_POINT('Ctrl Pts',(-4.85542696760706E-15,-0.689080760721476, 0.27173193113594)); #161511=CARTESIAN_POINT('Ctrl Pts',(-5.35864676288115E-15,-0.717255941421484, 0.278232135905121)); #161512=CARTESIAN_POINT('Ctrl Pts',(-1.36650862510373,-0.279625573791588, 0.278232135905121)); #161513=CARTESIAN_POINT('Ctrl Pts',(-1.36083575009169,-0.253240215041422, 0.269897198984103)); #161514=CARTESIAN_POINT('Ctrl Pts',(-1.35516287507965,-0.226854856291255, 0.261562262063086)); #161515=CARTESIAN_POINT('Ctrl Pts',(-1.34949000006761,-0.200469497541089, 0.253227325142068)); #161516=CARTESIAN_POINT('Ctrl Pts',(-1.33960393416295,-0.298829145271456, 0.278232135905121)); #161517=CARTESIAN_POINT('Ctrl Pts',(-1.33394565842204,-0.272020309556374, 0.269897198984103)); #161518=CARTESIAN_POINT('Ctrl Pts',(-1.32829201237037,-0.245212796374183, 0.261562262063086)); #161519=CARTESIAN_POINT('Ctrl Pts',(-1.32263373662946,-0.218403960659101, 0.253227325142068)); #161520=CARTESIAN_POINT('Ctrl Pts',(-1.2479141081264,-0.362997615462852, 0.278232135905121)); #161521=CARTESIAN_POINT('Ctrl Pts',(-1.24233295906915,-0.334776075573873, 0.269897198984103)); #161522=CARTESIAN_POINT('Ctrl Pts',(-1.23674560284512,-0.306549600611015, 0.261562262063086)); #161523=CARTESIAN_POINT('Ctrl Pts',(-1.23116445378788,-0.278328060722036, 0.253227325142068)); #161524=CARTESIAN_POINT('Ctrl Pts',(-0.981081751008467,-0.513599984267162, 0.278232135905121)); #161525=CARTESIAN_POINT('Ctrl Pts',(-0.976135047220279,-0.482033429710636, 0.269897198984103)); #161526=CARTESIAN_POINT('Ctrl Pts',(-0.971193807246363,-0.450473126171678, 0.261562262063086)); #161527=CARTESIAN_POINT('Ctrl Pts',(-0.966247103458175,-0.418906571615152, 0.253227325142068)); #161528=CARTESIAN_POINT('Ctrl Pts',(-0.558610585287202,-0.670929350478136, 0.278232135905121)); #161529=CARTESIAN_POINT('Ctrl Pts',(-0.555470518860838,-0.635845537869746, 0.269897198984103)); #161530=CARTESIAN_POINT('Ctrl Pts',(-0.552334264271561,-0.600759457387453, 0.261562262063086)); #161531=CARTESIAN_POINT('Ctrl Pts',(-0.549194197845197,-0.565675644779064, 0.253227325142068)); #161532=CARTESIAN_POINT('Ctrl Pts',(-0.186274327052067,-0.717255941421488, 0.278232135905121)); #161533=CARTESIAN_POINT('Ctrl Pts',(-0.185227734869553,-0.681120924702941, 0.269897198984103)); #161534=CARTESIAN_POINT('Ctrl Pts',(-0.18417620188288,-0.645007439983986, 0.261562262063086)); #161535=CARTESIAN_POINT('Ctrl Pts',(-0.183129609700366,-0.608872423265439, 0.253227325142068)); #161536=CARTESIAN_POINT('Ctrl Pts',(4.66879764124745E-14,-0.717255941421484, 0.278232135905121)); #161537=CARTESIAN_POINT('Ctrl Pts',(4.64259124215566E-14,-0.681128102036134, 0.269897198984103)); #161538=CARTESIAN_POINT('Ctrl Pts',(4.61638484306388E-14,-0.645000262650785, 0.261562262063086)); #161539=CARTESIAN_POINT('Ctrl Pts',(4.5901784439721E-14,-0.608872423265435, 0.253227325142068)); #161540=CARTESIAN_POINT('',(-1.36703124418025,-0.28205635038975,0.279)); #161541=CARTESIAN_POINT('Ctrl Pts',(-1.99407019137766,0.393658748158474, 0.277434833830992)); #161542=CARTESIAN_POINT('Ctrl Pts',(-1.981690763925,0.417692845561823,0.268505682862122)); #161543=CARTESIAN_POINT('Ctrl Pts',(-1.96931133647234,0.441726942965172, 0.259576531893252)); #161544=CARTESIAN_POINT('Ctrl Pts',(-1.95693190901969,0.465761040368521, 0.250647380924382)); #161545=CARTESIAN_POINT('Ctrl Pts',(-1.97461402178107,0.362225597699953, 0.277434833830992)); #161546=CARTESIAN_POINT('Ctrl Pts',(-1.96284312112399,0.386664890481733, 0.268505682862122)); #161547=CARTESIAN_POINT('Ctrl Pts',(-1.95117772547574,0.411106897893681, 0.259576531893252)); #161548=CARTESIAN_POINT('Ctrl Pts',(-1.93940682481866,0.435546190675461, 0.250647380924382)); #161549=CARTESIAN_POINT('Ctrl Pts',(-1.90383705718559,0.250278928788387, 0.277434833830992)); #161550=CARTESIAN_POINT('Ctrl Pts',(-1.89451741704674,0.275131674246942, 0.268505682862122)); #161551=CARTESIAN_POINT('Ctrl Pts',(-1.885175972709,0.29998878236912,0.259576531893252)); #161552=CARTESIAN_POINT('Ctrl Pts',(-1.87585633257015,0.324841527827676, 0.250647380924382)); #161553=CARTESIAN_POINT('Ctrl Pts',(-1.71533770857199,0.0176667752674392, 0.277434833830992)); #161554=CARTESIAN_POINT('Ctrl Pts',(-1.70896045900611,0.0423256757585061, 0.268505682862122)); #161555=CARTESIAN_POINT('Ctrl Pts',(-1.70260452833781,0.0669632282742602, 0.259576531893252)); #161556=CARTESIAN_POINT('Ctrl Pts',(-1.69622727877193,0.091622128765327, 0.250647380924382)); #161557=CARTESIAN_POINT('Ctrl Pts',(-1.51631055331319,-0.16564112379004, 0.277434833830992)); #161558=CARTESIAN_POINT('Ctrl Pts',(-1.51022644492027,-0.139727446839061, 0.268505682862122)); #161559=CARTESIAN_POINT('Ctrl Pts',(-1.50413469585771,-0.113793630816759, 0.259576531893252)); #161560=CARTESIAN_POINT('Ctrl Pts',(-1.49805058746479,-0.08787995386578, 0.250647380924382)); #161561=CARTESIAN_POINT('Ctrl Pts',(-1.40183683250417,-0.251550800985152, 0.277434833830992)); #161562=CARTESIAN_POINT('Ctrl Pts',(-1.3957356888432,-0.223868118626139, 0.268505682862122)); #161563=CARTESIAN_POINT('Ctrl Pts',(-1.38963688654861,-0.196246995509476, 0.259576531893252)); #161564=CARTESIAN_POINT('Ctrl Pts',(-1.38353574288764,-0.168564313150462, 0.250647380924382)); #161565=CARTESIAN_POINT('Ctrl Pts',(-1.36596597012551,-0.277101607328795, 0.277434833830992)); #161566=CARTESIAN_POINT('Ctrl Pts',(-1.35988866469536,-0.248835184453543, 0.268505682862122)); #161567=CARTESIAN_POINT('Ctrl Pts',(-1.35381135926521,-0.220568761578292, 0.259576531893252)); #161568=CARTESIAN_POINT('Ctrl Pts',(-1.34773405383506,-0.192302338703041, 0.250647380924382)); #161569=CARTESIAN_POINT('',(-1.99624014696561,0.389445877719893,0.279)); #161570=CARTESIAN_POINT('Ctrl Pts',(-2.08518479165677,0.765635709638568, 0.276862704357432)); #161571=CARTESIAN_POINT('Ctrl Pts',(-1.9622667149326,0.911296461976901, 0.206394885850131)); #161572=CARTESIAN_POINT('Ctrl Pts',(-1.83934863820843,1.05695721431523, 0.13592706734283)); #161573=CARTESIAN_POINT('Ctrl Pts',(-1.71643056148425,1.20261796665357, 0.0654592488355284)); #161574=CARTESIAN_POINT('Ctrl Pts',(-2.09386150773192,0.636611362704175, 0.276862704357432)); #161575=CARTESIAN_POINT('Ctrl Pts',(-1.969399434228,0.798373275278491,0.206394885850131)); #161576=CARTESIAN_POINT('Ctrl Pts',(-1.84514744091146,0.960094068852558, 0.13592706734283)); #161577=CARTESIAN_POINT('Ctrl Pts',(-1.72068536740754,1.12185598142687, 0.0654592488355284)); #161578=CARTESIAN_POINT('Ctrl Pts',(-2.06122922609927,0.505123671618305, 0.276862704357432)); #161579=CARTESIAN_POINT('Ctrl Pts',(-1.94568407677289,0.68360159774008, 0.206394885850131)); #161580=CARTESIAN_POINT('Ctrl Pts',(-1.83034372767687,0.862017223455291, 0.13592706734283)); #161581=CARTESIAN_POINT('Ctrl Pts',(-1.7147985783505,1.04049514957707,0.0654592488355284)); #161582=CARTESIAN_POINT('Ctrl Pts',(-1.99327698770844,0.395198717136801, 0.276862704357432)); #161583=CARTESIAN_POINT('Ctrl Pts',(-1.89557998941606,0.58487301200656, 0.206394885850131)); #161584=CARTESIAN_POINT('Ctrl Pts',(-1.79788299112368,0.774547306876318, 0.13592706734283)); #161585=CARTESIAN_POINT('Ctrl Pts',(-1.7001859928313,0.964221601746076, 0.0654592488355284)); #161586=CARTESIAN_POINT('',(-1.7001859928313,0.964221601746073,0.0654592488355274)); #161587=CARTESIAN_POINT('',(-1.99624014696561,0.389445877719893,0.279)); #161588=CARTESIAN_POINT('',(-1.71963876646284,1.14409748796938,0.0665181548550969)); #161589=CARTESIAN_POINT('Ctrl Pts',(-1.71963876646284,1.14409748796938, 0.0665181548550968)); #161590=CARTESIAN_POINT('Ctrl Pts',(-1.7221530557191,1.08380033604219,0.0681117972496941)); #161591=CARTESIAN_POINT('Ctrl Pts',(-1.71561488506227,1.02254710976785, 0.0676961829531091)); #161592=CARTESIAN_POINT('Ctrl Pts',(-1.7001859928313,0.964221601746076, 0.0654592488355296)); #161593=CARTESIAN_POINT('Ctrl Pts',(-1.71705907142188,1.20187316789974, 0.0658195678821216)); #161594=CARTESIAN_POINT('Ctrl Pts',(-1.7179809838775,1.18264144587454,0.0657632860304065)); #161595=CARTESIAN_POINT('Ctrl Pts',(-1.71883505695075,1.16337187910602, 0.0660087363193359)); #161596=CARTESIAN_POINT('Ctrl Pts',(-1.71963876646284,1.14409748796938, 0.0665181548550979)); #161597=CARTESIAN_POINT('Ctrl Pts',(-1.99424903537491E-16,0.394175398290398, 0.020327491094035)); #161598=CARTESIAN_POINT('Ctrl Pts',(-2.00083776603987E-16,0.393594596812851, 0.0223176666390776)); #161599=CARTESIAN_POINT('Ctrl Pts',(-1.0337879070911E-16,0.392321947666474, 0.0263483474647332)); #161600=CARTESIAN_POINT('Ctrl Pts',(-1.73994053673399E-16,0.394478727772715, 0.0336610128046343)); #161601=CARTESIAN_POINT('Ctrl Pts',(5.42007280197087E-17,0.395344782907477, 0.0415892084891438)); #161602=CARTESIAN_POINT('Ctrl Pts',(9.75817265982121E-17,0.395344782907477, 0.045684130979512)); #161603=CARTESIAN_POINT('Ctrl Pts',(-0.0173887945205942,0.393884997551624, 0.0213225788665563)); #161604=CARTESIAN_POINT('Ctrl Pts',(-0.0158712632729763,0.393360616065445, 0.0232124019241703)); #161605=CARTESIAN_POINT('Ctrl Pts',(-0.0142676820998786,0.392313125304982, 0.0270665974635013)); #161606=CARTESIAN_POINT('Ctrl Pts',(-0.0146760110530247,0.394718377960921, 0.0342691770722488)); #161607=CARTESIAN_POINT('Ctrl Pts',(-0.0175157247551332,0.395344782907477, 0.0418167041830531)); #161608=CARTESIAN_POINT('Ctrl Pts',(-0.0197353843047524,0.395344782907477, 0.045684130979512)); #161609=CARTESIAN_POINT('Ctrl Pts',(-0.0485975244496829,0.392827754337969, 0.023662417915389)); #161610=CARTESIAN_POINT('Ctrl Pts',(-0.0456420052316021,0.392449964633187, 0.0253421163494892)); #161611=CARTESIAN_POINT('Ctrl Pts',(-0.0427577540986561,0.391895432155351, 0.0288537286779054)); #161612=CARTESIAN_POINT('Ctrl Pts',(-0.0459226147461689,0.394047957614066, 0.0361568836322399)); #161613=CARTESIAN_POINT('Ctrl Pts',(-0.0537089243715106,0.393099083221581, 0.0428958198372419)); #161614=CARTESIAN_POINT('Ctrl Pts',(-0.0592056388892131,0.392380190454832, 0.0464352073730424)); #161615=CARTESIAN_POINT('Ctrl Pts',(-0.107830453811182,0.38671466844377, 0.0292948937159568)); #161616=CARTESIAN_POINT('Ctrl Pts',(-0.10547668802087,0.386224050468883, 0.0307786824176404)); #161617=CARTESIAN_POINT('Ctrl Pts',(-0.10414106308746,0.385326711929044, 0.0342768629884121)); #161618=CARTESIAN_POINT('Ctrl Pts',(-0.105447393687245,0.383976617828775, 0.0410520630442268)); #161619=CARTESIAN_POINT('Ctrl Pts',(-0.112279874235377,0.380782771127653, 0.0467087139599613)); #161620=CARTESIAN_POINT('Ctrl Pts',(-0.116878192136672,0.379202308727689, 0.0498150511439292)); #161621=CARTESIAN_POINT('Ctrl Pts',(-0.154934211947616,0.371967492845709, 0.034116499963643)); #161622=CARTESIAN_POINT('Ctrl Pts',(-0.152809612008541,0.371335845823435, 0.0357166565997544)); #161623=CARTESIAN_POINT('Ctrl Pts',(-0.149729994033071,0.370022596169605, 0.0393052015460388)); #161624=CARTESIAN_POINT('Ctrl Pts',(-0.149162406076558,0.367605181182981, 0.0458413853639436)); #161625=CARTESIAN_POINT('Ctrl Pts',(-0.151852817238938,0.36563838910244, 0.0509611186766065)); #161626=CARTESIAN_POINT('Ctrl Pts',(-0.153332799251247,0.364915866103223, 0.0535704331115812)); #161627=CARTESIAN_POINT('Ctrl Pts',(-0.175599732849276,0.361742271892246, 0.0369614427223967)); #161628=CARTESIAN_POINT('Ctrl Pts',(-0.174805123779618,0.360522730124627, 0.0387190857795059)); #161629=CARTESIAN_POINT('Ctrl Pts',(-0.173359059117074,0.358464444458155, 0.0425631463028661)); #161630=CARTESIAN_POINT('Ctrl Pts',(-0.171731737949182,0.356679265987008, 0.0490169532977798)); #161631=CARTESIAN_POINT('Ctrl Pts',(-0.171064333429059,0.356419066674133, 0.05355471835609)); #161632=CARTESIAN_POINT('Ctrl Pts',(-0.170843642497719,0.356524819879728, 0.0558236622921724)); #161633=CARTESIAN_POINT('Origin',(-0.183807793022834,0.383579039535119, 0.0558236622921724)); #161634=CARTESIAN_POINT('Ctrl Pts',(-0.183433863171254,0.399897067859007, -8.3264314456164E-6)); #161635=CARTESIAN_POINT('Ctrl Pts',(-0.522642025741872,0.409708180924073, 0.000590246270435485)); #161636=CARTESIAN_POINT('Ctrl Pts',(-0.861361267120004,0.446902044442612, 0.00212613376638993)); #161637=CARTESIAN_POINT('Ctrl Pts',(-1.19460774530462,0.510531400296921, 0.00890482860176332)); #161638=CARTESIAN_POINT('Ctrl Pts',(-0.183438707605152,0.399349860391126, 0.00624525041950771)); #161639=CARTESIAN_POINT('Ctrl Pts',(-0.522654010884651,0.409162303206535, 0.00681966172696873)); #161640=CARTESIAN_POINT('Ctrl Pts',(-0.86138928506418,0.446368225948192, 0.00829239976593618)); #161641=CARTESIAN_POINT('Ctrl Pts',(-1.19458934969352,0.510002131120489, 0.0148052659171727)); #161642=CARTESIAN_POINT('Ctrl Pts',(-0.183566406531078,0.394198280675787, 0.0183769610362845)); #161643=CARTESIAN_POINT('Ctrl Pts',(-0.523018034642378,0.40405337379582, 0.018906841757118)); #161644=CARTESIAN_POINT('Ctrl Pts',(-0.861998199752668,0.441389649270727, 0.020257351864185)); #161645=CARTESIAN_POINT('Ctrl Pts',(-1.19523453291936,0.505407046386934, 0.0262760039918767)); #161646=CARTESIAN_POINT('Ctrl Pts',(-0.183880848229485,0.382913928518273, 0.0251812248371616)); #161647=CARTESIAN_POINT('Ctrl Pts',(-0.523909943723286,0.392856898486869, 0.0257225299183093)); #161648=CARTESIAN_POINT('Ctrl Pts',(-0.863487833926256,0.430469207866301, 0.0271329392793648)); #161649=CARTESIAN_POINT('Ctrl Pts',(-1.19702058039511,0.49531783636375, 0.0332133502139129)); #161650=CARTESIAN_POINT('Ctrl Pts',(-0.184055155136423,0.376801446577749, 0.0266003317891489)); #161651=CARTESIAN_POINT('Ctrl Pts',(-0.524406135232044,0.38678397691733, 0.0271660582203062)); #161652=CARTESIAN_POINT('Ctrl Pts',(-0.86431329216094,0.424512740872511, 0.0286512248941468)); #161653=CARTESIAN_POINT('Ctrl Pts',(-1.19804846254809,0.489749947453082, 0.0349562489862908)); #161654=CARTESIAN_POINT('Ctrl Pts',(-0.183433863171254,0.399897067859007, -8.3264314456164E-6)); #161655=CARTESIAN_POINT('Ctrl Pts',(-0.522642025741872,0.409708180924073, 0.000590246270435485)); #161656=CARTESIAN_POINT('Ctrl Pts',(-0.861361267120004,0.446902044442612, 0.00212613376638993)); #161657=CARTESIAN_POINT('Ctrl Pts',(-1.19460774530462,0.510531400296921, 0.00890482860176332)); #161658=CARTESIAN_POINT('',(-1.19804846254809,0.489749947453082,0.0349562489862908)); #161659=CARTESIAN_POINT('Origin',(-1.20026413103365,0.481185722772967,0.00629015631933356)); #161660=CARTESIAN_POINT('Ctrl Pts',(-1.19804846254809,0.489749947453082, 0.0349562489862908)); #161661=CARTESIAN_POINT('Ctrl Pts',(-0.86431329216094,0.424512740872511, 0.0286512248941468)); #161662=CARTESIAN_POINT('Ctrl Pts',(-0.524406135232044,0.38678397691733, 0.0271660582203062)); #161663=CARTESIAN_POINT('Ctrl Pts',(-0.184055155136423,0.376801446577749, 0.0266003317891489)); #161664=CARTESIAN_POINT('Ctrl Pts',(-1.19460774530462,0.51053140029692, 0.00890482860176326)); #161665=CARTESIAN_POINT('Ctrl Pts',(-1.19554001335038,0.510709333199399, 0.00892460396442848)); #161666=CARTESIAN_POINT('Ctrl Pts',(-1.19647188076068,0.510889439990296, 0.00894379378586065)); #161667=CARTESIAN_POINT('Ctrl Pts',(-1.19740333137694,0.511071717956068, 0.00896239486631556)); #161668=CARTESIAN_POINT('Ctrl Pts',(-1.19458934969352,0.510002131120489, 0.0148052659171727)); #161669=CARTESIAN_POINT('Ctrl Pts',(-1.19552469043468,0.510180537537366, 0.0148241818141899)); #161670=CARTESIAN_POINT('Ctrl Pts',(-1.19645970987149,0.510361183115457, 0.0148425222892505)); #161671=CARTESIAN_POINT('Ctrl Pts',(-1.19739423856271,0.51054396103127, 0.0148602642155921)); #161672=CARTESIAN_POINT('Ctrl Pts',(-1.19523537974412,0.505402968284464, 0.0262726390091845)); #161673=CARTESIAN_POINT('Ctrl Pts',(-1.19618209677496,0.505584528734884, 0.0262899628302078)); #161674=CARTESIAN_POINT('Ctrl Pts',(-1.19712839453327,0.505768298191869, 0.0263066912185873)); #161675=CARTESIAN_POINT('Ctrl Pts',(-1.19807429587319,0.505954301430128, 0.0263228412012947)); #161676=CARTESIAN_POINT('Ctrl Pts',(-1.19702058039511,0.49531783636375, 0.0332133502139129)); #161677=CARTESIAN_POINT('Ctrl Pts',(-1.19798510353928,0.495505265601912, 0.0332309544126443)); #161678=CARTESIAN_POINT('Ctrl Pts',(-1.19894923092709,0.495694973548177, 0.033248007971067)); #161679=CARTESIAN_POINT('Ctrl Pts',(-1.19991291491726,0.49588693276282, 0.0332644326960684)); #161680=CARTESIAN_POINT('Ctrl Pts',(-1.19804846254809,0.489749947453082, 0.0349562489862907)); #161681=CARTESIAN_POINT('Ctrl Pts',(-1.1990220620667,0.489940262764617, 0.0349746425179459)); #161682=CARTESIAN_POINT('Ctrl Pts',(-1.19999524420756,0.490132865443375, 0.0349924456766053)); #161683=CARTESIAN_POINT('Ctrl Pts',(-1.20096799222435,0.490327752692894, 0.0350096552429903)); #161684=CARTESIAN_POINT('',(-1.20096799222435,0.490327752692894,0.0350096552429904)); #161685=CARTESIAN_POINT('Origin',(-1.20319318158972,0.481752080793084,0.00634772258388581)); #161686=CARTESIAN_POINT('Ctrl Pts',(-1.20096799222435,0.490327752692894, 0.0350096552429903)); #161687=CARTESIAN_POINT('Ctrl Pts',(-1.19999524420756,0.490132865443375, 0.0349924456766053)); #161688=CARTESIAN_POINT('Ctrl Pts',(-1.1990220620667,0.489940262764617, 0.0349746425179459)); #161689=CARTESIAN_POINT('Ctrl Pts',(-1.19804846254809,0.489749947453082, 0.0349562489862907)); #161690=CARTESIAN_POINT('Ctrl Pts',(-1.19740333137694,0.511071717956068, 0.00896239486631582)); #161691=CARTESIAN_POINT('Ctrl Pts',(-1.26307768092392,0.523923700261824, 0.010273912448061)); #161692=CARTESIAN_POINT('Ctrl Pts',(-1.3917916974946,0.57140802625713,0.0136543677358506)); #161693=CARTESIAN_POINT('Ctrl Pts',(-1.52438799416944,0.677969557938436, 0.0205334364965173)); #161694=CARTESIAN_POINT('Ctrl Pts',(-1.60659095163609,0.784739648054823, 0.0281679561570726)); #161695=CARTESIAN_POINT('Ctrl Pts',(-1.64649401186919,0.858550124188574, 0.0338481682477627)); #161696=CARTESIAN_POINT('Ctrl Pts',(-1.66806920117963,0.913000908628332, 0.0380668153320353)); #161697=CARTESIAN_POINT('Ctrl Pts',(-1.67847941849386,0.944789773070514, 0.0403203107106655)); #161698=CARTESIAN_POINT('Ctrl Pts',(-1.68303924503038,0.96088015151684, 0.0413224944055314)); #161699=CARTESIAN_POINT('Ctrl Pts',(-1.68514057116124,0.968975083134402, 0.0416249958885332)); #161700=CARTESIAN_POINT('Ctrl Pts',(-1.19739423856271,0.51054396103127, 0.0148602642155924)); #161701=CARTESIAN_POINT('Ctrl Pts',(-1.26310353854235,0.523395244133284, 0.0161117676021712)); #161702=CARTESIAN_POINT('Ctrl Pts',(-1.39188321108601,0.570887932710737, 0.0193414498143584)); #161703=CARTESIAN_POINT('Ctrl Pts',(-1.52454367343628,0.677458925063169, 0.0259343779634743)); #161704=CARTESIAN_POINT('Ctrl Pts',(-1.60679854694502,0.784230818214761, 0.0332863608277121)); #161705=CARTESIAN_POINT('Ctrl Pts',(-1.64674304120978,0.858056825321286, 0.0387963717991526)); #161706=CARTESIAN_POINT('Ctrl Pts',(-1.66835401591957,0.912528663125295, 0.0429161415001197)); #161707=CARTESIAN_POINT('Ctrl Pts',(-1.67879971835066,0.944376169843717, 0.0451658876014819)); #161708=CARTESIAN_POINT('Ctrl Pts',(-1.6833772603505,0.960496335202451, 0.0461719298231833)); #161709=CARTESIAN_POINT('Ctrl Pts',(-1.68551686035257,0.968701111739102, 0.0465686448172641)); #161710=CARTESIAN_POINT('Ctrl Pts',(-1.19807460379809,0.505952845553435, 0.0263216396045456)); #161711=CARTESIAN_POINT('Ctrl Pts',(-1.26422356475217,0.518954690485694, 0.0274614768838548)); #161712=CARTESIAN_POINT('Ctrl Pts',(-1.39378688061408,0.566905866421335, 0.0304066131495264)); #161713=CARTESIAN_POINT('Ctrl Pts',(-1.52703059107574,0.674311654550312, 0.0364607848029741)); #161714=CARTESIAN_POINT('Ctrl Pts',(-1.60950408186055,0.781756367833926, 0.0432778224787047)); #161715=CARTESIAN_POINT('Ctrl Pts',(-1.64953504889864,0.856003923693851, 0.0484646894769778)); #161716=CARTESIAN_POINT('Ctrl Pts',(-1.67120330933646,0.910765958560463, 0.0523965402233463)); #161717=CARTESIAN_POINT('Ctrl Pts',(-1.68175429514075,0.942856447235252, 0.054639245381274)); #161718=CARTESIAN_POINT('Ctrl Pts',(-1.68638476349174,0.959096971160589, 0.0556529036693058)); #161719=CARTESIAN_POINT('Ctrl Pts',(-1.68870206930653,0.967530180094337, 0.0562284909396452)); #161720=CARTESIAN_POINT('Ctrl Pts',(-1.19991291491726,0.49588693276282, 0.0332644326960689)); #161721=CARTESIAN_POINT('Ctrl Pts',(-1.26707733399505,0.509250105819643, 0.0344180872012829)); #161722=CARTESIAN_POINT('Ctrl Pts',(-1.3984949760185,0.55833144774981,0.0373959836979198)); #161723=CARTESIAN_POINT('Ctrl Pts',(-1.53312017306669,0.667827215823423, 0.0434541371759441)); #161724=CARTESIAN_POINT('Ctrl Pts',(-1.6160688870978,0.777018578579788, 0.0502185930618372)); #161725=CARTESIAN_POINT('Ctrl Pts',(-1.65622232537375,0.852322736299067, 0.0553511257409319)); #161726=CARTESIAN_POINT('Ctrl Pts',(-1.67793843395849,0.907784624530916, 0.0592458844464327)); #161727=CARTESIAN_POINT('Ctrl Pts',(-1.68863892628159,0.940308631414523, 0.0614886651820956)); #161728=CARTESIAN_POINT('Ctrl Pts',(-1.69334606870223,0.956764430191045, 0.0625089242714865)); #161729=CARTESIAN_POINT('Ctrl Pts',(-1.69596626287599,0.965399706068759, 0.0631220993065942)); #161730=CARTESIAN_POINT('Ctrl Pts',(-1.20096799222435,0.490327752692894, 0.0350096552429907)); #161731=CARTESIAN_POINT('Ctrl Pts',(-1.26870450467403,0.503878885316772, 0.0362139180646138)); #161732=CARTESIAN_POINT('Ctrl Pts',(-1.40117824092127,0.553557850577586, 0.0393112548761277)); #161733=CARTESIAN_POINT('Ctrl Pts',(-1.5366357917692,0.664203252542014, 0.0455621245966899)); #161734=CARTESIAN_POINT('Ctrl Pts',(-1.61989805387102,0.774384154794025, 0.0524751017081471)); #161735=CARTESIAN_POINT('Ctrl Pts',(-1.66014009524606,0.850294618828674, 0.0576803253551894)); #161736=CARTESIAN_POINT('Ctrl Pts',(-1.68188994825081,0.906161466647758, 0.0616131808564998)); #161737=CARTESIAN_POINT('Ctrl Pts',(-1.69266827088645,0.938924604325853, 0.063858513941385)); #161738=CARTESIAN_POINT('Ctrl Pts',(-1.69741571882929,0.95549985267019, 0.0648812746709054)); #161739=CARTESIAN_POINT('Ctrl Pts',(-1.7001859928313,0.964221601746072, 0.0654592488355276)); #161740=CARTESIAN_POINT('Origin',(-1.71409129679532,0.961557605522968,0.0390103236061035)); #161741=CARTESIAN_POINT('Ctrl Pts',(-1.7001859928313,0.964221601746072, 0.0654592488355276)); #161742=CARTESIAN_POINT('Ctrl Pts',(-1.69741571882929,0.95549985267019, 0.0648812746709054)); #161743=CARTESIAN_POINT('Ctrl Pts',(-1.69266827088645,0.938924604325853, 0.063858513941385)); #161744=CARTESIAN_POINT('Ctrl Pts',(-1.68188994825081,0.906161466647758, 0.0616131808564998)); #161745=CARTESIAN_POINT('Ctrl Pts',(-1.66014009524606,0.850294618828674, 0.0576803253551894)); #161746=CARTESIAN_POINT('Ctrl Pts',(-1.61989805387102,0.774384154794025, 0.0524751017081471)); #161747=CARTESIAN_POINT('Ctrl Pts',(-1.5366357917692,0.664203252542014, 0.0455621245966899)); #161748=CARTESIAN_POINT('Ctrl Pts',(-1.40117824092127,0.553557850577586, 0.0393112548761277)); #161749=CARTESIAN_POINT('Ctrl Pts',(-1.26870450467403,0.503878885316772, 0.0362139180646138)); #161750=CARTESIAN_POINT('Ctrl Pts',(-1.20096799222435,0.490327752692894, 0.0350096552429907)); #161751=CARTESIAN_POINT('Ctrl Pts',(-1.68514057116124,0.968975083134406, 0.0416249958885349)); #161752=CARTESIAN_POINT('Ctrl Pts',(-1.69981280683071,1.02549688747338, 0.0437371730436051)); #161753=CARTESIAN_POINT('Ctrl Pts',(-1.7064726697413,1.08477671521916,0.0443314703164776)); #161754=CARTESIAN_POINT('Ctrl Pts',(-1.70467714733751,1.14316775286189, 0.0431013939977214)); #161755=CARTESIAN_POINT('Ctrl Pts',(-1.6858931495439,0.968427140343806, 0.0515122937459968)); #161756=CARTESIAN_POINT('Ctrl Pts',(-1.70066576336449,1.02519103439315, 0.0537088783342071)); #161757=CARTESIAN_POINT('Ctrl Pts',(-1.70734741483747,1.08471667251865, 0.0541735887896033)); #161758=CARTESIAN_POINT('Ctrl Pts',(-1.70551088867141,1.1433863374402,0.0526993121134014)); #161759=CARTESIAN_POINT('Ctrl Pts',(-1.69174653292068,0.96657781039145, 0.0607849497776626)); #161760=CARTESIAN_POINT('Ctrl Pts',(-1.70682726969261,1.02404004845777, 0.063051343829541)); #161761=CARTESIAN_POINT('Ctrl Pts',(-1.71343602454457,1.0843417201095,0.0634089897979826)); #161762=CARTESIAN_POINT('Ctrl Pts',(-1.71128218964745,1.14374497383432, 0.0617321028601647)); #161763=CARTESIAN_POINT('Ctrl Pts',(-1.7001859928313,0.964221601746076, 0.0654592488355296)); #161764=CARTESIAN_POINT('Ctrl Pts',(-1.71561488506227,1.02254710976785, 0.0676961829531091)); #161765=CARTESIAN_POINT('Ctrl Pts',(-1.7221530557191,1.08380033604219,0.0681117972496941)); #161766=CARTESIAN_POINT('Ctrl Pts',(-1.71963876646284,1.14409748796938, 0.0665181548550968)); #161767=CARTESIAN_POINT('Origin',(-1.73455051031592,1.14403127658204,0.0404867217152916)); #161768=CARTESIAN_POINT('Ctrl Pts',(-1.70467714733751,1.14316775286189, 0.0431013939977221)); #161769=CARTESIAN_POINT('Ctrl Pts',(-1.70407881356437,1.16267636244686, 0.0427081918092634)); #161770=CARTESIAN_POINT('Ctrl Pts',(-1.70346271712177,1.18219331762048, 0.0426044516223183)); #161771=CARTESIAN_POINT('Ctrl Pts',(-1.70283453842175,1.20170339722427, 0.0428498435801147)); #161772=CARTESIAN_POINT('Ctrl Pts',(-1.70551088867141,1.1433863374402,0.0526993121134021)); #161773=CARTESIAN_POINT('Ctrl Pts',(-1.70491029792695,1.16279728459824, 0.0522256147663199)); #161774=CARTESIAN_POINT('Ctrl Pts',(-1.70428406093512,1.18221377934224, 0.0520314368506693)); #161775=CARTESIAN_POINT('Ctrl Pts',(-1.70365214967016,1.20161294091648, 0.0521555970599915)); #161776=CARTESIAN_POINT('Ctrl Pts',(-1.71128218964745,1.14374497383432, 0.0617321028601656)); #161777=CARTESIAN_POINT('Ctrl Pts',(-1.71058863162374,1.16306462708632, 0.0611921481537535)); #161778=CARTESIAN_POINT('Ctrl Pts',(-1.70985624757252,1.18238552620373, 0.0609201749155427)); #161779=CARTESIAN_POINT('Ctrl Pts',(-1.70909358779088,1.20167788481483, 0.0609424116034568)); #161780=CARTESIAN_POINT('Ctrl Pts',(-1.71963876646284,1.14409748796938, 0.0665181548550979)); #161781=CARTESIAN_POINT('Ctrl Pts',(-1.71883505695075,1.16337187910602, 0.0660087363193359)); #161782=CARTESIAN_POINT('Ctrl Pts',(-1.7179809838775,1.18264144587454,0.0657632860304065)); #161783=CARTESIAN_POINT('Ctrl Pts',(-1.71705907142188,1.20187316789974, 0.0658195678821216)); #161784=CARTESIAN_POINT('',(-1.70283453842175,1.20170339722427,0.0428498435801139)); #161785=CARTESIAN_POINT('Origin',(-1.73270382440338,1.20269800458534,0.0402351712976841)); #161786=CARTESIAN_POINT('Ctrl Pts',(-1.70467714733751,1.14316775286189, 0.0431013939977221)); #161787=CARTESIAN_POINT('Ctrl Pts',(-1.70407881356437,1.16267636244686, 0.0427081918092634)); #161788=CARTESIAN_POINT('Ctrl Pts',(-1.70346271712177,1.18219331762048, 0.0426044516223183)); #161789=CARTESIAN_POINT('Ctrl Pts',(-1.70283453842175,1.20170339722427, 0.0428498435801147)); #161790=CARTESIAN_POINT('Ctrl Pts',(-1.70283453842175,1.20170339722428, 0.0428498435801176)); #161791=CARTESIAN_POINT('Ctrl Pts',(-1.70010087320123,1.28660603091836, 0.0439177235645864)); #161792=CARTESIAN_POINT('Ctrl Pts',(-1.69309027272628,1.45636996911959, 0.0469654048462033)); #161793=CARTESIAN_POINT('Ctrl Pts',(-1.66956782844914,1.85200958219645, 0.058440746251614)); #161794=CARTESIAN_POINT('Ctrl Pts',(-1.6410595724349,2.16186591739323,0.0770408595188737)); #161795=CARTESIAN_POINT('Ctrl Pts',(-1.61546946262071,2.38588636940462, 0.0990316737948573)); #161796=CARTESIAN_POINT('Ctrl Pts',(-1.70365214967016,1.20161294091648, 0.0521555970599931)); #161797=CARTESIAN_POINT('Ctrl Pts',(-1.70091331558186,1.28645161258325, 0.0530947934457516)); #161798=CARTESIAN_POINT('Ctrl Pts',(-1.69388536489848,1.45619999570807, 0.055974871802807)); #161799=CARTESIAN_POINT('Ctrl Pts',(-1.67033014059653,1.85169360846835, 0.0667727136534343)); #161800=CARTESIAN_POINT('Ctrl Pts',(-1.64178071678144,2.16134297440105, 0.084700716801691)); #161801=CARTESIAN_POINT('Ctrl Pts',(-1.61617387217802,2.38526850170573, 0.106161641872543)); #161802=CARTESIAN_POINT('Ctrl Pts',(-1.70909358779089,1.20167788481483, 0.060942411603457)); #161803=CARTESIAN_POINT('Ctrl Pts',(-1.70621335939607,1.28647880484901, 0.0617735119389863)); #161804=CARTESIAN_POINT('Ctrl Pts',(-1.69899704659794,1.45626097438144, 0.0645084404279257)); #161805=CARTESIAN_POINT('Ctrl Pts',(-1.67473145148568,1.85169240929026, 0.0747242551733435)); #161806=CARTESIAN_POINT('Ctrl Pts',(-1.64556753931654,2.16115433167413, 0.0920467408336818)); #161807=CARTESIAN_POINT('Ctrl Pts',(-1.61953643671596,2.3849803420696,0.113026026060818)); #161808=CARTESIAN_POINT('Ctrl Pts',(-1.71705907142188,1.20187316789974, 0.0658195678821212)); #161809=CARTESIAN_POINT('Ctrl Pts',(-1.71400705425859,1.28667781687619, 0.0666873597370868)); #161810=CARTESIAN_POINT('Ctrl Pts',(-1.70656585809673,1.45653010711911, 0.0694589685729046)); #161811=CARTESIAN_POINT('Ctrl Pts',(-1.68140083978236,1.85201067693534, 0.079797877360485)); #161812=CARTESIAN_POINT('Ctrl Pts',(-1.65140246954546,2.16135445416758, 0.0970942769482009)); #161813=CARTESIAN_POINT('Ctrl Pts',(-1.62475025779121,2.38509103877851, 0.117977613093324)); #161814=CARTESIAN_POINT('',(-1.61546946262085,2.38588636940346,0.0990316737948573)); #161815=CARTESIAN_POINT('Origin',(-1.64513218211424,2.38953144294344,0.0964170015124274)); #161816=CARTESIAN_POINT('Ctrl Pts',(-1.70283453842175,1.20170339722428, 0.0428498435801176)); #161817=CARTESIAN_POINT('Ctrl Pts',(-1.70010087320123,1.28660603091836, 0.0439177235645864)); #161818=CARTESIAN_POINT('Ctrl Pts',(-1.69309027272628,1.45636996911959, 0.0469654048462033)); #161819=CARTESIAN_POINT('Ctrl Pts',(-1.66956782844914,1.85200958219645, 0.058440746251614)); #161820=CARTESIAN_POINT('Ctrl Pts',(-1.6410595724349,2.16186591739323,0.0770408595188737)); #161821=CARTESIAN_POINT('Ctrl Pts',(-1.61546946262071,2.38588636940462, 0.0990316737948573)); #161822=CARTESIAN_POINT('Ctrl Pts',(-1.61546946262078,2.38588636940399, 0.0990316737948001)); #161823=CARTESIAN_POINT('Ctrl Pts',(-1.61236717940945,2.41313674299735, 0.101826463441029)); #161824=CARTESIAN_POINT('Ctrl Pts',(-1.60351392830965,2.4607738439856,0.103709006060142)); #161825=CARTESIAN_POINT('Ctrl Pts',(-1.58877959196792,2.50670563756664, 0.0990343216669101)); #161826=CARTESIAN_POINT('Ctrl Pts',(-1.58149039384107,2.52566034540697, 0.0950198246640775)); #161827=CARTESIAN_POINT('Ctrl Pts',(-1.61617387217809,2.38526850170512, 0.106161641872486)); #161828=CARTESIAN_POINT('Ctrl Pts',(-1.61305660971032,2.41284445217338, 0.108879329636268)); #161829=CARTESIAN_POINT('Ctrl Pts',(-1.6040945014703,2.46108162203976,0.110608588647674)); #161830=CARTESIAN_POINT('Ctrl Pts',(-1.58911108295563,2.50761734431186, 0.105732648818888)); #161831=CARTESIAN_POINT('Ctrl Pts',(-1.58159994952408,2.5270065309861,0.101469889915432)); #161832=CARTESIAN_POINT('Ctrl Pts',(-1.61953643671603,2.38498034206903, 0.113026026060762)); #161833=CARTESIAN_POINT('Ctrl Pts',(-1.6163078794872,2.41298613913356,0.115676311625964)); #161834=CARTESIAN_POINT('Ctrl Pts',(-1.60707289836194,2.46198713670714, 0.117266463897175)); #161835=CARTESIAN_POINT('Ctrl Pts',(-1.59167373149559,2.50926346658099, 0.112202505933972)); #161836=CARTESIAN_POINT('Ctrl Pts',(-1.5838116545104,2.52911563143482,0.107704293189916)); #161837=CARTESIAN_POINT('Ctrl Pts',(-1.62475025779127,2.38509103877796, 0.117977613093269)); #161838=CARTESIAN_POINT('Ctrl Pts',(-1.62136305600198,2.41352548792219, 0.120631654499127)); #161839=CARTESIAN_POINT('Ctrl Pts',(-1.61178790968224,2.46328337057221, 0.122200485254597)); #161840=CARTESIAN_POINT('Ctrl Pts',(-1.5959245115039,2.51128933906346,0.117067398333096)); #161841=CARTESIAN_POINT('Ctrl Pts',(-1.58767462504667,2.53155768021942, 0.112452073321458)); #161842=CARTESIAN_POINT('',(-1.58149039384107,2.52566034540697,0.0950198246640775)); #161843=CARTESIAN_POINT('Origin',(-1.60956609169128,2.53590332734972,0.0924051523816478)); #161844=CARTESIAN_POINT('Ctrl Pts',(-1.61546946262078,2.38588636940399, 0.0990316737948001)); #161845=CARTESIAN_POINT('Ctrl Pts',(-1.61236717940945,2.41313674299735, 0.101826463441029)); #161846=CARTESIAN_POINT('Ctrl Pts',(-1.60351392830965,2.4607738439856,0.103709006060142)); #161847=CARTESIAN_POINT('Ctrl Pts',(-1.58877959196792,2.50670563756664, 0.0990343216669101)); #161848=CARTESIAN_POINT('Ctrl Pts',(-1.58149039384107,2.52566034540697, 0.0950198246640775)); #161849=CARTESIAN_POINT('Ctrl Pts',(-1.58149039384107,2.52566034540697, 0.0950198246640743)); #161850=CARTESIAN_POINT('Ctrl Pts',(-1.56138169778278,2.57795065940334, 0.0839450399412521)); #161851=CARTESIAN_POINT('Ctrl Pts',(-1.52002137054805,2.65454507949095, 0.0735191850854906)); #161852=CARTESIAN_POINT('Ctrl Pts',(-1.39209297589118,2.8086124143191,0.0597050419452471)); #161853=CARTESIAN_POINT('Ctrl Pts',(-1.26493540632019,2.88523648142145, 0.0582935637186225)); #161854=CARTESIAN_POINT('Ctrl Pts',(-1.15518470303117,2.9159608501017,0.0610400408830894)); #161855=CARTESIAN_POINT('Ctrl Pts',(-1.58159994952408,2.5270065309861,0.101469889915429)); #161856=CARTESIAN_POINT('Ctrl Pts',(-1.56149901287656,2.57908007935029, 0.0909222507639615)); #161857=CARTESIAN_POINT('Ctrl Pts',(-1.52017294033336,2.65548255056704, 0.0810764646727072)); #161858=CARTESIAN_POINT('Ctrl Pts',(-1.39233161964718,2.80928444239426, 0.0680841177208998)); #161859=CARTESIAN_POINT('Ctrl Pts',(-1.26522808308742,2.88588593105582, 0.066773699788355)); #161860=CARTESIAN_POINT('Ctrl Pts',(-1.15556527445009,2.91661055030865, 0.0693592551015841)); #161861=CARTESIAN_POINT('Ctrl Pts',(-1.5838116545104,2.52911563143482,0.107704293189913)); #161862=CARTESIAN_POINT('Ctrl Pts',(-1.56396420046638,2.58127380509006, 0.097646249787294)); #161863=CARTESIAN_POINT('Ctrl Pts',(-1.52289293019946,2.65803056993871, 0.0883297096217106)); #161864=CARTESIAN_POINT('Ctrl Pts',(-1.39501587728835,2.81283760346345, 0.0760690300581249)); #161865=CARTESIAN_POINT('Ctrl Pts',(-1.26718318223886,2.89002112316248, 0.0748483385864175)); #161866=CARTESIAN_POINT('Ctrl Pts',(-1.15692311927389,2.92078539895018, 0.077292630853516)); #161867=CARTESIAN_POINT('Ctrl Pts',(-1.58767462504667,2.53155768021941, 0.112452073321455)); #161868=CARTESIAN_POINT('Ctrl Pts',(-1.56821365164943,2.58402651106516, 0.102574335668048)); #161869=CARTESIAN_POINT('Ctrl Pts',(-1.52745898109386,2.66152074044104, 0.0933552210543242)); #161870=CARTESIAN_POINT('Ctrl Pts',(-1.39928249696095,2.81815513880428, 0.0811228601383708)); #161871=CARTESIAN_POINT('Ctrl Pts',(-1.27016761996284,2.89629123906819, 0.0798817656935358)); #161872=CARTESIAN_POINT('Ctrl Pts',(-1.15882937260392,2.92716680202483, 0.0823344721062441)); #161873=CARTESIAN_POINT('',(-1.15518470303117,2.9159608501017,0.0610400408830897)); #161874=CARTESIAN_POINT('Origin',(-1.16318070882735,2.9447571585485,0.05842536860066)); #161875=CARTESIAN_POINT('Ctrl Pts',(-1.58149039384107,2.52566034540697, 0.0950198246640743)); #161876=CARTESIAN_POINT('Ctrl Pts',(-1.56138169778278,2.57795065940334, 0.0839450399412521)); #161877=CARTESIAN_POINT('Ctrl Pts',(-1.52002137054805,2.65454507949095, 0.0735191850854906)); #161878=CARTESIAN_POINT('Ctrl Pts',(-1.39209297589118,2.8086124143191,0.0597050419452471)); #161879=CARTESIAN_POINT('Ctrl Pts',(-1.26493540632019,2.88523648142145, 0.0582935637186225)); #161880=CARTESIAN_POINT('Ctrl Pts',(-1.15518470303117,2.9159608501017,0.0610400408830894)); #161881=CARTESIAN_POINT('Ctrl Pts',(-1.15518470303117,2.9159608501017,0.0610400408830888)); #161882=CARTESIAN_POINT('Ctrl Pts',(-1.14286974418431,2.91940838460084, 0.0613482188923466)); #161883=CARTESIAN_POINT('Ctrl Pts',(-1.13042540779849,2.92245641116445, 0.0613186132810129)); #161884=CARTESIAN_POINT('Ctrl Pts',(-1.11791225528031,2.92509514057022, 0.0610073170063811)); #161885=CARTESIAN_POINT('Ctrl Pts',(-1.15556527445009,2.91661055030865, 0.0693592551015835)); #161886=CARTESIAN_POINT('Ctrl Pts',(-1.14309592149379,2.92010659459514, 0.0696884765840623)); #161887=CARTESIAN_POINT('Ctrl Pts',(-1.13052008433152,2.92318831540172, 0.069675880784272)); #161888=CARTESIAN_POINT('Ctrl Pts',(-1.11787314393767,2.92585274392037, 0.0693834190120173)); #161889=CARTESIAN_POINT('Ctrl Pts',(-1.15692311927389,2.92078539895018, 0.0772926308535154)); #161890=CARTESIAN_POINT('Ctrl Pts',(-1.14423268706412,2.92436544249128, 0.0776406482783775)); #161891=CARTESIAN_POINT('Ctrl Pts',(-1.13145881121351,2.92751353879169, 0.0776431979229149)); #161892=CARTESIAN_POINT('Ctrl Pts',(-1.11860896194114,2.93023918692122, 0.0773668338153461)); #161893=CARTESIAN_POINT('Ctrl Pts',(-1.15882937260392,2.92716680202483, 0.0823344721062435)); #161894=CARTESIAN_POINT('Ctrl Pts',(-1.14591972653264,2.93083459728268, 0.0826834444404814)); #161895=CARTESIAN_POINT('Ctrl Pts',(-1.13294216835401,2.93405569833582, 0.082684874567821)); #161896=CARTESIAN_POINT('Ctrl Pts',(-1.11988442083202,2.93685184092283, 0.0824047489609962)); #161897=CARTESIAN_POINT('',(-1.11791225528087,2.9250951405701,0.0610073170063808)); #161898=CARTESIAN_POINT('Origin',(-1.1241411194365,2.95432465887243,0.0583926447239512)); #161899=CARTESIAN_POINT('Ctrl Pts',(-1.15518470303117,2.9159608501017,0.0610400408830888)); #161900=CARTESIAN_POINT('Ctrl Pts',(-1.14286974418431,2.91940838460084, 0.0613482188923466)); #161901=CARTESIAN_POINT('Ctrl Pts',(-1.13042540779849,2.92245641116445, 0.0613186132810129)); #161902=CARTESIAN_POINT('Ctrl Pts',(-1.11791225528031,2.92509514057022, 0.0610073170063811)); #161903=CARTESIAN_POINT('Ctrl Pts',(-1.11791225489504,2.9250951406515,0.0610073169971816)); #161904=CARTESIAN_POINT('Ctrl Pts',(-0.750914884104232,3.00251886034378, 0.0522418835956215)); #161905=CARTESIAN_POINT('Ctrl Pts',(-0.375176162718236,3.04176416458106, 0.0481702543443823)); #161906=CARTESIAN_POINT('Ctrl Pts',(8.08627006124622E-16,3.04176416458106, 0.0481702543443823)); #161907=CARTESIAN_POINT('Ctrl Pts',(-1.11787314355232,2.9258527440017,0.0693834190033282)); #161908=CARTESIAN_POINT('Ctrl Pts',(-0.750790671726987,3.00331500898661, 0.06110995624056)); #161909=CARTESIAN_POINT('Ctrl Pts',(-0.375165515128686,3.04255655619196, 0.0572273319012697)); #161910=CARTESIAN_POINT('Ctrl Pts',(8.08542148927772E-16,3.04255655619196, 0.0572273319012697)); #161911=CARTESIAN_POINT('Ctrl Pts',(-1.11860896155555,2.93023918700311, 0.0773668338071055)); #161912=CARTESIAN_POINT('Ctrl Pts',(-0.751281703675491,3.008246137431,0.0695225046228055)); #161913=CARTESIAN_POINT('Ctrl Pts',(-0.375465408123218,3.04771820221818, 0.065802244228269)); #161914=CARTESIAN_POINT('Ctrl Pts',(8.08458220646557E-16,3.04771820221818, 0.065802244228269)); #161915=CARTESIAN_POINT('Ctrl Pts',(-1.11988442044608,2.93685184100547, 0.0824047489527169)); #161916=CARTESIAN_POINT('Ctrl Pts',(-0.752206341414762,3.01558520087344, 0.0745170144982889)); #161917=CARTESIAN_POINT('Ctrl Pts',(-0.375964879380199,3.05535101587875, 0.0707417476405035)); #161918=CARTESIAN_POINT('Ctrl Pts',(8.08406084141452E-16,3.05535101587875, 0.0707417476405036)); #161919=CARTESIAN_POINT('',(7.86772222175308E-16,3.04176416458106,0.0481702543443823)); #161920=CARTESIAN_POINT('Origin',(8.08627006124622E-16,3.07165000552381, 0.0455555820619526)); #161921=CARTESIAN_POINT('Ctrl Pts',(-1.11791225489504,2.9250951406515,0.0610073169971816)); #161922=CARTESIAN_POINT('Ctrl Pts',(-0.750914884104232,3.00251886034378, 0.0522418835956215)); #161923=CARTESIAN_POINT('Ctrl Pts',(-0.375176162718236,3.04176416458106, 0.0481702543443823)); #161924=CARTESIAN_POINT('Ctrl Pts',(8.08627006124622E-16,3.04176416458106, 0.0481702543443823)); #161925=CARTESIAN_POINT('Ctrl Pts',(5.68224382682167E-16,3.04176416458106, 0.0481702543443822)); #161926=CARTESIAN_POINT('Ctrl Pts',(0.37517637590521,3.04176416458106,0.0481702543443829)); #161927=CARTESIAN_POINT('Ctrl Pts',(0.750914675955612,3.00251890428419, 0.0522418786254923)); #161928=CARTESIAN_POINT('Ctrl Pts',(1.11791225528027,2.92509514057023,0.0610073170063845)); #161929=CARTESIAN_POINT('Ctrl Pts',(5.51358858216416E-16,3.04255655619196, 0.0572273319012695)); #161930=CARTESIAN_POINT('Ctrl Pts',(0.37516572830961,3.04255655619196,0.0572273319012702)); #161931=CARTESIAN_POINT('Ctrl Pts',(0.750790463528743,3.0033150529492,0.0611099515498555)); #161932=CARTESIAN_POINT('Ctrl Pts',(1.11787314393764,2.92585274392038,0.0693834190120206)); #161933=CARTESIAN_POINT('Ctrl Pts',(5.47483845473909E-16,3.04771820221818, 0.0658022442282687)); #161934=CARTESIAN_POINT('Ctrl Pts',(0.375465621474549,3.04771820221818, 0.0658022442282694)); #161935=CARTESIAN_POINT('Ctrl Pts',(0.751281495337209,3.00824618170321, 0.0695225001758374)); #161936=CARTESIAN_POINT('Ctrl Pts',(1.11860896194112,2.93023918692122,0.0773668338153495)); #161937=CARTESIAN_POINT('Ctrl Pts',(5.58024298902361E-16,3.05535101587875, 0.0707417476405033)); #161938=CARTESIAN_POINT('Ctrl Pts',(0.375965093015346,3.05535101587875, 0.070741747640504)); #161939=CARTESIAN_POINT('Ctrl Pts',(0.752206132876806,3.01558524555839, 0.0745170100266924)); #161940=CARTESIAN_POINT('Ctrl Pts',(1.11988442083203,2.93685184092283,0.0824047489609998)); #161941=CARTESIAN_POINT('',(1.11791225528085,2.92509514057011,0.0610073170063845)); #161942=CARTESIAN_POINT('Origin',(1.1241411194365,2.95432465887243,0.0583926447239547)); #161943=CARTESIAN_POINT('Ctrl Pts',(5.68224382682167E-16,3.04176416458106, 0.0481702543443822)); #161944=CARTESIAN_POINT('Ctrl Pts',(0.37517637590521,3.04176416458106,0.0481702543443829)); #161945=CARTESIAN_POINT('Ctrl Pts',(0.750914675955612,3.00251890428419, 0.0522418786254923)); #161946=CARTESIAN_POINT('Ctrl Pts',(1.11791225528027,2.92509514057023,0.0610073170063845)); #161947=CARTESIAN_POINT('Ctrl Pts',(1.11791225528058,2.92509514057016,0.06100731700639)); #161948=CARTESIAN_POINT('Ctrl Pts',(1.13042540779867,2.92245641116441,0.0613186132810154)); #161949=CARTESIAN_POINT('Ctrl Pts',(1.1428697441844,2.91940838460081,0.0613482188923475)); #161950=CARTESIAN_POINT('Ctrl Pts',(1.15518470303117,2.9159608501017,0.0610400408830921)); #161951=CARTESIAN_POINT('Ctrl Pts',(1.11787314393795,2.92585274392032,0.0693834190120255)); #161952=CARTESIAN_POINT('Ctrl Pts',(1.1305200843317,2.92318831540167,0.0696758807842741)); #161953=CARTESIAN_POINT('Ctrl Pts',(1.14309592149388,2.92010659459512,0.0696884765840632)); #161954=CARTESIAN_POINT('Ctrl Pts',(1.15556527445008,2.91661055030865,0.0693592551015868)); #161955=CARTESIAN_POINT('Ctrl Pts',(1.11860896194142,2.93023918692116,0.0773668338153538)); #161956=CARTESIAN_POINT('Ctrl Pts',(1.1314588112137,2.92751353879164,0.0776431979229166)); #161957=CARTESIAN_POINT('Ctrl Pts',(1.14423268706421,2.92436544249125,0.0776406482783784)); #161958=CARTESIAN_POINT('Ctrl Pts',(1.15692311927389,2.92078539895018,0.0772926308535188)); #161959=CARTESIAN_POINT('Ctrl Pts',(1.11988442083231,2.93685184092277,0.0824047489610039)); #161960=CARTESIAN_POINT('Ctrl Pts',(1.13294216835419,2.93405569833578,0.0826848745678226)); #161961=CARTESIAN_POINT('Ctrl Pts',(1.14591972653273,2.93083459728265,0.0826834444404822)); #161962=CARTESIAN_POINT('Ctrl Pts',(1.15882937260391,2.92716680202483,0.082334472106247)); #161963=CARTESIAN_POINT('',(1.15518470303117,2.9159608501017,0.0610400408830921)); #161964=CARTESIAN_POINT('Origin',(1.16318070882734,2.9447571585485,0.0584253686006623)); #161965=CARTESIAN_POINT('Ctrl Pts',(1.11791225528058,2.92509514057016,0.06100731700639)); #161966=CARTESIAN_POINT('Ctrl Pts',(1.13042540779867,2.92245641116441,0.0613186132810154)); #161967=CARTESIAN_POINT('Ctrl Pts',(1.1428697441844,2.91940838460081,0.0613482188923475)); #161968=CARTESIAN_POINT('Ctrl Pts',(1.15518470303117,2.9159608501017,0.0610400408830921)); #161969=CARTESIAN_POINT('Ctrl Pts',(1.15518470303117,2.9159608501017,0.0610400408830922)); #161970=CARTESIAN_POINT('Ctrl Pts',(1.23749666068722,2.8929178730817,0.0589802097814223)); #161971=CARTESIAN_POINT('Ctrl Pts',(1.36842868963786,2.82545701003407,0.0594714216162451)); #161972=CARTESIAN_POINT('Ctrl Pts',(1.50679938856881,2.67917978182835,0.0702550349563026)); #161973=CARTESIAN_POINT('Ctrl Pts',(1.56138195913264,2.57794997979357,0.0839451838786601)); #161974=CARTESIAN_POINT('Ctrl Pts',(1.58149039384107,2.52566034540697,0.0950198246640819)); #161975=CARTESIAN_POINT('Ctrl Pts',(1.15556527445008,2.91661055030865,0.0693592551015869)); #161976=CARTESIAN_POINT('Ctrl Pts',(1.23781131197414,2.89356738536166,0.0674201138197366)); #161977=CARTESIAN_POINT('Ctrl Pts',(1.36867890203685,2.82612950672182,0.0678747364705232)); #161978=CARTESIAN_POINT('Ctrl Pts',(1.50696167398502,2.68005622625753,0.0779954533907557)); #161979=CARTESIAN_POINT('Ctrl Pts',(1.56149927412557,2.5790794025578,0.0909223878501336)); #161980=CARTESIAN_POINT('Ctrl Pts',(1.58159994952408,2.5270065309861,0.101469889915437)); #161981=CARTESIAN_POINT('Ctrl Pts',(1.15692311927389,2.92078539895018,0.0772926308535188)); #161982=CARTESIAN_POINT('Ctrl Pts',(1.23961709172185,2.89771249198859,0.0754594354792847)); #161983=CARTESIAN_POINT('Ctrl Pts',(1.37123517326091,2.82983919712347,0.0758795881010979)); #161984=CARTESIAN_POINT('Ctrl Pts',(1.5097672201633,2.68272347818843,0.0854148446541937)); #161985=CARTESIAN_POINT('Ctrl Pts',(1.56396445842092,2.5812731271977,0.0976463805102598)); #161986=CARTESIAN_POINT('Ctrl Pts',(1.5838116545104,2.52911563143482,0.107704293189921)); #161987=CARTESIAN_POINT('Ctrl Pts',(1.15882937260391,2.92716680202483,0.0823344721062469)); #161988=CARTESIAN_POINT('Ctrl Pts',(1.24233197283759,2.90401043077133,0.0804949662048235)); #161989=CARTESIAN_POINT('Ctrl Pts',(1.37526694085125,2.8354027584844,0.0809164490821575)); #161990=CARTESIAN_POINT('Ctrl Pts',(1.51443816148714,2.68645853944037,0.0904666424603834)); #161991=CARTESIAN_POINT('Ctrl Pts',(1.56821390458094,2.58402582913523,0.102574464047605)); #161992=CARTESIAN_POINT('Ctrl Pts',(1.58767462504667,2.53155768021942,0.112452073321462)); #161993=CARTESIAN_POINT('',(1.58149039384107,2.52566034540697,0.0950198246640816)); #161994=CARTESIAN_POINT('Origin',(1.60956609169128,2.53590332734972,0.0924051523816518)); #161995=CARTESIAN_POINT('Ctrl Pts',(1.15518470303117,2.9159608501017,0.0610400408830922)); #161996=CARTESIAN_POINT('Ctrl Pts',(1.23749666068722,2.8929178730817,0.0589802097814223)); #161997=CARTESIAN_POINT('Ctrl Pts',(1.36842868963786,2.82545701003407,0.0594714216162451)); #161998=CARTESIAN_POINT('Ctrl Pts',(1.50679938856881,2.67917978182835,0.0702550349563026)); #161999=CARTESIAN_POINT('Ctrl Pts',(1.56138195913264,2.57794997979357,0.0839451838786601)); #162000=CARTESIAN_POINT('Ctrl Pts',(1.58149039384107,2.52566034540697,0.0950198246640819)); #162001=CARTESIAN_POINT('Ctrl Pts',(1.58149039384107,2.52566034540697,0.0950198246640842)); #162002=CARTESIAN_POINT('Ctrl Pts',(1.58877662970611,2.5067133405823,0.0990326902129513)); #162003=CARTESIAN_POINT('Ctrl Pts',(1.60353549493899,2.46069681618964,0.103713754818585)); #162004=CARTESIAN_POINT('Ctrl Pts',(1.6123684401482,2.41312566870132,0.101825327664737)); #162005=CARTESIAN_POINT('Ctrl Pts',(1.61546946262072,2.38588636940453,0.0990316737948628)); #162006=CARTESIAN_POINT('Ctrl Pts',(1.58159994952408,2.5270065309861,0.101469889915439)); #162007=CARTESIAN_POINT('Ctrl Pts',(1.58910803050138,2.50762522389566,0.105730916473618)); #162008=CARTESIAN_POINT('Ctrl Pts',(1.60411644799518,2.46100351144691,0.110613716433619)); #162009=CARTESIAN_POINT('Ctrl Pts',(1.6130578765365,2.41283324556597,0.108878225193457)); #162010=CARTESIAN_POINT('Ctrl Pts',(1.61617387217803,2.38526850170567,0.106161641872548)); #162011=CARTESIAN_POINT('Ctrl Pts',(1.5838116545104,2.52911563143482,0.107704293189923)); #162012=CARTESIAN_POINT('Ctrl Pts',(1.59167053642116,2.50927153431478,0.112200677902466)); #162013=CARTESIAN_POINT('Ctrl Pts',(1.60709552992418,2.46190771330476,0.117271943656818)); #162014=CARTESIAN_POINT('Ctrl Pts',(1.61630919154253,2.41297475784052,0.115675234574774)); #162015=CARTESIAN_POINT('Ctrl Pts',(1.61953643671596,2.38498034206958,0.113026026060822)); #162016=CARTESIAN_POINT('Ctrl Pts',(1.58767462504667,2.53155768021942,0.112452073321464)); #162017=CARTESIAN_POINT('Ctrl Pts',(1.59592115882734,2.51129757592741,0.117065522708261)); #162018=CARTESIAN_POINT('Ctrl Pts',(1.61181132795649,2.46320266947526,0.122206088245869)); #162019=CARTESIAN_POINT('Ctrl Pts',(1.62136443252896,2.41351393242897,0.120630575921598)); #162020=CARTESIAN_POINT('Ctrl Pts',(1.62475025779121,2.38509103877852,0.117977613093329)); #162021=CARTESIAN_POINT('',(1.61546946262086,2.38588636940341,0.0990316737948614)); #162022=CARTESIAN_POINT('Origin',(1.64513218211424,2.38953144294345,0.0964170015124317)); #162023=CARTESIAN_POINT('Ctrl Pts',(1.58149039384107,2.52566034540697,0.0950198246640842)); #162024=CARTESIAN_POINT('Ctrl Pts',(1.58877662970611,2.5067133405823,0.0990326902129513)); #162025=CARTESIAN_POINT('Ctrl Pts',(1.60353549493899,2.46069681618964,0.103713754818585)); #162026=CARTESIAN_POINT('Ctrl Pts',(1.6123684401482,2.41312566870132,0.101825327664737)); #162027=CARTESIAN_POINT('Ctrl Pts',(1.61546946262072,2.38588636940453,0.0990316737948628)); #162028=CARTESIAN_POINT('Ctrl Pts',(1.61546946262611,2.38588636935738,0.0990316737902279)); #162029=CARTESIAN_POINT('Ctrl Pts',(1.64105900096288,2.16187092015643,0.0770413506127356)); #162030=CARTESIAN_POINT('Ctrl Pts',(1.66957289891555,1.85194591481622,0.0584376851157186)); #162031=CARTESIAN_POINT('Ctrl Pts',(1.69309081159328,1.4563515139123,0.0469653316798627)); #162032=CARTESIAN_POINT('Ctrl Pts',(1.70010093424927,1.28660413487806,0.0439176997167605)); #162033=CARTESIAN_POINT('Ctrl Pts',(1.70283453842175,1.20170339722425,0.0428498435801205)); #162034=CARTESIAN_POINT('Ctrl Pts',(1.61617387218342,2.38526850165851,0.106161641868024)); #162035=CARTESIAN_POINT('Ctrl Pts',(1.6417801449357,2.16134797504446,0.0847011960621908)); #162036=CARTESIAN_POINT('Ctrl Pts',(1.6703352167883,1.85162997151859,0.0667697831052194)); #162037=CARTESIAN_POINT('Ctrl Pts',(1.69388590533524,1.45618154450701,0.0559748172552822)); #162038=CARTESIAN_POINT('Ctrl Pts',(1.70091337674533,1.28644971797135,0.0530947724716795)); #162039=CARTESIAN_POINT('Ctrl Pts',(1.70365214967016,1.20161294091645,0.052155597059996)); #162040=CARTESIAN_POINT('Ctrl Pts',(1.61953643672145,2.3849803420224,0.113026026056401)); #162041=CARTESIAN_POINT('Ctrl Pts',(1.64556695799637,2.16115933009517,0.092047209338312)); #162042=CARTESIAN_POINT('Ctrl Pts',(1.67473665351778,1.85162879514534,0.0747214394181626)); #162043=CARTESIAN_POINT('Ctrl Pts',(1.69899761039084,1.45624252075148,0.0645084012066288)); #162044=CARTESIAN_POINT('Ctrl Pts',(1.70621342371715,1.28647691108017,0.0617734933789082)); #162045=CARTESIAN_POINT('Ctrl Pts',(1.70909358779089,1.2016778848148,0.0609424116034599)); #162046=CARTESIAN_POINT('Ctrl Pts',(1.62475025779683,2.38509103873133,0.117977613088927)); #162047=CARTESIAN_POINT('Ctrl Pts',(1.65140187435483,2.1613594505916,0.0970947433101096)); #162048=CARTESIAN_POINT('Ctrl Pts',(1.68140620910201,1.8519470709158,0.0797950542176568)); #162049=CARTESIAN_POINT('Ctrl Pts',(1.70656644831168,1.45651164494823,0.069458920881493)); #162050=CARTESIAN_POINT('Ctrl Pts',(1.71400712241604,1.28667592302408,0.0666873403576161)); #162051=CARTESIAN_POINT('Ctrl Pts',(1.71705907142188,1.20187316789971,0.0658195678821241)); #162052=CARTESIAN_POINT('',(1.70283453842175,1.20170339722425,0.0428498435801205)); #162053=CARTESIAN_POINT('Origin',(1.73270382440338,1.20269800458531,0.0402351712976906)); #162054=CARTESIAN_POINT('Ctrl Pts',(1.61546946262611,2.38588636935738,0.0990316737902279)); #162055=CARTESIAN_POINT('Ctrl Pts',(1.64105900096288,2.16187092015643,0.0770413506127356)); #162056=CARTESIAN_POINT('Ctrl Pts',(1.66957289891555,1.85194591481622,0.0584376851157186)); #162057=CARTESIAN_POINT('Ctrl Pts',(1.69309081159328,1.4563515139123,0.0469653316798627)); #162058=CARTESIAN_POINT('Ctrl Pts',(1.70010093424927,1.28660413487806,0.0439176997167605)); #162059=CARTESIAN_POINT('Ctrl Pts',(1.70283453842175,1.20170339722425,0.0428498435801205)); #162060=CARTESIAN_POINT('Ctrl Pts',(1.70283453842175,1.20170339722425,0.04284984358012)); #162061=CARTESIAN_POINT('Ctrl Pts',(1.70346271712177,1.18219331762047,0.0426044516223237)); #162062=CARTESIAN_POINT('Ctrl Pts',(1.70407881356437,1.16267636244685,0.0427081918092688)); #162063=CARTESIAN_POINT('Ctrl Pts',(1.70467714733751,1.14316775286189,0.0431013939977273)); #162064=CARTESIAN_POINT('Ctrl Pts',(1.70365214967016,1.20161294091645,0.0521555970599966)); #162065=CARTESIAN_POINT('Ctrl Pts',(1.70428406093512,1.18221377934222,0.0520314368506745)); #162066=CARTESIAN_POINT('Ctrl Pts',(1.70491029792695,1.16279728459823,0.0522256147663252)); #162067=CARTESIAN_POINT('Ctrl Pts',(1.70551088867141,1.1433863374402,0.0526993121134072)); #162068=CARTESIAN_POINT('Ctrl Pts',(1.70909358779088,1.20167788481481,0.0609424116034618)); #162069=CARTESIAN_POINT('Ctrl Pts',(1.70985624757253,1.18238552620372,0.0609201749155478)); #162070=CARTESIAN_POINT('Ctrl Pts',(1.71058863162374,1.16306462708631,0.0611921481537587)); #162071=CARTESIAN_POINT('Ctrl Pts',(1.71128218964746,1.14374497383432,0.0617321028601705)); #162072=CARTESIAN_POINT('Ctrl Pts',(1.71705907142188,1.20187316789972,0.0658195678821265)); #162073=CARTESIAN_POINT('Ctrl Pts',(1.7179809838775,1.18264144587452,0.0657632860304115)); #162074=CARTESIAN_POINT('Ctrl Pts',(1.71883505695075,1.16337187910601,0.066008736319341)); #162075=CARTESIAN_POINT('Ctrl Pts',(1.71963876646284,1.14409748796938,0.0665181548551027)); #162076=CARTESIAN_POINT('',(1.70467714733751,1.14316775286188,0.0431013939977263)); #162077=CARTESIAN_POINT('Origin',(1.73455051031593,1.14403127658204,0.0404867217152963)); #162078=CARTESIAN_POINT('Ctrl Pts',(1.70283453842175,1.20170339722425,0.04284984358012)); #162079=CARTESIAN_POINT('Ctrl Pts',(1.70346271712177,1.18219331762047,0.0426044516223237)); #162080=CARTESIAN_POINT('Ctrl Pts',(1.70407881356437,1.16267636244685,0.0427081918092688)); #162081=CARTESIAN_POINT('Ctrl Pts',(1.70467714733751,1.14316775286189,0.0431013939977273)); #162082=CARTESIAN_POINT('Ctrl Pts',(1.70467714733751,1.14316775286188,0.0431013939977261)); #162083=CARTESIAN_POINT('Ctrl Pts',(1.7064726697413,1.08477671521915,0.0443314703164818)); #162084=CARTESIAN_POINT('Ctrl Pts',(1.69981280683071,1.02549688747337,0.0437371730436089)); #162085=CARTESIAN_POINT('Ctrl Pts',(1.68514057116124,0.968975083134394, 0.0416249958885384)); #162086=CARTESIAN_POINT('Ctrl Pts',(1.70551088867141,1.14338633744019,0.0526993121134061)); #162087=CARTESIAN_POINT('Ctrl Pts',(1.70734741483747,1.08471667251864,0.0541735887896076)); #162088=CARTESIAN_POINT('Ctrl Pts',(1.70066576336449,1.02519103439314,0.053708878334211)); #162089=CARTESIAN_POINT('Ctrl Pts',(1.6858931495439,0.968427140343795,0.0515122937460005)); #162090=CARTESIAN_POINT('Ctrl Pts',(1.71128218964745,1.14374497383431,0.0617321028601695)); #162091=CARTESIAN_POINT('Ctrl Pts',(1.71343602454457,1.08434172010948,0.063408989797987)); #162092=CARTESIAN_POINT('Ctrl Pts',(1.70682726969261,1.02404004845776,0.063051343829545)); #162093=CARTESIAN_POINT('Ctrl Pts',(1.69174653292067,0.966577810391438, 0.0607849497776665)); #162094=CARTESIAN_POINT('Ctrl Pts',(1.71963876646284,1.14409748796937,0.0665181548551017)); #162095=CARTESIAN_POINT('Ctrl Pts',(1.7221530557191,1.08380033604218,0.0681117972496985)); #162096=CARTESIAN_POINT('Ctrl Pts',(1.71561488506227,1.02254710976784,0.0676961829531131)); #162097=CARTESIAN_POINT('Ctrl Pts',(1.7001859928313,0.964221601746064,0.0654592488355334)); #162098=CARTESIAN_POINT('',(1.68514057116124,0.968975083134394,0.0416249958885379)); #162099=CARTESIAN_POINT('Origin',(1.71409129679531,0.961557605522959,0.0390103236061081)); #162100=CARTESIAN_POINT('Ctrl Pts',(1.70467714733751,1.14316775286188,0.0431013939977261)); #162101=CARTESIAN_POINT('Ctrl Pts',(1.7064726697413,1.08477671521915,0.0443314703164818)); #162102=CARTESIAN_POINT('Ctrl Pts',(1.69981280683071,1.02549688747337,0.0437371730436089)); #162103=CARTESIAN_POINT('Ctrl Pts',(1.68514057116124,0.968975083134394, 0.0416249958885384)); #162104=CARTESIAN_POINT('Ctrl Pts',(1.68514057116124,0.968975083134394, 0.0416249958885372)); #162105=CARTESIAN_POINT('Ctrl Pts',(1.68303865004328,0.960877859450031, 0.0413224087527296)); #162106=CARTESIAN_POINT('Ctrl Pts',(1.67848448202703,0.944791017100802, 0.040320446137781)); #162107=CARTESIAN_POINT('Ctrl Pts',(1.66805427782722,0.912976870108614, 0.0380650608333029)); #162108=CARTESIAN_POINT('Ctrl Pts',(1.6465842888591,0.858649014213092,0.0338552595290671)); #162109=CARTESIAN_POINT('Ctrl Pts',(1.60623329944984,0.784166066588775, 0.0281246260787118)); #162110=CARTESIAN_POINT('Ctrl Pts',(1.52373832730372,0.677226536460244, 0.0204833878960379)); #162111=CARTESIAN_POINT('Ctrl Pts',(1.39111236427204,0.571189557378271, 0.0136403067011907)); #162112=CARTESIAN_POINT('Ctrl Pts',(1.26309627650981,0.523927339279834, 0.0102742838021443)); #162113=CARTESIAN_POINT('Ctrl Pts',(1.19740333137693,0.511071717956066, 0.00896239486631588)); #162114=CARTESIAN_POINT('Ctrl Pts',(1.68551686035257,0.968701111739095, 0.0465686448172681)); #162115=CARTESIAN_POINT('Ctrl Pts',(1.68337665452622,0.960494012033231, 0.0461718174939781)); #162116=CARTESIAN_POINT('Ctrl Pts',(1.67880477600308,0.944377392859752, 0.0451659925476699)); #162117=CARTESIAN_POINT('Ctrl Pts',(1.66833907362511,0.912504602774234, 0.042914425377168)); #162118=CARTESIAN_POINT('Ctrl Pts',(1.64683336142269,0.858155690506424, 0.0388031637050497)); #162119=CARTESIAN_POINT('Ctrl Pts',(1.6064405789958,0.783657152449661,0.0332444141007118)); #162120=CARTESIAN_POINT('Ctrl Pts',(1.52389365735427,0.676715877018517, 0.0258862978518315)); #162121=CARTESIAN_POINT('Ctrl Pts',(1.39120355425034,0.570669409585665, 0.0193280538586821)); #162122=CARTESIAN_POINT('Ctrl Pts',(1.2631221440244,0.523398882953316,0.0161121219633323)); #162123=CARTESIAN_POINT('Ctrl Pts',(1.1973942385627,0.510543961031268,0.0148602642155924)); #162124=CARTESIAN_POINT('Ctrl Pts',(1.68870206930652,0.967530180094329, 0.0562284909396492)); #162125=CARTESIAN_POINT('Ctrl Pts',(1.68638410735035,0.959094583311105, 0.0556527406927046)); #162126=CARTESIAN_POINT('Ctrl Pts',(1.68175933929597,0.942857658143974, 0.0546392930610038)); #162127=CARTESIAN_POINT('Ctrl Pts',(1.67118829778405,0.910741726704145, 0.052394897339122)); #162128=CARTESIAN_POINT('Ctrl Pts',(1.64962561522548,0.856103420783718, 0.0484709188822697)); #162129=CARTESIAN_POINT('Ctrl Pts',(1.60914522491198,0.78117930690248,0.043238497133554)); #162130=CARTESIAN_POINT('Ctrl Pts',(1.52637858791062,0.673563436283373, 0.0364164157563702)); #162131=CARTESIAN_POINT('Ctrl Pts',(1.39310289704192,0.566684890614568, 0.0303944561658265)); #162132=CARTESIAN_POINT('Ctrl Pts',(1.26424229472352,0.518958371937065, 0.0274617996269381)); #162133=CARTESIAN_POINT('Ctrl Pts',(1.19807460379808,0.505952845553433, 0.0263216396045456)); #162134=CARTESIAN_POINT('Ctrl Pts',(1.69596626287599,0.965399706068751, 0.0631220993065982)); #162135=CARTESIAN_POINT('Ctrl Pts',(1.69334532679849,0.956761985126632, 0.0625087506519704)); #162136=CARTESIAN_POINT('Ctrl Pts',(1.68864396484795,0.940309879029143, 0.0614887045926764)); #162137=CARTESIAN_POINT('Ctrl Pts',(1.67792329885026,0.907760019401681, 0.0592442489539635)); #162138=CARTESIAN_POINT('Ctrl Pts',(1.65631346496201,0.852423917495178, 0.0553572984826614)); #162139=CARTESIAN_POINT('Ctrl Pts',(1.61570845310952,0.776432955072597, 0.0501796510233773)); #162140=CARTESIAN_POINT('Ctrl Pts',(1.53246357103321,0.667065860577695, 0.0434099911757735)); #162141=CARTESIAN_POINT('Ctrl Pts',(1.39780074427196,0.558104665332639, 0.0373835752127878)); #162142=CARTESIAN_POINT('Ctrl Pts',(1.26709635149173,0.509253889580488, 0.0344184138566914)); #162143=CARTESIAN_POINT('Ctrl Pts',(1.19991291491725,0.495886932762818, 0.0332644326960689)); #162144=CARTESIAN_POINT('Ctrl Pts',(1.7001859928313,0.964221601746064,0.0654592488355316)); #162145=CARTESIAN_POINT('Ctrl Pts',(1.69741493443068,0.955497383121031, 0.0648811110184589)); #162146=CARTESIAN_POINT('Ctrl Pts',(1.69267331297884,0.938925876346299, 0.063858568412186)); #162147=CARTESIAN_POINT('Ctrl Pts',(1.68187474010467,0.906136653487786, 0.061611526398375)); #162148=CARTESIAN_POINT('Ctrl Pts',(1.66023160941056,0.850396758256836, 0.0576866623988683)); #162149=CARTESIAN_POINT('Ctrl Pts',(1.61953655439213,0.773793633165751, 0.0524355207578682)); #162150=CARTESIAN_POINT('Ctrl Pts',(1.53597622654956,0.663434524023497, 0.0455168207216195)); #162151=CARTESIAN_POINT('Ctrl Pts',(1.40047816836458,0.553328032692635, 0.0392982234074631)); #162152=CARTESIAN_POINT('Ctrl Pts',(1.26872368415802,0.503882722298065, 0.0362142590496853)); #162153=CARTESIAN_POINT('Ctrl Pts',(1.20096799222434,0.490327752692892, 0.0350096552429908)); #162154=CARTESIAN_POINT('',(1.19740333137693,0.511071717956066,0.00896239486631587)); #162155=CARTESIAN_POINT('Origin',(1.20319318158971,0.481752080793082,0.00634772258388612)); #162156=CARTESIAN_POINT('Ctrl Pts',(1.68514057116124,0.968975083134394, 0.0416249958885372)); #162157=CARTESIAN_POINT('Ctrl Pts',(1.68303865004328,0.960877859450031, 0.0413224087527296)); #162158=CARTESIAN_POINT('Ctrl Pts',(1.67848448202703,0.944791017100802, 0.040320446137781)); #162159=CARTESIAN_POINT('Ctrl Pts',(1.66805427782722,0.912976870108614, 0.0380650608333029)); #162160=CARTESIAN_POINT('Ctrl Pts',(1.6465842888591,0.858649014213092,0.0338552595290671)); #162161=CARTESIAN_POINT('Ctrl Pts',(1.60623329944984,0.784166066588775, 0.0281246260787118)); #162162=CARTESIAN_POINT('Ctrl Pts',(1.52373832730372,0.677226536460244, 0.0204833878960379)); #162163=CARTESIAN_POINT('Ctrl Pts',(1.39111236427204,0.571189557378271, 0.0136403067011907)); #162164=CARTESIAN_POINT('Ctrl Pts',(1.26309627650981,0.523927339279834, 0.0102742838021443)); #162165=CARTESIAN_POINT('Ctrl Pts',(1.19740333137693,0.511071717956066, 0.00896239486631588)); #162166=CARTESIAN_POINT('Ctrl Pts',(1.19740333137693,0.511071717956066, 0.00896239486631572)); #162167=CARTESIAN_POINT('Ctrl Pts',(1.19647188076068,0.510889439990295, 0.00894379378586087)); #162168=CARTESIAN_POINT('Ctrl Pts',(1.19554001335038,0.510709333199398, 0.00892460396442879)); #162169=CARTESIAN_POINT('Ctrl Pts',(1.19460774530462,0.51053140029692,0.00890482860176363)); #162170=CARTESIAN_POINT('Ctrl Pts',(1.1973942385627,0.510543961031268,0.0148602642155923)); #162171=CARTESIAN_POINT('Ctrl Pts',(1.19645970987148,0.510361183115456, 0.0148425222892508)); #162172=CARTESIAN_POINT('Ctrl Pts',(1.19552469043467,0.510180537537366, 0.0148241818141902)); #162173=CARTESIAN_POINT('Ctrl Pts',(1.19458934969352,0.510002131120488, 0.014805265917173)); #162174=CARTESIAN_POINT('Ctrl Pts',(1.19807429587318,0.505954301430127, 0.026322841201295)); #162175=CARTESIAN_POINT('Ctrl Pts',(1.19712839453326,0.505768298191868, 0.0263066912185876)); #162176=CARTESIAN_POINT('Ctrl Pts',(1.19618209677496,0.505584528734883, 0.0262899628302081)); #162177=CARTESIAN_POINT('Ctrl Pts',(1.19523537974412,0.505402968284464, 0.0262726390091848)); #162178=CARTESIAN_POINT('Ctrl Pts',(1.19991291491725,0.495886932762818, 0.0332644326960687)); #162179=CARTESIAN_POINT('Ctrl Pts',(1.19894923092709,0.495694973548176, 0.0332480079710674)); #162180=CARTESIAN_POINT('Ctrl Pts',(1.19798510353928,0.495505265601912, 0.0332309544126445)); #162181=CARTESIAN_POINT('Ctrl Pts',(1.19702058039511,0.49531783636375,0.0332133502139131)); #162182=CARTESIAN_POINT('Ctrl Pts',(1.20096799222434,0.490327752692892, 0.0350096552429906)); #162183=CARTESIAN_POINT('Ctrl Pts',(1.19999524420755,0.490132865443373, 0.0349924456766056)); #162184=CARTESIAN_POINT('Ctrl Pts',(1.1990220620667,0.489940262764617,0.0349746425179461)); #162185=CARTESIAN_POINT('Ctrl Pts',(1.19804846254809,0.489749947453082, 0.034956248986291)); #162186=CARTESIAN_POINT('',(1.19460774530462,0.51053140029692,0.00890482860176363)); #162187=CARTESIAN_POINT('Origin',(1.20026413103365,0.481185722772967,0.0062901563193339)); #162188=CARTESIAN_POINT('Ctrl Pts',(1.19740333137693,0.511071717956066, 0.00896239486631572)); #162189=CARTESIAN_POINT('Ctrl Pts',(1.19647188076068,0.510889439990295, 0.00894379378586087)); #162190=CARTESIAN_POINT('Ctrl Pts',(1.19554001335038,0.510709333199398, 0.00892460396442879)); #162191=CARTESIAN_POINT('Ctrl Pts',(1.19460774530462,0.51053140029692,0.00890482860176363)); #162192=CARTESIAN_POINT('Ctrl Pts',(1.19460774530462,0.510531400296921, 0.00890482860176342)); #162193=CARTESIAN_POINT('Ctrl Pts',(0.861361262117983,0.446902043487537, 0.00212613366464191)); #162194=CARTESIAN_POINT('Ctrl Pts',(0.522642036750739,0.409708181242489, 0.000590246289861987)); #162195=CARTESIAN_POINT('Ctrl Pts',(0.183433863171253,0.399897067859007, -8.32643144557064E-6)); #162196=CARTESIAN_POINT('Ctrl Pts',(1.19458934969352,0.510002131120489, 0.0148052659171729)); #162197=CARTESIAN_POINT('Ctrl Pts',(0.861389280062855,0.44636822499305, 0.0082923996681783)); #162198=CARTESIAN_POINT('Ctrl Pts',(0.522654021893749,0.409162303524994, 0.00681966174561105)); #162199=CARTESIAN_POINT('Ctrl Pts',(0.183438707605151,0.399349860391126, 0.00624525041950772)); #162200=CARTESIAN_POINT('Ctrl Pts',(1.19523453291936,0.505407046386934, 0.026276003991877)); #162201=CARTESIAN_POINT('Ctrl Pts',(0.861998194750801,0.441389648309828, 0.0202573517738454)); #162202=CARTESIAN_POINT('Ctrl Pts',(0.523018045659147,0.404053374115663, 0.018906841774315)); #162203=CARTESIAN_POINT('Ctrl Pts',(0.183566406531078,0.394198280675787, 0.0183769610362845)); #162204=CARTESIAN_POINT('Ctrl Pts',(1.19702058039511,0.495317836363751, 0.0332133502139131)); #162205=CARTESIAN_POINT('Ctrl Pts',(0.863487828919937,0.430469206892926, 0.0271329391880982)); #162206=CARTESIAN_POINT('Ctrl Pts',(0.523909954758795,0.392856898809565, 0.0257225299358771)); #162207=CARTESIAN_POINT('Ctrl Pts',(0.183880848229485,0.382913928518274, 0.0251812248371615)); #162208=CARTESIAN_POINT('Ctrl Pts',(1.19804846254809,0.489749947453083, 0.034956248986291)); #162209=CARTESIAN_POINT('Ctrl Pts',(0.864313287151584,0.424512739893303, 0.0286512247995087)); #162210=CARTESIAN_POINT('Ctrl Pts',(0.524406146278,0.386783977241309,0.0271660582386665)); #162211=CARTESIAN_POINT('Ctrl Pts',(0.184055155136422,0.376801446577749, 0.0266003317891488)); #162212=CARTESIAN_POINT('Ctrl Pts',(1.19460774530462,0.510531400296921, 0.00890482860176342)); #162213=CARTESIAN_POINT('Ctrl Pts',(0.861361262117983,0.446902043487537, 0.00212613366464191)); #162214=CARTESIAN_POINT('Ctrl Pts',(0.522642036750739,0.409708181242489, 0.000590246289861987)); #162215=CARTESIAN_POINT('Ctrl Pts',(0.183433863171253,0.399897067859007, -8.32643144557064E-6)); #162216=CARTESIAN_POINT('Ctrl Pts',(-0.402486202497908,0.132878574315297, 0.0871915182736052)); #162217=CARTESIAN_POINT('Ctrl Pts',(-0.386875160364458,0.177574406610556, 0.0762585562018157)); #162218=CARTESIAN_POINT('Ctrl Pts',(-0.33265699853998,0.276401913648372, 0.05172610616401)); #162219=CARTESIAN_POINT('Ctrl Pts',(-0.242628224725422,0.348756852251079, 0.0336003417049403)); #162220=CARTESIAN_POINT('Ctrl Pts',(-0.184055155136423,0.376801446577749, 0.0266003317891485)); #162221=CARTESIAN_POINT('Ctrl Pts',(-0.394264428599388,0.130124893302017, 0.0876271045868657)); #162222=CARTESIAN_POINT('Ctrl Pts',(-0.379104416353425,0.174005083716741, 0.0769214572548355)); #162223=CARTESIAN_POINT('Ctrl Pts',(-0.326228480740534,0.271132189708355, 0.0528600330683339)); #162224=CARTESIAN_POINT('Ctrl Pts',(-0.23802805836924,0.342351485040583, 0.0350384450814364)); #162225=CARTESIAN_POINT('Ctrl Pts',(-0.180590923655589,0.369960776888987, 0.0281575244260548)); #162226=CARTESIAN_POINT('Ctrl Pts',(-0.379829484738502,0.126957625082823, 0.0952093264581096)); #162227=CARTESIAN_POINT('Ctrl Pts',(-0.365452155775804,0.169362261210272, 0.0846230797477777)); #162228=CARTESIAN_POINT('Ctrl Pts',(-0.314944750079716,0.263387459059085, 0.060830588896882)); #162229=CARTESIAN_POINT('Ctrl Pts',(-0.229972927873703,0.332524318134912, 0.0431812831159286)); #162230=CARTESIAN_POINT('Ctrl Pts',(-0.174518165078758,0.359334481347156, 0.0363575588169103)); #162231=CARTESIAN_POINT('Ctrl Pts',(-0.373876818471861,0.128513693974738, 0.110038897395687)); #162232=CARTESIAN_POINT('Ctrl Pts',(-0.35956056843896,0.170167012790906, 0.0992112540524775)); #162233=CARTESIAN_POINT('Ctrl Pts',(-0.309542176176383,0.262386009184057, 0.0749069275139033)); #162234=CARTESIAN_POINT('Ctrl Pts',(-0.225910774305548,0.330030032492776, 0.0569328328714288)); #162235=CARTESIAN_POINT('Ctrl Pts',(-0.171411133464022,0.356252883065342, 0.0499892350279605)); #162236=CARTESIAN_POINT('Ctrl Pts',(-0.373395316420653,0.129897786922185, 0.116383036547191)); #162237=CARTESIAN_POINT('Ctrl Pts',(-0.358944829150072,0.171436171791386, 0.105453001576368)); #162238=CARTESIAN_POINT('Ctrl Pts',(-0.30871427835106,0.263268807594245, 0.0809307610195928)); #162239=CARTESIAN_POINT('Ctrl Pts',(-0.225200620205124,0.330477421356862, 0.062818112908578)); #162240=CARTESIAN_POINT('Ctrl Pts',(-0.170843642497719,0.356524819879728, 0.0558236622921724)); #162241=CARTESIAN_POINT('Ctrl Pts',(-0.184055155136423,0.376801446577749, 0.0266003317891485)); #162242=CARTESIAN_POINT('Ctrl Pts',(-0.242628224725422,0.348756852251079, 0.0336003417049403)); #162243=CARTESIAN_POINT('Ctrl Pts',(-0.33265699853998,0.276401913648372, 0.05172610616401)); #162244=CARTESIAN_POINT('Ctrl Pts',(-0.386875160364458,0.177574406610556, 0.0762585562018157)); #162245=CARTESIAN_POINT('Ctrl Pts',(-0.402486202497908,0.132878574315297, 0.0871915182736052)); #162246=CARTESIAN_POINT('Origin',(-0.98653611807887,2.30860052606037,0.)); #162247=CARTESIAN_POINT('',(-1.57577214589861,2.38100820444581,-0.358123759647184)); #162248=CARTESIAN_POINT('',(-1.60686999855998,2.38482963288481,0.)); #162249=CARTESIAN_POINT('',(-1.42471734967105,2.7075422317843,-0.370502309406869)); #162250=CARTESIAN_POINT('Ctrl Pts',(-1.42471734967105,2.7075422317843,-0.370502309406868)); #162251=CARTESIAN_POINT('Ctrl Pts',(-1.42888225101081,2.70298962789289, -0.370333380435749)); #162252=CARTESIAN_POINT('Ctrl Pts',(-1.43298087086277,2.69836989309171, -0.370139448719528)); #162253=CARTESIAN_POINT('Ctrl Pts',(-1.44108387121858,2.68894934327531, -0.369705977313597)); #162254=CARTESIAN_POINT('Ctrl Pts',(-1.44508668659137,2.68414708843479, -0.369465972571407)); #162255=CARTESIAN_POINT('Ctrl Pts',(-1.45099593086886,2.67682881296749, -0.369077315482859)); #162256=CARTESIAN_POINT('Ctrl Pts',(-1.45295785355121,2.67435967769669, -0.368942352719726)); #162257=CARTESIAN_POINT('Ctrl Pts',(-1.45783988565125,2.6681152819093,-0.368592546488956)); #162258=CARTESIAN_POINT('Ctrl Pts',(-1.46073507667022,2.66431993977123, -0.368372313624726)); #162259=CARTESIAN_POINT('Ctrl Pts',(-1.46454028243139,2.65920621737088, -0.368067638294018)); #162260=CARTESIAN_POINT('Ctrl Pts',(-1.46549075182141,2.65791817692245, -0.367990220250118)); #162261=CARTESIAN_POINT('Ctrl Pts',(-1.46835274148149,2.65400702295874, -0.367753331862888)); #162262=CARTESIAN_POINT('Ctrl Pts',(-1.47024816350952,2.65137213013927, -0.36759127861638)); #162263=CARTESIAN_POINT('Ctrl Pts',(-1.47307636514693,2.64737232309868, -0.367342304506494)); #162264=CARTESIAN_POINT('Ctrl Pts',(-1.47402518960658,2.6460185756263,-0.367257541343408)); #162265=CARTESIAN_POINT('Ctrl Pts',(-1.47786967606057,2.64048473490883, -0.366909254318198)); #162266=CARTESIAN_POINT('Ctrl Pts',(-1.48071732222586,2.63627207631156, -0.366639973401223)); #162267=CARTESIAN_POINT('Ctrl Pts',(-1.48543385377298,2.62909878554448, -0.366177803163342)); #162268=CARTESIAN_POINT('Ctrl Pts',(-1.48733166722126,2.6261562930215,-0.365987212963758)); #162269=CARTESIAN_POINT('Ctrl Pts',(-1.4951494893105,2.61379721810534,-0.365184971765106)); #162270=CARTESIAN_POINT('Ctrl Pts',(-1.50082711766286,2.60423815602543, -0.364560715962945)); #162271=CARTESIAN_POINT('Ctrl Pts',(-1.51011849227609,2.58754396572937, -0.363498217180106)); #162272=CARTESIAN_POINT('Ctrl Pts',(-1.51386307905661,2.5804826439428,-0.363056986217983)); #162273=CARTESIAN_POINT('Ctrl Pts',(-1.52556318230411,2.5572932211315,-0.361657477193576)); #162274=CARTESIAN_POINT('Ctrl Pts',(-1.53294627910477,2.54083122855609, -0.360741837041642)); #162275=CARTESIAN_POINT('Ctrl Pts',(-1.54340723640239,2.51427686228507, -0.359511971184725)); #162276=CARTESIAN_POINT('Ctrl Pts',(-1.54699784614106,2.50438837646976, -0.35910596141007)); #162277=CARTESIAN_POINT('Ctrl Pts',(-1.55925888028156,2.46754376788013, -0.357834846488854)); #162278=CARTESIAN_POINT('Ctrl Pts',(-1.56623022003807,2.44004564348127, -0.357368121148332)); #162279=CARTESIAN_POINT('Ctrl Pts',(-1.57297784161963,2.40179575977803, -0.357672636220705)); #162280=CARTESIAN_POINT('Ctrl Pts',(-1.57451797654564,2.39141199186944, -0.35784820062897)); #162281=CARTESIAN_POINT('Ctrl Pts',(-1.5757721458986,2.38100820444581,-0.358123759647183)); #162282=CARTESIAN_POINT('',(-1.1096655275598,2.88639661178102,-0.391250929720333)); #162283=CARTESIAN_POINT('Ctrl Pts',(-1.1096655275598,2.88639661178102,-0.391250929720333)); #162284=CARTESIAN_POINT('Ctrl Pts',(-1.17796925973211,2.87232874565602, -0.385797963859988)); #162285=CARTESIAN_POINT('Ctrl Pts',(-1.29405777190915,2.82583804095541, -0.377193332043456)); #162286=CARTESIAN_POINT('Ctrl Pts',(-1.38929021525421,2.74626720912489, -0.371939238850939)); #162287=CARTESIAN_POINT('Ctrl Pts',(-1.42471734967105,2.7075422317843,-0.37050230940687)); #162288=CARTESIAN_POINT('',(-1.11679981402465,2.91987490759337,0.)); #162289=CARTESIAN_POINT('Origin',(11.635495729502,0.7575548,0.)); #162290=CARTESIAN_POINT('Ctrl Pts',(-1.57577214589861,2.3810082044458,-0.358123759647181)); #162291=CARTESIAN_POINT('Ctrl Pts',(-1.57722730295429,2.36887759536831, -0.3585265048032)); #162292=CARTESIAN_POINT('Ctrl Pts',(-1.57866592269309,2.35674475260401, -0.35892770525759)); #162293=CARTESIAN_POINT('Ctrl Pts',(-1.58540642990733,2.29922406942091, -0.360824417369674)); #162294=CARTESIAN_POINT('Ctrl Pts',(-1.59049274871243,2.25380833073794, -0.36230680525208)); #162295=CARTESIAN_POINT('Ctrl Pts',(-1.59781415440441,2.1852292822949,-0.364562703486039)); #162296=CARTESIAN_POINT('Ctrl Pts',(-1.60022337496039,2.16208491776382, -0.365326929303154)); #162297=CARTESIAN_POINT('Ctrl Pts',(-1.6061492372366,2.10365579646841,-0.367272746113993)); #162298=CARTESIAN_POINT('Ctrl Pts',(-1.60958480278098,2.06836305669354, -0.368463256601894)); #162299=CARTESIAN_POINT('Ctrl Pts',(-1.61398809398798,2.02113909438773, -0.370093124952976)); #162300=CARTESIAN_POINT('Ctrl Pts',(-1.61508310826598,2.00921844011899, -0.370507679945776)); #162301=CARTESIAN_POINT('Ctrl Pts',(-1.61779264021725,1.97926932061677, -0.371558306219669)); #162302=CARTESIAN_POINT('Ctrl Pts',(-1.61938603377023,1.96123921060294, -0.37219906162494)); #162303=CARTESIAN_POINT('Ctrl Pts',(-1.62146492387279,1.93714167904647, -0.373068681856354)); #162304=CARTESIAN_POINT('Ctrl Pts',(-1.62198386595739,1.93107660348913, -0.373288672690445)); #162305=CARTESIAN_POINT('Ctrl Pts',(-1.62354797725949,1.91264366638266, -0.373960833389515)); #162306=CARTESIAN_POINT('Ctrl Pts',(-1.62457965746143,1.90027489175351, -0.374416779794715)); #162307=CARTESIAN_POINT('Ctrl Pts',(-1.62611041496708,1.88159865629528, -0.375113424370089)); #162308=CARTESIAN_POINT('Ctrl Pts',(-1.62662267461382,1.87529199531602, -0.375350077684919)); #162309=CARTESIAN_POINT('Ctrl Pts',(-1.62978292334588,1.83602774387665, -0.376832626606325)); #162310=CARTESIAN_POINT('Ctrl Pts',(-1.63230897816786,1.80306283016651, -0.378117745895241)); #162311=CARTESIAN_POINT('Ctrl Pts',(-1.63677530001331,1.74166823359267, -0.380604114227987)); #162312=CARTESIAN_POINT('Ctrl Pts',(-1.63874816942608,1.71324263067618, -0.381792371609348)); #162313=CARTESIAN_POINT('Ctrl Pts',(-1.64493715065498,1.6195350279055,-0.385850841563348)); #162314=CARTESIAN_POINT('Ctrl Pts',(-1.64874672518102,1.55423013077554, -0.388906498402744)); #162315=CARTESIAN_POINT('Ctrl Pts',(-1.65406476796384,1.44902432830945, -0.394300103149219)); #162316=CARTESIAN_POINT('Ctrl Pts',(-1.65589177297402,1.40912944908779, -0.396454230814224)); #162317=CARTESIAN_POINT('Ctrl Pts',(-1.66063556905009,1.29348330654334, -0.403050253959524)); #162318=CARTESIAN_POINT('Ctrl Pts',(-1.66305548705143,1.21773410847471, -0.40781138639783)); #162319=CARTESIAN_POINT('Ctrl Pts',(-1.66478355530763,1.14201458296237, -0.413074912607901)); #162320=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,6.70822995564441, 0.)); #162321=CARTESIAN_POINT('',(1.18512359074624,0.559735778249629,-0.563855992984355)); #162322=CARTESIAN_POINT('',(1.1944602932311,0.511296390735534,0.)); #162323=CARTESIAN_POINT('Ctrl Pts',(-1.18512359074623,0.559735778249628, -0.563855992984355)); #162324=CARTESIAN_POINT('Ctrl Pts',(-0.74183451210179,0.479842931910718, -0.626159905502805)); #162325=CARTESIAN_POINT('Ctrl Pts',(0.0574558660727754,0.41773293612647, -0.675219937955571)); #162326=CARTESIAN_POINT('Ctrl Pts',(0.852656781762905,0.499816143495447, -0.610583927373192)); #162327=CARTESIAN_POINT('Ctrl Pts',(1.18512359074624,0.55973577824963,-0.563855992984355)); #162328=CARTESIAN_POINT('Ctrl Pts',(1.18512359074624,0.55973577824963,-0.563855992984355)); #162329=CARTESIAN_POINT('Ctrl Pts',(0.852656781762905,0.499816143495447, -0.610583927373192)); #162330=CARTESIAN_POINT('Ctrl Pts',(0.0574558660727754,0.41773293612647, -0.675219937955571)); #162331=CARTESIAN_POINT('Ctrl Pts',(-0.74183451210179,0.479842931910718, -0.626159905502805)); #162332=CARTESIAN_POINT('Ctrl Pts',(-1.18512359074623,0.559735778249628, -0.563855992984355)); #162333=CARTESIAN_POINT('Ctrl Pts',(1.18959097539043,0.563830630727464, -0.600150013543973)); #162334=CARTESIAN_POINT('Ctrl Pts',(0.855989321220404,0.503443194618493, -0.647417157009301)); #162335=CARTESIAN_POINT('Ctrl Pts',(0.0577158127464764,0.420700381309154, -0.712813916112661)); #162336=CARTESIAN_POINT('Ctrl Pts',(-0.74478876983039,0.483314049248835, -0.663172871497744)); #162337=CARTESIAN_POINT('Ctrl Pts',(-1.18959097539043,0.563830630727463, -0.600150013543973)); #162338=CARTESIAN_POINT('Ctrl Pts',(1.19161726726936,0.600574022264115, -0.660397538575791)); #162339=CARTESIAN_POINT('Ctrl Pts',(0.857592101613997,0.540306870439467, -0.708500052240676)); #162340=CARTESIAN_POINT('Ctrl Pts',(0.0578601321024772,0.457610256924943, -0.775156430672786)); #162341=CARTESIAN_POINT('Ctrl Pts',(-0.746250379728867,0.52021781983125, -0.724534223462305)); #162342=CARTESIAN_POINT('Ctrl Pts',(-1.19161726726935,0.600574022264113, -0.660397538575791)); #162343=CARTESIAN_POINT('Ctrl Pts',(1.1824404829505,0.665752271335756,-0.678205802237411)); #162344=CARTESIAN_POINT('Ctrl Pts',(0.85081025345063,0.606671463324572, -0.725896572682886)); #162345=CARTESIAN_POINT('Ctrl Pts',(0.0573542960375103,0.52568966534588, -0.791898191608998)); #162346=CARTESIAN_POINT('Ctrl Pts',(-0.740266843617334,0.586977860654176, -0.741793496164712)); #162347=CARTESIAN_POINT('Ctrl Pts',(-1.18244048295049,0.665752271335755, -0.678205802237412)); #162348=CARTESIAN_POINT('Ctrl Pts',(1.17732368311132,0.692816872733026, -0.676491108266698)); #162349=CARTESIAN_POINT('Ctrl Pts',(0.847029412806755,0.634217704985249, -0.723779439953222)); #162350=CARTESIAN_POINT('Ctrl Pts',(0.057072463681691,0.553950503283577, -0.78919154580313)); #162351=CARTESIAN_POINT('Ctrl Pts',(-0.736931322705224,0.614684649069323, -0.739542217182065)); #162352=CARTESIAN_POINT('Ctrl Pts',(-1.17732368311132,0.692816872733025, -0.676491108266698)); #162353=CARTESIAN_POINT('Origin',(-1.16155531687525,0.682009434599434,-0.552961525140898)); #162354=CARTESIAN_POINT('Origin',(1.16155531687526,0.682009434599435,-0.552961525140898)); #162355=CARTESIAN_POINT('Origin',(-0.324749085897284,0.0625,0.017)); #162356=CARTESIAN_POINT('',(-0.324749085897284,0.0625,0.017)); #162357=CARTESIAN_POINT('',(-0.162374542948642,0.0625,0.017)); #162358=CARTESIAN_POINT('',(-0.324749085897284,0.0625,-0.03811811)); #162359=CARTESIAN_POINT('',(-0.324749085897284,0.0625,0.017)); #162360=CARTESIAN_POINT('',(-0.244093992158754,0.0625,-0.03811811)); #162361=CARTESIAN_POINT('Origin',(-0.231404856636187,-0.124069517809053, -0.03811811)); #162362=CARTESIAN_POINT('',(-0.110236221,-0.311795116014925,-0.03811811)); #162363=CARTESIAN_POINT('',(-0.110236221,-0.217932316911989,-0.03811811)); #162364=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-3.43448754250897E-35, -0.03811811)); #162365=CARTESIAN_POINT('Origin',(0.244093992158754,0.0625,0.017)); #162366=CARTESIAN_POINT('',(0.324749085897284,0.0625,0.017)); #162367=CARTESIAN_POINT('',(0.122046996079377,0.0625,0.017)); #162368=CARTESIAN_POINT('',(0.324749085897284,0.0625,-0.03811811)); #162369=CARTESIAN_POINT('',(0.324749085897284,0.0625,-0.03811811)); #162370=CARTESIAN_POINT('',(0.324749085897284,0.0625,0.017)); #162371=CARTESIAN_POINT('Origin',(0.231404856293123,-0.124069518132518, -0.03811811)); #162372=CARTESIAN_POINT('',(0.3307086615,-4.05001303756281E-17,-0.03811811)); #162373=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-3.43448754250897E-35, -0.03811811)); #162374=CARTESIAN_POINT('',(0.11023622,-0.311795116368479,-0.03811811)); #162375=CARTESIAN_POINT('',(0.11023622,-0.175322118034625,-0.03811811)); #162376=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-3.43448754250897E-35, -0.03811811)); #162377=CARTESIAN_POINT('Origin',(-0.110236221,-0.311795116014925,0.139)); #162378=CARTESIAN_POINT('',(-0.110236221,-0.311795116014925,-0.475125984)); #162379=CARTESIAN_POINT('',(-0.110236221,-0.311795116014925,0.139)); #162380=CARTESIAN_POINT('',(-0.110236221,-0.226574717450198,-0.475125984)); #162381=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-3.43448754250897E-35, 0.017)); #162382=CARTESIAN_POINT('',(0.11023622,0.311795116368479,0.017)); #162383=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-3.43448754250897E-35, 0.017)); #162384=CARTESIAN_POINT('',(0.11023622,0.155897558184239,0.017)); #162385=CARTESIAN_POINT('Origin',(-0.110236221,0.226574717450198,0.139)); #162386=CARTESIAN_POINT('',(-0.110236221,0.311795116014925,0.017)); #162387=CARTESIAN_POINT('',(-0.110236221,0.113287358725099,0.017)); #162388=CARTESIAN_POINT('',(-0.110236221,0.311795116014925,-0.475125984)); #162389=CARTESIAN_POINT('',(-0.110236221,0.311795116014925,-0.475125984)); #162390=CARTESIAN_POINT('',(-0.110236221,0.311795116014925,0.139)); #162391=CARTESIAN_POINT('Origin',(0.11023622,0.311795116368479,0.139)); #162392=CARTESIAN_POINT('',(0.11023622,0.311795116368479,-0.475125984)); #162393=CARTESIAN_POINT('',(0.11023622,0.311795116368479,0.139)); #162394=CARTESIAN_POINT('',(0.11023622,0.226574717936732,-0.475125984)); #162395=CARTESIAN_POINT('Origin',(-5.47892556135891E-10,0.278789022932085, -0.475125984)); #162396=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-4.88708174317245E-33, -0.475125984)); #162397=CARTESIAN_POINT('Origin',(0.11023622,-0.226574717936732,0.139)); #162398=CARTESIAN_POINT('',(0.11023622,-0.311795116368479,-0.475125984)); #162399=CARTESIAN_POINT('',(0.11023622,-0.311795116368479,-0.475125984)); #162400=CARTESIAN_POINT('',(0.11023622,-0.311795116368479,0.139)); #162401=CARTESIAN_POINT('Origin',(-5.4789253322286E-10,-0.278789022932085, -0.475125984)); #162402=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-4.88708174317245E-33, -0.475125984)); #162403=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-4.88708174317245E-33, 0.139)); #162404=CARTESIAN_POINT('',(0.3307086615,-4.05001303756281E-17,0.139)); #162405=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-3.43448754250897E-35, 0.017)); #162406=CARTESIAN_POINT('Origin',(2.41659001099573E-33,-3.43448754250897E-35, 0.017)); #162407=CARTESIAN_POINT('Origin',(-0.22889687301444,1.55418110330602,0.119)); #162408=CARTESIAN_POINT('',(-1.88628478391887,0.744486597375083,0.118458975400444)); #162409=CARTESIAN_POINT('',(-0.242968435012852,1.58179809871297,0.118458975400444)); #162410=CARTESIAN_POINT('Origin',(-1.87221322192046,0.716869601968132,0.119)); #162411=CARTESIAN_POINT('Origin',(-1.80114719033798,0.57739466179979,0.119)); #162412=CARTESIAN_POINT('',(-1.96009878027689,0.477883271341528,0.118458975400444)); #162413=CARTESIAN_POINT('Origin',(-1.9338272231598,0.494330537954145,0.119)); #162414=CARTESIAN_POINT('Origin',(-1.80114719033798,0.57739466179979,0.118458975400444)); #162415=CARTESIAN_POINT('Origin',(0.,1.705,0.119)); #162416=CARTESIAN_POINT('',(1.96009878027688,0.477883271341527,0.118458975400444)); #162417=CARTESIAN_POINT('Origin',(1.9338272231598,0.494330537954144,0.119)); #162418=CARTESIAN_POINT('Origin',(1.74878477091376E-16,1.705,0.118458975400444)); #162419=CARTESIAN_POINT('Origin',(1.80114719033798,0.577394661799789,0.119)); #162420=CARTESIAN_POINT('',(1.88628478391887,0.744486597375083,0.118458975400444)); #162421=CARTESIAN_POINT('Origin',(1.87221322192046,0.716869601968133,0.119)); #162422=CARTESIAN_POINT('Origin',(1.80114719033798,0.577394661799789,0.118458975400444)); #162423=CARTESIAN_POINT('Origin',(1.17191857990908,1.07368754421752,0.119)); #162424=CARTESIAN_POINT('',(1.18599014190749,1.10130453962447,0.118458975400444)); #162425=CARTESIAN_POINT('Origin',(1.88755675009281,0.74698297155071,0.278970909774871)); #162426=CARTESIAN_POINT('',(1.88755675009281,0.74698297155071,0.278970909774871)); #162427=CARTESIAN_POINT('Origin',(1.80114719033798,0.577394661799789,0.088)); #162428=CARTESIAN_POINT('',(1.96247353668229,0.476396558865156,0.278970909774871)); #162429=CARTESIAN_POINT('Origin',(0.,1.705,0.088)); #162430=CARTESIAN_POINT('',(-1.96247353668229,0.476396558865157,0.278970909774871)); #162431=CARTESIAN_POINT('Origin',(-1.80114719033798,0.57739466179979,0.088)); #162432=CARTESIAN_POINT('',(-1.88755675009281,0.74698297155071,0.278970909774871)); #162433=CARTESIAN_POINT('Origin',(-0.00151333630354292,1.7079700897277, 0.278970909774871)); #162434=CARTESIAN_POINT('Origin',(0.,3.1551179875321,0.209)); #162435=CARTESIAN_POINT('Ctrl Pts',(1.77734770086244,2.65453237640577,0.203141643805481)); #162436=CARTESIAN_POINT('Ctrl Pts',(1.75614430747799,2.57787308634109,0.1426665909139)); #162437=CARTESIAN_POINT('Ctrl Pts',(1.73494091409354,2.5012137962764,0.0821915380223193)); #162438=CARTESIAN_POINT('Ctrl Pts',(1.71373752070909,2.42455450621172,0.0217164851307385)); #162439=CARTESIAN_POINT('Ctrl Pts',(1.79186244269834,2.59928573256994,0.203141643805481)); #162440=CARTESIAN_POINT('Ctrl Pts',(1.76874024121169,2.52003074705335,0.1426665909139)); #162441=CARTESIAN_POINT('Ctrl Pts',(1.74543714805948,2.44072957800699,0.0821915380223193)); #162442=CARTESIAN_POINT('Ctrl Pts',(1.72231494657283,2.3614745924904,0.0217164851307385)); #162443=CARTESIAN_POINT('Ctrl Pts',(1.86038385832401,2.35587365554125,0.203141643805481)); #162444=CARTESIAN_POINT('Ctrl Pts',(1.82356411950144,2.29159174618054,0.1426665909139)); #162445=CARTESIAN_POINT('Ctrl Pts',(1.78666252249169,2.22728410201293,0.0821915380223193)); #162446=CARTESIAN_POINT('Ctrl Pts',(1.74984278366913,2.16300219265222,0.0217164851307385)); #162447=CARTESIAN_POINT('Ctrl Pts',(1.94734967422687,1.96194626090688,0.203141643805481)); #162448=CARTESIAN_POINT('Ctrl Pts',(1.89094864649398,1.94377951177113,0.1426665909139)); #162449=CARTESIAN_POINT('Ctrl Pts',(1.83495824546935,1.92574392708361,0.0821915380223194)); #162450=CARTESIAN_POINT('Ctrl Pts',(1.77855721773645,1.90757717794786,0.0217164851307385)); #162451=CARTESIAN_POINT('Ctrl Pts',(1.98497636286429,1.74191304794529,0.203141643805481)); #162452=CARTESIAN_POINT('Ctrl Pts',(1.92037154389684,1.75158489333001,0.1426665909139)); #162453=CARTESIAN_POINT('Ctrl Pts',(1.85576672492939,1.76125673871473,0.0821915380223193)); #162454=CARTESIAN_POINT('Ctrl Pts',(1.79116190596194,1.77092858409944,0.0217164851307385)); #162455=CARTESIAN_POINT('Ctrl Pts',(-1.10966552755979,2.88639661178102, -0.391250929720334)); #162456=CARTESIAN_POINT('Ctrl Pts',(-0.798281925701927,2.95000225605078, -0.422001939584146)); #162457=CARTESIAN_POINT('Ctrl Pts',(-0.0536834694947625,3.03651991267605, -0.464747462456767)); #162458=CARTESIAN_POINT('Ctrl Pts',(0.694487391749311,2.97120413747403, -0.432252276205416)); #162459=CARTESIAN_POINT('Ctrl Pts',(1.1096655275598,2.88639661178102,-0.391250929720333)); #162460=CARTESIAN_POINT('Ctrl Pts',(-1.11224182177815,2.88294547975102, -0.423693825410007)); #162461=CARTESIAN_POINT('Ctrl Pts',(-0.800220239849632,2.94685998443297, -0.454823559648453)); #162462=CARTESIAN_POINT('Ctrl Pts',(-0.0538379208258218,3.03382179418905, -0.498101157979337)); #162463=CARTESIAN_POINT('Ctrl Pts',(0.696213045873465,2.96816481932695, -0.465200137727934)); #162464=CARTESIAN_POINT('Ctrl Pts',(1.11224182177816,2.88294547975102,-0.423693825410006)); #162465=CARTESIAN_POINT('Ctrl Pts',(-1.11180444939636,2.85386699531187, -0.4780496509861)); #162466=CARTESIAN_POINT('Ctrl Pts',(-0.800000145211878,2.91756239880698, -0.509772449242049)); #162467=CARTESIAN_POINT('Ctrl Pts',(-0.0538477797445349,3.00430987735564, -0.553926433834067)); #162468=CARTESIAN_POINT('Ctrl Pts',(0.696065377150388,2.93879419997201, -0.520346715327365)); #162469=CARTESIAN_POINT('Ctrl Pts',(1.11180444939637,2.85386699531187,-0.4780496509861)); #162470=CARTESIAN_POINT('Ctrl Pts',(-1.10304535985814,2.79938659568248, -0.501369198310251)); #162471=CARTESIAN_POINT('Ctrl Pts',(-0.793574174433972,2.86197279017606, -0.53286965395208)); #162472=CARTESIAN_POINT('Ctrl Pts',(-0.0533818198020741,2.9471351688564, -0.576670454734066)); #162473=CARTESIAN_POINT('Ctrl Pts',(0.690417112625919,2.88283485500726, -0.543369805832689)); #162474=CARTESIAN_POINT('Ctrl Pts',(1.10304535985815,2.79938659568248,-0.50136919831025)); #162475=CARTESIAN_POINT('Ctrl Pts',(-1.09842355218365,2.77542570378071, -0.504015358426048)); #162476=CARTESIAN_POINT('Ctrl Pts',(-0.790181271877544,2.83752479851878, -0.535253792129247)); #162477=CARTESIAN_POINT('Ctrl Pts',(-0.053135126411858,2.92198747781891, -0.578674669695088)); #162478=CARTESIAN_POINT('Ctrl Pts',(0.687433845108846,2.8582244967648,-0.545666603363646)); #162479=CARTESIAN_POINT('Ctrl Pts',(1.09842355218366,2.7754257037807,-0.504015358426048)); #162480=CARTESIAN_POINT('',(1.1096655275598,2.88639661178102,-0.391250929720334)); #162481=CARTESIAN_POINT('Origin',(1.0837119269088,2.76460695218853,-0.380356461876877)); #162482=CARTESIAN_POINT('Ctrl Pts',(1.1096655275598,2.88639661178102,-0.391250929720333)); #162483=CARTESIAN_POINT('Ctrl Pts',(0.694487391749311,2.97120413747403, -0.432252276205416)); #162484=CARTESIAN_POINT('Ctrl Pts',(-0.0536834694947625,3.03651991267605, -0.464747462456767)); #162485=CARTESIAN_POINT('Ctrl Pts',(-0.798281925701927,2.95000225605078, -0.422001939584146)); #162486=CARTESIAN_POINT('Ctrl Pts',(-1.10966552755979,2.88639661178102, -0.391250929720334)); #162487=CARTESIAN_POINT('Origin',(-1.08371192690879,2.76460695218853,-0.380356461876876)); #162488=CARTESIAN_POINT('Ctrl Pts',(-1.42471734967105,2.7075422317843,-0.37050230940687)); #162489=CARTESIAN_POINT('Ctrl Pts',(-1.38929021525421,2.74626720912489, -0.371939238850939)); #162490=CARTESIAN_POINT('Ctrl Pts',(-1.29405777190915,2.82583804095541, -0.377193332043456)); #162491=CARTESIAN_POINT('Ctrl Pts',(-1.17796925973211,2.87232874565602, -0.385797963859988)); #162492=CARTESIAN_POINT('Ctrl Pts',(-1.1096655275598,2.88639661178102,-0.391250929720333)); #162493=CARTESIAN_POINT('Ctrl Pts',(-1.42343105212204,2.70489568585729, -0.40173897404412)); #162494=CARTESIAN_POINT('Ctrl Pts',(-1.3884979479431,2.74321195303582,-0.403289502691774)); #162495=CARTESIAN_POINT('Ctrl Pts',(-1.2946171561759,2.82209699590338,-0.408887256020245)); #162496=CARTESIAN_POINT('Ctrl Pts',(-1.17991273826187,2.86863684295403, -0.417957950794674)); #162497=CARTESIAN_POINT('Ctrl Pts',(-1.11224182177815,2.88294547975102, -0.423693825410006)); #162498=CARTESIAN_POINT('Ctrl Pts',(-1.40521965677118,2.68574676808319, -0.454224432244137)); #162499=CARTESIAN_POINT('Ctrl Pts',(-1.37232650487628,2.72177089481541, -0.455947037645279)); #162500=CARTESIAN_POINT('Ctrl Pts',(-1.28388935117837,2.79607584863832, -0.46208235694244)); #162501=CARTESIAN_POINT('Ctrl Pts',(-1.17567492425899,2.84021182798538, -0.471889287125871)); #162502=CARTESIAN_POINT('Ctrl Pts',(-1.11180439308242,2.85386680208475, -0.478049484004196)); #162503=CARTESIAN_POINT('Ctrl Pts',(-1.36775316384112,2.65026523378799, -0.47894149116991)); #162504=CARTESIAN_POINT('Ctrl Pts',(-1.33801058405505,2.68247547837139, -0.480544812628111)); #162505=CARTESIAN_POINT('Ctrl Pts',(-1.25804691749165,2.74872370612592, -0.486291580848083)); #162506=CARTESIAN_POINT('Ctrl Pts',(-1.16048235463304,2.78757531313538, -0.495539287555458)); #162507=CARTESIAN_POINT('Ctrl Pts',(-1.10304535985815,2.79938659568248, -0.501369198310251)); #162508=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,2.63448941651982, -0.48282114121614)); #162509=CARTESIAN_POINT('Ctrl Pts',(-1.32234770370755,2.66506388804112, -0.484313460185011)); #162510=CARTESIAN_POINT('Ctrl Pts',(-1.24604427500077,2.72784804004472, -0.489712323022029)); #162511=CARTESIAN_POINT('Ctrl Pts',(-1.15308304592608,2.76441389489817, -0.49847595945123)); #162512=CARTESIAN_POINT('Ctrl Pts',(-1.09842355218365,2.7754257037807,-0.504015358426048)); #162513=CARTESIAN_POINT('Origin',(-1.33263907462482,2.62370964960459,-0.359607841563412)); #162514=CARTESIAN_POINT('Ctrl Pts',(1.10966552755981,2.88639661178102,-0.391250929720333)); #162515=CARTESIAN_POINT('Ctrl Pts',(1.16089332665626,2.87584571219402,-0.38716120532769)); #162516=CARTESIAN_POINT('Ctrl Pts',(1.27812807129272,2.83486190625497,-0.378302583887424)); #162517=CARTESIAN_POINT('Ctrl Pts',(1.37748117047881,2.75917553487205,-0.37241821533107)); #162518=CARTESIAN_POINT('Ctrl Pts',(1.42471734967105,2.7075422317843,-0.37050230940687)); #162519=CARTESIAN_POINT('Ctrl Pts',(1.11224182177816,2.88294547975102,-0.423693825410005)); #162520=CARTESIAN_POINT('Ctrl Pts',(1.16299500910848,2.87221400216014,-0.419391919451259)); #162521=CARTESIAN_POINT('Ctrl Pts',(1.27892683618169,2.8310693587296,-0.410056874606309)); #162522=CARTESIAN_POINT('Ctrl Pts',(1.37685357991326,2.75598404206265,-0.403806345573002)); #162523=CARTESIAN_POINT('Ctrl Pts',(1.42343105212204,2.70489568585729,-0.401738974044119)); #162524=CARTESIAN_POINT('Ctrl Pts',(1.11180439308243,2.85386680208475,-0.478049484004195)); #162525=CARTESIAN_POINT('Ctrl Pts',(1.1597072914342,2.84362557151677,-0.473429336348408)); #162526=CARTESIAN_POINT('Ctrl Pts',(1.26908128324391,2.80457950247344,-0.463358161498677)); #162527=CARTESIAN_POINT('Ctrl Pts',(1.36136212093937,2.73377893702876,-0.456521239444191)); #162528=CARTESIAN_POINT('Ctrl Pts',(1.40521965677118,2.68574676808319,-0.454224432244137)); #162529=CARTESIAN_POINT('Ctrl Pts',(1.10304535985815,2.79938659568248,-0.50136919831025)); #162530=CARTESIAN_POINT('Ctrl Pts',(1.14612310591176,2.79052813377782,-0.496996765246953)); #162531=CARTESIAN_POINT('Ctrl Pts',(1.24466474455765,2.75625476102475,-0.487488853929721)); #162532=CARTESIAN_POINT('Ctrl Pts',(1.3280963908184,2.69321222653837,-0.48107925311281)); #162533=CARTESIAN_POINT('Ctrl Pts',(1.36775316384112,2.65026523378799,-0.47894149116991)); #162534=CARTESIAN_POINT('Ctrl Pts',(1.09842355218366,2.7754257037807,-0.504015358426047)); #162535=CARTESIAN_POINT('Ctrl Pts',(1.13941817246425,2.76716684712409,-0.499860809197592)); #162536=CARTESIAN_POINT('Ctrl Pts',(1.23328041101545,2.73495738847094,-0.490844895886879)); #162537=CARTESIAN_POINT('Ctrl Pts',(1.31288695826485,2.67525537852213,-0.484810899840028)); #162538=CARTESIAN_POINT('Ctrl Pts',(1.35072994010827,2.63448941651983,-0.48282114121614)); #162539=CARTESIAN_POINT('',(1.42471734967105,2.7075422317843,-0.370502309406872)); #162540=CARTESIAN_POINT('Origin',(1.33263907462482,2.62370964960459,-0.359607841563414)); #162541=CARTESIAN_POINT('Ctrl Pts',(1.42471734967105,2.7075422317843,-0.37050230940687)); #162542=CARTESIAN_POINT('Ctrl Pts',(1.37748117047881,2.75917553487205,-0.37241821533107)); #162543=CARTESIAN_POINT('Ctrl Pts',(1.27812807129272,2.83486190625497,-0.378302583887424)); #162544=CARTESIAN_POINT('Ctrl Pts',(1.16089332665626,2.87584571219402,-0.38716120532769)); #162545=CARTESIAN_POINT('Ctrl Pts',(1.10966552755981,2.88639661178102,-0.391250929720333)); #162546=CARTESIAN_POINT('Ctrl Pts',(1.42471734967104,2.70754223178431,-0.370502309406868)); #162547=CARTESIAN_POINT('Ctrl Pts',(1.42888028021258,2.70299178214888,-0.370333460371595)); #162548=CARTESIAN_POINT('Ctrl Pts',(1.43297895252949,2.69837210588731,-0.370139550717091)); #162549=CARTESIAN_POINT('Ctrl Pts',(1.44108188669291,2.68895162041793,-0.369706082433352)); #162550=CARTESIAN_POINT('Ctrl Pts',(1.44508476877572,2.68414942079441,-0.36946609749998)); #162551=CARTESIAN_POINT('Ctrl Pts',(1.45099546265435,2.67682934223895,-0.369077344686023)); #162552=CARTESIAN_POINT('Ctrl Pts',(1.45295739527229,2.67436021219352,-0.368942384091296)); #162553=CARTESIAN_POINT('Ctrl Pts',(1.45783880045102,2.66811661260894,-0.368592622661961)); #162554=CARTESIAN_POINT('Ctrl Pts',(1.46073402973638,2.66432128312543,-0.36837239595174)); #162555=CARTESIAN_POINT('Ctrl Pts',(1.46454020002857,2.65920626086508,-0.368067643253963)); #162556=CARTESIAN_POINT('Ctrl Pts',(1.46549067093242,2.65791822079362,-0.367990225413879)); #162557=CARTESIAN_POINT('Ctrl Pts',(1.46835228818136,2.6540075735437,-0.367753367809979)); #162558=CARTESIAN_POINT('Ctrl Pts',(1.47024772421743,2.65137268057328,-0.36759131585338)); #162559=CARTESIAN_POINT('Ctrl Pts',(1.47307628496019,2.64737236336652,-0.367342310079012)); #162560=CARTESIAN_POINT('Ctrl Pts',(1.4740251112568,2.6460186160372,-0.367257547050901)); #162561=CARTESIAN_POINT('Ctrl Pts',(1.47786856441535,2.64048625848404,-0.366909353637375)); #162562=CARTESIAN_POINT('Ctrl Pts',(1.48071626630056,2.63627359937207,-0.366640075624809)); #162563=CARTESIAN_POINT('Ctrl Pts',(1.48543338943547,2.62909940292539,-0.36617784737279)); #162564=CARTESIAN_POINT('Ctrl Pts',(1.48733122822694,2.62615689585386,-0.365987256590234)); #162565=CARTESIAN_POINT('Ctrl Pts',(1.49514456582024,2.613804912996,-0.36518547754767)); #162566=CARTESIAN_POINT('Ctrl Pts',(1.50082305974647,2.60424525215591,-0.364561179423058)); #162567=CARTESIAN_POINT('Ctrl Pts',(1.51011717938236,2.58754626510863,-0.363498367633704)); #162568=CARTESIAN_POINT('Ctrl Pts',(1.51386596585234,2.58047685171343,-0.3630566477294)); #162569=CARTESIAN_POINT('Ctrl Pts',(1.52559788660229,2.55722410764378,-0.361653299235329)); #162570=CARTESIAN_POINT('Ctrl Pts',(1.53297315727567,2.54076296384099,-0.360738646299458)); #162571=CARTESIAN_POINT('Ctrl Pts',(1.54340891372476,2.51427222784586,-0.359511792574364)); #162572=CARTESIAN_POINT('Ctrl Pts',(1.54699351158671,2.5044012341424,-0.35910641270298)); #162573=CARTESIAN_POINT('Ctrl Pts',(1.55925750987872,2.46754765084931,-0.357834997033591)); #162574=CARTESIAN_POINT('Ctrl Pts',(1.56623644940716,2.44001659638191,-0.357368021848157)); #162575=CARTESIAN_POINT('Ctrl Pts',(1.5729762416137,2.40180327654409,-0.35767265759218)); #162576=CARTESIAN_POINT('Ctrl Pts',(1.57451746036626,2.39141627376368,-0.357848087216946)); #162577=CARTESIAN_POINT('Ctrl Pts',(1.5757721458986,2.38100820444586,-0.35812375964718)); #162578=CARTESIAN_POINT('Ctrl Pts',(1.42343105212204,2.7048956858573,-0.401738974044116)); #162579=CARTESIAN_POINT('Ctrl Pts',(1.42764426086322,2.70029130004088,-0.401430415094348)); #162580=CARTESIAN_POINT('Ctrl Pts',(1.43178537921951,2.69562237825889,-0.401095445141143)); #162581=CARTESIAN_POINT('Ctrl Pts',(1.43995953693081,2.68611278500279,-0.400376331689871)); #162582=CARTESIAN_POINT('Ctrl Pts',(1.44399057378698,2.68127131028677,-0.399992379196165)); #162583=CARTESIAN_POINT('Ctrl Pts',(1.44993387550504,2.67390028915526,-0.399386432025686)); #162584=CARTESIAN_POINT('Ctrl Pts',(1.45190515720822,2.67141538614671,-0.39917847835069)); #162585=CARTESIAN_POINT('Ctrl Pts',(1.45680599913798,2.66513584631925,-0.398645398812849)); #162586=CARTESIAN_POINT('Ctrl Pts',(1.45970876027448,2.66132277151737,-0.398315660582567)); #162587=CARTESIAN_POINT('Ctrl Pts',(1.46352111898917,2.65618803402568,-0.39786417334307)); #162588=CARTESIAN_POINT('Ctrl Pts',(1.46447285860449,2.65489532774817,-0.397749790809586)); #162589=CARTESIAN_POINT('Ctrl Pts',(1.46733656349624,2.65097248769836,-0.397402299553207)); #162590=CARTESIAN_POINT('Ctrl Pts',(1.46923237131897,2.64833051420692,-0.397165543228038)); #162591=CARTESIAN_POINT('Ctrl Pts',(1.47205883317042,2.64432262797848,-0.39680514444085)); #162592=CARTESIAN_POINT('Ctrl Pts',(1.47300659617503,2.64296675028453,-0.396682865671207)); #162593=CARTESIAN_POINT('Ctrl Pts',(1.4768445606502,2.63742714746664,-0.396181625279035)); #162594=CARTESIAN_POINT('Ctrl Pts',(1.47968476693296,2.63321317537138,-0.395797730400909)); #162595=CARTESIAN_POINT('Ctrl Pts',(1.48438434631441,2.62604374651564,-0.395143687321021)); #162596=CARTESIAN_POINT('Ctrl Pts',(1.48627369785441,2.62310512191272,-0.394875334105421)); #162597=CARTESIAN_POINT('Ctrl Pts',(1.49404668766527,2.61077714916099,-0.393750547613251)); #162598=CARTESIAN_POINT('Ctrl Pts',(1.49968139569665,2.6012566185489,-0.392888043937534)); #162599=CARTESIAN_POINT('Ctrl Pts',(1.50888733741755,2.58465710867842,-0.391426092661107)); #162600=CARTESIAN_POINT('Ctrl Pts',(1.51259484640287,2.57763979353709,-0.390821784626963)); #162601=CARTESIAN_POINT('Ctrl Pts',(1.52418369841255,2.55458809602393,-0.388902790346397)); #162602=CARTESIAN_POINT('Ctrl Pts',(1.53144480050156,2.53831933197882,-0.387660976864977)); #162603=CARTESIAN_POINT('Ctrl Pts',(1.5417046878564,2.51220093557139,-0.385960404428898)); #162604=CARTESIAN_POINT('Ctrl Pts',(1.54522479817861,2.50248301291196,-0.385392182652259)); #162605=CARTESIAN_POINT('Ctrl Pts',(1.55726135718888,2.46624636512001,-0.383561248931874)); #162606=CARTESIAN_POINT('Ctrl Pts',(1.56409870477311,2.43926793656825,-0.382778225775894)); #162607=CARTESIAN_POINT('Ctrl Pts',(1.57073320648393,2.40189311945265,-0.382791001638912)); #162608=CARTESIAN_POINT('Ctrl Pts',(1.5722540615442,2.39174121928765,-0.382902215328678)); #162609=CARTESIAN_POINT('Ctrl Pts',(1.57349856209437,2.38157355609644,-0.38312877871883)); #162610=CARTESIAN_POINT('Ctrl Pts',(1.40520828281608,2.68573689575893,-0.454212809271074)); #162611=CARTESIAN_POINT('Ctrl Pts',(1.40950281251444,2.68133701391693,-0.453683505864599)); #162612=CARTESIAN_POINT('Ctrl Pts',(1.41371828761299,2.67687884012191,-0.453125315852421)); #162613=CARTESIAN_POINT('Ctrl Pts',(1.42203027337695,2.66780533677931,-0.451953579945188)); #162614=CARTESIAN_POINT('Ctrl Pts',(1.42612316740724,2.66318962884259,-0.4513411951096)); #162615=CARTESIAN_POINT('Ctrl Pts',(1.43215144828188,2.6561676728825,-0.45039020142314)); #162616=CARTESIAN_POINT('Ctrl Pts',(1.43415006841081,2.65380128749143,-0.450066216490075)); #162617=CARTESIAN_POINT('Ctrl Pts',(1.43911593841016,2.64782360889012,-0.449241561690036)); #162618=CARTESIAN_POINT('Ctrl Pts',(1.44205285705699,2.64419631980275,-0.448737492344414)); #162619=CARTESIAN_POINT('Ctrl Pts',(1.44590874875719,2.63931421240127,-0.448052214616317)); #162620=CARTESIAN_POINT('Ctrl Pts',(1.44687140762198,2.63808527730254,-0.447878922755081)); #162621=CARTESIAN_POINT('Ctrl Pts',(1.44976483207505,2.63435718045611,-0.447355070135928)); #162622=CARTESIAN_POINT('Ctrl Pts',(1.45168081956995,2.6318469986077,-0.446999160875882)); #162623=CARTESIAN_POINT('Ctrl Pts',(1.45453382314091,2.62804096315254,-0.446461000869741)); #162624=CARTESIAN_POINT('Ctrl Pts',(1.45549019854474,2.6267536268315,-0.446278839819683)); #162625=CARTESIAN_POINT('Ctrl Pts',(1.45936295887522,2.62149489241187,-0.445533235459947)); #162626=CARTESIAN_POINT('Ctrl Pts',(1.46222600806314,2.61749706084342,-0.444966196186632)); #162627=CARTESIAN_POINT('Ctrl Pts',(1.46695948184284,2.61069945427135,-0.444005417150324)); #162628=CARTESIAN_POINT('Ctrl Pts',(1.46886132352199,2.60791435956474,-0.44361265870434)); #162629=CARTESIAN_POINT('Ctrl Pts',(1.47668301747065,2.59623491722939,-0.441970799150043)); #162630=CARTESIAN_POINT('Ctrl Pts',(1.48233899102162,2.5872272937706,-0.440726428635034)); #162631=CARTESIAN_POINT('Ctrl Pts',(1.49157190134769,2.57153975265262,-0.438623651098251)); #162632=CARTESIAN_POINT('Ctrl Pts',(1.49528507009589,2.56491372895651,-0.437758098920117)); #162633=CARTESIAN_POINT('Ctrl Pts',(1.50688499958562,2.54316430503731,-0.435009519931962)); #162634=CARTESIAN_POINT('Ctrl Pts',(1.5141274585052,2.52784357024092,-0.433240318176273)); #162635=CARTESIAN_POINT('Ctrl Pts',(1.52435859625713,2.50328246904889,-0.430774703476206)); #162636=CARTESIAN_POINT('Ctrl Pts',(1.52786423786975,2.49415248351458,-0.429943110086271)); #162637=CARTESIAN_POINT('Ctrl Pts',(1.53984799047769,2.46013343052301,-0.427206427711926)); #162638=CARTESIAN_POINT('Ctrl Pts',(1.54663885908935,2.43485968857753,-0.425909688883347)); #162639=CARTESIAN_POINT('Ctrl Pts',(1.55324770537201,2.39989516703726,-0.425445700172908)); #162640=CARTESIAN_POINT('Ctrl Pts',(1.55476456718425,2.3904024432225,-0.425451674325233)); #162641=CARTESIAN_POINT('Ctrl Pts',(1.55600880936212,2.38089930958217,-0.425597295542518)); #162642=CARTESIAN_POINT('Ctrl Pts',(1.36775316384113,2.65026523378799,-0.478941491169905)); #162643=CARTESIAN_POINT('Ctrl Pts',(1.37201016228341,2.64641880308774,-0.478524614958097)); #162644=CARTESIAN_POINT('Ctrl Pts',(1.37619658426794,2.64251625746619,-0.478075654599233)); #162645=CARTESIAN_POINT('Ctrl Pts',(1.38446736250988,2.63456303923265,-0.477116942364378)); #162646=CARTESIAN_POINT('Ctrl Pts',(1.38854662216957,2.6305115389152,-0.476607842225329)); #162647=CARTESIAN_POINT('Ctrl Pts',(1.39456637048493,2.624339646387,-0.475806868004187)); #162648=CARTESIAN_POINT('Ctrl Pts',(1.39656442085511,2.62225840914229,-0.475532314739541)); #162649=CARTESIAN_POINT('Ctrl Pts',(1.40153325119882,2.61699740280949,-0.474829408000363)); #162650=CARTESIAN_POINT('Ctrl Pts',(1.40447393939417,2.61380128738157,-0.474395958727188)); #162651=CARTESIAN_POINT('Ctrl Pts',(1.408342056382,2.60949557526874,-0.473802512121307)); #162652=CARTESIAN_POINT('Ctrl Pts',(1.40930860595064,2.60841144220852,-0.473652107335575)); #162653=CARTESIAN_POINT('Ctrl Pts',(1.41221213173802,2.60512091284921,-0.473196144698956)); #162654=CARTESIAN_POINT('Ctrl Pts',(1.41413852412715,2.6029042352365,-0.472885087334274)); #162655=CARTESIAN_POINT('Ctrl Pts',(1.41700702053255,2.59954040901555,-0.472412500195783)); #162656=CARTESIAN_POINT('Ctrl Pts',(1.41796899791158,2.59840224955839,-0.472252192508683)); #162657=CARTESIAN_POINT('Ctrl Pts',(1.42186735975316,2.59375152072518,-0.471594759099097)); #162658=CARTESIAN_POINT('Ctrl Pts',(1.42475278774423,2.59021208934858,-0.471091578771151)); #162659=CARTESIAN_POINT('Ctrl Pts',(1.42952902070121,2.58418756893469,-0.47023420990244)); #162660=CARTESIAN_POINT('Ctrl Pts',(1.43144971749513,2.5817174699473,-0.469882403921957)); #162661=CARTESIAN_POINT('Ctrl Pts',(1.43935736636837,2.57135194456085,-0.468406618338857)); #162662=CARTESIAN_POINT('Ctrl Pts',(1.44508661362851,2.5633392508787,-0.467275429774359)); #162663=CARTESIAN_POINT('Ctrl Pts',(1.45446697185858,2.5493564713818,-0.465348104725183)); #162664=CARTESIAN_POINT('Ctrl Pts',(1.45824473193928,2.54344162010008,-0.464549753938402)); #162665=CARTESIAN_POINT('Ctrl Pts',(1.47006838007695,2.52400016458389,-0.462001522309015)); #162666=CARTESIAN_POINT('Ctrl Pts',(1.47746498283095,2.51026140979665,-0.460340161697892)); #162667=CARTESIAN_POINT('Ctrl Pts',(1.48794761802805,2.488182283857,-0.458008118772521)); #162668=CARTESIAN_POINT('Ctrl Pts',(1.49154149694379,2.47996264517941,-0.457217252005879)); #162669=CARTESIAN_POINT('Ctrl Pts',(1.50383716608326,2.44929782149085,-0.454604619289662)); #162670=CARTESIAN_POINT('Ctrl Pts',(1.51080722904995,2.42643962886209,-0.453346001733657)); #162671=CARTESIAN_POINT('Ctrl Pts',(1.51755580651245,2.39476480220655,-0.452889250675386)); #162672=CARTESIAN_POINT('Ctrl Pts',(1.51909875381468,2.38616012112273,-0.452892399317931)); #162673=CARTESIAN_POINT('Ctrl Pts',(1.52035610558498,2.37754291182316,-0.453031224657776)); #162674=CARTESIAN_POINT('Ctrl Pts',(1.35072994010828,2.63448941651983,-0.482821141216136)); #162675=CARTESIAN_POINT('Ctrl Pts',(1.3549299692477,2.63088568102294,-0.482519755459794)); #162676=CARTESIAN_POINT('Ctrl Pts',(1.35906517631041,2.6272267965002,-0.4821852201386)); #162677=CARTESIAN_POINT('Ctrl Pts',(1.36724436258797,2.61976487154604,-0.481454058272799)); #162678=CARTESIAN_POINT('Ctrl Pts',(1.37128282661174,2.61596078537004,-0.481057570121329)); #162679=CARTESIAN_POINT('Ctrl Pts',(1.37724927690583,2.61016164479745,-0.480423534263747)); #162680=CARTESIAN_POINT('Ctrl Pts',(1.37923092000046,2.60820544552025,-0.480204581627494)); #162681=CARTESIAN_POINT('Ctrl Pts',(1.38416167785469,2.60325868437586,-0.479640090282706)); #162682=CARTESIAN_POINT('Ctrl Pts',(1.38708162635569,2.60025158828729,-0.479288256197364)); #162683=CARTESIAN_POINT('Ctrl Pts',(1.39092638299243,2.59619855931113,-0.478802737827116)); #162684=CARTESIAN_POINT('Ctrl Pts',(1.39188751022661,2.59517790787182,-0.47867939379297)); #162685=CARTESIAN_POINT('Ctrl Pts',(1.3947745129705,2.59207916540701,-0.478304119666505)); #162686=CARTESIAN_POINT('Ctrl Pts',(1.39669173154477,2.58999114572792,-0.478047035175779)); #162687=CARTESIAN_POINT('Ctrl Pts',(1.39954722084651,2.58682111049986,-0.477654262863375)); #162688=CARTESIAN_POINT('Ctrl Pts',(1.40050509734899,2.58574832219164,-0.477520718000308)); #162689=CARTESIAN_POINT('Ctrl Pts',(1.40438833316637,2.58136402806016,-0.476971956954387)); #162690=CARTESIAN_POINT('Ctrl Pts',(1.40726486367227,2.57802543245144,-0.47654904771818)); #162691=CARTESIAN_POINT('Ctrl Pts',(1.41203004192798,2.57233954187291,-0.475824229101066)); #162692=CARTESIAN_POINT('Ctrl Pts',(1.41394736995859,2.57000739989476,-0.475525659533889)); #162693=CARTESIAN_POINT('Ctrl Pts',(1.42184593226847,2.56021723864948,-0.474268907434897)); #162694=CARTESIAN_POINT('Ctrl Pts',(1.42757701387671,2.55263993424929,-0.473294447595463)); #162695=CARTESIAN_POINT('Ctrl Pts',(1.43697634961612,2.53940273056865,-0.471622253273148)); #162696=CARTESIAN_POINT('Ctrl Pts',(1.44076556410476,2.53379874131617,-0.470925580844487)); #162697=CARTESIAN_POINT('Ctrl Pts',(1.45263781209949,2.51536555020091,-0.46869301298308)); #162698=CARTESIAN_POINT('Ctrl Pts',(1.46007780896861,2.50231677517323,-0.467221670250862)); #162699=CARTESIAN_POINT('Ctrl Pts',(1.47064092421193,2.48131854211336,-0.465152220486178)); #162700=CARTESIAN_POINT('Ctrl Pts',(1.47426463586612,2.47349479632443,-0.464448768159749)); #162701=CARTESIAN_POINT('Ctrl Pts',(1.48666928998525,2.44428759503914,-0.46212741351768)); #162702=CARTESIAN_POINT('Ctrl Pts',(1.49370795653071,2.42247552379196,-0.461015122272234)); #162703=CARTESIAN_POINT('Ctrl Pts',(1.50050482003164,2.39221967505721,-0.46067622365139)); #162704=CARTESIAN_POINT('Ctrl Pts',(1.50205578471888,2.38399769354243,-0.460702576577048)); #162705=CARTESIAN_POINT('Ctrl Pts',(1.50331538201613,2.37576147050422,-0.460856270082688)); #162706=CARTESIAN_POINT('',(1.5757721458986,2.38100820444584,-0.358123759647179)); #162707=CARTESIAN_POINT('Origin',(1.45217748134221,2.3658203980341,-0.347229291803722)); #162708=CARTESIAN_POINT('Ctrl Pts',(1.5757721458986,2.38100820444586,-0.35812375964718)); #162709=CARTESIAN_POINT('Ctrl Pts',(1.57451746036626,2.39141627376368,-0.357848087216946)); #162710=CARTESIAN_POINT('Ctrl Pts',(1.5729762416137,2.40180327654409,-0.35767265759218)); #162711=CARTESIAN_POINT('Ctrl Pts',(1.56623644940716,2.44001659638191,-0.357368021848157)); #162712=CARTESIAN_POINT('Ctrl Pts',(1.55925750987872,2.46754765084931,-0.357834997033591)); #162713=CARTESIAN_POINT('Ctrl Pts',(1.54699351158671,2.5044012341424,-0.35910641270298)); #162714=CARTESIAN_POINT('Ctrl Pts',(1.54340891372476,2.51427222784586,-0.359511792574364)); #162715=CARTESIAN_POINT('Ctrl Pts',(1.53297315727567,2.54076296384099,-0.360738646299458)); #162716=CARTESIAN_POINT('Ctrl Pts',(1.52559788660229,2.55722410764378,-0.361653299235329)); #162717=CARTESIAN_POINT('Ctrl Pts',(1.51386596585234,2.58047685171343,-0.3630566477294)); #162718=CARTESIAN_POINT('Ctrl Pts',(1.51011717938236,2.58754626510863,-0.363498367633704)); #162719=CARTESIAN_POINT('Ctrl Pts',(1.50082305974647,2.60424525215591,-0.364561179423058)); #162720=CARTESIAN_POINT('Ctrl Pts',(1.49514456582024,2.613804912996,-0.36518547754767)); #162721=CARTESIAN_POINT('Ctrl Pts',(1.48733122822694,2.62615689585386,-0.365987256590234)); #162722=CARTESIAN_POINT('Ctrl Pts',(1.48543338943547,2.62909940292539,-0.36617784737279)); #162723=CARTESIAN_POINT('Ctrl Pts',(1.48071626630056,2.63627359937207,-0.366640075624809)); #162724=CARTESIAN_POINT('Ctrl Pts',(1.47786856441535,2.64048625848404,-0.366909353637375)); #162725=CARTESIAN_POINT('Ctrl Pts',(1.4740251112568,2.6460186160372,-0.367257547050901)); #162726=CARTESIAN_POINT('Ctrl Pts',(1.47307628496019,2.64737236336652,-0.367342310079012)); #162727=CARTESIAN_POINT('Ctrl Pts',(1.47024772421743,2.65137268057328,-0.36759131585338)); #162728=CARTESIAN_POINT('Ctrl Pts',(1.46835228818136,2.6540075735437,-0.367753367809979)); #162729=CARTESIAN_POINT('Ctrl Pts',(1.46549067093242,2.65791822079362,-0.367990225413879)); #162730=CARTESIAN_POINT('Ctrl Pts',(1.46454020002857,2.65920626086508,-0.368067643253963)); #162731=CARTESIAN_POINT('Ctrl Pts',(1.46073402973638,2.66432128312543,-0.36837239595174)); #162732=CARTESIAN_POINT('Ctrl Pts',(1.45783880045102,2.66811661260894,-0.368592622661961)); #162733=CARTESIAN_POINT('Ctrl Pts',(1.45295739527229,2.67436021219352,-0.368942384091296)); #162734=CARTESIAN_POINT('Ctrl Pts',(1.45099546265435,2.67682934223895,-0.369077344686023)); #162735=CARTESIAN_POINT('Ctrl Pts',(1.44508476877572,2.68414942079441,-0.36946609749998)); #162736=CARTESIAN_POINT('Ctrl Pts',(1.44108188669291,2.68895162041793,-0.369706082433352)); #162737=CARTESIAN_POINT('Ctrl Pts',(1.43297895252949,2.69837210588731,-0.370139550717091)); #162738=CARTESIAN_POINT('Ctrl Pts',(1.42888028021258,2.70299178214888,-0.370333460371595)); #162739=CARTESIAN_POINT('Ctrl Pts',(1.42471734967104,2.70754223178431,-0.370502309406868)); #162740=CARTESIAN_POINT('Ctrl Pts',(1.5757721458986,2.38100820444585,-0.358123759647179)); #162741=CARTESIAN_POINT('Ctrl Pts',(1.57722728523886,2.36887774304931,-0.358526499900082)); #162742=CARTESIAN_POINT('Ctrl Pts',(1.57866590564713,2.35674489788953,-0.358927700456319)); #162743=CARTESIAN_POINT('Ctrl Pts',(1.58540620947968,2.29922595080554,-0.360824355432289)); #162744=CARTESIAN_POINT('Ctrl Pts',(1.5904925606786,2.25381009135848,-0.362306747471078)); #162745=CARTESIAN_POINT('Ctrl Pts',(1.59781411099941,2.18522968647848,-0.364562690244352)); #162746=CARTESIAN_POINT('Ctrl Pts',(1.60022333541894,2.16208530531303,-0.365326916478087)); #162747=CARTESIAN_POINT('Ctrl Pts',(1.60614915458654,2.10365660851758,-0.367272719182333)); #162748=CARTESIAN_POINT('Ctrl Pts',(1.60958473254327,2.06836380624737,-0.368463230884195)); #162749=CARTESIAN_POINT('Ctrl Pts',(1.61398808786981,2.02113915497826,-0.370093123019434)); #162750=CARTESIAN_POINT('Ctrl Pts',(1.6150831026088,2.00921849794913,-0.370507678072537)); #162751=CARTESIAN_POINT('Ctrl Pts',(1.61779262705164,1.9792694609297,-0.371558301468038)); #162752=CARTESIAN_POINT('Ctrl Pts',(1.61938602220302,1.96123933938757,-0.372199057154727)); #162753=CARTESIAN_POINT('Ctrl Pts',(1.62146492419104,1.93714166959252,-0.373068682388038)); #162754=CARTESIAN_POINT('Ctrl Pts',(1.62198386632897,1.93107659363415,-0.373288673232337)); #162755=CARTESIAN_POINT('Ctrl Pts',(1.62354797390139,1.91264370016431,-0.3739608323504)); #162756=CARTESIAN_POINT('Ctrl Pts',(1.62457965448467,1.9002749223557,-0.374416778846499)); #162757=CARTESIAN_POINT('Ctrl Pts',(1.62611041622591,1.88159863502762,-0.375113425361359)); #162758=CARTESIAN_POINT('Ctrl Pts',(1.62662267464714,1.87529198858742,-0.375350078153623)); #162759=CARTESIAN_POINT('Ctrl Pts',(1.62978303629866,1.83602634050366,-0.376832679589245)); #162760=CARTESIAN_POINT('Ctrl Pts',(1.6323092060602,1.80305970976838,-0.378117871938945)); #162761=CARTESIAN_POINT('Ctrl Pts',(1.63677546567786,1.7416659130933,-0.380604209489609)); #162762=CARTESIAN_POINT('Ctrl Pts',(1.63874831209582,1.71324048946182,-0.381792463678629)); #162763=CARTESIAN_POINT('Ctrl Pts',(1.64493772883421,1.61952605924811,-0.385851236518426)); #162764=CARTESIAN_POINT('Ctrl Pts',(1.64874687227356,1.55422709984763,-0.388906656267997)); #162765=CARTESIAN_POINT('Ctrl Pts',(1.65406467652702,1.4490261277115,-0.394300011448582)); #162766=CARTESIAN_POINT('Ctrl Pts',(1.65589170988828,1.40913099831351,-0.396454141847305)); #162767=CARTESIAN_POINT('Ctrl Pts',(1.66063539044142,1.29348750530606,-0.403050019056547)); #162768=CARTESIAN_POINT('Ctrl Pts',(1.66305535042242,1.21774009520815,-0.407810970239274)); #162769=CARTESIAN_POINT('Ctrl Pts',(1.66478355530763,1.14201458296242,-0.413074912607896)); #162770=CARTESIAN_POINT('Ctrl Pts',(1.5737827600699,2.3815028871401,-0.380003151334872)); #162771=CARTESIAN_POINT('Ctrl Pts',(1.5752450618559,2.36937076222447,-0.380334649387853)); #162772=CARTESIAN_POINT('Ctrl Pts',(1.57669073899259,2.35723657752571,-0.380665255621662)); #162773=CARTESIAN_POINT('Ctrl Pts',(1.58346404135253,2.29971273142637,-0.382229984212628)); #162774=CARTESIAN_POINT('Ctrl Pts',(1.58857476520009,2.25429779763219,-0.383461704463323)); #162775=CARTESIAN_POINT('Ctrl Pts',(1.59593160599555,2.18572410808573,-0.385349528971035)); #162776=CARTESIAN_POINT('Ctrl Pts',(1.59835235160427,2.16258311225255,-0.385992497796221)); #162777=CARTESIAN_POINT('Ctrl Pts',(1.60430649819802,2.10416536592213,-0.387638198077437)); #162778=CARTESIAN_POINT('Ctrl Pts',(1.60775837621759,2.06888156569971,-0.388654041648838)); #162779=CARTESIAN_POINT('Ctrl Pts',(1.61218272822651,2.02167162217025,-0.390057445134096)); #162780=CARTESIAN_POINT('Ctrl Pts',(1.61328294644124,2.00975494603253,-0.39041570475884)); #162781=CARTESIAN_POINT('Ctrl Pts',(1.61600536165939,1.9798164826033,-0.391326589958068)); #162782=CARTESIAN_POINT('Ctrl Pts',(1.61760636717897,1.96179322663418,-0.391884598758233)); #162783=CARTESIAN_POINT('Ctrl Pts',(1.61969515596638,1.93770550588001,-0.392646429209178)); #162784=CARTESIAN_POINT('Ctrl Pts',(1.62021660145746,1.93164294288719,-0.392839138844245)); #162785=CARTESIAN_POINT('Ctrl Pts',(1.6217881751138,1.91321798407094,-0.393429796906256)); #162786=CARTESIAN_POINT('Ctrl Pts',(1.62282476729731,1.90085479731545,-0.393832036912716)); #162787=CARTESIAN_POINT('Ctrl Pts',(1.62436286662481,1.882187250123,-0.394448415662087)); #162788=CARTESIAN_POINT('Ctrl Pts',(1.62487758232528,1.87588361612352,-0.394658176063699)); #162789=CARTESIAN_POINT('Ctrl Pts',(1.62805309574169,1.83663713098564,-0.395974874284724)); #162790=CARTESIAN_POINT('Ctrl Pts',(1.63059139149902,1.80368829221092,-0.397127035749597)); #162791=CARTESIAN_POINT('Ctrl Pts',(1.63507936694829,1.74233033144333,-0.399375724634696)); #162792=CARTESIAN_POINT('Ctrl Pts',(1.63706186688534,1.71392265210392,-0.400458549808294)); #162793=CARTESIAN_POINT('Ctrl Pts',(1.6432819179556,1.6202701999888,-0.404184472268462)); #162794=CARTESIAN_POINT('Ctrl Pts',(1.64711022975254,1.55502032654737,-0.407034501029195)); #162795=CARTESIAN_POINT('Ctrl Pts',(1.65245621873506,1.44990594747685,-0.412136371312972)); #162796=CARTESIAN_POINT('Ctrl Pts',(1.65429321553882,1.41004552836363,-0.414190128496323)); #162797=CARTESIAN_POINT('Ctrl Pts',(1.65906389080726,1.29450740629045,-0.420524097777895)); #162798=CARTESIAN_POINT('Ctrl Pts',(1.66149901344785,1.21883514704536,-0.425151557704324)); #162799=CARTESIAN_POINT('Ctrl Pts',(1.66324017831474,1.14318956367658,-0.430320376870083)); #162800=CARTESIAN_POINT('Ctrl Pts',(1.5580663182592,2.38104862841188,-0.422689931231435)); #162801=CARTESIAN_POINT('Ctrl Pts',(1.55961995270669,2.36893359869717,-0.422886479663955)); #162802=CARTESIAN_POINT('Ctrl Pts',(1.56115564390712,2.35681680595336,-0.423083300685034)); #162803=CARTESIAN_POINT('Ctrl Pts',(1.56835003440006,2.29937669892432,-0.424018815023142)); #162804=CARTESIAN_POINT('Ctrl Pts',(1.57377226957721,2.25403237081518,-0.424774659106555)); #162805=CARTESIAN_POINT('Ctrl Pts',(1.58157891333314,2.18556997522704,-0.425962820248149)); #162806=CARTESIAN_POINT('Ctrl Pts',(1.58414639747164,2.16246760653044,-0.426375184354224)); #162807=CARTESIAN_POINT('Ctrl Pts',(1.59046061044664,2.10414961476417,-0.427449810205405)); #162808=CARTESIAN_POINT('Ctrl Pts',(1.59411910635813,2.06892836144838,-0.428132971806342)); #162809=CARTESIAN_POINT('Ctrl Pts',(1.5988082037081,2.0218046312958,-0.42910463803861)); #162810=CARTESIAN_POINT('Ctrl Pts',(1.5999739364611,2.00990998777108,-0.429355559660794)); #162811=CARTESIAN_POINT('Ctrl Pts',(1.60285831736939,1.98002743414431,-0.429999928844071)); #162812=CARTESIAN_POINT('Ctrl Pts',(1.60455462913655,1.96203831220149,-0.430400055770804)); #162813=CARTESIAN_POINT('Ctrl Pts',(1.60676683305394,1.93799701666107,-0.430956131703249)); #162814=CARTESIAN_POINT('Ctrl Pts',(1.60731946135521,1.93194612758937,-0.431096762454453)); #162815=CARTESIAN_POINT('Ctrl Pts',(1.60898398513864,1.91355699345292,-0.431531811641628)); #162816=CARTESIAN_POINT('Ctrl Pts',(1.61008156311091,1.90121812546273,-0.431831488162213)); #162817=CARTESIAN_POINT('Ctrl Pts',(1.61171048731167,1.88258757896169,-0.432294534169097)); #162818=CARTESIAN_POINT('Ctrl Pts',(1.61225556161031,1.87629650261338,-0.432452922499377)); #162819=CARTESIAN_POINT('Ctrl Pts',(1.61561808334794,1.83712862401264,-0.43345259867674)); #162820=CARTESIAN_POINT('Ctrl Pts',(1.61830464120972,1.80424756508343,-0.434350434114367)); #162821=CARTESIAN_POINT('Ctrl Pts',(1.62305538318279,1.74301864419921,-0.436144431904829)); #162822=CARTESIAN_POINT('Ctrl Pts',(1.62515340902092,1.71467195661227,-0.437025493630303)); #162823=CARTESIAN_POINT('Ctrl Pts',(1.63173619830039,1.62122437456256,-0.440113861321385)); #162824=CARTESIAN_POINT('Ctrl Pts',(1.63578420171195,1.55612392181107,-0.442570094573348)); #162825=CARTESIAN_POINT('Ctrl Pts',(1.64143961943683,1.4512593525186,-0.447112648253344)); #162826=CARTESIAN_POINT('Ctrl Pts',(1.64338254372844,1.41149596671696,-0.448973638570155)); #162827=CARTESIAN_POINT('Ctrl Pts',(1.64842908433273,1.2962453380518,-0.454804406133728)); #162828=CARTESIAN_POINT('Ctrl Pts',(1.65100432519219,1.22076946512243,-0.459174989195498)); #162829=CARTESIAN_POINT('Ctrl Pts',(1.65284719951766,1.14532754854373,-0.46416068536686)); #162830=CARTESIAN_POINT('Ctrl Pts',(1.52319622617979,2.37783981870965,-0.451727050420287)); #162831=CARTESIAN_POINT('Ctrl Pts',(1.52494251828141,2.36577710845043,-0.451912644119929)); #162832=CARTESIAN_POINT('Ctrl Pts',(1.52666856412751,2.35371225238203,-0.452097469635368)); #162833=CARTESIAN_POINT('Ctrl Pts',(1.53475550514026,2.29651658957916,-0.452971394675447)); #162834=CARTESIAN_POINT('Ctrl Pts',(1.54084420376472,2.25135985507129,-0.453665580714676)); #162835=CARTESIAN_POINT('Ctrl Pts',(1.54961990951385,2.18317440086171,-0.454744472732537)); #162836=CARTESIAN_POINT('Ctrl Pts',(1.55250478440944,2.16016431989835,-0.455117482168852)); #162837=CARTESIAN_POINT('Ctrl Pts',(1.55960041450363,2.10207674031288,-0.456087215388337)); #162838=CARTESIAN_POINT('Ctrl Pts',(1.56370979187884,2.06699224210493,-0.45670229485208)); #162839=CARTESIAN_POINT('Ctrl Pts',(1.56897905626058,2.02004882658188,-0.457577879316106)); #162840=CARTESIAN_POINT('Ctrl Pts',(1.57028858084665,2.00819945983778,-0.457804225757777)); #162841=CARTESIAN_POINT('Ctrl Pts',(1.57352893808966,1.97843011546094,-0.458385986205824)); #162842=CARTESIAN_POINT('Ctrl Pts',(1.5754351741167,1.9605086543955,-0.45874754319063)); #162843=CARTESIAN_POINT('Ctrl Pts',(1.57791972853241,1.93655716832636,-0.459251588028125)); #162844=CARTESIAN_POINT('Ctrl Pts',(1.57854121205311,1.93052883897243,-0.459378898331398)); #162845=CARTESIAN_POINT('Ctrl Pts',(1.58041111037633,1.91220809777126,-0.459773685533858)); #162846=CARTESIAN_POINT('Ctrl Pts',(1.58164362079554,1.89991491300943,-0.460046213987667)); #162847=CARTESIAN_POINT('Ctrl Pts',(1.58347370873448,1.88135305959534,-0.460467810736399)); #162848=CARTESIAN_POINT('Ctrl Pts',(1.58408607254081,1.87508512984829,-0.46061216484658)); #162849=CARTESIAN_POINT('Ctrl Pts',(1.58786324009915,1.83606105242687,-0.461524298046962)); #162850=CARTESIAN_POINT('Ctrl Pts',(1.59087963471036,1.80329940728428,-0.462347987673862)); #162851=CARTESIAN_POINT('Ctrl Pts',(1.59621596679076,1.74229086483735,-0.464003516918061)); #162852=CARTESIAN_POINT('Ctrl Pts',(1.59857203518731,1.7140454205399,-0.464820722672384)); #162853=CARTESIAN_POINT('Ctrl Pts',(1.60596555262599,1.62092944411832,-0.467700642287723)); #162854=CARTESIAN_POINT('Ctrl Pts',(1.61050614977073,1.55605669602371,-0.470017498829056)); #162855=CARTESIAN_POINT('Ctrl Pts',(1.61685397591907,1.45155613657439,-0.474352733577684)); #162856=CARTESIAN_POINT('Ctrl Pts',(1.61903353237707,1.41193014686247,-0.476139717591588)); #162857=CARTESIAN_POINT('Ctrl Pts',(1.62469397621869,1.29707688048507,-0.481772541391471)); #162858=CARTESIAN_POINT('Ctrl Pts',(1.62757745345662,1.22186025024649,-0.486035689987021)); #162859=CARTESIAN_POINT('Ctrl Pts',(1.62963785499611,1.14667846377123,-0.490942261527609)); #162860=CARTESIAN_POINT('Ctrl Pts',(1.50331538201613,2.37576147050421,-0.460856270082684)); #162861=CARTESIAN_POINT('Ctrl Pts',(1.50515557522522,2.3637288615612,-0.461080806723069)); #162862=CARTESIAN_POINT('Ctrl Pts',(1.50697472456173,2.35169385656887,-0.461303396297209)); #162863=CARTESIAN_POINT('Ctrl Pts',(1.51549967559816,2.29463854678321,-0.462350960838005)); #162864=CARTESIAN_POINT('Ctrl Pts',(1.52191968383255,2.2495889680084,-0.463165071730788)); #162865=CARTESIAN_POINT('Ctrl Pts',(1.53118211463985,2.18156116278245,-0.464405916236702)); #162866=CARTESIAN_POINT('Ctrl Pts',(1.5342273091782,2.15860346296365,-0.464829719155238)); #162867=CARTESIAN_POINT('Ctrl Pts',(1.54171960431376,2.10064634930926,-0.465919467380045)); #162868=CARTESIAN_POINT('Ctrl Pts',(1.54605970568698,2.06563894100345,-0.466599025089454)); #162869=CARTESIAN_POINT('Ctrl Pts',(1.5516278130309,2.01879678932677,-0.467552208302362)); #162870=CARTESIAN_POINT('Ctrl Pts',(1.55301157961035,2.00697280388357,-0.467797301920482)); #162871=CARTESIAN_POINT('Ctrl Pts',(1.55643613447201,1.9772668339186,-0.468424361459824)); #162872=CARTESIAN_POINT('Ctrl Pts',(1.55845137012896,1.95938317441622,-0.468811579968214)); #162873=CARTESIAN_POINT('Ctrl Pts',(1.56107776928256,1.93548172152908,-0.469347663280411)); #162874=CARTESIAN_POINT('Ctrl Pts',(1.56173515385624,1.92946596606084,-0.469482963327276)); #162875=CARTESIAN_POINT('Ctrl Pts',(1.56371223747649,1.91118327552294,-0.469901255214194)); #162876=CARTESIAN_POINT('Ctrl Pts',(1.56501532477141,1.89891545646626,-0.470188788982804)); #162877=CARTESIAN_POINT('Ctrl Pts',(1.56695083374842,1.88039169976538,-0.470632128944196)); #162878=CARTESIAN_POINT('Ctrl Pts',(1.56759850360711,1.87413659579969,-0.470783649847277)); #162879=CARTESIAN_POINT('Ctrl Pts',(1.57159338901933,1.8351921227771,-0.471739262171714)); #162880=CARTESIAN_POINT('Ctrl Pts',(1.57478397079872,1.80249625862826,-0.472594690693159)); #162881=CARTESIAN_POINT('Ctrl Pts',(1.58043079681275,1.74160860226803,-0.474302190637087)); #162882=CARTESIAN_POINT('Ctrl Pts',(1.58292430452827,1.71341845876181,-0.475140502149547)); #162883=CARTESIAN_POINT('Ctrl Pts',(1.59075079410934,1.62048288722245,-0.478081702301888)); #162884=CARTESIAN_POINT('Ctrl Pts',(1.59555686362803,1.55573271209159,-0.480427737619261)); #162885=CARTESIAN_POINT('Ctrl Pts',(1.60227943762965,1.45142627198132,-0.484796649957667)); #162886=CARTESIAN_POINT('Ctrl Pts',(1.6045874743556,1.41187308339345,-0.486593136285187)); #162887=CARTESIAN_POINT('Ctrl Pts',(1.61058183920221,1.29722906866912,-0.492246769086417)); #162888=CARTESIAN_POINT('Ctrl Pts',(1.61363362727324,1.2221472732871,-0.496515187285196)); #162889=CARTESIAN_POINT('Ctrl Pts',(1.61581257797753,1.1470991970774,-0.501423355396272)); #162890=CARTESIAN_POINT('Ctrl Pts',(1.66478355530763,1.14201458296242,-0.413074912607896)); #162891=CARTESIAN_POINT('Ctrl Pts',(1.66305535042242,1.21774009520815,-0.407810970239274)); #162892=CARTESIAN_POINT('Ctrl Pts',(1.66063539044142,1.29348750530606,-0.403050019056547)); #162893=CARTESIAN_POINT('Ctrl Pts',(1.65589170988828,1.40913099831351,-0.396454141847305)); #162894=CARTESIAN_POINT('Ctrl Pts',(1.65406467652702,1.4490261277115,-0.394300011448582)); #162895=CARTESIAN_POINT('Ctrl Pts',(1.64874687227356,1.55422709984763,-0.388906656267997)); #162896=CARTESIAN_POINT('Ctrl Pts',(1.64493772883421,1.61952605924811,-0.385851236518426)); #162897=CARTESIAN_POINT('Ctrl Pts',(1.63874831209582,1.71324048946182,-0.381792463678629)); #162898=CARTESIAN_POINT('Ctrl Pts',(1.63677546567786,1.7416659130933,-0.380604209489609)); #162899=CARTESIAN_POINT('Ctrl Pts',(1.6323092060602,1.80305970976838,-0.378117871938945)); #162900=CARTESIAN_POINT('Ctrl Pts',(1.62978303629866,1.83602634050366,-0.376832679589245)); #162901=CARTESIAN_POINT('Ctrl Pts',(1.62662267464714,1.87529198858742,-0.375350078153623)); #162902=CARTESIAN_POINT('Ctrl Pts',(1.62611041622591,1.88159863502762,-0.375113425361359)); #162903=CARTESIAN_POINT('Ctrl Pts',(1.62457965448467,1.9002749223557,-0.374416778846499)); #162904=CARTESIAN_POINT('Ctrl Pts',(1.62354797390139,1.91264370016431,-0.3739608323504)); #162905=CARTESIAN_POINT('Ctrl Pts',(1.62198386632897,1.93107659363415,-0.373288673232337)); #162906=CARTESIAN_POINT('Ctrl Pts',(1.62146492419104,1.93714166959252,-0.373068682388038)); #162907=CARTESIAN_POINT('Ctrl Pts',(1.61938602220302,1.96123933938757,-0.372199057154727)); #162908=CARTESIAN_POINT('Ctrl Pts',(1.61779262705164,1.9792694609297,-0.371558301468038)); #162909=CARTESIAN_POINT('Ctrl Pts',(1.6150831026088,2.00921849794913,-0.370507678072537)); #162910=CARTESIAN_POINT('Ctrl Pts',(1.61398808786981,2.02113915497826,-0.370093123019434)); #162911=CARTESIAN_POINT('Ctrl Pts',(1.60958473254327,2.06836380624737,-0.368463230884195)); #162912=CARTESIAN_POINT('Ctrl Pts',(1.60614915458654,2.10365660851758,-0.367272719182333)); #162913=CARTESIAN_POINT('Ctrl Pts',(1.60022333541894,2.16208530531303,-0.365326916478087)); #162914=CARTESIAN_POINT('Ctrl Pts',(1.59781411099941,2.18522968647848,-0.364562690244352)); #162915=CARTESIAN_POINT('Ctrl Pts',(1.5904925606786,2.25381009135848,-0.362306747471078)); #162916=CARTESIAN_POINT('Ctrl Pts',(1.58540620947968,2.29922595080554,-0.360824355432289)); #162917=CARTESIAN_POINT('Ctrl Pts',(1.57866590564713,2.35674489788953,-0.358927700456319)); #162918=CARTESIAN_POINT('Ctrl Pts',(1.57722728523886,2.36887774304931,-0.358526499900082)); #162919=CARTESIAN_POINT('Ctrl Pts',(1.5757721458986,2.38100820444585,-0.358123759647179)); #162920=CARTESIAN_POINT('Ctrl Pts',(1.40302714342533,0.647993343079401, -0.534368069109296)); #162921=CARTESIAN_POINT('Ctrl Pts',(1.33768764570314,0.604337314480686, -0.54489469771366)); #162922=CARTESIAN_POINT('Ctrl Pts',(1.26287092886438,0.573942740196937, -0.555114549532824)); #162923=CARTESIAN_POINT('Ctrl Pts',(1.18512359074624,0.559735778249629, -0.563855992984356)); #162924=CARTESIAN_POINT('Ctrl Pts',(1.40621367563516,0.653831781472479, -0.568829855035613)); #162925=CARTESIAN_POINT('Ctrl Pts',(1.34160186063052,0.609738833096746, -0.579997112813697)); #162926=CARTESIAN_POINT('Ctrl Pts',(1.26729993446479,0.578721856038779, -0.590840310036896)); #162927=CARTESIAN_POINT('Ctrl Pts',(1.18959097539043,0.563830630727464, -0.600150013543973)); #162928=CARTESIAN_POINT('Ctrl Pts',(1.39629806967641,0.686507626806576, -0.626254358275609)); #162929=CARTESIAN_POINT('Ctrl Pts',(1.33548699017662,0.64469863753674,-0.638375707497998)); #162930=CARTESIAN_POINT('Ctrl Pts',(1.26523107593706,0.614961442860524, -0.650241371051736)); #162931=CARTESIAN_POINT('Ctrl Pts',(1.19161668224901,0.60057590780661,-0.66039582531342)); #162932=CARTESIAN_POINT('Ctrl Pts',(1.36525279271181,0.739541602640084, -0.646475266494062)); #162933=CARTESIAN_POINT('Ctrl Pts',(1.3105592512439,0.703172752222645,-0.657777419822268)); #162934=CARTESIAN_POINT('Ctrl Pts',(1.24778473590611,0.677716628542997, -0.66877726495269)); #162935=CARTESIAN_POINT('Ctrl Pts',(1.1824404829505,0.665752271335756,-0.678205802237412)); #162936=CARTESIAN_POINT('Ctrl Pts',(1.35072994010826,0.761495212489384, -0.646686900918566)); #162937=CARTESIAN_POINT('Ctrl Pts',(1.29865151550834,0.727412886825169, -0.657315163486873)); #162938=CARTESIAN_POINT('Ctrl Pts',(1.23911217695329,0.70377908019787,-0.667644830707855)); #162939=CARTESIAN_POINT('Ctrl Pts',(1.17732368311133,0.692816872733026, -0.676491108266698)); #162940=CARTESIAN_POINT('Ctrl Pts',(1.18512359074624,0.559735778249629, -0.563855992984356)); #162941=CARTESIAN_POINT('Ctrl Pts',(1.26287092886438,0.573942740196937, -0.555114549532824)); #162942=CARTESIAN_POINT('Ctrl Pts',(1.33768764570314,0.604337314480686, -0.54489469771366)); #162943=CARTESIAN_POINT('Ctrl Pts',(1.40302714342533,0.647993343079401, -0.534368069109296)); #162944=CARTESIAN_POINT('Ctrl Pts',(-1.18512359074623,0.559735778249628, -0.563855992984356)); #162945=CARTESIAN_POINT('Ctrl Pts',(-1.26287086724898,0.573942728937802, -0.555114556460489)); #162946=CARTESIAN_POINT('Ctrl Pts',(-1.33768769748524,0.604337349078452, -0.544894689371218)); #162947=CARTESIAN_POINT('Ctrl Pts',(-1.40302714342534,0.647993343079409, -0.534368069109293)); #162948=CARTESIAN_POINT('Ctrl Pts',(-1.18959097539043,0.563830630727463, -0.600150013543973)); #162949=CARTESIAN_POINT('Ctrl Pts',(-1.2672998728798,0.57872184423736,-0.590840317414911)); #162950=CARTESIAN_POINT('Ctrl Pts',(-1.34160191183592,0.609738868040774, -0.579997103963552)); #162951=CARTESIAN_POINT('Ctrl Pts',(-1.40621367563518,0.653831781472487, -0.568829855035611)); #162952=CARTESIAN_POINT('Ctrl Pts',(-1.19161668224901,0.600575907806609, -0.66039582531342)); #162953=CARTESIAN_POINT('Ctrl Pts',(-1.26523101759704,0.614961431459868, -0.650241379099224)); #162954=CARTESIAN_POINT('Ctrl Pts',(-1.33548703836991,0.644698670670711, -0.638375697891727)); #162955=CARTESIAN_POINT('Ctrl Pts',(-1.39629806967642,0.686507626806583, -0.626254358275606)); #162956=CARTESIAN_POINT('Ctrl Pts',(-1.18244048295049,0.665752271335755, -0.678205802237412)); #162957=CARTESIAN_POINT('Ctrl Pts',(-1.24778468412025,0.677716619061144, -0.668777272424884)); #162958=CARTESIAN_POINT('Ctrl Pts',(-1.31055929458899,0.703172781045261, -0.657777410865217)); #162959=CARTESIAN_POINT('Ctrl Pts',(-1.36525279271182,0.73954160264009, -0.646475266494059)); #162960=CARTESIAN_POINT('Ctrl Pts',(-1.17732368311132,0.692816872733025, -0.676491108266698)); #162961=CARTESIAN_POINT('Ctrl Pts',(-1.2391121279854,0.703779071510229, -0.667644837718603)); #162962=CARTESIAN_POINT('Ctrl Pts',(-1.29865155678092,0.727412913835695, -0.657315155063886)); #162963=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,0.761495212489389, -0.646686900918564)); #162964=CARTESIAN_POINT('Ctrl Pts',(-1.66478355530763,1.14201458296237, -0.413074912607901)); #162965=CARTESIAN_POINT('Ctrl Pts',(-1.66305548705143,1.21773410847471, -0.40781138639783)); #162966=CARTESIAN_POINT('Ctrl Pts',(-1.66063556905009,1.29348330654334, -0.403050253959524)); #162967=CARTESIAN_POINT('Ctrl Pts',(-1.65589177297402,1.40912944908779, -0.396454230814224)); #162968=CARTESIAN_POINT('Ctrl Pts',(-1.65406476796384,1.44902432830945, -0.394300103149219)); #162969=CARTESIAN_POINT('Ctrl Pts',(-1.64874672518102,1.55423013077554, -0.388906498402744)); #162970=CARTESIAN_POINT('Ctrl Pts',(-1.64493715065498,1.6195350279055,-0.385850841563348)); #162971=CARTESIAN_POINT('Ctrl Pts',(-1.63874816942608,1.71324263067618, -0.381792371609348)); #162972=CARTESIAN_POINT('Ctrl Pts',(-1.63677530001331,1.74166823359267, -0.380604114227987)); #162973=CARTESIAN_POINT('Ctrl Pts',(-1.63230897816786,1.80306283016651, -0.378117745895241)); #162974=CARTESIAN_POINT('Ctrl Pts',(-1.62978292334588,1.83602774387665, -0.376832626606325)); #162975=CARTESIAN_POINT('Ctrl Pts',(-1.62662267461382,1.87529199531602, -0.375350077684919)); #162976=CARTESIAN_POINT('Ctrl Pts',(-1.62611041496708,1.88159865629528, -0.375113424370089)); #162977=CARTESIAN_POINT('Ctrl Pts',(-1.62457965746143,1.90027489175351, -0.374416779794715)); #162978=CARTESIAN_POINT('Ctrl Pts',(-1.62354797725949,1.91264366638266, -0.373960833389515)); #162979=CARTESIAN_POINT('Ctrl Pts',(-1.62198386595739,1.93107660348913, -0.373288672690445)); #162980=CARTESIAN_POINT('Ctrl Pts',(-1.62146492387279,1.93714167904647, -0.373068681856354)); #162981=CARTESIAN_POINT('Ctrl Pts',(-1.61938603377023,1.96123921060294, -0.37219906162494)); #162982=CARTESIAN_POINT('Ctrl Pts',(-1.61779264021725,1.97926932061677, -0.371558306219669)); #162983=CARTESIAN_POINT('Ctrl Pts',(-1.61508310826598,2.00921844011899, -0.370507679945776)); #162984=CARTESIAN_POINT('Ctrl Pts',(-1.61398809398798,2.02113909438773, -0.370093124952976)); #162985=CARTESIAN_POINT('Ctrl Pts',(-1.60958480278098,2.06836305669354, -0.368463256601894)); #162986=CARTESIAN_POINT('Ctrl Pts',(-1.6061492372366,2.10365579646841,-0.367272746113993)); #162987=CARTESIAN_POINT('Ctrl Pts',(-1.60022337496039,2.16208491776382, -0.365326929303154)); #162988=CARTESIAN_POINT('Ctrl Pts',(-1.59781415440441,2.1852292822949,-0.364562703486039)); #162989=CARTESIAN_POINT('Ctrl Pts',(-1.59049274871243,2.25380833073794, -0.36230680525208)); #162990=CARTESIAN_POINT('Ctrl Pts',(-1.58540642990733,2.29922406942091, -0.360824417369674)); #162991=CARTESIAN_POINT('Ctrl Pts',(-1.57866592269309,2.35674475260401, -0.35892770525759)); #162992=CARTESIAN_POINT('Ctrl Pts',(-1.57722730295429,2.36887759536831, -0.3585265048032)); #162993=CARTESIAN_POINT('Ctrl Pts',(-1.57577214589861,2.3810082044458,-0.358123759647181)); #162994=CARTESIAN_POINT('Ctrl Pts',(-1.66324017831474,1.14318956367653, -0.430320376870087)); #162995=CARTESIAN_POINT('Ctrl Pts',(-1.66149915091806,1.21882916551239, -0.425151967619295)); #162996=CARTESIAN_POINT('Ctrl Pts',(-1.6590640704281,1.29450321143463,-0.420524322738885)); #162997=CARTESIAN_POINT('Ctrl Pts',(-1.65429327896197,1.41004398046374, -0.414190214237482)); #162998=CARTESIAN_POINT('Ctrl Pts',(-1.65245631066487,1.44990414969702, -0.412136457927561)); #162999=CARTESIAN_POINT('Ctrl Pts',(-1.64711008181402,1.55502335457346, -0.407034351831007)); #163000=CARTESIAN_POINT('Ctrl Pts',(-1.64328133683932,1.62027916220183, -0.404184109091582)); #163001=CARTESIAN_POINT('Ctrl Pts',(-1.63706172356502,1.71392479217111, -0.400458464855925)); #163002=CARTESIAN_POINT('Ctrl Pts',(-1.63507920049353,1.74233265052029, -0.399375637996724)); #163003=CARTESIAN_POINT('Ctrl Pts',(-1.63059116247625,1.80369141085686, -0.397126922100364)); #163004=CARTESIAN_POINT('Ctrl Pts',(-1.62805298218737,1.8366385335881,-0.395974827888104)); #163005=CARTESIAN_POINT('Ctrl Pts',(-1.62487758232021,1.87588362289175, -0.394658175285943)); #163006=CARTESIAN_POINT('Ctrl Pts',(-1.62436286536183,1.88218727138299, -0.394448414716243)); #163007=CARTESIAN_POINT('Ctrl Pts',(-1.62282477030617,1.90085476675416, -0.39383203750964)); #163008=CARTESIAN_POINT('Ctrl Pts',(-1.62178817849158,1.91321795030831, -0.393429797730567)); #163009=CARTESIAN_POINT('Ctrl Pts',(-1.6202166010941,1.93164295275157,-0.392839138212667)); #163010=CARTESIAN_POINT('Ctrl Pts',(-1.61969515565415,1.93770551533963, -0.392646428611986)); #163011=CARTESIAN_POINT('Ctrl Pts',(-1.61760637880919,1.96179309791359, -0.391884602540757)); #163012=CARTESIAN_POINT('Ctrl Pts',(-1.61600537489401,1.97981634234598, -0.391326593962444)); #163013=CARTESIAN_POINT('Ctrl Pts',(-1.6132829521309,2.00975488823001,-0.390415706278816)); #163014=CARTESIAN_POINT('Ctrl Pts',(-1.61218273437991,2.02167156160277, -0.390057446689656)); #163015=CARTESIAN_POINT('Ctrl Pts',(-1.60775844679304,2.06888081638876, -0.388654063715099)); #163016=CARTESIAN_POINT('Ctrl Pts',(-1.60430658124614,2.10416455402762, -0.387638220791136)); #163017=CARTESIAN_POINT('Ctrl Pts',(-1.59835239133632,2.16258272477865, -0.38599250859943)); #163018=CARTESIAN_POINT('Ctrl Pts',(-1.59593164961327,2.18572370393907, -0.385349539999613)); #163019=CARTESIAN_POINT('Ctrl Pts',(-1.58857495413659,2.25429603719602, -0.383461752808146)); #163020=CARTESIAN_POINT('Ctrl Pts',(-1.58346426285182,2.29971084989922, -0.382230035342002)); #163021=CARTESIAN_POINT('Ctrl Pts',(-1.57669075612382,2.35723643222387, -0.380665259571521)); #163022=CARTESIAN_POINT('Ctrl Pts',(-1.57524507965717,2.36937061452614, -0.380334653432718)); #163023=CARTESIAN_POINT('Ctrl Pts',(-1.57378276006991,2.38150288714005, -0.380003151334873)); #163024=CARTESIAN_POINT('Ctrl Pts',(-1.65284719951766,1.14532754854368, -0.464160685366862)); #163025=CARTESIAN_POINT('Ctrl Pts',(-1.65100447205562,1.22076350143698, -0.459175384455382)); #163026=CARTESIAN_POINT('Ctrl Pts',(-1.64842927424811,1.29624115340681, -0.454804612213523)); #163027=CARTESIAN_POINT('Ctrl Pts',(-1.64338261109018,1.4114944228267,-0.448973717597015)); #163028=CARTESIAN_POINT('Ctrl Pts',(-1.64143971659461,1.45125755884434, -0.447112725353893)); #163029=CARTESIAN_POINT('Ctrl Pts',(-1.63578404589406,1.5561269430665,-0.442569960717087)); #163030=CARTESIAN_POINT('Ctrl Pts',(-1.63173558406262,1.62123331752638, -0.440113557570629)); #163031=CARTESIAN_POINT('Ctrl Pts',(-1.62515325676848,1.71467409159772, -0.437025423490443)); #163032=CARTESIAN_POINT('Ctrl Pts',(-1.62305520676454,1.74302095832837, -0.436144362111168)); #163033=CARTESIAN_POINT('Ctrl Pts',(-1.61830439912343,1.80425067720601, -0.43435034371124)); #163034=CARTESIAN_POINT('Ctrl Pts',(-1.61561796385905,1.83713002408957, -0.433452563617996)); #163035=CARTESIAN_POINT('Ctrl Pts',(-1.6122555612632,1.87629650921963,-0.432452921724636)); #163036=CARTESIAN_POINT('Ctrl Pts',(-1.61171048595693,1.88258760015873, -0.432294533353174)); #163037=CARTESIAN_POINT('Ctrl Pts',(-1.6100815660849,1.90121809486536,-0.431831488461925)); #163038=CARTESIAN_POINT('Ctrl Pts',(-1.60898398867514,1.91355695972677, -0.431531812136851)); #163039=CARTESIAN_POINT('Ctrl Pts',(-1.60731946085348,1.93194613737641, -0.43109676186195)); #163040=CARTESIAN_POINT('Ctrl Pts',(-1.60676683263544,1.93799702605533, -0.430956131141063)); #163041=CARTESIAN_POINT('Ctrl Pts',(-1.60455464135963,1.96203818368042, -0.430400058412362)); #163042=CARTESIAN_POINT('Ctrl Pts',(-1.60285833131927,1.98002729410973, -0.42999993155497)); #163043=CARTESIAN_POINT('Ctrl Pts',(-1.59997394242189,2.00990993003992, -0.429355560629819)); #163044=CARTESIAN_POINT('Ctrl Pts',(-1.59880821016206,2.02180457080243, -0.429104638995)); #163045=CARTESIAN_POINT('Ctrl Pts',(-1.59411918110919,2.06892761347848, -0.428132987001573)); #163046=CARTESIAN_POINT('Ctrl Pts',(-1.59046069847766,2.10414880423653, -0.427449824958756)); #163047=CARTESIAN_POINT('Ctrl Pts',(-1.58414643958105,2.1624672197039,-0.42637519135401)); #163048=CARTESIAN_POINT('Ctrl Pts',(-1.58157895958972,2.18556957172041, -0.425962827121516)); #163049=CARTESIAN_POINT('Ctrl Pts',(-1.57377247004525,2.25403061323554, -0.424774689523632)); #163050=CARTESIAN_POINT('Ctrl Pts',(-1.5683502696821,2.29937482014548,-0.424018845633487)); #163051=CARTESIAN_POINT('Ctrl Pts',(-1.56115566209541,2.35681666086032, -0.423083303031831)); #163052=CARTESIAN_POINT('Ctrl Pts',(-1.55961997163021,2.3689334512084,-0.422886482073579)); #163053=CARTESIAN_POINT('Ctrl Pts',(-1.5580663182592,2.38104862841183,-0.422689931231434)); #163054=CARTESIAN_POINT('Ctrl Pts',(-1.62963785499611,1.14667846377118, -0.490942261527611)); #163055=CARTESIAN_POINT('Ctrl Pts',(-1.62757761795033,1.22185430839999, -0.4860360779659)); #163056=CARTESIAN_POINT('Ctrl Pts',(-1.62469418922494,1.29707271012507, -0.481772740108188)); #163057=CARTESIAN_POINT('Ctrl Pts',(-1.61903360797786,1.41192860840617, -0.476139793816122)); #163058=CARTESIAN_POINT('Ctrl Pts',(-1.61685408491275,1.45155434897237, -0.474352807178054)); #163059=CARTESIAN_POINT('Ctrl Pts',(-1.61050597510117,1.55605970721611, -0.470017370410902)); #163060=CARTESIAN_POINT('Ctrl Pts',(-1.6059648630092,1.62093835583712,-0.467700357768864)); #163061=CARTESIAN_POINT('Ctrl Pts',(-1.59857186407878,1.71404754764647, -0.464820657765534)); #163062=CARTESIAN_POINT('Ctrl Pts',(-1.59621576861801,1.74229317067904, -0.464003452492355)); #163063=CARTESIAN_POINT('Ctrl Pts',(-1.59087936285188,1.80330250815601, -0.462347904090207)); #163064=CARTESIAN_POINT('Ctrl Pts',(-1.58786310601165,1.836062447495,-0.461524265596849)); #163065=CARTESIAN_POINT('Ctrl Pts',(-1.58408607210173,1.87508513636319, -0.460612164325802)); #163066=CARTESIAN_POINT('Ctrl Pts',(-1.58347370721564,1.88135308070821, -0.460467809980863)); #163067=CARTESIAN_POINT('Ctrl Pts',(-1.5816436241084,1.89991488248244,-0.460046214368815)); #163068=CARTESIAN_POINT('Ctrl Pts',(-1.58041111434639,1.91220806416114, -0.459773685985798)); #163069=CARTESIAN_POINT('Ctrl Pts',(-1.57854121147603,1.93052884869976, -0.459378897843939)); #163070=CARTESIAN_POINT('Ctrl Pts',(-1.57791972805257,1.93655717766811, -0.45925158755007)); #163071=CARTESIAN_POINT('Ctrl Pts',(-1.57543518783365,1.96050852633565, -0.458747545622095)); #163072=CARTESIAN_POINT('Ctrl Pts',(-1.57352895375452,1.97842997594418, -0.458385988677126)); #163073=CARTESIAN_POINT('Ctrl Pts',(-1.57028858753405,2.00819940231222, -0.457804226655676)); #163074=CARTESIAN_POINT('Ctrl Pts',(-1.56897906350631,2.02004876630969, -0.457577880201002)); #163075=CARTESIAN_POINT('Ctrl Pts',(-1.56370987586648,2.06699149698349, -0.456702308553776)); #163076=CARTESIAN_POINT('Ctrl Pts',(-1.5596005134201,2.10207593298188,-0.456087228711484)); #163077=CARTESIAN_POINT('Ctrl Pts',(-1.55250483172288,2.1601639345953,-0.455117488491314)); #163078=CARTESIAN_POINT('Ctrl Pts',(-1.54961996151472,2.18317399898739, -0.454744478989682)); #163079=CARTESIAN_POINT('Ctrl Pts',(-1.54084442907614,2.25135810458926, -0.453665608314408)); #163080=CARTESIAN_POINT('Ctrl Pts',(-1.53475576957384,2.29651471878053, -0.452971423237297)); #163081=CARTESIAN_POINT('Ctrl Pts',(-1.52666858457684,2.3537121079096,-0.452097471835524)); #163082=CARTESIAN_POINT('Ctrl Pts',(-1.52494253955269,2.36577696159641, -0.451912646389821)); #163083=CARTESIAN_POINT('Ctrl Pts',(-1.5231962261798,2.3778398187096,-0.451727050420286)); #163084=CARTESIAN_POINT('Ctrl Pts',(-1.61581257797753,1.14709919707735, -0.501423355396274)); #163085=CARTESIAN_POINT('Ctrl Pts',(-1.61363379953748,1.2221413401106,-0.496515575316826)); #163086=CARTESIAN_POINT('Ctrl Pts',(-1.61058206487908,1.2972249060869,-0.492246968545642)); #163087=CARTESIAN_POINT('Ctrl Pts',(-1.60458755407252,1.41187154757188, -0.486593212795039)); #163088=CARTESIAN_POINT('Ctrl Pts',(-1.60227955314148,1.4514244878712,-0.484796724038924)); #163089=CARTESIAN_POINT('Ctrl Pts',(-1.59555667786862,1.55573571717657, -0.480427608463442)); #163090=CARTESIAN_POINT('Ctrl Pts',(-1.59075006323758,1.62049178117086, -0.478081412357277)); #163091=CARTESIAN_POINT('Ctrl Pts',(-1.58292412413455,1.713420582196,-0.475140435790197)); #163092=CARTESIAN_POINT('Ctrl Pts',(-1.58043058739242,1.74161090360391, -0.474302124437836)); #163093=CARTESIAN_POINT('Ctrl Pts',(-1.57478368272775,1.80249935330581, -0.472594604285871)); #163094=CARTESIAN_POINT('Ctrl Pts',(-1.57159324628952,1.83519351466856, -0.471739227994078)); #163095=CARTESIAN_POINT('Ctrl Pts',(-1.56759850357306,1.87413660246766, -0.470783649405183)); #163096=CARTESIAN_POINT('Ctrl Pts',(-1.56695083217031,1.88039172085382, -0.470632128178698)); #163097=CARTESIAN_POINT('Ctrl Pts',(-1.56501532854527,1.89891542610879, -0.470188789456788)); #163098=CARTESIAN_POINT('Ctrl Pts',(-1.56371224172932,1.91118324201149, -0.469901255730318)); #163099=CARTESIAN_POINT('Ctrl Pts',(-1.56173515339703,1.92946597583055, -0.469482962864791)); #163100=CARTESIAN_POINT('Ctrl Pts',(-1.56107776888895,1.935481730901,-0.469347662822467)); #163101=CARTESIAN_POINT('Ctrl Pts',(-1.55845138475086,1.95938304667557, -0.468811582602473)); #163102=CARTESIAN_POINT('Ctrl Pts',(-1.5564361511219,1.97726669473981,-0.4684243641745)); #163103=CARTESIAN_POINT('Ctrl Pts',(-1.55301158676344,2.0069727465189,-0.467797302931419)); #163104=CARTESIAN_POINT('Ctrl Pts',(-1.55162782077522,2.01879672922281, -0.46755220931988)); #163105=CARTESIAN_POINT('Ctrl Pts',(-1.5460597944931,2.06563819751752,-0.466599040029974)); #163106=CARTESIAN_POINT('Ctrl Pts',(-1.54171970880525,2.10064554381195, -0.465919482387721)); #163107=CARTESIAN_POINT('Ctrl Pts',(-1.53422735916446,2.15860307854071, -0.464829726283524)); #163108=CARTESIAN_POINT('Ctrl Pts',(-1.53118216956597,2.18156076185376, -0.464405923474225)); #163109=CARTESIAN_POINT('Ctrl Pts',(-1.52191992162344,2.24958722157488, -0.46316510345755)); #163110=CARTESIAN_POINT('Ctrl Pts',(-1.51549995429852,2.29463668056507, -0.462350995019079)); #163111=CARTESIAN_POINT('Ctrl Pts',(-1.50697474613358,2.35169371245417, -0.461303398950448)); #163112=CARTESIAN_POINT('Ctrl Pts',(-1.50515559762846,2.36372871507154, -0.461080809456663)); #163113=CARTESIAN_POINT('Ctrl Pts',(-1.50331538201614,2.37576147050416, -0.460856270082684)); #163114=CARTESIAN_POINT('Origin',(-1.45217748134221,2.36582039803405,-0.347229291803726)); #163115=CARTESIAN_POINT('Ctrl Pts',(-1.5757721458986,2.38100820444581,-0.358123759647183)); #163116=CARTESIAN_POINT('Ctrl Pts',(-1.57451797654564,2.39141199186944, -0.35784820062897)); #163117=CARTESIAN_POINT('Ctrl Pts',(-1.57297784161963,2.40179575977803, -0.357672636220705)); #163118=CARTESIAN_POINT('Ctrl Pts',(-1.56623022003807,2.44004564348127, -0.357368121148332)); #163119=CARTESIAN_POINT('Ctrl Pts',(-1.55925888028156,2.46754376788013, -0.357834846488854)); #163120=CARTESIAN_POINT('Ctrl Pts',(-1.54699784614106,2.50438837646976, -0.35910596141007)); #163121=CARTESIAN_POINT('Ctrl Pts',(-1.54340723640239,2.51427686228507, -0.359511971184725)); #163122=CARTESIAN_POINT('Ctrl Pts',(-1.53294627910477,2.54083122855609, -0.360741837041642)); #163123=CARTESIAN_POINT('Ctrl Pts',(-1.52556318230411,2.5572932211315,-0.361657477193576)); #163124=CARTESIAN_POINT('Ctrl Pts',(-1.51386307905661,2.5804826439428,-0.363056986217983)); #163125=CARTESIAN_POINT('Ctrl Pts',(-1.51011849227609,2.58754396572937, -0.363498217180106)); #163126=CARTESIAN_POINT('Ctrl Pts',(-1.50082711766286,2.60423815602543, -0.364560715962945)); #163127=CARTESIAN_POINT('Ctrl Pts',(-1.4951494893105,2.61379721810534,-0.365184971765106)); #163128=CARTESIAN_POINT('Ctrl Pts',(-1.48733166722126,2.6261562930215,-0.365987212963758)); #163129=CARTESIAN_POINT('Ctrl Pts',(-1.48543385377298,2.62909878554448, -0.366177803163342)); #163130=CARTESIAN_POINT('Ctrl Pts',(-1.48071732222586,2.63627207631156, -0.366639973401223)); #163131=CARTESIAN_POINT('Ctrl Pts',(-1.47786967606057,2.64048473490883, -0.366909254318198)); #163132=CARTESIAN_POINT('Ctrl Pts',(-1.47402518960658,2.6460185756263,-0.367257541343408)); #163133=CARTESIAN_POINT('Ctrl Pts',(-1.47307636514693,2.64737232309868, -0.367342304506494)); #163134=CARTESIAN_POINT('Ctrl Pts',(-1.47024816350952,2.65137213013927, -0.36759127861638)); #163135=CARTESIAN_POINT('Ctrl Pts',(-1.46835274148149,2.65400702295874, -0.367753331862888)); #163136=CARTESIAN_POINT('Ctrl Pts',(-1.46549075182141,2.65791817692245, -0.367990220250118)); #163137=CARTESIAN_POINT('Ctrl Pts',(-1.46454028243139,2.65920621737088, -0.368067638294018)); #163138=CARTESIAN_POINT('Ctrl Pts',(-1.46073507667022,2.66431993977123, -0.368372313624726)); #163139=CARTESIAN_POINT('Ctrl Pts',(-1.45783988565125,2.6681152819093,-0.368592546488956)); #163140=CARTESIAN_POINT('Ctrl Pts',(-1.45295785355121,2.67435967769669, -0.368942352719726)); #163141=CARTESIAN_POINT('Ctrl Pts',(-1.45099593086886,2.67682881296749, -0.369077315482859)); #163142=CARTESIAN_POINT('Ctrl Pts',(-1.44508668659137,2.68414708843479, -0.369465972571407)); #163143=CARTESIAN_POINT('Ctrl Pts',(-1.44108387121858,2.68894934327531, -0.369705977313597)); #163144=CARTESIAN_POINT('Ctrl Pts',(-1.43298087086277,2.69836989309171, -0.370139448719528)); #163145=CARTESIAN_POINT('Ctrl Pts',(-1.42888225101081,2.70298962789289, -0.370333380435749)); #163146=CARTESIAN_POINT('Ctrl Pts',(-1.42471734967105,2.7075422317843,-0.370502309406868)); #163147=CARTESIAN_POINT('Ctrl Pts',(-1.57349856209437,2.38157355609639, -0.383128778718831)); #163148=CARTESIAN_POINT('Ctrl Pts',(-1.57225460795762,2.39173689227278, -0.382902389154212)); #163149=CARTESIAN_POINT('Ctrl Pts',(-1.57073474530517,2.40188590825223, -0.382790832156678)); #163150=CARTESIAN_POINT('Ctrl Pts',(-1.5640926818741,2.43929592718023,-0.382778827304172)); #163151=CARTESIAN_POINT('Ctrl Pts',(-1.55726275014172,2.46624251178198, -0.383561151823268)); #163152=CARTESIAN_POINT('Ctrl Pts',(-1.54522902075733,2.50247043415545, -0.385391451676678)); #163153=CARTESIAN_POINT('Ctrl Pts',(-1.54170303848784,2.51220549542824, -0.385960665488096)); #163154=CARTESIAN_POINT('Ctrl Pts',(-1.53141837271753,2.53838666663159, -0.387665348279887)); #163155=CARTESIAN_POINT('Ctrl Pts',(-1.5241494335874,2.55465659597452,-0.388908477000668)); #163156=CARTESIAN_POINT('Ctrl Pts',(-1.51259198699291,2.57764554704213, -0.390822248736175)); #163157=CARTESIAN_POINT('Ctrl Pts',(-1.50888863374706,2.5846548313971,-0.3914258645733)); #163158=CARTESIAN_POINT('Ctrl Pts',(-1.49968541625957,2.60124956163086, -0.392887416389634)); #163159=CARTESIAN_POINT('Ctrl Pts',(-1.49405158117466,2.61076947518097, -0.393749821986176)); #163160=CARTESIAN_POINT('Ctrl Pts',(-1.4862741353703,2.62310451913247,-0.394875273584047)); #163161=CARTESIAN_POINT('Ctrl Pts',(-1.48438480819079,2.62604313036389, -0.395143620062981)); #163162=CARTESIAN_POINT('Ctrl Pts',(-1.47968581914078,2.63321165285247, -0.39579758454443)); #163163=CARTESIAN_POINT('Ctrl Pts',(-1.47684567031748,2.63742562207657, -0.396181478849666)); #163164=CARTESIAN_POINT('Ctrl Pts',(-1.47300667443654,2.64296670953864, -0.396682855313677)); #163165=CARTESIAN_POINT('Ctrl Pts',(-1.47205891321829,2.64432258743364, -0.396805133876781)); #163166=CARTESIAN_POINT('Ctrl Pts',(-1.4692328103186,2.64832996239587,-0.397165487007857)); #163167=CARTESIAN_POINT('Ctrl Pts',(-1.46733701718179,2.65097193497856, -0.397402244372151)); #163168=CARTESIAN_POINT('Ctrl Pts',(-1.46447293957036,2.65489528337239, -0.397749781031954)); #163169=CARTESIAN_POINT('Ctrl Pts',(-1.46352120162536,2.65618798987472, -0.397864163643395)); #163170=CARTESIAN_POINT('Ctrl Pts',(-1.45970980884745,2.66132142262928, -0.398315536579071)); #163171=CARTESIAN_POINT('Ctrl Pts',(-1.45680708872369,2.66513450745233, -0.398645280746423)); #163172=CARTESIAN_POINT('Ctrl Pts',(-1.45190561744318,2.67141484803025, -0.399178428632967)); #163173=CARTESIAN_POINT('Ctrl Pts',(-1.44993434649191,2.67389975561078, -0.39938638459426)); #163174=CARTESIAN_POINT('Ctrl Pts',(-1.44399250219297,2.68126896118959, -0.39999218292001)); #163175=CARTESIAN_POINT('Ctrl Pts',(-1.43996153897465,2.68611048587552, -0.400376156056446)); #163176=CARTESIAN_POINT('Ctrl Pts',(-1.43178731451045,2.69562014424367, -0.40109527517501)); #163177=CARTESIAN_POINT('Ctrl Pts',(-1.42764625534728,2.70028912020039, -0.401430268952261)); #163178=CARTESIAN_POINT('Ctrl Pts',(-1.42343105212205,2.70489568585729, -0.401738974044118)); #163179=CARTESIAN_POINT('Ctrl Pts',(-1.55600880936212,2.38089930958212, -0.425597295542518)); #163180=CARTESIAN_POINT('Ctrl Pts',(-1.55476512662859,2.39039887343385, -0.425451823194571)); #163181=CARTESIAN_POINT('Ctrl Pts',(-1.55324922422172,2.39988793794636, -0.425445408556952)); #163182=CARTESIAN_POINT('Ctrl Pts',(-1.54663290189687,2.43488732974525, -0.425910758411489)); #163183=CARTESIAN_POINT('Ctrl Pts',(-1.53984939891179,2.46013010165632, -0.427206260933184)); #163184=CARTESIAN_POINT('Ctrl Pts',(-1.52786842760316,2.49414036610962, -0.429942041713293)); #163185=CARTESIAN_POINT('Ctrl Pts',(-1.52435695074933,2.50328677480706, -0.430775090668697)); #163186=CARTESIAN_POINT('Ctrl Pts',(-1.51410110633878,2.52790673152063, -0.433246649184038)); #163187=CARTESIAN_POINT('Ctrl Pts',(-1.50685070779895,2.54322889094033, -0.435017650786733)); #163188=CARTESIAN_POINT('Ctrl Pts',(-1.49528220788744,2.56491913446753, -0.43775877016119)); #163189=CARTESIAN_POINT('Ctrl Pts',(-1.49157319968986,2.57153752938626, -0.438623325215246)); #163190=CARTESIAN_POINT('Ctrl Pts',(-1.4823430261145,2.587220641831,-0.440725527321151)); #163191=CARTESIAN_POINT('Ctrl Pts',(-1.47668794024201,2.59622758925135, -0.441969743201309)); #163192=CARTESIAN_POINT('Ctrl Pts',(-1.46886176486548,2.60791378619392, -0.443612570437386)); #163193=CARTESIAN_POINT('Ctrl Pts',(-1.4669599474853,2.61069885083019,-0.444005319205775)); #163194=CARTESIAN_POINT('Ctrl Pts',(-1.46222706858837,2.61749560942308, -0.444965982260354)); #163195=CARTESIAN_POINT('Ctrl Pts',(-1.45936407912075,2.62149343012859, -0.445533018192542)); #163196=CARTESIAN_POINT('Ctrl Pts',(-1.45549027811926,2.6267535783369,-0.446278824707388)); #163197=CARTESIAN_POINT('Ctrl Pts',(-1.45453390453793,2.62804091371306, -0.446460985431594)); #163198=CARTESIAN_POINT('Ctrl Pts',(-1.45168126327591,2.63184646457558, -0.446999077177648)); #163199=CARTESIAN_POINT('Ctrl Pts',(-1.44976529102378,2.63435664517153, -0.447354987145044)); #163200=CARTESIAN_POINT('Ctrl Pts',(-1.44687149004675,2.63808522569675, -0.447878908214598)); #163201=CARTESIAN_POINT('Ctrl Pts',(-1.44590883294084,2.6393141608894,-0.448052200025)); #163202=CARTESIAN_POINT('Ctrl Pts',(-1.44205391820738,2.64419502833252, -0.448737304350752)); #163203=CARTESIAN_POINT('Ctrl Pts',(-1.43911704298515,2.64782232648112, -0.449241379116149)); #163204=CARTESIAN_POINT('Ctrl Pts',(-1.4341505352931,2.65380076808341,-0.450066139560174)); #163205=CARTESIAN_POINT('Ctrl Pts',(-1.43215192654842,2.65616715771397, -0.450390126885094)); #163206=CARTESIAN_POINT('Ctrl Pts',(-1.42612512381113,2.66318738513638, -0.451340887085276)); #163207=CARTESIAN_POINT('Ctrl Pts',(-1.42203230951543,2.66780313906201, -0.45195329365208)); #163208=CARTESIAN_POINT('Ctrl Pts',(-1.41372025573686,2.67687670622413, -0.453125038806076)); #163209=CARTESIAN_POINT('Ctrl Pts',(-1.40950484557312,2.6813349307949,-0.453683255191299)); #163210=CARTESIAN_POINT('Ctrl Pts',(-1.40520828281608,2.68573689575893, -0.454212809271081)); #163211=CARTESIAN_POINT('Ctrl Pts',(-1.52035610558499,2.37754291182312, -0.453031224657776)); #163212=CARTESIAN_POINT('Ctrl Pts',(-1.51909928766559,2.38615685023314, -0.452892493957767)); #163213=CARTESIAN_POINT('Ctrl Pts',(-1.51755739186532,2.39475829113812, -0.452889014292027)); #163214=CARTESIAN_POINT('Ctrl Pts',(-1.51080104104299,2.42646455242098, -0.453346903647554)); #163215=CARTESIAN_POINT('Ctrl Pts',(-1.50383856480905,2.44929477117289, -0.454604386702562)); #163216=CARTESIAN_POINT('Ctrl Pts',(-1.49154582602749,2.47995176132629, -0.457216284493733)); #163217=CARTESIAN_POINT('Ctrl Pts',(-1.48794592859798,2.48818615629379, -0.458008481860348)); #163218=CARTESIAN_POINT('Ctrl Pts',(-1.47743799504374,2.51031821083776, -0.460346178631209)); #163219=CARTESIAN_POINT('Ctrl Pts',(-1.47003342420205,2.52405791894352, -0.4620090791537)); #163220=CARTESIAN_POINT('Ctrl Pts',(-1.45824181890906,2.543446450792,-0.464550375529271)); #163221=CARTESIAN_POINT('Ctrl Pts',(-1.45446829743109,2.54935450194622, -0.465347819180038)); #163222=CARTESIAN_POINT('Ctrl Pts',(-1.44509070987755,2.56333332024222, -0.467274599214034)); #163223=CARTESIAN_POINT('Ctrl Pts',(-1.43936234744532,2.57134545140428, -0.468405679423606)); #163224=CARTESIAN_POINT('Ctrl Pts',(-1.43145016294194,2.58171696272198, -0.469882324917992)); #163225=CARTESIAN_POINT('Ctrl Pts',(-1.42952949124743,2.58418703858604, -0.470234125960567)); #163226=CARTESIAN_POINT('Ctrl Pts',(-1.42475385762515,2.59021080553173, -0.471091389262413)); #163227=CARTESIAN_POINT('Ctrl Pts',(-1.42186848775066,2.59375023112039, -0.471594570125247)); #163228=CARTESIAN_POINT('Ctrl Pts',(-1.41796907798522,2.5984022095092,-0.47225218100997)); #163229=CARTESIAN_POINT('Ctrl Pts',(-1.41700710237063,2.59954036837854, -0.472412488671236)); #163230=CARTESIAN_POINT('Ctrl Pts',(-1.41413897031412,2.60290376616313, -0.472885015738004)); #163231=CARTESIAN_POINT('Ctrl Pts',(-1.4122125923097,2.60512044334496,-0.473196074405255)); #163232=CARTESIAN_POINT('Ctrl Pts',(-1.40930868857676,2.6084113994393,-0.473652096475725)); #163233=CARTESIAN_POINT('Ctrl Pts',(-1.40834214079354,2.60949553271931, -0.473802501351506)); #163234=CARTESIAN_POINT('Ctrl Pts',(-1.40447500369578,2.6138001510296,-0.474395797607269)); #163235=CARTESIAN_POINT('Ctrl Pts',(-1.40153435616834,2.61699627661432, -0.474829253943231)); #163236=CARTESIAN_POINT('Ctrl Pts',(-1.39656488794112,2.6222579542882,-0.475532250625913)); #163237=CARTESIAN_POINT('Ctrl Pts',(-1.3945668479009,2.62433919582976,-0.475806806661337)); #163238=CARTESIAN_POINT('Ctrl Pts',(-1.38854857564396,2.63050956873504, -0.476607583983028)); #163239=CARTESIAN_POINT('Ctrl Pts',(-1.38446938838231,2.63456111424085, -0.477116708995662)); #163240=CARTESIAN_POINT('Ctrl Pts',(-1.37619854255816,2.64251438785489, -0.478075428443216)); #163241=CARTESIAN_POINT('Ctrl Pts',(-1.37201217763207,2.64641698204714, -0.478524417559282)); #163242=CARTESIAN_POINT('Ctrl Pts',(-1.36775316384112,2.65026523378799, -0.478941491169909)); #163243=CARTESIAN_POINT('Ctrl Pts',(-1.50331538201614,2.37576147050418, -0.460856270082688)); #163244=CARTESIAN_POINT('Ctrl Pts',(-1.50205630291897,2.38399430514877, -0.46070263980677)); #163245=CARTESIAN_POINT('Ctrl Pts',(-1.50050643448924,2.39221372200997, -0.460676053803398)); #163246=CARTESIAN_POINT('Ctrl Pts',(-1.49370167034995,2.42249852860255, -0.461015799816444)); #163247=CARTESIAN_POINT('Ctrl Pts',(-1.48667067746597,2.44428451753178, -0.462127146973133)); #163248=CARTESIAN_POINT('Ctrl Pts',(-1.47426901871694,2.47348460635782, -0.464447948752821)); #163249=CARTESIAN_POINT('Ctrl Pts',(-1.47063922018445,2.48132221539211, -0.465152538570469)); #163250=CARTESIAN_POINT('Ctrl Pts',(-1.46005061880366,2.50237088628033, -0.467227034354084)); #163251=CARTESIAN_POINT('Ctrl Pts',(-1.45260270951126,2.51542033862586, -0.468699651322573)); #163252=CARTESIAN_POINT('Ctrl Pts',(-1.44076264134904,2.53380333289817, -0.470926123511769)); #163253=CARTESIAN_POINT('Ctrl Pts',(-1.43697768041473,2.53940090776317, -0.471622016850332)); #163254=CARTESIAN_POINT('Ctrl Pts',(-1.42758111678733,2.55263430918246, -0.473293722208763)); #163255=CARTESIAN_POINT('Ctrl Pts',(-1.42185090897714,2.56021113968893, -0.474268116613306)); #163256=CARTESIAN_POINT('Ctrl Pts',(-1.41394781444294,2.57000692208491, -0.475525592245196)); #163257=CARTESIAN_POINT('Ctrl Pts',(-1.41203051141836,2.57233905257329, -0.475824160943716)); #163258=CARTESIAN_POINT('Ctrl Pts',(-1.40726593082296,2.57802422535639, -0.476548888476484)); #163259=CARTESIAN_POINT('Ctrl Pts',(-1.40438945670241,2.58136282066589, -0.476971801332133)); #163260=CARTESIAN_POINT('Ctrl Pts',(-1.40050517691482,2.58574829017771, -0.477520709829986)); #163261=CARTESIAN_POINT('Ctrl Pts',(-1.3995473020974,2.5868210786068,-0.477654254905372)); #163262=CARTESIAN_POINT('Ctrl Pts',(-1.39669217557112,2.58999070955001, -0.478046977188066)); #163263=CARTESIAN_POINT('Ctrl Pts',(-1.39477497076129,2.59207872914983, -0.478304063391279)); #163264=CARTESIAN_POINT('Ctrl Pts',(-1.39188759213446,2.59517787313012, -0.478679386300912)); #163265=CARTESIAN_POINT('Ctrl Pts',(-1.39092646669347,2.59619852486732, -0.478802730542485)); #163266=CARTESIAN_POINT('Ctrl Pts',(-1.38708268389771,2.60025052387037, -0.479288125731513)); #163267=CARTESIAN_POINT('Ctrl Pts',(-1.38416277404425,2.60325763010267, -0.47963996785725)); #163268=CARTESIAN_POINT('Ctrl Pts',(-1.37923138331341,2.60820502206325, -0.480204531365215)); #163269=CARTESIAN_POINT('Ctrl Pts',(-1.3772497498536,2.61016122552324,-0.480423486933828)); #163270=CARTESIAN_POINT('Ctrl Pts',(-1.37128476256012,2.61595893764522, -0.481057366709162)); #163271=CARTESIAN_POINT('Ctrl Pts',(-1.36724636583221,2.61976306785472, -0.481453881070444)); #163272=CARTESIAN_POINT('Ctrl Pts',(-1.35906711282082,2.62722504376707, -0.482185048129784)); #163273=CARTESIAN_POINT('Ctrl Pts',(-1.35493195760904,2.63088397495661, -0.482519612778931)); #163274=CARTESIAN_POINT('Ctrl Pts',(-1.35072994010827,2.63448941651982, -0.482821141216139)); #163275=CARTESIAN_POINT('Origin',(-0.066732629495008,2.55052640687119,-1.10183594)); #163276=CARTESIAN_POINT('',(-0.066732629495008,2.55052640687119,-1.13183594)); #163277=CARTESIAN_POINT('Origin',(4.8080524688491E-16,2.4261,-1.13183594)); #163278=CARTESIAN_POINT('',(-0.124426406871192,2.51529571589018,-1.13183594)); #163279=CARTESIAN_POINT('Origin',(-0.124426406871192,2.51529571589018,-1.10183594)); #163280=CARTESIAN_POINT('Origin',(0.,-2.320811,0.)); #163281=CARTESIAN_POINT('',(1.11679981402465,2.91987490759337,0.)); #163282=CARTESIAN_POINT('Origin',(-1.75751176067259,0.0517382167335002, -0.59137052331551)); #163283=CARTESIAN_POINT('',(-1.76506095429402,0.044244896020164,-0.505721475640713)); #163284=CARTESIAN_POINT('Origin',(2.17225688305733,0.044244896020164,-0.505721475640713)); #163285=CARTESIAN_POINT('Origin',(-1.76506095429402,0.044244896020164,-0.505721475640713)); #163286=CARTESIAN_POINT('Origin',(0.98653611807887,2.30860052606037,0.)); #163287=CARTESIAN_POINT('',(1.60686999855998,2.38482963288481,0.)); #163288=CARTESIAN_POINT('Origin',(-11.635495729502,0.7575548,0.)); #163289=CARTESIAN_POINT('',(1.7009078384033,1.14305879667653,0.)); #163290=CARTESIAN_POINT('Origin',(1.07616878898939,1.125,0.)); #163291=CARTESIAN_POINT('Ctrl Pts',(-1.77136275059548,0.826035152049505, 0.11679829645963)); #163292=CARTESIAN_POINT('Ctrl Pts',(-1.73356533275502,0.899417125928897, 0.0895354160540832)); #163293=CARTESIAN_POINT('Ctrl Pts',(-1.69576791491457,0.97279909980829, 0.0622725356485368)); #163294=CARTESIAN_POINT('Ctrl Pts',(-1.65797049707411,1.04618107368768, 0.0350096552429904)); #163295=CARTESIAN_POINT('Ctrl Pts',(-1.76742474356966,0.813971473889681, 0.11679829645963)); #163296=CARTESIAN_POINT('Ctrl Pts',(-1.73061081786992,0.887964425853159, 0.0895354160540831)); #163297=CARTESIAN_POINT('Ctrl Pts',(-1.69379445360603,0.961984328573748, 0.0622725356485368)); #163298=CARTESIAN_POINT('Ctrl Pts',(-1.65698052790629,1.03597728053723, 0.0350096552429904)); #163299=CARTESIAN_POINT('Ctrl Pts',(-1.75624396955922,0.775976065005848, 0.11679829645963)); #163300=CARTESIAN_POINT('Ctrl Pts',(-1.72218975342775,0.850948091121151, 0.0895354160540831)); #163301=CARTESIAN_POINT('Ctrl Pts',(-1.68814959818584,0.925883075794583, 0.0622725356485368)); #163302=CARTESIAN_POINT('Ctrl Pts',(-1.65409538205437,1.00085510190989, 0.0350096552429904)); #163303=CARTESIAN_POINT('Ctrl Pts',(-1.72394748633213,0.687231494477524, 0.11679829645963)); #163304=CARTESIAN_POINT('Ctrl Pts',(-1.69517645211979,0.762752981782998, 0.0895354160540832)); #163305=CARTESIAN_POINT('Ctrl Pts',(-1.66639893145101,0.838303795727441, 0.0622725356485368)); #163306=CARTESIAN_POINT('Ctrl Pts',(-1.63762789723867,0.913825283032914, 0.0350096552429904)); #163307=CARTESIAN_POINT('Ctrl Pts',(-1.66882104943852,0.579556202004415, 0.116798296459629)); #163308=CARTESIAN_POINT('Ctrl Pts',(-1.64490907711344,0.655191965815093, 0.0895354160540831)); #163309=CARTESIAN_POINT('Ctrl Pts',(-1.62100701060834,0.730799226830558, 0.0622725356485368)); #163310=CARTESIAN_POINT('Ctrl Pts',(-1.59709503828326,0.806434990641236, 0.0350096552429904)); #163311=CARTESIAN_POINT('Ctrl Pts',(-1.56151568292016,0.428829006301256, 0.11679829645963)); #163312=CARTESIAN_POINT('Ctrl Pts',(-1.54217780521001,0.504829360668794, 0.0895354160540833)); #163313=CARTESIAN_POINT('Ctrl Pts',(-1.52284592223808,0.58083213373052, 0.0622725356485367)); #163314=CARTESIAN_POINT('Ctrl Pts',(-1.50350804452793,0.656832488098058, 0.0350096552429904)); #163315=CARTESIAN_POINT('Ctrl Pts',(-1.40708561888438,0.300996402316084, 0.11679829645963)); #163316=CARTESIAN_POINT('Ctrl Pts',(-1.3885521575567,0.380168861065258, 0.0895354160540831)); #163317=CARTESIAN_POINT('Ctrl Pts',(-1.36998196091492,0.459402535154354, 0.0622725356485369)); #163318=CARTESIAN_POINT('Ctrl Pts',(-1.35144849958724,0.538574993903528, 0.0350096552429904)); #163319=CARTESIAN_POINT('Ctrl Pts',(-1.29209053910438,0.246094947599113, 0.11679829645963)); #163320=CARTESIAN_POINT('Ctrl Pts',(-1.27344236912178,0.330590363834193, 0.0895354160540832)); #163321=CARTESIAN_POINT('Ctrl Pts',(-1.25486100926466,0.414977562601406, 0.0622725356485367)); #163322=CARTESIAN_POINT('Ctrl Pts',(-1.23621283928207,0.499472978836486, 0.0350096552429904)); #163323=CARTESIAN_POINT('Ctrl Pts',(-1.25663448897452,0.231414858613519, 0.11679829645963)); #163324=CARTESIAN_POINT('Ctrl Pts',(-1.2380789900578,0.317719156639977, 0.0895354160540832)); #163325=CARTESIAN_POINT('Ctrl Pts',(-1.21952349114107,0.404023454666436, 0.0622725356485368)); #163326=CARTESIAN_POINT('Ctrl Pts',(-1.20096799222435,0.490327752692894, 0.0350096552429904)); #163327=CARTESIAN_POINT('',(-1.36703124418025,-0.28205635038975,0.279)); #163328=CARTESIAN_POINT('Ctrl Pts',(-1.23648370034227,0.325139078877862, 0.0871915182736053)); #163329=CARTESIAN_POINT('Ctrl Pts',(-1.22273729645963,0.389075583242609, 0.0669944561121076)); #163330=CARTESIAN_POINT('Ctrl Pts',(-1.208990892577,0.453012087607356,0.04679739395061)); #163331=CARTESIAN_POINT('Ctrl Pts',(-1.19524448869436,0.516948591972104, 0.0266003317891123)); #163332=CARTESIAN_POINT('Ctrl Pts',(-1.18945205873531,0.308287632023619, 0.0871915182736053)); #163333=CARTESIAN_POINT('Ctrl Pts',(-1.1757758082935,0.374010067212601, 0.0669944561121076)); #163334=CARTESIAN_POINT('Ctrl Pts',(-1.1620970930381,0.439825514227327, 0.04679739395061)); #163335=CARTESIAN_POINT('Ctrl Pts',(-1.14842084259628,0.50554794941631, 0.0266003317891123)); #163336=CARTESIAN_POINT('Ctrl Pts',(-1.01434680704199,0.246193364967236, 0.0871915182736053)); #163337=CARTESIAN_POINT('Ctrl Pts',(-1.00104919324934,0.318676063975872, 0.0669944561121076)); #163338=CARTESIAN_POINT('Ctrl Pts',(-0.987752114284783,0.391142262589574, 0.04679739395061)); #163339=CARTESIAN_POINT('Ctrl Pts',(-0.974454500492141,0.46362496159821, 0.0266003317891123)); #163340=CARTESIAN_POINT('Ctrl Pts',(-0.611736776594203,0.154865479867494, 0.0871915182736053)); #163341=CARTESIAN_POINT('Ctrl Pts',(-0.602529940108632,0.23745687446516, 0.0669944561121076)); #163342=CARTESIAN_POINT('Ctrl Pts',(-0.59333171439152,0.320067298841913, 0.04679739395061)); #163343=CARTESIAN_POINT('Ctrl Pts',(-0.584124877905948,0.402658693439579, 0.0266003317891123)); #163344=CARTESIAN_POINT('Ctrl Pts',(-0.335164528965688,0.123337177991599, 0.0871915182736053)); #163345=CARTESIAN_POINT('Ctrl Pts',(-0.329982397800123,0.209481727423144, 0.0669944561121076)); #163346=CARTESIAN_POINT('Ctrl Pts',(-0.324799281240076,0.295604509335751, 0.04679739395061)); #163347=CARTESIAN_POINT('Ctrl Pts',(-0.319617150074511,0.381749058767295, 0.0266003317891123)); #163348=CARTESIAN_POINT('Ctrl Pts',(-0.193062129548934,0.115875732221898, 0.0871915182736053)); #163349=CARTESIAN_POINT('Ctrl Pts',(-0.190059804636032,0.202850970336599, 0.0669944561121076)); #163350=CARTESIAN_POINT('Ctrl Pts',(-0.18705747972313,0.289826208451299, 0.04679739395061)); #163351=CARTESIAN_POINT('Ctrl Pts',(-0.184055154810227,0.376801446566,0.0266003317891123)); #163352=CARTESIAN_POINT('Ctrl Pts',(2.07639483558554,0.759622556602121, 0.207364433123329)); #163353=CARTESIAN_POINT('Ctrl Pts',(2.06512897077975,0.77849740094626,0.198962978211735)); #163354=CARTESIAN_POINT('Ctrl Pts',(2.05386310597396,0.797372245290399, 0.190561523300141)); #163355=CARTESIAN_POINT('Ctrl Pts',(2.04259724116816,0.816247089634538, 0.182160068388546)); #163356=CARTESIAN_POINT('Ctrl Pts',(2.07294994634909,0.739703086650928, 0.207364433123329)); #163357=CARTESIAN_POINT('Ctrl Pts',(2.06184105038245,0.758885361989874, 0.198962978211735)); #163358=CARTESIAN_POINT('Ctrl Pts',(2.05073217004849,0.77806763334945,0.190561523300141)); #163359=CARTESIAN_POINT('Ctrl Pts',(2.03962327408184,0.797249908688396, 0.182160068388546)); #163360=CARTESIAN_POINT('Ctrl Pts',(2.06870139278916,0.719953107913779, 0.207364433123329)); #163361=CARTESIAN_POINT('Ctrl Pts',(2.05778134375277,0.739435922030981, 0.198962978211735)); #163362=CARTESIAN_POINT('Ctrl Pts',(2.04686131028742,0.758918731934039, 0.190561523300141)); #163363=CARTESIAN_POINT('Ctrl Pts',(2.03594126125104,0.778401546051242, 0.182160068388546)); #163364=CARTESIAN_POINT('Ctrl Pts',(2.06366894188263,0.700447914497618, 0.207364433123329)); #163365=CARTESIAN_POINT('Ctrl Pts',(2.05296881687542,0.720221326264709, 0.198962978211735)); #163366=CARTESIAN_POINT('Ctrl Pts',(2.04226869186821,0.739994738031801, 0.190561523300141)); #163367=CARTESIAN_POINT('Ctrl Pts',(2.031568566861,0.759768149798893,0.182160068388546)); #163368=CARTESIAN_POINT('Ctrl Pts',(1.94971093080776,0.831702840305477, 0.127345287064489)); #163369=CARTESIAN_POINT('Ctrl Pts',(1.91295864764896,0.909295279815847, 0.0959016044504944)); #163370=CARTESIAN_POINT('Ctrl Pts',(1.87620636449017,0.986887719326217, 0.0644579218365)); #163371=CARTESIAN_POINT('Ctrl Pts',(1.83945408133137,1.06448015883659,0.0330142392225055)); #163372=CARTESIAN_POINT('Ctrl Pts',(1.9439954403761,0.80858542733966,0.127345287064489)); #163373=CARTESIAN_POINT('Ctrl Pts',(1.90875298413675,0.887587906347557, 0.0959016044504944)); #163374=CARTESIAN_POINT('Ctrl Pts',(1.87358859714008,0.966553013284287, 0.0644579218365)); #163375=CARTESIAN_POINT('Ctrl Pts',(1.83834614090073,1.04555549229218,0.0330142392225055)); #163376=CARTESIAN_POINT('Ctrl Pts',(1.93097422901138,0.742929531737268, 0.127345287064489)); #163377=CARTESIAN_POINT('Ctrl Pts',(1.89958593141738,0.823054411791454, 0.0959016044504944)); #163378=CARTESIAN_POINT('Ctrl Pts',(1.8682369763623,0.903117546432692,0.0644579218365)); #163379=CARTESIAN_POINT('Ctrl Pts',(1.8368486787683,0.983242426486878,0.0330142392225055)); #163380=CARTESIAN_POINT('Ctrl Pts',(1.89894145737735,0.655942563339127, 0.127345287064489)); #163381=CARTESIAN_POINT('Ctrl Pts',(1.87135934430493,0.736833208655691, 0.0959016044504944)); #163382=CARTESIAN_POINT('Ctrl Pts',(1.8437772312325,0.817723853972254,0.0644579218365)); #163383=CARTESIAN_POINT('Ctrl Pts',(1.81619511816007,0.898614499288818, 0.0330142392225055)); #163384=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #163385=CARTESIAN_POINT('',(1.5482411613907,1.4463035013907,-0.87407851)); #163386=CARTESIAN_POINT('',(1.375,1.51806234,-0.87407851)); #163387=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.87407851)); #163388=CARTESIAN_POINT('',(1.48669849326525,1.50784616951616,-0.848097747886467)); #163389=CARTESIAN_POINT('Ctrl Pts',(1.48669849326525,1.50784616951616,-0.848097747886467)); #163390=CARTESIAN_POINT('Ctrl Pts',(1.51838382734964,1.47616083543177,-0.874078510000001)); #163391=CARTESIAN_POINT('Ctrl Pts',(1.5482411613907,1.4463035013907,-0.874078510000001)); #163392=CARTESIAN_POINT('',(1.46203447592765,1.51806234,-0.848097747886467)); #163393=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.848097747886467)); #163394=CARTESIAN_POINT('Ctrl Pts',(1.375,1.51806234,-0.87407851)); #163395=CARTESIAN_POINT('Ctrl Pts',(1.41722464673717,1.51806234,-0.87407851)); #163396=CARTESIAN_POINT('Ctrl Pts',(1.46203447592765,1.51806234,-0.848097747886467)); #163397=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #163398=CARTESIAN_POINT('',(1.2017588386093,1.4463035013907,-0.87407851)); #163399=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.87407851)); #163400=CARTESIAN_POINT('',(1.28796552407235,1.51806234,-0.848097747886467)); #163401=CARTESIAN_POINT('Ctrl Pts',(1.28796552407235,1.51806234,-0.848097747886467)); #163402=CARTESIAN_POINT('Ctrl Pts',(1.33277535326282,1.51806234,-0.87407851)); #163403=CARTESIAN_POINT('Ctrl Pts',(1.375,1.51806234,-0.87407851)); #163404=CARTESIAN_POINT('',(1.26330150673475,1.50784616951616,-0.848097747886467)); #163405=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.848097747886467)); #163406=CARTESIAN_POINT('Ctrl Pts',(1.2017588386093,1.4463035013907,-0.87407851)); #163407=CARTESIAN_POINT('Ctrl Pts',(1.23161617265036,1.47616083543177,-0.87407851)); #163408=CARTESIAN_POINT('Ctrl Pts',(1.26330150673475,1.50784616951616,-0.848097747886467)); #163409=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #163410=CARTESIAN_POINT('',(1.13,1.27306234,-0.87407851)); #163411=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.87407851)); #163412=CARTESIAN_POINT('',(1.14021617048384,1.38476083326525,-0.848097747886467)); #163413=CARTESIAN_POINT('Ctrl Pts',(1.14021617048384,1.38476083326525,-0.848097747886467)); #163414=CARTESIAN_POINT('Ctrl Pts',(1.17190150456823,1.41644616734964,-0.87407851)); #163415=CARTESIAN_POINT('Ctrl Pts',(1.2017588386093,1.4463035013907,-0.87407851)); #163416=CARTESIAN_POINT('',(1.13,1.36009681592765,-0.848097747886467)); #163417=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.848097747886467)); #163418=CARTESIAN_POINT('Ctrl Pts',(1.13,1.27306234,-0.874078509999999)); #163419=CARTESIAN_POINT('Ctrl Pts',(1.13,1.31528698673718,-0.874078509999999)); #163420=CARTESIAN_POINT('Ctrl Pts',(1.13,1.36009681592765,-0.848097747886467)); #163421=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #163422=CARTESIAN_POINT('',(1.2017588386093,1.0998211786093,-0.87407851)); #163423=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.87407851)); #163424=CARTESIAN_POINT('',(1.13,1.18602786407235,-0.848097747886467)); #163425=CARTESIAN_POINT('Ctrl Pts',(1.13,1.18602786407235,-0.848097747886466)); #163426=CARTESIAN_POINT('Ctrl Pts',(1.13,1.23083769326282,-0.874078509999999)); #163427=CARTESIAN_POINT('Ctrl Pts',(1.13,1.27306234,-0.874078509999999)); #163428=CARTESIAN_POINT('',(1.14021617048384,1.16136384673475,-0.848097747886467)); #163429=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.848097747886467)); #163430=CARTESIAN_POINT('Ctrl Pts',(1.2017588386093,1.0998211786093,-0.874078509999999)); #163431=CARTESIAN_POINT('Ctrl Pts',(1.17190150456823,1.12967851265036,-0.87407851)); #163432=CARTESIAN_POINT('Ctrl Pts',(1.14021617048384,1.16136384673475,-0.848097747886467)); #163433=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #163434=CARTESIAN_POINT('',(1.375,1.02806234,-0.87407851)); #163435=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.87407851)); #163436=CARTESIAN_POINT('',(1.26330150673475,1.03827851048384,-0.848097747886467)); #163437=CARTESIAN_POINT('Ctrl Pts',(1.26330150673475,1.03827851048384,-0.848097747886467)); #163438=CARTESIAN_POINT('Ctrl Pts',(1.23161617265036,1.06996384456823,-0.87407851)); #163439=CARTESIAN_POINT('Ctrl Pts',(1.2017588386093,1.0998211786093,-0.874078509999999)); #163440=CARTESIAN_POINT('',(1.28796552407235,1.02806234,-0.848097747886467)); #163441=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.848097747886467)); #163442=CARTESIAN_POINT('Ctrl Pts',(1.375,1.02806234,-0.87407851)); #163443=CARTESIAN_POINT('Ctrl Pts',(1.33277535326282,1.02806234,-0.874078509999999)); #163444=CARTESIAN_POINT('Ctrl Pts',(1.28796552407235,1.02806234,-0.848097747886467)); #163445=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #163446=CARTESIAN_POINT('',(1.5482411613907,1.0998211786093,-0.87407851)); #163447=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.87407851)); #163448=CARTESIAN_POINT('',(1.46203447592765,1.02806234,-0.848097747886467)); #163449=CARTESIAN_POINT('Ctrl Pts',(1.46203447592765,1.02806234,-0.848097747886467)); #163450=CARTESIAN_POINT('Ctrl Pts',(1.41722464673717,1.02806234,-0.87407851)); #163451=CARTESIAN_POINT('Ctrl Pts',(1.375,1.02806234,-0.87407851)); #163452=CARTESIAN_POINT('',(1.48669849326525,1.03827851048384,-0.848097747886467)); #163453=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.848097747886467)); #163454=CARTESIAN_POINT('Ctrl Pts',(1.5482411613907,1.0998211786093,-0.87407851)); #163455=CARTESIAN_POINT('Ctrl Pts',(1.51838382734964,1.06996384456823,-0.874078510000001)); #163456=CARTESIAN_POINT('Ctrl Pts',(1.48669849326525,1.03827851048384,-0.848097747886467)); #163457=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #163458=CARTESIAN_POINT('',(1.62,1.27306234,-0.87407851)); #163459=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.87407851)); #163460=CARTESIAN_POINT('',(1.60978382951616,1.16136384673475,-0.848097747886466)); #163461=CARTESIAN_POINT('Ctrl Pts',(1.60978382951616,1.16136384673475,-0.848097747886466)); #163462=CARTESIAN_POINT('Ctrl Pts',(1.57809849543177,1.12967851265036,-0.874078510000001)); #163463=CARTESIAN_POINT('Ctrl Pts',(1.5482411613907,1.0998211786093,-0.87407851)); #163464=CARTESIAN_POINT('',(1.62,1.18602786407235,-0.848097747886466)); #163465=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.848097747886467)); #163466=CARTESIAN_POINT('Ctrl Pts',(1.62,1.27306234,-0.874078510000001)); #163467=CARTESIAN_POINT('Ctrl Pts',(1.62,1.23083769326282,-0.874078510000001)); #163468=CARTESIAN_POINT('Ctrl Pts',(1.62,1.18602786407235,-0.848097747886466)); #163469=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.88907851)); #163470=CARTESIAN_POINT('',(1.62,1.36009681592765,-0.848097747886466)); #163471=CARTESIAN_POINT('Ctrl Pts',(1.62,1.36009681592765,-0.848097747886466)); #163472=CARTESIAN_POINT('Ctrl Pts',(1.62,1.31528698673718,-0.874078510000001)); #163473=CARTESIAN_POINT('Ctrl Pts',(1.62,1.27306234,-0.874078510000001)); #163474=CARTESIAN_POINT('',(1.60978382951616,1.38476083326525,-0.848097747886467)); #163475=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.848097747886467)); #163476=CARTESIAN_POINT('Ctrl Pts',(1.5482411613907,1.4463035013907,-0.874078510000001)); #163477=CARTESIAN_POINT('Ctrl Pts',(1.57809849543177,1.41644616734964,-0.874078510000001)); #163478=CARTESIAN_POINT('Ctrl Pts',(1.60978382951616,1.38476083326525,-0.848097747886467)); #163479=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.87407851)); #163480=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163481=CARTESIAN_POINT('',(1.5482411613907,1.4463035013907,-0.67907851)); #163482=CARTESIAN_POINT('',(1.62,1.27306234,-0.67907851)); #163483=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163484=CARTESIAN_POINT('',(1.60978382951616,1.38476083326525,-0.705059272113533)); #163485=CARTESIAN_POINT('Ctrl Pts',(1.60978382951616,1.38476083326525,-0.705059272113533)); #163486=CARTESIAN_POINT('Ctrl Pts',(1.57809849543177,1.41644616734964,-0.679078509999999)); #163487=CARTESIAN_POINT('Ctrl Pts',(1.5482411613907,1.4463035013907,-0.679078509999999)); #163488=CARTESIAN_POINT('',(1.62,1.36009681592765,-0.705059272113533)); #163489=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163490=CARTESIAN_POINT('Ctrl Pts',(1.62,1.27306234,-0.679078509999999)); #163491=CARTESIAN_POINT('Ctrl Pts',(1.62,1.31528698673718,-0.679078509999999)); #163492=CARTESIAN_POINT('Ctrl Pts',(1.62,1.36009681592765,-0.705059272113533)); #163493=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163494=CARTESIAN_POINT('',(1.5482411613907,1.0998211786093,-0.67907851)); #163495=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163496=CARTESIAN_POINT('',(1.62,1.18602786407235,-0.705059272113533)); #163497=CARTESIAN_POINT('Ctrl Pts',(1.62,1.18602786407235,-0.705059272113533)); #163498=CARTESIAN_POINT('Ctrl Pts',(1.62,1.23083769326282,-0.679078509999999)); #163499=CARTESIAN_POINT('Ctrl Pts',(1.62,1.27306234,-0.679078509999999)); #163500=CARTESIAN_POINT('',(1.60978382951616,1.16136384673475,-0.705059272113533)); #163501=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163502=CARTESIAN_POINT('Ctrl Pts',(1.5482411613907,1.0998211786093,-0.67907851)); #163503=CARTESIAN_POINT('Ctrl Pts',(1.57809849543177,1.12967851265036,-0.679078509999999)); #163504=CARTESIAN_POINT('Ctrl Pts',(1.60978382951616,1.16136384673475,-0.705059272113533)); #163505=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163506=CARTESIAN_POINT('',(1.375,1.02806234,-0.67907851)); #163507=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163508=CARTESIAN_POINT('',(1.48669849326525,1.03827851048384,-0.705059272113533)); #163509=CARTESIAN_POINT('Ctrl Pts',(1.48669849326525,1.03827851048384,-0.705059272113533)); #163510=CARTESIAN_POINT('Ctrl Pts',(1.51838382734964,1.06996384456823,-0.679078509999999)); #163511=CARTESIAN_POINT('Ctrl Pts',(1.5482411613907,1.0998211786093,-0.67907851)); #163512=CARTESIAN_POINT('',(1.46203447592765,1.02806234,-0.705059272113533)); #163513=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163514=CARTESIAN_POINT('Ctrl Pts',(1.375,1.02806234,-0.67907851)); #163515=CARTESIAN_POINT('Ctrl Pts',(1.41722464673717,1.02806234,-0.67907851)); #163516=CARTESIAN_POINT('Ctrl Pts',(1.46203447592765,1.02806234,-0.705059272113533)); #163517=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163518=CARTESIAN_POINT('',(1.2017588386093,1.0998211786093,-0.67907851)); #163519=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163520=CARTESIAN_POINT('',(1.28796552407235,1.02806234,-0.705059272113533)); #163521=CARTESIAN_POINT('Ctrl Pts',(1.28796552407235,1.02806234,-0.705059272113533)); #163522=CARTESIAN_POINT('Ctrl Pts',(1.33277535326282,1.02806234,-0.67907851)); #163523=CARTESIAN_POINT('Ctrl Pts',(1.375,1.02806234,-0.67907851)); #163524=CARTESIAN_POINT('',(1.26330150673475,1.03827851048384,-0.705059272113533)); #163525=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163526=CARTESIAN_POINT('Ctrl Pts',(1.2017588386093,1.0998211786093,-0.67907851)); #163527=CARTESIAN_POINT('Ctrl Pts',(1.23161617265036,1.06996384456823,-0.67907851)); #163528=CARTESIAN_POINT('Ctrl Pts',(1.26330150673475,1.03827851048384,-0.705059272113533)); #163529=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163530=CARTESIAN_POINT('',(1.13,1.27306234,-0.67907851)); #163531=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163532=CARTESIAN_POINT('',(1.14021617048384,1.16136384673475,-0.705059272113533)); #163533=CARTESIAN_POINT('Ctrl Pts',(1.14021617048384,1.16136384673475,-0.705059272113533)); #163534=CARTESIAN_POINT('Ctrl Pts',(1.17190150456823,1.12967851265036,-0.67907851)); #163535=CARTESIAN_POINT('Ctrl Pts',(1.2017588386093,1.0998211786093,-0.67907851)); #163536=CARTESIAN_POINT('',(1.13,1.18602786407235,-0.705059272113533)); #163537=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163538=CARTESIAN_POINT('Ctrl Pts',(1.13,1.27306234,-0.679078510000001)); #163539=CARTESIAN_POINT('Ctrl Pts',(1.13,1.23083769326283,-0.67907851)); #163540=CARTESIAN_POINT('Ctrl Pts',(1.13,1.18602786407235,-0.705059272113533)); #163541=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163542=CARTESIAN_POINT('',(1.2017588386093,1.4463035013907,-0.67907851)); #163543=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163544=CARTESIAN_POINT('',(1.13,1.36009681592765,-0.705059272113533)); #163545=CARTESIAN_POINT('Ctrl Pts',(1.13,1.36009681592765,-0.705059272113533)); #163546=CARTESIAN_POINT('Ctrl Pts',(1.13,1.31528698673717,-0.679078510000001)); #163547=CARTESIAN_POINT('Ctrl Pts',(1.13,1.27306234,-0.679078510000001)); #163548=CARTESIAN_POINT('',(1.14021617048384,1.38476083326525,-0.705059272113533)); #163549=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163550=CARTESIAN_POINT('Ctrl Pts',(1.2017588386093,1.4463035013907,-0.67907851)); #163551=CARTESIAN_POINT('Ctrl Pts',(1.17190150456823,1.41644616734964,-0.67907851)); #163552=CARTESIAN_POINT('Ctrl Pts',(1.14021617048384,1.38476083326525,-0.705059272113533)); #163553=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163554=CARTESIAN_POINT('',(1.375,1.51806234,-0.67907851)); #163555=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163556=CARTESIAN_POINT('',(1.26330150673475,1.50784616951616,-0.705059272113533)); #163557=CARTESIAN_POINT('Ctrl Pts',(1.26330150673475,1.50784616951616,-0.705059272113533)); #163558=CARTESIAN_POINT('Ctrl Pts',(1.23161617265036,1.47616083543177,-0.67907851)); #163559=CARTESIAN_POINT('Ctrl Pts',(1.2017588386093,1.4463035013907,-0.67907851)); #163560=CARTESIAN_POINT('',(1.28796552407235,1.51806234,-0.705059272113533)); #163561=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163562=CARTESIAN_POINT('Ctrl Pts',(1.375,1.51806234,-0.679078509999999)); #163563=CARTESIAN_POINT('Ctrl Pts',(1.33277535326282,1.51806234,-0.67907851)); #163564=CARTESIAN_POINT('Ctrl Pts',(1.28796552407235,1.51806234,-0.705059272113533)); #163565=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163566=CARTESIAN_POINT('',(1.48669849326525,1.50784616951616,-0.705059272113533)); #163567=CARTESIAN_POINT('',(1.46203447592765,1.51806234,-0.705059272113533)); #163568=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.705059272113533)); #163569=CARTESIAN_POINT('Ctrl Pts',(1.5482411613907,1.4463035013907,-0.679078509999999)); #163570=CARTESIAN_POINT('Ctrl Pts',(1.51838382734964,1.47616083543177,-0.679078509999999)); #163571=CARTESIAN_POINT('Ctrl Pts',(1.48669849326525,1.50784616951616,-0.705059272113533)); #163572=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163573=CARTESIAN_POINT('Ctrl Pts',(1.46203447592765,1.51806234,-0.705059272113533)); #163574=CARTESIAN_POINT('Ctrl Pts',(1.41722464673718,1.51806234,-0.679078509999999)); #163575=CARTESIAN_POINT('Ctrl Pts',(1.375,1.51806234,-0.679078509999999)); #163576=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163577=CARTESIAN_POINT('',(1.13,1.18602786407235,-0.67907851)); #163578=CARTESIAN_POINT('',(1.14021617048384,1.16136384673475,-0.67907851)); #163579=CARTESIAN_POINT('Origin',(1.13,1.18602786407235,-0.67907851)); #163580=CARTESIAN_POINT('',(1.13,1.36009681592765,-0.67907851)); #163581=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163582=CARTESIAN_POINT('',(1.14021617048384,1.38476083326525,-0.67907851)); #163583=CARTESIAN_POINT('Origin',(1.14021617048384,1.38476083326525,-0.67907851)); #163584=CARTESIAN_POINT('',(1.26330150673475,1.50784616951616,-0.67907851)); #163585=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163586=CARTESIAN_POINT('',(1.28796552407235,1.51806234,-0.67907851)); #163587=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163588=CARTESIAN_POINT('',(1.62,1.36009681592765,-0.67907851)); #163589=CARTESIAN_POINT('',(1.60978382951616,1.38476083326525,-0.67907851)); #163590=CARTESIAN_POINT('Origin',(1.48669849326525,1.50784616951616,-0.67907851)); #163591=CARTESIAN_POINT('',(1.48669849326525,1.50784616951616,-0.67907851)); #163592=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163593=CARTESIAN_POINT('',(1.46203447592765,1.51806234,-0.67907851)); #163594=CARTESIAN_POINT('Origin',(1.28796552407235,1.51806234,-0.67907851)); #163595=CARTESIAN_POINT('Origin',(1.26330150673475,1.03827851048384,-0.67907851)); #163596=CARTESIAN_POINT('',(1.26330150673475,1.03827851048384,-0.67907851)); #163597=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163598=CARTESIAN_POINT('',(1.28796552407235,1.02806234,-0.67907851)); #163599=CARTESIAN_POINT('Origin',(1.46203447592765,1.02806234,-0.67907851)); #163600=CARTESIAN_POINT('',(1.46203447592765,1.02806234,-0.67907851)); #163601=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163602=CARTESIAN_POINT('',(1.48669849326525,1.03827851048384,-0.67907851)); #163603=CARTESIAN_POINT('Origin',(1.60978382951616,1.16136384673475,-0.67907851)); #163604=CARTESIAN_POINT('',(1.60978382951616,1.16136384673475,-0.67907851)); #163605=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163606=CARTESIAN_POINT('',(1.62,1.18602786407235,-0.67907851)); #163607=CARTESIAN_POINT('Origin',(1.62,1.36009681592765,-0.67907851)); #163608=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.87407851)); #163609=CARTESIAN_POINT('Origin',(1.375,1.27306234,-0.67907851)); #163610=CARTESIAN_POINT('Origin',(-1.31678847577293,1.25388765754731,-0.67907851)); #163611=CARTESIAN_POINT('',(-1.2128654273188,1.19388765754731,-0.67907851)); #163612=CARTESIAN_POINT('',(-1.31678847577293,1.25388765754731,-0.67907851)); #163613=CARTESIAN_POINT('',(-1.34276923788647,1.26888765754731,-0.67907851)); #163614=CARTESIAN_POINT('',(-1.2128654273188,1.19388765754731,-0.67407851)); #163615=CARTESIAN_POINT('',(-1.2128654273188,1.19388765754731,-0.67907851)); #163616=CARTESIAN_POINT('',(-1.31678847577293,1.25388765754731,-0.67407851)); #163617=CARTESIAN_POINT('',(-1.31678847577293,1.25388765754731,-0.67407851)); #163618=CARTESIAN_POINT('',(-1.31678847577293,1.25388765754731,-0.67907851)); #163619=CARTESIAN_POINT('Origin',(-1.32928847577293,1.23223702245269,-0.67907851)); #163620=CARTESIAN_POINT('',(-1.32928847577293,1.23223702245269,-0.67907851)); #163621=CARTESIAN_POINT('',(-1.32616347577293,1.23764968122635,-0.67907851)); #163622=CARTESIAN_POINT('',(-1.32928847577293,1.23223702245269,-0.67407851)); #163623=CARTESIAN_POINT('',(-1.32928847577293,1.23223702245269,-0.67407851)); #163624=CARTESIAN_POINT('',(-1.32928847577293,1.23223702245269,-0.67907851)); #163625=CARTESIAN_POINT('Origin',(-1.2253654273188,1.17223702245269,-0.67907851)); #163626=CARTESIAN_POINT('',(-1.2253654273188,1.17223702245269,-0.67907851)); #163627=CARTESIAN_POINT('',(-1.3033077136594,1.21723702245269,-0.67907851)); #163628=CARTESIAN_POINT('',(-1.2253654273188,1.17223702245269,-0.67407851)); #163629=CARTESIAN_POINT('',(-1.2253654273188,1.17223702245269,-0.67407851)); #163630=CARTESIAN_POINT('',(-1.2253654273188,1.17223702245269,-0.67907851)); #163631=CARTESIAN_POINT('Origin',(-1.2128654273188,1.19388765754731,-0.67907851)); #163632=CARTESIAN_POINT('',(-1.2159904273188,1.18847499877365,-0.67907851)); #163633=CARTESIAN_POINT('',(-1.2128654273188,1.19388765754731,-0.67407851)); #163634=CARTESIAN_POINT('Origin',(-1.27107695154587,1.21306234,-0.67407851)); #163635=CARTESIAN_POINT('Origin',(-1.3875,1.33306234,-0.67907851)); #163636=CARTESIAN_POINT('',(-1.3875,1.45306234,-0.67907851)); #163637=CARTESIAN_POINT('',(-1.3875,1.33306234,-0.67907851)); #163638=CARTESIAN_POINT('',(-1.3875,1.30306234,-0.67907851)); #163639=CARTESIAN_POINT('',(-1.3875,1.45306234,-0.67407851)); #163640=CARTESIAN_POINT('',(-1.3875,1.45306234,-0.67907851)); #163641=CARTESIAN_POINT('',(-1.3875,1.33306234,-0.67407851)); #163642=CARTESIAN_POINT('',(-1.3875,1.33306234,-0.67407851)); #163643=CARTESIAN_POINT('',(-1.3875,1.33306234,-0.67907851)); #163644=CARTESIAN_POINT('Origin',(-1.3625,1.33306234,-0.67907851)); #163645=CARTESIAN_POINT('',(-1.3625,1.33306234,-0.67907851)); #163646=CARTESIAN_POINT('',(-1.36875,1.33306234,-0.67907851)); #163647=CARTESIAN_POINT('',(-1.3625,1.33306234,-0.67407851)); #163648=CARTESIAN_POINT('',(-1.3625,1.33306234,-0.67407851)); #163649=CARTESIAN_POINT('',(-1.3625,1.33306234,-0.67907851)); #163650=CARTESIAN_POINT('Origin',(-1.3625,1.45306234,-0.67907851)); #163651=CARTESIAN_POINT('',(-1.3625,1.45306234,-0.67907851)); #163652=CARTESIAN_POINT('',(-1.3625,1.36306234,-0.67907851)); #163653=CARTESIAN_POINT('',(-1.3625,1.45306234,-0.67407851)); #163654=CARTESIAN_POINT('',(-1.3625,1.45306234,-0.67407851)); #163655=CARTESIAN_POINT('',(-1.3625,1.45306234,-0.67907851)); #163656=CARTESIAN_POINT('Origin',(-1.3875,1.45306234,-0.67907851)); #163657=CARTESIAN_POINT('',(-1.38125,1.45306234,-0.67907851)); #163658=CARTESIAN_POINT('',(-1.3875,1.45306234,-0.67407851)); #163659=CARTESIAN_POINT('Origin',(-1.375,1.39306234,-0.67407851)); #163660=CARTESIAN_POINT('Origin',(-1.42071152422707,1.23223702245269,-0.67907851)); #163661=CARTESIAN_POINT('',(-1.5246345726812,1.17223702245269,-0.67907851)); #163662=CARTESIAN_POINT('',(-1.42071152422707,1.23223702245269,-0.67907851)); #163663=CARTESIAN_POINT('',(-1.39473076211353,1.24723702245269,-0.67907851)); #163664=CARTESIAN_POINT('',(-1.5246345726812,1.17223702245269,-0.67407851)); #163665=CARTESIAN_POINT('',(-1.5246345726812,1.17223702245269,-0.67907851)); #163666=CARTESIAN_POINT('',(-1.42071152422707,1.23223702245269,-0.67407851)); #163667=CARTESIAN_POINT('',(-1.42071152422707,1.23223702245269,-0.67407851)); #163668=CARTESIAN_POINT('',(-1.42071152422707,1.23223702245269,-0.67907851)); #163669=CARTESIAN_POINT('Origin',(-1.43321152422707,1.25388765754731,-0.67907851)); #163670=CARTESIAN_POINT('',(-1.43321152422707,1.25388765754731,-0.67907851)); #163671=CARTESIAN_POINT('',(-1.43008652422707,1.24847499877365,-0.67907851)); #163672=CARTESIAN_POINT('',(-1.43321152422707,1.25388765754731,-0.67407851)); #163673=CARTESIAN_POINT('',(-1.43321152422707,1.25388765754731,-0.67407851)); #163674=CARTESIAN_POINT('',(-1.43321152422707,1.25388765754731,-0.67907851)); #163675=CARTESIAN_POINT('Origin',(-1.5371345726812,1.19388765754731,-0.67907851)); #163676=CARTESIAN_POINT('',(-1.5371345726812,1.19388765754731,-0.67907851)); #163677=CARTESIAN_POINT('',(-1.4591922863406,1.23888765754731,-0.67907851)); #163678=CARTESIAN_POINT('',(-1.5371345726812,1.19388765754731,-0.67407851)); #163679=CARTESIAN_POINT('',(-1.5371345726812,1.19388765754731,-0.67407851)); #163680=CARTESIAN_POINT('',(-1.5371345726812,1.19388765754731,-0.67907851)); #163681=CARTESIAN_POINT('Origin',(-1.5246345726812,1.17223702245269,-0.67907851)); #163682=CARTESIAN_POINT('',(-1.5277595726812,1.17764968122635,-0.67907851)); #163683=CARTESIAN_POINT('',(-1.5246345726812,1.17223702245269,-0.67407851)); #163684=CARTESIAN_POINT('Origin',(-1.47892304845413,1.21306234,-0.67407851)); #163685=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #163686=CARTESIAN_POINT('',(-1.5482411613907,1.4463035013907,-0.87407851)); #163687=CARTESIAN_POINT('',(-1.62,1.27306234,-0.87407851)); #163688=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.87407851)); #163689=CARTESIAN_POINT('',(-1.60978382951616,1.38476083326525,-0.848097747886467)); #163690=CARTESIAN_POINT('Ctrl Pts',(-1.60978382951616,1.38476083326525, -0.848097747886467)); #163691=CARTESIAN_POINT('Ctrl Pts',(-1.57809849543177,1.41644616734964, -0.874078510000001)); #163692=CARTESIAN_POINT('Ctrl Pts',(-1.5482411613907,1.4463035013907,-0.874078510000001)); #163693=CARTESIAN_POINT('',(-1.62,1.36009681592765,-0.848097747886466)); #163694=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.848097747886467)); #163695=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.27306234,-0.874078510000001)); #163696=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.31528698673718,-0.874078510000001)); #163697=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.36009681592765,-0.848097747886466)); #163698=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #163699=CARTESIAN_POINT('',(-1.5482411613907,1.0998211786093,-0.87407851)); #163700=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.87407851)); #163701=CARTESIAN_POINT('',(-1.62,1.18602786407235,-0.848097747886466)); #163702=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.18602786407235,-0.848097747886466)); #163703=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.23083769326282,-0.874078510000001)); #163704=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.27306234,-0.874078510000001)); #163705=CARTESIAN_POINT('',(-1.60978382951616,1.16136384673475,-0.848097747886466)); #163706=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.848097747886467)); #163707=CARTESIAN_POINT('Ctrl Pts',(-1.5482411613907,1.0998211786093,-0.87407851)); #163708=CARTESIAN_POINT('Ctrl Pts',(-1.57809849543177,1.12967851265036, -0.87407851)); #163709=CARTESIAN_POINT('Ctrl Pts',(-1.60978382951616,1.16136384673475, -0.848097747886466)); #163710=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #163711=CARTESIAN_POINT('',(-1.375,1.02806234,-0.87407851)); #163712=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.87407851)); #163713=CARTESIAN_POINT('',(-1.48669849326525,1.03827851048384,-0.848097747886467)); #163714=CARTESIAN_POINT('Ctrl Pts',(-1.48669849326525,1.03827851048384, -0.848097747886467)); #163715=CARTESIAN_POINT('Ctrl Pts',(-1.51838382734964,1.06996384456823, -0.874078510000001)); #163716=CARTESIAN_POINT('Ctrl Pts',(-1.5482411613907,1.0998211786093,-0.87407851)); #163717=CARTESIAN_POINT('',(-1.46203447592765,1.02806234,-0.848097747886467)); #163718=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.848097747886467)); #163719=CARTESIAN_POINT('Ctrl Pts',(-1.375,1.02806234,-0.87407851)); #163720=CARTESIAN_POINT('Ctrl Pts',(-1.41722464673717,1.02806234,-0.87407851)); #163721=CARTESIAN_POINT('Ctrl Pts',(-1.46203447592765,1.02806234,-0.848097747886467)); #163722=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #163723=CARTESIAN_POINT('',(-1.2017588386093,1.0998211786093,-0.87407851)); #163724=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.87407851)); #163725=CARTESIAN_POINT('',(-1.28796552407235,1.02806234,-0.848097747886467)); #163726=CARTESIAN_POINT('Ctrl Pts',(-1.28796552407235,1.02806234,-0.848097747886467)); #163727=CARTESIAN_POINT('Ctrl Pts',(-1.33277535326282,1.02806234,-0.874078509999999)); #163728=CARTESIAN_POINT('Ctrl Pts',(-1.375,1.02806234,-0.87407851)); #163729=CARTESIAN_POINT('',(-1.26330150673475,1.03827851048384,-0.848097747886467)); #163730=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.848097747886467)); #163731=CARTESIAN_POINT('Ctrl Pts',(-1.2017588386093,1.0998211786093,-0.874078509999999)); #163732=CARTESIAN_POINT('Ctrl Pts',(-1.23161617265036,1.06996384456823, -0.87407851)); #163733=CARTESIAN_POINT('Ctrl Pts',(-1.26330150673475,1.03827851048384, -0.848097747886467)); #163734=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #163735=CARTESIAN_POINT('',(-1.13,1.27306234,-0.87407851)); #163736=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.87407851)); #163737=CARTESIAN_POINT('',(-1.14021617048384,1.16136384673475,-0.848097747886467)); #163738=CARTESIAN_POINT('Ctrl Pts',(-1.14021617048384,1.16136384673475, -0.848097747886467)); #163739=CARTESIAN_POINT('Ctrl Pts',(-1.17190150456823,1.12967851265036, -0.87407851)); #163740=CARTESIAN_POINT('Ctrl Pts',(-1.2017588386093,1.0998211786093,-0.874078509999999)); #163741=CARTESIAN_POINT('',(-1.13,1.18602786407235,-0.848097747886467)); #163742=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.848097747886467)); #163743=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.27306234,-0.874078509999999)); #163744=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.23083769326282,-0.874078509999999)); #163745=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.18602786407235,-0.848097747886466)); #163746=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #163747=CARTESIAN_POINT('',(-1.2017588386093,1.4463035013907,-0.87407851)); #163748=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.87407851)); #163749=CARTESIAN_POINT('',(-1.13,1.36009681592765,-0.848097747886467)); #163750=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.36009681592765,-0.848097747886466)); #163751=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.31528698673718,-0.874078509999999)); #163752=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.27306234,-0.874078509999999)); #163753=CARTESIAN_POINT('',(-1.14021617048384,1.38476083326525,-0.848097747886467)); #163754=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.848097747886467)); #163755=CARTESIAN_POINT('Ctrl Pts',(-1.2017588386093,1.4463035013907,-0.87407851)); #163756=CARTESIAN_POINT('Ctrl Pts',(-1.17190150456823,1.41644616734964, -0.87407851)); #163757=CARTESIAN_POINT('Ctrl Pts',(-1.14021617048384,1.38476083326525, -0.848097747886467)); #163758=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #163759=CARTESIAN_POINT('',(-1.375,1.51806234,-0.87407851)); #163760=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.87407851)); #163761=CARTESIAN_POINT('',(-1.26330150673475,1.50784616951616,-0.848097747886467)); #163762=CARTESIAN_POINT('Ctrl Pts',(-1.26330150673475,1.50784616951616, -0.848097747886467)); #163763=CARTESIAN_POINT('Ctrl Pts',(-1.23161617265036,1.47616083543177, -0.87407851)); #163764=CARTESIAN_POINT('Ctrl Pts',(-1.2017588386093,1.4463035013907,-0.87407851)); #163765=CARTESIAN_POINT('',(-1.28796552407235,1.51806234,-0.848097747886467)); #163766=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.848097747886467)); #163767=CARTESIAN_POINT('Ctrl Pts',(-1.375,1.51806234,-0.87407851)); #163768=CARTESIAN_POINT('Ctrl Pts',(-1.33277535326282,1.51806234,-0.87407851)); #163769=CARTESIAN_POINT('Ctrl Pts',(-1.28796552407235,1.51806234,-0.848097747886467)); #163770=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.88907851)); #163771=CARTESIAN_POINT('',(-1.48669849326525,1.50784616951616,-0.848097747886467)); #163772=CARTESIAN_POINT('',(-1.46203447592765,1.51806234,-0.848097747886467)); #163773=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.848097747886467)); #163774=CARTESIAN_POINT('Ctrl Pts',(-1.5482411613907,1.4463035013907,-0.874078510000001)); #163775=CARTESIAN_POINT('Ctrl Pts',(-1.51838382734964,1.47616083543177, -0.874078510000001)); #163776=CARTESIAN_POINT('Ctrl Pts',(-1.48669849326525,1.50784616951616, -0.848097747886467)); #163777=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.87407851)); #163778=CARTESIAN_POINT('Ctrl Pts',(-1.46203447592765,1.51806234,-0.848097747886467)); #163779=CARTESIAN_POINT('Ctrl Pts',(-1.41722464673717,1.51806234,-0.87407851)); #163780=CARTESIAN_POINT('Ctrl Pts',(-1.375,1.51806234,-0.87407851)); #163781=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163782=CARTESIAN_POINT('',(-1.5482411613907,1.4463035013907,-0.67907851)); #163783=CARTESIAN_POINT('',(-1.375,1.51806234,-0.67907851)); #163784=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163785=CARTESIAN_POINT('',(-1.48669849326525,1.50784616951616,-0.705059272113533)); #163786=CARTESIAN_POINT('Ctrl Pts',(-1.48669849326525,1.50784616951616, -0.705059272113533)); #163787=CARTESIAN_POINT('Ctrl Pts',(-1.51838382734964,1.47616083543177, -0.679078509999999)); #163788=CARTESIAN_POINT('Ctrl Pts',(-1.5482411613907,1.4463035013907,-0.679078509999999)); #163789=CARTESIAN_POINT('',(-1.46203447592765,1.51806234,-0.705059272113533)); #163790=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163791=CARTESIAN_POINT('Ctrl Pts',(-1.375,1.51806234,-0.679078509999999)); #163792=CARTESIAN_POINT('Ctrl Pts',(-1.41722464673718,1.51806234,-0.679078509999999)); #163793=CARTESIAN_POINT('Ctrl Pts',(-1.46203447592765,1.51806234,-0.705059272113533)); #163794=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163795=CARTESIAN_POINT('',(-1.2017588386093,1.4463035013907,-0.67907851)); #163796=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163797=CARTESIAN_POINT('',(-1.28796552407235,1.51806234,-0.705059272113533)); #163798=CARTESIAN_POINT('Ctrl Pts',(-1.28796552407235,1.51806234,-0.705059272113533)); #163799=CARTESIAN_POINT('Ctrl Pts',(-1.33277535326282,1.51806234,-0.67907851)); #163800=CARTESIAN_POINT('Ctrl Pts',(-1.375,1.51806234,-0.679078509999999)); #163801=CARTESIAN_POINT('',(-1.26330150673475,1.50784616951616,-0.705059272113533)); #163802=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163803=CARTESIAN_POINT('Ctrl Pts',(-1.2017588386093,1.4463035013907,-0.67907851)); #163804=CARTESIAN_POINT('Ctrl Pts',(-1.23161617265036,1.47616083543177, -0.67907851)); #163805=CARTESIAN_POINT('Ctrl Pts',(-1.26330150673475,1.50784616951616, -0.705059272113533)); #163806=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163807=CARTESIAN_POINT('',(-1.13,1.27306234,-0.67907851)); #163808=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163809=CARTESIAN_POINT('',(-1.14021617048384,1.38476083326525,-0.705059272113533)); #163810=CARTESIAN_POINT('Ctrl Pts',(-1.14021617048384,1.38476083326525, -0.705059272113533)); #163811=CARTESIAN_POINT('Ctrl Pts',(-1.17190150456823,1.41644616734964, -0.67907851)); #163812=CARTESIAN_POINT('Ctrl Pts',(-1.2017588386093,1.4463035013907,-0.67907851)); #163813=CARTESIAN_POINT('',(-1.13,1.36009681592765,-0.705059272113533)); #163814=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163815=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.27306234,-0.679078510000001)); #163816=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.31528698673717,-0.679078510000001)); #163817=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.36009681592765,-0.705059272113533)); #163818=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163819=CARTESIAN_POINT('',(-1.2017588386093,1.0998211786093,-0.67907851)); #163820=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163821=CARTESIAN_POINT('',(-1.13,1.18602786407235,-0.705059272113533)); #163822=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.18602786407235,-0.705059272113533)); #163823=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.23083769326283,-0.679078510000001)); #163824=CARTESIAN_POINT('Ctrl Pts',(-1.13,1.27306234,-0.679078510000001)); #163825=CARTESIAN_POINT('',(-1.14021617048384,1.16136384673475,-0.705059272113533)); #163826=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163827=CARTESIAN_POINT('Ctrl Pts',(-1.2017588386093,1.0998211786093,-0.67907851)); #163828=CARTESIAN_POINT('Ctrl Pts',(-1.17190150456823,1.12967851265036, -0.67907851)); #163829=CARTESIAN_POINT('Ctrl Pts',(-1.14021617048384,1.16136384673475, -0.705059272113533)); #163830=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163831=CARTESIAN_POINT('',(-1.375,1.02806234,-0.67907851)); #163832=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163833=CARTESIAN_POINT('',(-1.26330150673475,1.03827851048384,-0.705059272113533)); #163834=CARTESIAN_POINT('Ctrl Pts',(-1.26330150673475,1.03827851048384, -0.705059272113533)); #163835=CARTESIAN_POINT('Ctrl Pts',(-1.23161617265036,1.06996384456823, -0.67907851)); #163836=CARTESIAN_POINT('Ctrl Pts',(-1.2017588386093,1.0998211786093,-0.67907851)); #163837=CARTESIAN_POINT('',(-1.28796552407235,1.02806234,-0.705059272113533)); #163838=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163839=CARTESIAN_POINT('Ctrl Pts',(-1.375,1.02806234,-0.67907851)); #163840=CARTESIAN_POINT('Ctrl Pts',(-1.33277535326282,1.02806234,-0.67907851)); #163841=CARTESIAN_POINT('Ctrl Pts',(-1.28796552407235,1.02806234,-0.705059272113533)); #163842=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163843=CARTESIAN_POINT('',(-1.5482411613907,1.0998211786093,-0.67907851)); #163844=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163845=CARTESIAN_POINT('',(-1.46203447592765,1.02806234,-0.705059272113533)); #163846=CARTESIAN_POINT('Ctrl Pts',(-1.46203447592765,1.02806234,-0.705059272113533)); #163847=CARTESIAN_POINT('Ctrl Pts',(-1.41722464673717,1.02806234,-0.67907851)); #163848=CARTESIAN_POINT('Ctrl Pts',(-1.375,1.02806234,-0.67907851)); #163849=CARTESIAN_POINT('',(-1.48669849326525,1.03827851048384,-0.705059272113533)); #163850=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163851=CARTESIAN_POINT('Ctrl Pts',(-1.5482411613907,1.0998211786093,-0.67907851)); #163852=CARTESIAN_POINT('Ctrl Pts',(-1.51838382734964,1.06996384456823, -0.679078509999999)); #163853=CARTESIAN_POINT('Ctrl Pts',(-1.48669849326525,1.03827851048384, -0.705059272113533)); #163854=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163855=CARTESIAN_POINT('',(-1.62,1.27306234,-0.67907851)); #163856=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163857=CARTESIAN_POINT('',(-1.60978382951616,1.16136384673475,-0.705059272113533)); #163858=CARTESIAN_POINT('Ctrl Pts',(-1.60978382951616,1.16136384673475, -0.705059272113533)); #163859=CARTESIAN_POINT('Ctrl Pts',(-1.57809849543177,1.12967851265036, -0.679078509999999)); #163860=CARTESIAN_POINT('Ctrl Pts',(-1.5482411613907,1.0998211786093,-0.67907851)); #163861=CARTESIAN_POINT('',(-1.62,1.18602786407235,-0.705059272113533)); #163862=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163863=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.27306234,-0.679078509999999)); #163864=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.23083769326282,-0.679078509999998)); #163865=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.18602786407235,-0.705059272113533)); #163866=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163867=CARTESIAN_POINT('',(-1.62,1.36009681592765,-0.705059272113533)); #163868=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.36009681592765,-0.705059272113533)); #163869=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.31528698673717,-0.679078509999998)); #163870=CARTESIAN_POINT('Ctrl Pts',(-1.62,1.27306234,-0.679078509999999)); #163871=CARTESIAN_POINT('',(-1.60978382951616,1.38476083326525,-0.705059272113533)); #163872=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.705059272113533)); #163873=CARTESIAN_POINT('Ctrl Pts',(-1.5482411613907,1.4463035013907,-0.679078509999999)); #163874=CARTESIAN_POINT('Ctrl Pts',(-1.57809849543177,1.41644616734964, -0.679078509999999)); #163875=CARTESIAN_POINT('Ctrl Pts',(-1.60978382951616,1.38476083326525, -0.705059272113533)); #163876=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163877=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163878=CARTESIAN_POINT('',(-1.48669849326525,1.03827851048384,-0.67907851)); #163879=CARTESIAN_POINT('',(-1.46203447592765,1.02806234,-0.67907851)); #163880=CARTESIAN_POINT('Origin',(-1.46203447592765,1.02806234,-0.67907851)); #163881=CARTESIAN_POINT('',(-1.28796552407235,1.02806234,-0.67907851)); #163882=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163883=CARTESIAN_POINT('',(-1.62,1.18602786407235,-0.67907851)); #163884=CARTESIAN_POINT('',(-1.60978382951616,1.16136384673475,-0.67907851)); #163885=CARTESIAN_POINT('Origin',(-1.60978382951616,1.16136384673475,-0.67907851)); #163886=CARTESIAN_POINT('Origin',(-1.48669849326525,1.50784616951616,-0.67907851)); #163887=CARTESIAN_POINT('',(-1.48669849326525,1.50784616951616,-0.67907851)); #163888=CARTESIAN_POINT('',(-1.60978382951616,1.38476083326525,-0.67907851)); #163889=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163890=CARTESIAN_POINT('',(-1.62,1.36009681592765,-0.67907851)); #163891=CARTESIAN_POINT('Origin',(-1.62,1.36009681592765,-0.67907851)); #163892=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163893=CARTESIAN_POINT('',(-1.46203447592765,1.51806234,-0.67907851)); #163894=CARTESIAN_POINT('Origin',(-1.28796552407235,1.51806234,-0.67907851)); #163895=CARTESIAN_POINT('',(-1.28796552407235,1.51806234,-0.67907851)); #163896=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163897=CARTESIAN_POINT('',(-1.26330150673475,1.50784616951616,-0.67907851)); #163898=CARTESIAN_POINT('Origin',(-1.14021617048384,1.38476083326525,-0.67907851)); #163899=CARTESIAN_POINT('',(-1.14021617048384,1.38476083326525,-0.67907851)); #163900=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163901=CARTESIAN_POINT('',(-1.13,1.36009681592765,-0.67907851)); #163902=CARTESIAN_POINT('Origin',(-1.13,1.18602786407235,-0.67907851)); #163903=CARTESIAN_POINT('',(-1.13,1.18602786407235,-0.67907851)); #163904=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163905=CARTESIAN_POINT('',(-1.14021617048384,1.16136384673475,-0.67907851)); #163906=CARTESIAN_POINT('Origin',(-1.26330150673475,1.03827851048384,-0.67907851)); #163907=CARTESIAN_POINT('',(-1.26330150673475,1.03827851048384,-0.67907851)); #163908=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163909=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.87407851)); #163910=CARTESIAN_POINT('Origin',(-1.375,1.27306234,-0.67907851)); #163911=CARTESIAN_POINT('Origin',(-1.471023045,0.636305980000002,-0.23766513)); #163912=CARTESIAN_POINT('Origin',(1.471023045,0.636305979999999,-0.23766513)); #163913=CARTESIAN_POINT('',(1.471023045,0.362245837678193,-0.04)); #163914=CARTESIAN_POINT('Origin',(1.24200916892644,0.362245312187237,-0.0400301053567116)); #163915=CARTESIAN_POINT('Origin',(1.471023045,0.30285624781276,-0.0400301053567116)); #163916=CARTESIAN_POINT('',(0.,0.,0.)); #163917=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #163918=CARTESIAN_POINT('',(-0.371875,-0.0925240473580835,-1.49002592583171E-17)); #163919=CARTESIAN_POINT('',(-0.378125,-0.0925240473580835,-1.49002592583171E-17)); #163920=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #163921=CARTESIAN_POINT('',(-0.406875,0.0287611236358519,-0.0879403042223764)); #163922=CARTESIAN_POINT('Ctrl Pts',(-0.371875,-0.0925240473580835,-1.13309278441235E-17)); #163923=CARTESIAN_POINT('Ctrl Pts',(-0.375,-0.0925240473580835,0.0383247152613687)); #163924=CARTESIAN_POINT('Ctrl Pts',(-0.378125,-0.0654243813097261,0.0654243813097261)); #163925=CARTESIAN_POINT('Ctrl Pts',(-0.38125,-0.0383247152613688,0.0925240473580835)); #163926=CARTESIAN_POINT('Ctrl Pts',(-0.384375,-1.69963917661853E-17,0.0925240473580835)); #163927=CARTESIAN_POINT('Ctrl Pts',(-0.3875,0.0383247152613687,0.0925240473580835)); #163928=CARTESIAN_POINT('Ctrl Pts',(-0.390625,0.0654243813097261,0.0654243813097261)); #163929=CARTESIAN_POINT('Ctrl Pts',(-0.39375,0.0925240473580835,0.0383247152613688)); #163930=CARTESIAN_POINT('Ctrl Pts',(-0.396875,0.0925240473580835,0.)); #163931=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.0925240473580835,-0.0383247152613687)); #163932=CARTESIAN_POINT('Ctrl Pts',(-0.403125,0.0654243813097261,-0.0654243813097261)); #163933=CARTESIAN_POINT('Ctrl Pts',(-0.404928563300972,0.0497840731006359, -0.0810646895188163)); #163934=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0287611236358519,-0.0879403042223764)); #163935=CARTESIAN_POINT('',(-0.406875,0.0825203710127069,-0.0418459998977928)); #163936=CARTESIAN_POINT('Origin',(-0.406875,4.37095678986282E-17,-3.56933141419356E-18)); #163937=CARTESIAN_POINT('Ctrl Pts',(-0.378125,-0.0925240473580835,-1.13309278441235E-17)); #163938=CARTESIAN_POINT('Ctrl Pts',(-0.38125,-0.0925240473580835,0.0383247152613687)); #163939=CARTESIAN_POINT('Ctrl Pts',(-0.384375,-0.0654243813097261,0.0654243813097261)); #163940=CARTESIAN_POINT('Ctrl Pts',(-0.3875,-0.0383247152613688,0.0925240473580835)); #163941=CARTESIAN_POINT('Ctrl Pts',(-0.390625,-1.69963917661853E-17,0.0925240473580835)); #163942=CARTESIAN_POINT('Ctrl Pts',(-0.39375,0.0383247152613687,0.0925240473580835)); #163943=CARTESIAN_POINT('Ctrl Pts',(-0.396875,0.0654243813097261,0.0654243813097261)); #163944=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.0925240473580835,0.0383247152613688)); #163945=CARTESIAN_POINT('Ctrl Pts',(-0.403125,0.0925240473580835,0.)); #163946=CARTESIAN_POINT('Ctrl Pts',(-0.404928563300972,0.0925240473580835, -0.0221187359889895)); #163947=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0825203710127069,-0.0418459998977928)); #163948=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #163949=CARTESIAN_POINT('',(-0.321875,-0.0925240473580835,-1.49002592583171E-17)); #163950=CARTESIAN_POINT('',(-0.328125,-0.0925240473580835,-1.49002592583171E-17)); #163951=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #163952=CARTESIAN_POINT('Ctrl Pts',(-0.321875,-0.0925240473580835,-1.13309278441235E-17)); #163953=CARTESIAN_POINT('Ctrl Pts',(-0.325,-0.0925240473580835,0.0383247152613687)); #163954=CARTESIAN_POINT('Ctrl Pts',(-0.328125,-0.0654243813097261,0.0654243813097261)); #163955=CARTESIAN_POINT('Ctrl Pts',(-0.33125,-0.0383247152613688,0.0925240473580835)); #163956=CARTESIAN_POINT('Ctrl Pts',(-0.334375,-1.69963917661853E-17,0.0925240473580835)); #163957=CARTESIAN_POINT('Ctrl Pts',(-0.3375,0.0383247152613687,0.0925240473580835)); #163958=CARTESIAN_POINT('Ctrl Pts',(-0.340625,0.0654243813097261,0.0654243813097261)); #163959=CARTESIAN_POINT('Ctrl Pts',(-0.34375,0.0925240473580835,0.0383247152613688)); #163960=CARTESIAN_POINT('Ctrl Pts',(-0.346875,0.0925240473580835,0.)); #163961=CARTESIAN_POINT('Ctrl Pts',(-0.35,0.0925240473580835,-0.0383247152613687)); #163962=CARTESIAN_POINT('Ctrl Pts',(-0.353125,0.0654243813097261,-0.0654243813097261)); #163963=CARTESIAN_POINT('Ctrl Pts',(-0.35625,0.0383247152613687,-0.0925240473580835)); #163964=CARTESIAN_POINT('Ctrl Pts',(-0.359375,5.66546392206175E-18,-0.0925240473580835)); #163965=CARTESIAN_POINT('Ctrl Pts',(-0.3625,-0.0383247152613687,-0.0925240473580835)); #163966=CARTESIAN_POINT('Ctrl Pts',(-0.365625,-0.0654243813097261,-0.0654243813097261)); #163967=CARTESIAN_POINT('Ctrl Pts',(-0.36875,-0.0925240473580835,-0.0383247152613687)); #163968=CARTESIAN_POINT('Ctrl Pts',(-0.371875,-0.0925240473580835,-1.13309278441235E-17)); #163969=CARTESIAN_POINT('Ctrl Pts',(-0.328125,-0.0925240473580835,-1.13309278441235E-17)); #163970=CARTESIAN_POINT('Ctrl Pts',(-0.33125,-0.0925240473580835,0.0383247152613687)); #163971=CARTESIAN_POINT('Ctrl Pts',(-0.334375,-0.0654243813097261,0.0654243813097261)); #163972=CARTESIAN_POINT('Ctrl Pts',(-0.3375,-0.0383247152613688,0.0925240473580835)); #163973=CARTESIAN_POINT('Ctrl Pts',(-0.340625,-1.69963917661853E-17,0.0925240473580835)); #163974=CARTESIAN_POINT('Ctrl Pts',(-0.34375,0.0383247152613687,0.0925240473580835)); #163975=CARTESIAN_POINT('Ctrl Pts',(-0.346875,0.0654243813097261,0.0654243813097261)); #163976=CARTESIAN_POINT('Ctrl Pts',(-0.35,0.0925240473580835,0.0383247152613688)); #163977=CARTESIAN_POINT('Ctrl Pts',(-0.353125,0.0925240473580835,0.)); #163978=CARTESIAN_POINT('Ctrl Pts',(-0.35625,0.0925240473580835,-0.0383247152613687)); #163979=CARTESIAN_POINT('Ctrl Pts',(-0.359375,0.0654243813097261,-0.0654243813097261)); #163980=CARTESIAN_POINT('Ctrl Pts',(-0.3625,0.0383247152613687,-0.0925240473580835)); #163981=CARTESIAN_POINT('Ctrl Pts',(-0.365625,5.66546392206175E-18,-0.0925240473580835)); #163982=CARTESIAN_POINT('Ctrl Pts',(-0.36875,-0.0383247152613687,-0.0925240473580835)); #163983=CARTESIAN_POINT('Ctrl Pts',(-0.371875,-0.0654243813097261,-0.0654243813097261)); #163984=CARTESIAN_POINT('Ctrl Pts',(-0.375,-0.0925240473580835,-0.0383247152613687)); #163985=CARTESIAN_POINT('Ctrl Pts',(-0.378125,-0.0925240473580835,-1.13309278441235E-17)); #163986=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #163987=CARTESIAN_POINT('',(-0.271875,-0.0925240473580835,-1.49002592583171E-17)); #163988=CARTESIAN_POINT('',(-0.278125,-0.0925240473580835,-1.49002592583171E-17)); #163989=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #163990=CARTESIAN_POINT('Ctrl Pts',(-0.271875,-0.0925240473580835,-1.13309278441235E-17)); #163991=CARTESIAN_POINT('Ctrl Pts',(-0.275,-0.0925240473580835,0.0383247152613687)); #163992=CARTESIAN_POINT('Ctrl Pts',(-0.278125,-0.0654243813097261,0.0654243813097261)); #163993=CARTESIAN_POINT('Ctrl Pts',(-0.28125,-0.0383247152613688,0.0925240473580835)); #163994=CARTESIAN_POINT('Ctrl Pts',(-0.284375,-1.69963917661853E-17,0.0925240473580835)); #163995=CARTESIAN_POINT('Ctrl Pts',(-0.2875,0.0383247152613687,0.0925240473580835)); #163996=CARTESIAN_POINT('Ctrl Pts',(-0.290625,0.0654243813097261,0.0654243813097261)); #163997=CARTESIAN_POINT('Ctrl Pts',(-0.29375,0.0925240473580835,0.0383247152613688)); #163998=CARTESIAN_POINT('Ctrl Pts',(-0.296875,0.0925240473580835,0.)); #163999=CARTESIAN_POINT('Ctrl Pts',(-0.3,0.0925240473580835,-0.0383247152613687)); #164000=CARTESIAN_POINT('Ctrl Pts',(-0.303125,0.0654243813097261,-0.0654243813097261)); #164001=CARTESIAN_POINT('Ctrl Pts',(-0.30625,0.0383247152613687,-0.0925240473580835)); #164002=CARTESIAN_POINT('Ctrl Pts',(-0.309375,5.66546392206175E-18,-0.0925240473580835)); #164003=CARTESIAN_POINT('Ctrl Pts',(-0.3125,-0.0383247152613687,-0.0925240473580835)); #164004=CARTESIAN_POINT('Ctrl Pts',(-0.315625,-0.0654243813097261,-0.0654243813097261)); #164005=CARTESIAN_POINT('Ctrl Pts',(-0.31875,-0.0925240473580835,-0.0383247152613687)); #164006=CARTESIAN_POINT('Ctrl Pts',(-0.321875,-0.0925240473580835,-1.13309278441235E-17)); #164007=CARTESIAN_POINT('Ctrl Pts',(-0.278125,-0.0925240473580835,-1.13309278441235E-17)); #164008=CARTESIAN_POINT('Ctrl Pts',(-0.28125,-0.0925240473580835,0.0383247152613687)); #164009=CARTESIAN_POINT('Ctrl Pts',(-0.284375,-0.0654243813097261,0.0654243813097261)); #164010=CARTESIAN_POINT('Ctrl Pts',(-0.2875,-0.0383247152613688,0.0925240473580835)); #164011=CARTESIAN_POINT('Ctrl Pts',(-0.290625,-1.69963917661853E-17,0.0925240473580835)); #164012=CARTESIAN_POINT('Ctrl Pts',(-0.29375,0.0383247152613687,0.0925240473580835)); #164013=CARTESIAN_POINT('Ctrl Pts',(-0.296875,0.0654243813097261,0.0654243813097261)); #164014=CARTESIAN_POINT('Ctrl Pts',(-0.3,0.0925240473580835,0.0383247152613688)); #164015=CARTESIAN_POINT('Ctrl Pts',(-0.303125,0.0925240473580835,0.)); #164016=CARTESIAN_POINT('Ctrl Pts',(-0.30625,0.0925240473580835,-0.0383247152613687)); #164017=CARTESIAN_POINT('Ctrl Pts',(-0.309375,0.0654243813097261,-0.0654243813097261)); #164018=CARTESIAN_POINT('Ctrl Pts',(-0.3125,0.0383247152613687,-0.0925240473580835)); #164019=CARTESIAN_POINT('Ctrl Pts',(-0.315625,5.66546392206175E-18,-0.0925240473580835)); #164020=CARTESIAN_POINT('Ctrl Pts',(-0.31875,-0.0383247152613687,-0.0925240473580835)); #164021=CARTESIAN_POINT('Ctrl Pts',(-0.321875,-0.0654243813097261,-0.0654243813097261)); #164022=CARTESIAN_POINT('Ctrl Pts',(-0.325,-0.0925240473580835,-0.0383247152613687)); #164023=CARTESIAN_POINT('Ctrl Pts',(-0.328125,-0.0925240473580835,-1.13309278441235E-17)); #164024=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164025=CARTESIAN_POINT('',(-0.221875,-0.0925240473580835,-1.49002592583171E-17)); #164026=CARTESIAN_POINT('',(-0.228125,-0.0925240473580835,-1.49002592583171E-17)); #164027=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164028=CARTESIAN_POINT('Ctrl Pts',(-0.221875,-0.0925240473580835,-1.13309278441235E-17)); #164029=CARTESIAN_POINT('Ctrl Pts',(-0.225,-0.0925240473580835,0.0383247152613687)); #164030=CARTESIAN_POINT('Ctrl Pts',(-0.228125,-0.0654243813097261,0.0654243813097261)); #164031=CARTESIAN_POINT('Ctrl Pts',(-0.23125,-0.0383247152613688,0.0925240473580835)); #164032=CARTESIAN_POINT('Ctrl Pts',(-0.234375,-1.69963917661853E-17,0.0925240473580835)); #164033=CARTESIAN_POINT('Ctrl Pts',(-0.2375,0.0383247152613687,0.0925240473580835)); #164034=CARTESIAN_POINT('Ctrl Pts',(-0.240625,0.0654243813097261,0.0654243813097261)); #164035=CARTESIAN_POINT('Ctrl Pts',(-0.24375,0.0925240473580835,0.0383247152613688)); #164036=CARTESIAN_POINT('Ctrl Pts',(-0.246875,0.0925240473580835,0.)); #164037=CARTESIAN_POINT('Ctrl Pts',(-0.25,0.0925240473580835,-0.0383247152613687)); #164038=CARTESIAN_POINT('Ctrl Pts',(-0.253125,0.0654243813097261,-0.0654243813097261)); #164039=CARTESIAN_POINT('Ctrl Pts',(-0.25625,0.0383247152613687,-0.0925240473580835)); #164040=CARTESIAN_POINT('Ctrl Pts',(-0.259375,5.66546392206175E-18,-0.0925240473580835)); #164041=CARTESIAN_POINT('Ctrl Pts',(-0.2625,-0.0383247152613687,-0.0925240473580835)); #164042=CARTESIAN_POINT('Ctrl Pts',(-0.265625,-0.0654243813097261,-0.0654243813097261)); #164043=CARTESIAN_POINT('Ctrl Pts',(-0.26875,-0.0925240473580835,-0.0383247152613687)); #164044=CARTESIAN_POINT('Ctrl Pts',(-0.271875,-0.0925240473580835,-1.13309278441235E-17)); #164045=CARTESIAN_POINT('Ctrl Pts',(-0.228125,-0.0925240473580835,-1.13309278441235E-17)); #164046=CARTESIAN_POINT('Ctrl Pts',(-0.23125,-0.0925240473580835,0.0383247152613687)); #164047=CARTESIAN_POINT('Ctrl Pts',(-0.234375,-0.0654243813097261,0.0654243813097261)); #164048=CARTESIAN_POINT('Ctrl Pts',(-0.2375,-0.0383247152613688,0.0925240473580835)); #164049=CARTESIAN_POINT('Ctrl Pts',(-0.240625,-1.69963917661853E-17,0.0925240473580835)); #164050=CARTESIAN_POINT('Ctrl Pts',(-0.24375,0.0383247152613687,0.0925240473580835)); #164051=CARTESIAN_POINT('Ctrl Pts',(-0.246875,0.0654243813097261,0.0654243813097261)); #164052=CARTESIAN_POINT('Ctrl Pts',(-0.25,0.0925240473580835,0.0383247152613688)); #164053=CARTESIAN_POINT('Ctrl Pts',(-0.253125,0.0925240473580835,0.)); #164054=CARTESIAN_POINT('Ctrl Pts',(-0.25625,0.0925240473580835,-0.0383247152613687)); #164055=CARTESIAN_POINT('Ctrl Pts',(-0.259375,0.0654243813097261,-0.0654243813097261)); #164056=CARTESIAN_POINT('Ctrl Pts',(-0.2625,0.0383247152613687,-0.0925240473580835)); #164057=CARTESIAN_POINT('Ctrl Pts',(-0.265625,5.66546392206175E-18,-0.0925240473580835)); #164058=CARTESIAN_POINT('Ctrl Pts',(-0.26875,-0.0383247152613687,-0.0925240473580835)); #164059=CARTESIAN_POINT('Ctrl Pts',(-0.271875,-0.0654243813097261,-0.0654243813097261)); #164060=CARTESIAN_POINT('Ctrl Pts',(-0.275,-0.0925240473580835,-0.0383247152613687)); #164061=CARTESIAN_POINT('Ctrl Pts',(-0.278125,-0.0925240473580835,-1.13309278441235E-17)); #164062=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164063=CARTESIAN_POINT('',(-0.171875,-0.0925240473580835,-1.49002592583171E-17)); #164064=CARTESIAN_POINT('',(-0.178125,-0.0925240473580835,-1.49002592583171E-17)); #164065=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164066=CARTESIAN_POINT('Ctrl Pts',(-0.171875,-0.0925240473580835,-1.13309278441235E-17)); #164067=CARTESIAN_POINT('Ctrl Pts',(-0.175,-0.0925240473580835,0.0383247152613687)); #164068=CARTESIAN_POINT('Ctrl Pts',(-0.178125,-0.0654243813097261,0.0654243813097261)); #164069=CARTESIAN_POINT('Ctrl Pts',(-0.18125,-0.0383247152613688,0.0925240473580835)); #164070=CARTESIAN_POINT('Ctrl Pts',(-0.184375,-1.69963917661853E-17,0.0925240473580835)); #164071=CARTESIAN_POINT('Ctrl Pts',(-0.1875,0.0383247152613687,0.0925240473580835)); #164072=CARTESIAN_POINT('Ctrl Pts',(-0.190625,0.0654243813097261,0.0654243813097261)); #164073=CARTESIAN_POINT('Ctrl Pts',(-0.19375,0.0925240473580835,0.0383247152613688)); #164074=CARTESIAN_POINT('Ctrl Pts',(-0.196875,0.0925240473580835,0.)); #164075=CARTESIAN_POINT('Ctrl Pts',(-0.2,0.0925240473580835,-0.0383247152613687)); #164076=CARTESIAN_POINT('Ctrl Pts',(-0.203125,0.0654243813097261,-0.0654243813097261)); #164077=CARTESIAN_POINT('Ctrl Pts',(-0.20625,0.0383247152613687,-0.0925240473580835)); #164078=CARTESIAN_POINT('Ctrl Pts',(-0.209375,5.66546392206175E-18,-0.0925240473580835)); #164079=CARTESIAN_POINT('Ctrl Pts',(-0.2125,-0.0383247152613687,-0.0925240473580835)); #164080=CARTESIAN_POINT('Ctrl Pts',(-0.215625,-0.0654243813097261,-0.0654243813097261)); #164081=CARTESIAN_POINT('Ctrl Pts',(-0.21875,-0.0925240473580835,-0.0383247152613687)); #164082=CARTESIAN_POINT('Ctrl Pts',(-0.221875,-0.0925240473580835,-1.13309278441235E-17)); #164083=CARTESIAN_POINT('Ctrl Pts',(-0.178125,-0.0925240473580835,-1.13309278441235E-17)); #164084=CARTESIAN_POINT('Ctrl Pts',(-0.18125,-0.0925240473580835,0.0383247152613687)); #164085=CARTESIAN_POINT('Ctrl Pts',(-0.184375,-0.0654243813097261,0.0654243813097261)); #164086=CARTESIAN_POINT('Ctrl Pts',(-0.1875,-0.0383247152613688,0.0925240473580835)); #164087=CARTESIAN_POINT('Ctrl Pts',(-0.190625,-1.69963917661853E-17,0.0925240473580835)); #164088=CARTESIAN_POINT('Ctrl Pts',(-0.19375,0.0383247152613687,0.0925240473580835)); #164089=CARTESIAN_POINT('Ctrl Pts',(-0.196875,0.0654243813097261,0.0654243813097261)); #164090=CARTESIAN_POINT('Ctrl Pts',(-0.2,0.0925240473580835,0.0383247152613688)); #164091=CARTESIAN_POINT('Ctrl Pts',(-0.203125,0.0925240473580835,0.)); #164092=CARTESIAN_POINT('Ctrl Pts',(-0.20625,0.0925240473580835,-0.0383247152613687)); #164093=CARTESIAN_POINT('Ctrl Pts',(-0.209375,0.0654243813097261,-0.0654243813097261)); #164094=CARTESIAN_POINT('Ctrl Pts',(-0.2125,0.0383247152613687,-0.0925240473580835)); #164095=CARTESIAN_POINT('Ctrl Pts',(-0.215625,5.66546392206175E-18,-0.0925240473580835)); #164096=CARTESIAN_POINT('Ctrl Pts',(-0.21875,-0.0383247152613687,-0.0925240473580835)); #164097=CARTESIAN_POINT('Ctrl Pts',(-0.221875,-0.0654243813097261,-0.0654243813097261)); #164098=CARTESIAN_POINT('Ctrl Pts',(-0.225,-0.0925240473580835,-0.0383247152613687)); #164099=CARTESIAN_POINT('Ctrl Pts',(-0.228125,-0.0925240473580835,-1.13309278441235E-17)); #164100=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164101=CARTESIAN_POINT('',(-0.121875,-0.0925240473580835,-1.49002592583171E-17)); #164102=CARTESIAN_POINT('',(-0.128125,-0.0925240473580835,-1.49002592583171E-17)); #164103=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164104=CARTESIAN_POINT('Ctrl Pts',(-0.121875,-0.0925240473580835,-1.13309278441235E-17)); #164105=CARTESIAN_POINT('Ctrl Pts',(-0.125,-0.0925240473580835,0.0383247152613687)); #164106=CARTESIAN_POINT('Ctrl Pts',(-0.128125,-0.0654243813097261,0.0654243813097261)); #164107=CARTESIAN_POINT('Ctrl Pts',(-0.13125,-0.0383247152613688,0.0925240473580835)); #164108=CARTESIAN_POINT('Ctrl Pts',(-0.134375,-1.69963917661853E-17,0.0925240473580835)); #164109=CARTESIAN_POINT('Ctrl Pts',(-0.1375,0.0383247152613687,0.0925240473580835)); #164110=CARTESIAN_POINT('Ctrl Pts',(-0.140625,0.0654243813097261,0.0654243813097261)); #164111=CARTESIAN_POINT('Ctrl Pts',(-0.14375,0.0925240473580835,0.0383247152613688)); #164112=CARTESIAN_POINT('Ctrl Pts',(-0.146875,0.0925240473580835,0.)); #164113=CARTESIAN_POINT('Ctrl Pts',(-0.15,0.0925240473580835,-0.0383247152613687)); #164114=CARTESIAN_POINT('Ctrl Pts',(-0.153125,0.0654243813097261,-0.0654243813097261)); #164115=CARTESIAN_POINT('Ctrl Pts',(-0.15625,0.0383247152613687,-0.0925240473580835)); #164116=CARTESIAN_POINT('Ctrl Pts',(-0.159375,5.66546392206175E-18,-0.0925240473580835)); #164117=CARTESIAN_POINT('Ctrl Pts',(-0.1625,-0.0383247152613687,-0.0925240473580835)); #164118=CARTESIAN_POINT('Ctrl Pts',(-0.165625,-0.0654243813097261,-0.0654243813097261)); #164119=CARTESIAN_POINT('Ctrl Pts',(-0.16875,-0.0925240473580835,-0.0383247152613687)); #164120=CARTESIAN_POINT('Ctrl Pts',(-0.171875,-0.0925240473580835,-1.13309278441235E-17)); #164121=CARTESIAN_POINT('Ctrl Pts',(-0.128125,-0.0925240473580835,-1.13309278441235E-17)); #164122=CARTESIAN_POINT('Ctrl Pts',(-0.13125,-0.0925240473580835,0.0383247152613687)); #164123=CARTESIAN_POINT('Ctrl Pts',(-0.134375,-0.0654243813097261,0.0654243813097261)); #164124=CARTESIAN_POINT('Ctrl Pts',(-0.1375,-0.0383247152613688,0.0925240473580835)); #164125=CARTESIAN_POINT('Ctrl Pts',(-0.140625,-1.69963917661853E-17,0.0925240473580835)); #164126=CARTESIAN_POINT('Ctrl Pts',(-0.14375,0.0383247152613687,0.0925240473580835)); #164127=CARTESIAN_POINT('Ctrl Pts',(-0.146875,0.0654243813097261,0.0654243813097261)); #164128=CARTESIAN_POINT('Ctrl Pts',(-0.15,0.0925240473580835,0.0383247152613688)); #164129=CARTESIAN_POINT('Ctrl Pts',(-0.153125,0.0925240473580835,0.)); #164130=CARTESIAN_POINT('Ctrl Pts',(-0.15625,0.0925240473580835,-0.0383247152613687)); #164131=CARTESIAN_POINT('Ctrl Pts',(-0.159375,0.0654243813097261,-0.0654243813097261)); #164132=CARTESIAN_POINT('Ctrl Pts',(-0.1625,0.0383247152613687,-0.0925240473580835)); #164133=CARTESIAN_POINT('Ctrl Pts',(-0.165625,5.66546392206175E-18,-0.0925240473580835)); #164134=CARTESIAN_POINT('Ctrl Pts',(-0.16875,-0.0383247152613687,-0.0925240473580835)); #164135=CARTESIAN_POINT('Ctrl Pts',(-0.171875,-0.0654243813097261,-0.0654243813097261)); #164136=CARTESIAN_POINT('Ctrl Pts',(-0.175,-0.0925240473580835,-0.0383247152613687)); #164137=CARTESIAN_POINT('Ctrl Pts',(-0.178125,-0.0925240473580835,-1.13309278441235E-17)); #164138=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164139=CARTESIAN_POINT('',(-0.071875,-0.0925240473580835,-1.49002592583171E-17)); #164140=CARTESIAN_POINT('',(-0.0781250000000001,-0.0925240473580835,-1.49002592583171E-17)); #164141=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164142=CARTESIAN_POINT('Ctrl Pts',(-0.071875,-0.0925240473580835,-1.13309278441235E-17)); #164143=CARTESIAN_POINT('Ctrl Pts',(-0.075,-0.0925240473580835,0.0383247152613687)); #164144=CARTESIAN_POINT('Ctrl Pts',(-0.078125,-0.0654243813097261,0.0654243813097261)); #164145=CARTESIAN_POINT('Ctrl Pts',(-0.0812500000000001,-0.0383247152613688, 0.0925240473580835)); #164146=CARTESIAN_POINT('Ctrl Pts',(-0.0843750000000001,-1.69963917661853E-17, 0.0925240473580835)); #164147=CARTESIAN_POINT('Ctrl Pts',(-0.0875000000000001,0.0383247152613687, 0.0925240473580835)); #164148=CARTESIAN_POINT('Ctrl Pts',(-0.0906250000000001,0.0654243813097261, 0.0654243813097261)); #164149=CARTESIAN_POINT('Ctrl Pts',(-0.09375,0.0925240473580835,0.0383247152613688)); #164150=CARTESIAN_POINT('Ctrl Pts',(-0.096875,0.0925240473580835,0.)); #164151=CARTESIAN_POINT('Ctrl Pts',(-0.1,0.0925240473580835,-0.0383247152613687)); #164152=CARTESIAN_POINT('Ctrl Pts',(-0.103125,0.0654243813097261,-0.0654243813097261)); #164153=CARTESIAN_POINT('Ctrl Pts',(-0.10625,0.0383247152613687,-0.0925240473580835)); #164154=CARTESIAN_POINT('Ctrl Pts',(-0.109375,5.66546392206175E-18,-0.0925240473580835)); #164155=CARTESIAN_POINT('Ctrl Pts',(-0.1125,-0.0383247152613687,-0.0925240473580835)); #164156=CARTESIAN_POINT('Ctrl Pts',(-0.115625,-0.0654243813097261,-0.0654243813097261)); #164157=CARTESIAN_POINT('Ctrl Pts',(-0.11875,-0.0925240473580835,-0.0383247152613687)); #164158=CARTESIAN_POINT('Ctrl Pts',(-0.121875,-0.0925240473580835,-1.13309278441235E-17)); #164159=CARTESIAN_POINT('Ctrl Pts',(-0.0781250000000001,-0.0925240473580835, -1.13309278441235E-17)); #164160=CARTESIAN_POINT('Ctrl Pts',(-0.08125,-0.0925240473580835,0.0383247152613687)); #164161=CARTESIAN_POINT('Ctrl Pts',(-0.084375,-0.0654243813097261,0.0654243813097261)); #164162=CARTESIAN_POINT('Ctrl Pts',(-0.0875000000000002,-0.0383247152613688, 0.0925240473580835)); #164163=CARTESIAN_POINT('Ctrl Pts',(-0.0906250000000001,-1.69963917661853E-17, 0.0925240473580835)); #164164=CARTESIAN_POINT('Ctrl Pts',(-0.0937500000000001,0.0383247152613687, 0.0925240473580835)); #164165=CARTESIAN_POINT('Ctrl Pts',(-0.0968750000000001,0.0654243813097261, 0.0654243813097261)); #164166=CARTESIAN_POINT('Ctrl Pts',(-0.1,0.0925240473580835,0.0383247152613688)); #164167=CARTESIAN_POINT('Ctrl Pts',(-0.103125,0.0925240473580835,0.)); #164168=CARTESIAN_POINT('Ctrl Pts',(-0.10625,0.0925240473580835,-0.0383247152613687)); #164169=CARTESIAN_POINT('Ctrl Pts',(-0.109375,0.0654243813097261,-0.0654243813097261)); #164170=CARTESIAN_POINT('Ctrl Pts',(-0.1125,0.0383247152613687,-0.0925240473580835)); #164171=CARTESIAN_POINT('Ctrl Pts',(-0.115625,5.66546392206175E-18,-0.0925240473580835)); #164172=CARTESIAN_POINT('Ctrl Pts',(-0.11875,-0.0383247152613687,-0.0925240473580835)); #164173=CARTESIAN_POINT('Ctrl Pts',(-0.121875,-0.0654243813097261,-0.0654243813097261)); #164174=CARTESIAN_POINT('Ctrl Pts',(-0.125,-0.0925240473580835,-0.0383247152613687)); #164175=CARTESIAN_POINT('Ctrl Pts',(-0.128125,-0.0925240473580835,-1.13309278441235E-17)); #164176=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164177=CARTESIAN_POINT('',(-0.021875,-0.0925240473580835,-1.49002592583171E-17)); #164178=CARTESIAN_POINT('',(-0.0281250000000001,-0.0925240473580835,-1.49002592583171E-17)); #164179=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164180=CARTESIAN_POINT('Ctrl Pts',(-0.021875,-0.0925240473580835,-1.13309278441235E-17)); #164181=CARTESIAN_POINT('Ctrl Pts',(-0.025,-0.0925240473580835,0.0383247152613687)); #164182=CARTESIAN_POINT('Ctrl Pts',(-0.028125,-0.0654243813097261,0.0654243813097261)); #164183=CARTESIAN_POINT('Ctrl Pts',(-0.0312500000000001,-0.0383247152613688, 0.0925240473580835)); #164184=CARTESIAN_POINT('Ctrl Pts',(-0.0343750000000001,-1.69963917661853E-17, 0.0925240473580835)); #164185=CARTESIAN_POINT('Ctrl Pts',(-0.0375000000000001,0.0383247152613687, 0.0925240473580835)); #164186=CARTESIAN_POINT('Ctrl Pts',(-0.0406250000000001,0.0654243813097261, 0.0654243813097261)); #164187=CARTESIAN_POINT('Ctrl Pts',(-0.04375,0.0925240473580835,0.0383247152613688)); #164188=CARTESIAN_POINT('Ctrl Pts',(-0.046875,0.0925240473580835,0.)); #164189=CARTESIAN_POINT('Ctrl Pts',(-0.05,0.0925240473580835,-0.0383247152613687)); #164190=CARTESIAN_POINT('Ctrl Pts',(-0.053125,0.0654243813097261,-0.0654243813097261)); #164191=CARTESIAN_POINT('Ctrl Pts',(-0.0562500000000001,0.0383247152613687, -0.0925240473580835)); #164192=CARTESIAN_POINT('Ctrl Pts',(-0.0593750000000001,5.66546392206175E-18, -0.0925240473580835)); #164193=CARTESIAN_POINT('Ctrl Pts',(-0.0625000000000001,-0.0383247152613687, -0.0925240473580835)); #164194=CARTESIAN_POINT('Ctrl Pts',(-0.0656250000000001,-0.0654243813097261, -0.0654243813097261)); #164195=CARTESIAN_POINT('Ctrl Pts',(-0.06875,-0.0925240473580835,-0.0383247152613687)); #164196=CARTESIAN_POINT('Ctrl Pts',(-0.071875,-0.0925240473580835,-1.13309278441235E-17)); #164197=CARTESIAN_POINT('Ctrl Pts',(-0.0281250000000001,-0.0925240473580835, -1.13309278441235E-17)); #164198=CARTESIAN_POINT('Ctrl Pts',(-0.03125,-0.0925240473580835,0.0383247152613687)); #164199=CARTESIAN_POINT('Ctrl Pts',(-0.034375,-0.0654243813097261,0.0654243813097261)); #164200=CARTESIAN_POINT('Ctrl Pts',(-0.0375000000000002,-0.0383247152613688, 0.0925240473580835)); #164201=CARTESIAN_POINT('Ctrl Pts',(-0.0406250000000001,-1.69963917661853E-17, 0.0925240473580835)); #164202=CARTESIAN_POINT('Ctrl Pts',(-0.0437500000000001,0.0383247152613687, 0.0925240473580835)); #164203=CARTESIAN_POINT('Ctrl Pts',(-0.0468750000000001,0.0654243813097261, 0.0654243813097261)); #164204=CARTESIAN_POINT('Ctrl Pts',(-0.0500000000000001,0.0925240473580835, 0.0383247152613688)); #164205=CARTESIAN_POINT('Ctrl Pts',(-0.0531250000000001,0.0925240473580835, 0.)); #164206=CARTESIAN_POINT('Ctrl Pts',(-0.05625,0.0925240473580835,-0.0383247152613687)); #164207=CARTESIAN_POINT('Ctrl Pts',(-0.059375,0.0654243813097261,-0.0654243813097261)); #164208=CARTESIAN_POINT('Ctrl Pts',(-0.0625000000000002,0.0383247152613687, -0.0925240473580835)); #164209=CARTESIAN_POINT('Ctrl Pts',(-0.0656250000000001,5.66546392206175E-18, -0.0925240473580835)); #164210=CARTESIAN_POINT('Ctrl Pts',(-0.0687500000000001,-0.0383247152613687, -0.0925240473580835)); #164211=CARTESIAN_POINT('Ctrl Pts',(-0.0718750000000001,-0.0654243813097261, -0.0654243813097261)); #164212=CARTESIAN_POINT('Ctrl Pts',(-0.0750000000000001,-0.0925240473580835, -0.0383247152613687)); #164213=CARTESIAN_POINT('Ctrl Pts',(-0.0781250000000001,-0.0925240473580835, -1.13309278441235E-17)); #164214=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164215=CARTESIAN_POINT('',(0.0281249999999999,-0.0925240473580835,-1.49002592583171E-17)); #164216=CARTESIAN_POINT('',(0.0218749999999999,-0.0925240473580835,-1.49002592583171E-17)); #164217=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164218=CARTESIAN_POINT('Ctrl Pts',(0.0281249999999999,-0.0925240473580835, -1.13309278441235E-17)); #164219=CARTESIAN_POINT('Ctrl Pts',(0.0249999999999999,-0.0925240473580835, 0.0383247152613687)); #164220=CARTESIAN_POINT('Ctrl Pts',(0.021875,-0.0654243813097261,0.0654243813097261)); #164221=CARTESIAN_POINT('Ctrl Pts',(0.01875,-0.0383247152613688,0.0925240473580835)); #164222=CARTESIAN_POINT('Ctrl Pts',(0.0156249999999999,-1.69963917661853E-17, 0.0925240473580835)); #164223=CARTESIAN_POINT('Ctrl Pts',(0.0124999999999999,0.0383247152613687, 0.0925240473580835)); #164224=CARTESIAN_POINT('Ctrl Pts',(0.00937499999999995,0.0654243813097261, 0.0654243813097261)); #164225=CARTESIAN_POINT('Ctrl Pts',(0.00624999999999997,0.0925240473580835, 0.0383247152613688)); #164226=CARTESIAN_POINT('Ctrl Pts',(0.00312499999999998,0.0925240473580835, 0.)); #164227=CARTESIAN_POINT('Ctrl Pts',(-6.82961998416066E-17,0.0925240473580835, -0.0383247152613687)); #164228=CARTESIAN_POINT('Ctrl Pts',(-0.00312500000000005,0.0654243813097261, -0.0654243813097261)); #164229=CARTESIAN_POINT('Ctrl Pts',(-0.00625000000000004,0.0383247152613687, -0.0925240473580835)); #164230=CARTESIAN_POINT('Ctrl Pts',(-0.00937500000000002,5.66546392206175E-18, -0.0925240473580835)); #164231=CARTESIAN_POINT('Ctrl Pts',(-0.0125000000000001,-0.0383247152613687, -0.0925240473580835)); #164232=CARTESIAN_POINT('Ctrl Pts',(-0.0156250000000001,-0.0654243813097261, -0.0654243813097261)); #164233=CARTESIAN_POINT('Ctrl Pts',(-0.01875,-0.0925240473580835,-0.0383247152613687)); #164234=CARTESIAN_POINT('Ctrl Pts',(-0.021875,-0.0925240473580835,-1.13309278441235E-17)); #164235=CARTESIAN_POINT('Ctrl Pts',(0.0218749999999999,-0.0925240473580835, -1.13309278441235E-17)); #164236=CARTESIAN_POINT('Ctrl Pts',(0.0187499999999999,-0.0925240473580835, 0.0383247152613687)); #164237=CARTESIAN_POINT('Ctrl Pts',(0.0156249999999999,-0.0654243813097261, 0.0654243813097261)); #164238=CARTESIAN_POINT('Ctrl Pts',(0.0124999999999999,-0.0383247152613688, 0.0925240473580835)); #164239=CARTESIAN_POINT('Ctrl Pts',(0.00937499999999988,-1.69963917661853E-17, 0.0925240473580835)); #164240=CARTESIAN_POINT('Ctrl Pts',(0.0062499999999999,0.0383247152613687, 0.0925240473580835)); #164241=CARTESIAN_POINT('Ctrl Pts',(0.00312499999999992,0.0654243813097261, 0.0654243813097261)); #164242=CARTESIAN_POINT('Ctrl Pts',(-6.82961998416066E-17,0.0925240473580835, 0.0383247152613688)); #164243=CARTESIAN_POINT('Ctrl Pts',(-0.00312500000000005,0.0925240473580835, 0.)); #164244=CARTESIAN_POINT('Ctrl Pts',(-0.0062500000000001,0.0925240473580835, -0.0383247152613687)); #164245=CARTESIAN_POINT('Ctrl Pts',(-0.00937500000000009,0.0654243813097261, -0.0654243813097261)); #164246=CARTESIAN_POINT('Ctrl Pts',(-0.0125000000000001,0.0383247152613687, -0.0925240473580835)); #164247=CARTESIAN_POINT('Ctrl Pts',(-0.0156250000000001,5.66546392206175E-18, -0.0925240473580835)); #164248=CARTESIAN_POINT('Ctrl Pts',(-0.0187500000000001,-0.0383247152613687, -0.0925240473580835)); #164249=CARTESIAN_POINT('Ctrl Pts',(-0.0218750000000001,-0.0654243813097261, -0.0654243813097261)); #164250=CARTESIAN_POINT('Ctrl Pts',(-0.0250000000000001,-0.0925240473580835, -0.0383247152613687)); #164251=CARTESIAN_POINT('Ctrl Pts',(-0.0281250000000001,-0.0925240473580835, -1.13309278441235E-17)); #164252=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164253=CARTESIAN_POINT('',(0.0781249999999999,-0.0925240473580835,-1.49002592583171E-17)); #164254=CARTESIAN_POINT('',(0.0718749999999999,-0.0925240473580835,-1.49002592583171E-17)); #164255=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164256=CARTESIAN_POINT('Ctrl Pts',(0.0781249999999999,-0.0925240473580835, -1.13309278441235E-17)); #164257=CARTESIAN_POINT('Ctrl Pts',(0.075,-0.0925240473580835,0.0383247152613687)); #164258=CARTESIAN_POINT('Ctrl Pts',(0.071875,-0.0654243813097261,0.0654243813097261)); #164259=CARTESIAN_POINT('Ctrl Pts',(0.06875,-0.0383247152613688,0.0925240473580835)); #164260=CARTESIAN_POINT('Ctrl Pts',(0.0656249999999999,-1.69963917661853E-17, 0.0925240473580835)); #164261=CARTESIAN_POINT('Ctrl Pts',(0.0625,0.0383247152613687,0.0925240473580835)); #164262=CARTESIAN_POINT('Ctrl Pts',(0.059375,0.0654243813097261,0.0654243813097261)); #164263=CARTESIAN_POINT('Ctrl Pts',(0.05625,0.0925240473580835,0.0383247152613688)); #164264=CARTESIAN_POINT('Ctrl Pts',(0.0531249999999999,0.0925240473580835, 0.)); #164265=CARTESIAN_POINT('Ctrl Pts',(0.0499999999999999,0.0925240473580835, -0.0383247152613687)); #164266=CARTESIAN_POINT('Ctrl Pts',(0.046875,0.0654243813097261,-0.0654243813097261)); #164267=CARTESIAN_POINT('Ctrl Pts',(0.04375,0.0383247152613687,-0.0925240473580835)); #164268=CARTESIAN_POINT('Ctrl Pts',(0.0406249999999999,5.66546392206175E-18, -0.0925240473580835)); #164269=CARTESIAN_POINT('Ctrl Pts',(0.0374999999999999,-0.0383247152613687, -0.0925240473580835)); #164270=CARTESIAN_POINT('Ctrl Pts',(0.034375,-0.0654243813097261,-0.0654243813097261)); #164271=CARTESIAN_POINT('Ctrl Pts',(0.03125,-0.0925240473580835,-0.0383247152613687)); #164272=CARTESIAN_POINT('Ctrl Pts',(0.0281249999999999,-0.0925240473580835, -1.13309278441235E-17)); #164273=CARTESIAN_POINT('Ctrl Pts',(0.0718749999999999,-0.0925240473580835, -1.13309278441235E-17)); #164274=CARTESIAN_POINT('Ctrl Pts',(0.0687499999999999,-0.0925240473580835, 0.0383247152613687)); #164275=CARTESIAN_POINT('Ctrl Pts',(0.0656249999999999,-0.0654243813097261, 0.0654243813097261)); #164276=CARTESIAN_POINT('Ctrl Pts',(0.0625,-0.0383247152613688,0.0925240473580835)); #164277=CARTESIAN_POINT('Ctrl Pts',(0.0593749999999999,-1.69963917661853E-17, 0.0925240473580835)); #164278=CARTESIAN_POINT('Ctrl Pts',(0.0562499999999999,0.0383247152613687, 0.0925240473580835)); #164279=CARTESIAN_POINT('Ctrl Pts',(0.0531249999999999,0.0654243813097261, 0.0654243813097261)); #164280=CARTESIAN_POINT('Ctrl Pts',(0.0499999999999999,0.0925240473580835, 0.0383247152613688)); #164281=CARTESIAN_POINT('Ctrl Pts',(0.0468749999999999,0.0925240473580835, 0.)); #164282=CARTESIAN_POINT('Ctrl Pts',(0.0437499999999999,0.0925240473580835, -0.0383247152613687)); #164283=CARTESIAN_POINT('Ctrl Pts',(0.0406249999999999,0.0654243813097261, -0.0654243813097261)); #164284=CARTESIAN_POINT('Ctrl Pts',(0.0374999999999999,0.0383247152613687, -0.0925240473580835)); #164285=CARTESIAN_POINT('Ctrl Pts',(0.0343749999999999,5.66546392206175E-18, -0.0925240473580835)); #164286=CARTESIAN_POINT('Ctrl Pts',(0.0312499999999999,-0.0383247152613687, -0.0925240473580835)); #164287=CARTESIAN_POINT('Ctrl Pts',(0.0281249999999999,-0.0654243813097261, -0.0654243813097261)); #164288=CARTESIAN_POINT('Ctrl Pts',(0.0249999999999999,-0.0925240473580835, -0.0383247152613687)); #164289=CARTESIAN_POINT('Ctrl Pts',(0.0218749999999999,-0.0925240473580835, -1.13309278441235E-17)); #164290=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164291=CARTESIAN_POINT('',(0.128125,-0.0925240473580835,-1.49002592583171E-17)); #164292=CARTESIAN_POINT('',(0.121875,-0.0925240473580835,-1.49002592583171E-17)); #164293=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164294=CARTESIAN_POINT('Ctrl Pts',(0.128125,-0.0925240473580835,-1.13309278441235E-17)); #164295=CARTESIAN_POINT('Ctrl Pts',(0.125,-0.0925240473580835,0.0383247152613687)); #164296=CARTESIAN_POINT('Ctrl Pts',(0.121875,-0.0654243813097261,0.0654243813097261)); #164297=CARTESIAN_POINT('Ctrl Pts',(0.11875,-0.0383247152613688,0.0925240473580835)); #164298=CARTESIAN_POINT('Ctrl Pts',(0.115625,-1.69963917661853E-17,0.0925240473580835)); #164299=CARTESIAN_POINT('Ctrl Pts',(0.1125,0.0383247152613687,0.0925240473580835)); #164300=CARTESIAN_POINT('Ctrl Pts',(0.109375,0.0654243813097261,0.0654243813097261)); #164301=CARTESIAN_POINT('Ctrl Pts',(0.10625,0.0925240473580835,0.0383247152613688)); #164302=CARTESIAN_POINT('Ctrl Pts',(0.103125,0.0925240473580835,0.)); #164303=CARTESIAN_POINT('Ctrl Pts',(0.1,0.0925240473580835,-0.0383247152613687)); #164304=CARTESIAN_POINT('Ctrl Pts',(0.096875,0.0654243813097261,-0.0654243813097261)); #164305=CARTESIAN_POINT('Ctrl Pts',(0.09375,0.0383247152613687,-0.0925240473580835)); #164306=CARTESIAN_POINT('Ctrl Pts',(0.0906249999999999,5.66546392206175E-18, -0.0925240473580835)); #164307=CARTESIAN_POINT('Ctrl Pts',(0.0875,-0.0383247152613687,-0.0925240473580835)); #164308=CARTESIAN_POINT('Ctrl Pts',(0.084375,-0.0654243813097261,-0.0654243813097261)); #164309=CARTESIAN_POINT('Ctrl Pts',(0.08125,-0.0925240473580835,-0.0383247152613687)); #164310=CARTESIAN_POINT('Ctrl Pts',(0.0781249999999999,-0.0925240473580835, -1.13309278441235E-17)); #164311=CARTESIAN_POINT('Ctrl Pts',(0.121875,-0.0925240473580835,-1.13309278441235E-17)); #164312=CARTESIAN_POINT('Ctrl Pts',(0.11875,-0.0925240473580835,0.0383247152613687)); #164313=CARTESIAN_POINT('Ctrl Pts',(0.115625,-0.0654243813097261,0.0654243813097261)); #164314=CARTESIAN_POINT('Ctrl Pts',(0.1125,-0.0383247152613688,0.0925240473580835)); #164315=CARTESIAN_POINT('Ctrl Pts',(0.109375,-1.69963917661853E-17,0.0925240473580835)); #164316=CARTESIAN_POINT('Ctrl Pts',(0.10625,0.0383247152613687,0.0925240473580835)); #164317=CARTESIAN_POINT('Ctrl Pts',(0.103125,0.0654243813097261,0.0654243813097261)); #164318=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999999,0.0925240473580835, 0.0383247152613688)); #164319=CARTESIAN_POINT('Ctrl Pts',(0.0968749999999999,0.0925240473580835, 0.)); #164320=CARTESIAN_POINT('Ctrl Pts',(0.0937499999999999,0.0925240473580835, -0.0383247152613687)); #164321=CARTESIAN_POINT('Ctrl Pts',(0.0906249999999999,0.0654243813097261, -0.0654243813097261)); #164322=CARTESIAN_POINT('Ctrl Pts',(0.0875,0.0383247152613687,-0.0925240473580835)); #164323=CARTESIAN_POINT('Ctrl Pts',(0.0843749999999999,5.66546392206175E-18, -0.0925240473580835)); #164324=CARTESIAN_POINT('Ctrl Pts',(0.0812499999999999,-0.0383247152613687, -0.0925240473580835)); #164325=CARTESIAN_POINT('Ctrl Pts',(0.0781249999999999,-0.0654243813097261, -0.0654243813097261)); #164326=CARTESIAN_POINT('Ctrl Pts',(0.075,-0.0925240473580835,-0.0383247152613687)); #164327=CARTESIAN_POINT('Ctrl Pts',(0.0718749999999999,-0.0925240473580835, -1.13309278441235E-17)); #164328=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164329=CARTESIAN_POINT('',(0.178125,-0.0925240473580835,-1.49002592583171E-17)); #164330=CARTESIAN_POINT('',(0.171875,-0.0925240473580835,-1.49002592583171E-17)); #164331=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164332=CARTESIAN_POINT('Ctrl Pts',(0.178125,-0.0925240473580835,-1.13309278441235E-17)); #164333=CARTESIAN_POINT('Ctrl Pts',(0.175,-0.0925240473580835,0.0383247152613687)); #164334=CARTESIAN_POINT('Ctrl Pts',(0.171875,-0.0654243813097261,0.0654243813097261)); #164335=CARTESIAN_POINT('Ctrl Pts',(0.16875,-0.0383247152613688,0.0925240473580835)); #164336=CARTESIAN_POINT('Ctrl Pts',(0.165625,-1.69963917661853E-17,0.0925240473580835)); #164337=CARTESIAN_POINT('Ctrl Pts',(0.1625,0.0383247152613687,0.0925240473580835)); #164338=CARTESIAN_POINT('Ctrl Pts',(0.159375,0.0654243813097261,0.0654243813097261)); #164339=CARTESIAN_POINT('Ctrl Pts',(0.15625,0.0925240473580835,0.0383247152613688)); #164340=CARTESIAN_POINT('Ctrl Pts',(0.153125,0.0925240473580835,0.)); #164341=CARTESIAN_POINT('Ctrl Pts',(0.15,0.0925240473580835,-0.0383247152613687)); #164342=CARTESIAN_POINT('Ctrl Pts',(0.146875,0.0654243813097261,-0.0654243813097261)); #164343=CARTESIAN_POINT('Ctrl Pts',(0.14375,0.0383247152613687,-0.0925240473580835)); #164344=CARTESIAN_POINT('Ctrl Pts',(0.140625,5.66546392206175E-18,-0.0925240473580835)); #164345=CARTESIAN_POINT('Ctrl Pts',(0.1375,-0.0383247152613687,-0.0925240473580835)); #164346=CARTESIAN_POINT('Ctrl Pts',(0.134375,-0.0654243813097261,-0.0654243813097261)); #164347=CARTESIAN_POINT('Ctrl Pts',(0.13125,-0.0925240473580835,-0.0383247152613687)); #164348=CARTESIAN_POINT('Ctrl Pts',(0.128125,-0.0925240473580835,-1.13309278441235E-17)); #164349=CARTESIAN_POINT('Ctrl Pts',(0.171875,-0.0925240473580835,-1.13309278441235E-17)); #164350=CARTESIAN_POINT('Ctrl Pts',(0.16875,-0.0925240473580835,0.0383247152613687)); #164351=CARTESIAN_POINT('Ctrl Pts',(0.165625,-0.0654243813097261,0.0654243813097261)); #164352=CARTESIAN_POINT('Ctrl Pts',(0.1625,-0.0383247152613688,0.0925240473580835)); #164353=CARTESIAN_POINT('Ctrl Pts',(0.159375,-1.69963917661853E-17,0.0925240473580835)); #164354=CARTESIAN_POINT('Ctrl Pts',(0.15625,0.0383247152613687,0.0925240473580835)); #164355=CARTESIAN_POINT('Ctrl Pts',(0.153125,0.0654243813097261,0.0654243813097261)); #164356=CARTESIAN_POINT('Ctrl Pts',(0.15,0.0925240473580835,0.0383247152613688)); #164357=CARTESIAN_POINT('Ctrl Pts',(0.146875,0.0925240473580835,0.)); #164358=CARTESIAN_POINT('Ctrl Pts',(0.14375,0.0925240473580835,-0.0383247152613687)); #164359=CARTESIAN_POINT('Ctrl Pts',(0.140625,0.0654243813097261,-0.0654243813097261)); #164360=CARTESIAN_POINT('Ctrl Pts',(0.1375,0.0383247152613687,-0.0925240473580835)); #164361=CARTESIAN_POINT('Ctrl Pts',(0.134375,5.66546392206175E-18,-0.0925240473580835)); #164362=CARTESIAN_POINT('Ctrl Pts',(0.13125,-0.0383247152613687,-0.0925240473580835)); #164363=CARTESIAN_POINT('Ctrl Pts',(0.128125,-0.0654243813097261,-0.0654243813097261)); #164364=CARTESIAN_POINT('Ctrl Pts',(0.125,-0.0925240473580835,-0.0383247152613687)); #164365=CARTESIAN_POINT('Ctrl Pts',(0.121875,-0.0925240473580835,-1.13309278441235E-17)); #164366=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164367=CARTESIAN_POINT('',(0.228125,-0.0925240473580835,-1.49002592583171E-17)); #164368=CARTESIAN_POINT('',(0.221875,-0.0925240473580835,-1.49002592583171E-17)); #164369=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164370=CARTESIAN_POINT('Ctrl Pts',(0.228125,-0.0925240473580835,-1.13309278441235E-17)); #164371=CARTESIAN_POINT('Ctrl Pts',(0.225,-0.0925240473580835,0.0383247152613687)); #164372=CARTESIAN_POINT('Ctrl Pts',(0.221875,-0.0654243813097261,0.0654243813097261)); #164373=CARTESIAN_POINT('Ctrl Pts',(0.21875,-0.0383247152613688,0.0925240473580835)); #164374=CARTESIAN_POINT('Ctrl Pts',(0.215625,-1.69963917661853E-17,0.0925240473580835)); #164375=CARTESIAN_POINT('Ctrl Pts',(0.2125,0.0383247152613687,0.0925240473580835)); #164376=CARTESIAN_POINT('Ctrl Pts',(0.209375,0.0654243813097261,0.0654243813097261)); #164377=CARTESIAN_POINT('Ctrl Pts',(0.20625,0.0925240473580835,0.0383247152613688)); #164378=CARTESIAN_POINT('Ctrl Pts',(0.203125,0.0925240473580835,0.)); #164379=CARTESIAN_POINT('Ctrl Pts',(0.2,0.0925240473580835,-0.0383247152613687)); #164380=CARTESIAN_POINT('Ctrl Pts',(0.196875,0.0654243813097261,-0.0654243813097261)); #164381=CARTESIAN_POINT('Ctrl Pts',(0.19375,0.0383247152613687,-0.0925240473580835)); #164382=CARTESIAN_POINT('Ctrl Pts',(0.190625,5.66546392206175E-18,-0.0925240473580835)); #164383=CARTESIAN_POINT('Ctrl Pts',(0.1875,-0.0383247152613687,-0.0925240473580835)); #164384=CARTESIAN_POINT('Ctrl Pts',(0.184375,-0.0654243813097261,-0.0654243813097261)); #164385=CARTESIAN_POINT('Ctrl Pts',(0.18125,-0.0925240473580835,-0.0383247152613687)); #164386=CARTESIAN_POINT('Ctrl Pts',(0.178125,-0.0925240473580835,-1.13309278441235E-17)); #164387=CARTESIAN_POINT('Ctrl Pts',(0.221875,-0.0925240473580835,-1.13309278441235E-17)); #164388=CARTESIAN_POINT('Ctrl Pts',(0.21875,-0.0925240473580835,0.0383247152613687)); #164389=CARTESIAN_POINT('Ctrl Pts',(0.215625,-0.0654243813097261,0.0654243813097261)); #164390=CARTESIAN_POINT('Ctrl Pts',(0.2125,-0.0383247152613688,0.0925240473580835)); #164391=CARTESIAN_POINT('Ctrl Pts',(0.209375,-1.69963917661853E-17,0.0925240473580835)); #164392=CARTESIAN_POINT('Ctrl Pts',(0.20625,0.0383247152613687,0.0925240473580835)); #164393=CARTESIAN_POINT('Ctrl Pts',(0.203125,0.0654243813097261,0.0654243813097261)); #164394=CARTESIAN_POINT('Ctrl Pts',(0.2,0.0925240473580835,0.0383247152613688)); #164395=CARTESIAN_POINT('Ctrl Pts',(0.196875,0.0925240473580835,0.)); #164396=CARTESIAN_POINT('Ctrl Pts',(0.19375,0.0925240473580835,-0.0383247152613687)); #164397=CARTESIAN_POINT('Ctrl Pts',(0.190625,0.0654243813097261,-0.0654243813097261)); #164398=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.0383247152613687,-0.0925240473580835)); #164399=CARTESIAN_POINT('Ctrl Pts',(0.184375,5.66546392206175E-18,-0.0925240473580835)); #164400=CARTESIAN_POINT('Ctrl Pts',(0.18125,-0.0383247152613687,-0.0925240473580835)); #164401=CARTESIAN_POINT('Ctrl Pts',(0.178125,-0.0654243813097261,-0.0654243813097261)); #164402=CARTESIAN_POINT('Ctrl Pts',(0.175,-0.0925240473580835,-0.0383247152613687)); #164403=CARTESIAN_POINT('Ctrl Pts',(0.171875,-0.0925240473580835,-1.13309278441235E-17)); #164404=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164405=CARTESIAN_POINT('',(0.278125,-0.0925240473580835,-1.49002592583171E-17)); #164406=CARTESIAN_POINT('',(0.271875,-0.0925240473580835,-1.49002592583171E-17)); #164407=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164408=CARTESIAN_POINT('Ctrl Pts',(0.278125,-0.0925240473580835,-1.13309278441235E-17)); #164409=CARTESIAN_POINT('Ctrl Pts',(0.275,-0.0925240473580835,0.0383247152613687)); #164410=CARTESIAN_POINT('Ctrl Pts',(0.271875,-0.0654243813097261,0.0654243813097261)); #164411=CARTESIAN_POINT('Ctrl Pts',(0.26875,-0.0383247152613688,0.0925240473580835)); #164412=CARTESIAN_POINT('Ctrl Pts',(0.265625,-1.69963917661853E-17,0.0925240473580835)); #164413=CARTESIAN_POINT('Ctrl Pts',(0.2625,0.0383247152613687,0.0925240473580835)); #164414=CARTESIAN_POINT('Ctrl Pts',(0.259375,0.0654243813097261,0.0654243813097261)); #164415=CARTESIAN_POINT('Ctrl Pts',(0.25625,0.0925240473580835,0.0383247152613688)); #164416=CARTESIAN_POINT('Ctrl Pts',(0.253125,0.0925240473580835,0.)); #164417=CARTESIAN_POINT('Ctrl Pts',(0.25,0.0925240473580835,-0.0383247152613687)); #164418=CARTESIAN_POINT('Ctrl Pts',(0.246875,0.0654243813097261,-0.0654243813097261)); #164419=CARTESIAN_POINT('Ctrl Pts',(0.24375,0.0383247152613687,-0.0925240473580835)); #164420=CARTESIAN_POINT('Ctrl Pts',(0.240625,5.66546392206175E-18,-0.0925240473580835)); #164421=CARTESIAN_POINT('Ctrl Pts',(0.2375,-0.0383247152613687,-0.0925240473580835)); #164422=CARTESIAN_POINT('Ctrl Pts',(0.234375,-0.0654243813097261,-0.0654243813097261)); #164423=CARTESIAN_POINT('Ctrl Pts',(0.23125,-0.0925240473580835,-0.0383247152613687)); #164424=CARTESIAN_POINT('Ctrl Pts',(0.228125,-0.0925240473580835,-1.13309278441235E-17)); #164425=CARTESIAN_POINT('Ctrl Pts',(0.271875,-0.0925240473580835,-1.13309278441235E-17)); #164426=CARTESIAN_POINT('Ctrl Pts',(0.26875,-0.0925240473580835,0.0383247152613687)); #164427=CARTESIAN_POINT('Ctrl Pts',(0.265625,-0.0654243813097261,0.0654243813097261)); #164428=CARTESIAN_POINT('Ctrl Pts',(0.2625,-0.0383247152613688,0.0925240473580835)); #164429=CARTESIAN_POINT('Ctrl Pts',(0.259375,-1.69963917661853E-17,0.0925240473580835)); #164430=CARTESIAN_POINT('Ctrl Pts',(0.25625,0.0383247152613687,0.0925240473580835)); #164431=CARTESIAN_POINT('Ctrl Pts',(0.253125,0.0654243813097261,0.0654243813097261)); #164432=CARTESIAN_POINT('Ctrl Pts',(0.25,0.0925240473580835,0.0383247152613688)); #164433=CARTESIAN_POINT('Ctrl Pts',(0.246875,0.0925240473580835,0.)); #164434=CARTESIAN_POINT('Ctrl Pts',(0.24375,0.0925240473580835,-0.0383247152613687)); #164435=CARTESIAN_POINT('Ctrl Pts',(0.240625,0.0654243813097261,-0.0654243813097261)); #164436=CARTESIAN_POINT('Ctrl Pts',(0.2375,0.0383247152613687,-0.0925240473580835)); #164437=CARTESIAN_POINT('Ctrl Pts',(0.234375,5.66546392206175E-18,-0.0925240473580835)); #164438=CARTESIAN_POINT('Ctrl Pts',(0.23125,-0.0383247152613687,-0.0925240473580835)); #164439=CARTESIAN_POINT('Ctrl Pts',(0.228125,-0.0654243813097261,-0.0654243813097261)); #164440=CARTESIAN_POINT('Ctrl Pts',(0.225,-0.0925240473580835,-0.0383247152613687)); #164441=CARTESIAN_POINT('Ctrl Pts',(0.221875,-0.0925240473580835,-1.13309278441235E-17)); #164442=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164443=CARTESIAN_POINT('',(0.328125,-0.0925240473580835,-1.49002592583171E-17)); #164444=CARTESIAN_POINT('',(0.321875,-0.0925240473580835,-1.49002592583171E-17)); #164445=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164446=CARTESIAN_POINT('Ctrl Pts',(0.328125,-0.0925240473580835,-1.13309278441235E-17)); #164447=CARTESIAN_POINT('Ctrl Pts',(0.325,-0.0925240473580835,0.0383247152613687)); #164448=CARTESIAN_POINT('Ctrl Pts',(0.321875,-0.0654243813097261,0.0654243813097261)); #164449=CARTESIAN_POINT('Ctrl Pts',(0.31875,-0.0383247152613688,0.0925240473580835)); #164450=CARTESIAN_POINT('Ctrl Pts',(0.315625,-1.69963917661853E-17,0.0925240473580835)); #164451=CARTESIAN_POINT('Ctrl Pts',(0.3125,0.0383247152613687,0.0925240473580835)); #164452=CARTESIAN_POINT('Ctrl Pts',(0.309375,0.0654243813097261,0.0654243813097261)); #164453=CARTESIAN_POINT('Ctrl Pts',(0.30625,0.0925240473580835,0.0383247152613688)); #164454=CARTESIAN_POINT('Ctrl Pts',(0.303125,0.0925240473580835,0.)); #164455=CARTESIAN_POINT('Ctrl Pts',(0.3,0.0925240473580835,-0.0383247152613687)); #164456=CARTESIAN_POINT('Ctrl Pts',(0.296875,0.0654243813097261,-0.0654243813097261)); #164457=CARTESIAN_POINT('Ctrl Pts',(0.29375,0.0383247152613687,-0.0925240473580835)); #164458=CARTESIAN_POINT('Ctrl Pts',(0.290625,5.66546392206175E-18,-0.0925240473580835)); #164459=CARTESIAN_POINT('Ctrl Pts',(0.2875,-0.0383247152613687,-0.0925240473580835)); #164460=CARTESIAN_POINT('Ctrl Pts',(0.284375,-0.0654243813097261,-0.0654243813097261)); #164461=CARTESIAN_POINT('Ctrl Pts',(0.28125,-0.0925240473580835,-0.0383247152613687)); #164462=CARTESIAN_POINT('Ctrl Pts',(0.278125,-0.0925240473580835,-1.13309278441235E-17)); #164463=CARTESIAN_POINT('Ctrl Pts',(0.321875,-0.0925240473580835,-1.13309278441235E-17)); #164464=CARTESIAN_POINT('Ctrl Pts',(0.31875,-0.0925240473580835,0.0383247152613687)); #164465=CARTESIAN_POINT('Ctrl Pts',(0.315625,-0.0654243813097261,0.0654243813097261)); #164466=CARTESIAN_POINT('Ctrl Pts',(0.3125,-0.0383247152613688,0.0925240473580835)); #164467=CARTESIAN_POINT('Ctrl Pts',(0.309375,-1.69963917661853E-17,0.0925240473580835)); #164468=CARTESIAN_POINT('Ctrl Pts',(0.30625,0.0383247152613687,0.0925240473580835)); #164469=CARTESIAN_POINT('Ctrl Pts',(0.303125,0.0654243813097261,0.0654243813097261)); #164470=CARTESIAN_POINT('Ctrl Pts',(0.3,0.0925240473580835,0.0383247152613688)); #164471=CARTESIAN_POINT('Ctrl Pts',(0.296875,0.0925240473580835,0.)); #164472=CARTESIAN_POINT('Ctrl Pts',(0.29375,0.0925240473580835,-0.0383247152613687)); #164473=CARTESIAN_POINT('Ctrl Pts',(0.290625,0.0654243813097261,-0.0654243813097261)); #164474=CARTESIAN_POINT('Ctrl Pts',(0.2875,0.0383247152613687,-0.0925240473580835)); #164475=CARTESIAN_POINT('Ctrl Pts',(0.284375,5.66546392206175E-18,-0.0925240473580835)); #164476=CARTESIAN_POINT('Ctrl Pts',(0.28125,-0.0383247152613687,-0.0925240473580835)); #164477=CARTESIAN_POINT('Ctrl Pts',(0.278125,-0.0654243813097261,-0.0654243813097261)); #164478=CARTESIAN_POINT('Ctrl Pts',(0.275,-0.0925240473580835,-0.0383247152613687)); #164479=CARTESIAN_POINT('Ctrl Pts',(0.271875,-0.0925240473580835,-1.13309278441235E-17)); #164480=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164481=CARTESIAN_POINT('',(0.378125,-0.0925240473580835,-1.49002592583171E-17)); #164482=CARTESIAN_POINT('',(0.371875,-0.0925240473580835,-1.49002592583171E-17)); #164483=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164484=CARTESIAN_POINT('Ctrl Pts',(0.378125,-0.0925240473580835,-1.13309278441235E-17)); #164485=CARTESIAN_POINT('Ctrl Pts',(0.375,-0.0925240473580835,0.0383247152613687)); #164486=CARTESIAN_POINT('Ctrl Pts',(0.371875,-0.0654243813097261,0.0654243813097261)); #164487=CARTESIAN_POINT('Ctrl Pts',(0.36875,-0.0383247152613688,0.0925240473580835)); #164488=CARTESIAN_POINT('Ctrl Pts',(0.365625,-1.69963917661853E-17,0.0925240473580835)); #164489=CARTESIAN_POINT('Ctrl Pts',(0.3625,0.0383247152613687,0.0925240473580835)); #164490=CARTESIAN_POINT('Ctrl Pts',(0.359375,0.0654243813097261,0.0654243813097261)); #164491=CARTESIAN_POINT('Ctrl Pts',(0.35625,0.0925240473580835,0.0383247152613688)); #164492=CARTESIAN_POINT('Ctrl Pts',(0.353125,0.0925240473580835,0.)); #164493=CARTESIAN_POINT('Ctrl Pts',(0.35,0.0925240473580835,-0.0383247152613687)); #164494=CARTESIAN_POINT('Ctrl Pts',(0.346875,0.0654243813097261,-0.0654243813097261)); #164495=CARTESIAN_POINT('Ctrl Pts',(0.34375,0.0383247152613687,-0.0925240473580835)); #164496=CARTESIAN_POINT('Ctrl Pts',(0.340625,5.66546392206175E-18,-0.0925240473580835)); #164497=CARTESIAN_POINT('Ctrl Pts',(0.3375,-0.0383247152613687,-0.0925240473580835)); #164498=CARTESIAN_POINT('Ctrl Pts',(0.334375,-0.0654243813097261,-0.0654243813097261)); #164499=CARTESIAN_POINT('Ctrl Pts',(0.33125,-0.0925240473580835,-0.0383247152613687)); #164500=CARTESIAN_POINT('Ctrl Pts',(0.328125,-0.0925240473580835,-1.13309278441235E-17)); #164501=CARTESIAN_POINT('Ctrl Pts',(0.371875,-0.0925240473580835,-1.13309278441235E-17)); #164502=CARTESIAN_POINT('Ctrl Pts',(0.36875,-0.0925240473580835,0.0383247152613687)); #164503=CARTESIAN_POINT('Ctrl Pts',(0.365625,-0.0654243813097261,0.0654243813097261)); #164504=CARTESIAN_POINT('Ctrl Pts',(0.3625,-0.0383247152613688,0.0925240473580835)); #164505=CARTESIAN_POINT('Ctrl Pts',(0.359375,-1.69963917661853E-17,0.0925240473580835)); #164506=CARTESIAN_POINT('Ctrl Pts',(0.35625,0.0383247152613687,0.0925240473580835)); #164507=CARTESIAN_POINT('Ctrl Pts',(0.353125,0.0654243813097261,0.0654243813097261)); #164508=CARTESIAN_POINT('Ctrl Pts',(0.35,0.0925240473580835,0.0383247152613688)); #164509=CARTESIAN_POINT('Ctrl Pts',(0.346875,0.0925240473580835,0.)); #164510=CARTESIAN_POINT('Ctrl Pts',(0.34375,0.0925240473580835,-0.0383247152613687)); #164511=CARTESIAN_POINT('Ctrl Pts',(0.340625,0.0654243813097261,-0.0654243813097261)); #164512=CARTESIAN_POINT('Ctrl Pts',(0.3375,0.0383247152613687,-0.0925240473580835)); #164513=CARTESIAN_POINT('Ctrl Pts',(0.334375,5.66546392206175E-18,-0.0925240473580835)); #164514=CARTESIAN_POINT('Ctrl Pts',(0.33125,-0.0383247152613687,-0.0925240473580835)); #164515=CARTESIAN_POINT('Ctrl Pts',(0.328125,-0.0654243813097261,-0.0654243813097261)); #164516=CARTESIAN_POINT('Ctrl Pts',(0.325,-0.0925240473580835,-0.0383247152613687)); #164517=CARTESIAN_POINT('Ctrl Pts',(0.321875,-0.0925240473580835,-1.13309278441235E-17)); #164518=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164519=CARTESIAN_POINT('',(0.428125,-0.0925240473580835,-1.49002592583171E-17)); #164520=CARTESIAN_POINT('',(0.421875,-0.0925240473580835,-1.49002592583171E-17)); #164521=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164522=CARTESIAN_POINT('Ctrl Pts',(0.428125,-0.0925240473580835,-1.13309278441235E-17)); #164523=CARTESIAN_POINT('Ctrl Pts',(0.425,-0.0925240473580835,0.0383247152613687)); #164524=CARTESIAN_POINT('Ctrl Pts',(0.421875,-0.0654243813097261,0.0654243813097261)); #164525=CARTESIAN_POINT('Ctrl Pts',(0.41875,-0.0383247152613688,0.0925240473580835)); #164526=CARTESIAN_POINT('Ctrl Pts',(0.415625,-1.69963917661853E-17,0.0925240473580835)); #164527=CARTESIAN_POINT('Ctrl Pts',(0.4125,0.0383247152613687,0.0925240473580835)); #164528=CARTESIAN_POINT('Ctrl Pts',(0.409375,0.0654243813097261,0.0654243813097261)); #164529=CARTESIAN_POINT('Ctrl Pts',(0.40625,0.0925240473580835,0.0383247152613688)); #164530=CARTESIAN_POINT('Ctrl Pts',(0.403125,0.0925240473580835,0.)); #164531=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0925240473580835,-0.0383247152613687)); #164532=CARTESIAN_POINT('Ctrl Pts',(0.396875,0.0654243813097261,-0.0654243813097261)); #164533=CARTESIAN_POINT('Ctrl Pts',(0.39375,0.0383247152613687,-0.0925240473580835)); #164534=CARTESIAN_POINT('Ctrl Pts',(0.390625,5.66546392206175E-18,-0.0925240473580835)); #164535=CARTESIAN_POINT('Ctrl Pts',(0.3875,-0.0383247152613687,-0.0925240473580835)); #164536=CARTESIAN_POINT('Ctrl Pts',(0.384375,-0.0654243813097261,-0.0654243813097261)); #164537=CARTESIAN_POINT('Ctrl Pts',(0.38125,-0.0925240473580835,-0.0383247152613687)); #164538=CARTESIAN_POINT('Ctrl Pts',(0.378125,-0.0925240473580835,-1.13309278441235E-17)); #164539=CARTESIAN_POINT('Ctrl Pts',(0.421875,-0.0925240473580835,-1.13309278441235E-17)); #164540=CARTESIAN_POINT('Ctrl Pts',(0.41875,-0.0925240473580835,0.0383247152613687)); #164541=CARTESIAN_POINT('Ctrl Pts',(0.415625,-0.0654243813097261,0.0654243813097261)); #164542=CARTESIAN_POINT('Ctrl Pts',(0.4125,-0.0383247152613688,0.0925240473580835)); #164543=CARTESIAN_POINT('Ctrl Pts',(0.409375,-1.69963917661853E-17,0.0925240473580835)); #164544=CARTESIAN_POINT('Ctrl Pts',(0.40625,0.0383247152613687,0.0925240473580835)); #164545=CARTESIAN_POINT('Ctrl Pts',(0.403125,0.0654243813097261,0.0654243813097261)); #164546=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0925240473580835,0.0383247152613688)); #164547=CARTESIAN_POINT('Ctrl Pts',(0.396875,0.0925240473580835,0.)); #164548=CARTESIAN_POINT('Ctrl Pts',(0.39375,0.0925240473580835,-0.0383247152613687)); #164549=CARTESIAN_POINT('Ctrl Pts',(0.390625,0.0654243813097261,-0.0654243813097261)); #164550=CARTESIAN_POINT('Ctrl Pts',(0.3875,0.0383247152613687,-0.0925240473580835)); #164551=CARTESIAN_POINT('Ctrl Pts',(0.384375,5.66546392206175E-18,-0.0925240473580835)); #164552=CARTESIAN_POINT('Ctrl Pts',(0.38125,-0.0383247152613687,-0.0925240473580835)); #164553=CARTESIAN_POINT('Ctrl Pts',(0.378125,-0.0654243813097261,-0.0654243813097261)); #164554=CARTESIAN_POINT('Ctrl Pts',(0.375,-0.0925240473580835,-0.0383247152613687)); #164555=CARTESIAN_POINT('Ctrl Pts',(0.371875,-0.0925240473580835,-1.13309278441235E-17)); #164556=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164557=CARTESIAN_POINT('',(0.478125,-0.0925240473580835,-1.49002592583171E-17)); #164558=CARTESIAN_POINT('',(0.471875,-0.0925240473580835,-1.49002592583171E-17)); #164559=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164560=CARTESIAN_POINT('Ctrl Pts',(0.478125,-0.0925240473580835,-1.13309278441235E-17)); #164561=CARTESIAN_POINT('Ctrl Pts',(0.475,-0.0925240473580835,0.0383247152613687)); #164562=CARTESIAN_POINT('Ctrl Pts',(0.471875,-0.0654243813097261,0.0654243813097261)); #164563=CARTESIAN_POINT('Ctrl Pts',(0.46875,-0.0383247152613688,0.0925240473580835)); #164564=CARTESIAN_POINT('Ctrl Pts',(0.465625,-1.69963917661853E-17,0.0925240473580835)); #164565=CARTESIAN_POINT('Ctrl Pts',(0.4625,0.0383247152613687,0.0925240473580835)); #164566=CARTESIAN_POINT('Ctrl Pts',(0.459375,0.0654243813097261,0.0654243813097261)); #164567=CARTESIAN_POINT('Ctrl Pts',(0.45625,0.0925240473580835,0.0383247152613688)); #164568=CARTESIAN_POINT('Ctrl Pts',(0.453125,0.0925240473580835,0.)); #164569=CARTESIAN_POINT('Ctrl Pts',(0.45,0.0925240473580835,-0.0383247152613687)); #164570=CARTESIAN_POINT('Ctrl Pts',(0.446875,0.0654243813097261,-0.0654243813097261)); #164571=CARTESIAN_POINT('Ctrl Pts',(0.44375,0.0383247152613687,-0.0925240473580835)); #164572=CARTESIAN_POINT('Ctrl Pts',(0.440625,5.66546392206175E-18,-0.0925240473580835)); #164573=CARTESIAN_POINT('Ctrl Pts',(0.4375,-0.0383247152613687,-0.0925240473580835)); #164574=CARTESIAN_POINT('Ctrl Pts',(0.434375,-0.0654243813097261,-0.0654243813097261)); #164575=CARTESIAN_POINT('Ctrl Pts',(0.43125,-0.0925240473580835,-0.0383247152613687)); #164576=CARTESIAN_POINT('Ctrl Pts',(0.428125,-0.0925240473580835,-1.13309278441235E-17)); #164577=CARTESIAN_POINT('Ctrl Pts',(0.471875,-0.0925240473580835,-1.13309278441235E-17)); #164578=CARTESIAN_POINT('Ctrl Pts',(0.46875,-0.0925240473580835,0.0383247152613687)); #164579=CARTESIAN_POINT('Ctrl Pts',(0.465625,-0.0654243813097261,0.0654243813097261)); #164580=CARTESIAN_POINT('Ctrl Pts',(0.4625,-0.0383247152613688,0.0925240473580835)); #164581=CARTESIAN_POINT('Ctrl Pts',(0.459375,-1.69963917661853E-17,0.0925240473580835)); #164582=CARTESIAN_POINT('Ctrl Pts',(0.45625,0.0383247152613687,0.0925240473580835)); #164583=CARTESIAN_POINT('Ctrl Pts',(0.453125,0.0654243813097261,0.0654243813097261)); #164584=CARTESIAN_POINT('Ctrl Pts',(0.45,0.0925240473580835,0.0383247152613688)); #164585=CARTESIAN_POINT('Ctrl Pts',(0.446875,0.0925240473580835,0.)); #164586=CARTESIAN_POINT('Ctrl Pts',(0.44375,0.0925240473580835,-0.0383247152613687)); #164587=CARTESIAN_POINT('Ctrl Pts',(0.440625,0.0654243813097261,-0.0654243813097261)); #164588=CARTESIAN_POINT('Ctrl Pts',(0.4375,0.0383247152613687,-0.0925240473580835)); #164589=CARTESIAN_POINT('Ctrl Pts',(0.434375,5.66546392206175E-18,-0.0925240473580835)); #164590=CARTESIAN_POINT('Ctrl Pts',(0.43125,-0.0383247152613687,-0.0925240473580835)); #164591=CARTESIAN_POINT('Ctrl Pts',(0.428125,-0.0654243813097261,-0.0654243813097261)); #164592=CARTESIAN_POINT('Ctrl Pts',(0.425,-0.0925240473580835,-0.0383247152613687)); #164593=CARTESIAN_POINT('Ctrl Pts',(0.421875,-0.0925240473580835,-1.13309278441235E-17)); #164594=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164595=CARTESIAN_POINT('',(0.528125,-0.0925240473580835,-1.49002592583171E-17)); #164596=CARTESIAN_POINT('',(0.521875,-0.0925240473580835,-1.49002592583171E-17)); #164597=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164598=CARTESIAN_POINT('Ctrl Pts',(0.528125,-0.0925240473580835,-1.13309278441235E-17)); #164599=CARTESIAN_POINT('Ctrl Pts',(0.525,-0.0925240473580835,0.0383247152613687)); #164600=CARTESIAN_POINT('Ctrl Pts',(0.521875,-0.0654243813097261,0.0654243813097261)); #164601=CARTESIAN_POINT('Ctrl Pts',(0.51875,-0.0383247152613688,0.0925240473580835)); #164602=CARTESIAN_POINT('Ctrl Pts',(0.515625,-1.69963917661853E-17,0.0925240473580835)); #164603=CARTESIAN_POINT('Ctrl Pts',(0.5125,0.0383247152613687,0.0925240473580835)); #164604=CARTESIAN_POINT('Ctrl Pts',(0.509375,0.0654243813097261,0.0654243813097261)); #164605=CARTESIAN_POINT('Ctrl Pts',(0.50625,0.0925240473580835,0.0383247152613688)); #164606=CARTESIAN_POINT('Ctrl Pts',(0.503125,0.0925240473580835,0.)); #164607=CARTESIAN_POINT('Ctrl Pts',(0.5,0.0925240473580835,-0.0383247152613687)); #164608=CARTESIAN_POINT('Ctrl Pts',(0.496875,0.0654243813097261,-0.0654243813097261)); #164609=CARTESIAN_POINT('Ctrl Pts',(0.49375,0.0383247152613687,-0.0925240473580835)); #164610=CARTESIAN_POINT('Ctrl Pts',(0.490625,5.66546392206175E-18,-0.0925240473580835)); #164611=CARTESIAN_POINT('Ctrl Pts',(0.4875,-0.0383247152613687,-0.0925240473580835)); #164612=CARTESIAN_POINT('Ctrl Pts',(0.484375,-0.0654243813097261,-0.0654243813097261)); #164613=CARTESIAN_POINT('Ctrl Pts',(0.48125,-0.0925240473580835,-0.0383247152613687)); #164614=CARTESIAN_POINT('Ctrl Pts',(0.478125,-0.0925240473580835,-1.13309278441235E-17)); #164615=CARTESIAN_POINT('Ctrl Pts',(0.521875,-0.0925240473580835,-1.13309278441235E-17)); #164616=CARTESIAN_POINT('Ctrl Pts',(0.51875,-0.0925240473580835,0.0383247152613687)); #164617=CARTESIAN_POINT('Ctrl Pts',(0.515625,-0.0654243813097261,0.0654243813097261)); #164618=CARTESIAN_POINT('Ctrl Pts',(0.5125,-0.0383247152613688,0.0925240473580835)); #164619=CARTESIAN_POINT('Ctrl Pts',(0.509375,-1.69963917661853E-17,0.0925240473580835)); #164620=CARTESIAN_POINT('Ctrl Pts',(0.50625,0.0383247152613687,0.0925240473580835)); #164621=CARTESIAN_POINT('Ctrl Pts',(0.503125,0.0654243813097261,0.0654243813097261)); #164622=CARTESIAN_POINT('Ctrl Pts',(0.5,0.0925240473580835,0.0383247152613688)); #164623=CARTESIAN_POINT('Ctrl Pts',(0.496875,0.0925240473580835,0.)); #164624=CARTESIAN_POINT('Ctrl Pts',(0.49375,0.0925240473580835,-0.0383247152613687)); #164625=CARTESIAN_POINT('Ctrl Pts',(0.490625,0.0654243813097261,-0.0654243813097261)); #164626=CARTESIAN_POINT('Ctrl Pts',(0.4875,0.0383247152613687,-0.0925240473580835)); #164627=CARTESIAN_POINT('Ctrl Pts',(0.484375,5.66546392206175E-18,-0.0925240473580835)); #164628=CARTESIAN_POINT('Ctrl Pts',(0.48125,-0.0383247152613687,-0.0925240473580835)); #164629=CARTESIAN_POINT('Ctrl Pts',(0.478125,-0.0654243813097261,-0.0654243813097261)); #164630=CARTESIAN_POINT('Ctrl Pts',(0.475,-0.0925240473580835,-0.0383247152613687)); #164631=CARTESIAN_POINT('Ctrl Pts',(0.471875,-0.0925240473580835,-1.13309278441235E-17)); #164632=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #164633=CARTESIAN_POINT('',(0.578125,-0.0925240473580835,-1.13309278441235E-17)); #164634=CARTESIAN_POINT('',(0.571875,-0.0925240473580835,-1.49002592583171E-17)); #164635=CARTESIAN_POINT('',(0.6,-0.0925240473580834,-1.84695906725106E-17)); #164636=CARTESIAN_POINT('Ctrl Pts',(0.578125,-0.0925240473580835,-1.13309278441235E-17)); #164637=CARTESIAN_POINT('Ctrl Pts',(0.575,-0.0925240473580835,0.0383247152613687)); #164638=CARTESIAN_POINT('Ctrl Pts',(0.571875,-0.0654243813097261,0.0654243813097261)); #164639=CARTESIAN_POINT('Ctrl Pts',(0.56875,-0.0383247152613688,0.0925240473580835)); #164640=CARTESIAN_POINT('Ctrl Pts',(0.565625,-1.69963917661853E-17,0.0925240473580835)); #164641=CARTESIAN_POINT('Ctrl Pts',(0.5625,0.0383247152613687,0.0925240473580835)); #164642=CARTESIAN_POINT('Ctrl Pts',(0.559375,0.0654243813097261,0.0654243813097261)); #164643=CARTESIAN_POINT('Ctrl Pts',(0.55625,0.0925240473580835,0.0383247152613688)); #164644=CARTESIAN_POINT('Ctrl Pts',(0.553125,0.0925240473580835,0.)); #164645=CARTESIAN_POINT('Ctrl Pts',(0.55,0.0925240473580835,-0.0383247152613687)); #164646=CARTESIAN_POINT('Ctrl Pts',(0.546875,0.0654243813097261,-0.0654243813097261)); #164647=CARTESIAN_POINT('Ctrl Pts',(0.54375,0.0383247152613687,-0.0925240473580835)); #164648=CARTESIAN_POINT('Ctrl Pts',(0.540625,5.66546392206175E-18,-0.0925240473580835)); #164649=CARTESIAN_POINT('Ctrl Pts',(0.5375,-0.0383247152613687,-0.0925240473580835)); #164650=CARTESIAN_POINT('Ctrl Pts',(0.534375,-0.0654243813097261,-0.0654243813097261)); #164651=CARTESIAN_POINT('Ctrl Pts',(0.53125,-0.0925240473580835,-0.0383247152613687)); #164652=CARTESIAN_POINT('Ctrl Pts',(0.528125,-0.0925240473580835,-1.13309278441235E-17)); #164653=CARTESIAN_POINT('Ctrl Pts',(0.571875,-0.0925240473580835,-1.13309278441235E-17)); #164654=CARTESIAN_POINT('Ctrl Pts',(0.56875,-0.0925240473580835,0.0383247152613687)); #164655=CARTESIAN_POINT('Ctrl Pts',(0.565625,-0.0654243813097261,0.0654243813097261)); #164656=CARTESIAN_POINT('Ctrl Pts',(0.5625,-0.0383247152613688,0.0925240473580835)); #164657=CARTESIAN_POINT('Ctrl Pts',(0.559375,-1.69963917661853E-17,0.0925240473580835)); #164658=CARTESIAN_POINT('Ctrl Pts',(0.55625,0.0383247152613687,0.0925240473580835)); #164659=CARTESIAN_POINT('Ctrl Pts',(0.553125,0.0654243813097261,0.0654243813097261)); #164660=CARTESIAN_POINT('Ctrl Pts',(0.55,0.0925240473580835,0.0383247152613688)); #164661=CARTESIAN_POINT('Ctrl Pts',(0.546875,0.0925240473580835,0.)); #164662=CARTESIAN_POINT('Ctrl Pts',(0.54375,0.0925240473580835,-0.0383247152613687)); #164663=CARTESIAN_POINT('Ctrl Pts',(0.540625,0.0654243813097261,-0.0654243813097261)); #164664=CARTESIAN_POINT('Ctrl Pts',(0.5375,0.0383247152613687,-0.0925240473580835)); #164665=CARTESIAN_POINT('Ctrl Pts',(0.534375,5.66546392206175E-18,-0.0925240473580835)); #164666=CARTESIAN_POINT('Ctrl Pts',(0.53125,-0.0383247152613687,-0.0925240473580835)); #164667=CARTESIAN_POINT('Ctrl Pts',(0.528125,-0.0654243813097261,-0.0654243813097261)); #164668=CARTESIAN_POINT('Ctrl Pts',(0.525,-0.0925240473580835,-0.0383247152613687)); #164669=CARTESIAN_POINT('Ctrl Pts',(0.521875,-0.0925240473580835,-1.13309278441235E-17)); #164670=CARTESIAN_POINT('Origin',(0.578125,0.,0.)); #164671=CARTESIAN_POINT('',(0.555037598965164,1.17003319236147E-13,-0.117487401034836)); #164672=CARTESIAN_POINT('',(0.578125000000002,-1.15606657839508E-17,-0.0943999999999983)); #164673=CARTESIAN_POINT('',(0.578125,-1.1560665783951E-17,-0.0944000000000002)); #164674=CARTESIAN_POINT('',(0.578125,-0.0934768310751065,0.0131697400185444)); #164675=CARTESIAN_POINT('Ctrl Pts',(0.555037598965164,2.34102981969065E-13, -0.117487401034836)); #164676=CARTESIAN_POINT('Ctrl Pts',(0.555235378973904,0.00485820823438892, -0.117289621026095)); #164677=CARTESIAN_POINT('Ctrl Pts',(0.555443191319851,0.0098135989371757, -0.116780654837948)); #164678=CARTESIAN_POINT('Ctrl Pts',(0.556309289424805,0.0298003286496333, -0.113350186593113)); #164679=CARTESIAN_POINT('Ctrl Pts',(0.556991615443682,0.0441140871536279, -0.107852048304114)); #164680=CARTESIAN_POINT('Ctrl Pts',(0.558282643201988,0.0685458413450392, -0.0924147579490488)); #164681=CARTESIAN_POINT('Ctrl Pts',(0.558894728597598,0.0797081824509836, -0.0824831278299377)); #164682=CARTESIAN_POINT('Ctrl Pts',(0.560233606313589,0.0973855194514414, -0.0578000553432956)); #164683=CARTESIAN_POINT('Ctrl Pts',(0.560916368216392,0.103493451182897, -0.0442824537071669)); #164684=CARTESIAN_POINT('Ctrl Pts',(0.562215358804048,0.109816282955098, -0.0169418206138295)); #164685=CARTESIAN_POINT('Ctrl Pts',(0.562827346343458,0.110670638905543, -0.00259549275041347)); #164686=CARTESIAN_POINT('Ctrl Pts',(0.564120125054549,0.106238449568394, 0.0254238062682771)); #164687=CARTESIAN_POINT('Ctrl Pts',(0.564767346864507,0.101646212225565, 0.03822349383291)); #164688=CARTESIAN_POINT('Ctrl Pts',(0.565748593586208,0.0912917026210297, 0.0558116891405595)); #164689=CARTESIAN_POINT('Ctrl Pts',(0.566079640797732,0.0872061529142188, 0.0614298956267275)); #164690=CARTESIAN_POINT('Ctrl Pts',(0.567052151718019,0.0734057392259199, 0.0769940984253984)); #164691=CARTESIAN_POINT('Ctrl Pts',(0.567674753132901,0.0622939010686438, 0.0854786550261381)); #164692=CARTESIAN_POINT('Ctrl Pts',(0.569025234859437,0.0376429953221319, 0.0973398244482753)); #164693=CARTESIAN_POINT('Ctrl Pts',(0.569702015098676,0.0245266938308379, 0.100751526289213)); #164694=CARTESIAN_POINT('Ctrl Pts',(0.570955521049489,-0.00122425159850635, 0.102310996875245)); #164695=CARTESIAN_POINT('Ctrl Pts',(0.571576849939165,-0.0146575657491403, 0.100907211435989)); #164696=CARTESIAN_POINT('Ctrl Pts',(0.572977067400092,-0.040417535857351, 0.0918818492392463)); #164697=CARTESIAN_POINT('Ctrl Pts',(0.573653413073541,-0.0516493761660843, 0.085287852676746)); #164698=CARTESIAN_POINT('Ctrl Pts',(0.574901463825129,-0.0701263116246778, 0.0689272101072808)); #164699=CARTESIAN_POINT('Ctrl Pts',(0.57552288306823,-0.0783556643347523, 0.0588586455624651)); #164700=CARTESIAN_POINT('Ctrl Pts',(0.576884411560099,-0.089402629586415, 0.0358739946388632)); #164701=CARTESIAN_POINT('Ctrl Pts',(0.577513406337646,-0.0924755832064051, 0.0246603176154029)); #164702=CARTESIAN_POINT('Ctrl Pts',(0.578125000000002,-0.0934768310751096, 0.0131697400185079)); #164703=CARTESIAN_POINT('Origin',(0.578125,0.,0.)); #164704=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #164705=CARTESIAN_POINT('',(-0.359374999970244,-2.46509923152653E-10,0.125)); #164706=CARTESIAN_POINT('',(-0.365625,8.77462286004125E-16,0.125)); #164707=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #164708=CARTESIAN_POINT('',(-0.406875,-0.0388562817682161,0.118807362428214)); #164709=CARTESIAN_POINT('Ctrl Pts',(-0.359374999970244,-4.93019808811133E-10, 0.125)); #164710=CARTESIAN_POINT('Ctrl Pts',(-0.359379107638488,6.80575829528204E-5, 0.125000000000268)); #164711=CARTESIAN_POINT('Ctrl Pts',(-0.359383216841507,0.000136118064634802, 0.124999944417728)); #164712=CARTESIAN_POINT('Ctrl Pts',(-0.360398048447284,0.0169390298532089, 0.124972497713946)); #164713=CARTESIAN_POINT('Ctrl Pts',(-0.361482074473673,0.0334965454073507, 0.121584835268395)); #164714=CARTESIAN_POINT('Ctrl Pts',(-0.363626626300212,0.0637097595811328, 0.108743913628059)); #164715=CARTESIAN_POINT('Ctrl Pts',(-0.364665025124216,0.0771663438811146, 0.0996454260145393)); #164716=CARTESIAN_POINT('Ctrl Pts',(-0.366647398994268,0.100340392916382, 0.0763993857132684)); #164717=CARTESIAN_POINT('Ctrl Pts',(-0.367731417216622,0.109654105103261, 0.0622966496347651)); #164718=CARTESIAN_POINT('Ctrl Pts',(-0.369875987830723,0.121940683647048, 0.0318535077496626)); #164719=CARTESIAN_POINT('Ctrl Pts',(-0.370914471869122,0.125023586367834, 0.0159047813606912)); #164720=CARTESIAN_POINT('Ctrl Pts',(-0.372896797925248,0.124975189352964, -0.0169194254390939)); #164721=CARTESIAN_POINT('Ctrl Pts',(-0.373980808918478,0.121590051642003, -0.0334777376950085)); #164722=CARTESIAN_POINT('Ctrl Pts',(-0.376125396915132,0.108753544472889, -0.0636933796887228)); #164723=CARTESIAN_POINT('Ctrl Pts',(-0.377163959852774,0.0996569370340116, -0.0771515230827409)); #164724=CARTESIAN_POINT('Ctrl Pts',(-0.379146241651839,0.0764139761139589, -0.10032932356245)); #164725=CARTESIAN_POINT('Ctrl Pts',(-0.38023024594915,0.0623123710820723, -0.109645209335685)); #164726=CARTESIAN_POINT('Ctrl Pts',(-0.382374850028316,0.0318705294991489, -0.121936265689244)); #164727=CARTESIAN_POINT('Ctrl Pts',(-0.383413486013622,0.0159220132315886, -0.125021419171407)); #164728=CARTESIAN_POINT('Ctrl Pts',(-0.385395726849452,-0.0169026332250004, -0.124977492393797)); #164729=CARTESIAN_POINT('Ctrl Pts',(-0.386479724945276,-0.0334616275900276, -0.12159451733615)); #164730=CARTESIAN_POINT('Ctrl Pts',(-0.388624343904059,-0.0636793488766737, -0.108761791539063)); #164731=CARTESIAN_POINT('Ctrl Pts',(-0.389663047515634,-0.0771388276899737, -0.0996667945268213)); #164732=CARTESIAN_POINT('Ctrl Pts',(-0.391645250438245,-0.100319841123074, -0.0764264713235187)); #164733=CARTESIAN_POINT('Ctrl Pts',(-0.392729242790979,-0.109637588443884, -0.0623258352741223)); #164734=CARTESIAN_POINT('Ctrl Pts',(-0.394873875516379,-0.121932479982103, -0.0318851076503598)); #164735=CARTESIAN_POINT('Ctrl Pts',(-0.395912641731934,-0.125019561205706, -0.0159367713432173)); #164736=CARTESIAN_POINT('Ctrl Pts',(-0.397894809565387,-0.124979463021497, 0.0168882514256986)); #164737=CARTESIAN_POINT('Ctrl Pts',(-0.398978796599951,-0.121598340244526, 0.033447829761547)); #164738=CARTESIAN_POINT('Ctrl Pts',(-0.401123442062158,-0.108768853133973, 0.0636673316791966)); #164739=CARTESIAN_POINT('Ctrl Pts',(-0.402162266229599,-0.0996752353511605, 0.0771279542011654)); #164740=CARTESIAN_POINT('Ctrl Pts',(-0.404144401588576,-0.0764371712845496, 0.100311719127345)); #164741=CARTESIAN_POINT('Ctrl Pts',(-0.4052283836988,-0.0623373652594851, 0.109631060603747)); #164742=CARTESIAN_POINT('Ctrl Pts',(-0.406506358771619,-0.0441986758540984, 0.116959393550369)); #164743=CARTESIAN_POINT('Ctrl Pts',(-0.406691019861698,-0.0415408824350419, 0.117929356235556)); #164744=CARTESIAN_POINT('Ctrl Pts',(-0.406874999999999,-0.0388562817682235, 0.118807362428212)); #164745=CARTESIAN_POINT('',(-0.406875,-1.53080849893419E-17,0.125)); #164746=CARTESIAN_POINT('Origin',(-0.406875,0.,0.)); #164747=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #164748=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #164749=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #164750=CARTESIAN_POINT('',(-0.406875,-0.11148503195788,0.0565339512978741)); #164751=CARTESIAN_POINT('Origin',(-0.406875,0.,0.)); #164752=CARTESIAN_POINT('Ctrl Pts',(-0.406875000000001,-0.11148503195787, 0.056533951297895)); #164753=CARTESIAN_POINT('Ctrl Pts',(-0.406726089808986,-0.112518981057641, 0.0544950022100562)); #164754=CARTESIAN_POINT('Ctrl Pts',(-0.406576720985693,-0.113498002713452, 0.0524257025616464)); #164755=CARTESIAN_POINT('Ctrl Pts',(-0.40533579157422,-0.121147798626452, 0.0350339600910148)); #164756=CARTESIAN_POINT('Ctrl Pts',(-0.404250623993746,-0.12474165674056, 0.0185429396919219)); #164757=CARTESIAN_POINT('Ctrl Pts',(-0.402260146930192,-0.125222088990366, -0.0142373625670762)); #164758=CARTESIAN_POINT('Ctrl Pts',(-0.401235793249034,-0.122356444905826, -0.0302064059621433)); #164759=CARTESIAN_POINT('Ctrl Pts',(-0.399094547466555,-0.110502006317931, -0.0607734584638026)); #164760=CARTESIAN_POINT('Ctrl Pts',(-0.39800929167929,-0.10139864464621, -0.0749838292253504)); #164761=CARTESIAN_POINT('Ctrl Pts',(-0.396018143914899,-0.0785872838773022, -0.0985254705666765)); #164762=CARTESIAN_POINT('Ctrl Pts',(-0.394994916573521,-0.0652807204973793, -0.107804937845307)); #164763=CARTESIAN_POINT('Ctrl Pts',(-0.392853955501445,-0.0353024051864429, -0.121069325327613)); #164764=CARTESIAN_POINT('Ctrl Pts',(-0.391768606351086,-0.0188232739623834, -0.124699149244718)); #164765=CARTESIAN_POINT('Ctrl Pts',(-0.389776739487022,0.0139491661679472, -0.125254154372565)); #164766=CARTESIAN_POINT('Ctrl Pts',(-0.388754713459245,0.0299217193433142, -0.122425959018026)); #164767=CARTESIAN_POINT('Ctrl Pts',(-0.386614059559712,0.0605098097785125, -0.110645985812474)); #164768=CARTESIAN_POINT('Ctrl Pts',(-0.385528611768831,0.0747384232882277, -0.10157898588616)); #164769=CARTESIAN_POINT('Ctrl Pts',(-0.383535974396621,0.09833068650056, -0.0788302528120225)); #164770=CARTESIAN_POINT('Ctrl Pts',(-0.382515228280562,0.107641249164949, -0.0655494538072081)); #164771=CARTESIAN_POINT('Ctrl Pts',(-0.380374905724984,0.120978099473607, -0.0356117328694555)); #164772=CARTESIAN_POINT('Ctrl Pts',(-0.379289353926111,0.124649352702204, -0.019146404637575)); #164773=CARTESIAN_POINT('Ctrl Pts',(-0.37729589151684,0.125290307178307, 0.0136168699655941)); #164774=CARTESIAN_POINT('Ctrl Pts',(-0.376276507484151,0.122505255580198, 0.0295934698270114)); #164775=CARTESIAN_POINT('Ctrl Pts',(-0.374136542270871,0.110811062010053, 0.0602056808231412)); #164776=CARTESIAN_POINT('Ctrl Pts',(-0.373050881044909,0.101785989453682, 0.0744551975263316)); #164777=CARTESIAN_POINT('Ctrl Pts',(-0.371056535847135,0.0791094473461345, 0.0981056593965342)); #164778=CARTESIAN_POINT('Ctrl Pts',(-0.370038599545735,0.0658582963743187, 0.107452010472182)); #164779=CARTESIAN_POINT('Ctrl Pts',(-0.367899019623284,0.035967379447244, 0.120872150333128)); #164780=CARTESIAN_POINT('Ctrl Pts',(-0.366813243546219,0.0195180578696762, 0.124591018171314)); #164781=CARTESIAN_POINT('Ctrl Pts',(-0.365738825239957,0.00188152116598402, 0.124989384318458)); #164782=CARTESIAN_POINT('Ctrl Pts',(-0.365681767466534,0.000940554181594228, 0.125)); #164783=CARTESIAN_POINT('Ctrl Pts',(-0.365625,1.77023265699759E-15,0.125)); #164784=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #164785=CARTESIAN_POINT('',(-0.309374999926292,-6.10619992620921E-10,0.125)); #164786=CARTESIAN_POINT('',(-0.315625,2.65725636773938E-15,0.125)); #164787=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #164788=CARTESIAN_POINT('Ctrl Pts',(-0.309374999963012,-6.12840623333032E-10, 0.125)); #164789=CARTESIAN_POINT('Ctrl Pts',(-0.309381496771787,0.000107642039653481, 0.125000000000528)); #164790=CARTESIAN_POINT('Ctrl Pts',(-0.309387997418228,0.000215290682977032, 0.12499986095778)); #164791=CARTESIAN_POINT('Ctrl Pts',(-0.310405521249444,0.0170561552800707, 0.124956351421552)); #164792=CARTESIAN_POINT('Ctrl Pts',(-0.31148963654776,0.033608902915654, 0.121553607325258)); #164793=CARTESIAN_POINT('Ctrl Pts',(-0.313633971767647,0.0638076053311291, 0.108686315467289)); #164794=CARTESIAN_POINT('Ctrl Pts',(-0.314671392776956,0.0772548742041574, 0.0995765937499849)); #164795=CARTESIAN_POINT('Ctrl Pts',(-0.316654316384247,0.100406501100559, 0.0763121575498894)); #164796=CARTESIAN_POINT('Ctrl Pts',(-0.317738417354086,0.109707220933341, 0.0622026688569012)); #164797=CARTESIAN_POINT('Ctrl Pts',(-0.31988278755184,0.12196703931255, 0.0317517631554491)); #164798=CARTESIAN_POINT('Ctrl Pts',(-0.320920365883103,0.125036490938543, 0.0158017808913521)); #164799=CARTESIAN_POINT('Ctrl Pts',(-0.322903200907167,0.124961376246725, -0.0170197919411853)); #164800=CARTESIAN_POINT('Ctrl Pts',(-0.323987288589227,0.121563314065592, -0.0335740213284166)); #164801=CARTESIAN_POINT('Ctrl Pts',(-0.326131691151486,0.108704208543134, -0.0637772304000382)); #164802=CARTESIAN_POINT('Ctrl Pts',(-0.327169415247709,0.099597974825791, -0.0772273915174413)); #164803=CARTESIAN_POINT('Ctrl Pts',(-0.32915216824239,0.0763392495989029, -0.100385981418459)); #164804=CARTESIAN_POINT('Ctrl Pts',(-0.330236243604017,0.0622318564831757, -0.10969073609381)); #164805=CARTESIAN_POINT('Ctrl Pts',(-0.332380676112304,0.0317833603011222, -0.121958863988717)); #164806=CARTESIAN_POINT('Ctrl Pts',(-0.333418535250221,0.0158337680373058, -0.12503249245227)); #164807=CARTESIAN_POINT('Ctrl Pts',(-0.33540121228983,-0.0169886238826357, -0.124965674590531)); #164808=CARTESIAN_POINT('Ctrl Pts',(-0.336485276229857,-0.0335441222607727, -0.12157162570578)); #164809=CARTESIAN_POINT('Ctrl Pts',(-0.338629736446107,-0.0637511932103282, -0.108719537421785)); #164810=CARTESIAN_POINT('Ctrl Pts',(-0.339667720678234,-0.0772038332591649, -0.0996162932220694)); #164811=CARTESIAN_POINT('Ctrl Pts',(-0.341650327391862,-0.100368390119969, -0.0763624632547027)); #164812=CARTESIAN_POINT('Ctrl Pts',(-0.342734380745189,-0.109676602334167, -0.0622568669439074)); #164813=CARTESIAN_POINT('Ctrl Pts',(-0.344878866598334,-0.121951851532678, -0.0318104366558469)); #164814=CARTESIAN_POINT('Ctrl Pts',(-0.345916966698732,-0.125029059549512, -0.015861178604192)); #164815=CARTESIAN_POINT('Ctrl Pts',(-0.347899508302225,-0.124969351755915, 0.0169619144233594)); #164816=CARTESIAN_POINT('Ctrl Pts',(-0.348983551844125,-0.121578742279522, 0.0335184994838418)); #164817=CARTESIAN_POINT('Ctrl Pts',(-0.351128061417676,-0.108732667780941, 0.063728879203424)); #164818=CARTESIAN_POINT('Ctrl Pts',(-0.352166268831229,-0.0996319853400849, 0.0771836435031106)); #164819=CARTESIAN_POINT('Ctrl Pts',(-0.354148750156962,-0.0763823505264986, 0.100353312845219)); #164820=CARTESIAN_POINT('Ctrl Pts',(-0.355232784607136,-0.0622782944465449, 0.109664487379505)); #164821=CARTESIAN_POINT('Ctrl Pts',(-0.357372867179548,-0.0318967940995244, 0.121920360092977)); #164822=CARTESIAN_POINT('Ctrl Pts',(-0.358408127676585,-0.0160196651514973, 0.124999999936816)); #164823=CARTESIAN_POINT('Ctrl Pts',(-0.359374999970244,-4.93019863779398E-10, 0.125)); #164824=CARTESIAN_POINT('Ctrl Pts',(-0.365625,1.89863435559666E-15,0.125)); #164825=CARTESIAN_POINT('Ctrl Pts',(-0.36471329360563,-0.0151056461380102, 0.125)); #164826=CARTESIAN_POINT('Ctrl Pts',(-0.36374005557929,-0.03009666389887, 0.122261997338525)); #164827=CARTESIAN_POINT('Ctrl Pts',(-0.3616623902937,-0.0598555775836608, 0.110999758934228)); #164828=CARTESIAN_POINT('Ctrl Pts',(-0.36057649399261,-0.0741289718610901, 0.102022928936318)); #164829=CARTESIAN_POINT('Ctrl Pts',(-0.358580196633937,-0.0978461726735344, 0.0794294224523222)); #164830=CARTESIAN_POINT('Ctrl Pts',(-0.357565425825489,-0.107233616082639, 0.06621230681231)); #164831=CARTESIAN_POINT('Ctrl Pts',(-0.355426708778861,-0.120749243248589, 0.0363752386167437)); #164832=CARTESIAN_POINT('Ctrl Pts',(-0.354340686998274,-0.124522691855008, 0.0199444541843763)); #164833=CARTESIAN_POINT('Ctrl Pts',(-0.352343313428902,-0.125375888133514, -0.0127957092080852)); #164834=CARTESIAN_POINT('Ctrl Pts',(-0.351330266321373,-0.122697295439281, -0.0287823097621772)); #164835=CARTESIAN_POINT('Ctrl Pts',(-0.349192031422068,-0.111214714574389, -0.0594535048301563)); #164836=CARTESIAN_POINT('Ctrl Pts',(-0.348105879101732,-0.102293258283412, -0.0737540775137698)); #164837=CARTESIAN_POINT('Ctrl Pts',(-0.346107357932401,-0.0797950252834062, -0.0975475871968044)); #164838=CARTESIAN_POINT('Ctrl Pts',(-0.345096131901956,-0.0666168742581738, -0.106982085238666)); #164839=CARTESIAN_POINT('Ctrl Pts',(-0.34295841623102,-0.0368416082110264, -0.120606860961816)); #164840=CARTESIAN_POINT('Ctrl Pts',(-0.341872128596139,-0.020432257254092, -0.124442688727074)); #164841=CARTESIAN_POINT('Ctrl Pts',(-0.339872384938835,0.0122934455753501, -0.125425599410215)); #164842=CARTESIAN_POINT('Ctrl Pts',(-0.338863079373204,0.0282861645841919, -0.122812017094938)); #164843=CARTESIAN_POINT('Ctrl Pts',(-0.336725922646946,0.0589930052025228, -0.111458619122979)); #164844=CARTESIAN_POINT('Ctrl Pts',(-0.335639495311905,0.073324387803745, -0.102600543964766)); #164845=CARTESIAN_POINT('Ctrl Pts',(-0.333638450796678,0.0972048572702651, -0.0802113166175996)); #164846=CARTESIAN_POINT('Ctrl Pts',(-0.332631166544719,0.106693070997978, -0.0670776336073748)); #164847=CARTESIAN_POINT('Ctrl Pts',(-0.33049461125976,0.120442191051311, -0.0373730985725722)); #164848=CARTESIAN_POINT('Ctrl Pts',(-0.329408040346253,0.124349067053677, -0.0209884832450725)); #164849=CARTESIAN_POINT('Ctrl Pts',(-0.327405613171842,0.125479875817944, 0.0117204201605471)); #164850=CARTESIAN_POINT('Ctrl Pts',(-0.326400451886109,0.122940370257371, 0.0277201221366397)); #164851=CARTESIAN_POINT('Ctrl Pts',(-0.324264543464036,0.111734128446582, 0.0584672342517719)); #164852=CARTESIAN_POINT('Ctrl Pts',(-0.323177825734407,0.102948367318419, 0.0728333817200561)); #164853=CARTESIAN_POINT('Ctrl Pts',(-0.321173930757305,0.0806834540059494, 0.0968125717444515)); #164854=CARTESIAN_POINT('Ctrl Pts',(-0.320170994121217,0.067600338812217, 0.106361889932723)); #164855=CARTESIAN_POINT('Ctrl Pts',(-0.318035781050847,0.037976493390236, 0.120252123360149)); #164856=CARTESIAN_POINT('Ctrl Pts',(-0.316948914057241,0.0216203610111723, 0.124239606486882)); #164857=CARTESIAN_POINT('Ctrl Pts',(-0.315825467812658,0.00330787206676871, 0.12496719709979)); #164858=CARTESIAN_POINT('Ctrl Pts',(-0.315724789059222,0.00165335926825474, 0.125)); #164859=CARTESIAN_POINT('Ctrl Pts',(-0.315625,5.32982082046809E-15,0.125)); #164860=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #164861=CARTESIAN_POINT('',(-0.259374999795627,-1.69308256937955E-9,0.125)); #164862=CARTESIAN_POINT('',(-0.265625000000001,8.90770745414341E-15,0.125)); #164863=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #164864=CARTESIAN_POINT('Ctrl Pts',(-0.259374999897441,-1.69924895499403E-9, 0.125)); #164865=CARTESIAN_POINT('Ctrl Pts',(-0.259385917616133,0.000180888985817483, 0.125000000002459)); #164866=CARTESIAN_POINT('Ctrl Pts',(-0.259396846163514,0.000361796446551696, 0.124999607347673)); #164867=CARTESIAN_POINT('Ctrl Pts',(-0.260419354667115,0.0172728586629121, 0.124926182960526)); #164868=CARTESIAN_POINT('Ctrl Pts',(-0.261503632682114,0.0338167483129625, 0.12149554512813)); #164869=CARTESIAN_POINT('Ctrl Pts',(-0.263647564834632,0.0639885701688243, 0.108579480553341)); #164870=CARTESIAN_POINT('Ctrl Pts',(-0.264683188794924,0.0774186005458878, 0.0994489685962657)); #164871=CARTESIAN_POINT('Ctrl Pts',(-0.26666712800004,0.100528700030567, 0.0761505042032956)); #164872=CARTESIAN_POINT('Ctrl Pts',(-0.267751380046314,0.109805352742933, 0.0620285434662751)); #164873=CARTESIAN_POINT('Ctrl Pts',(-0.26989537726097,0.122015624818401, 0.0315632948001825)); #164874=CARTESIAN_POINT('Ctrl Pts',(-0.270931289335179,0.125060171070818, 0.0156109865794739)); #164875=CARTESIAN_POINT('Ctrl Pts',(-0.272915065247128,0.124935576220086, -0.0172056800410696)); #164876=CARTESIAN_POINT('Ctrl Pts',(-0.273999293160153,0.12151358412206, -0.0337523207548734)); #164877=CARTESIAN_POINT('Ctrl Pts',(-0.27614335058475,0.108612636869364, -0.0639324799863446)); #164878=CARTESIAN_POINT('Ctrl Pts',(-0.277179529955372,0.0994885706588068, -0.0773678548002765)); #164879=CARTESIAN_POINT('Ctrl Pts',(-0.27916315453309,0.0762006540480393, -0.100490833787872)); #164880=CARTESIAN_POINT('Ctrl Pts',(-0.280247360026258,0.0620825566856618, -0.109774951387465)); #164881=CARTESIAN_POINT('Ctrl Pts',(-0.282391473169096,0.0316217513903528, -0.122000587836231)); #164882=CARTESIAN_POINT('Ctrl Pts',(-0.283427900470726,0.0156701645522989, -0.125052857384391)); #164883=CARTESIAN_POINT('Ctrl Pts',(-0.285411384815581,-0.0171480275827982, -0.124943608135312)); #164884=CARTESIAN_POINT('Ctrl Pts',(-0.286495569487201,-0.0336970256208212, -0.121529036777589)); #164885=CARTESIAN_POINT('Ctrl Pts',(-0.288639734190498,-0.0638843368548285, -0.108641064838396)); #164886=CARTESIAN_POINT('Ctrl Pts',(-0.289676391416059,-0.0773242979167328, -0.0995225298288901)); #164887=CARTESIAN_POINT('Ctrl Pts',(-0.291659745830727,-0.10045832582172, -0.0762436660375338)); #164888=CARTESIAN_POINT('Ctrl Pts',(-0.292743911170789,-0.109748846882998, -0.0621288863542745)); #164889=CARTESIAN_POINT('Ctrl Pts',(-0.294888123586042,-0.121987665478262, -0.0316718964835955)); #164890=CARTESIAN_POINT('Ctrl Pts',(-0.295924993997955,-0.125046561292379, -0.0157209284966117)); #164891=CARTESIAN_POINT('Ctrl Pts',(-0.297908228041638,-0.124950476837448, 0.017098569549152)); #164892=CARTESIAN_POINT('Ctrl Pts',(-0.298992375438335,-0.121542272211156, 0.0336495871825368)); #164893=CARTESIAN_POINT('Ctrl Pts',(-0.301136632003457,-0.108665432581513, 0.0638430315866934)); #164894=CARTESIAN_POINT('Ctrl Pts',(-0.302173700049679,-0.0995516422197416, 0.0772869267903503)); #164895=CARTESIAN_POINT('Ctrl Pts',(-0.304156822589826,-0.0762805450795941, 0.100430430069176)); #164896=CARTESIAN_POINT('Ctrl Pts',(-0.305240953335799,-0.0621686130949271, 0.109726442319462)); #164897=CARTESIAN_POINT('Ctrl Pts',(-0.307378214011847,-0.0318148350533942, 0.121936366744265)); #164898=CARTESIAN_POINT('Ctrl Pts',(-0.30841064161006,-0.0159780129316017, 0.124999999921664)); #164899=CARTESIAN_POINT('Ctrl Pts',(-0.309374999963012,-6.12840866745884E-10, 0.125)); #164900=CARTESIAN_POINT('Ctrl Pts',(-0.315625,5.26973477977836E-15,0.125)); #164901=CARTESIAN_POINT('Ctrl Pts',(-0.314757981008387,-0.0143652410064527, 0.125)); #164902=CARTESIAN_POINT('Ctrl Pts',(-0.313834762273238,-0.0286360271300813, 0.122523975999508)); #164903=CARTESIAN_POINT('Ctrl Pts',(-0.311808385731045,-0.0578690810259193, 0.112043749496706)); #164904=CARTESIAN_POINT('Ctrl Pts',(-0.310721367980705,-0.0722742494681928, 0.103340192504717)); #164905=CARTESIAN_POINT('Ctrl Pts',(-0.30871426930801,-0.0963650280458636, 0.0812165299672425)); #164906=CARTESIAN_POINT('Ctrl Pts',(-0.307716082537545,-0.105983579573225, 0.0681906868724909)); #164907=CARTESIAN_POINT('Ctrl Pts',(-0.30558241858624,-0.120033262463187, 0.038658554225471)); #164908=CARTESIAN_POINT('Ctrl Pts',(-0.304495249722957,-0.124111793650966, 0.0223351336475352)); #164909=CARTESIAN_POINT('Ctrl Pts',(-0.302486409330626,-0.125600649912049, -0.0103317193025475)); #164910=CARTESIAN_POINT('Ctrl Pts',(-0.301490742839714,-0.1232402845874, -0.0263483612179864)); #164911=CARTESIAN_POINT('Ctrl Pts',(-0.299357939444797,-0.112389695936845, -0.0571913439211977)); #164912=CARTESIAN_POINT('Ctrl Pts',(-0.298270620446076,-0.103779197064489, -0.0716400495453215)); #164913=CARTESIAN_POINT('Ctrl Pts',(-0.296259941646636,-0.0818153602158819, -0.0958563475730397)); #164914=CARTESIAN_POINT('Ctrl Pts',(-0.29526688697833,-0.0688540868988736, -0.105552991282827)); #164915=CARTESIAN_POINT('Ctrl Pts',(-0.293135006181534,-0.0394257617326189, -0.119781961420332)); #164916=CARTESIAN_POINT('Ctrl Pts',(-0.292047539570549,-0.0231397935545624, -0.123962820607917)); #164917=CARTESIAN_POINT('Ctrl Pts',(-0.290034923519786,0.00950099553435706, -0.1256656269334)); #164918=CARTESIAN_POINT('Ctrl Pts',(-0.289044566453047,0.0255277867701935, -0.123412187159933)); #164919=CARTESIAN_POINT('Ctrl Pts',(-0.286913673941543,0.0564269704311946, -0.112773714960398)); #164920=CARTESIAN_POINT('Ctrl Pts',(-0.285826064012313,0.07092392617578, -0.104268064962917)); #164921=CARTESIAN_POINT('Ctrl Pts',(-0.283811410123819,0.0952806407749837, -0.0824842201433727)); #164922=CARTESIAN_POINT('Ctrl Pts',(-0.282823829121155,0.105064926386343, -0.0695953721125915)); #164923=CARTESIAN_POINT('Ctrl Pts',(-0.280693994290638,0.119494384070973, -0.0402839902866631)); #164924=CARTESIAN_POINT('Ctrl Pts',(-0.279606247344195,0.123789603127876, -0.0240407467675184)); #164925=CARTESIAN_POINT('Ctrl Pts',(-0.277589453770263,0.125731990365689, 0.00857002012837212)); #164926=CARTESIAN_POINT('Ctrl Pts',(-0.276604718308024,0.123598191095291, 0.024608203557544)); #164927=CARTESIAN_POINT('Ctrl Pts',(-0.274476014297492,0.113196890270677, 0.0555693665472796)); #164928=CARTESIAN_POINT('Ctrl Pts',(-0.273388138885987,0.104808746435431, 0.0701193935922878)); #164929=CARTESIAN_POINT('Ctrl Pts',(-0.271369103066072,0.0832265329647411, 0.0946322288477419)); #164930=CARTESIAN_POINT('Ctrl Pts',(-0.270387271862612,0.0704184582539074, 0.104514322453461)); #164931=CARTESIAN_POINT('Ctrl Pts',(-0.268259775555346,0.0412381188457197, 0.119166603590196)); #164932=CARTESIAN_POINT('Ctrl Pts',(-0.267171782730244,0.0250434084050061, 0.123588827009614)); #164933=CARTESIAN_POINT('Ctrl Pts',(-0.265967695365633,0.00563849545673336, 0.124904717577659)); #164934=CARTESIAN_POINT('Ctrl Pts',(-0.265795073674746,0.00281787290730151, 0.125)); #164935=CARTESIAN_POINT('Ctrl Pts',(-0.265625000000001,1.78307229932762E-14, 0.125)); #164936=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #164937=CARTESIAN_POINT('',(-0.209375,-1.85814176742188E-17,0.125)); #164938=CARTESIAN_POINT('',(-0.215625000000001,1.06847990047073E-14,0.125)); #164939=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #164940=CARTESIAN_POINT('Ctrl Pts',(-0.209375,-2.18547503590957E-17,0.125)); #164941=CARTESIAN_POINT('Ctrl Pts',(-0.209394048920814,0.000315612853979944, 0.125)); #164942=CARTESIAN_POINT('Ctrl Pts',(-0.209413130758971,0.000631275975357517, 0.124998804662588)); #164943=CARTESIAN_POINT('Ctrl Pts',(-0.210444817175976,0.0176713425361979, 0.124869707777317)); #164944=CARTESIAN_POINT('Ctrl Pts',(-0.211529386085685,0.0341988200685614, 0.121387811799379)); #164945=CARTESIAN_POINT('Ctrl Pts',(-0.213672569247482,0.0643211068764863, 0.108382118668906)); #164946=CARTESIAN_POINT('Ctrl Pts',(-0.214704929764041,0.0777194269533096, 0.0992133588034075)); #164947=CARTESIAN_POINT('Ctrl Pts',(-0.21669073135242,0.10075301956843, 0.0758523472512242)); #164948=CARTESIAN_POINT('Ctrl Pts',(-0.217775254334514,0.109985318409794, 0.0617075255276556)); #164949=CARTESIAN_POINT('Ctrl Pts',(-0.2199185582998,0.122104362754686, 0.0312159752299393)); #164950=CARTESIAN_POINT('Ctrl Pts',(-0.220951438616144,0.125103048906789, 0.0152593817803645)); #164951=CARTESIAN_POINT('Ctrl Pts',(-0.222936941967101,0.124887305539899, -0.0175481510352319)); #164952=CARTESIAN_POINT('Ctrl Pts',(-0.224021422097468,0.121421251327373, -0.0340807194973621)); #164953=CARTESIAN_POINT('Ctrl Pts',(-0.226164837890908,0.108443259104252, -0.0642183347640839)); #164954=CARTESIAN_POINT('Ctrl Pts',(-0.227198201615048,0.0992863261302376, -0.0776264597036127)); #164955=CARTESIAN_POINT('Ctrl Pts',(-0.229183428158727,0.0759446475747497, -0.100683724513542)); #164956=CARTESIAN_POINT('Ctrl Pts',(-0.230267868333204,0.0618068831937654, -0.109929748982427)); #164957=CARTESIAN_POINT('Ctrl Pts',(-0.232411387640989,0.0313234539841068, -0.122077013068233)); #164958=CARTESIAN_POINT('Ctrl Pts',(-0.233445200753851,0.015368186458713, -0.125089885668379)); #164959=CARTESIAN_POINT('Ctrl Pts',(-0.235430170441832,-0.0174421856321768, -0.124902343437485)); #164960=CARTESIAN_POINT('Ctrl Pts',(-0.236514573382855,-0.0339791208934278, -0.121449919184356)); #164961=CARTESIAN_POINT('Ctrl Pts',(-0.238658188504782,-0.0641299106998364, -0.108495759974159)); #164962=CARTESIAN_POINT('Ctrl Pts',(-0.239692419230811,-0.0775464683116017, -0.0993489982897575)); #164963=CARTESIAN_POINT('Ctrl Pts',(-0.24167715062938,-0.100624080809862, -0.0760239518555203)); #164964=CARTESIAN_POINT('Ctrl Pts',(-0.242761518893034,-0.109881901879187, -0.0618922652439722)); #164965=CARTESIAN_POINT('Ctrl Pts',(-0.244905222697927,-0.12205342775527, -0.0314158287487042)); #164966=CARTESIAN_POINT('Ctrl Pts',(-0.245939841378902,-0.125078496788962, -0.0154617009186226)); #164967=CARTESIAN_POINT('Ctrl Pts',(-0.247924351757707,-0.124915196127735, 0.0173511022755943)); #164968=CARTESIAN_POINT('Ctrl Pts',(-0.249008687741038,-0.121474490105073, 0.0338917819954657)); #164969=CARTESIAN_POINT('Ctrl Pts',(-0.251152473625293,-0.108540820882335, 0.0640538883154666)); #164970=CARTESIAN_POINT('Ctrl Pts',(-0.252187452597968,-0.0994028006761762, 0.0774776934488383)); #164971=CARTESIAN_POINT('Ctrl Pts',(-0.254171758014087,-0.076092052357673, 0.100572785354011)); #164972=CARTESIAN_POINT('Ctrl Pts',(-0.255256063962562,-0.061965595303075, 0.109840739083511)); #164973=CARTESIAN_POINT('Ctrl Pts',(-0.257388100298592,-0.0316632492087795, 0.121965857612172)); #164974=CARTESIAN_POINT('Ctrl Pts',(-0.258415290604598,-0.0159009858109676, 0.124999999783842)); #164975=CARTESIAN_POINT('Ctrl Pts',(-0.259374999897441,-1.69924892179898E-9, 0.125)); #164976=CARTESIAN_POINT('Ctrl Pts',(-0.265625000000001,1.78717495745516E-14, 0.125)); #164977=CARTESIAN_POINT('Ctrl Pts',(-0.26483024450711,-0.0131679401570342, 0.125)); #164978=CARTESIAN_POINT('Ctrl Pts',(-0.263987480964506,-0.0262696021904262, 0.122919668466572)); #164979=CARTESIAN_POINT('Ctrl Pts',(-0.262045313154433,-0.0546127763240766, 0.113659431457806)); #164980=CARTESIAN_POINT('Ctrl Pts',(-0.260957216713996,-0.0692206890985174, 0.105402194978345)); #164981=CARTESIAN_POINT('Ctrl Pts',(-0.258933389013795,-0.0939059258092269, 0.0840445202276735)); #164982=CARTESIAN_POINT('Ctrl Pts',(-0.257957490131831,-0.103896494138054, 0.0713259597639284)); #164983=CARTESIAN_POINT('Ctrl Pts',(-0.255832654619718,-0.118794744456049, 0.0422915896240972)); #164984=CARTESIAN_POINT('Ctrl Pts',(-0.254744471350116,-0.123357031323958, 0.0261517399755648)); #164985=CARTESIAN_POINT('Ctrl Pts',(-0.252718095927668,-0.125860997036467, -0.00638517076410544)); #164986=CARTESIAN_POINT('Ctrl Pts',(-0.251745194144646,-0.124007302616879, -0.0224501832297394)); #164987=CARTESIAN_POINT('Ctrl Pts',(-0.249621818832191,-0.114160466158573, -0.0535527241193171)); #164988=CARTESIAN_POINT('Ctrl Pts',(-0.248533568735668,-0.106048099111405, -0.068223189634775)); #164989=CARTESIAN_POINT('Ctrl Pts',(-0.246504546972644,-0.0849388344812906, -0.0930973792370485)); #164990=CARTESIAN_POINT('Ctrl Pts',(-0.24553463907827,-0.0723187849798566, -0.103207428381881)); #164991=CARTESIAN_POINT('Ctrl Pts',(-0.243412814955626,-0.0434459372748403, -0.118375172773858)); #164992=CARTESIAN_POINT('Ctrl Pts',(-0.242324521458354,-0.0273677507706703, -0.123090736748008)); #164993=CARTESIAN_POINT('Ctrl Pts',(-0.240292757711404,0.00512435106460352, -0.125918413705276)); #164994=CARTESIAN_POINT('Ctrl Pts',(-0.239325820298813,0.0212049169751948, -0.12422601010306)); #164995=CARTESIAN_POINT('Ctrl Pts',(-0.237205641394196,0.0523865012487973, -0.114697857568024)); #164996=CARTESIAN_POINT('Ctrl Pts',(-0.236117331525782,0.0671238765721957, -0.106744634210979)); #164997=CARTESIAN_POINT('Ctrl Pts',(-0.234082734012435,0.0922034599043329, -0.0859082038962138)); #164998=CARTESIAN_POINT('Ctrl Pts',(-0.233118721752384,0.102444126773229, -0.0733957424605256)); #164999=CARTESIAN_POINT('Ctrl Pts',(-0.2310002848095,0.117904735495849, -0.0447003237649839)); #165000=CARTESIAN_POINT('Ctrl Pts',(-0.229911989345622,0.122786624397271, -0.0286909987176656)); #165001=CARTESIAN_POINT('Ctrl Pts',(-0.227874471055826,0.125966815469024, 0.00375043137816256)); #165002=CARTESIAN_POINT('Ctrl Pts',(-0.226913315143524,0.124449950765156, 0.0198480141819206)); #165003=CARTESIAN_POINT('Ctrl Pts',(-0.224796719228034,0.115268074704403, 0.0511136659598996)); #165004=CARTESIAN_POINT('Ctrl Pts',(-0.223708472787482,0.107488266277408, 0.065921821192013)); #165005=CARTESIAN_POINT('Ctrl Pts',(-0.221667952406137,0.0869491278314586, 0.0912226801383127)); #165006=CARTESIAN_POINT('Ctrl Pts',(-0.220709559239225,0.0745532006259835, 0.101604978500519)); #165007=CARTESIAN_POINT('Ctrl Pts',(-0.218594905271869,0.0460511260232563, 0.117381042133118)); #165008=CARTESIAN_POINT('Ctrl Pts',(-0.217506746359347,0.0301181389461895, 0.122441765143417)); #165009=CARTESIAN_POINT('Ctrl Pts',(-0.216181455224574,0.00911649021854027, 0.124750945343859)); #165010=CARTESIAN_POINT('Ctrl Pts',(-0.215899971678079,0.0045558799331664, 0.125)); #165011=CARTESIAN_POINT('Ctrl Pts',(-0.215625000000001,2.13903111567465E-14, 0.125)); #165012=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165013=CARTESIAN_POINT('',(-0.159375,-1.85814176742188E-17,0.125)); #165014=CARTESIAN_POINT('',(-0.165625,1.35075737235488E-15,0.125)); #165015=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165016=CARTESIAN_POINT('Ctrl Pts',(-0.159375,-2.18547503590957E-17,0.125)); #165017=CARTESIAN_POINT('Ctrl Pts',(-0.159408839955692,0.000560678743907103, 0.125)); #165018=CARTESIAN_POINT('Ctrl Pts',(-0.15944278351387,0.00112151148178709, 0.124996227674999)); #165019=CARTESIAN_POINT('Ctrl Pts',(-0.160491196661464,0.0183958727996552, 0.124763699146146)); #165020=CARTESIAN_POINT('Ctrl Pts',(-0.16157626684908,0.0348930985890164, 0.121188717883694)); #165021=CARTESIAN_POINT('Ctrl Pts',(-0.163718062252944,0.0649249668092115, 0.10802024528973)); #165022=CARTESIAN_POINT('Ctrl Pts',(-0.16474462594629,0.0782655907940739, 0.0987818793910427)); #165023=CARTESIAN_POINT('Ctrl Pts',(-0.166733796645594,0.101159592885842, 0.0753072262933728)); #165024=CARTESIAN_POINT('Ctrl Pts',(-0.167818789320914,0.110310919384809, 0.0611210825952366)); #165025=CARTESIAN_POINT('Ctrl Pts',(-0.169960808173047,0.122263689754808, 0.0305819518139179)); #165026=CARTESIAN_POINT('Ctrl Pts',(-0.170988284005761,0.12517877927279, 0.0146175388320619)); #165027=CARTESIAN_POINT('Ctrl Pts',(-0.172976919154831,0.12479676410699, -0.0181730112795005)); #165028=CARTESIAN_POINT('Ctrl Pts',(-0.174061838951788,0.121250399819235, -0.0346795988538769)); #165029=CARTESIAN_POINT('Ctrl Pts',(-0.176204064785482,0.108131972290199, -0.0647393280136239)); #165030=CARTESIAN_POINT('Ctrl Pts',(-0.177232392695234,0.0989150258229192, -0.0780977046734298)); #165031=CARTESIAN_POINT('Ctrl Pts',(-0.179220529428473,0.0754753170055046, -0.101034711077945)); #165032=CARTESIAN_POINT('Ctrl Pts',(-0.180305380790585,0.0613018500229095, -0.110210989347165)); #165033=CARTESIAN_POINT('Ctrl Pts',(-0.182447798331108,0.0307773215572575, -0.122214960361292)); #165034=CARTESIAN_POINT('Ctrl Pts',(-0.183476921638447,0.0148153177661781, -0.125155793380225)); #165035=CARTESIAN_POINT('Ctrl Pts',(-0.185464594715737,-0.0179805080568691, -0.124824997779883)); #165036=CARTESIAN_POINT('Ctrl Pts',(-0.186549381890166,-0.0344951417189468, -0.121303363367305)); #165037=CARTESIAN_POINT('Ctrl Pts',(-0.188691976972,-0.0645789017338176, -0.108228181529046)); #165038=CARTESIAN_POINT('Ctrl Pts',(-0.189721842273369,-0.0779526086843763, -0.0990297302094222)); #165039=CARTESIAN_POINT('Ctrl Pts',(-0.191709084203559,-0.100926713274525, -0.075620213545979)); #165040=CARTESIAN_POINT('Ctrl Pts',(-0.192793811238084,-0.110124512066399, -0.061457720582639)); #165041=CARTESIAN_POINT('Ctrl Pts',(-0.194936570727307,-0.122172669219345, -0.0309458290233987)); #165042=CARTESIAN_POINT('Ctrl Pts',(-0.195967127777772,-0.125135717661577, -0.0149859033979015)); #165043=CARTESIAN_POINT('Ctrl Pts',(-0.197953968939939,-0.124849110508725, 0.0178144422583316)); #165044=CARTESIAN_POINT('Ctrl Pts',(-0.199038639682318,-0.121348817975081, 0.0343359869067283)); #165045=CARTESIAN_POINT('Ctrl Pts',(-0.201181551403936,-0.108310956059304, 0.0644404515993749)); #165046=CARTESIAN_POINT('Ctrl Pts',(-0.202212752992514,-0.0991284551187063, 0.0778273804651107)); #165047=CARTESIAN_POINT('Ctrl Pts',(-0.204199221754273,-0.0757449901762542, 0.100833452736153)); #165048=CARTESIAN_POINT('Ctrl Pts',(-0.205283839853608,-0.061591982040724, 0.110049792520365)); #165049=CARTESIAN_POINT('Ctrl Pts',(-0.207406258450416,-0.0313846837817249, 0.122019667253177)); #165050=CARTESIAN_POINT('Ctrl Pts',(-0.208423832126607,-0.0157594653301224, 0.125)); #165051=CARTESIAN_POINT('Ctrl Pts',(-0.209375,-5.46369598732853E-18,0.125)); #165052=CARTESIAN_POINT('Ctrl Pts',(-0.215625000000001,2.14586659902328E-14, 0.125)); #165053=CARTESIAN_POINT('Ctrl Pts',(-0.214936371608466,-0.0114095687683609, 0.125)); #165054=CARTESIAN_POINT('Ctrl Pts',(-0.214210774979556,-0.0227847471646677, 0.123438244802446)); #165055=CARTESIAN_POINT('Ctrl Pts',(-0.212394789545801,-0.0497365123664417, 0.11586614453226)); #165056=CARTESIAN_POINT('Ctrl Pts',(-0.21130676053237,-0.06461865017792, 0.108273642936599)); #165057=CARTESIAN_POINT('Ctrl Pts',(-0.209260019363326,-0.0901556089409004, 0.0880556683621813)); #165058=CARTESIAN_POINT('Ctrl Pts',(-0.208306766880385,-0.10069013645933, 0.0757848495784824)); #165059=CARTESIAN_POINT('Ctrl Pts',(-0.206196304213515,-0.116802773302686, 0.0474916319046087)); #165060=CARTESIAN_POINT('Ctrl Pts',(-0.205108451247423,-0.122053891160088, 0.0316425780418384)); #165061=CARTESIAN_POINT('Ctrl Pts',(-0.203058507242185,-0.126021666152505, -0.000678500106871865)); #165062=CARTESIAN_POINT('Ctrl Pts',(-0.202107579391631,-0.124896774190979, -0.0168144190548849)); #165063=CARTESIAN_POINT('Ctrl Pts',(-0.199999366792985,-0.116485711818724, -0.0482604529753188)); #165064=CARTESIAN_POINT('Ctrl Pts',(-0.19891173963501,-0.109093605107553, -0.0632189389065961)); #165065=CARTESIAN_POINT('Ctrl Pts',(-0.196858543092055,-0.0892193828912556, -0.0890052406953673)); #165066=CARTESIAN_POINT('Ctrl Pts',(-0.195909740509461,-0.0770816143425785, -0.099701858376989)); #165067=CARTESIAN_POINT('Ctrl Pts',(-0.19380388019742,-0.0490119017219315, -0.116169989957946)); #165068=CARTESIAN_POINT('Ctrl Pts',(-0.192716531976623,-0.0332542950295489, -0.121621691002544)); #165069=CARTESIAN_POINT('Ctrl Pts',(-0.190660043039926,-0.00100330793451605, -0.12602047802568)); #165070=CARTESIAN_POINT('Ctrl Pts',(-0.189713140386274,0.0151537817262531, -0.125110080815737)); #165071=CARTESIAN_POINT('Ctrl Pts',(-0.187609733042513,0.0466942540987033, -0.117119223230549)); #165072=CARTESIAN_POINT('Ctrl Pts',(-0.186522719930514,0.0617304736542906, -0.109939343928469)); #165073=CARTESIAN_POINT('Ctrl Pts',(-0.184462909191259,0.087777266479579, -0.090429434853434)); #165074=CARTESIAN_POINT('Ctrl Pts',(-0.183517656314258,0.0986447669230837, -0.0784317603233472)); #165075=CARTESIAN_POINT('Ctrl Pts',(-0.181416800233584,0.115484407487512, -0.050598844582255)); #165076=CARTESIAN_POINT('Ctrl Pts',(-0.180330181037993,0.121145098599252, -0.0349398832951966)); #165077=CARTESIAN_POINT('Ctrl Pts',(-0.17826703001682,0.125995535417249, -0.00276559464088567)); #165078=CARTESIAN_POINT('Ctrl Pts',(-0.177323153630408,0.125309980821772, 0.0134138239094254)); #165079=CARTESIAN_POINT('Ctrl Pts',(-0.17522494383773,0.117758236796577, 0.0450500672278757)); #165080=CARTESIAN_POINT('Ctrl Pts',(-0.174138779518192,0.110800711406124, 0.0601643255353268)); #165081=CARTESIAN_POINT('Ctrl Pts',(-0.172072281012917,0.0916729022645835, 0.0864801958707671)); #165082=CARTESIAN_POINT('Ctrl Pts',(-0.171129486887138,0.0798212135207494, 0.0975259807613292)); #165083=CARTESIAN_POINT('Ctrl Pts',(-0.169034014236582,0.0522365396029777, 0.114749592897637)); #165084=CARTESIAN_POINT('Ctrl Pts',(-0.167948367349768,0.0366828491070549, 0.120625537830023)); #165085=CARTESIAN_POINT('Ctrl Pts',(-0.166463898789544,0.013667349643633, 0.124439949289591)); #165086=CARTESIAN_POINT('Ctrl Pts',(-0.166037355191356,0.00683212451098906, 0.125)); #165087=CARTESIAN_POINT('Ctrl Pts',(-0.165625,1.77433527188494E-15,0.125)); #165088=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165089=CARTESIAN_POINT('',(-0.109375,8.71999600676048E-16,0.125)); #165090=CARTESIAN_POINT('',(-0.115625,-1.22947305766101E-15,0.125)); #165091=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165092=CARTESIAN_POINT('Ctrl Pts',(-0.109375,1.75930728634144E-15,0.125)); #165093=CARTESIAN_POINT('Ctrl Pts',(-0.109435212487545,0.000997633158620377, 0.125)); #165094=CARTESIAN_POINT('Ctrl Pts',(-0.109495751374725,0.00199572723488243, 0.124988056768297)); #165095=CARTESIAN_POINT('Ctrl Pts',(-0.110574084892931,0.0196865722596365, 0.124564194028672)); #165096=CARTESIAN_POINT('Ctrl Pts',(-0.111659959556939,0.0361285899227742, 0.120823749503487)); #165097=CARTESIAN_POINT('Ctrl Pts',(-0.113799199852307,0.0659982447902966, 0.107365863573324)); #165098=CARTESIAN_POINT('Ctrl Pts',(-0.114815877686675,0.0792359474297447, 0.0980032767814604)); #165099=CARTESIAN_POINT('Ctrl Pts',(-0.116810995313309,0.101879700447143, 0.0743264352050391)); #165100=CARTESIAN_POINT('Ctrl Pts',(-0.117896750696483,0.110885725096413, 0.0600674685526168)); #165101=CARTESIAN_POINT('Ctrl Pts',(-0.120036400845366,0.12254097764347, 0.0294443478144627)); #165102=CARTESIAN_POINT('Ctrl Pts',(-0.121054599424016,0.125306441539096, 0.0134659095645061)); #165103=CARTESIAN_POINT('Ctrl Pts',(-0.123048784235683,0.124626452768778, -0.0192931656593887)); #165104=CARTESIAN_POINT('Ctrl Pts',(-0.124134425724495,0.120936392563895, -0.0357521898181985)); #165105=CARTESIAN_POINT('Ctrl Pts',(-0.126274456076605,0.10756664537867, -0.0656714430379403)); #165106=CARTESIAN_POINT('Ctrl Pts',(-0.127294087000699,0.0982419534087317, -0.0789405363412672)); #165107=CARTESIAN_POINT('Ctrl Pts',(-0.129287399470464,0.0746267051566771, -0.101660782522955)); #165108=CARTESIAN_POINT('Ctrl Pts',(-0.130372932491856,0.0603898271344989, -0.110711239371645)); #165109=CARTESIAN_POINT('Ctrl Pts',(-0.132513315452579,0.0297922020261072, -0.12245735628746)); #165110=CARTESIAN_POINT('Ctrl Pts',(-0.133534293679288,0.0138180521416634, -0.125268523942578)); #165111=CARTESIAN_POINT('Ctrl Pts',(-0.135526790982029,-0.0189507843905858, -0.124679601412295)); #165112=CARTESIAN_POINT('Ctrl Pts',(-0.13661222094912,-0.0354244814654002, -0.121033425629082)); #165113=CARTESIAN_POINT('Ctrl Pts',(-0.138752930851846,-0.0653867888231942, -0.107740438303224)); #165114=CARTESIAN_POINT('Ctrl Pts',(-0.13977517483551,-0.0786831867353376, -0.0984487027242919)); #165115=CARTESIAN_POINT('Ctrl Pts',(-0.141766910940872,-0.101469849298404, -0.0748870830987711)); #165116=CARTESIAN_POINT('Ctrl Pts',(-0.142852243207791,-0.110558872442311, -0.0606695059681375)); #165117=CARTESIAN_POINT('Ctrl Pts',(-0.144993256191633,-0.122383938996668, -0.0300941443059486)); #165118=CARTESIAN_POINT('Ctrl Pts',(-0.146016687972275,-0.125234812318193, -0.0141237168584987)); #165119=CARTESIAN_POINT('Ctrl Pts',(-0.148007713748486,-0.124724970052806, 0.0186534947421537)); #165120=CARTESIAN_POINT('Ctrl Pts',(-0.149092953573318,-0.121116925544007, 0.0351398359278732)); #165121=CARTESIAN_POINT('Ctrl Pts',(-0.151234247466455,-0.107890629417844, 0.0651394435550116)); #165122=CARTESIAN_POINT('Ctrl Pts',(-0.152258792713066,-0.098627492857404, 0.0784595394017392)); #165123=CARTESIAN_POINT('Ctrl Pts',(-0.154249156039025,-0.0751124573767596, 0.101303754863056)); #165124=CARTESIAN_POINT('Ctrl Pts',(-0.155334308553929,-0.0609116972042636, 0.110426187654785)); #165125=CARTESIAN_POINT('Ctrl Pts',(-0.157439203856345,-0.0308787772955936, 0.122116117190242)); #165126=CARTESIAN_POINT('Ctrl Pts',(-0.158439338022303,-0.0155025552383545, 0.125)); #165127=CARTESIAN_POINT('Ctrl Pts',(-0.159375,-8.19554398099279E-18,0.125)); #165128=CARTESIAN_POINT('Ctrl Pts',(-0.165625,1.84126554772971E-15,0.125)); #165129=CARTESIAN_POINT('Ctrl Pts',(-0.165072236873213,-0.00915847935580266, 0.125)); #165130=CARTESIAN_POINT('Ctrl Pts',(-0.164494836725078,-0.0183083319750626, 0.123993692361773)); #165131=CARTESIAN_POINT('Ctrl Pts',(-0.162843851545133,-0.0433432146486919, 0.118393838447054)); #165132=CARTESIAN_POINT('Ctrl Pts',(-0.161758785627928,-0.0585346916461396, 0.111666671558573)); #165133=CARTESIAN_POINT('Ctrl Pts',(-0.159685616508375,-0.0851252853989296, 0.092935278845975)); #165134=CARTESIAN_POINT('Ctrl Pts',(-0.15874403425192,-0.0963550750586588, 0.081234091379507)); #165135=CARTESIAN_POINT('Ctrl Pts',(-0.156654287271948,-0.113971043988178, 0.0539068029831532)); #165136=CARTESIAN_POINT('Ctrl Pts',(-0.15556986617479,-0.120066081073114, 0.0384641729762569)); #165137=CARTESIAN_POINT('Ctrl Pts',(-0.153493396863219,-0.12586436628378, 0.0064618313208416)); #165138=CARTESIAN_POINT('Ctrl Pts',(-0.152551917402692,-0.125651259629195, -0.00976490393763598)); #165139=CARTESIAN_POINT('Ctrl Pts',(-0.150465146186404,-0.119017127725765, -0.041591714102906)); #165140=CARTESIAN_POINT('Ctrl Pts',(-0.149381433371058,-0.112525855465088, -0.0568584829288393)); #165141=CARTESIAN_POINT('Ctrl Pts',(-0.147301702224792,-0.0942011336786956, -0.0837262485131272)); #165142=CARTESIAN_POINT('Ctrl Pts',(-0.146359978387721,-0.0826534093227965, -0.0951438462546038)); #165143=CARTESIAN_POINT('Ctrl Pts',(-0.144276248350028,-0.0555899672202595, -0.113156118793893)); #165144=CARTESIAN_POINT('Ctrl Pts',(-0.143193306167954,-0.0402631039309031, -0.119471484855062)); #165145=CARTESIAN_POINT('Ctrl Pts',(-0.141110361400984,-0.00835477639753507, -0.125755758450146)); #165146=CARTESIAN_POINT('Ctrl Pts',(-0.140168043892162,0.00789640880166875, -0.125785372909539)); #165147=CARTESIAN_POINT('Ctrl Pts',(-0.13808741112838,0.039815563866545, -0.119619719415377)); #165148=CARTESIAN_POINT('Ctrl Pts',(-0.137005300364767,0.0551546692562747, -0.113367165137382)); #165149=CARTESIAN_POINT('Ctrl Pts',(-0.134919198366643,0.0822988070460682, -0.0954548308034833)); #165150=CARTESIAN_POINT('Ctrl Pts',(-0.133975941842499,0.0939058414085244, -0.0840619563536114)); #165151=CARTESIAN_POINT('Ctrl Pts',(-0.13189845175497,0.112313804255222, -0.0572658014223622)); #165152=CARTESIAN_POINT('Ctrl Pts',(-0.130817230471157,0.118848078389121, -0.0420581256016173)); #165153=CARTESIAN_POINT('Ctrl Pts',(-0.128728039800109,0.125618996938998, -0.0102478874814406)); #165154=CARTESIAN_POINT('Ctrl Pts',(-0.127783498086424,0.125892098000092, 0.00602770805779016)); #165155=CARTESIAN_POINT('Ctrl Pts',(-0.125709189266847,0.120194203745531, 0.0380358368053259)); #165156=CARTESIAN_POINT('Ctrl Pts',(-0.124628912218958,0.114180355335193, 0.0534434354059585)); #165157=CARTESIAN_POINT('Ctrl Pts',(-0.122536710059482,0.0966813974794621, 0.0808598701219595)); #165158=CARTESIAN_POINT('Ctrl Pts',(-0.121590545578372,0.0854430087434001, 0.0926558473833849)); #165159=CARTESIAN_POINT('Ctrl Pts',(-0.119519446660078,0.0589145009651349, 0.111454320847363)); #165160=CARTESIAN_POINT('Ctrl Pts',(-0.118440164763183,0.0438279775955902, 0.118203507395329)); #165161=CARTESIAN_POINT('Ctrl Pts',(-0.116780316964599,0.0187067030562816, 0.123949297641787)); #165162=CARTESIAN_POINT('Ctrl Pts',(-0.116189825585334,0.0093583367128276, 0.125)); #165163=CARTESIAN_POINT('Ctrl Pts',(-0.115625,-1.96693055543827E-15,0.125)); #165164=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165165=CARTESIAN_POINT('',(-0.0593750000000005,3.5492063601117E-15,0.125)); #165166=CARTESIAN_POINT('',(-0.0656249999999987,-1.22053553342056E-14,0.125)); #165167=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165168=CARTESIAN_POINT('Ctrl Pts',(-0.0593750000000005,7.11372080521274E-15, 0.125)); #165169=CARTESIAN_POINT('Ctrl Pts',(-0.0594806038375985,0.00174970167087609, 0.125)); #165170=CARTESIAN_POINT('Ctrl Pts',(-0.0595872029416444,0.00350067643524351, 0.124963262861712)); #165171=CARTESIAN_POINT('Ctrl Pts',(-0.0607172969789624,0.0219041313188655, 0.124189371743551)); #165172=CARTESIAN_POINT('Ctrl Pts',(-0.0618042880211943,0.038247339471591, 0.120165724829271)); #165173=CARTESIAN_POINT('Ctrl Pts',(-0.0639388899984977,0.0678348300393746, 0.106212166742465)); #165174=CARTESIAN_POINT('Ctrl Pts',(-0.0649399194746741,0.0808952169568797, 0.0966353770876147)); #165175=CARTESIAN_POINT('Ctrl Pts',(-0.0669450889817528,0.103104133015612, 0.0726118751371884)); #165176=CARTESIAN_POINT('Ctrl Pts',(-0.0680319294538006,0.111857309880647, 0.0582301971622092)); #165177=CARTESIAN_POINT('Ctrl Pts',(-0.0701672691001749,0.122997323927874, 0.0274650763935483)); #165178=CARTESIAN_POINT('Ctrl Pts',(-0.0711706063307266,0.125503483119789, 0.0114622269058863)); #165179=CARTESIAN_POINT('Ctrl Pts',(-0.0731742355249478,0.12430606521494, -0.0212390018066203)); #165180=CARTESIAN_POINT('Ctrl Pts',(-0.0742609271011319,0.120367217887656, -0.0376123733824258)); #165181=CARTESIAN_POINT('Ctrl Pts',(-0.0763969532991557,0.106562133169652, -0.0672849608947472)); #165182=CARTESIAN_POINT('Ctrl Pts',(-0.0774024972418294,0.0970497043742298, -0.0803986021976982)); #165183=CARTESIAN_POINT('Ctrl Pts',(-0.0794046739209734,0.0731300871144054, -0.102738604968014)); #165184=CARTESIAN_POINT('Ctrl Pts',(-0.0804912192003158,0.0587848913174555, -0.111568049893814)); #165185=CARTESIAN_POINT('Ctrl Pts',(-0.0826278840135014,0.0280620561150643, -0.122863157001073)); #165186=CARTESIAN_POINT('Ctrl Pts',(-0.0836355329287605,0.0120665727749467, -0.12544739920499)); #165187=CARTESIAN_POINT('Ctrl Pts',(-0.085636341653897,-0.0206525172824411, -0.124405921164583)); #165188=CARTESIAN_POINT('Ctrl Pts',(-0.0867227439976868,-0.0370521108880685, -0.120541948713107)); #165189=CARTESIAN_POINT('Ctrl Pts',(-0.0888600025319041,-0.0667994013822635, -0.106867919499349)); #165190=CARTESIAN_POINT('Ctrl Pts',(-0.0898696548564214,-0.0799599484558177, -0.0974121585034289)); #165191=CARTESIAN_POINT('Ctrl Pts',(-0.0918691768276265,-0.102415063495937, -0.0735842069482494)); #165192=CARTESIAN_POINT('Ctrl Pts',(-0.0929554402130982,-0.111311452177723, -0.0592714107335201)); #165193=CARTESIAN_POINT('Ctrl Pts',(-0.0950932504737223,-0.122742917302871, -0.0285860784769172)); #165194=CARTESIAN_POINT('Ctrl Pts',(-0.0961048055722387,-0.125395788355872, -0.0125970582009094)); #165195=CARTESIAN_POINT('Ctrl Pts',(-0.098103118546665,-0.124491279406587, 0.0201374153599495)); #165196=CARTESIAN_POINT('Ctrl Pts',(-0.099189247436397,-0.1206931474538, 0.0365597502579122)); #165197=CARTESIAN_POINT('Ctrl Pts',(-0.101327570183459,-0.107134332814838, 0.0663723966473041)); #165198=CARTESIAN_POINT('Ctrl Pts',(-0.102340928986669,-0.0977282817084399, 0.0795741025946529)); #165199=CARTESIAN_POINT('Ctrl Pts',(-0.104338107234232,-0.0739808900219414, 0.102129957416641)); #165200=CARTESIAN_POINT('Ctrl Pts',(-0.105424106460625,-0.0596967270940329, 0.111084906604631)); #165201=CARTESIAN_POINT('Ctrl Pts',(-0.107497673616222,-0.0299793666590411, 0.122283538228421)); #165202=CARTESIAN_POINT('Ctrl Pts',(-0.108466884682625,-0.0150461472262199, 0.125)); #165203=CARTESIAN_POINT('Ctrl Pts',(-0.109375,1.56808074836329E-15,0.125)); #165204=CARTESIAN_POINT('Ctrl Pts',(-0.115625,-1.94644169548579E-15,0.125)); #165205=CARTESIAN_POINT('Ctrl Pts',(-0.115218949725333,-0.00672766123089696, 0.125)); #165206=CARTESIAN_POINT('Ctrl Pts',(-0.114799111510306,-0.013458826723052, 0.124456942420649)); #165207=CARTESIAN_POINT('Ctrl Pts',(-0.113329052977587,-0.0362736722919426, 0.120734510707774)); #165208=CARTESIAN_POINT('Ctrl Pts',(-0.112250812746873,-0.0517452236146574, 0.114956530513472)); #165209=CARTESIAN_POINT('Ctrl Pts',(-0.110152848934517,-0.0794267900590872, 0.09786719115708)); #165210=CARTESIAN_POINT('Ctrl Pts',(-0.109202479513405,-0.0914091367529786, 0.0867811864511479)); #165211=CARTESIAN_POINT('Ctrl Pts',(-0.10713784519448,-0.110588622950829, 0.0605176083040596)); #165212=CARTESIAN_POINT('Ctrl Pts',(-0.10606068826419,-0.117546345680847, 0.0455526710488407)); #165213=CARTESIAN_POINT('Ctrl Pts',(-0.103959986709763,-0.125270211059063, 0.0139464378687697)); #165214=CARTESIAN_POINT('Ctrl Pts',(-0.103007070308225,-0.126021743332526, -0.00237714305519118)); #165215=CARTESIAN_POINT('Ctrl Pts',(-0.100945669902539,-0.121236138841459, -0.0345492809914974)); #165216=CARTESIAN_POINT('Ctrl Pts',(-0.0998696326325429,-0.115688512748272, -0.0500797482587712)); #165217=CARTESIAN_POINT('Ctrl Pts',(-0.0977662952961333,-0.0990004521876079, -0.0780165612843041)); #165218=CARTESIAN_POINT('Ctrl Pts',(-0.0968105639206999,-0.0880631460711561, -0.0901806847622326)); #165219=CARTESIAN_POINT('Ctrl Pts',(-0.0947523847600683,-0.0620588081494518, -0.109727825896387)); #165220=CARTESIAN_POINT('Ctrl Pts',(-0.0936774979368322,-0.0472143574295472, -0.116885624571861)); #165221=CARTESIAN_POINT('Ctrl Pts',(-0.0915716304105276,-0.0157114248593898, -0.125065518558643)); #165222=CARTESIAN_POINT('Ctrl Pts',(-0.0906128402956523,0.000635119441998229, -0.126046925796689)); #165223=CARTESIAN_POINT('Ctrl Pts',(-0.0885578596828004,0.0328810390675546, -0.121696237773822)); #165224=CARTESIAN_POINT('Ctrl Pts',(-0.0874841483182683,0.0484651019262626, -0.116371039102909)); #165225=CARTESIAN_POINT('Ctrl Pts',(-0.0853758588089014,0.0766449891784191, -0.100071724718533)); #165226=CARTESIAN_POINT('Ctrl Pts',(-0.0844137921851589,0.0889844800331804, -0.0892780037353741)); #165227=CARTESIAN_POINT('Ctrl Pts',(-0.0823619777615065,0.10888263275533, -0.0635245139890763)); #165228=CARTESIAN_POINT('Ctrl Pts',(-0.081289460990255,0.116230332277915, -0.0487979910825746)); #165229=CARTESIAN_POINT('Ctrl Pts',(-0.079178859340301,0.124846764295901, -0.0173974409565705)); #165230=CARTESIAN_POINT('Ctrl Pts',(-0.0782133256804982,0.126048872491968, -0.00102897057935294)); #165231=CARTESIAN_POINT('Ctrl Pts',(-0.0761646359018181,0.122113549645548, 0.0312847689823207)); #165232=CARTESIAN_POINT('Ctrl Pts',(-0.0750933269738101,0.117000794612474, 0.0469170240054236)); #165233=CARTESIAN_POINT('Ctrl Pts',(-0.0729805237363354,0.101074050665458, 0.0753260208816371)); #165234=CARTESIAN_POINT('Ctrl Pts',(-0.0720113604844724,0.0904175769255015, 0.0878329211115709)); #165235=CARTESIAN_POINT('Ctrl Pts',(-0.0699657451909292,0.0649042120360287, 0.108062821315361)); #165236=CARTESIAN_POINT('Ctrl Pts',(-0.0688956515545267,0.0502917408404341, 0.11558894063127)); #165237=CARTESIAN_POINT('Ctrl Pts',(-0.0670762124272124,0.0233641162621231, 0.123357469264393)); #165238=CARTESIAN_POINT('Ctrl Pts',(-0.0663312107707162,0.011700883164983, 0.125000000000002)); #165239=CARTESIAN_POINT('Ctrl Pts',(-0.0656249999999987,-2.52258843734958E-14, 0.125)); #165240=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165241=CARTESIAN_POINT('',(-0.00937500000000127,9.79966459332042E-15,0.125)); #165242=CARTESIAN_POINT('',(-0.0156249999999993,-7.15278288213557E-15,0.125)); #165243=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165244=CARTESIAN_POINT('Ctrl Pts',(-0.00937500000000126,1.96146372716302E-14, 0.125)); #165245=CARTESIAN_POINT('Ctrl Pts',(-0.00955419976912236,0.0029690789897784, 0.125)); #165246=CARTESIAN_POINT('Ctrl Pts',(-0.00973622298148426,0.00594112194354478, 0.124894217912463)); #165247=CARTESIAN_POINT('Ctrl Pts',(-0.0109508218189861,0.0254866613551055, 0.12349737074368)); #165248=CARTESIAN_POINT('Ctrl Pts',(-0.012038901077686,0.0416595845595985, 0.119019090939185)); #165249=CARTESIAN_POINT('Ctrl Pts',(-0.0141653428321118,0.0707816884344357, 0.104268398901624)); #165250=CARTESIAN_POINT('Ctrl Pts',(-0.015144747904446,0.083553993724426, 0.0943429699412001)); #165251=CARTESIAN_POINT('Ctrl Pts',(-0.0171657066977283,0.105046619121959, 0.0697611652248755)); #165252=CARTESIAN_POINT('Ctrl Pts',(-0.0182536796915181,0.113382538274917, 0.0551878892119403)); #165253=CARTESIAN_POINT('Ctrl Pts',(-0.0203813952270151,0.123678611256636, 0.0241995170131602)); #165254=CARTESIAN_POINT('Ctrl Pts',(-0.0213637435170756,0.125759297303389, 0.00815626352221059)); #165255=CARTESIAN_POINT('Ctrl Pts',(-0.0233823755299919,0.123710491599069, -0.0244408836560093)); #165256=CARTESIAN_POINT('Ctrl Pts',(-0.024470228963929,0.119364554758145, -0.0406648835237181)); #165257=CARTESIAN_POINT('Ctrl Pts',(-0.0265991383417748,0.104846137531908, -0.0699240836990981)); #165258=CARTESIAN_POINT('Ctrl Pts',(-0.0275843813755268,0.0950228547229297, -0.0827807196285514)); #165259=CARTESIAN_POINT('Ctrl Pts',(-0.0296007892962345,0.0706038180557633, -0.1044842669549)); #165260=CARTESIAN_POINT('Ctrl Pts',(-0.0306885125787205,0.0560856033439229, -0.11294312739557)); #165261=CARTESIAN_POINT('Ctrl Pts',(-0.0328185395758606,0.0251616137360527, -0.123487092167169)); #165262=CARTESIAN_POINT('Ctrl Pts',(-0.0338066166005813,0.00913028868992457, -0.125692869193178)); #165263=CARTESIAN_POINT('Ctrl Pts',(-0.0358209029065246,-0.0234986489685594, -0.123894640776386)); #165264=CARTESIAN_POINT('Ctrl Pts',(-0.0369084879013058,-0.0397676998484911, -0.119668204266405)); #165265=CARTESIAN_POINT('Ctrl Pts',(-0.0390395600415058,-0.0691495365218824, -0.105359347242738)); #165266=CARTESIAN_POINT('Ctrl Pts',(-0.0400303998662444,-0.0820819791836224, -0.0956278218845504)); #165267=CARTESIAN_POINT('Ctrl Pts',(-0.0420426662201549,-0.103974256947881, -0.0713555796498723)); #165268=CARTESIAN_POINT('Ctrl Pts',(-0.0431301069985337,-0.11254307704948, -0.0568875924022075)); #165269=CARTESIAN_POINT('Ctrl Pts',(-0.0452621555450117,-0.123309289916912, -0.0260221668437325)); #165270=CARTESIAN_POINT('Ctrl Pts',(-0.0462556782957265,-0.125627130446969, -0.0100014920387858)); #165271=CARTESIAN_POINT('Ctrl Pts',(-0.048266025009141,-0.124053205356854, 0.0226550817934672)); #165272=CARTESIAN_POINT('Ctrl Pts',(-0.0493533176070101,-0.119933965042994, 0.0389636902010035)); #165273=CARTESIAN_POINT('Ctrl Pts',(-0.0514862775222704,-0.105812967579591, 0.0684546185333858)); #165274=CARTESIAN_POINT('Ctrl Pts',(-0.0524823962917442,-0.0961633849886318, 0.0814547999129966)); #165275=CARTESIAN_POINT('Ctrl Pts',(-0.0544909218559557,-0.0720226847310372, 0.103515016514383)); #165276=CARTESIAN_POINT('Ctrl Pts',(-0.05557806403938,-0.0576001552397361, 0.112181637549726)); #165277=CARTESIAN_POINT('Ctrl Pts',(-0.0575974913904017,-0.0284393091221946, 0.122558221403351)); #165278=CARTESIAN_POINT('Ctrl Pts',(-0.0585139945181021,-0.0142656059151825, 0.125)); #165279=CARTESIAN_POINT('Ctrl Pts',(-0.0593750000000005,7.2312016392293E-15, 0.125)); #165280=CARTESIAN_POINT('Ctrl Pts',(-0.0656249999999987,-2.50701690378569E-14, 0.125)); #165281=CARTESIAN_POINT('Ctrl Pts',(-0.0653513530234675,-0.00453393155928897, 0.124999999999999)); #165282=CARTESIAN_POINT('Ctrl Pts',(-0.06507124332885,-0.00907277059246689, 0.124753338966623)); #165283=CARTESIAN_POINT('Ctrl Pts',(-0.0637652310040233,-0.0297732553842522, 0.122488237105579)); #165284=CARTESIAN_POINT('Ctrl Pts',(-0.0626963544716563,-0.0454483905319322, 0.117576299676464)); #165285=CARTESIAN_POINT('Ctrl Pts',(-0.0605794783474693,-0.0740712580214939, 0.102002969596292)); #165286=CARTESIAN_POINT('Ctrl Pts',(-0.059602680825467,-0.0867363724178256, 0.09147641653517)); #165287=CARTESIAN_POINT('Ctrl Pts',(-0.0575630328700819,-0.107276838965822, 0.0661905625333389)); #165288=CARTESIAN_POINT('Ctrl Pts',(-0.056495369857198,-0.114969008053084, 0.0516871432941245)); #165289=CARTESIAN_POINT('Ctrl Pts',(-0.0543766198793368,-0.124387166482495, 0.0204836732038523)); #165290=CARTESIAN_POINT('Ctrl Pts',(-0.0533958729726713,-0.125996468340546, 0.00407521965729749)); #165291=CARTESIAN_POINT('Ctrl Pts',(-0.0513591041220421,-0.122821635831123, -0.0283560129130233)); #165292=CARTESIAN_POINT('Ctrl Pts',(-0.0502926459487103,-0.118097688287131, -0.0440689473362705)); #165293=CARTESIAN_POINT('Ctrl Pts',(-0.0481721277326411,-0.102856356262242, -0.072889677077133)); #165294=CARTESIAN_POINT('Ctrl Pts',(-0.0471873786850858,-0.0924516599707493, -0.0857029039618668)); #165295=CARTESIAN_POINT('Ctrl Pts',(-0.045153411359994,-0.0673792868777253, -0.106531533803482)); #165296=CARTESIAN_POINT('Ctrl Pts',(-0.0440881446038006,-0.0529790228598958, -0.114376891357622)); #165297=CARTESIAN_POINT('Ctrl Pts',(-0.0419659610083797,-0.021868152092534, -0.124155949030655)); #165298=CARTESIAN_POINT('Ctrl Pts',(-0.0409771820374495,-0.00544188113693857, -0.125949418252347)); #165299=CARTESIAN_POINT('Ctrl Pts',(-0.0389459337582911,0.0270392903621607, -0.123115971840904)); #165300=CARTESIAN_POINT('Ctrl Pts',(-0.0378818406469122,0.0427852762384005, -0.118566420041102)); #165301=CARTESIAN_POINT('Ctrl Pts',(-0.0357580913410987,0.0717875542392541, -0.103634140697939)); #165302=CARTESIAN_POINT('Ctrl Pts',(-0.0347652780567554,0.0847382132121708, -0.0933427510285658)); #165303=CARTESIAN_POINT('Ctrl Pts',(-0.0327366622481984,0.105832028792418, -0.06846888829415)); #165304=CARTESIAN_POINT('Ctrl Pts',(-0.0316737210802174,0.113817579849235, -0.0541652259336111)); #165305=CARTESIAN_POINT('Ctrl Pts',(-0.0295728532719791,0.123813560755551, -0.0234973792372155)); #165306=CARTESIAN_POINT('Ctrl Pts',(-0.0285944467021397,0.125803072961495, -0.00744540392127632)); #165307=CARTESIAN_POINT('Ctrl Pts',(-0.0265726822105831,0.123571512599786, 0.0251279444047329)); #165308=CARTESIAN_POINT('Ctrl Pts',(-0.0254846718461902,0.119138594035133, 0.0413185153717195)); #165309=CARTESIAN_POINT('Ctrl Pts',(-0.0233573756771528,0.104467539912503, 0.0704877627558013)); #165310=CARTESIAN_POINT('Ctrl Pts',(-0.0223760126752183,0.0945771862015217, 0.0832890186100719)); #165311=CARTESIAN_POINT('Ctrl Pts',(-0.0203566094379912,0.0700511950545879, 0.104854167641583)); #165312=CARTESIAN_POINT('Ctrl Pts',(-0.019268714475292,0.0554967239153791, 0.113232364532108)); #165313=CARTESIAN_POINT('Ctrl Pts',(-0.01730367637397,0.0269087002517776, 0.122816294134879)); #165314=CARTESIAN_POINT('Ctrl Pts',(-0.0164392554241266,0.0134910255963608, 0.125000000000002)); #165315=CARTESIAN_POINT('Ctrl Pts',(-0.0156249999999993,-1.42520509829465E-14, 0.125)); #165316=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165317=CARTESIAN_POINT('',(0.0406249999999987,9.95949336238939E-15,0.125)); #165318=CARTESIAN_POINT('',(0.0343750000000001,-1.80247324495495E-15,0.125)); #165319=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165320=CARTESIAN_POINT('Ctrl Pts',(0.0406249999999988,1.94992480167771E-14, 0.125)); #165321=CARTESIAN_POINT('Ctrl Pts',(0.0403372220398055,0.00476806136257581, 0.125)); #165322=CARTESIAN_POINT('Ctrl Pts',(0.0400423319049973,0.00954096715582576, 0.124727208505643)); #165323=CARTESIAN_POINT('Ctrl Pts',(0.0387022038126081,0.0307343413885373, 0.122287416315135)); #165324=CARTESIAN_POINT('Ctrl Pts',(0.0376141485871219,0.0466336997957771, 0.117149653995475)); #165325=CARTESIAN_POINT('Ctrl Pts',(0.0355011700016939,0.0750516445320369, 0.101237498175406)); #165326=CARTESIAN_POINT('Ctrl Pts',(0.0345449627807121,0.0873970826878866, 0.0907937878125853)); #165327=CARTESIAN_POINT('Ctrl Pts',(0.0325019145636755,0.107806857263116, 0.0653974620434749)); #165328=CARTESIAN_POINT('Ctrl Pts',(0.0314137372067857,0.115511212602703, 0.0505591977348311)); #165329=CARTESIAN_POINT('Ctrl Pts',(0.0292987325785288,0.124542726174546, 0.0192576290848125)); #165330=CARTESIAN_POINT('Ctrl Pts',(0.0283398590189048,0.125983267379057, 0.00315261810130002)); #165331=CARTESIAN_POINT('Ctrl Pts',(0.0262998749133248,0.1226497544603, -0.0292661589796762)); #165332=CARTESIAN_POINT('Ctrl Pts',(0.0252116171171028,0.117695723879801, -0.0452449778669585)); #165333=CARTESIAN_POINT('Ctrl Pts',(0.0230946884911477,0.102107935023024, -0.0738627262129301)); #165334=CARTESIAN_POINT('Ctrl Pts',(0.0221330337872621,0.0918102865661444, -0.086328287502851)); #165335=CARTESIAN_POINT('Ctrl Pts',(0.020096037855329,0.0666414960499633, -0.107045289367486)); #165336=CARTESIAN_POINT('Ctrl Pts',(0.0190077374371606,0.0518754208441567, -0.114928847069339)); #165337=CARTESIAN_POINT('Ctrl Pts',(0.0168889854435991,0.0206598080271523, -0.124317770991202)); #165338=CARTESIAN_POINT('Ctrl Pts',(0.0159244604603409,0.00457241495438093, -0.125939632217985)); #165339=CARTESIAN_POINT('Ctrl Pts',(0.0138903703132326,-0.0278995628645021, -0.122970315581077)); #165340=CARTESIAN_POINT('Ctrl Pts',(0.0128020612078387,-0.0439502951490126, -0.118187846932605)); #165341=CARTESIAN_POINT('Ctrl Pts',(0.0106815845337666,-0.0727520444954673, -0.102902353862003)); #165342=CARTESIAN_POINT('Ctrl Pts',(0.009714124719363,-0.0853289052971062, -0.092739887456997)); #165343=CARTESIAN_POINT('Ctrl Pts',(0.00768285243645638,-0.106328837874024, -0.067783123201178)); #165344=CARTESIAN_POINT('Ctrl Pts',(0.00659456474889705,-0.114377434145098, -0.0530856201366941)); #165345=CARTESIAN_POINT('Ctrl Pts',(0.00447245968460529,-0.124096462354172, -0.0219511854975681)); #165346=CARTESIAN_POINT('Ctrl Pts',(0.00350202370342358,-0.125885499331397, -0.00587994741923588)); #165347=CARTESIAN_POINT('Ctrl Pts',(0.00147347675404317,-0.123251791368573, 0.0266392013569905)); #165348=CARTESIAN_POINT('Ctrl Pts',(0.000385236865450564,-0.118628010861858, 0.0427545176004915)); #165349=CARTESIAN_POINT('Ctrl Pts',(-0.00173840307634636,-0.103621684044349, 0.0717243121308558)); #165350=CARTESIAN_POINT('Ctrl Pts',(-0.00271183493168405,-0.0935832802959522, 0.0844034217692008)); #165351=CARTESIAN_POINT('Ctrl Pts',(-0.00473775278025355,-0.0688222738970171, 0.105661778245665)); #165352=CARTESIAN_POINT('Ctrl Pts',(-0.00582592206288817,-0.0541891644314613, 0.113861098219614)); #165353=CARTESIAN_POINT('Ctrl Pts',(-0.00775712802133556,-0.0259641665342476, 0.122968163836103)); #165354=CARTESIAN_POINT('Ctrl Pts',(-0.00858955969943053,-0.0130136009971941, 0.125)); #165355=CARTESIAN_POINT('Ctrl Pts',(-0.00937500000000128,1.95491042426615E-14, 0.125)); #165356=CARTESIAN_POINT('Ctrl Pts',(-0.0156249999999993,-1.42902576792818E-14, 0.125)); #165357=CARTESIAN_POINT('Ctrl Pts',(-0.0154739810788115,-0.00250216341325165, 0.125)); #165358=CARTESIAN_POINT('Ctrl Pts',(-0.015320945221657,-0.00500662533033559, 0.124924871640494)); #165359=CARTESIAN_POINT('Ctrl Pts',(-0.0141387778764803,-0.0241169720780351, 0.123774636133373)); #165360=CARTESIAN_POINT('Ctrl Pts',(-0.0130510097888791,-0.0403565624696616, 0.119469752000175)); #165361=CARTESIAN_POINT('Ctrl Pts',(-0.0109213504582757,-0.0696580154196667, 0.10502334388518)); #165362=CARTESIAN_POINT('Ctrl Pts',(-0.00993421926121406,-0.0825407293533724, 0.0952316329363759)); #165363=CARTESIAN_POINT('Ctrl Pts',(-0.00791923069028544,-0.104309294780628, 0.0708630442055349)); #165364=CARTESIAN_POINT('Ctrl Pts',(-0.00683159841218835,-0.112806042090601, 0.0563620305588803)); #165365=CARTESIAN_POINT('Ctrl Pts',(-0.00470086997759863,-0.12342652519927, 0.0254581140878031)); #165366=CARTESIAN_POINT('Ctrl Pts',(-0.00371095097905104,-0.125670893683313, 0.00943046043381119)); #165367=CARTESIAN_POINT('Ctrl Pts',(-0.00169801643170632,-0.12394992880715, -0.0232080841612957)); #165368=CARTESIAN_POINT('Ctrl Pts',(-0.000610526606940307,-0.119760393442254, -0.039490843167547)); #165369=CARTESIAN_POINT('Ctrl Pts',(0.00152120093995244,-0.105516217469456, -0.0689103307430775)); #165370=CARTESIAN_POINT('Ctrl Pts',(0.00251383059701187,-0.0958129384041737, -0.0818661192266486)); #165371=CARTESIAN_POINT('Ctrl Pts',(0.00452481194250908,-0.0715859925384553, -0.103816351762641)); #165372=CARTESIAN_POINT('Ctrl Pts',(0.0056121547156489,-0.0571336111690923, -0.11241892757773)); #165373=CARTESIAN_POINT('Ctrl Pts',(0.00774481509927735,-0.0262863516853581, -0.123253470715194)); #165374=CARTESIAN_POINT('Ctrl Pts',(0.00874007069644114,-0.0102689432767747, -0.125605750012328)); #165375=CARTESIAN_POINT('Ctrl Pts',(0.0107491979918973,0.0223959639099343, -0.124100720137894)); #165376=CARTESIAN_POINT('Ctrl Pts',(0.0118363909229917,0.0387165764030069, -0.120014446921129)); #165377=CARTESIAN_POINT('Ctrl Pts',(0.0139699215249792,0.0682408823088344, -0.105951202579127)); #165378=CARTESIAN_POINT('Ctrl Pts',(0.0149677123402994,0.0812618476997686, -0.0963267532385175)); #165379=CARTESIAN_POINT('Ctrl Pts',(0.0169750826425848,0.103373458043295, -0.0722264819722517)); #165380=CARTESIAN_POINT('Ctrl Pts',(0.018062124521987,0.112069999235352, -0.0578180077071004)); #165381=CARTESIAN_POINT('Ctrl Pts',(0.0201964662982028,0.123095016877413, -0.0270217871219231)); #165382=CARTESIAN_POINT('Ctrl Pts',(0.0211966970519869,0.125543261444153, -0.0110134649605064)); #165383=CARTESIAN_POINT('Ctrl Pts',(0.0232024049624931,0.124230114841964, 0.0216742686551089)); #165384=CARTESIAN_POINT('Ctrl Pts',(0.0242892959471456,0.120235759984174, 0.0380279521666467)); #165385=CARTESIAN_POINT('Ctrl Pts',(0.0264243933254573,0.106333494558316, 0.0676448969229034)); #165386=CARTESIAN_POINT('Ctrl Pts',(0.0274269654937849,0.0967789590967693, 0.0807236953551631)); #165387=CARTESIAN_POINT('Ctrl Pts',(0.0294311028592518,0.072791350103165, 0.102977979012578)); #165388=CARTESIAN_POINT('Ctrl Pts',(0.0305178442730796,0.0584222483892135, 0.111757555328749)); #165389=CARTESIAN_POINT('Ctrl Pts',(0.0325585109503274,0.0290414198995994, 0.122452627805275)); #165390=CARTESIAN_POINT('Ctrl Pts',(0.0334955847861591,0.0145706283412033, 0.125)); #165391=CARTESIAN_POINT('Ctrl Pts',(0.034375,-3.50496097587125E-15,0.125)); #165392=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165393=CARTESIAN_POINT('',(0.0906249999999999,2.64847794873339E-16,0.125)); #165394=CARTESIAN_POINT('',(0.0843749999999999,-1.58495576488968E-17,0.125)); #165395=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165396=CARTESIAN_POINT('Ctrl Pts',(0.0906249999999999,9.24730545855353E-16, 0.125)); #165397=CARTESIAN_POINT('Ctrl Pts',(0.0901972282814966,0.00708755389614946, 0.125)); #165398=CARTESIAN_POINT('Ctrl Pts',(0.0897542430924783,0.0141775242275807, 0.124397294970224)); #165399=CARTESIAN_POINT('Ctrl Pts',(0.0882519468054376,0.0374125886441186, 0.120399851125614)); #165400=CARTESIAN_POINT('Ctrl Pts',(0.0871667735523176,0.052921208913579, 0.114434042389038)); #165401=CARTESIAN_POINT('Ctrl Pts',(0.0850736188652858,0.080400899394722, 0.0970496963571576)); #165402=CARTESIAN_POINT('Ctrl Pts',(0.0841314818903375,0.0921911002409967, 0.0859287591983253)); #165403=CARTESIAN_POINT('Ctrl Pts',(0.0820622302906505,0.11115724993086, 0.0595003125637627)); #165404=CARTESIAN_POINT('Ctrl Pts',(0.0809764873408854,0.118020790321811, 0.0443541058778431)); #165405=CARTESIAN_POINT('Ctrl Pts',(0.0788805244842346,0.125387245719009, 0.0126783419517789)); #165406=CARTESIAN_POINT('Ctrl Pts',(0.0779375613148005,0.125977695859247, -0.00351056070569928)); #165407=CARTESIAN_POINT('Ctrl Pts',(0.0758716545180505,0.120936302676968, -0.0356514118288483)); #165408=CARTESIAN_POINT('Ctrl Pts',(0.0747854052825229,0.115187634086434, -0.0512678019519783)); #165409=CARTESIAN_POINT('Ctrl Pts',(0.0726867209993815,0.0981912296437165, -0.0789998004488829)); #165410=CARTESIAN_POINT('Ctrl Pts',(0.0717426221505879,0.0872511500482827, -0.0909380237557061)); #165411=CARTESIAN_POINT('Ctrl Pts',(0.069680062396274,0.0610944787196876, -0.110292623260231)); #165412=CARTESIAN_POINT('Ctrl Pts',(0.0685933691926227,0.0460261099096166, -0.117382091062169)); #165413=CARTESIAN_POINT('Ctrl Pts',(0.0664920551401548,0.0144463081128161, -0.125194267365996)); #165414=CARTESIAN_POINT('Ctrl Pts',(0.0655465299027928,-0.00171984226836905, -0.126013262641144)); #165415=CARTESIAN_POINT('Ctrl Pts',(0.063487307976461,-0.0339400490348829, -0.121430845746057)); #165416=CARTESIAN_POINT('Ctrl Pts',(0.0624002314224008,-0.0496578961452633, -0.115894023248832)); #165417=CARTESIAN_POINT('Ctrl Pts',(0.0602963832687373,-0.0776316532668948, -0.0992747209358695)); #165418=CARTESIAN_POINT('Ctrl Pts',(0.0593491623008033,-0.089712548921511, -0.0885087567578716)); #165419=CARTESIAN_POINT('Ctrl Pts',(0.0572932577619233,-0.109439160315833, -0.0626164450191237)); #165420=CARTESIAN_POINT('Ctrl Pts',(0.0562058562322049,-0.116745255267523, -0.0476260701819147)); #165421=CARTESIAN_POINT('Ctrl Pts',(0.0540995727575395,-0.124985879981287, -0.0161414014444336)); #165422=CARTESIAN_POINT('Ctrl Pts',(0.0531504101852478,-0.126023846081563, 3.0811986853356E-6)); #165423=CARTESIAN_POINT('Ctrl Pts',(0.051097791739682,-0.121881390817949, 0.0322961159881946)); #165424=CARTESIAN_POINT('Ctrl Pts',(0.0500101208954334,-0.116548849728479, 0.0481084257504456)); #165425=CARTESIAN_POINT('Ctrl Pts',(0.0479015031137702,-0.100292024818442, 0.076311351712623)); #165426=CARTESIAN_POINT('Ctrl Pts',(0.0469501781195325,-0.0896919182339976, 0.0885283206544821)); #165427=CARTESIAN_POINT('Ctrl Pts',(0.0449008041240669,-0.0640538200942526, 0.108607436230681)); #165428=CARTESIAN_POINT('Ctrl Pts',(0.0438129165203497,-0.0491404891987953, 0.116118974671392)); #165429=CARTESIAN_POINT('Ctrl Pts',(0.0420123448660369,-0.0223632351899616, 0.123495831052668)); #165430=CARTESIAN_POINT('Ctrl Pts',(0.0413008139236955,-0.0111972517133946, 0.125)); #165431=CARTESIAN_POINT('Ctrl Pts',(0.0406249999999987,1.96146372716302E-14, 0.125)); #165432=CARTESIAN_POINT('Ctrl Pts',(0.0343750000000001,-3.58963840492057E-15, 0.125)); #165433=CARTESIAN_POINT('Ctrl Pts',(0.034462821665977,-0.00145507700471774, 0.125)); #165434=CARTESIAN_POINT('Ctrl Pts',(0.0345513340312429,-0.00291107403388787, 0.124974593163972)); #165435=CARTESIAN_POINT('Ctrl Pts',(0.0356611137007233,-0.0210360395266084, 0.124340943894827)); #165436=CARTESIAN_POINT('Ctrl Pts',(0.0367477078506355,-0.0374185253633921, 0.120427997390888)); #165437=CARTESIAN_POINT('Ctrl Pts',(0.0388841630069754,-0.0671170001128983, 0.106668251659348)); #165438=CARTESIAN_POINT('Ctrl Pts',(0.0398911152174119,-0.080246879248331, 0.0971754422261036)); #165439=CARTESIAN_POINT('Ctrl Pts',(0.0418923748597309,-0.102626768442591, 0.0732875404276342)); #165440=CARTESIAN_POINT('Ctrl Pts',(0.0429788248677342,-0.111479412705359, 0.0589535326179244)); #165441=CARTESIAN_POINT('Ctrl Pts',(0.0451158885157075,-0.122821750436664, 0.0282436528694442)); #165442=CARTESIAN_POINT('Ctrl Pts',(0.0461248782304172,-0.125429765971715, 0.012250409521573)); #165443=CARTESIAN_POINT('Ctrl Pts',(0.0481248241859256,-0.124435744338874, -0.0204740427882042)); #165444=CARTESIAN_POINT('Ctrl Pts',(0.0492111338374117,-0.120594576208426, -0.0368815464765092)); #165445=CARTESIAN_POINT('Ctrl Pts',(0.0513487630231749,-0.10696045514323, -0.0666515086229766)); #165446=CARTESIAN_POINT('Ctrl Pts',(0.0523596892180234,-0.0975219240919236, -0.0798263204923652)); #165447=CARTESIAN_POINT('Ctrl Pts',(0.0543584004087163,-0.0737218797652641, -0.102316378321581)); #165448=CARTESIAN_POINT('Ctrl Pts',(0.0554445740166326,-0.0594189858322371, -0.111233082123936)); #165449=CARTESIAN_POINT('Ctrl Pts',(0.05758272858982,-0.0287451065906477, -0.122705969775792)); #165450=CARTESIAN_POINT('Ctrl Pts',(0.0585954916042626,-0.0127580473027521, -0.125379685001807)); #165451=CARTESIAN_POINT('Ctrl Pts',(0.0605930434758949,0.0199810403267669, -0.124516759511421)); #165452=CARTESIAN_POINT('Ctrl Pts',(0.0616790857602345,0.0364102256059393, -0.120738630057553)); #165453=CARTESIAN_POINT('Ctrl Pts',(0.0638177282288536,0.0662426658860834, -0.1072148132358)); #165454=CARTESIAN_POINT('Ctrl Pts',(0.0648322303328616,0.07945686015008, -0.097823842313057)); #165455=CARTESIAN_POINT('Ctrl Pts',(0.0668286948330367,0.10204323133377, -0.0741009166713768)); #165456=CARTESIAN_POINT('Ctrl Pts',(0.0679146108148772,0.111015915006868, -0.0598254788486155)); #165457=CARTESIAN_POINT('Ctrl Pts',(0.0700537062011729,0.122603049774241, -0.0291833344097707)); #165458=CARTESIAN_POINT('Ctrl Pts',(0.0710698520626903,0.12533424674069, -0.0132016782808487)); #165459=CARTESIAN_POINT('Ctrl Pts',(0.0730652976489887,0.124585952648753, 0.0195499931505206)); #165460=CARTESIAN_POINT('Ctrl Pts',(0.0741510925581683,0.120862995191272, 0.035997932773008)); #165461=CARTESIAN_POINT('Ctrl Pts',(0.0762906082568043,0.107435701145196, 0.0658848222445582)); #165462=CARTESIAN_POINT('Ctrl Pts',(0.07730830531893,0.0980862736453347, 0.0791334248743907)); #165463=CARTESIAN_POINT('Ctrl Pts',(0.0793027969928217,0.0744308121271442, 0.10180375580865)); #165464=CARTESIAN_POINT('Ctrl Pts',(0.0803884761882488,0.0601795032160706, 0.11082522037105)); #165465=CARTESIAN_POINT('Ctrl Pts',(0.082474496386901,0.030336128419921, 0.122217748137292)); #165466=CARTESIAN_POINT('Ctrl Pts',(0.0834559610198366,0.015227136397327, 0.125)); #165467=CARTESIAN_POINT('Ctrl Pts',(0.0843749999999999,1.14737615733899E-16, 0.125)); #165468=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165469=CARTESIAN_POINT('',(0.140625,-2.44787726283527E-15,0.125)); #165470=CARTESIAN_POINT('',(0.134375,-4.65242261878701E-16,0.125)); #165471=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165472=CARTESIAN_POINT('Ctrl Pts',(0.140625,-4.53759951747634E-15,0.125)); #165473=CARTESIAN_POINT('Ctrl Pts',(0.140044680120924,0.00961505457711301, 0.125)); #165474=CARTESIAN_POINT('Ctrl Pts',(0.139437367996564,0.0192179135686754, 0.123890867440549)); #165475=CARTESIAN_POINT('Ctrl Pts',(0.137759855675622,0.0445444409097937, 0.117934020397642)); #165476=CARTESIAN_POINT('Ctrl Pts',(0.136681428149217,0.0595808811598156, 0.111098097322852)); #165477=CARTESIAN_POINT('Ctrl Pts',(0.134612986023385,0.0859998350730806, 0.092141409130676)); #165478=CARTESIAN_POINT('Ctrl Pts',(0.133665240936692,0.0971751516415626, 0.0802682878306513)); #165479=CARTESIAN_POINT('Ctrl Pts',(0.131570622972699,0.114504778921117, 0.0527416877336735)); #165480=CARTESIAN_POINT('Ctrl Pts',(0.130491161659503,0.12042103805413, 0.0373071818657649)); #165481=CARTESIAN_POINT('Ctrl Pts',(0.128419493736128,0.125927854341422, 0.0052636618336466)); #165482=CARTESIAN_POINT('Ctrl Pts',(0.12747364014928,0.125554947125469, -0.0110219499919161)); #165483=CARTESIAN_POINT('Ctrl Pts',(0.125381964323121,0.118584849565437, -0.0427908608628066)); #165484=CARTESIAN_POINT('Ctrl Pts',(0.124301516553394,0.111961487711771, -0.0579488193407787)); #165485=CARTESIAN_POINT('Ctrl Pts',(0.122226643051213,0.0933918995757859, -0.0846346738796543)); #165486=CARTESIAN_POINT('Ctrl Pts',(0.121282353361375,0.0817069160604168, -0.0959638104377469)); #165487=CARTESIAN_POINT('Ctrl Pts',(0.119193703252262,0.0544500169049103, -0.113705839192812)); #165488=CARTESIAN_POINT('Ctrl Pts',(0.118112320662705,0.039082209015511, -0.119859972544546)); #165489=CARTESIAN_POINT('Ctrl Pts',(0.11603427199941,0.00712595300274903, -0.125832692407659)); #165490=CARTESIAN_POINT('Ctrl Pts',(0.115091206822543,-0.00913527993275509, -0.12570278961144)); #165491=CARTESIAN_POINT('Ctrl Pts',(0.113005658161027,-0.041003675720398, -0.119217956472414)); #165492=CARTESIAN_POINT('Ctrl Pts',(0.111923396123439,-0.0562818129971149, -0.112812161190143)); #165493=CARTESIAN_POINT('Ctrl Pts',(0.109842212611994,-0.0832354621341187, -0.0946366860343446)); #165494=CARTESIAN_POINT('Ctrl Pts',(0.108900024670767,-0.0947195398053111, -0.0831411643792961)); #165495=CARTESIAN_POINT('Ctrl Pts',(0.106817644379739,-0.112874929935321, -0.0561593668588371)); #165496=CARTESIAN_POINT('Ctrl Pts',(0.105734561518244,-0.119268122756567, -0.0408624096160538)); #165497=CARTESIAN_POINT('Ctrl Pts',(0.103650289531449,-0.125709681288277, -0.00899724153851431)); #165498=CARTESIAN_POINT('Ctrl Pts',(0.102708634372259,-0.125823147352045, 0.00723953039370955)); #165499=CARTESIAN_POINT('Ctrl Pts',(0.100629476506097,-0.119825260386038, 0.0392037397259593)); #165500=CARTESIAN_POINT('Ctrl Pts',(0.0995456339194892,-0.113639529460681, 0.0545992180375093)); #165501=CARTESIAN_POINT('Ctrl Pts',(0.0974583317305596,-0.0958606505320885, 0.0818185202785253)); #165502=CARTESIAN_POINT('Ctrl Pts',(0.0965168591862602,-0.0845538998893667, 0.0934568044140494)); #165503=CARTESIAN_POINT('Ctrl Pts',(0.0944409707286502,-0.0578493250357639, 0.112021795929468)); #165504=CARTESIAN_POINT('Ctrl Pts',(0.0933564310174688,-0.0426265620511591, 0.118652383522501)); #165505=CARTESIAN_POINT('Ctrl Pts',(0.0917238771716361,-0.0178123181737781, 0.124047653247002)); #165506=CARTESIAN_POINT('Ctrl Pts',(0.0911627367445949,-0.00890951410390356, 0.125)); #165507=CARTESIAN_POINT('Ctrl Pts',(0.0906249999999999,8.41409182048593E-16, 0.125)); #165508=CARTESIAN_POINT('Ctrl Pts',(0.0843749999999999,-1.63910303084517E-17, 0.125)); #165509=CARTESIAN_POINT('Ctrl Pts',(0.0844247396576002,-0.000824113630633819, 0.125)); #165510=CARTESIAN_POINT('Ctrl Pts',(0.0844747024852744,-0.00164854904626742, 0.124991850054774)); #165511=CARTESIAN_POINT('Ctrl Pts',(0.0855411399675415,-0.0191742055383437, 0.124645028356757)); #165512=CARTESIAN_POINT('Ctrl Pts',(0.0866267088690871,-0.0356383412687683, 0.120970212068076)); #165513=CARTESIAN_POINT('Ctrl Pts',(0.0887669761781099,-0.0655725653302798, 0.107627129415578)); #165514=CARTESIAN_POINT('Ctrl Pts',(0.089787510479873,-0.0788511471173496, 0.0983138905446048)); #165515=CARTESIAN_POINT('Ctrl Pts',(0.0917802758056743,-0.101594485963714, 0.0747172729654663)); #165516=CARTESIAN_POINT('Ctrl Pts',(0.0928657398365813,-0.110658353489873, 0.0604870928995603)); #165517=CARTESIAN_POINT('Ctrl Pts',(0.0950063425137773,-0.122431915003137, 0.029897195333918)); #165518=CARTESIAN_POINT('Ctrl Pts',(0.0960281696058339,-0.125256885723514, 0.0139243398210828)); #165519=CARTESIAN_POINT('Ctrl Pts',(0.0980201559315806,-0.124695457917349, -0.0188474192547506)); #165520=CARTESIAN_POINT('Ctrl Pts',(0.09910552047034,-0.121062537274525, -0.0353255227363855)); #165521=CARTESIAN_POINT('Ctrl Pts',(0.101246434060721,-0.107792733608662, -0.0653008078881452)); #165522=CARTESIAN_POINT('Ctrl Pts',(0.102269474770016,-0.0985109434335767, -0.0786054464801177)); #165523=CARTESIAN_POINT('Ctrl Pts',(0.104260733995035,-0.0749655187747027, -0.101412131937601)); #165524=CARTESIAN_POINT('Ctrl Pts',(0.105346004335711,-0.0607537826595734, -0.110512779490636)); #165525=CARTESIAN_POINT('Ctrl Pts',(0.107487206111957,-0.0301851560008765, -0.122361657477924)); #165526=CARTESIAN_POINT('Ctrl Pts',(0.108511384884459,-0.0142158506159517, -0.125224505263984)); #165527=CARTESIAN_POINT('Ctrl Pts',(0.110501965880268,0.0185638675772853, -0.124738505664506)); #165528=CARTESIAN_POINT('Ctrl Pts',(0.111587147200307,0.0350540031587088, -0.121141961828954)); #165529=CARTESIAN_POINT('Ctrl Pts',(0.113728616049926,0.0650648408973185, -0.107935779330952)); #165530=CARTESIAN_POINT('Ctrl Pts',(0.114753860967682,0.0783920793274117, -0.0986812618126435)); #165531=CARTESIAN_POINT('Ctrl Pts',(0.116743809694293,0.101253624778868, -0.0751802739558607)); #165532=CARTESIAN_POINT('Ctrl Pts',(0.117828907028911,0.110386115852479, -0.0609845943170626)); #165533=CARTESIAN_POINT('Ctrl Pts',(0.119970623347194,0.122300257816725, -0.0304344756711408)); #165534=CARTESIAN_POINT('Ctrl Pts',(0.120996866111109,0.125195924058224, -0.0144682442522296)); #165535=CARTESIAN_POINT('Ctrl Pts',(0.122986225737995,0.124775254660253, 0.0183182982936047)); #165536=CARTESIAN_POINT('Ctrl Pts',(0.124071243960291,0.121210232938823, 0.0348187884703099)); #165537=CARTESIAN_POINT('Ctrl Pts',(0.12621318954906,0.108059177733199, 0.064860359553963)); #165538=CARTESIAN_POINT('Ctrl Pts',(0.127240365433142,0.0988282685253673, 0.0782071635454188)); #165539=CARTESIAN_POINT('Ctrl Pts',(0.129229176463054,0.0753657778466262, 0.10111614153826)); #165540=CARTESIAN_POINT('Ctrl Pts',(0.1303141202691,0.0611840433024882, 0.110276157905649)); #165541=CARTESIAN_POINT('Ctrl Pts',(0.132426032518206,0.0310811107533452, 0.122077739842549)); #165542=CARTESIAN_POINT('Ctrl Pts',(0.133433137539058,0.0156052882084866, 0.125)); #165543=CARTESIAN_POINT('Ctrl Pts',(0.134375,-9.83465277719135E-16,0.125)); #165544=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165545=CARTESIAN_POINT('',(0.190625000000001,-1.17525515292558E-14,0.125)); #165546=CARTESIAN_POINT('',(0.184375,-1.91351062366774E-17,0.125)); #165547=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165548=CARTESIAN_POINT('Ctrl Pts',(0.190625000000001,-2.32179760981526E-14, 0.125)); #165549=CARTESIAN_POINT('Ctrl Pts',(0.18992066798988,0.0116697548402029, 0.125000000000002)); #165550=CARTESIAN_POINT('Ctrl Pts',(0.189177810066396,0.0233010849348685, 0.123366197923587)); #165551=CARTESIAN_POINT('Ctrl Pts',(0.187342984300971,0.0504648401708867, 0.115552273602387)); #165552=CARTESIAN_POINT('Ctrl Pts',(0.186254821185108,0.0653081849574321, 0.107860753262523)); #165553=CARTESIAN_POINT('Ctrl Pts',(0.184211345917735,0.0907207018442393, 0.0874729854897509)); #165554=CARTESIAN_POINT('Ctrl Pts',(0.183255495036427,0.101174846529663, 0.0751361233380017)); #165555=CARTESIAN_POINT('Ctrl Pts',(0.181142803196703,0.117110083482679, 0.0467324900784206)); #165556=CARTESIAN_POINT('Ctrl Pts',(0.180054768247305,0.12226090450564, 0.0308388767084281)); #165557=CARTESIAN_POINT('Ctrl Pts',(0.178008151468801,0.126014020757441, -0.00151598870437688)); #165558=CARTESIAN_POINT('Ctrl Pts',(0.177054804181703,0.124782309545931, -0.0176414145548673)); #165559=CARTESIAN_POINT('Ctrl Pts',(0.174944255420172,0.116161543649117, -0.0490392932454023)); #165560=CARTESIAN_POINT('Ctrl Pts',(0.173856394640037,0.108663745487501, -0.0639578711231536)); #165561=CARTESIAN_POINT('Ctrl Pts',(0.171806577164401,0.0886081985714761, -0.0896130795416415)); #165562=CARTESIAN_POINT('Ctrl Pts',(0.170855561783912,0.0764003554629506, -0.100224302972317)); #165563=CARTESIAN_POINT('Ctrl Pts',(0.168747259064279,0.0482127539703851, -0.116505521943498)); #165564=CARTESIAN_POINT('Ctrl Pts',(0.167659622076666,0.0324067074289679, -0.121851829520248)); #165565=CARTESIAN_POINT('Ctrl Pts',(0.16560655383022,0.000118468945708975, -0.126023856126643)); #165566=CARTESIAN_POINT('Ctrl Pts',(0.164657672026502,-0.0160274656404061, -0.125000605979606)); #165567=CARTESIAN_POINT('Ctrl Pts',(0.162551717610658,-0.0475186260097414, -0.116788815804383)); #165568=CARTESIAN_POINT('Ctrl Pts',(0.161464357418212,-0.0625143472705077, -0.109497281751851)); #165569=CARTESIAN_POINT('Ctrl Pts',(0.159396306433061,-0.0885718620631315, -0.0896836479598833)); #165570=CARTESIAN_POINT('Ctrl Pts',(0.158438073576485,-0.0994493714215731, -0.0774464684463109)); #165571=CARTESIAN_POINT('Ctrl Pts',(0.156332645467274,-0.115975676527206, -0.0494080130594969)); #165572=CARTESIAN_POINT('Ctrl Pts',(0.15525755330827,-0.121430660746075, -0.0338548313830701)); #165573=CARTESIAN_POINT('Ctrl Pts',(0.153198806139976,-0.126035108866863, -0.00165161629776551)); #165574=CARTESIAN_POINT('Ctrl Pts',(0.15224359078333,-0.125187973549263, 0.0146815272552887)); #165575=CARTESIAN_POINT('Ctrl Pts',(0.150140711747007,-0.117274291080893, 0.0462452133235817)); #165576=CARTESIAN_POINT('Ctrl Pts',(0.149064474167279,-0.110233081626588, 0.0611603369464538)); #165577=CARTESIAN_POINT('Ctrl Pts',(0.147002502776356,-0.0909004417204306, 0.087316355914676)); #165578=CARTESIAN_POINT('Ctrl Pts',(0.146050056728181,-0.0788426067158503, 0.0983406212990239)); #165579=CARTESIAN_POINT('Ctrl Pts',(0.143949831789681,-0.0510546372435949, 0.115263526068297)); #165580=CARTESIAN_POINT('Ctrl Pts',(0.14287248057828,-0.0355582040043627, 0.120945875229753)); #165581=CARTESIAN_POINT('Ctrl Pts',(0.141420602188262,-0.0129729923220641, 0.124495486477999)); #165582=CARTESIAN_POINT('Ctrl Pts',(0.141016373492343,-0.0064844883392804, 0.125)); #165583=CARTESIAN_POINT('Ctrl Pts',(0.140625,-4.52940397349535E-15,0.125)); #165584=CARTESIAN_POINT('Ctrl Pts',(0.134375,-9.1517643876806E-16,0.125)); #165585=CARTESIAN_POINT('Ctrl Pts',(0.134402920698813,-0.000462605284774833, 0.125)); #165586=CARTESIAN_POINT('Ctrl Pts',(0.134430912002518,-0.000925316671651294, 0.124997431957492)); #165587=CARTESIAN_POINT('Ctrl Pts',(0.135472626417488,-0.0181059737291076, 0.124806630637284)); #165588=CARTESIAN_POINT('Ctrl Pts',(0.136557500315672,-0.0346153675343236, 0.121268877141025)); #165589=CARTESIAN_POINT('Ctrl Pts',(0.138699855004829,-0.0646834688899502, 0.108165507662321)); #165590=CARTESIAN_POINT('Ctrl Pts',(0.139728716879153,-0.0780471846155817, 0.0989550026447)); #165591=CARTESIAN_POINT('Ctrl Pts',(0.141716542182207,-0.100997115180103, 0.0755258071339058)); #165592=CARTESIAN_POINT('Ctrl Pts',(0.142801350486215,-0.110180891176499, 0.0613561592216042)); #165593=CARTESIAN_POINT('Ctrl Pts',(0.144943887362753,-0.122200253813809, 0.0308360289511932)); #165594=CARTESIAN_POINT('Ctrl Pts',(0.145973508836325,-0.125148825388203, 0.0148747491599415)); #165595=CARTESIAN_POINT('Ctrl Pts',(0.14796089230071,-0.124833423688981, -0.0179226545620113)); #165596=CARTESIAN_POINT('Ctrl Pts',(0.149045639125569,-0.121319223424281, -0.0344396990153884)); #165597=CARTESIAN_POINT('Ctrl Pts',(0.151188344716913,-0.108257041574073, -0.0645306747831518)); #165598=CARTESIAN_POINT('Ctrl Pts',(0.15221867449591,-0.099064147491567, -0.0779089882554343)); #165599=CARTESIAN_POINT('Ctrl Pts',(0.154205647203745,-0.0756637060133895, -0.100894233386698)); #165600=CARTESIAN_POINT('Ctrl Pts',(0.155290336464435,-0.0615045154628269, -0.110098493954892)); #165601=CARTESIAN_POINT('Ctrl Pts',(0.157433198280845,-0.0309964260047191, -0.122159923279448)); #165602=CARTESIAN_POINT('Ctrl Pts',(0.158464188147998,-0.0150371244267076, -0.125129644350069)); #165603=CARTESIAN_POINT('Ctrl Pts',(0.160450779130509,0.0177645728678572, -0.124856307527035)); #165604=CARTESIAN_POINT('Ctrl Pts',(0.161535414542696,0.0342881874385397, -0.121362425435523)); #165605=CARTESIAN_POINT('Ctrl Pts',(0.163678421007745,0.0643988650479232, -0.108335773090373)); #165606=CARTESIAN_POINT('Ctrl Pts',(0.16471002569412,0.0777897639090739, -0.0991580611903303)); #165607=CARTESIAN_POINT('Ctrl Pts',(0.166696262047712,0.100805429620099, -0.0757824206454348)); #165608=CARTESIAN_POINT('Ctrl Pts',(0.16778084713022,0.110027332909546, -0.0616322640299172)); #165609=CARTESIAN_POINT('Ctrl Pts',(0.169923987515828,0.122125010841087, -0.0311345737508415)); #165610=CARTESIAN_POINT('Ctrl Pts',(0.170956164569064,0.125112955548178, -0.0151769761633304)); #165611=CARTESIAN_POINT('Ctrl Pts',(0.172942071567643,0.124875856337384, 0.0176283986248703)); #165612=CARTESIAN_POINT('Ctrl Pts',(0.174026609646323,0.121399482236479, 0.0341576525683611)); #165613=CARTESIAN_POINT('Ctrl Pts',(0.176169874012112,0.108403444708272, 0.0642852842895013)); #165614=CARTESIAN_POINT('Ctrl Pts',(0.177202583662964,0.0992388078963632, 0.0776870224556543)); #165615=CARTESIAN_POINT('Ctrl Pts',(0.179188184866172,0.0758845353724779, 0.100728869117437)); #165616=CARTESIAN_POINT('Ctrl Pts',(0.180272679078875,0.0617421727692015, 0.109965954024743)); #165617=CARTESIAN_POINT('Ctrl Pts',(0.182398964507667,0.0314966036502056, 0.121998108007638)); #165618=CARTESIAN_POINT('Ctrl Pts',(0.183420400703886,0.0158163190032922, 0.125)); #165619=CARTESIAN_POINT('Ctrl Pts',(0.184375,-1.20201311721228E-16,0.125)); #165620=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165621=CARTESIAN_POINT('',(0.240625000000001,-8.49517106343501E-15,0.125)); #165622=CARTESIAN_POINT('',(0.234374999855803,1.19456619163996E-9,0.125)); #165623=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165624=CARTESIAN_POINT('Ctrl Pts',(0.240625000000001,-1.700575376056E-14, 0.125)); #165625=CARTESIAN_POINT('Ctrl Pts',(0.239819010671626,0.0133540684376201, 0.125000000000002)); #165626=CARTESIAN_POINT('Ctrl Pts',(0.238963775198442,0.0266378314044179, 0.122860421786417)); #165627=CARTESIAN_POINT('Ctrl Pts',(0.23700841907805,0.0551224830904159, 0.113414209390556)); #165628=CARTESIAN_POINT('Ctrl Pts',(0.235920430613742,0.0696997232434674, 0.105087241697711)); #165629=CARTESIAN_POINT('Ctrl Pts',(0.233899143724331,0.0942933239851401, 0.0836099705794074)); #165630=CARTESIAN_POINT('Ctrl Pts',(0.232920146554866,0.104226172661173, 0.0708437884375469)); #165631=CARTESIAN_POINT('Ctrl Pts',(0.23079388648427,0.118993694709199, 0.0417316660175537)); #165632=CARTESIAN_POINT('Ctrl Pts',(0.229705793809101,0.123481558828775, 0.0255624904750108)); #165633=CARTESIAN_POINT('Ctrl Pts',(0.227682063923641,0.12582872744,-0.00699553505852642)); #165634=CARTESIAN_POINT('Ctrl Pts',(0.226706047768532,0.123896867238589, -0.0230530377944761)); #165635=CARTESIAN_POINT('Ctrl Pts',(0.224581156833584,0.113895425931911, -0.0541166509147369)); #165636=CARTESIAN_POINT('Ctrl Pts',(0.223492976612212,0.10570601676089, -0.0687540468082129)); #165637=CARTESIAN_POINT('Ctrl Pts',(0.221466702918447,0.0844646547032728, -0.0935279883621529)); #165638=CARTESIAN_POINT('Ctrl Pts',(0.220493683602026,0.0717922868500028, -0.103574568506027)); #165639=CARTESIAN_POINT('Ctrl Pts',(0.218370249366093,0.04283354241898, -0.118599344388669)); #165640=CARTESIAN_POINT('Ctrl Pts',(0.21728200147064,0.0267224402829366, -0.123233618925171)); #165641=CARTESIAN_POINT('Ctrl Pts',(0.215253085251192,-0.00579364869306373, -0.125889484701904)); #165642=CARTESIAN_POINT('Ctrl Pts',(0.214283060317806,-0.0218659510807085, -0.12411148836546)); #165643=CARTESIAN_POINT('Ctrl Pts',(0.212161173646343,-0.0530058040335387, -0.114414276835959)); #165644=CARTESIAN_POINT('Ctrl Pts',(0.211072881365192,-0.0677078951739261, -0.106376573416303)); #165645=CARTESIAN_POINT('Ctrl Pts',(0.20904122684364,-0.0926787278444539, -0.0853953154511597)); #165646=CARTESIAN_POINT('Ctrl Pts',(0.208074173711439,-0.102850137310604, -0.0728258214220903)); #165647=CARTESIAN_POINT('Ctrl Pts',(0.205953928519211,-0.118155690284854, -0.0440362164765509)); #165648=CARTESIAN_POINT('Ctrl Pts',(0.204865618737783,-0.122949552713832, -0.0279901924495485)); #165649=CARTESIAN_POINT('Ctrl Pts',(0.202831133963292,-0.125943011659629, 0.00447832369811593)); #165650=CARTESIAN_POINT('Ctrl Pts',(0.201867008192814,-0.124333172709141, 0.0205668818080018)); #165651=CARTESIAN_POINT('Ctrl Pts',(0.199748501124031,-0.114967967352902, 0.0517882611299617)); #165652=CARTESIAN_POINT('Ctrl Pts',(0.198660204469028,-0.107096285804773, 0.0665591932495367)); #165653=CARTESIAN_POINT('Ctrl Pts',(0.196622802227147,-0.0863996434412438, 0.0917431489108378)); #165654=CARTESIAN_POINT('Ctrl Pts',(0.195661535960288,-0.0739420658345404, 0.1020504997561)); #165655=CARTESIAN_POINT('Ctrl Pts',(0.193544865997915,-0.0453375583707142, 0.117659908386868)); #165656=CARTESIAN_POINT('Ctrl Pts',(0.192456616936313,-0.0293639616559508, 0.122626197022274)); #165657=CARTESIAN_POINT('Ctrl Pts',(0.191149451751993,-0.00859764849926368, 0.12477848953172)); #165658=CARTESIAN_POINT('Ctrl Pts',(0.190884319998298,-0.00429655441158432, 0.124999999999999)); #165659=CARTESIAN_POINT('Ctrl Pts',(0.190625000000001,-2.31961213142033E-14, 0.125)); #165660=CARTESIAN_POINT('Ctrl Pts',(0.184375,-2.29621274840129E-17,0.125)); #165661=CARTESIAN_POINT('Ctrl Pts',(0.184390780898497,-0.000261466487353993, 0.125)); #165662=CARTESIAN_POINT('Ctrl Pts',(0.18440658440199,-0.000522967695425066, 0.124999179623438)); #165663=CARTESIAN_POINT('Ctrl Pts',(0.185434580601449,-0.0175112028620987, 0.124892559385224)); #165664=CARTESIAN_POINT('Ctrl Pts',(0.186519033903862,-0.0340452952331136, 0.121431257332338)); #165665=CARTESIAN_POINT('Ctrl Pts',(0.188662519280719,-0.064187505321072, 0.108461574652306)); #165666=CARTESIAN_POINT('Ctrl Pts',(0.189696184884666,-0.0775985706980459, 0.0993081884089029)); #165667=CARTESIAN_POINT('Ctrl Pts',(0.19168123883396,-0.100662931878105, 0.0759723089035522)); #165668=CARTESIAN_POINT('Ctrl Pts',(0.192765654006076,-0.109913070626052, 0.061836662965435)); #165669=CARTESIAN_POINT('Ctrl Pts',(0.194909237721592,-0.12206879559997, 0.0313556712281661)); #165670=CARTESIAN_POINT('Ctrl Pts',(0.195943331384773,-0.125085921530363, 0.0154008011794129)); #165671=CARTESIAN_POINT('Ctrl Pts',(0.197928140948405,-0.124906833579505, -0.0174104197618419)); #165672=CARTESIAN_POINT('Ctrl Pts',(0.199012520601459,-0.12145849586785, -0.0339486618762987)); #165673=CARTESIAN_POINT('Ctrl Pts',(0.201156195337828,-0.108511482228555, -0.0641033991994915)); #165674=CARTESIAN_POINT('Ctrl Pts',(0.202190686703658,-0.0993677693262097, -0.0775224845163787)); #165675=CARTESIAN_POINT('Ctrl Pts',(0.204175269577824,-0.0760477092514092, -0.100606194175053)); #165676=CARTESIAN_POINT('Ctrl Pts',(0.205259616161533,-0.0619178459165317, -0.109867549825904)); #165677=CARTESIAN_POINT('Ctrl Pts',(0.207403375142129,-0.0314435069681459, -0.122046346656192)); #165678=CARTESIAN_POINT('Ctrl Pts',(0.208438235889698,-0.0154897206440652, -0.125075070755868)); #165679=CARTESIAN_POINT('Ctrl Pts',(0.210422608530254,0.017323809330887, -0.124919034228468)); #165680=CARTESIAN_POINT('Ctrl Pts',(0.211506924340238,0.0338656094403464, -0.121481839999468)); #165681=CARTESIAN_POINT('Ctrl Pts',(0.21365076129012,0.0640311053349838, -0.108554311308732)); #165682=CARTESIAN_POINT('Ctrl Pts',(0.214685965014615,0.0774570820229036, -0.0994189102319729)); #165683=CARTESIAN_POINT('Ctrl Pts',(0.21667014271815,0.100557409692264, -0.0761124466493778)); #165684=CARTESIAN_POINT('Ctrl Pts',(0.217754429903418,0.109828398358622, -0.061987557585776)); #165685=CARTESIAN_POINT('Ctrl Pts',(0.219898339012633,0.122027014608583, -0.0315189406974077)); #165686=CARTESIAN_POINT('Ctrl Pts',(0.220933861110253,0.125065701695325, -0.0155660851551458)); #165687=CARTESIAN_POINT('Ctrl Pts',(0.222917858090706,0.124929464215945, 0.0172494217136247)); #165688=CARTESIAN_POINT('Ctrl Pts',(0.224002118661143,0.121501842522474, 0.0337942716583535)); #165689=CARTESIAN_POINT('Ctrl Pts',(0.226146094550643,0.108591051766139, 0.0639690026593964)); #165690=CARTESIAN_POINT('Ctrl Pts',(0.227181912108252,0.0994627886434686, 0.077400897624546)); #165691=CARTESIAN_POINT('Ctrl Pts',(0.229165741569201,0.0761680040025715, 0.100515491049864)); #165692=CARTESIAN_POINT('Ctrl Pts',(0.230249977402955,0.0620473907952009, 0.109794748485773)); #165693=CARTESIAN_POINT('Ctrl Pts',(0.232384118780175,0.0317243044088046, 0.121953997167522)); #165694=CARTESIAN_POINT('Ctrl Pts',(0.233413418191206,0.0159320089980496, 0.124999999847191)); #165695=CARTESIAN_POINT('Ctrl Pts',(0.234374999927639,1.19891471531852E-9, 0.125)); #165696=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165697=CARTESIAN_POINT('',(0.290625,-2.24967088444679E-15,0.125)); #165698=CARTESIAN_POINT('',(0.284374999946324,4.44669489053518E-10,0.125)); #165699=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165700=CARTESIAN_POINT('Ctrl Pts',(0.290625,-4.43105744572343E-15,0.125)); #165701=CARTESIAN_POINT('Ctrl Pts',(0.289750808172399,0.0144840844443187, 0.125000000000001)); #165702=CARTESIAN_POINT('Ctrl Pts',(0.288819574346924,0.0288706197676309, 0.122482815674084)); #165703=CARTESIAN_POINT('Ctrl Pts',(0.28678492332646,0.0581893573859295, 0.111878468845295)); #165704=CARTESIAN_POINT('Ctrl Pts',(0.285698062217994,0.0725737022294023, 0.103130904913603)); #165705=CARTESIAN_POINT('Ctrl Pts',(0.283692673778172,0.096604826648987, 0.0809316338135799)); #165706=CARTESIAN_POINT('Ctrl Pts',(0.282691969587468,0.106186344695278, 0.0678751584979609)); #165707=CARTESIAN_POINT('Ctrl Pts',(0.280557473268778,0.120150794835159, 0.0382939301755059)); #165708=CARTESIAN_POINT('Ctrl Pts',(0.279470461441919,0.124180661465073, 0.0219529539423798)); #165709=CARTESIAN_POINT('Ctrl Pts',(0.277463429098707,0.125567904246207, -0.0107260319505678)); #165710=CARTESIAN_POINT('Ctrl Pts',(0.276465145477363,0.123156725517645, -0.0267378609039286)); #165711=CARTESIAN_POINT('Ctrl Pts',(0.274331449011347,0.112205288804743, -0.0575538678584265)); #165712=CARTESIAN_POINT('Ctrl Pts',(0.273244286061729,0.103545023768411, -0.0719793741562984)); #165713=CARTESIAN_POINT('Ctrl Pts',(0.271235515739464,0.0814957238130447, -0.0961286515209437)); #165714=CARTESIAN_POINT('Ctrl Pts',(0.270239748712516,0.0684999539179032, -0.105783569269887)); #165715=CARTESIAN_POINT('Ctrl Pts',(0.26810691044434,0.0390161158471539, -0.119916818255723)); #165716=CARTESIAN_POINT('Ctrl Pts',(0.267019597293295,0.0227100634209541, -0.124043051381412)); #165717=CARTESIAN_POINT('Ctrl Pts',(0.265008992396581,-0.00994473279015429, -0.125631595360612)); #165718=CARTESIAN_POINT('Ctrl Pts',(0.264015833703126,-0.0259661009764675, -0.123321061264357)); #165719=CARTESIAN_POINT('Ctrl Pts',(0.261883915533267,-0.0568353704100928, -0.112569343397213)); #165720=CARTESIAN_POINT('Ctrl Pts',(0.260796454639229,-0.0713066582836018, -0.104007687084924)); #165721=CARTESIAN_POINT('Ctrl Pts',(0.258783916401003,-0.0955884993620649, -0.0821277076068992)); #165722=CARTESIAN_POINT('Ctrl Pts',(0.257793452074344,-0.105326014467888, -0.0692002149459482)); #165723=CARTESIAN_POINT('Ctrl Pts',(0.255662519545737,-0.119648577110996, -0.03982636848662)); #165724=CARTESIAN_POINT('Ctrl Pts',(0.254574915128936,-0.123882831806562, -0.023560234210631)); #165725=CARTESIAN_POINT('Ctrl Pts',(0.252560343022456,-0.125697436701729, 0.00906665538673167)); #165726=CARTESIAN_POINT('Ctrl Pts',(0.251572651842577,-0.123499838075182, 0.0250987589981632)); #165727=CARTESIAN_POINT('Ctrl Pts',(0.24944277420471,-0.112972086808971, 0.0560269897943912)); #165728=CARTESIAN_POINT('Ctrl Pts',(0.248355032482957,-0.104521258044978, 0.0705488368474158)); #165729=CARTESIAN_POINT('Ctrl Pts',(0.246338324700071,-0.0828314927868574, 0.0949785505134184)); #165730=CARTESIAN_POINT('Ctrl Pts',(0.245353476528277,-0.0699803796491228, 0.104808520517837)); #165731=CARTESIAN_POINT('Ctrl Pts',(0.243224726773177,-0.0407301364392571, 0.119342176861886)); #165732=CARTESIAN_POINT('Ctrl Pts',(0.242136856205734,-0.0245094507527285, 0.12369680018418)); #165733=CARTESIAN_POINT('Ctrl Pts',(0.240945410911661,-0.00527420832884805, 0.124916628811832)); #165734=CARTESIAN_POINT('Ctrl Pts',(0.240784088186564,-0.00263585937952019, 0.125)); #165735=CARTESIAN_POINT('Ctrl Pts',(0.240625000000001,-1.69750340418807E-14, 0.125)); #165736=CARTESIAN_POINT('Ctrl Pts',(0.234374999927639,1.1989147433981E-9, 0.125)); #165737=CARTESIAN_POINT('Ctrl Pts',(0.234384136678903,-0.000151381453277415, 0.125000000001452)); #165738=CARTESIAN_POINT('Ctrl Pts',(0.234393281016721,-0.000302775894647563, 0.124999725002432)); #165739=CARTESIAN_POINT('Ctrl Pts',(0.23541378098539,-0.0171855638107863, 0.124938381813488)); #165740=CARTESIAN_POINT('Ctrl Pts',(0.236497993836129,-0.0337330274283883, 0.121518978872426)); #165741=CARTESIAN_POINT('Ctrl Pts',(0.238642088716725,-0.0639156824788508, 0.108622558819823)); #165742=CARTESIAN_POINT('Ctrl Pts',(0.239678434695346,-0.0773526575715268, 0.0995004226227693)); #165743=CARTESIAN_POINT('Ctrl Pts',(0.241661965022975,-0.100479492216348, 0.0762156646641327)); #165744=CARTESIAN_POINT('Ctrl Pts',(0.242746156526951,-0.109765844428647, 0.0620987246891789)); #165745=CARTESIAN_POINT('Ctrl Pts',(0.244890304330886,-0.12199608079019, 0.0316392504562604)); #165746=CARTESIAN_POINT('Ctrl Pts',(0.245926886144611,-0.125050662583286, 0.0156878795739725)); #165747=CARTESIAN_POINT('Ctrl Pts',(0.247910283162114,-0.12494600732267, -0.0171307685665068)); #165748=CARTESIAN_POINT('Ctrl Pts',(0.248994454845013,-0.121533657640357, -0.0336804716484453)); #165749=CARTESIAN_POINT('Ctrl Pts',(0.251138651622386,-0.108649570333317, -0.0638699233704563)); #165750=CARTESIAN_POINT('Ctrl Pts',(0.252175452116217,-0.0995326910689839, -0.077311257326818)); #165751=CARTESIAN_POINT('Ctrl Pts',(0.254158725626941,-0.0762565374922728, -0.100448592111003)); #165752=CARTESIAN_POINT('Ctrl Pts',(0.255242878910487,-0.0621427513846083, -0.109741029615311)); #165753=CARTESIAN_POINT('Ctrl Pts',(0.257387121005313,-0.0316869040954916, -0.121983793829393)); #165754=CARTESIAN_POINT('Ctrl Pts',(0.258424124237493,-0.0157361213272479, -0.125044672972964)); #165755=CARTESIAN_POINT('Ctrl Pts',(0.260407283336014,0.017083767071169, -0.124952528719148)); #165756=CARTESIAN_POINT('Ctrl Pts',(0.261491419544311,0.0336353886771941, -0.12154622974783)); #165757=CARTESIAN_POINT('Ctrl Pts',(0.263635703572783,0.0638306682907104, -0.108672722171513)); #165758=CARTESIAN_POINT('Ctrl Pts',(0.264672894733994,0.0772757409152579, -0.0995603517856609)); #165759=CARTESIAN_POINT('Ctrl Pts',(0.266655947855619,0.100422079556419, -0.0762915792547846)); #165760=CARTESIAN_POINT('Ctrl Pts',(0.267740068221213,0.109719734904935, -0.0621804998514531)); #165761=CARTESIAN_POINT('Ctrl Pts',(0.269884391051557,0.121973242758863, -0.0317277650538)); #165762=CARTESIAN_POINT('Ctrl Pts',(0.270921756388759,0.125039522336298, -0.0157774865931842)); #165763=CARTESIAN_POINT('Ctrl Pts',(0.272904711355819,0.124958106447588, 0.0170434634787665)); #165764=CARTESIAN_POINT('Ctrl Pts',(0.27398881702549,0.121556996426122, 0.0335967284376898)); #165765=CARTESIAN_POINT('Ctrl Pts',(0.276133175759285,0.108692561752746, 0.063797003928951)); #165766=CARTESIAN_POINT('Ctrl Pts',(0.277170702504057,0.0995840574640022, 0.0772452822879364)); #165767=CARTESIAN_POINT('Ctrl Pts',(0.27915356656917,0.0763216145184744, 0.100399339639425)); #165768=CARTESIAN_POINT('Ctrl Pts',(0.280237658609432,0.062212857150022, 0.109701467862732)); #165769=CARTESIAN_POINT('Ctrl Pts',(0.282376057653437,0.0318478908407248, 0.12192991613802)); #165770=CARTESIAN_POINT('Ctrl Pts',(0.283409627718728,0.0159948116420201, 0.124999999942894)); #165771=CARTESIAN_POINT('Ctrl Pts',(0.284374999973064,4.46286353235927E-10, 0.125)); #165772=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165773=CARTESIAN_POINT('',(0.340625,-3.42305421718543E-16,0.125)); #165774=CARTESIAN_POINT('',(0.334374999977245,1.88507308511241E-10,0.125)); #165775=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165776=CARTESIAN_POINT('Ctrl Pts',(0.340625,-8.30481790073936E-16,0.125)); #165777=CARTESIAN_POINT('Ctrl Pts',(0.339709039321897,0.0151761334188281, 0.125)); #165778=CARTESIAN_POINT('Ctrl Pts',(0.338731028963583,0.0302356056575428, 0.122236367788321)); #165779=CARTESIAN_POINT('Ctrl Pts',(0.336648514115447,0.0600435859364701, 0.110898604119233)); #165780=CARTESIAN_POINT('Ctrl Pts',(0.335562742641548,0.0743041823774813, 0.101895870498669)); #165781=CARTESIAN_POINT('Ctrl Pts',(0.333567491775161,0.0979855779370816, 0.0792577821791104)); #165782=CARTESIAN_POINT('Ctrl Pts',(0.332551030234099,0.107350968421017, 0.0660224017747311)); #165783=CARTESIAN_POINT('Ctrl Pts',(0.330411848759653,0.12081537012297, 0.0361564204051971)); #165784=CARTESIAN_POINT('Ctrl Pts',(0.329325957270571,0.124559539119605, 0.0197156667016893)); #165785=CARTESIAN_POINT('Ctrl Pts',(0.327329700687347,0.125351893197334, -0.0130311915230222)); #165786=CARTESIAN_POINT('Ctrl Pts',(0.326314864270543,0.122642793815263, -0.0290149239840536)); #165787=CARTESIAN_POINT('Ctrl Pts',(0.324176129046855,0.111099581638833, -0.059669294386292)); #165788=CARTESIAN_POINT('Ctrl Pts',(0.323090112281129,0.10214841065421, -0.0739553128984801)); #165789=CARTESIAN_POINT('Ctrl Pts',(0.321092782214065,0.0795990566143411, -0.0977079127249524)); #165790=CARTESIAN_POINT('Ctrl Pts',(0.32007966572916,0.0664000097773171, -0.107117174979689)); #165791=CARTESIAN_POINT('Ctrl Pts',(0.317941411251112,0.0365915802423887, -0.120683439245336)); #165792=CARTESIAN_POINT('Ctrl Pts',(0.316855264139223,0.020170706974756, -0.124485828772189)); #165793=CARTESIAN_POINT('Ctrl Pts',(0.314856789336111,-0.0125627809016488, -0.125399190521671)); #165794=CARTESIAN_POINT('Ctrl Pts',(0.313845490070607,-0.0285522187255482, -0.122750756507325)); #165795=CARTESIAN_POINT('Ctrl Pts',(0.3117077533189,-0.0592399851922545, -0.111328108441928)); #165796=CARTESIAN_POINT('Ctrl Pts',(0.310621471073003,-0.0735548855659681, -0.102436045232283)); #165797=CARTESIAN_POINT('Ctrl Pts',(0.308621776782847,-0.0973887736755511, -0.0799883695442971)); #165798=CARTESIAN_POINT('Ctrl Pts',(0.307612394055328,-0.10684820123607, -0.0668308578676816)); #165799=CARTESIAN_POINT('Ctrl Pts',(0.305475214640256,-0.120530719353231, -0.037088394481339)); #165800=CARTESIAN_POINT('Ctrl Pts',(0.304388792857355,-0.124399542309072, -0.0206904879426504)); #165801=CARTESIAN_POINT('Ctrl Pts',(0.302387800845959,-0.125451116616979, 0.0120274568899899)); #165802=CARTESIAN_POINT('Ctrl Pts',(0.301380435459667,-0.122871931492016, 0.0280234168839732)); #165803=CARTESIAN_POINT('Ctrl Pts',(0.299243855766151,-0.111586870694662, 0.058749003095319)); #165804=CARTESIAN_POINT('Ctrl Pts',(0.298157290545646,-0.102762362057011, 0.0730965739657654)); #165805=CARTESIAN_POINT('Ctrl Pts',(0.296154919144823,-0.0804308454464564, 0.097022932562472)); #165806=CARTESIAN_POINT('Ctrl Pts',(0.295149672734588,-0.0673206568272915, 0.106539533356801)); #165807=CARTESIAN_POINT('Ctrl Pts',(0.293013738066869,-0.0376535773846867, 0.12035425451922)); #165808=CARTESIAN_POINT('Ctrl Pts',(0.291927026143292,-0.0212821487967576, 0.12429860652989)); #165809=CARTESIAN_POINT('Ctrl Pts',(0.290811493927855,-0.00307816626716267, 0.124971593635218)); #165810=CARTESIAN_POINT('Ctrl Pts',(0.290717861219114,-0.00153857505502156, 0.125)); #165811=CARTESIAN_POINT('Ctrl Pts',(0.290625,-4.48403368390423E-15,0.125)); #165812=CARTESIAN_POINT('Ctrl Pts',(0.284374999973064,4.46286467914935E-10, 0.125)); #165813=CARTESIAN_POINT('Ctrl Pts',(0.28438053309289,-9.16752848198423E-5, 0.125000000000327)); #165814=CARTESIAN_POINT('Ctrl Pts',(0.284386068996798,-0.000183355369254372, 0.124999899147385)); #165815=CARTESIAN_POINT('Ctrl Pts',(0.285402506751967,-0.0170089126390007, 0.124962877495695)); #165816=CARTESIAN_POINT('Ctrl Pts',(0.286486586154284,-0.0335635850824705, 0.12156621613671)); #165817=CARTESIAN_POINT('Ctrl Pts',(0.288631008847872,-0.0637681422489005, 0.108709559949852)); #165818=CARTESIAN_POINT('Ctrl Pts',(0.289668823708445,-0.077219168654424, 0.0996043697477764)); #165819=CARTESIAN_POINT('Ctrl Pts',(0.291651525647922,-0.100379841469262, 0.0763473532023869)); #165820=CARTESIAN_POINT('Ctrl Pts',(0.292735593333649,-0.109685803096776, 0.0622405872010866)); #165821=CARTESIAN_POINT('Ctrl Pts',(0.29488004446875,-0.121956416810511, 0.031792812059742)); #165822=CARTESIAN_POINT('Ctrl Pts',(0.295917987686491,-0.125031294783562, 0.0158433364608074)); #165823=CARTESIAN_POINT('Ctrl Pts',(0.297900617454151,-0.124966958856859, -0.0169793002829836)); #165824=CARTESIAN_POINT('Ctrl Pts',(0.2989846742792,-0.121574110560399, -0.0335351780762522)); #165825=CARTESIAN_POINT('Ctrl Pts',(0.301129151730007,-0.108724121499176, -0.0637434041038488)); #165826=CARTESIAN_POINT('Ctrl Pts',(0.302167213842096,-0.0996217715562148, -0.0771967856823637)); #165827=CARTESIAN_POINT('Ctrl Pts',(0.304149776789363,-0.0763694059943461, -0.100363127276336)); #165828=CARTESIAN_POINT('Ctrl Pts',(0.305233823548599,-0.0622643472911944, -0.109672373626556)); #165829=CARTESIAN_POINT('Ctrl Pts',(0.307378325347954,-0.0318185351060512, -0.121949752905916)); #165830=CARTESIAN_POINT('Ctrl Pts',(0.308416497579077,-0.0158693770182657, -0.125028031613149)); #165831=CARTESIAN_POINT('Ctrl Pts',(0.310398998664124,0.0169539255669982, -0.124970450474479)); #165832=CARTESIAN_POINT('Ctrl Pts',(0.311483036095505,0.0335108355168012, -0.121580869771054)); #165833=CARTESIAN_POINT('Ctrl Pts',(0.313627560423095,0.0637222047773158, -0.108736594074032)); #165834=CARTESIAN_POINT('Ctrl Pts',(0.31466583463695,0.0771776044326757, -0.0996366778415179)); #165835=CARTESIAN_POINT('Ctrl Pts',(0.316648278453088,0.100348802766985, -0.0763882978365513)); #165836=CARTESIAN_POINT('Ctrl Pts',(0.317732307241554,0.109660863226949, -0.0622847025252777)); #165837=CARTESIAN_POINT('Ctrl Pts',(0.319876852412982,0.121944039218398, -0.031840572804866)); #165838=CARTESIAN_POINT('Ctrl Pts',(0.320915221067,0.125025231648693,-0.015891686746103)); #165839=CARTESIAN_POINT('Ctrl Pts',(0.322897611869088,0.124973437746863, 0.0169321857632535)); #165840=CARTESIAN_POINT('Ctrl Pts',(0.323981632650216,0.121586656698343, 0.0334899794976692)); #165841=CARTESIAN_POINT('Ctrl Pts',(0.326126197106875,0.108747276171796, 0.063704041284352)); #165842=CARTESIAN_POINT('Ctrl Pts',(0.327164653209962,0.0996494449513867, 0.0771611698829461)); #165843=CARTESIAN_POINT('Ctrl Pts',(0.329146994938974,0.0764044796825831, 0.100336528634588)); #165844=CARTESIAN_POINT('Ctrl Pts',(0.330231008302397,0.062302138437427, 0.109650999676245)); #165845=CARTESIAN_POINT('Ctrl Pts',(0.332371704284654,0.0319146173706566, 0.121916873480223)); #165846=CARTESIAN_POINT('Ctrl Pts',(0.333407580956627,0.0160287235104986, 0.124999999951655)); #165847=CARTESIAN_POINT('Ctrl Pts',(0.334374999977245,3.77014998398198E-10, 0.125)); #165848=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165849=CARTESIAN_POINT('',(0.390625,-1.99473584661601E-17,0.125)); #165850=CARTESIAN_POINT('',(0.384374999988537,9.49633981965932E-11,0.125)); #165851=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165852=CARTESIAN_POINT('Ctrl Pts',(0.390625,-5.46369598732853E-17,0.125)); #165853=CARTESIAN_POINT('Ctrl Pts',(0.389684877913753,0.0155764527363975, 0.125)); #165854=CARTESIAN_POINT('Ctrl Pts',(0.388679729881156,0.0310243233452507, 0.122088537429815)); #165855=CARTESIAN_POINT('Ctrl Pts',(0.38656978586939,0.0611076424063407, 0.110318333837679)); #165856=CARTESIAN_POINT('Ctrl Pts',(0.385484782810329,0.0752947264799816, 0.101168862256329)); #165857=CARTESIAN_POINT('Ctrl Pts',(0.383495535395856,0.0987719746997295, 0.078278056736716)); #165858=CARTESIAN_POINT('Ctrl Pts',(0.382469102071274,0.108011931873818, 0.0649387515538224)); #165859=CARTESIAN_POINT('Ctrl Pts',(0.380327338764265,0.121184120732474, 0.0349089544589633)); #165860=CARTESIAN_POINT('Ctrl Pts',(0.379242257541026,0.1247612269941,0.0184124260289069)); #165861=CARTESIAN_POINT('Ctrl Pts',(0.377252429287797,0.12520693839355, -0.0143715082357647)); #165862=CARTESIAN_POINT('Ctrl Pts',(0.376226980658196,0.122323853289549, -0.0303389178584817)); #165863=CARTESIAN_POINT('Ctrl Pts',(0.374085461092882,0.110434731294311, -0.0608961402049684)); #165864=CARTESIAN_POINT('Ctrl Pts',(0.373000296866519,0.101314444905262, -0.0750979834883616)); #165865=CARTESIAN_POINT('Ctrl Pts',(0.37100984514517,0.0784739274129761, -0.0986160153007022)); #165866=CARTESIAN_POINT('Ctrl Pts',(0.369985448794801,0.0651553552819138, -0.10788099059076)); #165867=CARTESIAN_POINT('Ctrl Pts',(0.367844192288369,0.0351581440904441, -0.121111576756235)); #165868=CARTESIAN_POINT('Ctrl Pts',(0.366758940054484,0.0186726133499698, -0.124722074215075)); #165869=CARTESIAN_POINT('Ctrl Pts',(0.364767819469553,-0.0141040624644114, -0.125237002331746)); #165870=CARTESIAN_POINT('Ctrl Pts',(0.363744546594527,-0.0300747292722001, -0.122388683074726)); #165871=CARTESIAN_POINT('Ctrl Pts',(0.361603573951358,-0.0606515262147614, -0.110568695220639)); #165872=CARTESIAN_POINT('Ctrl Pts',(0.360518228558936,-0.074870347978169, -0.101482151865923)); #165873=CARTESIAN_POINT('Ctrl Pts',(0.358526390827195,-0.0984354205758465, -0.0786997604599036)); #165874=CARTESIAN_POINT('Ctrl Pts',(0.357504316258816,-0.107729277051664, -0.065405119855723)); #165875=CARTESIAN_POINT('Ctrl Pts',(0.355363649876376,-0.121027207219756, -0.0354455809579294)); #165876=CARTESIAN_POINT('Ctrl Pts',(0.354278206052196,-0.124676209002116, -0.0189728248585128)); #165877=CARTESIAN_POINT('Ctrl Pts',(0.352285599885265,-0.125270994101278, 0.0137953870391533)); #165878=CARTESIAN_POINT('Ctrl Pts',(0.351264802080279,-0.122462769354901, 0.0297698129377901)); #165879=CARTESIAN_POINT('Ctrl Pts',(0.349124466060808,-0.110722503670339, 0.0603690839574123)); #165880=CARTESIAN_POINT('Ctrl Pts',(0.348038918440255,-0.101674906576269, 0.0746073884155573)); #165881=CARTESIAN_POINT('Ctrl Pts',(0.346045489433511,-0.0789595844624555, 0.098226607131195)); #165882=CARTESIAN_POINT('Ctrl Pts',(0.345026050425013,-0.065692513680878, 0.107553740474234)); #165883=CARTESIAN_POINT('Ctrl Pts',(0.342886070692962,-0.0357764528581407, 0.12092916998522)); #165884=CARTESIAN_POINT('Ctrl Pts',(0.341800413858142,-0.0193185200006871, 0.124622478664943)); #165885=CARTESIAN_POINT('Ctrl Pts',(0.340730628166593,-0.00174631674670499, 0.124990854910347)); #165886=CARTESIAN_POINT('Ctrl Pts',(0.340677688942265,-0.00087297897893463, 0.125)); #165887=CARTESIAN_POINT('Ctrl Pts',(0.340625,-9.15176438768055E-16,0.125)); #165888=CARTESIAN_POINT('Ctrl Pts',(0.334374999977245,3.77014948741465E-10, 0.125)); #165889=CARTESIAN_POINT('Ctrl Pts',(0.334378588192009,-5.94511118636457E-5, 0.125000000000179)); #165890=CARTESIAN_POINT('Ctrl Pts',(0.334382177578026,-0.000118904438274958, 0.12499995758661)); #165891=CARTESIAN_POINT('Ctrl Pts',(0.335396423981093,-0.016913562884168, 0.12497599365944)); #165892=CARTESIAN_POINT('Ctrl Pts',(0.336480430473708,-0.033472113312622, 0.121591610972446)); #165893=CARTESIAN_POINT('Ctrl Pts',(0.338625029282008,-0.0636884812637108, 0.108756423953622)); #165894=CARTESIAN_POINT('Ctrl Pts',(0.339663641321524,-0.0771470908866742, 0.0996603787567751)); #165895=CARTESIAN_POINT('Ctrl Pts',(0.341645895584315,-0.100326013120974, 0.0764183387186137)); #165896=CARTESIAN_POINT('Ctrl Pts',(0.342729895713282,-0.10964254882958, 0.0623170719621712)); #165897=CARTESIAN_POINT('Ctrl Pts',(0.344874509795443,-0.121934944166825, 0.0318756192693998)); #165898=CARTESIAN_POINT('Ctrl Pts',(0.345913191238821,-0.125020770684812, 0.0159271658322913)); #165899=CARTESIAN_POINT('Ctrl Pts',(0.347895406588516,-0.124978180600732, -0.0168976120327622)); #165900=CARTESIAN_POINT('Ctrl Pts',(0.348979400824057,-0.12159585223291, -0.0334568103062333)); #165901=CARTESIAN_POINT('Ctrl Pts',(0.351124029037616,-0.108764257164119, -0.0636751532940317)); #165902=CARTESIAN_POINT('Ctrl Pts',(0.352162774731648,-0.099669741692629, -0.0771350314183403)); #165903=CARTESIAN_POINT('Ctrl Pts',(0.354144954066401,-0.0764302072284861, -0.100317005521902)); #165904=CARTESIAN_POINT('Ctrl Pts',(0.355228942844425,-0.0623298609558431, -0.109635309437265)); #165905=CARTESIAN_POINT('Ctrl Pts',(0.357373584132305,-0.0318894664596936, -0.121931347715905)); #165906=CARTESIAN_POINT('Ctrl Pts',(0.35841238930347,-0.0159411839606307, -0.125019005343319)); #165907=CARTESIAN_POINT('Ctrl Pts',(0.36039453530662,0.0168839512832403, -0.124980051909802)); #165908=CARTESIAN_POINT('Ctrl Pts',(0.361478519031157,0.0334437041852584, -0.121599482973932)); #165909=CARTESIAN_POINT('Ctrl Pts',(0.363623172415299,0.0636637384751181, -0.108770964246205)); #165910=CARTESIAN_POINT('Ctrl Pts',(0.36466203264221,0.0771247029612151, -0.0996777588459974)); #165911=CARTESIAN_POINT('Ctrl Pts',(0.366644147798051,0.100309290534024, -0.0764403702672924)); #165912=CARTESIAN_POINT('Ctrl Pts',(0.367728126843536,0.109629108629201, -0.0623408124424468)); #165913=CARTESIAN_POINT('Ctrl Pts',(0.369872791418997,0.121928266627994, -0.0319013243354286)); #165914=CARTESIAN_POINT('Ctrl Pts',(0.370911702606791,0.12501749236603, -0.0159531882194485)); #165915=CARTESIAN_POINT('Ctrl Pts',(0.372893789215212,0.12498165319555, 0.0168722529103231)); #165916=CARTESIAN_POINT('Ctrl Pts',(0.373977763928605,0.121602590989237, 0.0334324806186294)); #165917=CARTESIAN_POINT('Ctrl Pts',(0.376122438858102,0.10877670675431, 0.0636539631262636)); #165918=CARTESIAN_POINT('Ctrl Pts',(0.377161397214631,0.0996846232123841, 0.0771158579044668)); #165919=CARTESIAN_POINT('Ctrl Pts',(0.379143457404695,0.0764490722924264, 0.100302683346857)); #165920=CARTESIAN_POINT('Ctrl Pts',(0.380227428107458,0.0623501897423089, 0.109623797990563)); #165921=CARTESIAN_POINT('Ctrl Pts',(0.382369360196795,0.0319505419786463, 0.121909839641657)); #165922=CARTESIAN_POINT('Ctrl Pts',(0.383406478954103,0.0160469820960789, 0.124999999975618)); #165923=CARTESIAN_POINT('Ctrl Pts',(0.384374999988537,1.89926931902583E-10, 0.125)); #165924=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #165925=CARTESIAN_POINT('',(0.440625,-3.28646181750222E-16,0.125)); #165926=CARTESIAN_POINT('',(0.434374999993035,5.76976027055765E-11,0.125)); #165927=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #165928=CARTESIAN_POINT('Ctrl Pts',(0.440625,-4.69877854910253E-16,0.125)); #165929=CARTESIAN_POINT('Ctrl Pts',(0.439671361701037,0.0158003966811585, 0.125)); #165930=CARTESIAN_POINT('Ctrl Pts',(0.438651007350478,0.0314652609312496, 0.122004153702996)); #165931=CARTESIAN_POINT('Ctrl Pts',(0.436525804452422,0.0617001236707967, 0.109989453463074)); #165932=CARTESIAN_POINT('Ctrl Pts',(0.435441275338981,0.0758454704897328, 0.100758177060174)); #165933=CARTESIAN_POINT('Ctrl Pts',(0.433455430960773,0.0992079213687908, 0.0777263476943639)); #165934=CARTESIAN_POINT('Ctrl Pts',(0.432423144934824,0.108377561905613, 0.0643287577035846)); #165935=CARTESIAN_POINT('Ctrl Pts',(0.430279979132461,0.121385317247933, 0.0342076127122974)); #165936=CARTESIAN_POINT('Ctrl Pts',(0.429195403653842,0.124868392566197, 0.0176805148414283)); #165937=CARTESIAN_POINT('Ctrl Pts',(0.427209234737793,0.125119360779397, -0.0151234548085935)); #165938=CARTESIAN_POINT('Ctrl Pts',(0.426177512962546,0.122138391133062, -0.0310817045354596)); #165939=CARTESIAN_POINT('Ctrl Pts',(0.424034479043568,0.110054587443447, -0.0615833777168116)); #165940=CARTESIAN_POINT('Ctrl Pts',(0.422949853911724,0.100839435993598, -0.0757369945949882)); #165941=CARTESIAN_POINT('Ctrl Pts',(0.420963335533234,0.0778354127656457, -0.0991221303557911)); #165942=CARTESIAN_POINT('Ctrl Pts',(0.419932219916953,0.0644493317158551, -0.108305654036121)); #165943=CARTESIAN_POINT('Ctrl Pts',(0.417789328448136,0.0343461940584395, -0.12134590958628)); #165944=CARTESIAN_POINT('Ctrl Pts',(0.416704650182957,0.0178250917631801, -0.124847570966906)); #165945=CARTESIAN_POINT('Ctrl Pts',(0.414717755616779,-0.0149749649146634, -0.125137011935751)); #165946=CARTESIAN_POINT('Ctrl Pts',(0.413687290857851,-0.0309350238054941, -0.122175388337648)); #165947=CARTESIAN_POINT('Ctrl Pts',(0.411544553241924,-0.0614477268611752, -0.110130065242265)); #165948=CARTESIAN_POINT('Ctrl Pts',(0.410459818167124,-0.0756109246332372, -0.100933646398113)); #165949=CARTESIAN_POINT('Ctrl Pts',(0.408472518775836,-0.099022378773702, -0.0779619208473822)); #165950=CARTESIAN_POINT('Ctrl Pts',(0.407442752495002,-0.108222016639903, -0.0645891974389927)); #165951=CARTESIAN_POINT('Ctrl Pts',(0.405300181034737,-0.121299973798488, -0.0345069783743987)); #165952=CARTESIAN_POINT('Ctrl Pts',(0.40421538527508,-0.124823195291299, -0.0179928598577862)); #165953=CARTESIAN_POINT('Ctrl Pts',(0.402227650393721,-0.125157277465045, 0.0148026286253528)); #165954=CARTESIAN_POINT('Ctrl Pts',(0.401198633263166,-0.122218096530244, 0.0307647869936364)); #165955=CARTESIAN_POINT('Ctrl Pts',(0.399056241229973,-0.110217411399081, 0.0612902538972868)); #165956=CARTESIAN_POINT('Ctrl Pts',(0.39797138071017,-0.101042733970461, 0.0754645356993822)); #165957=CARTESIAN_POINT('Ctrl Pts',(0.395983177527479,-0.0781084868935873, 0.0989064884382804)); #165958=CARTESIAN_POINT('Ctrl Pts',(0.394954963394647,-0.0647512499031456, 0.108124809910879)); #165959=CARTESIAN_POINT('Ctrl Pts',(0.392812765101914,-0.0346933082741534, 0.121246451282456)); #165960=CARTESIAN_POINT('Ctrl Pts',(0.391727835547548,-0.0181873202709949, 0.124794653359506)); #165961=CARTESIAN_POINT('Ctrl Pts',(0.39068424225703,-0.000980363824569453, 0.124997117360124)); #165962=CARTESIAN_POINT('Ctrl Pts',(0.390654581513398,-0.000490122561793181, 0.125)); #165963=CARTESIAN_POINT('Ctrl Pts',(0.390625,-2.29621274840129E-17,0.125)); #165964=CARTESIAN_POINT('Ctrl Pts',(0.384374999988537,1.89926975831075E-10, 0.125)); #165965=CARTESIAN_POINT('Ctrl Pts',(0.384377541307392,-4.21057595527979E-5, 0.125000000000064)); #165966=CARTESIAN_POINT('Ctrl Pts',(0.384380083213866,-8.42126325659178E-5, 0.124999978725204)); #165967=CARTESIAN_POINT('Ctrl Pts',(0.385393150372574,-0.0168622358018645, 0.124983023461993)); #165968=CARTESIAN_POINT('Ctrl Pts',(0.386477117362513,-0.0334228699687516, 0.121605251471648)); #165969=CARTESIAN_POINT('Ctrl Pts',(0.38862181073398,-0.0636455924659903, 0.108781623157629)); #165970=CARTESIAN_POINT('Ctrl Pts',(0.389660853153424,-0.0771082838272299, 0.0996905002281129)); #165971=CARTESIAN_POINT('Ctrl Pts',(0.391642866272716,-0.100297025390754, 0.0764565228855945)); #165972=CARTESIAN_POINT('Ctrl Pts',(0.392726829825692,-0.109619250159184, 0.0623582186257429)); #165973=CARTESIAN_POINT('Ctrl Pts',(0.394871531396627,-0.121923366974981, 0.031920171566905)); #165974=CARTESIAN_POINT('Ctrl Pts',(0.39591061121132,-0.125015085228636, 0.0159722681206524)); #165975=CARTESIAN_POINT('Ctrl Pts',(0.397892603395925,-0.124984196068485, -0.0168536589055186)); #165976=CARTESIAN_POINT('Ctrl Pts',(0.398976563767448,-0.121607528815201, -0.0334146410119642)); #165977=CARTESIAN_POINT('Ctrl Pts',(0.401121272924303,-0.108785832114401, -0.0636384251504775)); #165978=CARTESIAN_POINT('Ctrl Pts',(0.402160387347084,-0.099695531674138, -0.077101798558861)); #165979=CARTESIAN_POINT('Ctrl Pts',(0.404142360159877,-0.0764629016844426, -0.100292180659851)); #165980=CARTESIAN_POINT('Ctrl Pts',(0.405226317586583,-0.062365092618349, -0.109615355881007)); #165981=CARTESIAN_POINT('Ctrl Pts',(0.407371033761705,-0.0319276148031353, -0.121921431139959)); #165982=CARTESIAN_POINT('Ctrl Pts',(0.408410180212294,-0.0159798032454096, -0.125014133789324)); #165983=CARTESIAN_POINT('Ctrl Pts',(0.410392135099771,0.0168463155761785, -0.124985199549385)); #165984=CARTESIAN_POINT('Ctrl Pts',(0.411476089800805,0.0334075955210875, -0.121609478161282)); #165985=CARTESIAN_POINT('Ctrl Pts',(0.413620812468999,0.063632288560715, -0.108789435288352)); #165986=CARTESIAN_POINT('Ctrl Pts',(0.414659988558769,0.0770962459161075, -0.0996998390353493)); #165987=CARTESIAN_POINT('Ctrl Pts',(0.416641926859672,0.100288032537152, -0.0764683626286147)); #165988=CARTESIAN_POINT('Ctrl Pts',(0.417725879037959,0.10961202146615, -0.0623709775700089)); #165989=CARTESIAN_POINT('Ctrl Pts',(0.41987060771332,0.12191977343992,-0.0319339871620758)); #165990=CARTESIAN_POINT('Ctrl Pts',(0.420909811231164,0.125013318875433, -0.0159862542733275)); #165991=CARTESIAN_POINT('Ctrl Pts',(0.42289173418447,0.124986058313991, 0.016840028705925)); #165992=CARTESIAN_POINT('Ctrl Pts',(0.423975684027906,0.121611146724682, 0.0334015635971511)); #165993=CARTESIAN_POINT('Ctrl Pts',(0.426120418260878,0.108792519769558, 0.0636270347406533)); #165994=CARTESIAN_POINT('Ctrl Pts',(0.427159647160108,0.0997035263890258, 0.0770914920288993)); #165995=CARTESIAN_POINT('Ctrl Pts',(0.429141555912432,0.0764730376123696, 0.100284481056713)); #165996=CARTESIAN_POINT('Ctrl Pts',(0.430225503594984,0.0623760155861954, 0.109609166594111)); #165997=CARTESIAN_POINT('Ctrl Pts',(0.43236810001435,0.0319698537441951, 0.121906055070113)); #165998=CARTESIAN_POINT('Ctrl Pts',(0.433405886539242,0.0160567975508233, 0.124999999985177)); #165999=CARTESIAN_POINT('Ctrl Pts',(0.434374999993035,1.15395373702726E-10, 0.125)); #166000=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166001=CARTESIAN_POINT('',(0.490624999839983,1.32562174191027E-9,0.125)); #166002=CARTESIAN_POINT('',(0.484374999994994,4.14689135453462E-11,0.125)); #166003=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #166004=CARTESIAN_POINT('Ctrl Pts',(0.4906249999197,1.33044790735574E-9, 0.125)); #166005=CARTESIAN_POINT('Ctrl Pts',(0.489663941932232,0.0159233313657079, 0.124999999830519)); #166006=CARTESIAN_POINT('Ctrl Pts',(0.488635232502165,0.0317072267954858, 0.121957317041571)); #166007=CARTESIAN_POINT('Ctrl Pts',(0.486501679812723,0.0620245153175607, 0.109807618588285)); #166008=CARTESIAN_POINT('Ctrl Pts',(0.485417424305828,0.076146763978713, 0.100531522561449)); #166009=CARTESIAN_POINT('Ctrl Pts',(0.48343346165141,0.0994460147186153, 0.0774223835141101)); #166010=CARTESIAN_POINT('Ctrl Pts',(0.482397879038955,0.108577007261119, 0.0639927516054317)); #166011=CARTESIAN_POINT('Ctrl Pts',(0.480253956237556,0.121494198815898, 0.0338215514943667)); #166012=CARTESIAN_POINT('Ctrl Pts',(0.479169674499492,0.124925481176311, 0.0172778671308067)); #166013=CARTESIAN_POINT('Ctrl Pts',(0.477185533825303,0.12506928978408, -0.0155368844696783)); #166014=CARTESIAN_POINT('Ctrl Pts',(0.476150264899274,0.122034412664188, -0.0314900959376654)); #166015=CARTESIAN_POINT('Ctrl Pts',(0.474006413154495,0.109843375640621, -0.0619609017993112)); #166016=CARTESIAN_POINT('Ctrl Pts',(0.472922103202155,0.100576070486938, -0.0760876938410144)); #166017=CARTESIAN_POINT('Ctrl Pts',(0.470937770500753,0.0774820974618411, -0.0993993576921859)); #166018=CARTESIAN_POINT('Ctrl Pts',(0.469902839520188,0.0640587563503149, -0.108537937562105)); #166019=CARTESIAN_POINT('Ctrl Pts',(0.467759064553676,0.0338973743903962, -0.121472918835019)); #166020=CARTESIAN_POINT('Ctrl Pts',(0.466674724266303,0.0173569341702869, -0.124914375222933)); #166021=CARTESIAN_POINT('Ctrl Pts',(0.464690184462311,-0.0154557136257647, -0.125079228056039)); #166022=CARTESIAN_POINT('Ctrl Pts',(0.463655617464934,-0.0314099144281403, -0.122054940002842)); #166023=CARTESIAN_POINT('Ctrl Pts',(0.461511925456348,-0.0618867989912263, -0.109884967710477)); #166024=CARTESIAN_POINT('Ctrl Pts',(0.460427552568069,-0.0760188750716988, -0.100627901917258)); #166025=CARTESIAN_POINT('Ctrl Pts',(0.458442789442422,-0.0993449868257263, -0.0775515922453908)); #166026=CARTESIAN_POINT('Ctrl Pts',(0.457408614358811,-0.108492399908554, -0.0641355746957511)); #166027=CARTESIAN_POINT('Ctrl Pts',(0.455265011981981,-0.121448085725449, -0.0339856283982134)); #166028=CARTESIAN_POINT('Ctrl Pts',(0.45418060407431,-0.124901383040862, -0.0174489724810813)); #166029=CARTESIAN_POINT('Ctrl Pts',(0.452195600184082,-0.125090731531832, 0.0153612181137626)); #166030=CARTESIAN_POINT('Ctrl Pts',(0.451161846956374,-0.122078767630624, 0.0313165705610982)); #166031=CARTESIAN_POINT('Ctrl Pts',(0.449018341418224,-0.109933311155076, 0.0618005203646628)); #166032=CARTESIAN_POINT('Ctrl Pts',(0.447933895912622,-0.100688165730982, 0.0759387371868809)); #166033=CARTESIAN_POINT('Ctrl Pts',(0.445948632506325,-0.0776324170751787, 0.0992816545138288)); #166034=CARTESIAN_POINT('Ctrl Pts',(0.444915333211135,-0.0642249202962189, 0.108439345176002)); #166035=CARTESIAN_POINT('Ctrl Pts',(0.44277193229356,-0.0340882867400775, 0.121419112423512)); #166036=CARTESIAN_POINT('Ctrl Pts',(0.44168744644415,-0.0175560439978709, 0.124886181755225)); #166037=CARTESIAN_POINT('Ctrl Pts',(0.440658417187567,-0.000553293731193268, 0.124999081727084)); #166038=CARTESIAN_POINT('Ctrl Pts',(0.440641695944642,-0.000276627468288107, 0.125)); #166039=CARTESIAN_POINT('Ctrl Pts',(0.440625,-4.69069283126034E-16,0.125)); #166040=CARTESIAN_POINT('Ctrl Pts',(0.434374999993035,1.153954072127E-10, 0.125)); #166041=CARTESIAN_POINT('Ctrl Pts',(0.434376978602246,-3.27825554016582E-5, 0.12500000000003)); #166042=CARTESIAN_POINT('Ctrl Pts',(0.434378957567697,-6.55657866183823E-5, 0.124999987103628)); #166043=CARTESIAN_POINT('Ctrl Pts',(0.435391390968293,-0.0168346465322492, 0.1249867932444)); #166044=CARTESIAN_POINT('Ctrl Pts',(0.436475336651023,-0.0333963996507318, 0.121612574930527)); #166045=CARTESIAN_POINT('Ctrl Pts',(0.43862008078257,-0.0636225369000027, 0.108795160153973)); #166046=CARTESIAN_POINT('Ctrl Pts',(0.439659354902313,-0.0770874221767561, 0.099706682886762)); #166047=CARTESIAN_POINT('Ctrl Pts',(0.441641238356859,-0.100281440545389, 0.0764770396243507)); #166048=CARTESIAN_POINT('Ctrl Pts',(0.442725182188873,-0.109606722422447, 0.0623803284079453)); #166049=CARTESIAN_POINT('Ctrl Pts',(0.444869930721293,-0.121917138701732, 0.0319441125889443)); #166050=CARTESIAN_POINT('Ctrl Pts',(0.445909224951737,-0.125012023325243, 0.0159965047034033)); #166051=CARTESIAN_POINT('Ctrl Pts',(0.447891097157069,-0.12498742220633, -0.0168300390330578)); #166052=CARTESIAN_POINT('Ctrl Pts',(0.448975039276398,-0.121613797388816, -0.0333919789454221)); #166053=CARTESIAN_POINT('Ctrl Pts',(0.451119791880318,-0.108797420328809, -0.0636186864058294)); #166054=CARTESIAN_POINT('Ctrl Pts',(0.452159104719504,-0.0997093848858531, -0.0770839380672472)); #166055=CARTESIAN_POINT('Ctrl Pts',(0.454140966516503,-0.0764804654448266, -0.100278837593393)); #166056=CARTESIAN_POINT('Ctrl Pts',(0.455224907050918,-0.0623840203159374, -0.109604629958587)); #166057=CARTESIAN_POINT('Ctrl Pts',(0.457369663421609,-0.0319481103641739, -0.121916098197524)); #166058=CARTESIAN_POINT('Ctrl Pts',(0.45840899347951,-0.0160005518335114, -0.125011511577929)); #166059=CARTESIAN_POINT('Ctrl Pts',(0.460390845646283,0.016826094828507, -0.12498796048566)); #166060=CARTESIAN_POINT('Ctrl Pts',(0.461474784714055,0.0333881946269527, -0.121614843729585)); #166061=CARTESIAN_POINT('Ctrl Pts',(0.463619544569591,0.063615390196527, -0.108799355004686)); #166062=CARTESIAN_POINT('Ctrl Pts',(0.464658890559799,0.0770809554964782, -0.0997116977787645)); #166063=CARTESIAN_POINT('Ctrl Pts',(0.466640733816361,0.100276609309249, -0.0764833979615122)); #166064=CARTESIAN_POINT('Ctrl Pts',(0.467724671526959,0.109602838659372, -0.0623871806242362)); #166065=CARTESIAN_POINT('Ctrl Pts',(0.469869434606512,0.121915207401798, -0.0319515325171621)); #166066=CARTESIAN_POINT('Ctrl Pts',(0.470908795338549,0.125011073410879, -0.0160040162351836)); #166067=CARTESIAN_POINT('Ctrl Pts',(0.472890630351141,0.124988421161579, 0.0168227185199988)); #166068=CARTESIAN_POINT('Ctrl Pts',(0.473974566805888,0.121615739318477, 0.0333849551712942)); #166069=CARTESIAN_POINT('Ctrl Pts',(0.476119332868148,0.108801011029943, 0.0636125685602862)); #166070=CARTESIAN_POINT('Ctrl Pts',(0.477158707240334,0.0997136775627831, 0.0770784023392651)); #166071=CARTESIAN_POINT('Ctrl Pts',(0.479140534625437,0.0764859081570013, 0.100274701820275)); #166072=CARTESIAN_POINT('Ctrl Pts',(0.48022446991811,0.0623898858206843, 0.109601305227343)); #166073=CARTESIAN_POINT('Ctrl Pts',(0.482367423118337,0.0319802265107653, 0.121904021303288)); #166074=CARTESIAN_POINT('Ctrl Pts',(0.483405568335134,0.0160620697308386, 0.124999999989343)); #166075=CARTESIAN_POINT('Ctrl Pts',(0.484374999994994,8.29380035778091E-11, 0.125)); #166076=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166077=CARTESIAN_POINT('',(0.540624999941036,4.88474518648437E-10,0.125)); #166078=CARTESIAN_POINT('',(0.534374999995914,3.38523396711187E-11,0.125)); #166079=CARTESIAN_POINT('',(-0.421875,-1.53080849893419E-17,0.125)); #166080=CARTESIAN_POINT('Ctrl Pts',(0.540624999970411,4.90249646980663E-10, 0.125)); #166081=CARTESIAN_POINT('Ctrl Pts',(0.5396599111293,0.0159901159395902, 0.124999999937287)); #166082=CARTESIAN_POINT('Ctrl Pts',(0.538626660424938,0.0318386509567422, 0.121931719945079)); #166083=CARTESIAN_POINT('Ctrl Pts',(0.536488579550032,0.0622004908631752, 0.109708450629536)); #166084=CARTESIAN_POINT('Ctrl Pts',(0.535404476672572,0.0763101358719104, 0.100408031871469)); #166085=CARTESIAN_POINT('Ctrl Pts',(0.533421540338401,0.0995749981463824, 0.0772569245344069)); #166086=CARTESIAN_POINT('Ctrl Pts',(0.532384141924159,0.10868498010455, 0.063809871451305)); #166087=CARTESIAN_POINT('Ctrl Pts',(0.530239811731945,0.12155288270541, 0.0336115053150284)); #166088=CARTESIAN_POINT('Ctrl Pts',(0.529155694376823,0.124955976096265, 0.0170588682837196)); #166089=CARTESIAN_POINT('Ctrl Pts',(0.527172661374065,0.125041492596502, -0.0157616761971059)); #166090=CARTESIAN_POINT('Ctrl Pts',(0.526135434521047,0.121977277220912, -0.0317121474140315)); #166091=CARTESIAN_POINT('Ctrl Pts',(0.523991142536439,0.109727875907243, -0.0621660721274592)); #166092=CARTESIAN_POINT('Ctrl Pts',(0.522907009573264,0.100432214889376, -0.0762781863331563)); #166093=CARTESIAN_POINT('Ctrl Pts',(0.520923872196207,0.0772893176981696, -0.0995497803489978)); #166094=CARTESIAN_POINT('Ctrl Pts',(0.519886830456126,0.0638456741689728, -0.108663874232441)); #166095=CARTESIAN_POINT('Ctrl Pts',(0.517742579763064,0.0336526220624709, -0.121541426069989)); #166096=CARTESIAN_POINT('Ctrl Pts',(0.51665842997679,0.0171017335559617, -0.124950038019179)); #166097=CARTESIAN_POINT('Ctrl Pts',(0.514675179915584,-0.0157176810227559, -0.125046964682348)); #166098=CARTESIAN_POINT('Ctrl Pts',(0.513638337881837,-0.031668688599925, -0.121988492794071)); #166099=CARTESIAN_POINT('Ctrl Pts',(0.511494131812461,-0.0621259226568529, -0.109750517551668)); #166100=CARTESIAN_POINT('Ctrl Pts',(0.510409963897665,-0.0762409146785948, -0.100460406131475)); #166101=CARTESIAN_POINT('Ctrl Pts',(0.508426592192644,-0.0995203577285448, -0.0773270850663321)); #166102=CARTESIAN_POINT('Ctrl Pts',(0.507389965575554,-0.108639246633587, -0.0638874174429912)); #166103=CARTESIAN_POINT('Ctrl Pts',(0.505245807730059,-0.121528048835007, -0.0337005637386518)); #166104=CARTESIAN_POINT('Ctrl Pts',(0.50416162028492,-0.124943095030901, -0.0171517164318733)); #166105=CARTESIAN_POINT('Ctrl Pts',(0.502178117277755,-0.125053326169369, 0.0156663781986144)); #166106=CARTESIAN_POINT('Ctrl Pts',(0.501141722984447,-0.122001550817466, 0.0316180111938571)); #166107=CARTESIAN_POINT('Ctrl Pts',(0.498997617252487,-0.109776897506104, 0.0620791009305723)); #166108=CARTESIAN_POINT('Ctrl Pts',(0.497913408772507,-0.100493257526486, 0.0761974456167374)); #166109=CARTESIAN_POINT('Ctrl Pts',(0.495929764054006,-0.0773711026256697, 0.0994860372788502)); #166110=CARTESIAN_POINT('Ctrl Pts',(0.494893620273034,-0.0639360698256481, 0.108610515976447)); #166111=CARTESIAN_POINT('Ctrl Pts',(0.492749570856821,-0.0337564440420462, 0.121512430750873)); #166112=CARTESIAN_POINT('Ctrl Pts',(0.491665339728267,-0.0172099792509082, 0.124934976184945)); #166113=CARTESIAN_POINT('Ctrl Pts',(0.490644278068983,-0.000319282839254983, 0.124999694201365)); #166114=CARTESIAN_POINT('Ctrl Pts',(0.490634634776577,-0.000159634205839709, 0.125000000001699)); #166115=CARTESIAN_POINT('Ctrl Pts',(0.4906249999197,1.33044787712881E-9, 0.125)); #166116=CARTESIAN_POINT('Ctrl Pts',(0.484374999994994,8.2937988884532E-11, 0.125)); #166117=CARTESIAN_POINT('Ctrl Pts',(0.484376676379046,-2.77751574729632E-5, 0.125000000000018)); #166118=CARTESIAN_POINT('Ctrl Pts',(0.484378353018838,-5.55508004030057E-5, 0.12499999074248)); #166119=CARTESIAN_POINT('Ctrl Pts',(0.48539044606072,-0.0168198284058289, 0.124988815425604)); #166120=CARTESIAN_POINT('Ctrl Pts',(0.486474380278109,-0.0333821821938, 0.121616505869765)); #166121=CARTESIAN_POINT('Ctrl Pts',(0.488619151652737,-0.0636101532277036, 0.108802428517736)); #166122=CARTESIAN_POINT('Ctrl Pts',(0.489658550322864,-0.0770762168234711, 0.0997153721862794)); #166123=CARTESIAN_POINT('Ctrl Pts',(0.491640364121647,-0.100273068984823, 0.0764880568137039)); #166124=CARTESIAN_POINT('Ctrl Pts',(0.492724297344076,-0.109599992576766, 0.0623922014032363)); #166125=CARTESIAN_POINT('Ctrl Pts',(0.494869071080529,-0.121913791978711, 0.0319569693254404)); #166126=CARTESIAN_POINT('Ctrl Pts',(0.495908480554955,-0.125010377093278, 0.0160095201647442)); #166127=CARTESIAN_POINT('Ctrl Pts',(0.497890288312846,-0.124989152854345, -0.0168173545210313)); #166128=CARTESIAN_POINT('Ctrl Pts',(0.498974220614649,-0.121617161971434, -0.0333798085691663)); #166129=CARTESIAN_POINT('Ctrl Pts',(0.501118996536142,-0.108803641812287, -0.0636080857348658)); #166130=CARTESIAN_POINT('Ctrl Pts',(0.502158416007073,-0.099716822703017, -0.0770743460490173)); #166131=CARTESIAN_POINT('Ctrl Pts',(0.504140218175938,-0.0764898959759546, -0.100271671286896)); #166132=CARTESIAN_POINT('Ctrl Pts',(0.505224149625906,-0.06239418345489, -0.109598868945942)); #166133=CARTESIAN_POINT('Ctrl Pts',(0.507368927568886,-0.0319591156253255, -0.121913233139264)); #166134=CARTESIAN_POINT('Ctrl Pts',(0.508408356288853,-0.0160116929623625, -0.125010102139895)); #166135=CARTESIAN_POINT('Ctrl Pts',(0.510390153286782,0.0168152369560296, -0.124989441642789)); #166136=CARTESIAN_POINT('Ctrl Pts',(0.511474083948575,0.0333777768187105, -0.1216177235349)); #166137=CARTESIAN_POINT('Ctrl Pts',(0.513618863761728,0.0636063160191008, -0.108804680317071)); #166138=CARTESIAN_POINT('Ctrl Pts',(0.514658301039092,0.0770727447173745, -0.0997180642616258)); #166139=CARTESIAN_POINT('Ctrl Pts',(0.516640093252921,0.100270474887732, -0.0764914702035233)); #166140=CARTESIAN_POINT('Ctrl Pts',(0.517724023185449,0.10959790713544, -0.062395879994028)); #166141=CARTESIAN_POINT('Ctrl Pts',(0.519868804728787,0.121912754767143, -0.0319609527585028)); #166142=CARTESIAN_POINT('Ctrl Pts',(0.520908249923578,0.125009866762574, -0.0160135527764293)); #166143=CARTESIAN_POINT('Ctrl Pts',(0.522890037711222,0.124989688803798, 0.0168134244148907)); #166144=CARTESIAN_POINT('Ctrl Pts',(0.523973966968999,0.121618204180149, 0.0333760377274042)); #166145=CARTESIAN_POINT('Ctrl Pts',(0.526118750113012,0.108805569204496, 0.0636048012147381)); #166146=CARTESIAN_POINT('Ctrl Pts',(0.527158202633075,0.0997191269535802, 0.0770713740419954)); #166147=CARTESIAN_POINT('Ctrl Pts',(0.529139986325688,0.0764928176460161, 0.100269450812337)); #166148=CARTESIAN_POINT('Ctrl Pts',(0.530223914959157,0.0623973321318792, 0.109597083854632)); #166149=CARTESIAN_POINT('Ctrl Pts',(0.532367059696383,0.031985795485745, 0.121902929119978)); #166150=CARTESIAN_POINT('Ctrl Pts',(0.533405397494836,0.0160649003063883, 0.124999999991299)); #166151=CARTESIAN_POINT('Ctrl Pts',(0.534374999995914,6.77047602146742E-11, 0.125)); #166152=CARTESIAN_POINT('Origin',(-0.616875,-0.0191746824526945,-0.0582115242270663)); #166153=CARTESIAN_POINT('',(-0.616875,-0.0791746824526944,-0.162134572681199)); #166154=CARTESIAN_POINT('',(-0.616875,-0.0191746824526945,-0.0582115242270663)); #166155=CARTESIAN_POINT('',(-0.616875,-0.00417468245269451,-0.0322307621135332)); #166156=CARTESIAN_POINT('',(-0.621875,-0.0791746824526944,-0.162134572681199)); #166157=CARTESIAN_POINT('',(-0.616875,-0.0791746824526944,-0.162134572681199)); #166158=CARTESIAN_POINT('',(-0.621875,-0.0191746824526945,-0.0582115242270663)); #166159=CARTESIAN_POINT('',(-0.621875,-0.0191746824526945,-0.0582115242270663)); #166160=CARTESIAN_POINT('',(-0.616875,-0.0191746824526945,-0.0582115242270663)); #166161=CARTESIAN_POINT('Origin',(-0.616875,-0.0408253175473055,-0.0457115242270663)); #166162=CARTESIAN_POINT('',(-0.616875,-0.0408253175473055,-0.0457115242270663)); #166163=CARTESIAN_POINT('',(-0.616875,-0.0354126587736527,-0.0488365242270663)); #166164=CARTESIAN_POINT('',(-0.621875,-0.0408253175473055,-0.0457115242270663)); #166165=CARTESIAN_POINT('',(-0.621875,-0.0408253175473055,-0.0457115242270663)); #166166=CARTESIAN_POINT('',(-0.616875,-0.0408253175473055,-0.0457115242270663)); #166167=CARTESIAN_POINT('Origin',(-0.616875,-0.100825317547305,-0.149634572681199)); #166168=CARTESIAN_POINT('',(-0.616875,-0.100825317547305,-0.149634572681199)); #166169=CARTESIAN_POINT('',(-0.616875,-0.0558253175473054,-0.0716922863405995)); #166170=CARTESIAN_POINT('',(-0.621875,-0.100825317547305,-0.149634572681199)); #166171=CARTESIAN_POINT('',(-0.621875,-0.100825317547305,-0.149634572681199)); #166172=CARTESIAN_POINT('',(-0.616875,-0.100825317547305,-0.149634572681199)); #166173=CARTESIAN_POINT('Origin',(-0.616875,-0.0791746824526944,-0.162134572681199)); #166174=CARTESIAN_POINT('',(-0.616875,-0.0845873412263472,-0.159009572681199)); #166175=CARTESIAN_POINT('',(-0.621875,-0.0791746824526944,-0.162134572681199)); #166176=CARTESIAN_POINT('Origin',(-0.621875,-0.0599999999999999,-0.103923048454133)); #166177=CARTESIAN_POINT('Origin',(-0.616875,0.06,0.0125)); #166178=CARTESIAN_POINT('',(-0.616875,0.18,0.0125000000000001)); #166179=CARTESIAN_POINT('',(-0.616875,0.06,0.0125)); #166180=CARTESIAN_POINT('',(-0.616875,0.03,0.0125)); #166181=CARTESIAN_POINT('',(-0.621875,0.18,0.0125000000000001)); #166182=CARTESIAN_POINT('',(-0.616875,0.18,0.0125000000000001)); #166183=CARTESIAN_POINT('',(-0.621875,0.06,0.0125)); #166184=CARTESIAN_POINT('',(-0.621875,0.18,0.0125000000000001)); #166185=CARTESIAN_POINT('',(-0.616875,0.06,0.0125)); #166186=CARTESIAN_POINT('Origin',(-0.616875,0.06,-0.0125)); #166187=CARTESIAN_POINT('',(-0.616875,0.06,-0.0125)); #166188=CARTESIAN_POINT('',(-0.616875,0.06,-0.00624999999999998)); #166189=CARTESIAN_POINT('',(-0.621875,0.06,-0.0125)); #166190=CARTESIAN_POINT('',(-0.621875,0.06,0.0125)); #166191=CARTESIAN_POINT('',(-0.616875,0.06,-0.0125)); #166192=CARTESIAN_POINT('Origin',(-0.616875,0.18,-0.0124999999999999)); #166193=CARTESIAN_POINT('',(-0.616875,0.18,-0.0125)); #166194=CARTESIAN_POINT('',(-0.616875,0.09,-0.0125)); #166195=CARTESIAN_POINT('',(-0.621875,0.18,-0.0125)); #166196=CARTESIAN_POINT('',(-0.621875,0.06,-0.0125)); #166197=CARTESIAN_POINT('',(-0.616875,0.18,-0.0125)); #166198=CARTESIAN_POINT('Origin',(-0.616875,0.18,0.0125000000000001)); #166199=CARTESIAN_POINT('',(-0.616875,0.18,0.00625000000000007)); #166200=CARTESIAN_POINT('',(-0.621875,0.18,-0.0125)); #166201=CARTESIAN_POINT('Origin',(-0.621875,0.12,3.82458719112997E-17)); #166202=CARTESIAN_POINT('Origin',(-0.616875,-0.0408253175473055,0.0457115242270663)); #166203=CARTESIAN_POINT('',(-0.616875,-0.100825317547306,0.149634572681199)); #166204=CARTESIAN_POINT('',(-0.616875,-0.0408253175473055,0.0457115242270663)); #166205=CARTESIAN_POINT('',(-0.616875,-0.0258253175473055,0.0197307621135331)); #166206=CARTESIAN_POINT('',(-0.621875,-0.100825317547306,0.149634572681199)); #166207=CARTESIAN_POINT('',(-0.616875,-0.100825317547306,0.149634572681199)); #166208=CARTESIAN_POINT('',(-0.621875,-0.0408253175473055,0.0457115242270663)); #166209=CARTESIAN_POINT('',(-0.621875,-0.0408253175473055,0.0457115242270663)); #166210=CARTESIAN_POINT('',(-0.616875,-0.0408253175473055,0.0457115242270663)); #166211=CARTESIAN_POINT('Origin',(-0.616875,-0.0191746824526946,0.0582115242270663)); #166212=CARTESIAN_POINT('',(-0.616875,-0.0191746824526946,0.0582115242270663)); #166213=CARTESIAN_POINT('',(-0.616875,-0.0245873412263473,0.0550865242270663)); #166214=CARTESIAN_POINT('',(-0.621875,-0.0191746824526946,0.0582115242270663)); #166215=CARTESIAN_POINT('',(-0.621875,-0.0191746824526946,0.0582115242270663)); #166216=CARTESIAN_POINT('',(-0.616875,-0.0191746824526946,0.0582115242270663)); #166217=CARTESIAN_POINT('Origin',(-0.616875,-0.0791746824526946,0.162134572681199)); #166218=CARTESIAN_POINT('',(-0.616875,-0.0791746824526946,0.162134572681199)); #166219=CARTESIAN_POINT('',(-0.616875,-0.0341746824526946,0.0841922863405995)); #166220=CARTESIAN_POINT('',(-0.621875,-0.0791746824526946,0.162134572681199)); #166221=CARTESIAN_POINT('',(-0.621875,-0.0791746824526946,0.162134572681199)); #166222=CARTESIAN_POINT('',(-0.616875,-0.0791746824526946,0.162134572681199)); #166223=CARTESIAN_POINT('Origin',(-0.616875,-0.100825317547306,0.149634572681199)); #166224=CARTESIAN_POINT('',(-0.616875,-0.0954126587736528,0.152759572681199)); #166225=CARTESIAN_POINT('',(-0.621875,-0.100825317547306,0.149634572681199)); #166226=CARTESIAN_POINT('Origin',(-0.621875,-0.0600000000000001,0.103923048454133)); #166227=CARTESIAN_POINT('Origin',(-0.406875,-1.43404094155604E-18,1.47322461095628E-17)); #166228=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0825203710127063,-0.0418459998977939)); #166229=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0882905311481953,-0.0337731805956159)); #166230=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0927232308934868,-0.0248271808589476)); #166231=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0993140496460653,-0.00353394393844189)); #166232=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.100132753397871,0.00755750292950401)); #166233=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0994761071660654,0.0297291655354417)); #166234=CARTESIAN_POINT('Ctrl Pts',(-0.406874999999446,0.0965981540810034, 0.0426435474030981)); #166235=CARTESIAN_POINT('Ctrl Pts',(-0.406874999999446,0.0848866972511588, 0.0696946096985064)); #166236=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0757367681125349,0.0810407560591359)); #166237=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0565778177801074,0.0994681186392859)); #166238=CARTESIAN_POINT('Ctrl Pts',(-0.406874999999626,0.0442411036255063, 0.107469041831713)); #166239=CARTESIAN_POINT('Ctrl Pts',(-0.406874999999626,0.0141089509052898, 0.119677832656991)); #166240=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.00167233191144479,0.121541331510057)); #166241=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.0229670291560285,0.121299568411095)); #166242=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.0309804855861,0.120434577169271)); #166243=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.0388562817683387,0.118807362428188)); #166244=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.11148503195783,0.0565339512980096)); #166245=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.116021067058618,0.0443711372305433)); #166246=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.118605264245942,0.0315701204676494)); #166247=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.119599192673283,0.00755432429179365)); #166248=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.118705219573689,-0.00578863899448086)); #166249=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.110159732801293,-0.0342608437800643)); #166250=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.103113711092573,-0.0474988490357505)); #166251=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.0868343949508009,-0.0673384832338455)); #166252=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.0765919439135634,-0.0774390855332548)); #166253=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.0504467542214959,-0.0917668153538353)); #166254=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.0374465375094555,-0.0958278801841709)); #166255=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.014669326804362,-0.0981947763784041)); #166256=CARTESIAN_POINT('Ctrl Pts',(-0.406875,-0.00179930555075119,-0.0982545519278251)); #166257=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0179720006884702,-0.0927376680452986)); #166258=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0234901470438581,-0.0906001846885899)); #166259=CARTESIAN_POINT('Ctrl Pts',(-0.406875,0.0287611236358521,-0.0879403042223764)); #166260=CARTESIAN_POINT('Origin',(-0.421875,0.126295371385231,0.21875)); #166261=CARTESIAN_POINT('',(-0.421875,-0.173241161390704,0.173241161390704)); #166262=CARTESIAN_POINT('',(-0.421875,-0.245,-1.066038782686E-16)); #166263=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166264=CARTESIAN_POINT('',(-0.421875,-0.173241161390704,-0.173241161390704)); #166265=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166266=CARTESIAN_POINT('',(-0.421875,2.132077565372E-16,-0.245)); #166267=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166268=CARTESIAN_POINT('',(-0.421875,0.173241161390704,-0.173241161390704)); #166269=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166270=CARTESIAN_POINT('',(-0.421875,0.245,1.066038782686E-16)); #166271=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166272=CARTESIAN_POINT('',(-0.421875,0.173241161390704,0.173241161390704)); #166273=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166274=CARTESIAN_POINT('',(-0.421875,-1.55060186572509E-16,0.245)); #166275=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166276=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166277=CARTESIAN_POINT('Origin',(-0.421875,0.,0.)); #166278=CARTESIAN_POINT('',(0.547525,-0.124551141271079,-0.0105836292485965)); #166279=CARTESIAN_POINT('Ctrl Pts',(0.534374999995914,6.77047678389981E-11, 0.125)); #166280=CARTESIAN_POINT('Ctrl Pts',(0.534376514125328,-2.50868498538175E-5, 0.125000000000014)); #166281=CARTESIAN_POINT('Ctrl Pts',(0.534378028463382,-5.01740958836624E-5, 0.1249999924478)); #166282=CARTESIAN_POINT('Ctrl Pts',(0.535389938785433,-0.0168118729793943, 0.124989900338771)); #166283=CARTESIAN_POINT('Ctrl Pts',(0.536473866841272,-0.0333745491582958, 0.121618615565509)); #166284=CARTESIAN_POINT('Ctrl Pts',(0.538618652836152,-0.063603504620669, 0.108806330023944)); #166285=CARTESIAN_POINT('Ctrl Pts',(0.539658118403996,-0.0770702008141813, 0.099720036539791)); #166286=CARTESIAN_POINT('Ctrl Pts',(0.541639894802808,-0.100268574252006, 0.0764939709635728)); #166287=CARTESIAN_POINT('Ctrl Pts',(0.542723822324209,-0.109596379161343, 0.0623985750644482)); #166288=CARTESIAN_POINT('Ctrl Pts',(0.544463465328946,-0.119585609763168, 0.037712900807417)); #166289=CARTESIAN_POINT('Ctrl Pts',(0.545107224914538,-0.122195843975547, 0.0281279371685957)); #166290=CARTESIAN_POINT('Ctrl Pts',(0.546347857144327,-0.125067546217637, 0.00876196736454949)); #166291=CARTESIAN_POINT('Ctrl Pts',(0.546922543804274,-0.12537049888412, -0.000941197204124019)); #166292=CARTESIAN_POINT('Ctrl Pts',(0.547525,-0.124551141271079,-0.0105836292485958)); #166293=CARTESIAN_POINT('',(0.547525,-0.0955547126085482,0.0805872005860594)); #166294=CARTESIAN_POINT('Origin',(0.547525,0.,0.)); #166295=CARTESIAN_POINT('Ctrl Pts',(0.547525000000001,-0.0955547126085609, 0.0805872005860442)); #166296=CARTESIAN_POINT('Ctrl Pts',(0.546924649272502,-0.0893376604449795, 0.0879589498023377)); #166297=CARTESIAN_POINT('Ctrl Pts',(0.546351997378536,-0.0822898446414836, 0.0945853638374942)); #166298=CARTESIAN_POINT('Ctrl Pts',(0.545115968669449,-0.0666300101110533, 0.106220327293101)); #166299=CARTESIAN_POINT('Ctrl Pts',(0.544474592639075,-0.0580433125483771, 0.111145246796245)); #166300=CARTESIAN_POINT('Ctrl Pts',(0.542737438751184,-0.0335762522745744, 0.121562693567481)); #166301=CARTESIAN_POINT('Ctrl Pts',(0.541653349300199,-0.0170221176151798, 0.124961055198809)); #166302=CARTESIAN_POINT('Ctrl Pts',(0.540636607993771,-0.000192281597322195, 0.124999889089078)); #166303=CARTESIAN_POINT('Ctrl Pts',(0.540630802451295,-9.61381610091485E-5, 0.125000000000377)); #166304=CARTESIAN_POINT('Ctrl Pts',(0.540624999970411,4.90249658975481E-10, 0.125)); #166305=CARTESIAN_POINT('Ctrl Pts',(0.603125,0.0925240473580835,0.)); #166306=CARTESIAN_POINT('Ctrl Pts',(0.6,0.0925240473580835,-0.0383247152613687)); #166307=CARTESIAN_POINT('Ctrl Pts',(0.596875,0.0654243813097261,-0.0654243813097261)); #166308=CARTESIAN_POINT('Ctrl Pts',(0.59375,0.0383247152613687,-0.0925240473580835)); #166309=CARTESIAN_POINT('Ctrl Pts',(0.590625,5.66546392206175E-18,-0.0925240473580835)); #166310=CARTESIAN_POINT('Ctrl Pts',(0.5875,-0.0383247152613687,-0.0925240473580835)); #166311=CARTESIAN_POINT('Ctrl Pts',(0.584375,-0.0654243813097261,-0.0654243813097261)); #166312=CARTESIAN_POINT('Ctrl Pts',(0.58125,-0.0925240473580835,-0.0383247152613687)); #166313=CARTESIAN_POINT('Ctrl Pts',(0.578125,-0.0925240473580835,-1.13309278441235E-17)); #166314=CARTESIAN_POINT('Ctrl Pts',(0.575,-0.0925240473580835,0.0383247152613687)); #166315=CARTESIAN_POINT('Ctrl Pts',(0.571875,-0.0654243813097261,0.0654243813097261)); #166316=CARTESIAN_POINT('Ctrl Pts',(0.56875,-0.0383247152613688,0.0925240473580835)); #166317=CARTESIAN_POINT('Ctrl Pts',(0.565625,-1.69963917661853E-17,0.0925240473580835)); #166318=CARTESIAN_POINT('Ctrl Pts',(0.5625,0.0383247152613687,0.0925240473580835)); #166319=CARTESIAN_POINT('Ctrl Pts',(0.559375,0.0654243813097261,0.0654243813097261)); #166320=CARTESIAN_POINT('Ctrl Pts',(0.55625,0.0925240473580835,0.0383247152613688)); #166321=CARTESIAN_POINT('Ctrl Pts',(0.553125,0.0925240473580835,0.)); #166322=CARTESIAN_POINT('Ctrl Pts',(0.55,0.0925240473580835,-0.0383247152613687)); #166323=CARTESIAN_POINT('Ctrl Pts',(0.546875,0.0654243813097261,-0.0654243813097261)); #166324=CARTESIAN_POINT('Ctrl Pts',(0.54375,0.0383247152613687,-0.0925240473580835)); #166325=CARTESIAN_POINT('Ctrl Pts',(0.540625,5.66546392206175E-18,-0.0925240473580835)); #166326=CARTESIAN_POINT('Ctrl Pts',(0.5375,-0.0383247152613687,-0.0925240473580835)); #166327=CARTESIAN_POINT('Ctrl Pts',(0.534375,-0.0654243813097261,-0.0654243813097261)); #166328=CARTESIAN_POINT('Ctrl Pts',(0.53125,-0.0925240473580835,-0.0383247152613687)); #166329=CARTESIAN_POINT('Ctrl Pts',(0.528125,-0.0925240473580835,-1.13309278441235E-17)); #166330=CARTESIAN_POINT('Ctrl Pts',(0.525,-0.0925240473580835,0.0383247152613687)); #166331=CARTESIAN_POINT('Ctrl Pts',(0.521875,-0.0654243813097261,0.0654243813097261)); #166332=CARTESIAN_POINT('Ctrl Pts',(0.51875,-0.0383247152613688,0.0925240473580835)); #166333=CARTESIAN_POINT('Ctrl Pts',(0.515625,-1.69963917661853E-17,0.0925240473580835)); #166334=CARTESIAN_POINT('Ctrl Pts',(0.5125,0.0383247152613687,0.0925240473580835)); #166335=CARTESIAN_POINT('Ctrl Pts',(0.509375,0.0654243813097261,0.0654243813097261)); #166336=CARTESIAN_POINT('Ctrl Pts',(0.50625,0.0925240473580835,0.0383247152613688)); #166337=CARTESIAN_POINT('Ctrl Pts',(0.503125,0.0925240473580835,0.)); #166338=CARTESIAN_POINT('Ctrl Pts',(0.5,0.0925240473580835,-0.0383247152613687)); #166339=CARTESIAN_POINT('Ctrl Pts',(0.496875,0.0654243813097261,-0.0654243813097261)); #166340=CARTESIAN_POINT('Ctrl Pts',(0.49375,0.0383247152613687,-0.0925240473580835)); #166341=CARTESIAN_POINT('Ctrl Pts',(0.490625,5.66546392206175E-18,-0.0925240473580835)); #166342=CARTESIAN_POINT('Ctrl Pts',(0.4875,-0.0383247152613687,-0.0925240473580835)); #166343=CARTESIAN_POINT('Ctrl Pts',(0.484375,-0.0654243813097261,-0.0654243813097261)); #166344=CARTESIAN_POINT('Ctrl Pts',(0.48125,-0.0925240473580835,-0.0383247152613687)); #166345=CARTESIAN_POINT('Ctrl Pts',(0.478125,-0.0925240473580835,-1.13309278441235E-17)); #166346=CARTESIAN_POINT('Ctrl Pts',(0.475,-0.0925240473580835,0.0383247152613687)); #166347=CARTESIAN_POINT('Ctrl Pts',(0.471875,-0.0654243813097261,0.0654243813097261)); #166348=CARTESIAN_POINT('Ctrl Pts',(0.46875,-0.0383247152613688,0.0925240473580835)); #166349=CARTESIAN_POINT('Ctrl Pts',(0.465625,-1.69963917661853E-17,0.0925240473580835)); #166350=CARTESIAN_POINT('Ctrl Pts',(0.4625,0.0383247152613687,0.0925240473580835)); #166351=CARTESIAN_POINT('Ctrl Pts',(0.459375,0.0654243813097261,0.0654243813097261)); #166352=CARTESIAN_POINT('Ctrl Pts',(0.45625,0.0925240473580835,0.0383247152613688)); #166353=CARTESIAN_POINT('Ctrl Pts',(0.453125,0.0925240473580835,0.)); #166354=CARTESIAN_POINT('Ctrl Pts',(0.45,0.0925240473580835,-0.0383247152613687)); #166355=CARTESIAN_POINT('Ctrl Pts',(0.446875,0.0654243813097261,-0.0654243813097261)); #166356=CARTESIAN_POINT('Ctrl Pts',(0.44375,0.0383247152613687,-0.0925240473580835)); #166357=CARTESIAN_POINT('Ctrl Pts',(0.440625,5.66546392206175E-18,-0.0925240473580835)); #166358=CARTESIAN_POINT('Ctrl Pts',(0.4375,-0.0383247152613687,-0.0925240473580835)); #166359=CARTESIAN_POINT('Ctrl Pts',(0.434375,-0.0654243813097261,-0.0654243813097261)); #166360=CARTESIAN_POINT('Ctrl Pts',(0.43125,-0.0925240473580835,-0.0383247152613687)); #166361=CARTESIAN_POINT('Ctrl Pts',(0.428125,-0.0925240473580835,-1.13309278441235E-17)); #166362=CARTESIAN_POINT('Ctrl Pts',(0.425,-0.0925240473580835,0.0383247152613687)); #166363=CARTESIAN_POINT('Ctrl Pts',(0.421875,-0.0654243813097261,0.0654243813097261)); #166364=CARTESIAN_POINT('Ctrl Pts',(0.41875,-0.0383247152613688,0.0925240473580835)); #166365=CARTESIAN_POINT('Ctrl Pts',(0.415625,-1.69963917661853E-17,0.0925240473580835)); #166366=CARTESIAN_POINT('Ctrl Pts',(0.4125,0.0383247152613687,0.0925240473580835)); #166367=CARTESIAN_POINT('Ctrl Pts',(0.409375,0.0654243813097261,0.0654243813097261)); #166368=CARTESIAN_POINT('Ctrl Pts',(0.40625,0.0925240473580835,0.0383247152613688)); #166369=CARTESIAN_POINT('Ctrl Pts',(0.403125,0.0925240473580835,0.)); #166370=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0925240473580835,-0.0383247152613687)); #166371=CARTESIAN_POINT('Ctrl Pts',(0.396875,0.0654243813097261,-0.0654243813097261)); #166372=CARTESIAN_POINT('Ctrl Pts',(0.39375,0.0383247152613687,-0.0925240473580835)); #166373=CARTESIAN_POINT('Ctrl Pts',(0.390625,5.66546392206175E-18,-0.0925240473580835)); #166374=CARTESIAN_POINT('Ctrl Pts',(0.3875,-0.0383247152613687,-0.0925240473580835)); #166375=CARTESIAN_POINT('Ctrl Pts',(0.384375,-0.0654243813097261,-0.0654243813097261)); #166376=CARTESIAN_POINT('Ctrl Pts',(0.38125,-0.0925240473580835,-0.0383247152613687)); #166377=CARTESIAN_POINT('Ctrl Pts',(0.378125,-0.0925240473580835,-1.13309278441235E-17)); #166378=CARTESIAN_POINT('Ctrl Pts',(0.375,-0.0925240473580835,0.0383247152613687)); #166379=CARTESIAN_POINT('Ctrl Pts',(0.371875,-0.0654243813097261,0.0654243813097261)); #166380=CARTESIAN_POINT('Ctrl Pts',(0.36875,-0.0383247152613688,0.0925240473580835)); #166381=CARTESIAN_POINT('Ctrl Pts',(0.365625,-1.69963917661853E-17,0.0925240473580835)); #166382=CARTESIAN_POINT('Ctrl Pts',(0.3625,0.0383247152613687,0.0925240473580835)); #166383=CARTESIAN_POINT('Ctrl Pts',(0.359375,0.0654243813097261,0.0654243813097261)); #166384=CARTESIAN_POINT('Ctrl Pts',(0.35625,0.0925240473580835,0.0383247152613688)); #166385=CARTESIAN_POINT('Ctrl Pts',(0.353125,0.0925240473580835,0.)); #166386=CARTESIAN_POINT('Ctrl Pts',(0.35,0.0925240473580835,-0.0383247152613687)); #166387=CARTESIAN_POINT('Ctrl Pts',(0.346875,0.0654243813097261,-0.0654243813097261)); #166388=CARTESIAN_POINT('Ctrl Pts',(0.34375,0.0383247152613687,-0.0925240473580835)); #166389=CARTESIAN_POINT('Ctrl Pts',(0.340625,5.66546392206175E-18,-0.0925240473580835)); #166390=CARTESIAN_POINT('Ctrl Pts',(0.3375,-0.0383247152613687,-0.0925240473580835)); #166391=CARTESIAN_POINT('Ctrl Pts',(0.334375,-0.0654243813097261,-0.0654243813097261)); #166392=CARTESIAN_POINT('Ctrl Pts',(0.33125,-0.0925240473580835,-0.0383247152613687)); #166393=CARTESIAN_POINT('Ctrl Pts',(0.328125,-0.0925240473580835,-1.13309278441235E-17)); #166394=CARTESIAN_POINT('Ctrl Pts',(0.325,-0.0925240473580835,0.0383247152613687)); #166395=CARTESIAN_POINT('Ctrl Pts',(0.321875,-0.0654243813097261,0.0654243813097261)); #166396=CARTESIAN_POINT('Ctrl Pts',(0.31875,-0.0383247152613688,0.0925240473580835)); #166397=CARTESIAN_POINT('Ctrl Pts',(0.315625,-1.69963917661853E-17,0.0925240473580835)); #166398=CARTESIAN_POINT('Ctrl Pts',(0.3125,0.0383247152613687,0.0925240473580835)); #166399=CARTESIAN_POINT('Ctrl Pts',(0.309375,0.0654243813097261,0.0654243813097261)); #166400=CARTESIAN_POINT('Ctrl Pts',(0.30625,0.0925240473580835,0.0383247152613688)); #166401=CARTESIAN_POINT('Ctrl Pts',(0.303125,0.0925240473580835,0.)); #166402=CARTESIAN_POINT('Ctrl Pts',(0.3,0.0925240473580835,-0.0383247152613687)); #166403=CARTESIAN_POINT('Ctrl Pts',(0.296875,0.0654243813097261,-0.0654243813097261)); #166404=CARTESIAN_POINT('Ctrl Pts',(0.29375,0.0383247152613687,-0.0925240473580835)); #166405=CARTESIAN_POINT('Ctrl Pts',(0.290625,5.66546392206175E-18,-0.0925240473580835)); #166406=CARTESIAN_POINT('Ctrl Pts',(0.2875,-0.0383247152613687,-0.0925240473580835)); #166407=CARTESIAN_POINT('Ctrl Pts',(0.284375,-0.0654243813097261,-0.0654243813097261)); #166408=CARTESIAN_POINT('Ctrl Pts',(0.28125,-0.0925240473580835,-0.0383247152613687)); #166409=CARTESIAN_POINT('Ctrl Pts',(0.278125,-0.0925240473580835,-1.13309278441235E-17)); #166410=CARTESIAN_POINT('Ctrl Pts',(0.275,-0.0925240473580835,0.0383247152613687)); #166411=CARTESIAN_POINT('Ctrl Pts',(0.271875,-0.0654243813097261,0.0654243813097261)); #166412=CARTESIAN_POINT('Ctrl Pts',(0.26875,-0.0383247152613688,0.0925240473580835)); #166413=CARTESIAN_POINT('Ctrl Pts',(0.265625,-1.69963917661853E-17,0.0925240473580835)); #166414=CARTESIAN_POINT('Ctrl Pts',(0.2625,0.0383247152613687,0.0925240473580835)); #166415=CARTESIAN_POINT('Ctrl Pts',(0.259375,0.0654243813097261,0.0654243813097261)); #166416=CARTESIAN_POINT('Ctrl Pts',(0.25625,0.0925240473580835,0.0383247152613688)); #166417=CARTESIAN_POINT('Ctrl Pts',(0.253125,0.0925240473580835,0.)); #166418=CARTESIAN_POINT('Ctrl Pts',(0.25,0.0925240473580835,-0.0383247152613687)); #166419=CARTESIAN_POINT('Ctrl Pts',(0.246875,0.0654243813097261,-0.0654243813097261)); #166420=CARTESIAN_POINT('Ctrl Pts',(0.24375,0.0383247152613687,-0.0925240473580835)); #166421=CARTESIAN_POINT('Ctrl Pts',(0.240625,5.66546392206175E-18,-0.0925240473580835)); #166422=CARTESIAN_POINT('Ctrl Pts',(0.2375,-0.0383247152613687,-0.0925240473580835)); #166423=CARTESIAN_POINT('Ctrl Pts',(0.234375,-0.0654243813097261,-0.0654243813097261)); #166424=CARTESIAN_POINT('Ctrl Pts',(0.23125,-0.0925240473580835,-0.0383247152613687)); #166425=CARTESIAN_POINT('Ctrl Pts',(0.228125,-0.0925240473580835,-1.13309278441235E-17)); #166426=CARTESIAN_POINT('Ctrl Pts',(0.225,-0.0925240473580835,0.0383247152613687)); #166427=CARTESIAN_POINT('Ctrl Pts',(0.221875,-0.0654243813097261,0.0654243813097261)); #166428=CARTESIAN_POINT('Ctrl Pts',(0.21875,-0.0383247152613688,0.0925240473580835)); #166429=CARTESIAN_POINT('Ctrl Pts',(0.215625,-1.69963917661853E-17,0.0925240473580835)); #166430=CARTESIAN_POINT('Ctrl Pts',(0.2125,0.0383247152613687,0.0925240473580835)); #166431=CARTESIAN_POINT('Ctrl Pts',(0.209375,0.0654243813097261,0.0654243813097261)); #166432=CARTESIAN_POINT('Ctrl Pts',(0.20625,0.0925240473580835,0.0383247152613688)); #166433=CARTESIAN_POINT('Ctrl Pts',(0.203125,0.0925240473580835,0.)); #166434=CARTESIAN_POINT('Ctrl Pts',(0.2,0.0925240473580835,-0.0383247152613687)); #166435=CARTESIAN_POINT('Ctrl Pts',(0.196875,0.0654243813097261,-0.0654243813097261)); #166436=CARTESIAN_POINT('Ctrl Pts',(0.19375,0.0383247152613687,-0.0925240473580835)); #166437=CARTESIAN_POINT('Ctrl Pts',(0.190625,5.66546392206175E-18,-0.0925240473580835)); #166438=CARTESIAN_POINT('Ctrl Pts',(0.1875,-0.0383247152613687,-0.0925240473580835)); #166439=CARTESIAN_POINT('Ctrl Pts',(0.184375,-0.0654243813097261,-0.0654243813097261)); #166440=CARTESIAN_POINT('Ctrl Pts',(0.18125,-0.0925240473580835,-0.0383247152613687)); #166441=CARTESIAN_POINT('Ctrl Pts',(0.178125,-0.0925240473580835,-1.13309278441235E-17)); #166442=CARTESIAN_POINT('Ctrl Pts',(0.175,-0.0925240473580835,0.0383247152613687)); #166443=CARTESIAN_POINT('Ctrl Pts',(0.171875,-0.0654243813097261,0.0654243813097261)); #166444=CARTESIAN_POINT('Ctrl Pts',(0.16875,-0.0383247152613688,0.0925240473580835)); #166445=CARTESIAN_POINT('Ctrl Pts',(0.165625,-1.69963917661853E-17,0.0925240473580835)); #166446=CARTESIAN_POINT('Ctrl Pts',(0.1625,0.0383247152613687,0.0925240473580835)); #166447=CARTESIAN_POINT('Ctrl Pts',(0.159375,0.0654243813097261,0.0654243813097261)); #166448=CARTESIAN_POINT('Ctrl Pts',(0.15625,0.0925240473580835,0.0383247152613688)); #166449=CARTESIAN_POINT('Ctrl Pts',(0.153125,0.0925240473580835,0.)); #166450=CARTESIAN_POINT('Ctrl Pts',(0.15,0.0925240473580835,-0.0383247152613687)); #166451=CARTESIAN_POINT('Ctrl Pts',(0.146875,0.0654243813097261,-0.0654243813097261)); #166452=CARTESIAN_POINT('Ctrl Pts',(0.14375,0.0383247152613687,-0.0925240473580835)); #166453=CARTESIAN_POINT('Ctrl Pts',(0.140625,5.66546392206175E-18,-0.0925240473580835)); #166454=CARTESIAN_POINT('Ctrl Pts',(0.1375,-0.0383247152613687,-0.0925240473580835)); #166455=CARTESIAN_POINT('Ctrl Pts',(0.134375,-0.0654243813097261,-0.0654243813097261)); #166456=CARTESIAN_POINT('Ctrl Pts',(0.13125,-0.0925240473580835,-0.0383247152613687)); #166457=CARTESIAN_POINT('Ctrl Pts',(0.128125,-0.0925240473580835,-1.13309278441235E-17)); #166458=CARTESIAN_POINT('Ctrl Pts',(0.125,-0.0925240473580835,0.0383247152613687)); #166459=CARTESIAN_POINT('Ctrl Pts',(0.121875,-0.0654243813097261,0.0654243813097261)); #166460=CARTESIAN_POINT('Ctrl Pts',(0.11875,-0.0383247152613688,0.0925240473580835)); #166461=CARTESIAN_POINT('Ctrl Pts',(0.115625,-1.69963917661853E-17,0.0925240473580835)); #166462=CARTESIAN_POINT('Ctrl Pts',(0.1125,0.0383247152613687,0.0925240473580835)); #166463=CARTESIAN_POINT('Ctrl Pts',(0.109375,0.0654243813097261,0.0654243813097261)); #166464=CARTESIAN_POINT('Ctrl Pts',(0.10625,0.0925240473580835,0.0383247152613688)); #166465=CARTESIAN_POINT('Ctrl Pts',(0.103125,0.0925240473580835,0.)); #166466=CARTESIAN_POINT('Ctrl Pts',(0.1,0.0925240473580835,-0.0383247152613687)); #166467=CARTESIAN_POINT('Ctrl Pts',(0.096875,0.0654243813097261,-0.0654243813097261)); #166468=CARTESIAN_POINT('Ctrl Pts',(0.09375,0.0383247152613687,-0.0925240473580835)); #166469=CARTESIAN_POINT('Ctrl Pts',(0.0906249999999999,5.66546392206175E-18, -0.0925240473580835)); #166470=CARTESIAN_POINT('Ctrl Pts',(0.0875,-0.0383247152613687,-0.0925240473580835)); #166471=CARTESIAN_POINT('Ctrl Pts',(0.084375,-0.0654243813097261,-0.0654243813097261)); #166472=CARTESIAN_POINT('Ctrl Pts',(0.08125,-0.0925240473580835,-0.0383247152613687)); #166473=CARTESIAN_POINT('Ctrl Pts',(0.0781249999999999,-0.0925240473580835, -1.13309278441235E-17)); #166474=CARTESIAN_POINT('Ctrl Pts',(0.075,-0.0925240473580835,0.0383247152613687)); #166475=CARTESIAN_POINT('Ctrl Pts',(0.071875,-0.0654243813097261,0.0654243813097261)); #166476=CARTESIAN_POINT('Ctrl Pts',(0.06875,-0.0383247152613688,0.0925240473580835)); #166477=CARTESIAN_POINT('Ctrl Pts',(0.0656249999999999,-1.69963917661853E-17, 0.0925240473580835)); #166478=CARTESIAN_POINT('Ctrl Pts',(0.0624999999999999,0.0383247152613687, 0.0925240473580835)); #166479=CARTESIAN_POINT('Ctrl Pts',(0.059375,0.0654243813097261,0.0654243813097261)); #166480=CARTESIAN_POINT('Ctrl Pts',(0.05625,0.0925240473580835,0.0383247152613688)); #166481=CARTESIAN_POINT('Ctrl Pts',(0.0531249999999999,0.0925240473580835, 0.)); #166482=CARTESIAN_POINT('Ctrl Pts',(0.0499999999999999,0.0925240473580835, -0.0383247152613687)); #166483=CARTESIAN_POINT('Ctrl Pts',(0.046875,0.0654243813097261,-0.0654243813097261)); #166484=CARTESIAN_POINT('Ctrl Pts',(0.04375,0.0383247152613687,-0.0925240473580835)); #166485=CARTESIAN_POINT('Ctrl Pts',(0.0406249999999999,5.66546392206175E-18, -0.0925240473580835)); #166486=CARTESIAN_POINT('Ctrl Pts',(0.0374999999999999,-0.0383247152613687, -0.0925240473580835)); #166487=CARTESIAN_POINT('Ctrl Pts',(0.034375,-0.0654243813097261,-0.0654243813097261)); #166488=CARTESIAN_POINT('Ctrl Pts',(0.03125,-0.0925240473580835,-0.0383247152613687)); #166489=CARTESIAN_POINT('Ctrl Pts',(0.0281249999999999,-0.0925240473580835, -1.13309278441235E-17)); #166490=CARTESIAN_POINT('Ctrl Pts',(0.0249999999999999,-0.0925240473580835, 0.0383247152613687)); #166491=CARTESIAN_POINT('Ctrl Pts',(0.021875,-0.0654243813097261,0.0654243813097261)); #166492=CARTESIAN_POINT('Ctrl Pts',(0.01875,-0.0383247152613688,0.0925240473580835)); #166493=CARTESIAN_POINT('Ctrl Pts',(0.0156249999999999,-1.69963917661853E-17, 0.0925240473580835)); #166494=CARTESIAN_POINT('Ctrl Pts',(0.0124999999999999,0.0383247152613687, 0.0925240473580835)); #166495=CARTESIAN_POINT('Ctrl Pts',(0.00937499999999995,0.0654243813097261, 0.0654243813097261)); #166496=CARTESIAN_POINT('Ctrl Pts',(0.00624999999999997,0.0925240473580835, 0.0383247152613688)); #166497=CARTESIAN_POINT('Ctrl Pts',(0.00312499999999998,0.0925240473580835, 0.)); #166498=CARTESIAN_POINT('Ctrl Pts',(-6.82961998416066E-17,0.0925240473580835, -0.0383247152613687)); #166499=CARTESIAN_POINT('Ctrl Pts',(-0.00312500000000005,0.0654243813097261, -0.0654243813097261)); #166500=CARTESIAN_POINT('Ctrl Pts',(-0.00625000000000004,0.0383247152613687, -0.0925240473580835)); #166501=CARTESIAN_POINT('Ctrl Pts',(-0.00937500000000002,5.66546392206175E-18, -0.0925240473580835)); #166502=CARTESIAN_POINT('Ctrl Pts',(-0.0125000000000001,-0.0383247152613687, -0.0925240473580835)); #166503=CARTESIAN_POINT('Ctrl Pts',(-0.0156250000000001,-0.0654243813097261, -0.0654243813097261)); #166504=CARTESIAN_POINT('Ctrl Pts',(-0.01875,-0.0925240473580835,-0.0383247152613687)); #166505=CARTESIAN_POINT('Ctrl Pts',(-0.021875,-0.0925240473580835,-1.13309278441235E-17)); #166506=CARTESIAN_POINT('Ctrl Pts',(-0.025,-0.0925240473580835,0.0383247152613687)); #166507=CARTESIAN_POINT('Ctrl Pts',(-0.028125,-0.0654243813097261,0.0654243813097261)); #166508=CARTESIAN_POINT('Ctrl Pts',(-0.0312500000000001,-0.0383247152613688, 0.0925240473580835)); #166509=CARTESIAN_POINT('Ctrl Pts',(-0.0343750000000001,-1.69963917661853E-17, 0.0925240473580835)); #166510=CARTESIAN_POINT('Ctrl Pts',(-0.0375000000000001,0.0383247152613687, 0.0925240473580835)); #166511=CARTESIAN_POINT('Ctrl Pts',(-0.0406250000000001,0.0654243813097261, 0.0654243813097261)); #166512=CARTESIAN_POINT('Ctrl Pts',(-0.04375,0.0925240473580835,0.0383247152613688)); #166513=CARTESIAN_POINT('Ctrl Pts',(-0.046875,0.0925240473580835,0.)); #166514=CARTESIAN_POINT('Ctrl Pts',(-0.05,0.0925240473580835,-0.0383247152613687)); #166515=CARTESIAN_POINT('Ctrl Pts',(-0.053125,0.0654243813097261,-0.0654243813097261)); #166516=CARTESIAN_POINT('Ctrl Pts',(-0.0562500000000001,0.0383247152613687, -0.0925240473580835)); #166517=CARTESIAN_POINT('Ctrl Pts',(-0.0593750000000001,5.66546392206175E-18, -0.0925240473580835)); #166518=CARTESIAN_POINT('Ctrl Pts',(-0.0625000000000001,-0.0383247152613687, -0.0925240473580835)); #166519=CARTESIAN_POINT('Ctrl Pts',(-0.0656250000000001,-0.0654243813097261, -0.0654243813097261)); #166520=CARTESIAN_POINT('Ctrl Pts',(-0.06875,-0.0925240473580835,-0.0383247152613687)); #166521=CARTESIAN_POINT('Ctrl Pts',(-0.071875,-0.0925240473580835,-1.13309278441235E-17)); #166522=CARTESIAN_POINT('Ctrl Pts',(-0.075,-0.0925240473580835,0.0383247152613687)); #166523=CARTESIAN_POINT('Ctrl Pts',(-0.078125,-0.0654243813097261,0.0654243813097261)); #166524=CARTESIAN_POINT('Ctrl Pts',(-0.0812500000000001,-0.0383247152613688, 0.0925240473580835)); #166525=CARTESIAN_POINT('Ctrl Pts',(-0.0843750000000001,-1.69963917661853E-17, 0.0925240473580835)); #166526=CARTESIAN_POINT('Ctrl Pts',(-0.0875000000000001,0.0383247152613687, 0.0925240473580835)); #166527=CARTESIAN_POINT('Ctrl Pts',(-0.0906250000000001,0.0654243813097261, 0.0654243813097261)); #166528=CARTESIAN_POINT('Ctrl Pts',(-0.0937500000000001,0.0925240473580835, 0.0383247152613688)); #166529=CARTESIAN_POINT('Ctrl Pts',(-0.096875,0.0925240473580835,0.)); #166530=CARTESIAN_POINT('Ctrl Pts',(-0.1,0.0925240473580835,-0.0383247152613687)); #166531=CARTESIAN_POINT('Ctrl Pts',(-0.103125,0.0654243813097261,-0.0654243813097261)); #166532=CARTESIAN_POINT('Ctrl Pts',(-0.10625,0.0383247152613687,-0.0925240473580835)); #166533=CARTESIAN_POINT('Ctrl Pts',(-0.109375,5.66546392206175E-18,-0.0925240473580835)); #166534=CARTESIAN_POINT('Ctrl Pts',(-0.1125,-0.0383247152613687,-0.0925240473580835)); #166535=CARTESIAN_POINT('Ctrl Pts',(-0.115625,-0.0654243813097261,-0.0654243813097261)); #166536=CARTESIAN_POINT('Ctrl Pts',(-0.11875,-0.0925240473580835,-0.0383247152613687)); #166537=CARTESIAN_POINT('Ctrl Pts',(-0.121875,-0.0925240473580835,-1.13309278441235E-17)); #166538=CARTESIAN_POINT('Ctrl Pts',(-0.125,-0.0925240473580835,0.0383247152613687)); #166539=CARTESIAN_POINT('Ctrl Pts',(-0.128125,-0.0654243813097261,0.0654243813097261)); #166540=CARTESIAN_POINT('Ctrl Pts',(-0.13125,-0.0383247152613688,0.0925240473580835)); #166541=CARTESIAN_POINT('Ctrl Pts',(-0.134375,-1.69963917661853E-17,0.0925240473580835)); #166542=CARTESIAN_POINT('Ctrl Pts',(-0.1375,0.0383247152613687,0.0925240473580835)); #166543=CARTESIAN_POINT('Ctrl Pts',(-0.140625,0.0654243813097261,0.0654243813097261)); #166544=CARTESIAN_POINT('Ctrl Pts',(-0.14375,0.0925240473580835,0.0383247152613688)); #166545=CARTESIAN_POINT('Ctrl Pts',(-0.146875,0.0925240473580835,0.)); #166546=CARTESIAN_POINT('Ctrl Pts',(-0.15,0.0925240473580835,-0.0383247152613687)); #166547=CARTESIAN_POINT('Ctrl Pts',(-0.153125,0.0654243813097261,-0.0654243813097261)); #166548=CARTESIAN_POINT('Ctrl Pts',(-0.15625,0.0383247152613687,-0.0925240473580835)); #166549=CARTESIAN_POINT('Ctrl Pts',(-0.159375,5.66546392206175E-18,-0.0925240473580835)); #166550=CARTESIAN_POINT('Ctrl Pts',(-0.1625,-0.0383247152613687,-0.0925240473580835)); #166551=CARTESIAN_POINT('Ctrl Pts',(-0.165625,-0.0654243813097261,-0.0654243813097261)); #166552=CARTESIAN_POINT('Ctrl Pts',(-0.16875,-0.0925240473580835,-0.0383247152613687)); #166553=CARTESIAN_POINT('Ctrl Pts',(-0.171875,-0.0925240473580835,-1.13309278441235E-17)); #166554=CARTESIAN_POINT('Ctrl Pts',(-0.175,-0.0925240473580835,0.0383247152613687)); #166555=CARTESIAN_POINT('Ctrl Pts',(-0.178125,-0.0654243813097261,0.0654243813097261)); #166556=CARTESIAN_POINT('Ctrl Pts',(-0.18125,-0.0383247152613688,0.0925240473580835)); #166557=CARTESIAN_POINT('Ctrl Pts',(-0.184375,-1.69963917661853E-17,0.0925240473580835)); #166558=CARTESIAN_POINT('Ctrl Pts',(-0.1875,0.0383247152613687,0.0925240473580835)); #166559=CARTESIAN_POINT('Ctrl Pts',(-0.190625,0.0654243813097261,0.0654243813097261)); #166560=CARTESIAN_POINT('Ctrl Pts',(-0.19375,0.0925240473580835,0.0383247152613688)); #166561=CARTESIAN_POINT('Ctrl Pts',(-0.196875,0.0925240473580835,0.)); #166562=CARTESIAN_POINT('Ctrl Pts',(-0.2,0.0925240473580835,-0.0383247152613687)); #166563=CARTESIAN_POINT('Ctrl Pts',(-0.203125,0.0654243813097261,-0.0654243813097261)); #166564=CARTESIAN_POINT('Ctrl Pts',(-0.20625,0.0383247152613687,-0.0925240473580835)); #166565=CARTESIAN_POINT('Ctrl Pts',(-0.209375,5.66546392206175E-18,-0.0925240473580835)); #166566=CARTESIAN_POINT('Ctrl Pts',(-0.2125,-0.0383247152613687,-0.0925240473580835)); #166567=CARTESIAN_POINT('Ctrl Pts',(-0.215625,-0.0654243813097261,-0.0654243813097261)); #166568=CARTESIAN_POINT('Ctrl Pts',(-0.21875,-0.0925240473580835,-0.0383247152613687)); #166569=CARTESIAN_POINT('Ctrl Pts',(-0.221875,-0.0925240473580835,-1.13309278441235E-17)); #166570=CARTESIAN_POINT('Ctrl Pts',(-0.225,-0.0925240473580835,0.0383247152613687)); #166571=CARTESIAN_POINT('Ctrl Pts',(-0.228125,-0.0654243813097261,0.0654243813097261)); #166572=CARTESIAN_POINT('Ctrl Pts',(-0.23125,-0.0383247152613688,0.0925240473580835)); #166573=CARTESIAN_POINT('Ctrl Pts',(-0.234375,-1.69963917661853E-17,0.0925240473580835)); #166574=CARTESIAN_POINT('Ctrl Pts',(-0.2375,0.0383247152613687,0.0925240473580835)); #166575=CARTESIAN_POINT('Ctrl Pts',(-0.240625,0.0654243813097261,0.0654243813097261)); #166576=CARTESIAN_POINT('Ctrl Pts',(-0.24375,0.0925240473580835,0.0383247152613688)); #166577=CARTESIAN_POINT('Ctrl Pts',(-0.246875,0.0925240473580835,0.)); #166578=CARTESIAN_POINT('Ctrl Pts',(-0.25,0.0925240473580835,-0.0383247152613687)); #166579=CARTESIAN_POINT('Ctrl Pts',(-0.253125,0.0654243813097261,-0.0654243813097261)); #166580=CARTESIAN_POINT('Ctrl Pts',(-0.25625,0.0383247152613687,-0.0925240473580835)); #166581=CARTESIAN_POINT('Ctrl Pts',(-0.259375,5.66546392206175E-18,-0.0925240473580835)); #166582=CARTESIAN_POINT('Ctrl Pts',(-0.2625,-0.0383247152613687,-0.0925240473580835)); #166583=CARTESIAN_POINT('Ctrl Pts',(-0.265625,-0.0654243813097261,-0.0654243813097261)); #166584=CARTESIAN_POINT('Ctrl Pts',(-0.26875,-0.0925240473580835,-0.0383247152613687)); #166585=CARTESIAN_POINT('Ctrl Pts',(-0.271875,-0.0925240473580835,-1.13309278441235E-17)); #166586=CARTESIAN_POINT('Ctrl Pts',(-0.275,-0.0925240473580835,0.0383247152613687)); #166587=CARTESIAN_POINT('Ctrl Pts',(-0.278125,-0.0654243813097261,0.0654243813097261)); #166588=CARTESIAN_POINT('Ctrl Pts',(-0.28125,-0.0383247152613688,0.0925240473580835)); #166589=CARTESIAN_POINT('Ctrl Pts',(-0.284375,-1.69963917661853E-17,0.0925240473580835)); #166590=CARTESIAN_POINT('Ctrl Pts',(-0.2875,0.0383247152613687,0.0925240473580835)); #166591=CARTESIAN_POINT('Ctrl Pts',(-0.290625,0.0654243813097261,0.0654243813097261)); #166592=CARTESIAN_POINT('Ctrl Pts',(-0.29375,0.0925240473580835,0.0383247152613688)); #166593=CARTESIAN_POINT('Ctrl Pts',(-0.296875,0.0925240473580835,0.)); #166594=CARTESIAN_POINT('Ctrl Pts',(-0.3,0.0925240473580835,-0.0383247152613687)); #166595=CARTESIAN_POINT('Ctrl Pts',(-0.303125,0.0654243813097261,-0.0654243813097261)); #166596=CARTESIAN_POINT('Ctrl Pts',(-0.30625,0.0383247152613687,-0.0925240473580835)); #166597=CARTESIAN_POINT('Ctrl Pts',(-0.309375,5.66546392206175E-18,-0.0925240473580835)); #166598=CARTESIAN_POINT('Ctrl Pts',(-0.3125,-0.0383247152613687,-0.0925240473580835)); #166599=CARTESIAN_POINT('Ctrl Pts',(-0.315625,-0.0654243813097261,-0.0654243813097261)); #166600=CARTESIAN_POINT('Ctrl Pts',(-0.31875,-0.0925240473580835,-0.0383247152613687)); #166601=CARTESIAN_POINT('Ctrl Pts',(-0.321875,-0.0925240473580835,-1.13309278441235E-17)); #166602=CARTESIAN_POINT('Ctrl Pts',(-0.325,-0.0925240473580835,0.0383247152613687)); #166603=CARTESIAN_POINT('Ctrl Pts',(-0.328125,-0.0654243813097261,0.0654243813097261)); #166604=CARTESIAN_POINT('Ctrl Pts',(-0.33125,-0.0383247152613688,0.0925240473580835)); #166605=CARTESIAN_POINT('Ctrl Pts',(-0.334375,-1.69963917661853E-17,0.0925240473580835)); #166606=CARTESIAN_POINT('Ctrl Pts',(-0.3375,0.0383247152613687,0.0925240473580835)); #166607=CARTESIAN_POINT('Ctrl Pts',(-0.340625,0.0654243813097261,0.0654243813097261)); #166608=CARTESIAN_POINT('Ctrl Pts',(-0.34375,0.0925240473580835,0.0383247152613688)); #166609=CARTESIAN_POINT('Ctrl Pts',(-0.346875,0.0925240473580835,0.)); #166610=CARTESIAN_POINT('Ctrl Pts',(-0.35,0.0925240473580835,-0.0383247152613687)); #166611=CARTESIAN_POINT('Ctrl Pts',(-0.353125,0.0654243813097261,-0.0654243813097261)); #166612=CARTESIAN_POINT('Ctrl Pts',(-0.35625,0.0383247152613687,-0.0925240473580835)); #166613=CARTESIAN_POINT('Ctrl Pts',(-0.359375,5.66546392206175E-18,-0.0925240473580835)); #166614=CARTESIAN_POINT('Ctrl Pts',(-0.3625,-0.0383247152613687,-0.0925240473580835)); #166615=CARTESIAN_POINT('Ctrl Pts',(-0.365625,-0.0654243813097261,-0.0654243813097261)); #166616=CARTESIAN_POINT('Ctrl Pts',(-0.36875,-0.0925240473580835,-0.0383247152613687)); #166617=CARTESIAN_POINT('Ctrl Pts',(-0.371875,-0.0925240473580835,-1.13309278441235E-17)); #166618=CARTESIAN_POINT('Ctrl Pts',(-0.375,-0.0925240473580835,0.0383247152613687)); #166619=CARTESIAN_POINT('Ctrl Pts',(-0.378125,-0.0654243813097261,0.0654243813097261)); #166620=CARTESIAN_POINT('Ctrl Pts',(-0.38125,-0.0383247152613688,0.0925240473580835)); #166621=CARTESIAN_POINT('Ctrl Pts',(-0.384375,-1.69963917661853E-17,0.0925240473580835)); #166622=CARTESIAN_POINT('Ctrl Pts',(-0.3875,0.0383247152613687,0.0925240473580835)); #166623=CARTESIAN_POINT('Ctrl Pts',(-0.390625,0.0654243813097261,0.0654243813097261)); #166624=CARTESIAN_POINT('Ctrl Pts',(-0.39375,0.0925240473580835,0.0383247152613688)); #166625=CARTESIAN_POINT('Ctrl Pts',(-0.396875,0.0925240473580835,0.)); #166626=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.0925240473580835,-0.0383247152613687)); #166627=CARTESIAN_POINT('Ctrl Pts',(-0.403125,0.0654243813097261,-0.0654243813097261)); #166628=CARTESIAN_POINT('Ctrl Pts',(-0.40625,0.0383247152613687,-0.0925240473580835)); #166629=CARTESIAN_POINT('Ctrl Pts',(-0.409375,5.66546392206175E-18,-0.0925240473580835)); #166630=CARTESIAN_POINT('Ctrl Pts',(-0.4125,-0.0383247152613687,-0.0925240473580835)); #166631=CARTESIAN_POINT('Ctrl Pts',(-0.415625,-0.0654243813097261,-0.0654243813097261)); #166632=CARTESIAN_POINT('Ctrl Pts',(-0.41875,-0.0925240473580835,-0.0383247152613687)); #166633=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.0925240473580835,-1.13309278441235E-17)); #166634=CARTESIAN_POINT('Ctrl Pts',(-0.425,-0.0925240473580835,0.0383247152613687)); #166635=CARTESIAN_POINT('Ctrl Pts',(-0.428125,-0.0654243813097261,0.0654243813097261)); #166636=CARTESIAN_POINT('Ctrl Pts',(-0.43125,-0.0383247152613688,0.0925240473580835)); #166637=CARTESIAN_POINT('Ctrl Pts',(-0.434375,-1.69963917661853E-17,0.0925240473580835)); #166638=CARTESIAN_POINT('Ctrl Pts',(-0.4375,0.0383247152613687,0.0925240473580835)); #166639=CARTESIAN_POINT('Ctrl Pts',(-0.440625,0.0654243813097261,0.0654243813097261)); #166640=CARTESIAN_POINT('Ctrl Pts',(-0.44375,0.0925240473580835,0.0383247152613688)); #166641=CARTESIAN_POINT('Ctrl Pts',(-0.446875,0.0925240473580835,0.)); #166642=CARTESIAN_POINT('Ctrl Pts',(0.621875197854194,0.125000342693517, 0.)); #166643=CARTESIAN_POINT('Ctrl Pts',(0.618750197854194,0.125000342693517, -0.0517768372449394)); #166644=CARTESIAN_POINT('Ctrl Pts',(0.615625197854194,0.0883885899692282, -0.0883885899692282)); #166645=CARTESIAN_POINT('Ctrl Pts',(0.612500197854194,0.0517768372449394, -0.125000342693517)); #166646=CARTESIAN_POINT('Ctrl Pts',(0.609375197854194,7.65406347859689E-18, -0.125000342693517)); #166647=CARTESIAN_POINT('Ctrl Pts',(0.606250197854194,-0.0517768372449394, -0.125000342693517)); #166648=CARTESIAN_POINT('Ctrl Pts',(0.603125197854194,-0.0883885899692282, -0.0883885899692282)); #166649=CARTESIAN_POINT('Ctrl Pts',(0.600000197854194,-0.125000342693517, -0.0517768372449394)); #166650=CARTESIAN_POINT('Ctrl Pts',(0.596875197854194,-0.125000342693517, -1.53081269571938E-17)); #166651=CARTESIAN_POINT('Ctrl Pts',(0.593750197854194,-0.125000342693517, 0.0517768372449393)); #166652=CARTESIAN_POINT('Ctrl Pts',(0.590625197854194,-0.0883885899692282, 0.0883885899692282)); #166653=CARTESIAN_POINT('Ctrl Pts',(0.587500197854194,-0.0517768372449394, 0.125000342693517)); #166654=CARTESIAN_POINT('Ctrl Pts',(0.584375197854194,-2.29621904357907E-17, 0.125000342693517)); #166655=CARTESIAN_POINT('Ctrl Pts',(0.581250197854194,0.0517768372449394, 0.125000342693517)); #166656=CARTESIAN_POINT('Ctrl Pts',(0.578125197854194,0.0883885899692281, 0.0883885899692282)); #166657=CARTESIAN_POINT('Ctrl Pts',(0.575000197854194,0.125000342693517, 0.0517768372449395)); #166658=CARTESIAN_POINT('Ctrl Pts',(0.571875197854194,0.125000342693517, 0.)); #166659=CARTESIAN_POINT('Ctrl Pts',(0.568750197854194,0.125000342693517, -0.0517768372449394)); #166660=CARTESIAN_POINT('Ctrl Pts',(0.565625197854194,0.0883885899692282, -0.0883885899692282)); #166661=CARTESIAN_POINT('Ctrl Pts',(0.562500197854194,0.0517768372449394, -0.125000342693517)); #166662=CARTESIAN_POINT('Ctrl Pts',(0.559375197854194,7.65406347859689E-18, -0.125000342693517)); #166663=CARTESIAN_POINT('Ctrl Pts',(0.556250197854194,-0.0517768372449394, -0.125000342693517)); #166664=CARTESIAN_POINT('Ctrl Pts',(0.553125197854194,-0.0883885899692282, -0.0883885899692282)); #166665=CARTESIAN_POINT('Ctrl Pts',(0.550000197854194,-0.125000342693517, -0.0517768372449394)); #166666=CARTESIAN_POINT('Ctrl Pts',(0.546875197854194,-0.125000342693517, -1.53081269571938E-17)); #166667=CARTESIAN_POINT('Ctrl Pts',(0.543750197854194,-0.125000342693517, 0.0517768372449393)); #166668=CARTESIAN_POINT('Ctrl Pts',(0.540625197854194,-0.0883885899692282, 0.0883885899692282)); #166669=CARTESIAN_POINT('Ctrl Pts',(0.537500197854194,-0.0517768372449394, 0.125000342693517)); #166670=CARTESIAN_POINT('Ctrl Pts',(0.534375197854194,-2.29621904357907E-17, 0.125000342693517)); #166671=CARTESIAN_POINT('Ctrl Pts',(0.531250197854194,0.0517768372449394, 0.125000342693517)); #166672=CARTESIAN_POINT('Ctrl Pts',(0.528125197854194,0.0883885899692281, 0.0883885899692282)); #166673=CARTESIAN_POINT('Ctrl Pts',(0.525000197854194,0.125000342693517, 0.0517768372449395)); #166674=CARTESIAN_POINT('Ctrl Pts',(0.521875197854194,0.125000342693517, 0.)); #166675=CARTESIAN_POINT('Ctrl Pts',(0.518750197854194,0.125000342693517, -0.0517768372449394)); #166676=CARTESIAN_POINT('Ctrl Pts',(0.515625197854194,0.0883885899692282, -0.0883885899692282)); #166677=CARTESIAN_POINT('Ctrl Pts',(0.512500197854194,0.0517768372449394, -0.125000342693517)); #166678=CARTESIAN_POINT('Ctrl Pts',(0.509375197854194,7.65406347859689E-18, -0.125000342693517)); #166679=CARTESIAN_POINT('Ctrl Pts',(0.506250197854194,-0.0517768372449394, -0.125000342693517)); #166680=CARTESIAN_POINT('Ctrl Pts',(0.503125197854194,-0.0883885899692282, -0.0883885899692282)); #166681=CARTESIAN_POINT('Ctrl Pts',(0.500000197854194,-0.125000342693517, -0.0517768372449394)); #166682=CARTESIAN_POINT('Ctrl Pts',(0.496875197854194,-0.125000342693517, -1.53081269571938E-17)); #166683=CARTESIAN_POINT('Ctrl Pts',(0.493750197854194,-0.125000342693517, 0.0517768372449393)); #166684=CARTESIAN_POINT('Ctrl Pts',(0.490625197854194,-0.0883885899692282, 0.0883885899692282)); #166685=CARTESIAN_POINT('Ctrl Pts',(0.487500197854194,-0.0517768372449394, 0.125000342693517)); #166686=CARTESIAN_POINT('Ctrl Pts',(0.484375197854194,-2.29621904357907E-17, 0.125000342693517)); #166687=CARTESIAN_POINT('Ctrl Pts',(0.481250197854194,0.0517768372449394, 0.125000342693517)); #166688=CARTESIAN_POINT('Ctrl Pts',(0.478125197854194,0.0883885899692281, 0.0883885899692282)); #166689=CARTESIAN_POINT('Ctrl Pts',(0.475000197854194,0.125000342693517, 0.0517768372449395)); #166690=CARTESIAN_POINT('Ctrl Pts',(0.471875197854194,0.125000342693517, 0.)); #166691=CARTESIAN_POINT('Ctrl Pts',(0.468750197854194,0.125000342693517, -0.0517768372449394)); #166692=CARTESIAN_POINT('Ctrl Pts',(0.465625197854194,0.0883885899692282, -0.0883885899692282)); #166693=CARTESIAN_POINT('Ctrl Pts',(0.462500197854194,0.0517768372449394, -0.125000342693517)); #166694=CARTESIAN_POINT('Ctrl Pts',(0.459375197854194,7.65406347859689E-18, -0.125000342693517)); #166695=CARTESIAN_POINT('Ctrl Pts',(0.456250197854194,-0.0517768372449394, -0.125000342693517)); #166696=CARTESIAN_POINT('Ctrl Pts',(0.453125197854194,-0.0883885899692282, -0.0883885899692282)); #166697=CARTESIAN_POINT('Ctrl Pts',(0.450000197854194,-0.125000342693517, -0.0517768372449394)); #166698=CARTESIAN_POINT('Ctrl Pts',(0.446875197854194,-0.125000342693517, -1.53081269571938E-17)); #166699=CARTESIAN_POINT('Ctrl Pts',(0.443750197854194,-0.125000342693517, 0.0517768372449393)); #166700=CARTESIAN_POINT('Ctrl Pts',(0.440625197854194,-0.0883885899692282, 0.0883885899692282)); #166701=CARTESIAN_POINT('Ctrl Pts',(0.437500197854194,-0.0517768372449394, 0.125000342693517)); #166702=CARTESIAN_POINT('Ctrl Pts',(0.434375197854194,-2.29621904357907E-17, 0.125000342693517)); #166703=CARTESIAN_POINT('Ctrl Pts',(0.431250197854194,0.0517768372449394, 0.125000342693517)); #166704=CARTESIAN_POINT('Ctrl Pts',(0.428125197854194,0.0883885899692281, 0.0883885899692282)); #166705=CARTESIAN_POINT('Ctrl Pts',(0.425000197854194,0.125000342693517, 0.0517768372449395)); #166706=CARTESIAN_POINT('Ctrl Pts',(0.421875197854194,0.125000342693517, 0.)); #166707=CARTESIAN_POINT('Ctrl Pts',(0.418750197854194,0.125000342693517, -0.0517768372449394)); #166708=CARTESIAN_POINT('Ctrl Pts',(0.415625197854194,0.0883885899692282, -0.0883885899692282)); #166709=CARTESIAN_POINT('Ctrl Pts',(0.412500197854194,0.0517768372449394, -0.125000342693517)); #166710=CARTESIAN_POINT('Ctrl Pts',(0.409375197854194,7.65406347859689E-18, -0.125000342693517)); #166711=CARTESIAN_POINT('Ctrl Pts',(0.406250197854194,-0.0517768372449394, -0.125000342693517)); #166712=CARTESIAN_POINT('Ctrl Pts',(0.403125197854194,-0.0883885899692282, -0.0883885899692282)); #166713=CARTESIAN_POINT('Ctrl Pts',(0.400000197854194,-0.125000342693517, -0.0517768372449394)); #166714=CARTESIAN_POINT('Ctrl Pts',(0.396875197854194,-0.125000342693517, -1.53081269571938E-17)); #166715=CARTESIAN_POINT('Ctrl Pts',(0.393750197854194,-0.125000342693517, 0.0517768372449393)); #166716=CARTESIAN_POINT('Ctrl Pts',(0.390625197854194,-0.0883885899692282, 0.0883885899692282)); #166717=CARTESIAN_POINT('Ctrl Pts',(0.387500197854194,-0.0517768372449394, 0.125000342693517)); #166718=CARTESIAN_POINT('Ctrl Pts',(0.384375197854194,-2.29621904357907E-17, 0.125000342693517)); #166719=CARTESIAN_POINT('Ctrl Pts',(0.381250197854194,0.0517768372449394, 0.125000342693517)); #166720=CARTESIAN_POINT('Ctrl Pts',(0.378125197854194,0.0883885899692281, 0.0883885899692282)); #166721=CARTESIAN_POINT('Ctrl Pts',(0.375000197854194,0.125000342693517, 0.0517768372449395)); #166722=CARTESIAN_POINT('Ctrl Pts',(0.371875197854194,0.125000342693517, 0.)); #166723=CARTESIAN_POINT('Ctrl Pts',(0.368750197854194,0.125000342693517, -0.0517768372449394)); #166724=CARTESIAN_POINT('Ctrl Pts',(0.365625197854194,0.0883885899692282, -0.0883885899692282)); #166725=CARTESIAN_POINT('Ctrl Pts',(0.362500197854194,0.0517768372449394, -0.125000342693517)); #166726=CARTESIAN_POINT('Ctrl Pts',(0.359375197854194,7.65406347859689E-18, -0.125000342693517)); #166727=CARTESIAN_POINT('Ctrl Pts',(0.356250197854194,-0.0517768372449394, -0.125000342693517)); #166728=CARTESIAN_POINT('Ctrl Pts',(0.353125197854194,-0.0883885899692282, -0.0883885899692282)); #166729=CARTESIAN_POINT('Ctrl Pts',(0.350000197854194,-0.125000342693517, -0.0517768372449394)); #166730=CARTESIAN_POINT('Ctrl Pts',(0.346875197854194,-0.125000342693517, -1.53081269571938E-17)); #166731=CARTESIAN_POINT('Ctrl Pts',(0.343750197854194,-0.125000342693517, 0.0517768372449393)); #166732=CARTESIAN_POINT('Ctrl Pts',(0.340625197854194,-0.0883885899692282, 0.0883885899692282)); #166733=CARTESIAN_POINT('Ctrl Pts',(0.337500197854194,-0.0517768372449394, 0.125000342693517)); #166734=CARTESIAN_POINT('Ctrl Pts',(0.334375197854194,-2.29621904357907E-17, 0.125000342693517)); #166735=CARTESIAN_POINT('Ctrl Pts',(0.331250197854194,0.0517768372449394, 0.125000342693517)); #166736=CARTESIAN_POINT('Ctrl Pts',(0.328125197854194,0.0883885899692281, 0.0883885899692282)); #166737=CARTESIAN_POINT('Ctrl Pts',(0.325000197854194,0.125000342693517, 0.0517768372449395)); #166738=CARTESIAN_POINT('Ctrl Pts',(0.321875197854194,0.125000342693517, 0.)); #166739=CARTESIAN_POINT('Ctrl Pts',(0.318750197854194,0.125000342693517, -0.0517768372449394)); #166740=CARTESIAN_POINT('Ctrl Pts',(0.315625197854194,0.0883885899692282, -0.0883885899692282)); #166741=CARTESIAN_POINT('Ctrl Pts',(0.312500197854194,0.0517768372449394, -0.125000342693517)); #166742=CARTESIAN_POINT('Ctrl Pts',(0.309375197854194,7.65406347859689E-18, -0.125000342693517)); #166743=CARTESIAN_POINT('Ctrl Pts',(0.306250197854194,-0.0517768372449394, -0.125000342693517)); #166744=CARTESIAN_POINT('Ctrl Pts',(0.303125197854194,-0.0883885899692282, -0.0883885899692282)); #166745=CARTESIAN_POINT('Ctrl Pts',(0.300000197854194,-0.125000342693517, -0.0517768372449394)); #166746=CARTESIAN_POINT('Ctrl Pts',(0.296875197854194,-0.125000342693517, -1.53081269571938E-17)); #166747=CARTESIAN_POINT('Ctrl Pts',(0.293750197854194,-0.125000342693517, 0.0517768372449393)); #166748=CARTESIAN_POINT('Ctrl Pts',(0.290625197854194,-0.0883885899692282, 0.0883885899692282)); #166749=CARTESIAN_POINT('Ctrl Pts',(0.287500197854194,-0.0517768372449394, 0.125000342693517)); #166750=CARTESIAN_POINT('Ctrl Pts',(0.284375197854194,-2.29621904357907E-17, 0.125000342693517)); #166751=CARTESIAN_POINT('Ctrl Pts',(0.281250197854194,0.0517768372449394, 0.125000342693517)); #166752=CARTESIAN_POINT('Ctrl Pts',(0.278125197854194,0.0883885899692281, 0.0883885899692282)); #166753=CARTESIAN_POINT('Ctrl Pts',(0.275000197854194,0.125000342693517, 0.0517768372449395)); #166754=CARTESIAN_POINT('Ctrl Pts',(0.271875197854194,0.125000342693517, 0.)); #166755=CARTESIAN_POINT('Ctrl Pts',(0.268750197854194,0.125000342693517, -0.0517768372449394)); #166756=CARTESIAN_POINT('Ctrl Pts',(0.265625197854194,0.0883885899692282, -0.0883885899692282)); #166757=CARTESIAN_POINT('Ctrl Pts',(0.262500197854194,0.0517768372449394, -0.125000342693517)); #166758=CARTESIAN_POINT('Ctrl Pts',(0.259375197854194,7.65406347859689E-18, -0.125000342693517)); #166759=CARTESIAN_POINT('Ctrl Pts',(0.256250197854194,-0.0517768372449394, -0.125000342693517)); #166760=CARTESIAN_POINT('Ctrl Pts',(0.253125197854194,-0.0883885899692282, -0.0883885899692282)); #166761=CARTESIAN_POINT('Ctrl Pts',(0.250000197854194,-0.125000342693517, -0.0517768372449394)); #166762=CARTESIAN_POINT('Ctrl Pts',(0.246875197854194,-0.125000342693517, -1.53081269571938E-17)); #166763=CARTESIAN_POINT('Ctrl Pts',(0.243750197854194,-0.125000342693517, 0.0517768372449393)); #166764=CARTESIAN_POINT('Ctrl Pts',(0.240625197854194,-0.0883885899692282, 0.0883885899692282)); #166765=CARTESIAN_POINT('Ctrl Pts',(0.237500197854194,-0.0517768372449394, 0.125000342693517)); #166766=CARTESIAN_POINT('Ctrl Pts',(0.234375197854194,-2.29621904357907E-17, 0.125000342693517)); #166767=CARTESIAN_POINT('Ctrl Pts',(0.231250197854194,0.0517768372449394, 0.125000342693517)); #166768=CARTESIAN_POINT('Ctrl Pts',(0.228125197854194,0.0883885899692281, 0.0883885899692282)); #166769=CARTESIAN_POINT('Ctrl Pts',(0.225000197854194,0.125000342693517, 0.0517768372449395)); #166770=CARTESIAN_POINT('Ctrl Pts',(0.221875197854194,0.125000342693517, 0.)); #166771=CARTESIAN_POINT('Ctrl Pts',(0.218750197854194,0.125000342693517, -0.0517768372449394)); #166772=CARTESIAN_POINT('Ctrl Pts',(0.215625197854194,0.0883885899692282, -0.0883885899692282)); #166773=CARTESIAN_POINT('Ctrl Pts',(0.212500197854194,0.0517768372449394, -0.125000342693517)); #166774=CARTESIAN_POINT('Ctrl Pts',(0.209375197854194,7.65406347859689E-18, -0.125000342693517)); #166775=CARTESIAN_POINT('Ctrl Pts',(0.206250197854194,-0.0517768372449394, -0.125000342693517)); #166776=CARTESIAN_POINT('Ctrl Pts',(0.203125197854194,-0.0883885899692282, -0.0883885899692282)); #166777=CARTESIAN_POINT('Ctrl Pts',(0.200000197854194,-0.125000342693517, -0.0517768372449394)); #166778=CARTESIAN_POINT('Ctrl Pts',(0.196875197854194,-0.125000342693517, -1.53081269571938E-17)); #166779=CARTESIAN_POINT('Ctrl Pts',(0.193750197854194,-0.125000342693517, 0.0517768372449393)); #166780=CARTESIAN_POINT('Ctrl Pts',(0.190625197854194,-0.0883885899692282, 0.0883885899692282)); #166781=CARTESIAN_POINT('Ctrl Pts',(0.187500197854194,-0.0517768372449394, 0.125000342693517)); #166782=CARTESIAN_POINT('Ctrl Pts',(0.184375197854194,-2.29621904357907E-17, 0.125000342693517)); #166783=CARTESIAN_POINT('Ctrl Pts',(0.181250197854194,0.0517768372449394, 0.125000342693517)); #166784=CARTESIAN_POINT('Ctrl Pts',(0.178125197854194,0.0883885899692281, 0.0883885899692282)); #166785=CARTESIAN_POINT('Ctrl Pts',(0.175000197854194,0.125000342693517, 0.0517768372449395)); #166786=CARTESIAN_POINT('Ctrl Pts',(0.171875197854194,0.125000342693517, 0.)); #166787=CARTESIAN_POINT('Ctrl Pts',(0.168750197854194,0.125000342693517, -0.0517768372449394)); #166788=CARTESIAN_POINT('Ctrl Pts',(0.165625197854194,0.0883885899692282, -0.0883885899692282)); #166789=CARTESIAN_POINT('Ctrl Pts',(0.162500197854194,0.0517768372449394, -0.125000342693517)); #166790=CARTESIAN_POINT('Ctrl Pts',(0.159375197854194,7.65406347859689E-18, -0.125000342693517)); #166791=CARTESIAN_POINT('Ctrl Pts',(0.156250197854194,-0.0517768372449394, -0.125000342693517)); #166792=CARTESIAN_POINT('Ctrl Pts',(0.153125197854194,-0.0883885899692282, -0.0883885899692282)); #166793=CARTESIAN_POINT('Ctrl Pts',(0.150000197854194,-0.125000342693517, -0.0517768372449394)); #166794=CARTESIAN_POINT('Ctrl Pts',(0.146875197854194,-0.125000342693517, -1.53081269571938E-17)); #166795=CARTESIAN_POINT('Ctrl Pts',(0.143750197854194,-0.125000342693517, 0.0517768372449393)); #166796=CARTESIAN_POINT('Ctrl Pts',(0.140625197854194,-0.0883885899692282, 0.0883885899692282)); #166797=CARTESIAN_POINT('Ctrl Pts',(0.137500197854194,-0.0517768372449394, 0.125000342693517)); #166798=CARTESIAN_POINT('Ctrl Pts',(0.134375197854194,-2.29621904357907E-17, 0.125000342693517)); #166799=CARTESIAN_POINT('Ctrl Pts',(0.131250197854194,0.0517768372449394, 0.125000342693517)); #166800=CARTESIAN_POINT('Ctrl Pts',(0.128125197854194,0.0883885899692281, 0.0883885899692282)); #166801=CARTESIAN_POINT('Ctrl Pts',(0.125000197854194,0.125000342693517, 0.0517768372449395)); #166802=CARTESIAN_POINT('Ctrl Pts',(0.121875197854194,0.125000342693517, 0.)); #166803=CARTESIAN_POINT('Ctrl Pts',(0.118750197854194,0.125000342693517, -0.0517768372449394)); #166804=CARTESIAN_POINT('Ctrl Pts',(0.115625197854194,0.0883885899692282, -0.0883885899692282)); #166805=CARTESIAN_POINT('Ctrl Pts',(0.112500197854194,0.0517768372449394, -0.125000342693517)); #166806=CARTESIAN_POINT('Ctrl Pts',(0.109375197854194,7.65406347859689E-18, -0.125000342693517)); #166807=CARTESIAN_POINT('Ctrl Pts',(0.106250197854194,-0.0517768372449394, -0.125000342693517)); #166808=CARTESIAN_POINT('Ctrl Pts',(0.103125197854194,-0.0883885899692282, -0.0883885899692282)); #166809=CARTESIAN_POINT('Ctrl Pts',(0.100000197854194,-0.125000342693517, -0.0517768372449394)); #166810=CARTESIAN_POINT('Ctrl Pts',(0.0968751978541941,-0.125000342693517, -1.53081269571938E-17)); #166811=CARTESIAN_POINT('Ctrl Pts',(0.0937501978541941,-0.125000342693517, 0.0517768372449393)); #166812=CARTESIAN_POINT('Ctrl Pts',(0.0906251978541942,-0.0883885899692282, 0.0883885899692282)); #166813=CARTESIAN_POINT('Ctrl Pts',(0.0875001978541942,-0.0517768372449394, 0.125000342693517)); #166814=CARTESIAN_POINT('Ctrl Pts',(0.0843751978541941,-2.29621904357907E-17, 0.125000342693517)); #166815=CARTESIAN_POINT('Ctrl Pts',(0.0812501978541941,0.0517768372449394, 0.125000342693517)); #166816=CARTESIAN_POINT('Ctrl Pts',(0.0781251978541942,0.0883885899692281, 0.0883885899692282)); #166817=CARTESIAN_POINT('Ctrl Pts',(0.0750001978541942,0.125000342693517, 0.0517768372449395)); #166818=CARTESIAN_POINT('Ctrl Pts',(0.0718751978541941,0.125000342693517, 0.)); #166819=CARTESIAN_POINT('Ctrl Pts',(0.0687501978541941,0.125000342693517, -0.0517768372449394)); #166820=CARTESIAN_POINT('Ctrl Pts',(0.0656251978541942,0.0883885899692282, -0.0883885899692282)); #166821=CARTESIAN_POINT('Ctrl Pts',(0.0625001978541942,0.0517768372449394, -0.125000342693517)); #166822=CARTESIAN_POINT('Ctrl Pts',(0.0593751978541941,7.65406347859689E-18, -0.125000342693517)); #166823=CARTESIAN_POINT('Ctrl Pts',(0.0562501978541941,-0.0517768372449394, -0.125000342693517)); #166824=CARTESIAN_POINT('Ctrl Pts',(0.0531251978541942,-0.0883885899692282, -0.0883885899692282)); #166825=CARTESIAN_POINT('Ctrl Pts',(0.0500001978541942,-0.125000342693517, -0.0517768372449394)); #166826=CARTESIAN_POINT('Ctrl Pts',(0.0468751978541941,-0.125000342693517, -1.53081269571938E-17)); #166827=CARTESIAN_POINT('Ctrl Pts',(0.0437501978541942,-0.125000342693517, 0.0517768372449393)); #166828=CARTESIAN_POINT('Ctrl Pts',(0.0406251978541942,-0.0883885899692282, 0.0883885899692282)); #166829=CARTESIAN_POINT('Ctrl Pts',(0.0375001978541942,-0.0517768372449394, 0.125000342693517)); #166830=CARTESIAN_POINT('Ctrl Pts',(0.0343751978541941,-2.29621904357907E-17, 0.125000342693517)); #166831=CARTESIAN_POINT('Ctrl Pts',(0.0312501978541941,0.0517768372449394, 0.125000342693517)); #166832=CARTESIAN_POINT('Ctrl Pts',(0.0281251978541942,0.0883885899692281, 0.0883885899692282)); #166833=CARTESIAN_POINT('Ctrl Pts',(0.0250001978541942,0.125000342693517, 0.0517768372449395)); #166834=CARTESIAN_POINT('Ctrl Pts',(0.0218751978541942,0.125000342693517, 0.)); #166835=CARTESIAN_POINT('Ctrl Pts',(0.0187501978541941,0.125000342693517, -0.0517768372449394)); #166836=CARTESIAN_POINT('Ctrl Pts',(0.0156251978541942,0.0883885899692282, -0.0883885899692282)); #166837=CARTESIAN_POINT('Ctrl Pts',(0.0125001978541942,0.0517768372449394, -0.125000342693517)); #166838=CARTESIAN_POINT('Ctrl Pts',(0.00937519785419419,7.65406347859689E-18, -0.125000342693517)); #166839=CARTESIAN_POINT('Ctrl Pts',(0.00625019785419414,-0.0517768372449394, -0.125000342693517)); #166840=CARTESIAN_POINT('Ctrl Pts',(0.00312519785419415,-0.0883885899692282, -0.0883885899692282)); #166841=CARTESIAN_POINT('Ctrl Pts',(1.97854194166588E-7,-0.125000342693517, -0.0517768372449394)); #166842=CARTESIAN_POINT('Ctrl Pts',(-0.00312480214580582,-0.125000342693517, -1.53081269571938E-17)); #166843=CARTESIAN_POINT('Ctrl Pts',(-0.0062498021458058,-0.125000342693517, 0.0517768372449393)); #166844=CARTESIAN_POINT('Ctrl Pts',(-0.00937480214580579,-0.0883885899692282, 0.0883885899692282)); #166845=CARTESIAN_POINT('Ctrl Pts',(-0.0124998021458059,-0.0517768372449394, 0.125000342693517)); #166846=CARTESIAN_POINT('Ctrl Pts',(-0.0156248021458059,-2.29621904357907E-17, 0.125000342693517)); #166847=CARTESIAN_POINT('Ctrl Pts',(-0.0187498021458059,0.0517768372449394, 0.125000342693517)); #166848=CARTESIAN_POINT('Ctrl Pts',(-0.0218748021458059,0.0883885899692281, 0.0883885899692282)); #166849=CARTESIAN_POINT('Ctrl Pts',(-0.0249998021458058,0.125000342693517, 0.0517768372449395)); #166850=CARTESIAN_POINT('Ctrl Pts',(-0.0281248021458058,0.125000342693517, 0.)); #166851=CARTESIAN_POINT('Ctrl Pts',(-0.0312498021458058,0.125000342693517, -0.0517768372449394)); #166852=CARTESIAN_POINT('Ctrl Pts',(-0.0343748021458058,0.0883885899692282, -0.0883885899692282)); #166853=CARTESIAN_POINT('Ctrl Pts',(-0.0374998021458059,0.0517768372449394, -0.125000342693517)); #166854=CARTESIAN_POINT('Ctrl Pts',(-0.0406248021458059,7.65406347859689E-18, -0.125000342693517)); #166855=CARTESIAN_POINT('Ctrl Pts',(-0.0437498021458059,-0.0517768372449394, -0.125000342693517)); #166856=CARTESIAN_POINT('Ctrl Pts',(-0.0468748021458059,-0.0883885899692282, -0.0883885899692282)); #166857=CARTESIAN_POINT('Ctrl Pts',(-0.0499998021458058,-0.125000342693517, -0.0517768372449394)); #166858=CARTESIAN_POINT('Ctrl Pts',(-0.0531248021458058,-0.125000342693517, -1.53081269571938E-17)); #166859=CARTESIAN_POINT('Ctrl Pts',(-0.0562498021458058,-0.125000342693517, 0.0517768372449393)); #166860=CARTESIAN_POINT('Ctrl Pts',(-0.0593748021458058,-0.0883885899692282, 0.0883885899692282)); #166861=CARTESIAN_POINT('Ctrl Pts',(-0.0624998021458059,-0.0517768372449394, 0.125000342693517)); #166862=CARTESIAN_POINT('Ctrl Pts',(-0.0656248021458059,-2.29621904357907E-17, 0.125000342693517)); #166863=CARTESIAN_POINT('Ctrl Pts',(-0.0687498021458059,0.0517768372449394, 0.125000342693517)); #166864=CARTESIAN_POINT('Ctrl Pts',(-0.0718748021458059,0.0883885899692281, 0.0883885899692282)); #166865=CARTESIAN_POINT('Ctrl Pts',(-0.0749998021458059,0.125000342693517, 0.0517768372449395)); #166866=CARTESIAN_POINT('Ctrl Pts',(-0.0781248021458058,0.125000342693517, 0.)); #166867=CARTESIAN_POINT('Ctrl Pts',(-0.0812498021458058,0.125000342693517, -0.0517768372449394)); #166868=CARTESIAN_POINT('Ctrl Pts',(-0.0843748021458058,0.0883885899692282, -0.0883885899692282)); #166869=CARTESIAN_POINT('Ctrl Pts',(-0.0874998021458058,0.0517768372449394, -0.125000342693517)); #166870=CARTESIAN_POINT('Ctrl Pts',(-0.0906248021458059,7.65406347859689E-18, -0.125000342693517)); #166871=CARTESIAN_POINT('Ctrl Pts',(-0.0937498021458059,-0.0517768372449394, -0.125000342693517)); #166872=CARTESIAN_POINT('Ctrl Pts',(-0.0968748021458059,-0.0883885899692282, -0.0883885899692282)); #166873=CARTESIAN_POINT('Ctrl Pts',(-0.0999998021458059,-0.125000342693517, -0.0517768372449394)); #166874=CARTESIAN_POINT('Ctrl Pts',(-0.103124802145806,-0.125000342693517, -1.53081269571938E-17)); #166875=CARTESIAN_POINT('Ctrl Pts',(-0.106249802145806,-0.125000342693517, 0.0517768372449393)); #166876=CARTESIAN_POINT('Ctrl Pts',(-0.109374802145806,-0.0883885899692282, 0.0883885899692282)); #166877=CARTESIAN_POINT('Ctrl Pts',(-0.112499802145806,-0.0517768372449394, 0.125000342693517)); #166878=CARTESIAN_POINT('Ctrl Pts',(-0.115624802145806,-2.29621904357907E-17, 0.125000342693517)); #166879=CARTESIAN_POINT('Ctrl Pts',(-0.118749802145806,0.0517768372449394, 0.125000342693517)); #166880=CARTESIAN_POINT('Ctrl Pts',(-0.121874802145806,0.0883885899692281, 0.0883885899692282)); #166881=CARTESIAN_POINT('Ctrl Pts',(-0.124999802145806,0.125000342693517, 0.0517768372449395)); #166882=CARTESIAN_POINT('Ctrl Pts',(-0.128124802145806,0.125000342693517, 0.)); #166883=CARTESIAN_POINT('Ctrl Pts',(-0.131249802145806,0.125000342693517, -0.0517768372449394)); #166884=CARTESIAN_POINT('Ctrl Pts',(-0.134374802145806,0.0883885899692282, -0.0883885899692282)); #166885=CARTESIAN_POINT('Ctrl Pts',(-0.137499802145806,0.0517768372449394, -0.125000342693517)); #166886=CARTESIAN_POINT('Ctrl Pts',(-0.140624802145806,7.65406347859689E-18, -0.125000342693517)); #166887=CARTESIAN_POINT('Ctrl Pts',(-0.143749802145806,-0.0517768372449394, -0.125000342693517)); #166888=CARTESIAN_POINT('Ctrl Pts',(-0.146874802145806,-0.0883885899692282, -0.0883885899692282)); #166889=CARTESIAN_POINT('Ctrl Pts',(-0.149999802145806,-0.125000342693517, -0.0517768372449394)); #166890=CARTESIAN_POINT('Ctrl Pts',(-0.153124802145806,-0.125000342693517, -1.53081269571938E-17)); #166891=CARTESIAN_POINT('Ctrl Pts',(-0.156249802145806,-0.125000342693517, 0.0517768372449393)); #166892=CARTESIAN_POINT('Ctrl Pts',(-0.159374802145806,-0.0883885899692282, 0.0883885899692282)); #166893=CARTESIAN_POINT('Ctrl Pts',(-0.162499802145806,-0.0517768372449394, 0.125000342693517)); #166894=CARTESIAN_POINT('Ctrl Pts',(-0.165624802145806,-2.29621904357907E-17, 0.125000342693517)); #166895=CARTESIAN_POINT('Ctrl Pts',(-0.168749802145806,0.0517768372449394, 0.125000342693517)); #166896=CARTESIAN_POINT('Ctrl Pts',(-0.171874802145806,0.0883885899692281, 0.0883885899692282)); #166897=CARTESIAN_POINT('Ctrl Pts',(-0.174999802145806,0.125000342693517, 0.0517768372449395)); #166898=CARTESIAN_POINT('Ctrl Pts',(-0.178124802145806,0.125000342693517, 0.)); #166899=CARTESIAN_POINT('Ctrl Pts',(-0.181249802145806,0.125000342693517, -0.0517768372449394)); #166900=CARTESIAN_POINT('Ctrl Pts',(-0.184374802145806,0.0883885899692282, -0.0883885899692282)); #166901=CARTESIAN_POINT('Ctrl Pts',(-0.187499802145806,0.0517768372449394, -0.125000342693517)); #166902=CARTESIAN_POINT('Ctrl Pts',(-0.190624802145806,7.65406347859689E-18, -0.125000342693517)); #166903=CARTESIAN_POINT('Ctrl Pts',(-0.193749802145806,-0.0517768372449394, -0.125000342693517)); #166904=CARTESIAN_POINT('Ctrl Pts',(-0.196874802145806,-0.0883885899692282, -0.0883885899692282)); #166905=CARTESIAN_POINT('Ctrl Pts',(-0.199999802145806,-0.125000342693517, -0.0517768372449394)); #166906=CARTESIAN_POINT('Ctrl Pts',(-0.203124802145806,-0.125000342693517, -1.53081269571938E-17)); #166907=CARTESIAN_POINT('Ctrl Pts',(-0.206249802145806,-0.125000342693517, 0.0517768372449393)); #166908=CARTESIAN_POINT('Ctrl Pts',(-0.209374802145806,-0.0883885899692282, 0.0883885899692282)); #166909=CARTESIAN_POINT('Ctrl Pts',(-0.212499802145806,-0.0517768372449394, 0.125000342693517)); #166910=CARTESIAN_POINT('Ctrl Pts',(-0.215624802145806,-2.29621904357907E-17, 0.125000342693517)); #166911=CARTESIAN_POINT('Ctrl Pts',(-0.218749802145806,0.0517768372449394, 0.125000342693517)); #166912=CARTESIAN_POINT('Ctrl Pts',(-0.221874802145806,0.0883885899692281, 0.0883885899692282)); #166913=CARTESIAN_POINT('Ctrl Pts',(-0.224999802145806,0.125000342693517, 0.0517768372449395)); #166914=CARTESIAN_POINT('Ctrl Pts',(-0.228124802145806,0.125000342693517, 0.)); #166915=CARTESIAN_POINT('Ctrl Pts',(-0.231249802145806,0.125000342693517, -0.0517768372449394)); #166916=CARTESIAN_POINT('Ctrl Pts',(-0.234374802145806,0.0883885899692282, -0.0883885899692282)); #166917=CARTESIAN_POINT('Ctrl Pts',(-0.237499802145806,0.0517768372449394, -0.125000342693517)); #166918=CARTESIAN_POINT('Ctrl Pts',(-0.240624802145806,7.65406347859689E-18, -0.125000342693517)); #166919=CARTESIAN_POINT('Ctrl Pts',(-0.243749802145806,-0.0517768372449394, -0.125000342693517)); #166920=CARTESIAN_POINT('Ctrl Pts',(-0.246874802145806,-0.0883885899692282, -0.0883885899692282)); #166921=CARTESIAN_POINT('Ctrl Pts',(-0.249999802145806,-0.125000342693517, -0.0517768372449394)); #166922=CARTESIAN_POINT('Ctrl Pts',(-0.253124802145806,-0.125000342693517, -1.53081269571938E-17)); #166923=CARTESIAN_POINT('Ctrl Pts',(-0.256249802145806,-0.125000342693517, 0.0517768372449393)); #166924=CARTESIAN_POINT('Ctrl Pts',(-0.259374802145806,-0.0883885899692282, 0.0883885899692282)); #166925=CARTESIAN_POINT('Ctrl Pts',(-0.262499802145806,-0.0517768372449394, 0.125000342693517)); #166926=CARTESIAN_POINT('Ctrl Pts',(-0.265624802145806,-2.29621904357907E-17, 0.125000342693517)); #166927=CARTESIAN_POINT('Ctrl Pts',(-0.268749802145806,0.0517768372449394, 0.125000342693517)); #166928=CARTESIAN_POINT('Ctrl Pts',(-0.271874802145806,0.0883885899692281, 0.0883885899692282)); #166929=CARTESIAN_POINT('Ctrl Pts',(-0.274999802145806,0.125000342693517, 0.0517768372449395)); #166930=CARTESIAN_POINT('Ctrl Pts',(-0.278124802145806,0.125000342693517, 0.)); #166931=CARTESIAN_POINT('Ctrl Pts',(-0.281249802145806,0.125000342693517, -0.0517768372449394)); #166932=CARTESIAN_POINT('Ctrl Pts',(-0.284374802145806,0.0883885899692282, -0.0883885899692282)); #166933=CARTESIAN_POINT('Ctrl Pts',(-0.287499802145806,0.0517768372449394, -0.125000342693517)); #166934=CARTESIAN_POINT('Ctrl Pts',(-0.290624802145806,7.65406347859689E-18, -0.125000342693517)); #166935=CARTESIAN_POINT('Ctrl Pts',(-0.293749802145806,-0.0517768372449394, -0.125000342693517)); #166936=CARTESIAN_POINT('Ctrl Pts',(-0.296874802145806,-0.0883885899692282, -0.0883885899692282)); #166937=CARTESIAN_POINT('Ctrl Pts',(-0.299999802145806,-0.125000342693517, -0.0517768372449394)); #166938=CARTESIAN_POINT('Ctrl Pts',(-0.303124802145806,-0.125000342693517, -1.53081269571938E-17)); #166939=CARTESIAN_POINT('Ctrl Pts',(-0.306249802145806,-0.125000342693517, 0.0517768372449393)); #166940=CARTESIAN_POINT('Ctrl Pts',(-0.309374802145806,-0.0883885899692282, 0.0883885899692282)); #166941=CARTESIAN_POINT('Ctrl Pts',(-0.312499802145806,-0.0517768372449394, 0.125000342693517)); #166942=CARTESIAN_POINT('Ctrl Pts',(-0.315624802145806,-2.29621904357907E-17, 0.125000342693517)); #166943=CARTESIAN_POINT('Ctrl Pts',(-0.318749802145806,0.0517768372449394, 0.125000342693517)); #166944=CARTESIAN_POINT('Ctrl Pts',(-0.321874802145806,0.0883885899692281, 0.0883885899692282)); #166945=CARTESIAN_POINT('Ctrl Pts',(-0.324999802145806,0.125000342693517, 0.0517768372449395)); #166946=CARTESIAN_POINT('Ctrl Pts',(-0.328124802145806,0.125000342693517, 0.)); #166947=CARTESIAN_POINT('Ctrl Pts',(-0.331249802145806,0.125000342693517, -0.0517768372449394)); #166948=CARTESIAN_POINT('Ctrl Pts',(-0.334374802145806,0.0883885899692282, -0.0883885899692282)); #166949=CARTESIAN_POINT('Ctrl Pts',(-0.337499802145806,0.0517768372449394, -0.125000342693517)); #166950=CARTESIAN_POINT('Ctrl Pts',(-0.340624802145806,7.65406347859689E-18, -0.125000342693517)); #166951=CARTESIAN_POINT('Ctrl Pts',(-0.343749802145806,-0.0517768372449394, -0.125000342693517)); #166952=CARTESIAN_POINT('Ctrl Pts',(-0.346874802145806,-0.0883885899692282, -0.0883885899692282)); #166953=CARTESIAN_POINT('Ctrl Pts',(-0.349999802145806,-0.125000342693517, -0.0517768372449394)); #166954=CARTESIAN_POINT('Ctrl Pts',(-0.353124802145806,-0.125000342693517, -1.53081269571938E-17)); #166955=CARTESIAN_POINT('Ctrl Pts',(-0.356249802145806,-0.125000342693517, 0.0517768372449393)); #166956=CARTESIAN_POINT('Ctrl Pts',(-0.359374802145806,-0.0883885899692282, 0.0883885899692282)); #166957=CARTESIAN_POINT('Ctrl Pts',(-0.362499802145806,-0.0517768372449394, 0.125000342693517)); #166958=CARTESIAN_POINT('Ctrl Pts',(-0.365624802145806,-2.29621904357907E-17, 0.125000342693517)); #166959=CARTESIAN_POINT('Ctrl Pts',(-0.368749802145806,0.0517768372449394, 0.125000342693517)); #166960=CARTESIAN_POINT('Ctrl Pts',(-0.371874802145806,0.0883885899692281, 0.0883885899692282)); #166961=CARTESIAN_POINT('Ctrl Pts',(-0.374999802145806,0.125000342693517, 0.0517768372449395)); #166962=CARTESIAN_POINT('Ctrl Pts',(-0.378124802145806,0.125000342693517, 0.)); #166963=CARTESIAN_POINT('Ctrl Pts',(-0.381249802145806,0.125000342693517, -0.0517768372449394)); #166964=CARTESIAN_POINT('Ctrl Pts',(-0.384374802145806,0.0883885899692282, -0.0883885899692282)); #166965=CARTESIAN_POINT('Ctrl Pts',(-0.387499802145806,0.0517768372449394, -0.125000342693517)); #166966=CARTESIAN_POINT('Ctrl Pts',(-0.390624802145806,7.65406347859689E-18, -0.125000342693517)); #166967=CARTESIAN_POINT('Ctrl Pts',(-0.393749802145806,-0.0517768372449394, -0.125000342693517)); #166968=CARTESIAN_POINT('Ctrl Pts',(-0.396874802145806,-0.0883885899692282, -0.0883885899692282)); #166969=CARTESIAN_POINT('Ctrl Pts',(-0.399999802145806,-0.125000342693517, -0.0517768372449394)); #166970=CARTESIAN_POINT('Ctrl Pts',(-0.403124802145806,-0.125000342693517, -1.53081269571938E-17)); #166971=CARTESIAN_POINT('Ctrl Pts',(-0.406249802145806,-0.125000342693517, 0.0517768372449393)); #166972=CARTESIAN_POINT('Ctrl Pts',(-0.409374802145806,-0.0883885899692282, 0.0883885899692282)); #166973=CARTESIAN_POINT('Ctrl Pts',(-0.412499802145806,-0.0517768372449394, 0.125000342693517)); #166974=CARTESIAN_POINT('Ctrl Pts',(-0.415624802145806,-2.29621904357907E-17, 0.125000342693517)); #166975=CARTESIAN_POINT('Ctrl Pts',(-0.418749802145806,0.0517768372449394, 0.125000342693517)); #166976=CARTESIAN_POINT('Ctrl Pts',(-0.421874802145806,0.0883885899692281, 0.0883885899692282)); #166977=CARTESIAN_POINT('Ctrl Pts',(-0.424999802145806,0.125000342693517, 0.0517768372449395)); #166978=CARTESIAN_POINT('Ctrl Pts',(-0.428124802145806,0.125000342693517, 0.)); #166979=CARTESIAN_POINT('Origin',(0.57812623422341,0.00353990901991957, 0.013569219867809)); #166980=CARTESIAN_POINT('Ctrl Pts',(0.547524999999999,-0.124551141271081, -0.0105836292485788)); #166981=CARTESIAN_POINT('Ctrl Pts',(0.547893768055311,-0.123392600927619, -0.0198622531276168)); #166982=CARTESIAN_POINT('Ctrl Pts',(0.54827610255788,-0.121182999837974, -0.0289782212027234)); #166983=CARTESIAN_POINT('Ctrl Pts',(0.549043575845332,-0.114835489754299, -0.0462923018950203)); #166984=CARTESIAN_POINT('Ctrl Pts',(0.549425990194564,-0.110772097006612, -0.0544555942243828)); #166985=CARTESIAN_POINT('Ctrl Pts',(0.550407744159129,-0.0980365166186782, -0.0742237542898386)); #166986=CARTESIAN_POINT('Ctrl Pts',(0.551022364389819,-0.0872870292234476, -0.0862368105274196)); #166987=CARTESIAN_POINT('Ctrl Pts',(0.552380706749752,-0.0603933656182839, -0.105037405662011)); #166988=CARTESIAN_POINT('Ctrl Pts',(0.553062598098157,-0.0458944215510718, -0.111402236155067)); #166989=CARTESIAN_POINT('Ctrl Pts',(0.554157549766368,-0.0211219549287936, -0.116868964755841)); #166990=CARTESIAN_POINT('Ctrl Pts',(0.554597799175098,-0.0108031088432118, -0.117927200824903)); #166991=CARTESIAN_POINT('Ctrl Pts',(0.555037598965164,2.340879568051E-13, -0.117487401034836)); #166992=CARTESIAN_POINT('Origin',(-0.476001587736527,0.,0.)); #166993=CARTESIAN_POINT('',(-0.447855762113534,0.0870344759276466,0.245)); #166994=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-1.599058174029E-16,0.245)); #166995=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.0422246467371752,0.245)); #166996=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,0.0870344759276466, 0.245)); #166997=CARTESIAN_POINT('',(-0.447855762113534,0.111698493265247,0.23478382951616)); #166998=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,0.111698493265247, 0.23478382951616)); #166999=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.143383827349641,0.203098495431767)); #167000=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.173241161390704,0.173241161390704)); #167001=CARTESIAN_POINT('Origin',(-0.447855762113534,0.,0.)); #167002=CARTESIAN_POINT('Origin',(-0.476001587736527,0.,0.)); #167003=CARTESIAN_POINT('',(-0.447855762113534,-0.23478382951616,0.111698493265247)); #167004=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,-0.23478382951616, 0.111698493265247)); #167005=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.203098495431767,0.143383827349641)); #167006=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.173241161390704,0.173241161390704)); #167007=CARTESIAN_POINT('',(-0.447855762113534,-0.245,0.0870344759276467)); #167008=CARTESIAN_POINT('Origin',(-0.447855762113534,0.,0.)); #167009=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.245,-1.066038782686E-16)); #167010=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.245,0.0422246467371752)); #167011=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,-0.245,0.0870344759276467)); #167012=CARTESIAN_POINT('Origin',(-0.476001587736527,0.,0.)); #167013=CARTESIAN_POINT('',(-0.447855762113534,-0.245,-0.0870344759276469)); #167014=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,-0.245,-0.0870344759276469)); #167015=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.245,-0.0422246467371754)); #167016=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.245,-1.066038782686E-16)); #167017=CARTESIAN_POINT('',(-0.447855762113534,-0.23478382951616,-0.111698493265248)); #167018=CARTESIAN_POINT('Origin',(-0.447855762113534,0.,0.)); #167019=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.173241161390704,-0.173241161390704)); #167020=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.203098495431767,-0.143383827349641)); #167021=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,-0.23478382951616, -0.111698493265248)); #167022=CARTESIAN_POINT('Origin',(-0.476001587736527,0.,0.)); #167023=CARTESIAN_POINT('',(-0.447855762113534,-0.111698493265247,-0.23478382951616)); #167024=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,-0.111698493265247, -0.23478382951616)); #167025=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.143383827349641,-0.203098495431767)); #167026=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.173241161390704,-0.173241161390704)); #167027=CARTESIAN_POINT('',(-0.447855762113534,-0.0870344759276467,-0.245)); #167028=CARTESIAN_POINT('Origin',(-0.447855762113534,0.,0.)); #167029=CARTESIAN_POINT('Ctrl Pts',(-0.421875,2.132077565372E-16,-0.245)); #167030=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.0422246467371752,-0.245)); #167031=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,-0.0870344759276467, -0.245)); #167032=CARTESIAN_POINT('Origin',(-0.476001587736527,0.,0.)); #167033=CARTESIAN_POINT('',(-0.447855762113534,0.0870344759276472,-0.245)); #167034=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,0.0870344759276472, -0.245)); #167035=CARTESIAN_POINT('Ctrl Pts',(-0.421875000000001,0.0422246467371757, -0.245)); #167036=CARTESIAN_POINT('Ctrl Pts',(-0.421875,2.132077565372E-16,-0.245)); #167037=CARTESIAN_POINT('',(-0.447855762113534,0.111698493265248,-0.23478382951616)); #167038=CARTESIAN_POINT('Origin',(-0.447855762113534,0.,0.)); #167039=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.173241161390704,-0.173241161390704)); #167040=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.143383827349641,-0.203098495431767)); #167041=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,0.111698493265248, -0.23478382951616)); #167042=CARTESIAN_POINT('Origin',(-0.476001587736527,0.,0.)); #167043=CARTESIAN_POINT('',(-0.447855762113534,0.23478382951616,-0.111698493265247)); #167044=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,0.23478382951616, -0.111698493265247)); #167045=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.203098495431767,-0.143383827349641)); #167046=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.173241161390704,-0.173241161390704)); #167047=CARTESIAN_POINT('',(-0.447855762113534,0.245,-0.0870344759276468)); #167048=CARTESIAN_POINT('Origin',(-0.447855762113534,0.,0.)); #167049=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.245,1.066038782686E-16)); #167050=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.245,-0.0422246467371753)); #167051=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,0.245,-0.0870344759276468)); #167052=CARTESIAN_POINT('Origin',(-0.476001587736527,0.,0.)); #167053=CARTESIAN_POINT('',(-0.447855762113534,0.245,0.0870344759276471)); #167054=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,0.245,0.0870344759276471)); #167055=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.245,0.0422246467371755)); #167056=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.245,1.066038782686E-16)); #167057=CARTESIAN_POINT('',(-0.447855762113534,0.23478382951616,0.111698493265248)); #167058=CARTESIAN_POINT('Origin',(-0.447855762113534,0.,0.)); #167059=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.173241161390704,0.173241161390704)); #167060=CARTESIAN_POINT('Ctrl Pts',(-0.421875,0.203098495431767,0.143383827349641)); #167061=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,0.23478382951616, 0.111698493265248)); #167062=CARTESIAN_POINT('Origin',(-0.476001587736527,0.,0.)); #167063=CARTESIAN_POINT('',(-0.447855762113534,-0.111698493265248,0.23478382951616)); #167064=CARTESIAN_POINT('',(-0.447855762113534,-0.0870344759276469,0.245)); #167065=CARTESIAN_POINT('Origin',(-0.447855762113534,0.,0.)); #167066=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.173241161390704,0.173241161390704)); #167067=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.143383827349641,0.203098495431767)); #167068=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,-0.111698493265248, 0.23478382951616)); #167069=CARTESIAN_POINT('Ctrl Pts',(-0.447855762113534,-0.0870344759276469, 0.245)); #167070=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.0422246467371754,0.245)); #167071=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-1.599058174029E-16,0.245)); #167072=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167073=CARTESIAN_POINT('',(-0.590894237886466,0.0870344759276472,-0.245)); #167074=CARTESIAN_POINT('',(-0.616875,0.0870344759276472,-0.245)); #167075=CARTESIAN_POINT('',(-0.590894237886466,0.111698493265248,-0.23478382951616)); #167076=CARTESIAN_POINT('Origin',(-0.590894237886466,0.,0.)); #167077=CARTESIAN_POINT('',(-0.616875,0.111698493265248,-0.23478382951616)); #167078=CARTESIAN_POINT('Origin',(-0.421875,0.101482322781408,-0.245)); #167079=CARTESIAN_POINT('',(-0.590894237886466,-0.0870344759276467,-0.245)); #167080=CARTESIAN_POINT('',(-0.616875,-0.0870344759276467,-0.245)); #167081=CARTESIAN_POINT('',(-0.616875,2.132077565372E-16,-0.245)); #167082=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,-0.0870344759276467, -0.245)); #167083=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.0422246467371752,-0.245)); #167084=CARTESIAN_POINT('Ctrl Pts',(-0.616875,2.132077565372E-16,-0.245)); #167085=CARTESIAN_POINT('Ctrl Pts',(-0.616875,2.132077565372E-16,-0.245)); #167086=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.0422246467371757,-0.245)); #167087=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,0.0870344759276472, -0.245)); #167088=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167089=CARTESIAN_POINT('',(-0.590894237886466,-0.111698493265247,-0.23478382951616)); #167090=CARTESIAN_POINT('',(-0.616875,-0.111698493265247,-0.23478382951616)); #167091=CARTESIAN_POINT('Origin',(-0.590894237886466,0.,0.)); #167092=CARTESIAN_POINT('Origin',(-0.421875,-0.101482322781408,-0.245)); #167093=CARTESIAN_POINT('',(-0.590894237886466,-0.23478382951616,-0.111698493265248)); #167094=CARTESIAN_POINT('',(-0.616875,-0.23478382951616,-0.111698493265248)); #167095=CARTESIAN_POINT('',(-0.616875,-0.173241161390704,-0.173241161390704)); #167096=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,-0.23478382951616, -0.111698493265248)); #167097=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.203098495431767,-0.143383827349641)); #167098=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.173241161390704,-0.173241161390704)); #167099=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.173241161390704,-0.173241161390704)); #167100=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.143383827349641,-0.203098495431767)); #167101=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,-0.111698493265247, -0.23478382951616)); #167102=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167103=CARTESIAN_POINT('',(-0.590894237886466,-0.245,-0.0870344759276469)); #167104=CARTESIAN_POINT('',(-0.616875,-0.245,-0.0870344759276469)); #167105=CARTESIAN_POINT('Origin',(-0.590894237886466,0.,0.)); #167106=CARTESIAN_POINT('Origin',(-0.421875,-0.245,-0.101482322781408)); #167107=CARTESIAN_POINT('',(-0.590894237886466,-0.245,0.0870344759276467)); #167108=CARTESIAN_POINT('',(-0.616875,-0.245,0.0870344759276467)); #167109=CARTESIAN_POINT('',(-0.616875,-0.245,-1.066038782686E-16)); #167110=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,-0.245,0.0870344759276467)); #167111=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.245,0.0422246467371752)); #167112=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.245,-1.066038782686E-16)); #167113=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.245,-1.066038782686E-16)); #167114=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.245,-0.0422246467371755)); #167115=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,-0.245,-0.0870344759276469)); #167116=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167117=CARTESIAN_POINT('',(-0.590894237886466,-0.23478382951616,0.111698493265247)); #167118=CARTESIAN_POINT('',(-0.616875,-0.23478382951616,0.111698493265247)); #167119=CARTESIAN_POINT('Origin',(-0.590894237886466,0.,0.)); #167120=CARTESIAN_POINT('Origin',(-0.421875,-0.245,0.101482322781408)); #167121=CARTESIAN_POINT('',(-0.590894237886466,-0.111698493265248,0.23478382951616)); #167122=CARTESIAN_POINT('',(-0.616875,-0.111698493265248,0.23478382951616)); #167123=CARTESIAN_POINT('',(-0.616875,-0.173241161390704,0.173241161390704)); #167124=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,-0.111698493265248, 0.23478382951616)); #167125=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.143383827349641,0.203098495431767)); #167126=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.173241161390704,0.173241161390704)); #167127=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.173241161390704,0.173241161390704)); #167128=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.203098495431767,0.143383827349641)); #167129=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,-0.23478382951616, 0.111698493265247)); #167130=CARTESIAN_POINT('Origin',(-0.562748412263472,0.,0.)); #167131=CARTESIAN_POINT('',(-0.616875,0.245,1.066038782686E-16)); #167132=CARTESIAN_POINT('',(-0.590894237886466,0.245,0.0870344759276471)); #167133=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.245,1.066038782686E-16)); #167134=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.245,0.0422246467371756)); #167135=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,0.245,0.0870344759276471)); #167136=CARTESIAN_POINT('',(-0.616875,0.173241161390704,0.173241161390704)); #167137=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167138=CARTESIAN_POINT('',(-0.590894237886466,0.23478382951616,0.111698493265248)); #167139=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,0.23478382951616, 0.111698493265248)); #167140=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.203098495431767,0.143383827349641)); #167141=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.173241161390704,0.173241161390704)); #167142=CARTESIAN_POINT('Origin',(-0.590894237886466,0.,0.)); #167143=CARTESIAN_POINT('Origin',(-0.562748412263472,0.,0.)); #167144=CARTESIAN_POINT('',(-0.616875,0.173241161390704,-0.173241161390704)); #167145=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167146=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,0.111698493265248, -0.23478382951616)); #167147=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.143383827349641,-0.203098495431767)); #167148=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.173241161390704,-0.173241161390704)); #167149=CARTESIAN_POINT('Origin',(-0.562748412263472,0.,0.)); #167150=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167151=CARTESIAN_POINT('Origin',(-0.562748412263472,0.,0.)); #167152=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167153=CARTESIAN_POINT('Origin',(-0.562748412263472,0.,0.)); #167154=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167155=CARTESIAN_POINT('Origin',(-0.562748412263472,0.,0.)); #167156=CARTESIAN_POINT('',(-0.616875,-1.55060186572509E-16,0.245)); #167157=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167158=CARTESIAN_POINT('',(-0.590894237886466,-0.0870344759276469,0.245)); #167159=CARTESIAN_POINT('Origin',(-0.590894237886466,0.,0.)); #167160=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-1.599058174029E-16,0.245)); #167161=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-0.0422246467371756,0.245)); #167162=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,-0.0870344759276469, 0.245)); #167163=CARTESIAN_POINT('Origin',(-0.562748412263472,0.,0.)); #167164=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167165=CARTESIAN_POINT('',(-0.590894237886466,0.0870344759276466,0.245)); #167166=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,0.0870344759276466, 0.245)); #167167=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.0422246467371751,0.245)); #167168=CARTESIAN_POINT('Ctrl Pts',(-0.616875,-1.599058174029E-16,0.245)); #167169=CARTESIAN_POINT('',(-0.590894237886466,0.111698493265247,0.23478382951616)); #167170=CARTESIAN_POINT('Origin',(-0.590894237886466,0.,0.)); #167171=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.173241161390704,0.173241161390704)); #167172=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.143383827349641,0.203098495431767)); #167173=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,0.111698493265247, 0.23478382951616)); #167174=CARTESIAN_POINT('Origin',(-0.562748412263472,0.,0.)); #167175=CARTESIAN_POINT('',(-0.590894237886466,0.23478382951616,-0.111698493265247)); #167176=CARTESIAN_POINT('',(-0.590894237886466,0.245,-0.0870344759276468)); #167177=CARTESIAN_POINT('Origin',(-0.590894237886466,0.,0.)); #167178=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.173241161390704,-0.173241161390704)); #167179=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.203098495431767,-0.143383827349641)); #167180=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,0.23478382951616, -0.111698493265247)); #167181=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167182=CARTESIAN_POINT('Ctrl Pts',(-0.590894237886466,0.245,-0.0870344759276468)); #167183=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.245,-0.0422246467371753)); #167184=CARTESIAN_POINT('Ctrl Pts',(-0.616875,0.245,1.066038782686E-16)); #167185=CARTESIAN_POINT('Origin',(-0.421875,0.245,-0.101482322781408)); #167186=CARTESIAN_POINT('',(-0.616875,0.23478382951616,-0.111698493265247)); #167187=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167188=CARTESIAN_POINT('',(-0.616875,0.245,0.0870344759276471)); #167189=CARTESIAN_POINT('',(-0.616875,0.23478382951616,0.111698493265248)); #167190=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167191=CARTESIAN_POINT('',(-0.616875,0.111698493265247,0.23478382951616)); #167192=CARTESIAN_POINT('',(-0.616875,0.0870344759276466,0.245)); #167193=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167194=CARTESIAN_POINT('',(-0.616875,-0.087034475927647,0.245)); #167195=CARTESIAN_POINT('Origin',(-0.616875,0.,0.)); #167196=CARTESIAN_POINT('',(-0.616875,0.245,-0.0870344759276468)); #167197=CARTESIAN_POINT('Origin',(-0.421875,0.245,0.101482322781408)); #167198=CARTESIAN_POINT('Origin',(-0.421875,0.101482322781408,0.245)); #167199=CARTESIAN_POINT('Origin',(-0.421875,-0.101482322781408,0.245)); #167200=CARTESIAN_POINT('Origin',(-0.616875,-2.18547839493141E-17,0.)); #167201=CARTESIAN_POINT('Origin',(0.578125,0.,0.)); #167202=CARTESIAN_POINT('',(0.578125,-0.0567856886634612,-0.0754105136106133)); #167203=CARTESIAN_POINT('Origin',(0.578125,0.,0.)); #167204=CARTESIAN_POINT('Ctrl Pts',(0.578125,-0.0567856886634612,-0.0754105136106133)); #167205=CARTESIAN_POINT('Ctrl Pts',(0.576237938302509,-0.0655277512347531, -0.0711898101043333)); #167206=CARTESIAN_POINT('Ctrl Pts',(0.574696209183937,-0.0726232379002059, -0.0659650395310399)); #167207=CARTESIAN_POINT('Ctrl Pts',(0.571693883562472,-0.0848191404221465, -0.0551918376421189)); #167208=CARTESIAN_POINT('Ctrl Pts',(0.570074779360379,-0.0905924489337397, -0.0486073240736125)); #167209=CARTESIAN_POINT('Ctrl Pts',(0.566157645968812,-0.102574701471126, -0.0308075841016102)); #167210=CARTESIAN_POINT('Ctrl Pts',(0.563922126811628,-0.10766739520579, -0.0190035760072252)); #167211=CARTESIAN_POINT('Ctrl Pts',(0.560559553492496,-0.112229805777221, 0.00114792215396975)); #167212=CARTESIAN_POINT('Ctrl Pts',(0.559451079044329,-0.112913651002052, 0.00827034277741628)); #167213=CARTESIAN_POINT('Ctrl Pts',(0.556690969180145,-0.113575914758018, 0.0253767668644482)); #167214=CARTESIAN_POINT('Ctrl Pts',(0.554839801444819,-0.112365577665837, 0.0367404606612069)); #167215=CARTESIAN_POINT('Ctrl Pts',(0.551117348782163,-0.106574914023704, 0.0593364729993303)); #167216=CARTESIAN_POINT('Ctrl Pts',(0.549270301469239,-0.101859433023772, 0.0704043374881845)); #167217=CARTESIAN_POINT('Ctrl Pts',(0.547525,-0.095554712608548,0.0805872005860593)); #167218=CARTESIAN_POINT('Origin',(0.578125,0.,0.)); #167219=CARTESIAN_POINT('',(0.578125,-0.0654243813097261,-0.0654243813097261)); #167220=CARTESIAN_POINT('Origin',(0.578125000000001,0.,1.82323843380712E-16)); #167221=CARTESIAN_POINT('Origin',(0.57811009578191,0.0121157238441316,-0.00707644497728275)); #167222=CARTESIAN_POINT('Origin',(0.6,4.37095678986282E-17,-3.56933141419356E-18)); #167223=CARTESIAN_POINT('Ctrl Pts',(0.578125,-0.0654243813097261,-0.0654243813097261)); #167224=CARTESIAN_POINT('Ctrl Pts',(0.575,-0.0925240473580835,-0.0383247152613687)); #167225=CARTESIAN_POINT('Ctrl Pts',(0.571875,-0.0925240473580835,-1.13309278441235E-17)); #167226=CARTESIAN_POINT('Ctrl Pts',(0.578124884726297,0.12500019965991, 0.)); #167227=CARTESIAN_POINT('Ctrl Pts',(0.574999884726298,0.12500019965991, -0.0517767779984794)); #167228=CARTESIAN_POINT('Ctrl Pts',(0.571874884726297,0.0883884888291945, -0.0883884888291945)); #167229=CARTESIAN_POINT('Ctrl Pts',(0.568749884726297,0.0517767779984794, -0.12500019965991)); #167230=CARTESIAN_POINT('Ctrl Pts',(0.565624884726297,7.65405472031442E-18, -0.12500019965991)); #167231=CARTESIAN_POINT('Ctrl Pts',(0.562499884726297,-0.0517767779984793, -0.12500019965991)); #167232=CARTESIAN_POINT('Ctrl Pts',(0.559374884726297,-0.0883884888291945, -0.0883884888291945)); #167233=CARTESIAN_POINT('Ctrl Pts',(0.556249884726297,-0.12500019965991, -0.0517767779984794)); #167234=CARTESIAN_POINT('Ctrl Pts',(0.553124884726297,-0.12500019965991, -1.53081094406288E-17)); #167235=CARTESIAN_POINT('Ctrl Pts',(0.549999884726297,-0.12500019965991, 0.0517767779984793)); #167236=CARTESIAN_POINT('Ctrl Pts',(0.546874884726297,-0.0883884888291945, 0.0883884888291945)); #167237=CARTESIAN_POINT('Ctrl Pts',(0.543749884726297,-0.0517767779984794, 0.12500019965991)); #167238=CARTESIAN_POINT('Ctrl Pts',(0.540624884726297,-2.29621641609433E-17, 0.12500019965991)); #167239=CARTESIAN_POINT('Ctrl Pts',(0.537499884726298,0.0517767779984794, 0.12500019965991)); #167240=CARTESIAN_POINT('Ctrl Pts',(0.534374884726297,0.0883884888291945, 0.0883884888291945)); #167241=CARTESIAN_POINT('Ctrl Pts',(0.531249884726297,0.12500019965991, 0.0517767779984794)); #167242=CARTESIAN_POINT('Ctrl Pts',(0.528124884726297,0.12500019965991, 0.)); #167243=CARTESIAN_POINT('Ctrl Pts',(0.524999884726297,0.12500019965991, -0.0517767779984794)); #167244=CARTESIAN_POINT('Ctrl Pts',(0.521874884726297,0.0883884888291945, -0.0883884888291945)); #167245=CARTESIAN_POINT('Ctrl Pts',(0.518749884726297,0.0517767779984794, -0.12500019965991)); #167246=CARTESIAN_POINT('Ctrl Pts',(0.515624884726297,7.65405472031442E-18, -0.12500019965991)); #167247=CARTESIAN_POINT('Ctrl Pts',(0.512499884726297,-0.0517767779984793, -0.12500019965991)); #167248=CARTESIAN_POINT('Ctrl Pts',(0.509374884726297,-0.0883884888291945, -0.0883884888291945)); #167249=CARTESIAN_POINT('Ctrl Pts',(0.506249884726297,-0.12500019965991, -0.0517767779984794)); #167250=CARTESIAN_POINT('Ctrl Pts',(0.503124884726297,-0.12500019965991, -1.53081094406288E-17)); #167251=CARTESIAN_POINT('Ctrl Pts',(0.499999884726297,-0.12500019965991, 0.0517767779984793)); #167252=CARTESIAN_POINT('Ctrl Pts',(0.496874884726297,-0.0883884888291945, 0.0883884888291945)); #167253=CARTESIAN_POINT('Ctrl Pts',(0.493749884726298,-0.0517767779984794, 0.12500019965991)); #167254=CARTESIAN_POINT('Ctrl Pts',(0.490624884726297,-2.29621641609433E-17, 0.12500019965991)); #167255=CARTESIAN_POINT('Ctrl Pts',(0.487499884726297,0.0517767779984794, 0.12500019965991)); #167256=CARTESIAN_POINT('Ctrl Pts',(0.484374884726297,0.0883884888291945, 0.0883884888291945)); #167257=CARTESIAN_POINT('Ctrl Pts',(0.481249884726297,0.12500019965991, 0.0517767779984794)); #167258=CARTESIAN_POINT('Ctrl Pts',(0.478124884726297,0.12500019965991, 0.)); #167259=CARTESIAN_POINT('Ctrl Pts',(0.474999884726297,0.12500019965991, -0.0517767779984794)); #167260=CARTESIAN_POINT('Ctrl Pts',(0.471874884726297,0.0883884888291945, -0.0883884888291945)); #167261=CARTESIAN_POINT('Ctrl Pts',(0.468749884726297,0.0517767779984794, -0.12500019965991)); #167262=CARTESIAN_POINT('Ctrl Pts',(0.465624884726297,7.65405472031442E-18, -0.12500019965991)); #167263=CARTESIAN_POINT('Ctrl Pts',(0.462499884726297,-0.0517767779984793, -0.12500019965991)); #167264=CARTESIAN_POINT('Ctrl Pts',(0.459374884726297,-0.0883884888291945, -0.0883884888291945)); #167265=CARTESIAN_POINT('Ctrl Pts',(0.456249884726297,-0.12500019965991, -0.0517767779984794)); #167266=CARTESIAN_POINT('Ctrl Pts',(0.453124884726297,-0.12500019965991, -1.53081094406288E-17)); #167267=CARTESIAN_POINT('Ctrl Pts',(0.449999884726297,-0.12500019965991, 0.0517767779984793)); #167268=CARTESIAN_POINT('Ctrl Pts',(0.446874884726297,-0.0883884888291945, 0.0883884888291945)); #167269=CARTESIAN_POINT('Ctrl Pts',(0.443749884726297,-0.0517767779984794, 0.12500019965991)); #167270=CARTESIAN_POINT('Ctrl Pts',(0.440624884726297,-2.29621641609433E-17, 0.12500019965991)); #167271=CARTESIAN_POINT('Ctrl Pts',(0.437499884726298,0.0517767779984794, 0.12500019965991)); #167272=CARTESIAN_POINT('Ctrl Pts',(0.434374884726297,0.0883884888291945, 0.0883884888291945)); #167273=CARTESIAN_POINT('Ctrl Pts',(0.431249884726297,0.12500019965991, 0.0517767779984794)); #167274=CARTESIAN_POINT('Ctrl Pts',(0.428124884726297,0.12500019965991, 0.)); #167275=CARTESIAN_POINT('Ctrl Pts',(0.424999884726297,0.12500019965991, -0.0517767779984794)); #167276=CARTESIAN_POINT('Ctrl Pts',(0.421874884726297,0.0883884888291945, -0.0883884888291945)); #167277=CARTESIAN_POINT('Ctrl Pts',(0.418749884726297,0.0517767779984794, -0.12500019965991)); #167278=CARTESIAN_POINT('Ctrl Pts',(0.415624884726297,7.65405472031442E-18, -0.12500019965991)); #167279=CARTESIAN_POINT('Ctrl Pts',(0.412499884726297,-0.0517767779984793, -0.12500019965991)); #167280=CARTESIAN_POINT('Ctrl Pts',(0.409374884726297,-0.0883884888291945, -0.0883884888291945)); #167281=CARTESIAN_POINT('Ctrl Pts',(0.406249884726297,-0.12500019965991, -0.0517767779984794)); #167282=CARTESIAN_POINT('Ctrl Pts',(0.403124884726297,-0.12500019965991, -1.53081094406288E-17)); #167283=CARTESIAN_POINT('Ctrl Pts',(0.399999884726297,-0.12500019965991, 0.0517767779984793)); #167284=CARTESIAN_POINT('Ctrl Pts',(0.396874884726297,-0.0883884888291945, 0.0883884888291945)); #167285=CARTESIAN_POINT('Ctrl Pts',(0.393749884726297,-0.0517767779984794, 0.12500019965991)); #167286=CARTESIAN_POINT('Ctrl Pts',(0.390624884726297,-2.29621641609433E-17, 0.12500019965991)); #167287=CARTESIAN_POINT('Ctrl Pts',(0.387499884726297,0.0517767779984794, 0.12500019965991)); #167288=CARTESIAN_POINT('Ctrl Pts',(0.384374884726297,0.0883884888291945, 0.0883884888291945)); #167289=CARTESIAN_POINT('Ctrl Pts',(0.381249884726298,0.12500019965991, 0.0517767779984794)); #167290=CARTESIAN_POINT('Ctrl Pts',(0.378124884726297,0.12500019965991, 0.)); #167291=CARTESIAN_POINT('Ctrl Pts',(0.374999884726297,0.12500019965991, -0.0517767779984794)); #167292=CARTESIAN_POINT('Ctrl Pts',(0.371874884726297,0.0883884888291945, -0.0883884888291945)); #167293=CARTESIAN_POINT('Ctrl Pts',(0.368749884726297,0.0517767779984794, -0.12500019965991)); #167294=CARTESIAN_POINT('Ctrl Pts',(0.365624884726297,7.65405472031442E-18, -0.12500019965991)); #167295=CARTESIAN_POINT('Ctrl Pts',(0.362499884726297,-0.0517767779984793, -0.12500019965991)); #167296=CARTESIAN_POINT('Ctrl Pts',(0.359374884726297,-0.0883884888291945, -0.0883884888291945)); #167297=CARTESIAN_POINT('Ctrl Pts',(0.356249884726297,-0.12500019965991, -0.0517767779984794)); #167298=CARTESIAN_POINT('Ctrl Pts',(0.353124884726297,-0.12500019965991, -1.53081094406288E-17)); #167299=CARTESIAN_POINT('Ctrl Pts',(0.349999884726297,-0.12500019965991, 0.0517767779984793)); #167300=CARTESIAN_POINT('Ctrl Pts',(0.346874884726297,-0.0883884888291945, 0.0883884888291945)); #167301=CARTESIAN_POINT('Ctrl Pts',(0.343749884726297,-0.0517767779984794, 0.12500019965991)); #167302=CARTESIAN_POINT('Ctrl Pts',(0.340624884726297,-2.29621641609433E-17, 0.12500019965991)); #167303=CARTESIAN_POINT('Ctrl Pts',(0.337499884726297,0.0517767779984794, 0.12500019965991)); #167304=CARTESIAN_POINT('Ctrl Pts',(0.334374884726297,0.0883884888291945, 0.0883884888291945)); #167305=CARTESIAN_POINT('Ctrl Pts',(0.331249884726297,0.12500019965991, 0.0517767779984794)); #167306=CARTESIAN_POINT('Ctrl Pts',(0.328124884726297,0.12500019965991, 0.)); #167307=CARTESIAN_POINT('Ctrl Pts',(0.324999884726297,0.12500019965991, -0.0517767779984794)); #167308=CARTESIAN_POINT('Ctrl Pts',(0.321874884726297,0.0883884888291945, -0.0883884888291945)); #167309=CARTESIAN_POINT('Ctrl Pts',(0.318749884726297,0.0517767779984794, -0.12500019965991)); #167310=CARTESIAN_POINT('Ctrl Pts',(0.315624884726297,7.65405472031442E-18, -0.12500019965991)); #167311=CARTESIAN_POINT('Ctrl Pts',(0.312499884726297,-0.0517767779984793, -0.12500019965991)); #167312=CARTESIAN_POINT('Ctrl Pts',(0.309374884726297,-0.0883884888291945, -0.0883884888291945)); #167313=CARTESIAN_POINT('Ctrl Pts',(0.306249884726297,-0.12500019965991, -0.0517767779984794)); #167314=CARTESIAN_POINT('Ctrl Pts',(0.303124884726297,-0.12500019965991, -1.53081094406288E-17)); #167315=CARTESIAN_POINT('Ctrl Pts',(0.299999884726297,-0.12500019965991, 0.0517767779984793)); #167316=CARTESIAN_POINT('Ctrl Pts',(0.296874884726297,-0.0883884888291945, 0.0883884888291945)); #167317=CARTESIAN_POINT('Ctrl Pts',(0.293749884726297,-0.0517767779984794, 0.12500019965991)); #167318=CARTESIAN_POINT('Ctrl Pts',(0.290624884726297,-2.29621641609433E-17, 0.12500019965991)); #167319=CARTESIAN_POINT('Ctrl Pts',(0.287499884726297,0.0517767779984794, 0.12500019965991)); #167320=CARTESIAN_POINT('Ctrl Pts',(0.284374884726297,0.0883884888291945, 0.0883884888291945)); #167321=CARTESIAN_POINT('Ctrl Pts',(0.281249884726297,0.12500019965991, 0.0517767779984794)); #167322=CARTESIAN_POINT('Ctrl Pts',(0.278124884726297,0.12500019965991, 0.)); #167323=CARTESIAN_POINT('Ctrl Pts',(0.274999884726297,0.12500019965991, -0.0517767779984794)); #167324=CARTESIAN_POINT('Ctrl Pts',(0.271874884726297,0.0883884888291945, -0.0883884888291945)); #167325=CARTESIAN_POINT('Ctrl Pts',(0.268749884726297,0.0517767779984794, -0.12500019965991)); #167326=CARTESIAN_POINT('Ctrl Pts',(0.265624884726297,7.65405472031442E-18, -0.12500019965991)); #167327=CARTESIAN_POINT('Ctrl Pts',(0.262499884726297,-0.0517767779984793, -0.12500019965991)); #167328=CARTESIAN_POINT('Ctrl Pts',(0.259374884726297,-0.0883884888291945, -0.0883884888291945)); #167329=CARTESIAN_POINT('Ctrl Pts',(0.256249884726297,-0.12500019965991, -0.0517767779984794)); #167330=CARTESIAN_POINT('Ctrl Pts',(0.253124884726297,-0.12500019965991, -1.53081094406288E-17)); #167331=CARTESIAN_POINT('Ctrl Pts',(0.249999884726297,-0.12500019965991, 0.0517767779984793)); #167332=CARTESIAN_POINT('Ctrl Pts',(0.246874884726297,-0.0883884888291945, 0.0883884888291945)); #167333=CARTESIAN_POINT('Ctrl Pts',(0.243749884726297,-0.0517767779984794, 0.12500019965991)); #167334=CARTESIAN_POINT('Ctrl Pts',(0.240624884726297,-2.29621641609433E-17, 0.12500019965991)); #167335=CARTESIAN_POINT('Ctrl Pts',(0.237499884726297,0.0517767779984794, 0.12500019965991)); #167336=CARTESIAN_POINT('Ctrl Pts',(0.234374884726297,0.0883884888291945, 0.0883884888291945)); #167337=CARTESIAN_POINT('Ctrl Pts',(0.231249884726297,0.12500019965991, 0.0517767779984794)); #167338=CARTESIAN_POINT('Ctrl Pts',(0.228124884726297,0.12500019965991, 0.)); #167339=CARTESIAN_POINT('Ctrl Pts',(0.224999884726297,0.12500019965991, -0.0517767779984794)); #167340=CARTESIAN_POINT('Ctrl Pts',(0.221874884726297,0.0883884888291945, -0.0883884888291945)); #167341=CARTESIAN_POINT('Ctrl Pts',(0.218749884726297,0.0517767779984794, -0.12500019965991)); #167342=CARTESIAN_POINT('Ctrl Pts',(0.215624884726297,7.65405472031442E-18, -0.12500019965991)); #167343=CARTESIAN_POINT('Ctrl Pts',(0.212499884726297,-0.0517767779984793, -0.12500019965991)); #167344=CARTESIAN_POINT('Ctrl Pts',(0.209374884726297,-0.0883884888291945, -0.0883884888291945)); #167345=CARTESIAN_POINT('Ctrl Pts',(0.206249884726297,-0.12500019965991, -0.0517767779984794)); #167346=CARTESIAN_POINT('Ctrl Pts',(0.203124884726297,-0.12500019965991, -1.53081094406288E-17)); #167347=CARTESIAN_POINT('Ctrl Pts',(0.199999884726297,-0.12500019965991, 0.0517767779984793)); #167348=CARTESIAN_POINT('Ctrl Pts',(0.196874884726297,-0.0883884888291945, 0.0883884888291945)); #167349=CARTESIAN_POINT('Ctrl Pts',(0.193749884726297,-0.0517767779984794, 0.12500019965991)); #167350=CARTESIAN_POINT('Ctrl Pts',(0.190624884726297,-2.29621641609433E-17, 0.12500019965991)); #167351=CARTESIAN_POINT('Ctrl Pts',(0.187499884726297,0.0517767779984794, 0.12500019965991)); #167352=CARTESIAN_POINT('Ctrl Pts',(0.184374884726297,0.0883884888291945, 0.0883884888291945)); #167353=CARTESIAN_POINT('Ctrl Pts',(0.181249884726297,0.12500019965991, 0.0517767779984794)); #167354=CARTESIAN_POINT('Ctrl Pts',(0.178124884726297,0.12500019965991, 0.)); #167355=CARTESIAN_POINT('Ctrl Pts',(0.174999884726297,0.12500019965991, -0.0517767779984794)); #167356=CARTESIAN_POINT('Ctrl Pts',(0.171874884726297,0.0883884888291945, -0.0883884888291945)); #167357=CARTESIAN_POINT('Ctrl Pts',(0.168749884726297,0.0517767779984794, -0.12500019965991)); #167358=CARTESIAN_POINT('Ctrl Pts',(0.165624884726297,7.65405472031442E-18, -0.12500019965991)); #167359=CARTESIAN_POINT('Ctrl Pts',(0.162499884726297,-0.0517767779984793, -0.12500019965991)); #167360=CARTESIAN_POINT('Ctrl Pts',(0.159374884726297,-0.0883884888291945, -0.0883884888291945)); #167361=CARTESIAN_POINT('Ctrl Pts',(0.156249884726297,-0.12500019965991, -0.0517767779984794)); #167362=CARTESIAN_POINT('Ctrl Pts',(0.153124884726297,-0.12500019965991, -1.53081094406288E-17)); #167363=CARTESIAN_POINT('Ctrl Pts',(0.149999884726297,-0.12500019965991, 0.0517767779984793)); #167364=CARTESIAN_POINT('Ctrl Pts',(0.146874884726297,-0.0883884888291945, 0.0883884888291945)); #167365=CARTESIAN_POINT('Ctrl Pts',(0.143749884726297,-0.0517767779984794, 0.12500019965991)); #167366=CARTESIAN_POINT('Ctrl Pts',(0.140624884726297,-2.29621641609433E-17, 0.12500019965991)); #167367=CARTESIAN_POINT('Ctrl Pts',(0.137499884726297,0.0517767779984794, 0.12500019965991)); #167368=CARTESIAN_POINT('Ctrl Pts',(0.134374884726297,0.0883884888291945, 0.0883884888291945)); #167369=CARTESIAN_POINT('Ctrl Pts',(0.131249884726297,0.12500019965991, 0.0517767779984794)); #167370=CARTESIAN_POINT('Ctrl Pts',(0.128124884726297,0.12500019965991, 0.)); #167371=CARTESIAN_POINT('Ctrl Pts',(0.124999884726297,0.12500019965991, -0.0517767779984794)); #167372=CARTESIAN_POINT('Ctrl Pts',(0.121874884726297,0.0883884888291945, -0.0883884888291945)); #167373=CARTESIAN_POINT('Ctrl Pts',(0.118749884726297,0.0517767779984794, -0.12500019965991)); #167374=CARTESIAN_POINT('Ctrl Pts',(0.115624884726297,7.65405472031442E-18, -0.12500019965991)); #167375=CARTESIAN_POINT('Ctrl Pts',(0.112499884726297,-0.0517767779984793, -0.12500019965991)); #167376=CARTESIAN_POINT('Ctrl Pts',(0.109374884726297,-0.0883884888291945, -0.0883884888291945)); #167377=CARTESIAN_POINT('Ctrl Pts',(0.106249884726297,-0.12500019965991, -0.0517767779984794)); #167378=CARTESIAN_POINT('Ctrl Pts',(0.103124884726297,-0.12500019965991, -1.53081094406288E-17)); #167379=CARTESIAN_POINT('Ctrl Pts',(0.0999998847262973,-0.12500019965991, 0.0517767779984793)); #167380=CARTESIAN_POINT('Ctrl Pts',(0.0968748847262974,-0.0883884888291945, 0.0883884888291945)); #167381=CARTESIAN_POINT('Ctrl Pts',(0.0937498847262973,-0.0517767779984794, 0.12500019965991)); #167382=CARTESIAN_POINT('Ctrl Pts',(0.0906248847262973,-2.29621641609433E-17, 0.12500019965991)); #167383=CARTESIAN_POINT('Ctrl Pts',(0.0874998847262973,0.0517767779984794, 0.12500019965991)); #167384=CARTESIAN_POINT('Ctrl Pts',(0.0843748847262974,0.0883884888291945, 0.0883884888291945)); #167385=CARTESIAN_POINT('Ctrl Pts',(0.0812498847262973,0.12500019965991, 0.0517767779984794)); #167386=CARTESIAN_POINT('Ctrl Pts',(0.0781248847262973,0.12500019965991, 0.)); #167387=CARTESIAN_POINT('Ctrl Pts',(0.0749998847262973,0.12500019965991, -0.0517767779984794)); #167388=CARTESIAN_POINT('Ctrl Pts',(0.0718748847262973,0.0883884888291945, -0.0883884888291945)); #167389=CARTESIAN_POINT('Ctrl Pts',(0.0687498847262974,0.0517767779984794, -0.12500019965991)); #167390=CARTESIAN_POINT('Ctrl Pts',(0.0656248847262973,7.65405472031442E-18, -0.12500019965991)); #167391=CARTESIAN_POINT('Ctrl Pts',(0.0624998847262973,-0.0517767779984793, -0.12500019965991)); #167392=CARTESIAN_POINT('Ctrl Pts',(0.0593748847262973,-0.0883884888291945, -0.0883884888291945)); #167393=CARTESIAN_POINT('Ctrl Pts',(0.0562498847262974,-0.12500019965991, -0.0517767779984794)); #167394=CARTESIAN_POINT('Ctrl Pts',(0.0531248847262973,-0.12500019965991, -1.53081094406288E-17)); #167395=CARTESIAN_POINT('Ctrl Pts',(0.0499998847262973,-0.12500019965991, 0.0517767779984793)); #167396=CARTESIAN_POINT('Ctrl Pts',(0.0468748847262973,-0.0883884888291945, 0.0883884888291945)); #167397=CARTESIAN_POINT('Ctrl Pts',(0.0437498847262974,-0.0517767779984794, 0.12500019965991)); #167398=CARTESIAN_POINT('Ctrl Pts',(0.0406248847262973,-2.29621641609433E-17, 0.12500019965991)); #167399=CARTESIAN_POINT('Ctrl Pts',(0.0374998847262973,0.0517767779984794, 0.12500019965991)); #167400=CARTESIAN_POINT('Ctrl Pts',(0.0343748847262973,0.0883884888291945, 0.0883884888291945)); #167401=CARTESIAN_POINT('Ctrl Pts',(0.0312498847262974,0.12500019965991, 0.0517767779984794)); #167402=CARTESIAN_POINT('Ctrl Pts',(0.0281248847262973,0.12500019965991, 0.)); #167403=CARTESIAN_POINT('Ctrl Pts',(0.0249998847262973,0.12500019965991, -0.0517767779984794)); #167404=CARTESIAN_POINT('Ctrl Pts',(0.0218748847262973,0.0883884888291945, -0.0883884888291945)); #167405=CARTESIAN_POINT('Ctrl Pts',(0.0187498847262974,0.0517767779984794, -0.12500019965991)); #167406=CARTESIAN_POINT('Ctrl Pts',(0.0156248847262973,7.65405472031442E-18, -0.12500019965991)); #167407=CARTESIAN_POINT('Ctrl Pts',(0.0124998847262973,-0.0517767779984793, -0.12500019965991)); #167408=CARTESIAN_POINT('Ctrl Pts',(0.00937488472629734,-0.0883884888291945, -0.0883884888291945)); #167409=CARTESIAN_POINT('Ctrl Pts',(0.00624988472629735,-0.12500019965991, -0.0517767779984794)); #167410=CARTESIAN_POINT('Ctrl Pts',(0.0031248847262973,-0.12500019965991, -1.53081094406288E-17)); #167411=CARTESIAN_POINT('Ctrl Pts',(-1.15273702682339E-7,-0.12500019965991, 0.0517767779984793)); #167412=CARTESIAN_POINT('Ctrl Pts',(-0.00312511527370267,-0.0883884888291945, 0.0883884888291945)); #167413=CARTESIAN_POINT('Ctrl Pts',(-0.00625011527370265,-0.0517767779984794, 0.12500019965991)); #167414=CARTESIAN_POINT('Ctrl Pts',(-0.0093751152737027,-2.29621641609433E-17, 0.12500019965991)); #167415=CARTESIAN_POINT('Ctrl Pts',(-0.0125001152737027,0.0517767779984794, 0.12500019965991)); #167416=CARTESIAN_POINT('Ctrl Pts',(-0.0156251152737027,0.0883884888291945, 0.0883884888291945)); #167417=CARTESIAN_POINT('Ctrl Pts',(-0.0187501152737026,0.12500019965991, 0.0517767779984794)); #167418=CARTESIAN_POINT('Ctrl Pts',(-0.0218751152737026,0.12500019965991, 0.)); #167419=CARTESIAN_POINT('Ctrl Pts',(-0.0250001152737027,0.12500019965991, -0.0517767779984794)); #167420=CARTESIAN_POINT('Ctrl Pts',(-0.0281251152737027,0.0883884888291945, -0.0883884888291945)); #167421=CARTESIAN_POINT('Ctrl Pts',(-0.0312501152737027,0.0517767779984794, -0.12500019965991)); #167422=CARTESIAN_POINT('Ctrl Pts',(-0.0343751152737026,7.65405472031442E-18, -0.12500019965991)); #167423=CARTESIAN_POINT('Ctrl Pts',(-0.0375001152737027,-0.0517767779984793, -0.12500019965991)); #167424=CARTESIAN_POINT('Ctrl Pts',(-0.0406251152737027,-0.0883884888291945, -0.0883884888291945)); #167425=CARTESIAN_POINT('Ctrl Pts',(-0.0437501152737027,-0.12500019965991, -0.0517767779984794)); #167426=CARTESIAN_POINT('Ctrl Pts',(-0.0468751152737026,-0.12500019965991, -1.53081094406288E-17)); #167427=CARTESIAN_POINT('Ctrl Pts',(-0.0500001152737026,-0.12500019965991, 0.0517767779984793)); #167428=CARTESIAN_POINT('Ctrl Pts',(-0.0531251152737026,-0.0883884888291945, 0.0883884888291945)); #167429=CARTESIAN_POINT('Ctrl Pts',(-0.0562501152737027,-0.0517767779984794, 0.12500019965991)); #167430=CARTESIAN_POINT('Ctrl Pts',(-0.0593751152737027,-2.29621641609433E-17, 0.12500019965991)); #167431=CARTESIAN_POINT('Ctrl Pts',(-0.0625001152737027,0.0517767779984794, 0.12500019965991)); #167432=CARTESIAN_POINT('Ctrl Pts',(-0.0656251152737027,0.0883884888291945, 0.0883884888291945)); #167433=CARTESIAN_POINT('Ctrl Pts',(-0.0687501152737027,0.12500019965991, 0.0517767779984794)); #167434=CARTESIAN_POINT('Ctrl Pts',(-0.0718751152737026,0.12500019965991, 0.)); #167435=CARTESIAN_POINT('Ctrl Pts',(-0.0750001152737026,0.12500019965991, -0.0517767779984794)); #167436=CARTESIAN_POINT('Ctrl Pts',(-0.0781251152737026,0.0883884888291945, -0.0883884888291945)); #167437=CARTESIAN_POINT('Ctrl Pts',(-0.0812501152737028,0.0517767779984794, -0.12500019965991)); #167438=CARTESIAN_POINT('Ctrl Pts',(-0.0843751152737027,7.65405472031442E-18, -0.12500019965991)); #167439=CARTESIAN_POINT('Ctrl Pts',(-0.0875001152737027,-0.0517767779984793, -0.12500019965991)); #167440=CARTESIAN_POINT('Ctrl Pts',(-0.0906251152737027,-0.0883884888291945, -0.0883884888291945)); #167441=CARTESIAN_POINT('Ctrl Pts',(-0.0937501152737027,-0.12500019965991, -0.0517767779984794)); #167442=CARTESIAN_POINT('Ctrl Pts',(-0.0968751152737027,-0.12500019965991, -1.53081094406288E-17)); #167443=CARTESIAN_POINT('Ctrl Pts',(-0.100000115273703,-0.12500019965991, 0.0517767779984793)); #167444=CARTESIAN_POINT('Ctrl Pts',(-0.103125115273703,-0.0883884888291945, 0.0883884888291945)); #167445=CARTESIAN_POINT('Ctrl Pts',(-0.106250115273703,-0.0517767779984794, 0.12500019965991)); #167446=CARTESIAN_POINT('Ctrl Pts',(-0.109375115273703,-2.29621641609433E-17, 0.12500019965991)); #167447=CARTESIAN_POINT('Ctrl Pts',(-0.112500115273703,0.0517767779984794, 0.12500019965991)); #167448=CARTESIAN_POINT('Ctrl Pts',(-0.115625115273703,0.0883884888291945, 0.0883884888291945)); #167449=CARTESIAN_POINT('Ctrl Pts',(-0.118750115273703,0.12500019965991, 0.0517767779984794)); #167450=CARTESIAN_POINT('Ctrl Pts',(-0.121875115273703,0.12500019965991, 0.)); #167451=CARTESIAN_POINT('Ctrl Pts',(-0.125000115273703,0.12500019965991, -0.0517767779984794)); #167452=CARTESIAN_POINT('Ctrl Pts',(-0.128125115273703,0.0883884888291945, -0.0883884888291945)); #167453=CARTESIAN_POINT('Ctrl Pts',(-0.131250115273703,0.0517767779984794, -0.12500019965991)); #167454=CARTESIAN_POINT('Ctrl Pts',(-0.134375115273703,7.65405472031442E-18, -0.12500019965991)); #167455=CARTESIAN_POINT('Ctrl Pts',(-0.137500115273703,-0.0517767779984793, -0.12500019965991)); #167456=CARTESIAN_POINT('Ctrl Pts',(-0.140625115273703,-0.0883884888291945, -0.0883884888291945)); #167457=CARTESIAN_POINT('Ctrl Pts',(-0.143750115273703,-0.12500019965991, -0.0517767779984794)); #167458=CARTESIAN_POINT('Ctrl Pts',(-0.146875115273703,-0.12500019965991, -1.53081094406288E-17)); #167459=CARTESIAN_POINT('Ctrl Pts',(-0.150000115273703,-0.12500019965991, 0.0517767779984793)); #167460=CARTESIAN_POINT('Ctrl Pts',(-0.153125115273703,-0.0883884888291945, 0.0883884888291945)); #167461=CARTESIAN_POINT('Ctrl Pts',(-0.156250115273703,-0.0517767779984794, 0.12500019965991)); #167462=CARTESIAN_POINT('Ctrl Pts',(-0.159375115273703,-2.29621641609433E-17, 0.12500019965991)); #167463=CARTESIAN_POINT('Ctrl Pts',(-0.162500115273703,0.0517767779984794, 0.12500019965991)); #167464=CARTESIAN_POINT('Ctrl Pts',(-0.165625115273703,0.0883884888291945, 0.0883884888291945)); #167465=CARTESIAN_POINT('Ctrl Pts',(-0.168750115273703,0.12500019965991, 0.0517767779984794)); #167466=CARTESIAN_POINT('Ctrl Pts',(-0.171875115273703,0.12500019965991, 0.)); #167467=CARTESIAN_POINT('Ctrl Pts',(-0.175000115273703,0.12500019965991, -0.0517767779984794)); #167468=CARTESIAN_POINT('Ctrl Pts',(-0.178125115273703,0.0883884888291945, -0.0883884888291945)); #167469=CARTESIAN_POINT('Ctrl Pts',(-0.181250115273703,0.0517767779984794, -0.12500019965991)); #167470=CARTESIAN_POINT('Ctrl Pts',(-0.184375115273703,7.65405472031442E-18, -0.12500019965991)); #167471=CARTESIAN_POINT('Ctrl Pts',(-0.187500115273703,-0.0517767779984793, -0.12500019965991)); #167472=CARTESIAN_POINT('Ctrl Pts',(-0.190625115273703,-0.0883884888291945, -0.0883884888291945)); #167473=CARTESIAN_POINT('Ctrl Pts',(-0.193750115273703,-0.12500019965991, -0.0517767779984794)); #167474=CARTESIAN_POINT('Ctrl Pts',(-0.196875115273703,-0.12500019965991, -1.53081094406288E-17)); #167475=CARTESIAN_POINT('Ctrl Pts',(-0.200000115273703,-0.12500019965991, 0.0517767779984793)); #167476=CARTESIAN_POINT('Ctrl Pts',(-0.203125115273703,-0.0883884888291945, 0.0883884888291945)); #167477=CARTESIAN_POINT('Ctrl Pts',(-0.206250115273703,-0.0517767779984794, 0.12500019965991)); #167478=CARTESIAN_POINT('Ctrl Pts',(-0.209375115273703,-2.29621641609433E-17, 0.12500019965991)); #167479=CARTESIAN_POINT('Ctrl Pts',(-0.212500115273703,0.0517767779984794, 0.12500019965991)); #167480=CARTESIAN_POINT('Ctrl Pts',(-0.215625115273703,0.0883884888291945, 0.0883884888291945)); #167481=CARTESIAN_POINT('Ctrl Pts',(-0.218750115273703,0.12500019965991, 0.0517767779984794)); #167482=CARTESIAN_POINT('Ctrl Pts',(-0.221875115273703,0.12500019965991, 0.)); #167483=CARTESIAN_POINT('Ctrl Pts',(-0.225000115273703,0.12500019965991, -0.0517767779984794)); #167484=CARTESIAN_POINT('Ctrl Pts',(-0.228125115273703,0.0883884888291945, -0.0883884888291945)); #167485=CARTESIAN_POINT('Ctrl Pts',(-0.231250115273703,0.0517767779984794, -0.12500019965991)); #167486=CARTESIAN_POINT('Ctrl Pts',(-0.234375115273703,7.65405472031442E-18, -0.12500019965991)); #167487=CARTESIAN_POINT('Ctrl Pts',(-0.237500115273703,-0.0517767779984793, -0.12500019965991)); #167488=CARTESIAN_POINT('Ctrl Pts',(-0.240625115273703,-0.0883884888291945, -0.0883884888291945)); #167489=CARTESIAN_POINT('Ctrl Pts',(-0.243750115273703,-0.12500019965991, -0.0517767779984794)); #167490=CARTESIAN_POINT('Ctrl Pts',(-0.246875115273703,-0.12500019965991, -1.53081094406288E-17)); #167491=CARTESIAN_POINT('Ctrl Pts',(-0.250000115273703,-0.12500019965991, 0.0517767779984793)); #167492=CARTESIAN_POINT('Ctrl Pts',(-0.253125115273703,-0.0883884888291945, 0.0883884888291945)); #167493=CARTESIAN_POINT('Ctrl Pts',(-0.256250115273703,-0.0517767779984794, 0.12500019965991)); #167494=CARTESIAN_POINT('Ctrl Pts',(-0.259375115273703,-2.29621641609433E-17, 0.12500019965991)); #167495=CARTESIAN_POINT('Ctrl Pts',(-0.262500115273703,0.0517767779984794, 0.12500019965991)); #167496=CARTESIAN_POINT('Ctrl Pts',(-0.265625115273703,0.0883884888291945, 0.0883884888291945)); #167497=CARTESIAN_POINT('Ctrl Pts',(-0.268750115273703,0.12500019965991, 0.0517767779984794)); #167498=CARTESIAN_POINT('Ctrl Pts',(-0.271875115273703,0.12500019965991, 0.)); #167499=CARTESIAN_POINT('Ctrl Pts',(-0.275000115273703,0.12500019965991, -0.0517767779984794)); #167500=CARTESIAN_POINT('Ctrl Pts',(-0.278125115273703,0.0883884888291945, -0.0883884888291945)); #167501=CARTESIAN_POINT('Ctrl Pts',(-0.281250115273703,0.0517767779984794, -0.12500019965991)); #167502=CARTESIAN_POINT('Ctrl Pts',(-0.284375115273703,7.65405472031442E-18, -0.12500019965991)); #167503=CARTESIAN_POINT('Ctrl Pts',(-0.287500115273703,-0.0517767779984793, -0.12500019965991)); #167504=CARTESIAN_POINT('Ctrl Pts',(-0.290625115273703,-0.0883884888291945, -0.0883884888291945)); #167505=CARTESIAN_POINT('Ctrl Pts',(-0.293750115273703,-0.12500019965991, -0.0517767779984794)); #167506=CARTESIAN_POINT('Ctrl Pts',(-0.296875115273703,-0.12500019965991, -1.53081094406288E-17)); #167507=CARTESIAN_POINT('Ctrl Pts',(-0.300000115273703,-0.12500019965991, 0.0517767779984793)); #167508=CARTESIAN_POINT('Ctrl Pts',(-0.303125115273703,-0.0883884888291945, 0.0883884888291945)); #167509=CARTESIAN_POINT('Ctrl Pts',(-0.306250115273703,-0.0517767779984794, 0.12500019965991)); #167510=CARTESIAN_POINT('Ctrl Pts',(-0.309375115273703,-2.29621641609433E-17, 0.12500019965991)); #167511=CARTESIAN_POINT('Ctrl Pts',(-0.312500115273703,0.0517767779984794, 0.12500019965991)); #167512=CARTESIAN_POINT('Ctrl Pts',(-0.315625115273703,0.0883884888291945, 0.0883884888291945)); #167513=CARTESIAN_POINT('Ctrl Pts',(-0.318750115273703,0.12500019965991, 0.0517767779984794)); #167514=CARTESIAN_POINT('Ctrl Pts',(-0.321875115273703,0.12500019965991, 0.)); #167515=CARTESIAN_POINT('Ctrl Pts',(-0.325000115273703,0.12500019965991, -0.0517767779984794)); #167516=CARTESIAN_POINT('Ctrl Pts',(-0.328125115273703,0.0883884888291945, -0.0883884888291945)); #167517=CARTESIAN_POINT('Ctrl Pts',(-0.331250115273703,0.0517767779984794, -0.12500019965991)); #167518=CARTESIAN_POINT('Ctrl Pts',(-0.334375115273703,7.65405472031442E-18, -0.12500019965991)); #167519=CARTESIAN_POINT('Ctrl Pts',(-0.337500115273703,-0.0517767779984793, -0.12500019965991)); #167520=CARTESIAN_POINT('Ctrl Pts',(-0.340625115273703,-0.0883884888291945, -0.0883884888291945)); #167521=CARTESIAN_POINT('Ctrl Pts',(-0.343750115273703,-0.12500019965991, -0.0517767779984794)); #167522=CARTESIAN_POINT('Ctrl Pts',(-0.346875115273703,-0.12500019965991, -1.53081094406288E-17)); #167523=CARTESIAN_POINT('Ctrl Pts',(-0.350000115273703,-0.12500019965991, 0.0517767779984793)); #167524=CARTESIAN_POINT('Ctrl Pts',(-0.353125115273703,-0.0883884888291945, 0.0883884888291945)); #167525=CARTESIAN_POINT('Ctrl Pts',(-0.356250115273703,-0.0517767779984794, 0.12500019965991)); #167526=CARTESIAN_POINT('Ctrl Pts',(-0.359375115273703,-2.29621641609433E-17, 0.12500019965991)); #167527=CARTESIAN_POINT('Ctrl Pts',(-0.362500115273703,0.0517767779984794, 0.12500019965991)); #167528=CARTESIAN_POINT('Ctrl Pts',(-0.365625115273703,0.0883884888291945, 0.0883884888291945)); #167529=CARTESIAN_POINT('Ctrl Pts',(-0.368750115273703,0.12500019965991, 0.0517767779984794)); #167530=CARTESIAN_POINT('Ctrl Pts',(-0.371875115273703,0.12500019965991, 0.)); #167531=CARTESIAN_POINT('Ctrl Pts',(-0.375000115273703,0.12500019965991, -0.0517767779984794)); #167532=CARTESIAN_POINT('Ctrl Pts',(-0.378125115273703,0.0883884888291945, -0.0883884888291945)); #167533=CARTESIAN_POINT('Ctrl Pts',(-0.381250115273703,0.0517767779984794, -0.12500019965991)); #167534=CARTESIAN_POINT('Ctrl Pts',(-0.384375115273703,7.65405472031442E-18, -0.12500019965991)); #167535=CARTESIAN_POINT('Ctrl Pts',(-0.387500115273703,-0.0517767779984793, -0.12500019965991)); #167536=CARTESIAN_POINT('Ctrl Pts',(-0.390625115273703,-0.0883884888291945, -0.0883884888291945)); #167537=CARTESIAN_POINT('Ctrl Pts',(-0.393750115273703,-0.12500019965991, -0.0517767779984794)); #167538=CARTESIAN_POINT('Ctrl Pts',(-0.396875115273703,-0.12500019965991, -1.53081094406288E-17)); #167539=CARTESIAN_POINT('Ctrl Pts',(-0.400000115273703,-0.12500019965991, 0.0517767779984793)); #167540=CARTESIAN_POINT('Ctrl Pts',(-0.403125115273703,-0.0883884888291945, 0.0883884888291945)); #167541=CARTESIAN_POINT('Ctrl Pts',(-0.406250115273703,-0.0517767779984794, 0.12500019965991)); #167542=CARTESIAN_POINT('Ctrl Pts',(-0.409375115273703,-2.29621641609433E-17, 0.12500019965991)); #167543=CARTESIAN_POINT('Ctrl Pts',(-0.412500115273703,0.0517767779984794, 0.12500019965991)); #167544=CARTESIAN_POINT('Ctrl Pts',(-0.415625115273703,0.0883884888291945, 0.0883884888291945)); #167545=CARTESIAN_POINT('Ctrl Pts',(-0.418750115273703,0.12500019965991, 0.0517767779984794)); #167546=CARTESIAN_POINT('Ctrl Pts',(-0.421875115273703,0.12500019965991, 0.)); #167547=CARTESIAN_POINT('Ctrl Pts',(-0.425000115273703,0.12500019965991, -0.0517767779984794)); #167548=CARTESIAN_POINT('Ctrl Pts',(-0.428125115273703,0.0883884888291945, -0.0883884888291945)); #167549=CARTESIAN_POINT('Ctrl Pts',(-0.431250115273703,0.0517767779984794, -0.12500019965991)); #167550=CARTESIAN_POINT('Ctrl Pts',(-0.434375115273703,7.65405472031442E-18, -0.12500019965991)); #167551=CARTESIAN_POINT('Ctrl Pts',(-0.437500115273703,-0.0517767779984793, -0.12500019965991)); #167552=CARTESIAN_POINT('Ctrl Pts',(-0.440625115273703,-0.0883884888291945, -0.0883884888291945)); #167553=CARTESIAN_POINT('Ctrl Pts',(-0.443750115273703,-0.12500019965991, -0.0517767779984794)); #167554=CARTESIAN_POINT('Ctrl Pts',(-0.446875115273703,-0.12500019965991, -1.53081094406288E-17)); #167555=CARTESIAN_POINT('Ctrl Pts',(-0.450000115273703,-0.12500019965991, 0.0517767779984793)); #167556=CARTESIAN_POINT('Ctrl Pts',(-0.453125115273703,-0.0883884888291945, 0.0883884888291945)); #167557=CARTESIAN_POINT('Ctrl Pts',(-0.456250115273703,-0.0517767779984794, 0.12500019965991)); #167558=CARTESIAN_POINT('Ctrl Pts',(-0.459375115273703,-2.29621641609433E-17, 0.12500019965991)); #167559=CARTESIAN_POINT('Ctrl Pts',(-0.462500115273703,0.0517767779984794, 0.12500019965991)); #167560=CARTESIAN_POINT('Ctrl Pts',(-0.465625115273703,0.0883884888291945, 0.0883884888291945)); #167561=CARTESIAN_POINT('Ctrl Pts',(-0.468750115273703,0.12500019965991, 0.0517767779984794)); #167562=CARTESIAN_POINT('Ctrl Pts',(-0.471875115273703,0.12500019965991, 0.)); #167563=CARTESIAN_POINT('Ctrl Pts',(0.596875,0.0925240473580835,0.)); #167564=CARTESIAN_POINT('Ctrl Pts',(0.59375,0.0925240473580835,-0.0383247152613687)); #167565=CARTESIAN_POINT('Ctrl Pts',(0.590625,0.0654243813097261,-0.0654243813097261)); #167566=CARTESIAN_POINT('Ctrl Pts',(0.5875,0.0383247152613687,-0.0925240473580835)); #167567=CARTESIAN_POINT('Ctrl Pts',(0.584375,5.66546392206175E-18,-0.0925240473580835)); #167568=CARTESIAN_POINT('Ctrl Pts',(0.58125,-0.0383247152613687,-0.0925240473580835)); #167569=CARTESIAN_POINT('Ctrl Pts',(0.578125,-0.0654243813097261,-0.0654243813097261)); #167570=CARTESIAN_POINT('Ctrl Pts',(0.575,-0.0925240473580835,-0.0383247152613687)); #167571=CARTESIAN_POINT('Ctrl Pts',(0.571875,-0.0925240473580835,-1.13309278441235E-17)); #167572=CARTESIAN_POINT('Ctrl Pts',(0.56875,-0.0925240473580835,0.0383247152613687)); #167573=CARTESIAN_POINT('Ctrl Pts',(0.565625,-0.0654243813097261,0.0654243813097261)); #167574=CARTESIAN_POINT('Ctrl Pts',(0.5625,-0.0383247152613688,0.0925240473580835)); #167575=CARTESIAN_POINT('Ctrl Pts',(0.559375,-1.69963917661853E-17,0.0925240473580835)); #167576=CARTESIAN_POINT('Ctrl Pts',(0.55625,0.0383247152613687,0.0925240473580835)); #167577=CARTESIAN_POINT('Ctrl Pts',(0.553125,0.0654243813097261,0.0654243813097261)); #167578=CARTESIAN_POINT('Ctrl Pts',(0.55,0.0925240473580835,0.0383247152613688)); #167579=CARTESIAN_POINT('Ctrl Pts',(0.546875,0.0925240473580835,0.)); #167580=CARTESIAN_POINT('Ctrl Pts',(0.54375,0.0925240473580835,-0.0383247152613687)); #167581=CARTESIAN_POINT('Ctrl Pts',(0.540625,0.0654243813097261,-0.0654243813097261)); #167582=CARTESIAN_POINT('Ctrl Pts',(0.5375,0.0383247152613687,-0.0925240473580835)); #167583=CARTESIAN_POINT('Ctrl Pts',(0.534375,5.66546392206175E-18,-0.0925240473580835)); #167584=CARTESIAN_POINT('Ctrl Pts',(0.53125,-0.0383247152613687,-0.0925240473580835)); #167585=CARTESIAN_POINT('Ctrl Pts',(0.528125,-0.0654243813097261,-0.0654243813097261)); #167586=CARTESIAN_POINT('Ctrl Pts',(0.525,-0.0925240473580835,-0.0383247152613687)); #167587=CARTESIAN_POINT('Ctrl Pts',(0.521875,-0.0925240473580835,-1.13309278441235E-17)); #167588=CARTESIAN_POINT('Ctrl Pts',(0.51875,-0.0925240473580835,0.0383247152613687)); #167589=CARTESIAN_POINT('Ctrl Pts',(0.515625,-0.0654243813097261,0.0654243813097261)); #167590=CARTESIAN_POINT('Ctrl Pts',(0.5125,-0.0383247152613688,0.0925240473580835)); #167591=CARTESIAN_POINT('Ctrl Pts',(0.509375,-1.69963917661853E-17,0.0925240473580835)); #167592=CARTESIAN_POINT('Ctrl Pts',(0.50625,0.0383247152613687,0.0925240473580835)); #167593=CARTESIAN_POINT('Ctrl Pts',(0.503125,0.0654243813097261,0.0654243813097261)); #167594=CARTESIAN_POINT('Ctrl Pts',(0.5,0.0925240473580835,0.0383247152613688)); #167595=CARTESIAN_POINT('Ctrl Pts',(0.496875,0.0925240473580835,0.)); #167596=CARTESIAN_POINT('Ctrl Pts',(0.49375,0.0925240473580835,-0.0383247152613687)); #167597=CARTESIAN_POINT('Ctrl Pts',(0.490625,0.0654243813097261,-0.0654243813097261)); #167598=CARTESIAN_POINT('Ctrl Pts',(0.4875,0.0383247152613687,-0.0925240473580835)); #167599=CARTESIAN_POINT('Ctrl Pts',(0.484375,5.66546392206175E-18,-0.0925240473580835)); #167600=CARTESIAN_POINT('Ctrl Pts',(0.48125,-0.0383247152613687,-0.0925240473580835)); #167601=CARTESIAN_POINT('Ctrl Pts',(0.478125,-0.0654243813097261,-0.0654243813097261)); #167602=CARTESIAN_POINT('Ctrl Pts',(0.475,-0.0925240473580835,-0.0383247152613687)); #167603=CARTESIAN_POINT('Ctrl Pts',(0.471875,-0.0925240473580835,-1.13309278441235E-17)); #167604=CARTESIAN_POINT('Ctrl Pts',(0.46875,-0.0925240473580835,0.0383247152613687)); #167605=CARTESIAN_POINT('Ctrl Pts',(0.465625,-0.0654243813097261,0.0654243813097261)); #167606=CARTESIAN_POINT('Ctrl Pts',(0.4625,-0.0383247152613688,0.0925240473580835)); #167607=CARTESIAN_POINT('Ctrl Pts',(0.459375,-1.69963917661853E-17,0.0925240473580835)); #167608=CARTESIAN_POINT('Ctrl Pts',(0.45625,0.0383247152613687,0.0925240473580835)); #167609=CARTESIAN_POINT('Ctrl Pts',(0.453125,0.0654243813097261,0.0654243813097261)); #167610=CARTESIAN_POINT('Ctrl Pts',(0.45,0.0925240473580835,0.0383247152613688)); #167611=CARTESIAN_POINT('Ctrl Pts',(0.446875,0.0925240473580835,0.)); #167612=CARTESIAN_POINT('Ctrl Pts',(0.44375,0.0925240473580835,-0.0383247152613687)); #167613=CARTESIAN_POINT('Ctrl Pts',(0.440625,0.0654243813097261,-0.0654243813097261)); #167614=CARTESIAN_POINT('Ctrl Pts',(0.4375,0.0383247152613687,-0.0925240473580835)); #167615=CARTESIAN_POINT('Ctrl Pts',(0.434375,5.66546392206175E-18,-0.0925240473580835)); #167616=CARTESIAN_POINT('Ctrl Pts',(0.43125,-0.0383247152613687,-0.0925240473580835)); #167617=CARTESIAN_POINT('Ctrl Pts',(0.428125,-0.0654243813097261,-0.0654243813097261)); #167618=CARTESIAN_POINT('Ctrl Pts',(0.425,-0.0925240473580835,-0.0383247152613687)); #167619=CARTESIAN_POINT('Ctrl Pts',(0.421875,-0.0925240473580835,-1.13309278441235E-17)); #167620=CARTESIAN_POINT('Ctrl Pts',(0.41875,-0.0925240473580835,0.0383247152613687)); #167621=CARTESIAN_POINT('Ctrl Pts',(0.415625,-0.0654243813097261,0.0654243813097261)); #167622=CARTESIAN_POINT('Ctrl Pts',(0.4125,-0.0383247152613688,0.0925240473580835)); #167623=CARTESIAN_POINT('Ctrl Pts',(0.409375,-1.69963917661853E-17,0.0925240473580835)); #167624=CARTESIAN_POINT('Ctrl Pts',(0.40625,0.0383247152613687,0.0925240473580835)); #167625=CARTESIAN_POINT('Ctrl Pts',(0.403125,0.0654243813097261,0.0654243813097261)); #167626=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0925240473580835,0.0383247152613688)); #167627=CARTESIAN_POINT('Ctrl Pts',(0.396875,0.0925240473580835,0.)); #167628=CARTESIAN_POINT('Ctrl Pts',(0.39375,0.0925240473580835,-0.0383247152613687)); #167629=CARTESIAN_POINT('Ctrl Pts',(0.390625,0.0654243813097261,-0.0654243813097261)); #167630=CARTESIAN_POINT('Ctrl Pts',(0.3875,0.0383247152613687,-0.0925240473580835)); #167631=CARTESIAN_POINT('Ctrl Pts',(0.384375,5.66546392206175E-18,-0.0925240473580835)); #167632=CARTESIAN_POINT('Ctrl Pts',(0.38125,-0.0383247152613687,-0.0925240473580835)); #167633=CARTESIAN_POINT('Ctrl Pts',(0.378125,-0.0654243813097261,-0.0654243813097261)); #167634=CARTESIAN_POINT('Ctrl Pts',(0.375,-0.0925240473580835,-0.0383247152613687)); #167635=CARTESIAN_POINT('Ctrl Pts',(0.371875,-0.0925240473580835,-1.13309278441235E-17)); #167636=CARTESIAN_POINT('Ctrl Pts',(0.36875,-0.0925240473580835,0.0383247152613687)); #167637=CARTESIAN_POINT('Ctrl Pts',(0.365625,-0.0654243813097261,0.0654243813097261)); #167638=CARTESIAN_POINT('Ctrl Pts',(0.3625,-0.0383247152613688,0.0925240473580835)); #167639=CARTESIAN_POINT('Ctrl Pts',(0.359375,-1.69963917661853E-17,0.0925240473580835)); #167640=CARTESIAN_POINT('Ctrl Pts',(0.35625,0.0383247152613687,0.0925240473580835)); #167641=CARTESIAN_POINT('Ctrl Pts',(0.353125,0.0654243813097261,0.0654243813097261)); #167642=CARTESIAN_POINT('Ctrl Pts',(0.35,0.0925240473580835,0.0383247152613688)); #167643=CARTESIAN_POINT('Ctrl Pts',(0.346875,0.0925240473580835,0.)); #167644=CARTESIAN_POINT('Ctrl Pts',(0.34375,0.0925240473580835,-0.0383247152613687)); #167645=CARTESIAN_POINT('Ctrl Pts',(0.340625,0.0654243813097261,-0.0654243813097261)); #167646=CARTESIAN_POINT('Ctrl Pts',(0.3375,0.0383247152613687,-0.0925240473580835)); #167647=CARTESIAN_POINT('Ctrl Pts',(0.334375,5.66546392206175E-18,-0.0925240473580835)); #167648=CARTESIAN_POINT('Ctrl Pts',(0.33125,-0.0383247152613687,-0.0925240473580835)); #167649=CARTESIAN_POINT('Ctrl Pts',(0.328125,-0.0654243813097261,-0.0654243813097261)); #167650=CARTESIAN_POINT('Ctrl Pts',(0.325,-0.0925240473580835,-0.0383247152613687)); #167651=CARTESIAN_POINT('Ctrl Pts',(0.321875,-0.0925240473580835,-1.13309278441235E-17)); #167652=CARTESIAN_POINT('Ctrl Pts',(0.31875,-0.0925240473580835,0.0383247152613687)); #167653=CARTESIAN_POINT('Ctrl Pts',(0.315625,-0.0654243813097261,0.0654243813097261)); #167654=CARTESIAN_POINT('Ctrl Pts',(0.3125,-0.0383247152613688,0.0925240473580835)); #167655=CARTESIAN_POINT('Ctrl Pts',(0.309375,-1.69963917661853E-17,0.0925240473580835)); #167656=CARTESIAN_POINT('Ctrl Pts',(0.30625,0.0383247152613687,0.0925240473580835)); #167657=CARTESIAN_POINT('Ctrl Pts',(0.303125,0.0654243813097261,0.0654243813097261)); #167658=CARTESIAN_POINT('Ctrl Pts',(0.3,0.0925240473580835,0.0383247152613688)); #167659=CARTESIAN_POINT('Ctrl Pts',(0.296875,0.0925240473580835,0.)); #167660=CARTESIAN_POINT('Ctrl Pts',(0.29375,0.0925240473580835,-0.0383247152613687)); #167661=CARTESIAN_POINT('Ctrl Pts',(0.290625,0.0654243813097261,-0.0654243813097261)); #167662=CARTESIAN_POINT('Ctrl Pts',(0.2875,0.0383247152613687,-0.0925240473580835)); #167663=CARTESIAN_POINT('Ctrl Pts',(0.284375,5.66546392206175E-18,-0.0925240473580835)); #167664=CARTESIAN_POINT('Ctrl Pts',(0.28125,-0.0383247152613687,-0.0925240473580835)); #167665=CARTESIAN_POINT('Ctrl Pts',(0.278125,-0.0654243813097261,-0.0654243813097261)); #167666=CARTESIAN_POINT('Ctrl Pts',(0.275,-0.0925240473580835,-0.0383247152613687)); #167667=CARTESIAN_POINT('Ctrl Pts',(0.271875,-0.0925240473580835,-1.13309278441235E-17)); #167668=CARTESIAN_POINT('Ctrl Pts',(0.26875,-0.0925240473580835,0.0383247152613687)); #167669=CARTESIAN_POINT('Ctrl Pts',(0.265625,-0.0654243813097261,0.0654243813097261)); #167670=CARTESIAN_POINT('Ctrl Pts',(0.2625,-0.0383247152613688,0.0925240473580835)); #167671=CARTESIAN_POINT('Ctrl Pts',(0.259375,-1.69963917661853E-17,0.0925240473580835)); #167672=CARTESIAN_POINT('Ctrl Pts',(0.25625,0.0383247152613687,0.0925240473580835)); #167673=CARTESIAN_POINT('Ctrl Pts',(0.253125,0.0654243813097261,0.0654243813097261)); #167674=CARTESIAN_POINT('Ctrl Pts',(0.25,0.0925240473580835,0.0383247152613688)); #167675=CARTESIAN_POINT('Ctrl Pts',(0.246875,0.0925240473580835,0.)); #167676=CARTESIAN_POINT('Ctrl Pts',(0.24375,0.0925240473580835,-0.0383247152613687)); #167677=CARTESIAN_POINT('Ctrl Pts',(0.240625,0.0654243813097261,-0.0654243813097261)); #167678=CARTESIAN_POINT('Ctrl Pts',(0.2375,0.0383247152613687,-0.0925240473580835)); #167679=CARTESIAN_POINT('Ctrl Pts',(0.234375,5.66546392206175E-18,-0.0925240473580835)); #167680=CARTESIAN_POINT('Ctrl Pts',(0.23125,-0.0383247152613687,-0.0925240473580835)); #167681=CARTESIAN_POINT('Ctrl Pts',(0.228125,-0.0654243813097261,-0.0654243813097261)); #167682=CARTESIAN_POINT('Ctrl Pts',(0.225,-0.0925240473580835,-0.0383247152613687)); #167683=CARTESIAN_POINT('Ctrl Pts',(0.221875,-0.0925240473580835,-1.13309278441235E-17)); #167684=CARTESIAN_POINT('Ctrl Pts',(0.21875,-0.0925240473580835,0.0383247152613687)); #167685=CARTESIAN_POINT('Ctrl Pts',(0.215625,-0.0654243813097261,0.0654243813097261)); #167686=CARTESIAN_POINT('Ctrl Pts',(0.2125,-0.0383247152613688,0.0925240473580835)); #167687=CARTESIAN_POINT('Ctrl Pts',(0.209375,-1.69963917661853E-17,0.0925240473580835)); #167688=CARTESIAN_POINT('Ctrl Pts',(0.20625,0.0383247152613687,0.0925240473580835)); #167689=CARTESIAN_POINT('Ctrl Pts',(0.203125,0.0654243813097261,0.0654243813097261)); #167690=CARTESIAN_POINT('Ctrl Pts',(0.2,0.0925240473580835,0.0383247152613688)); #167691=CARTESIAN_POINT('Ctrl Pts',(0.196875,0.0925240473580835,0.)); #167692=CARTESIAN_POINT('Ctrl Pts',(0.19375,0.0925240473580835,-0.0383247152613687)); #167693=CARTESIAN_POINT('Ctrl Pts',(0.190625,0.0654243813097261,-0.0654243813097261)); #167694=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.0383247152613687,-0.0925240473580835)); #167695=CARTESIAN_POINT('Ctrl Pts',(0.184375,5.66546392206175E-18,-0.0925240473580835)); #167696=CARTESIAN_POINT('Ctrl Pts',(0.18125,-0.0383247152613687,-0.0925240473580835)); #167697=CARTESIAN_POINT('Ctrl Pts',(0.178125,-0.0654243813097261,-0.0654243813097261)); #167698=CARTESIAN_POINT('Ctrl Pts',(0.175,-0.0925240473580835,-0.0383247152613687)); #167699=CARTESIAN_POINT('Ctrl Pts',(0.171875,-0.0925240473580835,-1.13309278441235E-17)); #167700=CARTESIAN_POINT('Ctrl Pts',(0.16875,-0.0925240473580835,0.0383247152613687)); #167701=CARTESIAN_POINT('Ctrl Pts',(0.165625,-0.0654243813097261,0.0654243813097261)); #167702=CARTESIAN_POINT('Ctrl Pts',(0.1625,-0.0383247152613688,0.0925240473580835)); #167703=CARTESIAN_POINT('Ctrl Pts',(0.159375,-1.69963917661853E-17,0.0925240473580835)); #167704=CARTESIAN_POINT('Ctrl Pts',(0.15625,0.0383247152613687,0.0925240473580835)); #167705=CARTESIAN_POINT('Ctrl Pts',(0.153125,0.0654243813097261,0.0654243813097261)); #167706=CARTESIAN_POINT('Ctrl Pts',(0.15,0.0925240473580835,0.0383247152613688)); #167707=CARTESIAN_POINT('Ctrl Pts',(0.146875,0.0925240473580835,0.)); #167708=CARTESIAN_POINT('Ctrl Pts',(0.14375,0.0925240473580835,-0.0383247152613687)); #167709=CARTESIAN_POINT('Ctrl Pts',(0.140625,0.0654243813097261,-0.0654243813097261)); #167710=CARTESIAN_POINT('Ctrl Pts',(0.1375,0.0383247152613687,-0.0925240473580835)); #167711=CARTESIAN_POINT('Ctrl Pts',(0.134375,5.66546392206175E-18,-0.0925240473580835)); #167712=CARTESIAN_POINT('Ctrl Pts',(0.13125,-0.0383247152613687,-0.0925240473580835)); #167713=CARTESIAN_POINT('Ctrl Pts',(0.128125,-0.0654243813097261,-0.0654243813097261)); #167714=CARTESIAN_POINT('Ctrl Pts',(0.125,-0.0925240473580835,-0.0383247152613687)); #167715=CARTESIAN_POINT('Ctrl Pts',(0.121875,-0.0925240473580835,-1.13309278441235E-17)); #167716=CARTESIAN_POINT('Ctrl Pts',(0.11875,-0.0925240473580835,0.0383247152613687)); #167717=CARTESIAN_POINT('Ctrl Pts',(0.115625,-0.0654243813097261,0.0654243813097261)); #167718=CARTESIAN_POINT('Ctrl Pts',(0.1125,-0.0383247152613688,0.0925240473580835)); #167719=CARTESIAN_POINT('Ctrl Pts',(0.109375,-1.69963917661853E-17,0.0925240473580835)); #167720=CARTESIAN_POINT('Ctrl Pts',(0.10625,0.0383247152613687,0.0925240473580835)); #167721=CARTESIAN_POINT('Ctrl Pts',(0.103125,0.0654243813097261,0.0654243813097261)); #167722=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999999,0.0925240473580835, 0.0383247152613688)); #167723=CARTESIAN_POINT('Ctrl Pts',(0.0968749999999999,0.0925240473580835, 0.)); #167724=CARTESIAN_POINT('Ctrl Pts',(0.0937499999999999,0.0925240473580835, -0.0383247152613687)); #167725=CARTESIAN_POINT('Ctrl Pts',(0.0906249999999999,0.0654243813097261, -0.0654243813097261)); #167726=CARTESIAN_POINT('Ctrl Pts',(0.0875,0.0383247152613687,-0.0925240473580835)); #167727=CARTESIAN_POINT('Ctrl Pts',(0.0843749999999999,5.66546392206175E-18, -0.0925240473580835)); #167728=CARTESIAN_POINT('Ctrl Pts',(0.0812499999999999,-0.0383247152613687, -0.0925240473580835)); #167729=CARTESIAN_POINT('Ctrl Pts',(0.0781249999999999,-0.0654243813097261, -0.0654243813097261)); #167730=CARTESIAN_POINT('Ctrl Pts',(0.075,-0.0925240473580835,-0.0383247152613687)); #167731=CARTESIAN_POINT('Ctrl Pts',(0.0718749999999999,-0.0925240473580835, -1.13309278441235E-17)); #167732=CARTESIAN_POINT('Ctrl Pts',(0.0687499999999999,-0.0925240473580835, 0.0383247152613687)); #167733=CARTESIAN_POINT('Ctrl Pts',(0.0656249999999999,-0.0654243813097261, 0.0654243813097261)); #167734=CARTESIAN_POINT('Ctrl Pts',(0.0624999999999999,-0.0383247152613688, 0.0925240473580835)); #167735=CARTESIAN_POINT('Ctrl Pts',(0.0593749999999999,-1.69963917661853E-17, 0.0925240473580835)); #167736=CARTESIAN_POINT('Ctrl Pts',(0.0562499999999999,0.0383247152613687, 0.0925240473580835)); #167737=CARTESIAN_POINT('Ctrl Pts',(0.0531249999999999,0.0654243813097261, 0.0654243813097261)); #167738=CARTESIAN_POINT('Ctrl Pts',(0.0499999999999999,0.0925240473580835, 0.0383247152613688)); #167739=CARTESIAN_POINT('Ctrl Pts',(0.0468749999999999,0.0925240473580835, 0.)); #167740=CARTESIAN_POINT('Ctrl Pts',(0.0437499999999999,0.0925240473580835, -0.0383247152613687)); #167741=CARTESIAN_POINT('Ctrl Pts',(0.0406249999999999,0.0654243813097261, -0.0654243813097261)); #167742=CARTESIAN_POINT('Ctrl Pts',(0.0374999999999999,0.0383247152613687, -0.0925240473580835)); #167743=CARTESIAN_POINT('Ctrl Pts',(0.0343749999999999,5.66546392206175E-18, -0.0925240473580835)); #167744=CARTESIAN_POINT('Ctrl Pts',(0.0312499999999999,-0.0383247152613687, -0.0925240473580835)); #167745=CARTESIAN_POINT('Ctrl Pts',(0.0281249999999999,-0.0654243813097261, -0.0654243813097261)); #167746=CARTESIAN_POINT('Ctrl Pts',(0.0249999999999999,-0.0925240473580835, -0.0383247152613687)); #167747=CARTESIAN_POINT('Ctrl Pts',(0.0218749999999999,-0.0925240473580835, -1.13309278441235E-17)); #167748=CARTESIAN_POINT('Ctrl Pts',(0.0187499999999999,-0.0925240473580835, 0.0383247152613687)); #167749=CARTESIAN_POINT('Ctrl Pts',(0.0156249999999999,-0.0654243813097261, 0.0654243813097261)); #167750=CARTESIAN_POINT('Ctrl Pts',(0.0124999999999999,-0.0383247152613688, 0.0925240473580835)); #167751=CARTESIAN_POINT('Ctrl Pts',(0.00937499999999988,-1.69963917661853E-17, 0.0925240473580835)); #167752=CARTESIAN_POINT('Ctrl Pts',(0.0062499999999999,0.0383247152613687, 0.0925240473580835)); #167753=CARTESIAN_POINT('Ctrl Pts',(0.00312499999999992,0.0654243813097261, 0.0654243813097261)); #167754=CARTESIAN_POINT('Ctrl Pts',(-6.82961998416066E-17,0.0925240473580835, 0.0383247152613688)); #167755=CARTESIAN_POINT('Ctrl Pts',(-0.00312500000000005,0.0925240473580835, 0.)); #167756=CARTESIAN_POINT('Ctrl Pts',(-0.0062500000000001,0.0925240473580835, -0.0383247152613687)); #167757=CARTESIAN_POINT('Ctrl Pts',(-0.00937500000000009,0.0654243813097261, -0.0654243813097261)); #167758=CARTESIAN_POINT('Ctrl Pts',(-0.0125000000000001,0.0383247152613687, -0.0925240473580835)); #167759=CARTESIAN_POINT('Ctrl Pts',(-0.0156250000000001,5.66546392206175E-18, -0.0925240473580835)); #167760=CARTESIAN_POINT('Ctrl Pts',(-0.0187500000000001,-0.0383247152613687, -0.0925240473580835)); #167761=CARTESIAN_POINT('Ctrl Pts',(-0.0218750000000001,-0.0654243813097261, -0.0654243813097261)); #167762=CARTESIAN_POINT('Ctrl Pts',(-0.0250000000000001,-0.0925240473580835, -0.0383247152613687)); #167763=CARTESIAN_POINT('Ctrl Pts',(-0.0281250000000001,-0.0925240473580835, -1.13309278441235E-17)); #167764=CARTESIAN_POINT('Ctrl Pts',(-0.03125,-0.0925240473580835,0.0383247152613687)); #167765=CARTESIAN_POINT('Ctrl Pts',(-0.034375,-0.0654243813097261,0.0654243813097261)); #167766=CARTESIAN_POINT('Ctrl Pts',(-0.0375000000000002,-0.0383247152613688, 0.0925240473580835)); #167767=CARTESIAN_POINT('Ctrl Pts',(-0.0406250000000001,-1.69963917661853E-17, 0.0925240473580835)); #167768=CARTESIAN_POINT('Ctrl Pts',(-0.0437500000000001,0.0383247152613687, 0.0925240473580835)); #167769=CARTESIAN_POINT('Ctrl Pts',(-0.0468750000000001,0.0654243813097261, 0.0654243813097261)); #167770=CARTESIAN_POINT('Ctrl Pts',(-0.0500000000000001,0.0925240473580835, 0.0383247152613688)); #167771=CARTESIAN_POINT('Ctrl Pts',(-0.0531250000000001,0.0925240473580835, 0.)); #167772=CARTESIAN_POINT('Ctrl Pts',(-0.05625,0.0925240473580835,-0.0383247152613687)); #167773=CARTESIAN_POINT('Ctrl Pts',(-0.059375,0.0654243813097261,-0.0654243813097261)); #167774=CARTESIAN_POINT('Ctrl Pts',(-0.0625000000000002,0.0383247152613687, -0.0925240473580835)); #167775=CARTESIAN_POINT('Ctrl Pts',(-0.0656250000000001,5.66546392206175E-18, -0.0925240473580835)); #167776=CARTESIAN_POINT('Ctrl Pts',(-0.0687500000000001,-0.0383247152613687, -0.0925240473580835)); #167777=CARTESIAN_POINT('Ctrl Pts',(-0.0718750000000001,-0.0654243813097261, -0.0654243813097261)); #167778=CARTESIAN_POINT('Ctrl Pts',(-0.0750000000000001,-0.0925240473580835, -0.0383247152613687)); #167779=CARTESIAN_POINT('Ctrl Pts',(-0.0781250000000001,-0.0925240473580835, -1.13309278441235E-17)); #167780=CARTESIAN_POINT('Ctrl Pts',(-0.08125,-0.0925240473580835,0.0383247152613687)); #167781=CARTESIAN_POINT('Ctrl Pts',(-0.084375,-0.0654243813097261,0.0654243813097261)); #167782=CARTESIAN_POINT('Ctrl Pts',(-0.0875000000000002,-0.0383247152613688, 0.0925240473580835)); #167783=CARTESIAN_POINT('Ctrl Pts',(-0.0906250000000001,-1.69963917661853E-17, 0.0925240473580835)); #167784=CARTESIAN_POINT('Ctrl Pts',(-0.0937500000000001,0.0383247152613687, 0.0925240473580835)); #167785=CARTESIAN_POINT('Ctrl Pts',(-0.0968750000000001,0.0654243813097261, 0.0654243813097261)); #167786=CARTESIAN_POINT('Ctrl Pts',(-0.1,0.0925240473580835,0.0383247152613688)); #167787=CARTESIAN_POINT('Ctrl Pts',(-0.103125,0.0925240473580835,0.)); #167788=CARTESIAN_POINT('Ctrl Pts',(-0.10625,0.0925240473580835,-0.0383247152613687)); #167789=CARTESIAN_POINT('Ctrl Pts',(-0.109375,0.0654243813097261,-0.0654243813097261)); #167790=CARTESIAN_POINT('Ctrl Pts',(-0.1125,0.0383247152613687,-0.0925240473580835)); #167791=CARTESIAN_POINT('Ctrl Pts',(-0.115625,5.66546392206175E-18,-0.0925240473580835)); #167792=CARTESIAN_POINT('Ctrl Pts',(-0.11875,-0.0383247152613687,-0.0925240473580835)); #167793=CARTESIAN_POINT('Ctrl Pts',(-0.121875,-0.0654243813097261,-0.0654243813097261)); #167794=CARTESIAN_POINT('Ctrl Pts',(-0.125,-0.0925240473580835,-0.0383247152613687)); #167795=CARTESIAN_POINT('Ctrl Pts',(-0.128125,-0.0925240473580835,-1.13309278441235E-17)); #167796=CARTESIAN_POINT('Ctrl Pts',(-0.13125,-0.0925240473580835,0.0383247152613687)); #167797=CARTESIAN_POINT('Ctrl Pts',(-0.134375,-0.0654243813097261,0.0654243813097261)); #167798=CARTESIAN_POINT('Ctrl Pts',(-0.1375,-0.0383247152613688,0.0925240473580835)); #167799=CARTESIAN_POINT('Ctrl Pts',(-0.140625,-1.69963917661853E-17,0.0925240473580835)); #167800=CARTESIAN_POINT('Ctrl Pts',(-0.14375,0.0383247152613687,0.0925240473580835)); #167801=CARTESIAN_POINT('Ctrl Pts',(-0.146875,0.0654243813097261,0.0654243813097261)); #167802=CARTESIAN_POINT('Ctrl Pts',(-0.15,0.0925240473580835,0.0383247152613688)); #167803=CARTESIAN_POINT('Ctrl Pts',(-0.153125,0.0925240473580835,0.)); #167804=CARTESIAN_POINT('Ctrl Pts',(-0.15625,0.0925240473580835,-0.0383247152613687)); #167805=CARTESIAN_POINT('Ctrl Pts',(-0.159375,0.0654243813097261,-0.0654243813097261)); #167806=CARTESIAN_POINT('Ctrl Pts',(-0.1625,0.0383247152613687,-0.0925240473580835)); #167807=CARTESIAN_POINT('Ctrl Pts',(-0.165625,5.66546392206175E-18,-0.0925240473580835)); #167808=CARTESIAN_POINT('Ctrl Pts',(-0.16875,-0.0383247152613687,-0.0925240473580835)); #167809=CARTESIAN_POINT('Ctrl Pts',(-0.171875,-0.0654243813097261,-0.0654243813097261)); #167810=CARTESIAN_POINT('Ctrl Pts',(-0.175,-0.0925240473580835,-0.0383247152613687)); #167811=CARTESIAN_POINT('Ctrl Pts',(-0.178125,-0.0925240473580835,-1.13309278441235E-17)); #167812=CARTESIAN_POINT('Ctrl Pts',(-0.18125,-0.0925240473580835,0.0383247152613687)); #167813=CARTESIAN_POINT('Ctrl Pts',(-0.184375,-0.0654243813097261,0.0654243813097261)); #167814=CARTESIAN_POINT('Ctrl Pts',(-0.1875,-0.0383247152613688,0.0925240473580835)); #167815=CARTESIAN_POINT('Ctrl Pts',(-0.190625,-1.69963917661853E-17,0.0925240473580835)); #167816=CARTESIAN_POINT('Ctrl Pts',(-0.19375,0.0383247152613687,0.0925240473580835)); #167817=CARTESIAN_POINT('Ctrl Pts',(-0.196875,0.0654243813097261,0.0654243813097261)); #167818=CARTESIAN_POINT('Ctrl Pts',(-0.2,0.0925240473580835,0.0383247152613688)); #167819=CARTESIAN_POINT('Ctrl Pts',(-0.203125,0.0925240473580835,0.)); #167820=CARTESIAN_POINT('Ctrl Pts',(-0.20625,0.0925240473580835,-0.0383247152613687)); #167821=CARTESIAN_POINT('Ctrl Pts',(-0.209375,0.0654243813097261,-0.0654243813097261)); #167822=CARTESIAN_POINT('Ctrl Pts',(-0.2125,0.0383247152613687,-0.0925240473580835)); #167823=CARTESIAN_POINT('Ctrl Pts',(-0.215625,5.66546392206175E-18,-0.0925240473580835)); #167824=CARTESIAN_POINT('Ctrl Pts',(-0.21875,-0.0383247152613687,-0.0925240473580835)); #167825=CARTESIAN_POINT('Ctrl Pts',(-0.221875,-0.0654243813097261,-0.0654243813097261)); #167826=CARTESIAN_POINT('Ctrl Pts',(-0.225,-0.0925240473580835,-0.0383247152613687)); #167827=CARTESIAN_POINT('Ctrl Pts',(-0.228125,-0.0925240473580835,-1.13309278441235E-17)); #167828=CARTESIAN_POINT('Ctrl Pts',(-0.23125,-0.0925240473580835,0.0383247152613687)); #167829=CARTESIAN_POINT('Ctrl Pts',(-0.234375,-0.0654243813097261,0.0654243813097261)); #167830=CARTESIAN_POINT('Ctrl Pts',(-0.2375,-0.0383247152613688,0.0925240473580835)); #167831=CARTESIAN_POINT('Ctrl Pts',(-0.240625,-1.69963917661853E-17,0.0925240473580835)); #167832=CARTESIAN_POINT('Ctrl Pts',(-0.24375,0.0383247152613687,0.0925240473580835)); #167833=CARTESIAN_POINT('Ctrl Pts',(-0.246875,0.0654243813097261,0.0654243813097261)); #167834=CARTESIAN_POINT('Ctrl Pts',(-0.25,0.0925240473580835,0.0383247152613688)); #167835=CARTESIAN_POINT('Ctrl Pts',(-0.253125,0.0925240473580835,0.)); #167836=CARTESIAN_POINT('Ctrl Pts',(-0.25625,0.0925240473580835,-0.0383247152613687)); #167837=CARTESIAN_POINT('Ctrl Pts',(-0.259375,0.0654243813097261,-0.0654243813097261)); #167838=CARTESIAN_POINT('Ctrl Pts',(-0.2625,0.0383247152613687,-0.0925240473580835)); #167839=CARTESIAN_POINT('Ctrl Pts',(-0.265625,5.66546392206175E-18,-0.0925240473580835)); #167840=CARTESIAN_POINT('Ctrl Pts',(-0.26875,-0.0383247152613687,-0.0925240473580835)); #167841=CARTESIAN_POINT('Ctrl Pts',(-0.271875,-0.0654243813097261,-0.0654243813097261)); #167842=CARTESIAN_POINT('Ctrl Pts',(-0.275,-0.0925240473580835,-0.0383247152613687)); #167843=CARTESIAN_POINT('Ctrl Pts',(-0.278125,-0.0925240473580835,-1.13309278441235E-17)); #167844=CARTESIAN_POINT('Ctrl Pts',(-0.28125,-0.0925240473580835,0.0383247152613687)); #167845=CARTESIAN_POINT('Ctrl Pts',(-0.284375,-0.0654243813097261,0.0654243813097261)); #167846=CARTESIAN_POINT('Ctrl Pts',(-0.2875,-0.0383247152613688,0.0925240473580835)); #167847=CARTESIAN_POINT('Ctrl Pts',(-0.290625,-1.69963917661853E-17,0.0925240473580835)); #167848=CARTESIAN_POINT('Ctrl Pts',(-0.29375,0.0383247152613687,0.0925240473580835)); #167849=CARTESIAN_POINT('Ctrl Pts',(-0.296875,0.0654243813097261,0.0654243813097261)); #167850=CARTESIAN_POINT('Ctrl Pts',(-0.3,0.0925240473580835,0.0383247152613688)); #167851=CARTESIAN_POINT('Ctrl Pts',(-0.303125,0.0925240473580835,0.)); #167852=CARTESIAN_POINT('Ctrl Pts',(-0.30625,0.0925240473580835,-0.0383247152613687)); #167853=CARTESIAN_POINT('Ctrl Pts',(-0.309375,0.0654243813097261,-0.0654243813097261)); #167854=CARTESIAN_POINT('Ctrl Pts',(-0.3125,0.0383247152613687,-0.0925240473580835)); #167855=CARTESIAN_POINT('Ctrl Pts',(-0.315625,5.66546392206175E-18,-0.0925240473580835)); #167856=CARTESIAN_POINT('Ctrl Pts',(-0.31875,-0.0383247152613687,-0.0925240473580835)); #167857=CARTESIAN_POINT('Ctrl Pts',(-0.321875,-0.0654243813097261,-0.0654243813097261)); #167858=CARTESIAN_POINT('Ctrl Pts',(-0.325,-0.0925240473580835,-0.0383247152613687)); #167859=CARTESIAN_POINT('Ctrl Pts',(-0.328125,-0.0925240473580835,-1.13309278441235E-17)); #167860=CARTESIAN_POINT('Ctrl Pts',(-0.33125,-0.0925240473580835,0.0383247152613687)); #167861=CARTESIAN_POINT('Ctrl Pts',(-0.334375,-0.0654243813097261,0.0654243813097261)); #167862=CARTESIAN_POINT('Ctrl Pts',(-0.3375,-0.0383247152613688,0.0925240473580835)); #167863=CARTESIAN_POINT('Ctrl Pts',(-0.340625,-1.69963917661853E-17,0.0925240473580835)); #167864=CARTESIAN_POINT('Ctrl Pts',(-0.34375,0.0383247152613687,0.0925240473580835)); #167865=CARTESIAN_POINT('Ctrl Pts',(-0.346875,0.0654243813097261,0.0654243813097261)); #167866=CARTESIAN_POINT('Ctrl Pts',(-0.35,0.0925240473580835,0.0383247152613688)); #167867=CARTESIAN_POINT('Ctrl Pts',(-0.353125,0.0925240473580835,0.)); #167868=CARTESIAN_POINT('Ctrl Pts',(-0.35625,0.0925240473580835,-0.0383247152613687)); #167869=CARTESIAN_POINT('Ctrl Pts',(-0.359375,0.0654243813097261,-0.0654243813097261)); #167870=CARTESIAN_POINT('Ctrl Pts',(-0.3625,0.0383247152613687,-0.0925240473580835)); #167871=CARTESIAN_POINT('Ctrl Pts',(-0.365625,5.66546392206175E-18,-0.0925240473580835)); #167872=CARTESIAN_POINT('Ctrl Pts',(-0.36875,-0.0383247152613687,-0.0925240473580835)); #167873=CARTESIAN_POINT('Ctrl Pts',(-0.371875,-0.0654243813097261,-0.0654243813097261)); #167874=CARTESIAN_POINT('Ctrl Pts',(-0.375,-0.0925240473580835,-0.0383247152613687)); #167875=CARTESIAN_POINT('Ctrl Pts',(-0.378125,-0.0925240473580835,-1.13309278441235E-17)); #167876=CARTESIAN_POINT('Ctrl Pts',(-0.38125,-0.0925240473580835,0.0383247152613687)); #167877=CARTESIAN_POINT('Ctrl Pts',(-0.384375,-0.0654243813097261,0.0654243813097261)); #167878=CARTESIAN_POINT('Ctrl Pts',(-0.3875,-0.0383247152613688,0.0925240473580835)); #167879=CARTESIAN_POINT('Ctrl Pts',(-0.390625,-1.69963917661853E-17,0.0925240473580835)); #167880=CARTESIAN_POINT('Ctrl Pts',(-0.39375,0.0383247152613687,0.0925240473580835)); #167881=CARTESIAN_POINT('Ctrl Pts',(-0.396875,0.0654243813097261,0.0654243813097261)); #167882=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.0925240473580835,0.0383247152613688)); #167883=CARTESIAN_POINT('Ctrl Pts',(-0.403125,0.0925240473580835,0.)); #167884=CARTESIAN_POINT('Ctrl Pts',(-0.40625,0.0925240473580835,-0.0383247152613687)); #167885=CARTESIAN_POINT('Ctrl Pts',(-0.409375,0.0654243813097261,-0.0654243813097261)); #167886=CARTESIAN_POINT('Ctrl Pts',(-0.4125,0.0383247152613687,-0.0925240473580835)); #167887=CARTESIAN_POINT('Ctrl Pts',(-0.415625,5.66546392206175E-18,-0.0925240473580835)); #167888=CARTESIAN_POINT('Ctrl Pts',(-0.41875,-0.0383247152613687,-0.0925240473580835)); #167889=CARTESIAN_POINT('Ctrl Pts',(-0.421875,-0.0654243813097261,-0.0654243813097261)); #167890=CARTESIAN_POINT('Ctrl Pts',(-0.425,-0.0925240473580835,-0.0383247152613687)); #167891=CARTESIAN_POINT('Ctrl Pts',(-0.428125,-0.0925240473580835,-1.13309278441235E-17)); #167892=CARTESIAN_POINT('Ctrl Pts',(-0.43125,-0.0925240473580835,0.0383247152613687)); #167893=CARTESIAN_POINT('Ctrl Pts',(-0.434375,-0.0654243813097261,0.0654243813097261)); #167894=CARTESIAN_POINT('Ctrl Pts',(-0.4375,-0.0383247152613688,0.0925240473580835)); #167895=CARTESIAN_POINT('Ctrl Pts',(-0.440625,-1.69963917661853E-17,0.0925240473580835)); #167896=CARTESIAN_POINT('Ctrl Pts',(-0.44375,0.0383247152613687,0.0925240473580835)); #167897=CARTESIAN_POINT('Ctrl Pts',(-0.446875,0.0654243813097261,0.0654243813097261)); #167898=CARTESIAN_POINT('Ctrl Pts',(-0.45,0.0925240473580835,0.0383247152613688)); #167899=CARTESIAN_POINT('Ctrl Pts',(-0.453125,0.0925240473580835,0.)); #167900=CARTESIAN_POINT('',(0.,0.,0.)); #167901=CARTESIAN_POINT('',(0.,0.,0.)); #167902=CARTESIAN_POINT('',(1.375,1.27306234,-1.29595351)); #167903=CARTESIAN_POINT('',(-1.375,1.27306234,-1.29595351)); #167904=CARTESIAN_POINT('',(0.,0.,0.)); #167905=CARTESIAN_POINT('',(0.,0.,0.)); #167906=CARTESIAN_POINT('Origin',(-0.15875,-0.10854607766549,-1.35038778)); #167907=CARTESIAN_POINT('',(-0.15125,0.121088810383123,-1.35788778)); #167908=CARTESIAN_POINT('',(-0.15125,-0.121088810383123,-1.35788778)); #167909=CARTESIAN_POINT('',(-0.15125,-0.10854607766549,-1.35788778)); #167910=CARTESIAN_POINT('',(-0.16625,-0.126243811729526,-1.34288778)); #167911=CARTESIAN_POINT('Ctrl Pts',(-0.15125,-0.121088810383123,-1.35788778)); #167912=CARTESIAN_POINT('Ctrl Pts',(-0.156241661491199,-0.122840794011542, -1.3528961185088)); #167913=CARTESIAN_POINT('Ctrl Pts',(-0.161246741369319,-0.124559463887911, -1.34789103863068)); #167914=CARTESIAN_POINT('Ctrl Pts',(-0.16625,-0.126243811729526,-1.34288778)); #167915=CARTESIAN_POINT('',(-0.16625,0.126243811729526,-1.34288778)); #167916=CARTESIAN_POINT('',(-0.16625,-0.10854607766549,-1.34288778)); #167917=CARTESIAN_POINT('Ctrl Pts',(-0.15125,0.121088810383123,-1.35788778)); #167918=CARTESIAN_POINT('Ctrl Pts',(-0.156241661491199,0.122840794011542, -1.3528961185088)); #167919=CARTESIAN_POINT('Ctrl Pts',(-0.161246741369321,0.124559463887911, -1.34789103863068)); #167920=CARTESIAN_POINT('Ctrl Pts',(-0.16625,0.126243811729526,-1.34288778)); #167921=CARTESIAN_POINT('Origin',(0.,0.,-1.35038778)); #167922=CARTESIAN_POINT('',(0.15125,-0.121088810383123,-1.35788778)); #167923=CARTESIAN_POINT('Origin',(0.,0.,-1.35788778)); #167924=CARTESIAN_POINT('',(0.16625,-0.126243811729526,-1.34288778)); #167925=CARTESIAN_POINT('Ctrl Pts',(0.15125,-0.121088810383123,-1.35788778)); #167926=CARTESIAN_POINT('Ctrl Pts',(0.156241661491196,-0.122840794011541, -1.3528961185088)); #167927=CARTESIAN_POINT('Ctrl Pts',(0.161246741369313,-0.124559463887909, -1.34789103863069)); #167928=CARTESIAN_POINT('Ctrl Pts',(0.16625,-0.126243811729526,-1.34288778)); #167929=CARTESIAN_POINT('Origin',(0.,0.,-1.34288778)); #167930=CARTESIAN_POINT('Origin',(0.15875,0.10854607766549,-1.35038778)); #167931=CARTESIAN_POINT('',(0.15125,0.121088810383123,-1.35788778)); #167932=CARTESIAN_POINT('',(0.15125,0.10854607766549,-1.35788778)); #167933=CARTESIAN_POINT('',(0.16625,0.126243811729526,-1.34288778)); #167934=CARTESIAN_POINT('Ctrl Pts',(0.15125,0.121088810383123,-1.35788778)); #167935=CARTESIAN_POINT('Ctrl Pts',(0.156241661491197,0.122840794011542, -1.3528961185088)); #167936=CARTESIAN_POINT('Ctrl Pts',(0.161246741369316,0.124559463887909, -1.34789103863068)); #167937=CARTESIAN_POINT('Ctrl Pts',(0.16625,0.126243811729526,-1.34288778)); #167938=CARTESIAN_POINT('',(0.16625,0.10854607766549,-1.34288778)); #167939=CARTESIAN_POINT('Origin',(0.,0.,-1.35038778)); #167940=CARTESIAN_POINT('Origin',(0.,0.,-1.34288778)); #167941=CARTESIAN_POINT('Origin',(0.,0.,-1.35788778)); #167942=CARTESIAN_POINT('Origin',(0.,0.,-1.35788778)); #167943=CARTESIAN_POINT('',(-0.16625,0.126243811729526,-0.79778778)); #167944=CARTESIAN_POINT('',(-0.16625,0.126243811729526,-1.35788778)); #167945=CARTESIAN_POINT('',(0.16625,0.126243811729526,-0.79778778)); #167946=CARTESIAN_POINT('Origin',(0.,0.,-0.79778778)); #167947=CARTESIAN_POINT('',(0.16625,0.126243811729526,-1.35788778)); #167948=CARTESIAN_POINT('Origin',(-0.16625,-0.217092155330979,-0.78778778)); #167949=CARTESIAN_POINT('',(-0.16625,-0.126243811729526,-0.79778778)); #167950=CARTESIAN_POINT('',(-0.16625,-0.126243811729526,-1.35788778)); #167951=CARTESIAN_POINT('',(-0.16625,-0.10854607766549,-0.79778778)); #167952=CARTESIAN_POINT('Origin',(-9.18946193151848E-18,0.,-1.35788778)); #167953=CARTESIAN_POINT('',(-0.12925,1.58285598789795E-17,-1.35788778)); #167954=CARTESIAN_POINT('Origin',(0.,0.,-1.35788778)); #167955=CARTESIAN_POINT('Origin',(0.,0.,-0.0357532300000004)); #167956=CARTESIAN_POINT('',(0.098,-1.20015386316441E-17,-0.0357532300000004)); #167957=CARTESIAN_POINT('Origin',(0.,0.,-0.0357532300000004)); #167958=CARTESIAN_POINT('',(0.088,-1.07768918324967E-17,-0.0257532300000003)); #167959=CARTESIAN_POINT('Origin',(0.088,-1.07768918324967E-17,-0.0357532300000004)); #167960=CARTESIAN_POINT('Origin',(0.,0.,-0.0257532300000003)); #167961=CARTESIAN_POINT('Origin',(0.,0.,-0.691820505)); #167962=CARTESIAN_POINT('',(-0.098,-1.20015386316441E-17,-1.32663778)); #167963=CARTESIAN_POINT('',(0.098,-1.20015386316441E-17,-1.32663778)); #167964=CARTESIAN_POINT('Origin',(0.,0.,-1.32663778)); #167965=CARTESIAN_POINT('Origin',(0.,0.,-1.32663778)); #167966=CARTESIAN_POINT('',(0.098,-1.20015386316441E-17,-0.691820505)); #167967=CARTESIAN_POINT('Origin',(0.,0.,-0.0257532300000003)); #167968=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.12217272729652,0.357512283690863)); #167969=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.122204283353553,0.35758866310957)); #167970=CARTESIAN_POINT('Ctrl Pts',(-0.273437421182505,0.122235717620958, 0.357665125178438)); #167971=CARTESIAN_POINT('Ctrl Pts',(-0.273417112610824,0.128090485800662, 0.37174367040047)); #167972=CARTESIAN_POINT('Ctrl Pts',(-0.271147752983293,0.133655877983601, 0.386413622272409)); #167973=CARTESIAN_POINT('Ctrl Pts',(-0.266139723412208,0.140278360221019, 0.397537507266919)); #167974=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.12217272729652,0.357512283690863)); #167975=CARTESIAN_POINT('Ctrl Pts',(-0.273442530817427,0.122195009050651, 0.357589976672264)); #167976=CARTESIAN_POINT('Ctrl Pts',(-0.273432502038737,0.122221221575484, 0.357667811575155)); #167977=CARTESIAN_POINT('Ctrl Pts',(-0.273552653674982,0.126579206654721, 0.371987492644697)); #167978=CARTESIAN_POINT('Ctrl Pts',(-0.269163074334202,0.130796134438511, 0.386876358431624)); #167979=CARTESIAN_POINT('Ctrl Pts',(-0.262817149451503,0.137854790222958, 0.395870843188901)); #167980=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.12217272729652,0.357512283690863)); #167981=CARTESIAN_POINT('Ctrl Pts',(-0.273441132249275,0.122187309305096, 0.357591314295101)); #167982=CARTESIAN_POINT('Ctrl Pts',(-0.273433837656527,0.122205106426522, 0.357670540072795)); #167983=CARTESIAN_POINT('Ctrl Pts',(-0.273503688378628,0.125138596488779, 0.372216415476665)); #167984=CARTESIAN_POINT('Ctrl Pts',(-0.268744120227659,0.127618989314998, 0.387467320447188)); #167985=CARTESIAN_POINT('Ctrl Pts',(-0.260550540719277,0.13299761612012, 0.396205994966025)); #167986=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.12217272729652,0.357512283690863)); #167987=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.122180563217871,0.357592659211011)); #167988=CARTESIAN_POINT('Ctrl Pts',(-0.273437364884293,0.122188182881401, 0.357673182516926)); #167989=CARTESIAN_POINT('Ctrl Pts',(-0.27340255018998,0.123690183314656, 0.372469465517924)); #167990=CARTESIAN_POINT('Ctrl Pts',(-0.269512219399932,0.124613861256433, 0.387895903964617)); #167991=CARTESIAN_POINT('Ctrl Pts',(-0.260927025849499,0.129107936650538, 0.398308282049049)); #167992=CARTESIAN_POINT('',(-0.260927025849499,0.129107936650538,0.398308282049048)); #167993=CARTESIAN_POINT('',(-0.266139723412208,0.140278360221019,0.397537507266919)); #167994=CARTESIAN_POINT('Origin',(-0.268841946824416,0.132589113928105, 0.403331769953182)); #167995=CARTESIAN_POINT('',(-0.2734375,0.122172727296519,0.357512283690863)); #167996=CARTESIAN_POINT('Ctrl Pts',(-0.266139723412208,0.140278360221019, 0.397537507266919)); #167997=CARTESIAN_POINT('Ctrl Pts',(-0.271147752983293,0.133655877983601, 0.386413622272409)); #167998=CARTESIAN_POINT('Ctrl Pts',(-0.273417112610824,0.128090485800662, 0.37174367040047)); #167999=CARTESIAN_POINT('Ctrl Pts',(-0.273437421182505,0.122235717620958, 0.357665125178438)); #168000=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.122204283353553,0.35758866310957)); #168001=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.12217272729652,0.357512283690863)); #168002=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.12217272729652,0.357512283690863)); #168003=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.122180563217871,0.357592659211011)); #168004=CARTESIAN_POINT('Ctrl Pts',(-0.273437364884293,0.122188182881401, 0.357673182516926)); #168005=CARTESIAN_POINT('Ctrl Pts',(-0.27340255018998,0.123690183314656, 0.372469465517924)); #168006=CARTESIAN_POINT('Ctrl Pts',(-0.269512219399932,0.124613861256433, 0.387895903964617)); #168007=CARTESIAN_POINT('Ctrl Pts',(-0.260927025849499,0.129107936650538, 0.398308282049049)); #168008=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.142663244642467,0.417297829087606)); #168009=CARTESIAN_POINT('Ctrl Pts',(-0.2291764321841,0.142663244642467, 0.417297829087606)); #168010=CARTESIAN_POINT('Ctrl Pts',(-0.244818392068078,0.138728386209996, 0.412122907378573)); #168011=CARTESIAN_POINT('Ctrl Pts',(-0.255926253257377,0.131967264334286, 0.403252856471492)); #168012=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.144760465025268,0.415840452427216)); #168013=CARTESIAN_POINT('Ctrl Pts',(-0.22919730466894,0.144760465025268, 0.415840452427216)); #168014=CARTESIAN_POINT('Ctrl Pts',(-0.24487282197105,0.140815743617515, 0.41064407527068)); #168015=CARTESIAN_POINT('Ctrl Pts',(-0.255979922405289,0.134050112078021, 0.401750263342297)); #168016=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.150113173842969,0.414627906684703)); #168017=CARTESIAN_POINT('Ctrl Pts',(-0.229795534580037,0.150113173842969, 0.414627906684703)); #168018=CARTESIAN_POINT('Ctrl Pts',(-0.246046114905607,0.14603188125507, 0.409224867080846)); #168019=CARTESIAN_POINT('Ctrl Pts',(-0.257562771062931,0.139034684573175, 0.399968514676068)); #168020=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.154819168886093,0.417451976357865)); #168021=CARTESIAN_POINT('Ctrl Pts',(-0.231128189636879,0.154819168886093, 0.417451976357865)); #168022=CARTESIAN_POINT('Ctrl Pts',(-0.248712128635267,0.150417843266166, 0.411611744910419)); #168023=CARTESIAN_POINT('Ctrl Pts',(-0.261187809568831,0.142872529889945, 0.401598250942258)); #168024=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.156356129528638,0.419491592019589)); #168025=CARTESIAN_POINT('Ctrl Pts',(-0.231799587548117,0.156356129528638, 0.419491592019589)); #168026=CARTESIAN_POINT('Ctrl Pts',(-0.250048540746091,0.151792432931611, 0.413435362083058)); #168027=CARTESIAN_POINT('Ctrl Pts',(-0.263007712133607,0.143963605069433, 0.403046156609197)); #168028=CARTESIAN_POINT('',(-0.263007712133371,0.143963605069292,0.40304615660901)); #168029=CARTESIAN_POINT('',(-0.255926253257377,0.131967264334286,0.403252856471492)); #168030=CARTESIAN_POINT('Origin',(-0.263007712133607,0.13597724996896,0.409064306840718)); #168031=CARTESIAN_POINT('',(-0.2134375,0.142663244642467,0.417297829087606)); #168032=CARTESIAN_POINT('Ctrl Pts',(-0.255926253257377,0.131967264334286, 0.403252856471492)); #168033=CARTESIAN_POINT('Ctrl Pts',(-0.244818392068078,0.138728386209996, 0.412122907378573)); #168034=CARTESIAN_POINT('Ctrl Pts',(-0.2291764321841,0.142663244642467, 0.417297829087606)); #168035=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.142663244642467,0.417297829087606)); #168036=CARTESIAN_POINT('',(-0.2134375,0.156356129528638,0.419491592019589)); #168037=CARTESIAN_POINT('Origin',(-0.2134375,0.148369774428165,0.42550974225111)); #168038=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.156356129528638,0.419491592019589)); #168039=CARTESIAN_POINT('Ctrl Pts',(-0.231799587548117,0.156356129528638, 0.419491592019589)); #168040=CARTESIAN_POINT('Ctrl Pts',(-0.250048540746091,0.151792432931611, 0.413435362083058)); #168041=CARTESIAN_POINT('Ctrl Pts',(-0.263007712133607,0.143963605069433, 0.403046156609197)); #168042=CARTESIAN_POINT('Origin',(0.,0.148369774428165,0.42550974225111)); #168043=CARTESIAN_POINT('',(0.2134375,0.142663244642467,0.417297829087606)); #168044=CARTESIAN_POINT('',(0.2134375,0.156356129528638,0.419491592019589)); #168045=CARTESIAN_POINT('Origin',(0.2134375,0.148369774428165,0.42550974225111)); #168046=CARTESIAN_POINT('',(0.,0.156356129528638,0.419491592019589)); #168047=CARTESIAN_POINT('',(0.,0.142663244642467,0.417297829087606)); #168048=CARTESIAN_POINT('Ctrl Pts',(0.255926253257375,0.131967264334287, 0.403252856471494)); #168049=CARTESIAN_POINT('Ctrl Pts',(0.244818392068076,0.138728386209997, 0.412122907378574)); #168050=CARTESIAN_POINT('Ctrl Pts',(0.229176432184099,0.142663244642467, 0.417297829087606)); #168051=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.142663244642467,0.417297829087606)); #168052=CARTESIAN_POINT('Ctrl Pts',(0.255979922405287,0.134050112078023, 0.401750263342299)); #168053=CARTESIAN_POINT('Ctrl Pts',(0.244872821971048,0.140815743617515, 0.410644075270681)); #168054=CARTESIAN_POINT('Ctrl Pts',(0.229197304668938,0.144760465025268, 0.415840452427217)); #168055=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.144760465025268,0.415840452427217)); #168056=CARTESIAN_POINT('Ctrl Pts',(0.257562771062928,0.139034684573177, 0.399968514676069)); #168057=CARTESIAN_POINT('Ctrl Pts',(0.246046114905605,0.14603188125507, 0.409224867080847)); #168058=CARTESIAN_POINT('Ctrl Pts',(0.229795534580036,0.150113173842969, 0.414627906684704)); #168059=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.150113173842969,0.414627906684704)); #168060=CARTESIAN_POINT('Ctrl Pts',(0.261187809568829,0.142872529889946, 0.40159825094226)); #168061=CARTESIAN_POINT('Ctrl Pts',(0.248712128635264,0.150417843266166, 0.41161174491042)); #168062=CARTESIAN_POINT('Ctrl Pts',(0.231128189636878,0.154819168886093, 0.417451976357865)); #168063=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.154819168886093,0.417451976357865)); #168064=CARTESIAN_POINT('Ctrl Pts',(0.263007712133604,0.143963605069435, 0.403046156609199)); #168065=CARTESIAN_POINT('Ctrl Pts',(0.250048540746089,0.151792432931612, 0.413435362083059)); #168066=CARTESIAN_POINT('Ctrl Pts',(0.231799587548116,0.156356129528638, 0.419491592019589)); #168067=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.156356129528638,0.419491592019589)); #168068=CARTESIAN_POINT('',(0.255926253257375,0.131967264334287,0.403252856471494)); #168069=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.142663244642467,0.417297829087606)); #168070=CARTESIAN_POINT('Ctrl Pts',(0.229176432184099,0.142663244642467, 0.417297829087606)); #168071=CARTESIAN_POINT('Ctrl Pts',(0.244818392068076,0.138728386209997, 0.412122907378574)); #168072=CARTESIAN_POINT('Ctrl Pts',(0.255926253257375,0.131967264334287, 0.403252856471494)); #168073=CARTESIAN_POINT('',(0.26300771213337,0.143963605069294,0.403046156609013)); #168074=CARTESIAN_POINT('Origin',(0.263007712133604,0.135977249968962,0.40906430684072)); #168075=CARTESIAN_POINT('Ctrl Pts',(0.263007712133604,0.143963605069435, 0.403046156609199)); #168076=CARTESIAN_POINT('Ctrl Pts',(0.250048540746089,0.151792432931612, 0.413435362083059)); #168077=CARTESIAN_POINT('Ctrl Pts',(0.231799587548116,0.156356129528638, 0.419491592019589)); #168078=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.156356129528638,0.419491592019589)); #168079=CARTESIAN_POINT('Ctrl Pts',(-0.266139723412208,0.140278360221019, 0.397537507266919)); #168080=CARTESIAN_POINT('Ctrl Pts',(-0.265245002990835,0.141395447797755, 0.399437196075365)); #168081=CARTESIAN_POINT('Ctrl Pts',(-0.264202837195979,0.142633078199563, 0.401280487816465)); #168082=CARTESIAN_POINT('Ctrl Pts',(-0.263007712133385,0.143963605069369, 0.403046156609111)); #168083=CARTESIAN_POINT('Ctrl Pts',(-0.264478436431855,0.139066575221988, 0.39670417522791)); #168084=CARTESIAN_POINT('Ctrl Pts',(-0.263492500285762,0.140213571609928, 0.39843164874328)); #168085=CARTESIAN_POINT('Ctrl Pts',(-0.262391244037391,0.141487125631813, 0.400070828909996)); #168086=CARTESIAN_POINT('Ctrl Pts',(-0.261187809568635,0.14287252988985, 0.401598250942232)); #168087=CARTESIAN_POINT('Ctrl Pts',(-0.261577782818958,0.135468240589586, 0.395930352811857)); #168088=CARTESIAN_POINT('Ctrl Pts',(-0.260320087663928,0.136520939995545, 0.397455596122965)); #168089=CARTESIAN_POINT('Ctrl Pts',(-0.258968907943684,0.137721433032561, 0.398802030219869)); #168090=CARTESIAN_POINT('Ctrl Pts',(-0.257562766435203,0.139034687171583, 0.399968506945632)); #168091=CARTESIAN_POINT('Ctrl Pts',(-0.260738783284388,0.131052776385329, 0.397257138507537)); #168092=CARTESIAN_POINT('Ctrl Pts',(-0.259264144778347,0.131910508878484, 0.39896067051232)); #168093=CARTESIAN_POINT('Ctrl Pts',(-0.257669050652503,0.132925018704651, 0.400470156087582)); #168094=CARTESIAN_POINT('Ctrl Pts',(-0.255979922405316,0.134050112077973, 0.401750263342298)); #168095=CARTESIAN_POINT('Ctrl Pts',(-0.260927025849499,0.129107936650538, 0.398308282049048)); #168096=CARTESIAN_POINT('Ctrl Pts',(-0.25939321941286,0.129910835469968, 0.400168528114883)); #168097=CARTESIAN_POINT('Ctrl Pts',(-0.257714726275266,0.130878658360655, 0.401824692539243)); #168098=CARTESIAN_POINT('Ctrl Pts',(-0.255926253257437,0.131967264334249, 0.403252856471444)); #168099=CARTESIAN_POINT('Ctrl Pts',(-0.263007712133385,0.143963605069369, 0.403046156609111)); #168100=CARTESIAN_POINT('Ctrl Pts',(-0.264202837195979,0.142633078199563, 0.401280487816465)); #168101=CARTESIAN_POINT('Ctrl Pts',(-0.265245002990835,0.141395447797755, 0.399437196075365)); #168102=CARTESIAN_POINT('Ctrl Pts',(-0.266139723412208,0.140278360221019, 0.397537507266919)); #168103=CARTESIAN_POINT('Ctrl Pts',(-0.260927025849499,0.129107936650538, 0.398308282049048)); #168104=CARTESIAN_POINT('Ctrl Pts',(-0.25939321941286,0.129910835469968, 0.400168528114883)); #168105=CARTESIAN_POINT('Ctrl Pts',(-0.257714726275266,0.130878658360655, 0.401824692539243)); #168106=CARTESIAN_POINT('Ctrl Pts',(-0.255926253257437,0.131967264334249, 0.403252856471444)); #168107=CARTESIAN_POINT('Ctrl Pts',(0.266139723412208,0.140278360221019, 0.397537507266919)); #168108=CARTESIAN_POINT('Ctrl Pts',(0.271147752983293,0.133655877983601, 0.386413622272409)); #168109=CARTESIAN_POINT('Ctrl Pts',(0.273417924027133,0.128082991375018, 0.371744973788418)); #168110=CARTESIAN_POINT('Ctrl Pts',(0.273437418268033,0.122235745566784, 0.357665119737529)); #168111=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122204283353552,0.35758866310957)); #168112=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122172727296519,0.357512283690863)); #168113=CARTESIAN_POINT('Ctrl Pts',(0.264241109720376,0.13889346307927, 0.396585127793766)); #168114=CARTESIAN_POINT('Ctrl Pts',(0.269900303333895,0.132050571365888, 0.386675944007199)); #168115=CARTESIAN_POINT('Ctrl Pts',(0.273447106292883,0.127228898290542, 0.371888925038759)); #168116=CARTESIAN_POINT('Ctrl Pts',(0.273437245462777,0.122226752322478, 0.357666632747585)); #168117=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.12219976523247,0.357589424271749)); #168118=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122172727296519,0.357512283690863)); #168119=CARTESIAN_POINT('Ctrl Pts',(0.261415190769654,0.135120594194329, 0.395954037769835)); #168120=CARTESIAN_POINT('Ctrl Pts',(0.268733477921345,0.129042748812236, 0.387242732773887)); #168121=CARTESIAN_POINT('Ctrl Pts',(0.273455895168748,0.125762241501203, 0.372120826604504)); #168122=CARTESIAN_POINT('Ctrl Pts',(0.273437143982489,0.122210907370937, 0.357669356349805)); #168123=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122191858520576,0.357590756305563)); #168124=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122172727296519,0.357512283690863)); #168125=CARTESIAN_POINT('Ctrl Pts',(0.260765675079404,0.130774942137501, 0.39740730187061)); #168126=CARTESIAN_POINT('Ctrl Pts',(0.269125040622484,0.12594044441508, 0.38769824835485)); #168127=CARTESIAN_POINT('Ctrl Pts',(0.273421326966422,0.124304195501166, 0.372368998107785)); #168128=CARTESIAN_POINT('Ctrl Pts',(0.273437279342426,0.122195028780834, 0.357672018734398)); #168129=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122183951808683,0.357592088339377)); #168130=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122172727296519,0.357512283690863)); #168131=CARTESIAN_POINT('Ctrl Pts',(0.260927025849499,0.129107936650538, 0.398308282049049)); #168132=CARTESIAN_POINT('Ctrl Pts',(0.269512219399931,0.124613861256433, 0.387895903964618)); #168133=CARTESIAN_POINT('Ctrl Pts',(0.273403941189363,0.123678483422702, 0.372474316432015)); #168134=CARTESIAN_POINT('Ctrl Pts',(0.273437359888057,0.122188226800993, 0.357673163712592)); #168135=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122180563217871,0.357592659211011)); #168136=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122172727296519,0.357512283690863)); #168137=CARTESIAN_POINT('',(0.260927025849499,0.129107936650538,0.398308282049049)); #168138=CARTESIAN_POINT('',(0.2734375,0.122172727296519,0.357512283690863)); #168139=CARTESIAN_POINT('Ctrl Pts',(0.260927025849499,0.129107936650538, 0.398308282049049)); #168140=CARTESIAN_POINT('Ctrl Pts',(0.269512219399931,0.124613861256433, 0.387895903964618)); #168141=CARTESIAN_POINT('Ctrl Pts',(0.273403941189363,0.123678483422702, 0.372474316432015)); #168142=CARTESIAN_POINT('Ctrl Pts',(0.273437359888057,0.122188226800993, 0.357673163712592)); #168143=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122180563217871,0.357592659211011)); #168144=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122172727296519,0.357512283690863)); #168145=CARTESIAN_POINT('',(0.266139723412208,0.140278360221019,0.397537507266919)); #168146=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122172727296519,0.357512283690863)); #168147=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.122204283353552,0.35758866310957)); #168148=CARTESIAN_POINT('Ctrl Pts',(0.273437418268033,0.122235745566784, 0.357665119737529)); #168149=CARTESIAN_POINT('Ctrl Pts',(0.273417924027133,0.128082991375018, 0.371744973788418)); #168150=CARTESIAN_POINT('Ctrl Pts',(0.271147752983293,0.133655877983601, 0.386413622272409)); #168151=CARTESIAN_POINT('Ctrl Pts',(0.266139723412208,0.140278360221019, 0.397537507266919)); #168152=CARTESIAN_POINT('Origin',(0.268841946824416,0.132589113928105,0.403331769953182)); #168153=CARTESIAN_POINT('Ctrl Pts',(0.263007712133383,0.14396360506937, 0.403046156609113)); #168154=CARTESIAN_POINT('Ctrl Pts',(0.264202837195978,0.142633078199564, 0.401280487816467)); #168155=CARTESIAN_POINT('Ctrl Pts',(0.265245002990835,0.141395447797755, 0.399437196075366)); #168156=CARTESIAN_POINT('Ctrl Pts',(0.266139723412208,0.140278360221019, 0.397537507266919)); #168157=CARTESIAN_POINT('Ctrl Pts',(0.261187809568634,0.142872529889852, 0.401598250942234)); #168158=CARTESIAN_POINT('Ctrl Pts',(0.26239124403739,0.141487125631814, 0.400070828909997)); #168159=CARTESIAN_POINT('Ctrl Pts',(0.263492500285761,0.140213571609929, 0.398431648743281)); #168160=CARTESIAN_POINT('Ctrl Pts',(0.264478436431855,0.139066575221988, 0.39670417522791)); #168161=CARTESIAN_POINT('Ctrl Pts',(0.257562766435201,0.139034687171585, 0.399968506945633)); #168162=CARTESIAN_POINT('Ctrl Pts',(0.258968907943683,0.137721433032562, 0.39880203021987)); #168163=CARTESIAN_POINT('Ctrl Pts',(0.260320087663927,0.136520939995545, 0.397455596122966)); #168164=CARTESIAN_POINT('Ctrl Pts',(0.261577782818958,0.135468240589586, 0.395930352811857)); #168165=CARTESIAN_POINT('Ctrl Pts',(0.255979922405313,0.134050112077975, 0.4017502633423)); #168166=CARTESIAN_POINT('Ctrl Pts',(0.257669050652502,0.132925018704652, 0.400470156087583)); #168167=CARTESIAN_POINT('Ctrl Pts',(0.259264144778346,0.131910508878484, 0.39896067051232)); #168168=CARTESIAN_POINT('Ctrl Pts',(0.260738783284388,0.131052776385329, 0.397257138507537)); #168169=CARTESIAN_POINT('Ctrl Pts',(0.255926253257434,0.131967264334251, 0.403252856471446)); #168170=CARTESIAN_POINT('Ctrl Pts',(0.257714726275264,0.130878658360656, 0.401824692539245)); #168171=CARTESIAN_POINT('Ctrl Pts',(0.259393219412859,0.129910835469968, 0.400168528114884)); #168172=CARTESIAN_POINT('Ctrl Pts',(0.260927025849499,0.129107936650538, 0.398308282049049)); #168173=CARTESIAN_POINT('Ctrl Pts',(0.266139723412208,0.140278360221019, 0.397537507266919)); #168174=CARTESIAN_POINT('Ctrl Pts',(0.265245002990835,0.141395447797755, 0.399437196075366)); #168175=CARTESIAN_POINT('Ctrl Pts',(0.264202837195978,0.142633078199564, 0.401280487816467)); #168176=CARTESIAN_POINT('Ctrl Pts',(0.263007712133383,0.14396360506937, 0.403046156609113)); #168177=CARTESIAN_POINT('Ctrl Pts',(0.255926253257434,0.131967264334251, 0.403252856471446)); #168178=CARTESIAN_POINT('Ctrl Pts',(0.257714726275264,0.130878658360656, 0.401824692539245)); #168179=CARTESIAN_POINT('Ctrl Pts',(0.259393219412859,0.129910835469968, 0.400168528114884)); #168180=CARTESIAN_POINT('Ctrl Pts',(0.260927025849499,0.129107936650538, 0.398308282049049)); #168181=CARTESIAN_POINT('Ctrl Pts',(-0.2634375,0.13998125141346,0.397761394812176)); #168182=CARTESIAN_POINT('Ctrl Pts',(-0.2634375,0.146767668901793,0.406767274997424)); #168183=CARTESIAN_POINT('Ctrl Pts',(-0.254434731228568,0.162649741270569, 0.427843496891008)); #168184=CARTESIAN_POINT('Ctrl Pts',(-0.228472944950708,0.170369639603332, 0.438088147996938)); #168185=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.170369639603332,0.438088147996938)); #168186=CARTESIAN_POINT('Ctrl Pts',(-0.266055493877991,0.13998125141346, 0.397761394812176)); #168187=CARTESIAN_POINT('Ctrl Pts',(-0.26611272046489,0.147113900145451, 0.407229809426957)); #168188=CARTESIAN_POINT('Ctrl Pts',(-0.256761047956801,0.163874129051862, 0.429473412610909)); #168189=CARTESIAN_POINT('Ctrl Pts',(-0.229333666410523,0.17207368639595, 0.44034949446888)); #168190=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.17207368639595,0.44034949446888)); #168191=CARTESIAN_POINT('Ctrl Pts',(-0.271271255335177,0.141711291326238, 0.396457716229063)); #168192=CARTESIAN_POINT('Ctrl Pts',(-0.271437786940894,0.149614154397758, 0.406779922365322)); #168193=CARTESIAN_POINT('Ctrl Pts',(-0.261376375743411,0.168287781758083, 0.431215379553477)); #168194=CARTESIAN_POINT('Ctrl Pts',(-0.231034176068222,0.177505043730326, 0.443308877702927)); #168195=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.177505043730326,0.443308877702927)); #168196=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.145876783637882,0.393318792626951)); #168197=CARTESIAN_POINT('Ctrl Pts',(-0.273528528920951,0.154218107857647, 0.403917013468732)); #168198=CARTESIAN_POINT('Ctrl Pts',(-0.262917695999066,0.173779954163983, 0.428914934767892)); #168199=CARTESIAN_POINT('Ctrl Pts',(-0.23159869502721,0.183320067343263, 0.441201013380768)); #168200=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.183320067343263,0.441201013380768)); #168201=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.147967606513933,0.391743244580656)); #168202=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.156351274201403,0.402303755533338)); #168203=CARTESIAN_POINT('Ctrl Pts',(-0.26263417747428,0.175895127805362, 0.427108692745414)); #168204=CARTESIAN_POINT('Ctrl Pts',(-0.231480033940852,0.185345171212682, 0.439222019278791)); #168205=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.185345171212682,0.439222019278791)); #168206=CARTESIAN_POINT('',(-0.2134375,0.170369639603332,0.438088147996938)); #168207=CARTESIAN_POINT('Ctrl Pts',(-0.262998362846294,0.14396286845389, 0.403045179087355)); #168208=CARTESIAN_POINT('Ctrl Pts',(-0.261805231655362,0.149378469319526, 0.410231924172061)); #168209=CARTESIAN_POINT('Ctrl Pts',(-0.252253766444796,0.163298264689484, 0.428704116535779)); #168210=CARTESIAN_POINT('Ctrl Pts',(-0.228472944950708,0.170369639603332, 0.438088147996938)); #168211=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.170369639603332,0.438088147996938)); #168212=CARTESIAN_POINT('',(-0.2134375,0.185345171212682,0.439222019278791)); #168213=CARTESIAN_POINT('Origin',(-0.2134375,0.178355994703805,0.432069997765417)); #168214=CARTESIAN_POINT('',(-0.2734375,0.147967606513933,0.391743244580656)); #168215=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,0.185345171212682,0.439222019278791)); #168216=CARTESIAN_POINT('Ctrl Pts',(-0.231480033940852,0.185345171212682, 0.439222019278791)); #168217=CARTESIAN_POINT('Ctrl Pts',(-0.26263417747428,0.175895127805362, 0.427108692745414)); #168218=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.156351274201403,0.402303755533338)); #168219=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.147967606513933,0.391743244580656)); #168220=CARTESIAN_POINT('Origin',(-0.2634375,0.147967606513933,0.391743244580656)); #168221=CARTESIAN_POINT('Origin',(0.,0.150453755788012,0.411658877511823)); #168222=CARTESIAN_POINT('',(0.2134375,0.170369639603332,0.438088147996938)); #168223=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.170369639603332,0.438088147996938)); #168224=CARTESIAN_POINT('Ctrl Pts',(0.228472742202232,0.170369639603332, 0.438088147996938)); #168225=CARTESIAN_POINT('Ctrl Pts',(0.252253218726375,0.163298711687225, 0.428704709721817)); #168226=CARTESIAN_POINT('Ctrl Pts',(0.261805135274397,0.149378477847943, 0.410231935489652)); #168227=CARTESIAN_POINT('Ctrl Pts',(0.262998334204836,0.143962866196685, 0.403045176091943)); #168228=CARTESIAN_POINT('',(0.,0.170369639603332,0.438088147996938)); #168229=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.147967606513933,0.391743244580656)); #168230=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.156351161150152,0.402303613128008)); #168231=CARTESIAN_POINT('Ctrl Pts',(0.262633494181451,0.175895723783147, 0.427109451279803)); #168232=CARTESIAN_POINT('Ctrl Pts',(0.231479790642679,0.185345171212682, 0.439222019278791)); #168233=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.185345171212682,0.439222019278791)); #168234=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.145876783637882,0.393318792626951)); #168235=CARTESIAN_POINT('Ctrl Pts',(0.273528527693453,0.154217995377385, 0.403916870554895)); #168236=CARTESIAN_POINT('Ctrl Pts',(0.262917014337554,0.173780552516817, 0.428915701070357)); #168237=CARTESIAN_POINT('Ctrl Pts',(0.231598450128927,0.183320067343263, 0.441201013380768)); #168238=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.183320067343263,0.441201013380768)); #168239=CARTESIAN_POINT('Ctrl Pts',(0.271271255335035,0.141711291326352, 0.396457716228978)); #168240=CARTESIAN_POINT('Ctrl Pts',(0.271437784695122,0.149614047830128, 0.406779783173375)); #168241=CARTESIAN_POINT('Ctrl Pts',(0.261375720727004,0.168288354453633, 0.431216129438924)); #168242=CARTESIAN_POINT('Ctrl Pts',(0.231033938782309,0.177505043730347, 0.443308877702657)); #168243=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.177505043730347,0.443308877702657)); #168244=CARTESIAN_POINT('Ctrl Pts',(0.266055493877991,0.13998125141346, 0.397761394812176)); #168245=CARTESIAN_POINT('Ctrl Pts',(0.266112719693207,0.147113803963817, 0.40722968174822)); #168246=CARTESIAN_POINT('Ctrl Pts',(0.256760449891179,0.163874641825721, 0.429474093290526)); #168247=CARTESIAN_POINT('Ctrl Pts',(0.229333452055475,0.17207368639595, 0.44034949446888)); #168248=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.17207368639595,0.44034949446888)); #168249=CARTESIAN_POINT('Ctrl Pts',(0.2634375,0.13998125141346,0.397761394812176)); #168250=CARTESIAN_POINT('Ctrl Pts',(0.2634375,0.146767577388985,0.406767153555824)); #168251=CARTESIAN_POINT('Ctrl Pts',(0.254434161817876,0.162650226305804, 0.427844140554505)); #168252=CARTESIAN_POINT('Ctrl Pts',(0.228472742202232,0.170369639603332, 0.438088147996938)); #168253=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.170369639603332,0.438088147996938)); #168254=CARTESIAN_POINT('',(0.2734375,0.147967606513933,0.391743244580656)); #168255=CARTESIAN_POINT('Origin',(0.2634375,0.147967606513933,0.391743244580656)); #168256=CARTESIAN_POINT('',(0.2134375,0.185345171212682,0.439222019278791)); #168257=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.147967606513933,0.391743244580656)); #168258=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.156351161150152,0.402303613128008)); #168259=CARTESIAN_POINT('Ctrl Pts',(0.262633494181451,0.175895723783147, 0.427109451279803)); #168260=CARTESIAN_POINT('Ctrl Pts',(0.231479790642679,0.185345171212682, 0.439222019278791)); #168261=CARTESIAN_POINT('Ctrl Pts',(0.2134375,0.185345171212682,0.439222019278791)); #168262=CARTESIAN_POINT('Origin',(0.2134375,0.178355994703805,0.432069997765417)); #168263=CARTESIAN_POINT('Origin',(0.2634375,0.0814106455585174,0.303419174201979)); #168264=CARTESIAN_POINT('',(0.2734375,0.0814106455585174,0.303419174201979)); #168265=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.189435611485091,0.197366165872553)); #168266=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.189505638105881,0.197408525242463)); #168267=CARTESIAN_POINT('Ctrl Pts',(-0.273437393996527,-0.189575673957501, 0.197450947267496)); #168268=CARTESIAN_POINT('Ctrl Pts',(-0.273407235970442,-0.198814453665944, 0.203030105291189)); #168269=CARTESIAN_POINT('Ctrl Pts',(-0.271489183637915,-0.207967652405679, 0.208722559381487)); #168270=CARTESIAN_POINT('Ctrl Pts',(-0.267232468880734,-0.216571265429296, 0.212750877791909)); #168271=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.189435611485091,0.197366165872553)); #168272=CARTESIAN_POINT('Ctrl Pts',(-0.273438039963994,-0.18950916364274, 0.1974013571848)); #168273=CARTESIAN_POINT('Ctrl Pts',(-0.273436842235551,-0.189583206825108, 0.197436514906194)); #168274=CARTESIAN_POINT('Ctrl Pts',(-0.273421251230912,-0.19930400589539, 0.202064461983341)); #168275=CARTESIAN_POINT('Ctrl Pts',(-0.271183945997091,-0.208974379896701, 0.206836837432294)); #168276=CARTESIAN_POINT('Ctrl Pts',(-0.26674770140392,-0.21756307920911, 0.209746106188747)); #168277=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.189435611485091,0.197366165872553)); #168278=CARTESIAN_POINT('Ctrl Pts',(-0.273438317681163,-0.18951289546332, 0.197394062468897)); #168279=CARTESIAN_POINT('Ctrl Pts',(-0.273436578866616,-0.189590542418176, 0.19742216932304)); #168280=CARTESIAN_POINT('Ctrl Pts',(-0.273434929344013,-0.199797773247003, 0.20110453012663)); #168281=CARTESIAN_POINT('Ctrl Pts',(-0.271419182025211,-0.209975891949789, 0.204898177079584)); #168282=CARTESIAN_POINT('Ctrl Pts',(-0.267744062197978,-0.219269804247702, 0.206784620691303)); #168283=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.189435611485091,0.197366165872553)); #168284=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.18951669783586,0.197386918377355)); #168285=CARTESIAN_POINT('Ctrl Pts',(-0.273437438164641,-0.189597799695839, 0.197407709152396)); #168286=CARTESIAN_POINT('Ctrl Pts',(-0.273419845982762,-0.200296426904399, 0.200141442631343)); #168287=CARTESIAN_POINT('Ctrl Pts',(-0.272300982122116,-0.210960424449343, 0.203008667589292)); #168288=CARTESIAN_POINT('Ctrl Pts',(-0.269817898513761,-0.220999999996654, 0.205066199301415)); #168289=CARTESIAN_POINT('',(-0.269817898513761,-0.220999999998327,0.205066199301415)); #168290=CARTESIAN_POINT('',(-0.267232468880734,-0.216571265429296,0.212750877791909)); #168291=CARTESIAN_POINT('Origin',(-0.276198297027523,-0.220999999996654, 0.212766232730277)); #168292=CARTESIAN_POINT('',(-0.2734375,-0.189435611485091,0.197366165872553)); #168293=CARTESIAN_POINT('Ctrl Pts',(-0.267232468880734,-0.216571265429296, 0.212750877791909)); #168294=CARTESIAN_POINT('Ctrl Pts',(-0.271489183637915,-0.207967652405679, 0.208722559381487)); #168295=CARTESIAN_POINT('Ctrl Pts',(-0.273407235970442,-0.198814453665944, 0.203030105291189)); #168296=CARTESIAN_POINT('Ctrl Pts',(-0.273437393996527,-0.189575673957501, 0.197450947267496)); #168297=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.189505638105881,0.197408525242463)); #168298=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.189435611485091,0.197366165872553)); #168299=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.189435611485091,0.197366165872553)); #168300=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.18951669783586,0.197386918377355)); #168301=CARTESIAN_POINT('Ctrl Pts',(-0.273437438164641,-0.189597799695839, 0.197407709152396)); #168302=CARTESIAN_POINT('Ctrl Pts',(-0.273419845982762,-0.200296426904399, 0.200141442631343)); #168303=CARTESIAN_POINT('Ctrl Pts',(-0.272300982122116,-0.210960424449343, 0.203008667589292)); #168304=CARTESIAN_POINT('Ctrl Pts',(-0.269817898513761,-0.220999999996654, 0.205066199301415)); #168305=CARTESIAN_POINT('Ctrl Pts',(-0.267232468880478,-0.216571265429813, 0.212750877792151)); #168306=CARTESIAN_POINT('Ctrl Pts',(-0.266851512356628,-0.217341249489566, 0.213111393886419)); #168307=CARTESIAN_POINT('Ctrl Pts',(-0.266449746497269,-0.218110730806317, 0.213443670991671)); #168308=CARTESIAN_POINT('Ctrl Pts',(-0.266027754691747,-0.218876646723328, 0.213750657776991)); #168309=CARTESIAN_POINT('Ctrl Pts',(-0.266747701403609,-0.217563079209718, 0.209746106188906)); #168310=CARTESIAN_POINT('Ctrl Pts',(-0.266365908272556,-0.218299691231911, 0.210010918406938)); #168311=CARTESIAN_POINT('Ctrl Pts',(-0.265971139295376,-0.219038471311502, 0.21025418345599)); #168312=CARTESIAN_POINT('Ctrl Pts',(-0.265551968027005,-0.219769206986988, 0.210470220633557)); #168313=CARTESIAN_POINT('Ctrl Pts',(-0.267744062197718,-0.219269804248468, 0.206784620691388)); #168314=CARTESIAN_POINT('Ctrl Pts',(-0.267430317173202,-0.220032423496862, 0.20696114331956)); #168315=CARTESIAN_POINT('Ctrl Pts',(-0.267104780414815,-0.220800068473945, 0.207121004810747)); #168316=CARTESIAN_POINT('Ctrl Pts',(-0.266756872219485,-0.221564244354129, 0.207255590586698)); #168317=CARTESIAN_POINT('Ctrl Pts',(-0.269817898513612,-0.220999999997536, 0.205066199301539)); #168318=CARTESIAN_POINT('Ctrl Pts',(-0.269595673874715,-0.221807153079378, 0.205250338989893)); #168319=CARTESIAN_POINT('Ctrl Pts',(-0.269353620217936,-0.222621497064771, 0.205420349336555)); #168320=CARTESIAN_POINT('Ctrl Pts',(-0.269091147819081,-0.223440416331008, 0.205577663065934)); #168321=CARTESIAN_POINT('',(-0.26909114781953,-0.223440416320777,0.205577663065934)); #168322=CARTESIAN_POINT('',(-0.266027754691747,-0.218876646723328,0.213750657776991)); #168323=CARTESIAN_POINT('Origin',(-0.274792797140371,-0.223690434050648, 0.213789160259314)); #168324=CARTESIAN_POINT('Ctrl Pts',(-0.266027754691747,-0.218876646723328, 0.213750657776991)); #168325=CARTESIAN_POINT('Ctrl Pts',(-0.266449746497269,-0.218110730806317, 0.213443670991671)); #168326=CARTESIAN_POINT('Ctrl Pts',(-0.266851512356628,-0.217341249489566, 0.213111393886419)); #168327=CARTESIAN_POINT('Ctrl Pts',(-0.267232468880478,-0.216571265429813, 0.212750877792151)); #168328=CARTESIAN_POINT('Ctrl Pts',(-0.269817898513612,-0.220999999997536, 0.205066199301539)); #168329=CARTESIAN_POINT('Ctrl Pts',(-0.269595673874715,-0.221807153079378, 0.205250338989893)); #168330=CARTESIAN_POINT('Ctrl Pts',(-0.269353620217936,-0.222621497064771, 0.205420349336555)); #168331=CARTESIAN_POINT('Ctrl Pts',(-0.269091147819081,-0.223440416331008, 0.205577663065934)); #168332=CARTESIAN_POINT('Ctrl Pts',(-0.251443652735112,-0.236370605309745, 0.217196104560035)); #168333=CARTESIAN_POINT('Ctrl Pts',(-0.240828394094998,-0.245062997877183, 0.217171335787649)); #168334=CARTESIAN_POINT('Ctrl Pts',(-0.227157264539518,-0.249946747774829, 0.217159774877455)); #168335=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,-0.249946747774829,0.217159774877455)); #168336=CARTESIAN_POINT('Ctrl Pts',(-0.251471553229096,-0.236404679876759, 0.214606830101832)); #168337=CARTESIAN_POINT('Ctrl Pts',(-0.240856133011974,-0.24510558576993, 0.214586286385128)); #168338=CARTESIAN_POINT('Ctrl Pts',(-0.227164954322012,-0.250000070806532, 0.214576732269541)); #168339=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,-0.250000070806532,0.214576732269541)); #168340=CARTESIAN_POINT('Ctrl Pts',(-0.252869637037811,-0.23811214428429, 0.209485578249763)); #168341=CARTESIAN_POINT('Ctrl Pts',(-0.241862136241375,-0.247140861909552, 0.209478428905246)); #168342=CARTESIAN_POINT('Ctrl Pts',(-0.227677853488075,-0.25221483377366, 0.209475165327687)); #168343=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,-0.25221483377366,0.209475165327687)); #168344=CARTESIAN_POINT('Ctrl Pts',(-0.256137397702641,-0.242103024511033, 0.207366165872553)); #168345=CARTESIAN_POINT('Ctrl Pts',(-0.244214440246721,-0.251871006880416, 0.207366296204105)); #168346=CARTESIAN_POINT('Ctrl Pts',(-0.228852084840135,-0.257361024749617, 0.207366165872553)); #168347=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,-0.257361024749617,0.207366165872553)); #168348=CARTESIAN_POINT('Ctrl Pts',(-0.257778011524297,-0.244106688160894, 0.207366165872553)); #168349=CARTESIAN_POINT('Ctrl Pts',(-0.245393543110831,-0.254247177586052, 0.207366165872553)); #168350=CARTESIAN_POINT('Ctrl Pts',(-0.229443891962772,-0.259944617685822, 0.207366165872553)); #168351=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,-0.259944617685822,0.207366165872553)); #168352=CARTESIAN_POINT('',(-0.2134375,-0.259944617685822,0.207366165872553)); #168353=CARTESIAN_POINT('',(-0.2134375,-0.249946747774829,0.217159774877455)); #168354=CARTESIAN_POINT('Origin',(-0.2134375,-0.259944617685822,0.217366165872553)); #168355=CARTESIAN_POINT('',(-0.251443652735112,-0.236370605309745,0.217196104560035)); #168356=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,-0.249946747774829,0.217159774877455)); #168357=CARTESIAN_POINT('Ctrl Pts',(-0.227157264539518,-0.249946747774829, 0.217159774877455)); #168358=CARTESIAN_POINT('Ctrl Pts',(-0.240828394094998,-0.245062997877183, 0.217171335787649)); #168359=CARTESIAN_POINT('Ctrl Pts',(-0.251443652735112,-0.236370605309745, 0.217196104560035)); #168360=CARTESIAN_POINT('',(-0.257778011524292,-0.244106688160892,0.207366165872553)); #168361=CARTESIAN_POINT('Origin',(-0.257778011524297,-0.244106688160894, 0.217366165872553)); #168362=CARTESIAN_POINT('Ctrl Pts',(-0.257778011524297,-0.244106688160894, 0.207366165872553)); #168363=CARTESIAN_POINT('Ctrl Pts',(-0.245393543110831,-0.254247177586052, 0.207366165872553)); #168364=CARTESIAN_POINT('Ctrl Pts',(-0.229443891962772,-0.259944617685822, 0.207366165872553)); #168365=CARTESIAN_POINT('Ctrl Pts',(-0.2134375,-0.259944617685822,0.207366165872553)); #168366=CARTESIAN_POINT('Origin',(0.,-0.259944617685822,0.217366165872553)); #168367=CARTESIAN_POINT('',(0.2134375,-0.259944617685822,0.207366165872553)); #168368=CARTESIAN_POINT('',(0.2134375,-0.249946747774829,0.217159774877455)); #168369=CARTESIAN_POINT('Origin',(0.2134375,-0.259944617685822,0.217366165872553)); #168370=CARTESIAN_POINT('',(0.,-0.249946747774829,0.217159774877455)); #168371=CARTESIAN_POINT('',(0.,-0.259944617685822,0.207366165872553)); #168372=CARTESIAN_POINT('Ctrl Pts',(0.257778011524297,-0.244106688160894, 0.207366165872553)); #168373=CARTESIAN_POINT('Ctrl Pts',(0.245393543110831,-0.254247177586052, 0.207366165872553)); #168374=CARTESIAN_POINT('Ctrl Pts',(0.229443891962772,-0.259944617685822, 0.207366165872553)); #168375=CARTESIAN_POINT('Ctrl Pts',(0.2134375,-0.259944617685822,0.207366165872553)); #168376=CARTESIAN_POINT('Ctrl Pts',(0.256137397702641,-0.242103024511033, 0.207366165872553)); #168377=CARTESIAN_POINT('Ctrl Pts',(0.244214440246721,-0.251871006880416, 0.207366296204105)); #168378=CARTESIAN_POINT('Ctrl Pts',(0.228852084840136,-0.257361024749617, 0.207366165872553)); #168379=CARTESIAN_POINT('Ctrl Pts',(0.2134375,-0.257361024749617,0.207366165872553)); #168380=CARTESIAN_POINT('Ctrl Pts',(0.252869637037811,-0.23811214428429, 0.209485578249763)); #168381=CARTESIAN_POINT('Ctrl Pts',(0.241862136241376,-0.247140861909552, 0.209478428905246)); #168382=CARTESIAN_POINT('Ctrl Pts',(0.227677853488075,-0.25221483377366, 0.209475165327687)); #168383=CARTESIAN_POINT('Ctrl Pts',(0.2134375,-0.25221483377366,0.209475165327687)); #168384=CARTESIAN_POINT('Ctrl Pts',(0.251471553229096,-0.236404679876758, 0.214606830101832)); #168385=CARTESIAN_POINT('Ctrl Pts',(0.240856133011975,-0.24510558576993, 0.214586286385128)); #168386=CARTESIAN_POINT('Ctrl Pts',(0.227164954322011,-0.250000070806532, 0.214576732269541)); #168387=CARTESIAN_POINT('Ctrl Pts',(0.2134375,-0.250000070806532,0.214576732269541)); #168388=CARTESIAN_POINT('Ctrl Pts',(0.251443652735112,-0.236370605309744, 0.217196104560035)); #168389=CARTESIAN_POINT('Ctrl Pts',(0.240828394094999,-0.245062997877182, 0.217171335787649)); #168390=CARTESIAN_POINT('Ctrl Pts',(0.227157264539518,-0.249946747774829, 0.217159774877455)); #168391=CARTESIAN_POINT('Ctrl Pts',(0.2134375,-0.249946747774829,0.217159774877455)); #168392=CARTESIAN_POINT('',(0.257778011524292,-0.244106688160892,0.207366165872553)); #168393=CARTESIAN_POINT('Ctrl Pts',(0.2134375,-0.259944617685822,0.207366165872553)); #168394=CARTESIAN_POINT('Ctrl Pts',(0.229443891962772,-0.259944617685822, 0.207366165872553)); #168395=CARTESIAN_POINT('Ctrl Pts',(0.245393543110831,-0.254247177586052, 0.207366165872553)); #168396=CARTESIAN_POINT('Ctrl Pts',(0.257778011524297,-0.244106688160894, 0.207366165872553)); #168397=CARTESIAN_POINT('',(0.251443652735112,-0.236370605309744,0.217196104560035)); #168398=CARTESIAN_POINT('Origin',(0.257778011524297,-0.244106688160894, 0.217366165872553)); #168399=CARTESIAN_POINT('Ctrl Pts',(0.251443652735112,-0.236370605309744, 0.217196104560035)); #168400=CARTESIAN_POINT('Ctrl Pts',(0.240828394094999,-0.245062997877182, 0.217171335787649)); #168401=CARTESIAN_POINT('Ctrl Pts',(0.227157264539518,-0.249946747774829, 0.217159774877455)); #168402=CARTESIAN_POINT('Ctrl Pts',(0.2134375,-0.249946747774829,0.217159774877455)); #168403=CARTESIAN_POINT('Ctrl Pts',(-0.266027754692924,-0.218876646721192, 0.213750657776135)); #168404=CARTESIAN_POINT('Ctrl Pts',(-0.262461398229766,-0.225349590378836, 0.216345078548932)); #168405=CARTESIAN_POINT('Ctrl Pts',(-0.257429118182248,-0.231469357292223, 0.217210070553355)); #168406=CARTESIAN_POINT('Ctrl Pts',(-0.251443652735112,-0.236370605309745, 0.217196104560035)); #168407=CARTESIAN_POINT('Ctrl Pts',(-0.265789861360546,-0.219322926853069, 0.212110439204543)); #168408=CARTESIAN_POINT('Ctrl Pts',(-0.262242097545292,-0.225651460616323, 0.214326569568181)); #168409=CARTESIAN_POINT('Ctrl Pts',(-0.257319443462117,-0.231616420460837, 0.214892824973152)); #168410=CARTESIAN_POINT('Ctrl Pts',(-0.251471553229096,-0.236404679876759, 0.214606830101833)); #168411=CARTESIAN_POINT('Ctrl Pts',(-0.266106976981376,-0.220650119050287, 0.208835849948257)); #168412=CARTESIAN_POINT('Ctrl Pts',(-0.262854307533058,-0.226959841729227, 0.210307458839536)); #168413=CARTESIAN_POINT('Ctrl Pts',(-0.258351091918376,-0.232988785393115, 0.210281709231458)); #168414=CARTESIAN_POINT('Ctrl Pts',(-0.252869637037809,-0.23811214428429, 0.209485578249766)); #168415=CARTESIAN_POINT('Ctrl Pts',(-0.267924010020132,-0.222502330340359, 0.206416626825906)); #168416=CARTESIAN_POINT('Ctrl Pts',(-0.26535152604689,-0.229196227348799, 0.20765594940803)); #168417=CARTESIAN_POINT('Ctrl Pts',(-0.261459029383426,-0.23598359674925, 0.207797972533533)); #168418=CARTESIAN_POINT('Ctrl Pts',(-0.256137397702637,-0.242103024511031, 0.207366165872554)); #168419=CARTESIAN_POINT('Ctrl Pts',(-0.269091147819813,-0.223440416328724, 0.205577663065495)); #168420=CARTESIAN_POINT('Ctrl Pts',(-0.266872929068278,-0.23036130431744, 0.206907160056445)); #168421=CARTESIAN_POINT('Ctrl Pts',(-0.263186850230964,-0.23756857569443, 0.207366165872552)); #168422=CARTESIAN_POINT('Ctrl Pts',(-0.257778011524292,-0.244106688160892, 0.207366165872553)); #168423=CARTESIAN_POINT('Ctrl Pts',(-0.251443652735112,-0.236370605309745, 0.217196104560035)); #168424=CARTESIAN_POINT('Ctrl Pts',(-0.257429118182248,-0.231469357292223, 0.217210070553355)); #168425=CARTESIAN_POINT('Ctrl Pts',(-0.262461398229766,-0.225349590378836, 0.216345078548932)); #168426=CARTESIAN_POINT('Ctrl Pts',(-0.266027754692924,-0.218876646721192, 0.213750657776135)); #168427=CARTESIAN_POINT('Ctrl Pts',(-0.269091147819813,-0.223440416328724, 0.205577663065495)); #168428=CARTESIAN_POINT('Ctrl Pts',(-0.266872929068278,-0.23036130431744, 0.206907160056445)); #168429=CARTESIAN_POINT('Ctrl Pts',(-0.263186850230964,-0.23756857569443, 0.207366165872552)); #168430=CARTESIAN_POINT('Ctrl Pts',(-0.257778011524292,-0.244106688160892, 0.207366165872553)); #168431=CARTESIAN_POINT('Ctrl Pts',(0.269817898506403,-0.221000000023719, 0.205066199307512)); #168432=CARTESIAN_POINT('Ctrl Pts',(0.269595673870164,-0.221807153094509, 0.205250338993003)); #168433=CARTESIAN_POINT('Ctrl Pts',(0.269353620216586,-0.22262149706862, 0.20542034933721)); #168434=CARTESIAN_POINT('Ctrl Pts',(0.269091147821502,-0.223440416323456, 0.205577663064483)); #168435=CARTESIAN_POINT('Ctrl Pts',(0.267744062187597,-0.219269804273234, 0.206784620697166)); #168436=CARTESIAN_POINT('Ctrl Pts',(0.267430317167065,-0.220032423511083, 0.206961143322408)); #168437=CARTESIAN_POINT('Ctrl Pts',(0.267104780413071,-0.220800068477496, 0.207121004811234)); #168438=CARTESIAN_POINT('Ctrl Pts',(0.266756872222676,-0.221564244347071, 0.207255590585438)); #168439=CARTESIAN_POINT('Ctrl Pts',(0.266747701391286,-0.217563079233647, 0.209746106197536)); #168440=CARTESIAN_POINT('Ctrl Pts',(0.266365908265123,-0.218299691245579, 0.210010918411334)); #168441=CARTESIAN_POINT('Ctrl Pts',(0.265971139293288,-0.219038471314871, 0.210254183456841)); #168442=CARTESIAN_POINT('Ctrl Pts',(0.265551968030851,-0.219769206980236, 0.21047022063155)); #168443=CARTESIAN_POINT('Ctrl Pts',(0.26723246886812,-0.21657126545479, 0.212750877803846)); #168444=CARTESIAN_POINT('Ctrl Pts',(0.266851512349111,-0.217341249503841, 0.213111393892495)); #168445=CARTESIAN_POINT('Ctrl Pts',(0.266449746495176,-0.218110730809868, 0.213443670992947)); #168446=CARTESIAN_POINT('Ctrl Pts',(0.266027754695638,-0.218876646716265, 0.21375065777416)); #168447=CARTESIAN_POINT('',(0.266027754695638,-0.218876646716265,0.21375065777416)); #168448=CARTESIAN_POINT('',(0.269091147821783,-0.223440416317046,0.205577663064483)); #168449=CARTESIAN_POINT('Origin',(0.274792797144912,-0.223690434042404, 0.213789160256413)); #168450=CARTESIAN_POINT('',(0.269817898506403,-0.22100000001186,0.205066199307512)); #168451=CARTESIAN_POINT('Ctrl Pts',(0.269091147821502,-0.223440416323456, 0.205577663064483)); #168452=CARTESIAN_POINT('Ctrl Pts',(0.269353620216586,-0.22262149706862, 0.20542034933721)); #168453=CARTESIAN_POINT('Ctrl Pts',(0.269595673870164,-0.221807153094509, 0.205250338993003)); #168454=CARTESIAN_POINT('Ctrl Pts',(0.269817898506403,-0.221000000023719, 0.205066199307512)); #168455=CARTESIAN_POINT('',(0.26723246886812,-0.21657126545479,0.212750877803846)); #168456=CARTESIAN_POINT('Origin',(0.276198297012807,-0.221000000026403, 0.212766232742471)); #168457=CARTESIAN_POINT('Ctrl Pts',(0.26723246886812,-0.21657126545479, 0.212750877803846)); #168458=CARTESIAN_POINT('Ctrl Pts',(0.266851512349111,-0.217341249503841, 0.213111393892495)); #168459=CARTESIAN_POINT('Ctrl Pts',(0.266449746495176,-0.218110730809868, 0.213443670992947)); #168460=CARTESIAN_POINT('Ctrl Pts',(0.266027754695638,-0.218876646716265, 0.21375065777416)); #168461=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189435611485091,0.197366165872553)); #168462=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189516697835923,0.197386918377387)); #168463=CARTESIAN_POINT('Ctrl Pts',(0.273437438164641,-0.189597799695829, 0.197407709152391)); #168464=CARTESIAN_POINT('Ctrl Pts',(0.273419845982753,-0.200296426914444, 0.20014144263434)); #168465=CARTESIAN_POINT('Ctrl Pts',(0.272300982120361,-0.210960424466179, 0.203008667593937)); #168466=CARTESIAN_POINT('Ctrl Pts',(0.269817898507894,-0.221000000020375, 0.205066199306276)); #168467=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189435611485091,0.197366165872553)); #168468=CARTESIAN_POINT('Ctrl Pts',(0.273438317681166,-0.189512895463382, 0.197394062468929)); #168469=CARTESIAN_POINT('Ctrl Pts',(0.273436578866613,-0.189590542418167, 0.197422169323035)); #168470=CARTESIAN_POINT('Ctrl Pts',(0.273434929344095,-0.199797773256653, 0.201104530130363)); #168471=CARTESIAN_POINT('Ctrl Pts',(0.271419182021912,-0.209975891965886, 0.204898177085687)); #168472=CARTESIAN_POINT('Ctrl Pts',(0.267744062189382,-0.219269804269653, 0.20678462069576)); #168473=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189435611485091,0.197366165872553)); #168474=CARTESIAN_POINT('Ctrl Pts',(0.273438039963996,-0.189509163642802, 0.197401357184833)); #168475=CARTESIAN_POINT('Ctrl Pts',(0.273436842235549,-0.189583206825099, 0.197436514906189)); #168476=CARTESIAN_POINT('Ctrl Pts',(0.273421251230955,-0.199304005904667, 0.202064461987781)); #168477=CARTESIAN_POINT('Ctrl Pts',(0.271183945993485,-0.208974379911991, 0.206836837440045)); #168478=CARTESIAN_POINT('Ctrl Pts',(0.266747701393495,-0.217563079229386, 0.209746106195635)); #168479=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189435611485091,0.197366165872553)); #168480=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189505638105944,0.197408525242495)); #168481=CARTESIAN_POINT('Ctrl Pts',(0.273437393996527,-0.189575673957492, 0.197450947267491)); #168482=CARTESIAN_POINT('Ctrl Pts',(0.273407235970418,-0.198814453674863, 0.203030105296362)); #168483=CARTESIAN_POINT('Ctrl Pts',(0.271489183634905,-0.207967652420128, 0.208722559390693)); #168484=CARTESIAN_POINT('Ctrl Pts',(0.267232468870676,-0.216571265449624, 0.212750877801427)); #168485=CARTESIAN_POINT('',(0.2734375,-0.189435611485091,0.197366165872553)); #168486=CARTESIAN_POINT('Ctrl Pts',(0.269817898507894,-0.221000000020375, 0.205066199306276)); #168487=CARTESIAN_POINT('Ctrl Pts',(0.272300982120361,-0.210960424466179, 0.203008667593937)); #168488=CARTESIAN_POINT('Ctrl Pts',(0.273419845982753,-0.200296426914444, 0.20014144263434)); #168489=CARTESIAN_POINT('Ctrl Pts',(0.273437438164641,-0.189597799695829, 0.197407709152391)); #168490=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189516697835923,0.197386918377387)); #168491=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189435611485091,0.197366165872553)); #168492=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189435611485091,0.197366165872553)); #168493=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.189505638105944,0.197408525242495)); #168494=CARTESIAN_POINT('Ctrl Pts',(0.273437393996527,-0.189575673957492, 0.197450947267491)); #168495=CARTESIAN_POINT('Ctrl Pts',(0.273407235970418,-0.198814453674863, 0.203030105296362)); #168496=CARTESIAN_POINT('Ctrl Pts',(0.271489183634905,-0.207967652420128, 0.208722559390693)); #168497=CARTESIAN_POINT('Ctrl Pts',(0.267232468870676,-0.216571265449624, 0.212750877801427)); #168498=CARTESIAN_POINT('Ctrl Pts',(0.251443652735112,-0.236370605309744, 0.217196104560035)); #168499=CARTESIAN_POINT('Ctrl Pts',(0.257429118579011,-0.231469356967329, 0.217210070554281)); #168500=CARTESIAN_POINT('Ctrl Pts',(0.262461397997744,-0.22534959080181, 0.216345078719568)); #168501=CARTESIAN_POINT('Ctrl Pts',(0.266027754696376,-0.218876646714926, 0.213750657773624)); #168502=CARTESIAN_POINT('Ctrl Pts',(0.251471553229096,-0.236404679876759, 0.214606830101833)); #168503=CARTESIAN_POINT('Ctrl Pts',(0.257319443849761,-0.231616420143433, 0.21489282499211)); #168504=CARTESIAN_POINT('Ctrl Pts',(0.262242097314436,-0.225651461029878, 0.214326569714053)); #168505=CARTESIAN_POINT('Ctrl Pts',(0.265789861363978,-0.219322926846942, 0.212110439202397)); #168506=CARTESIAN_POINT('Ctrl Pts',(0.252869637037809,-0.23811214428429, 0.209485578249766)); #168507=CARTESIAN_POINT('Ctrl Pts',(0.258351092281729,-0.232988785053498, 0.210281709284232)); #168508=CARTESIAN_POINT('Ctrl Pts',(0.262854307321408,-0.226959842141495, 0.210307458936663)); #168509=CARTESIAN_POINT('Ctrl Pts',(0.266106976984526,-0.220650119044181, 0.208835849946833)); #168510=CARTESIAN_POINT('Ctrl Pts',(0.256137397702637,-0.242103024511031, 0.207366165872554)); #168511=CARTESIAN_POINT('Ctrl Pts',(0.261459029736186,-0.235983596343606, 0.207797972562156)); #168512=CARTESIAN_POINT('Ctrl Pts',(0.26535152587971,-0.229196227785947, 0.20765594948973)); #168513=CARTESIAN_POINT('Ctrl Pts',(0.267924010022621,-0.222502330333879, 0.206416626824704)); #168514=CARTESIAN_POINT('Ctrl Pts',(0.257778011524292,-0.244106688160892, 0.207366165872553)); #168515=CARTESIAN_POINT('Ctrl Pts',(0.263186850589504,-0.237568575261032, 0.207366165872552)); #168516=CARTESIAN_POINT('Ctrl Pts',(0.266872928924322,-0.230361304769304, 0.206907160143876)); #168517=CARTESIAN_POINT('Ctrl Pts',(0.26909114782196,-0.223440416322025, 0.205577663064208)); #168518=CARTESIAN_POINT('Ctrl Pts',(0.266027754696376,-0.218876646714926, 0.213750657773624)); #168519=CARTESIAN_POINT('Ctrl Pts',(0.262461397997744,-0.22534959080181, 0.216345078719568)); #168520=CARTESIAN_POINT('Ctrl Pts',(0.257429118579011,-0.231469356967329, 0.217210070554281)); #168521=CARTESIAN_POINT('Ctrl Pts',(0.251443652735112,-0.236370605309744, 0.217196104560035)); #168522=CARTESIAN_POINT('Ctrl Pts',(0.257778011524292,-0.244106688160892, 0.207366165872553)); #168523=CARTESIAN_POINT('Ctrl Pts',(0.263186850589504,-0.237568575261032, 0.207366165872552)); #168524=CARTESIAN_POINT('Ctrl Pts',(0.266872928924322,-0.230361304769304, 0.206907160143876)); #168525=CARTESIAN_POINT('Ctrl Pts',(0.26909114782196,-0.223440416322025, 0.205577663064208)); #168526=CARTESIAN_POINT('Origin',(-0.2634375,-0.136227991353238,0.197366165872553)); #168527=CARTESIAN_POINT('',(-0.2734375,-0.221,0.197366165872553)); #168528=CARTESIAN_POINT('',(-0.2734375,-0.136227991353238,0.197366165872553)); #168529=CARTESIAN_POINT('Origin',(-0.2634375,-0.221,0.197366165872553)); #168530=CARTESIAN_POINT('Origin',(-0.2134375,0.,0.212)); #168531=CARTESIAN_POINT('Origin',(-0.2734375,0.,0.212)); #168532=CARTESIAN_POINT('Origin',(-0.2134375,0.,0.212)); #168533=CARTESIAN_POINT('Origin',(0.,0.,0.212)); #168534=CARTESIAN_POINT('Origin',(0.2134375,0.,0.212)); #168535=CARTESIAN_POINT('Origin',(0.2134375,0.,0.212)); #168536=CARTESIAN_POINT('Origin',(0.2734375,0.,0.212)); #168537=CARTESIAN_POINT('Origin',(0.,0.,-0.77778778)); #168538=CARTESIAN_POINT('',(-0.2734375,-3.34864359141854E-17,-0.77778778)); #168539=CARTESIAN_POINT('',(0.2734375,-3.34864359141854E-17,-0.77778778)); #168540=CARTESIAN_POINT('Origin',(0.,0.,-0.77778778)); #168541=CARTESIAN_POINT('Origin',(0.,0.,-0.77778778)); #168542=CARTESIAN_POINT('',(-0.2634375,-3.22617891150381E-17,-0.78778778)); #168543=CARTESIAN_POINT('Origin',(-0.2634375,-3.22617891150381E-17,-0.77778778)); #168544=CARTESIAN_POINT('Origin',(0.,0.,-0.78778778)); #168545=CARTESIAN_POINT('Origin',(0.,0.,-0.78778778)); #168546=CARTESIAN_POINT('',(-0.17625,-0.129566585198499,-0.78778778)); #168547=CARTESIAN_POINT('',(-0.17625,0.129566585198499,-0.78778778)); #168548=CARTESIAN_POINT('',(-0.17625,-0.10854607766549,-0.78778778)); #168549=CARTESIAN_POINT('',(0.17625,-0.129566585198499,-0.78778778)); #168550=CARTESIAN_POINT('Origin',(0.,0.,-0.78778778)); #168551=CARTESIAN_POINT('',(0.17625,0.129566585198499,-0.78778778)); #168552=CARTESIAN_POINT('',(0.17625,0.10854607766549,-0.78778778)); #168553=CARTESIAN_POINT('Origin',(0.,0.,-0.78778778)); #168554=CARTESIAN_POINT('Origin',(0.,0.,0.)); #168555=CARTESIAN_POINT('',(0.2734375,-3.34864359141854E-17,-0.44691278)); #168556=CARTESIAN_POINT('',(0.2734375,-3.34864359141854E-17,0.)); #168557=CARTESIAN_POINT('Origin',(0.,0.,-0.44691278)); #168558=CARTESIAN_POINT('Origin',(-0.17625,-0.10854607766549,-0.79778778)); #168559=CARTESIAN_POINT('Ctrl Pts',(-0.17625,0.129566585198499,-0.78778778)); #168560=CARTESIAN_POINT('Ctrl Pts',(-0.176220367668462,0.129556865299743, -0.78778778)); #168561=CARTESIAN_POINT('Ctrl Pts',(-0.176190735750532,0.129547144442906, -0.78778791171126)); #168562=CARTESIAN_POINT('Ctrl Pts',(-0.175445135136503,0.129302519952102, -0.787794539980562)); #168563=CARTESIAN_POINT('Ctrl Pts',(-0.174731247906829,0.129067654003908, -0.787877787355861)); #168564=CARTESIAN_POINT('Ctrl Pts',(-0.173335063218873,0.128607088437084, -0.788195403279743)); #168565=CARTESIAN_POINT('Ctrl Pts',(-0.172655223607437,0.128382205081125, -0.788428598102279)); #168566=CARTESIAN_POINT('Ctrl Pts',(-0.17083811003745,0.12777964987984, -0.789280678004812)); #168567=CARTESIAN_POINT('Ctrl Pts',(-0.16977802586156,0.127426332509499, -0.790052684583566)); #168568=CARTESIAN_POINT('Ctrl Pts',(-0.16804966886611,0.126848535188974, -0.791931400648407)); #168569=CARTESIAN_POINT('Ctrl Pts',(-0.167379085463225,0.126623440638836, -0.793002083840175)); #168570=CARTESIAN_POINT('Ctrl Pts',(-0.166479837324717,0.126321250728332, -0.795327001873522)); #168571=CARTESIAN_POINT('Ctrl Pts',(-0.16625,0.126243811729526,-0.796549674560689)); #168572=CARTESIAN_POINT('Ctrl Pts',(-0.16625,0.126243811729526,-0.79778778)); #168573=CARTESIAN_POINT('Ctrl Pts',(-0.17625,-0.129566585198499,-0.78778778)); #168574=CARTESIAN_POINT('Ctrl Pts',(-0.176220367668462,-0.129556865299743, -0.78778778)); #168575=CARTESIAN_POINT('Ctrl Pts',(-0.176190735750532,-0.129547144442905, -0.78778791171126)); #168576=CARTESIAN_POINT('Ctrl Pts',(-0.175445135136504,-0.129302519952103, -0.787794539980561)); #168577=CARTESIAN_POINT('Ctrl Pts',(-0.17473124790683,-0.129067654003909, -0.787877787355861)); #168578=CARTESIAN_POINT('Ctrl Pts',(-0.173335063218873,-0.128607088437085, -0.788195403279743)); #168579=CARTESIAN_POINT('Ctrl Pts',(-0.172655223607437,-0.128382205081125, -0.788428598102279)); #168580=CARTESIAN_POINT('Ctrl Pts',(-0.17083811003745,-0.12777964987984, -0.789280678004812)); #168581=CARTESIAN_POINT('Ctrl Pts',(-0.16977802586156,-0.127426332509499, -0.790052684583566)); #168582=CARTESIAN_POINT('Ctrl Pts',(-0.16804966886611,-0.126848535188974, -0.791931400648407)); #168583=CARTESIAN_POINT('Ctrl Pts',(-0.167379085463225,-0.126623440638836, -0.793002083840175)); #168584=CARTESIAN_POINT('Ctrl Pts',(-0.166479837324717,-0.126321250728332, -0.795327001873522)); #168585=CARTESIAN_POINT('Ctrl Pts',(-0.16625,-0.126243811729526,-0.796549674560689)); #168586=CARTESIAN_POINT('Ctrl Pts',(-0.16625,-0.126243811729526,-0.79778778)); #168587=CARTESIAN_POINT('Origin',(0.,0.,-0.79778778)); #168588=CARTESIAN_POINT('Ctrl Pts',(0.17625,0.129566585198499,-0.78778778)); #168589=CARTESIAN_POINT('Ctrl Pts',(0.176220367668462,0.129556865299743, -0.78778778)); #168590=CARTESIAN_POINT('Ctrl Pts',(0.176190735750532,0.129547144442906, -0.78778791171126)); #168591=CARTESIAN_POINT('Ctrl Pts',(0.175445135136503,0.129302519952102, -0.787794539980562)); #168592=CARTESIAN_POINT('Ctrl Pts',(0.174731247906829,0.129067654003908, -0.787877787355861)); #168593=CARTESIAN_POINT('Ctrl Pts',(0.173335063218873,0.128607088437084, -0.788195403279743)); #168594=CARTESIAN_POINT('Ctrl Pts',(0.172655223607437,0.128382205081124, -0.788428598102279)); #168595=CARTESIAN_POINT('Ctrl Pts',(0.17083811003745,0.12777964987984,-0.789280678004812)); #168596=CARTESIAN_POINT('Ctrl Pts',(0.16977802586156,0.127426332509499, -0.790052684583566)); #168597=CARTESIAN_POINT('Ctrl Pts',(0.168049668866111,0.126848535188974, -0.791931400648407)); #168598=CARTESIAN_POINT('Ctrl Pts',(0.167379085463225,0.126623440638836, -0.793002083840175)); #168599=CARTESIAN_POINT('Ctrl Pts',(0.166479837324716,0.126321250728332, -0.795327001873522)); #168600=CARTESIAN_POINT('Ctrl Pts',(0.16625,0.126243811729526,-0.796549674560689)); #168601=CARTESIAN_POINT('Ctrl Pts',(0.16625,0.126243811729526,-0.79778778)); #168602=CARTESIAN_POINT('Origin',(0.17625,0.10854607766549,-0.79778778)); #168603=CARTESIAN_POINT('',(0.16625,-0.126243811729526,-0.79778778)); #168604=CARTESIAN_POINT('Ctrl Pts',(0.17625,-0.129566585198499,-0.78778778)); #168605=CARTESIAN_POINT('Ctrl Pts',(0.176220367668462,-0.129556865299743, -0.78778778)); #168606=CARTESIAN_POINT('Ctrl Pts',(0.176190735750532,-0.129547144442906, -0.78778791171126)); #168607=CARTESIAN_POINT('Ctrl Pts',(0.175445135136503,-0.129302519952102, -0.787794539980562)); #168608=CARTESIAN_POINT('Ctrl Pts',(0.174731247906829,-0.129067654003908, -0.787877787355861)); #168609=CARTESIAN_POINT('Ctrl Pts',(0.173335063218874,-0.128607088437085, -0.788195403279743)); #168610=CARTESIAN_POINT('Ctrl Pts',(0.172655223607437,-0.128382205081125, -0.788428598102279)); #168611=CARTESIAN_POINT('Ctrl Pts',(0.170838110037449,-0.12777964987984, -0.789280678004812)); #168612=CARTESIAN_POINT('Ctrl Pts',(0.169778025861559,-0.127426332509499, -0.790052684583567)); #168613=CARTESIAN_POINT('Ctrl Pts',(0.16804966886611,-0.126848535188974, -0.791931400648407)); #168614=CARTESIAN_POINT('Ctrl Pts',(0.167379085463225,-0.126623440638836, -0.793002083840175)); #168615=CARTESIAN_POINT('Ctrl Pts',(0.166479837324717,-0.126321250728332, -0.795327001873522)); #168616=CARTESIAN_POINT('Ctrl Pts',(0.16625,-0.126243811729526,-0.796549674560689)); #168617=CARTESIAN_POINT('Ctrl Pts',(0.16625,-0.126243811729526,-0.79778778)); #168618=CARTESIAN_POINT('',(0.16625,0.10854607766549,-0.79778778)); #168619=CARTESIAN_POINT('Origin',(0.,0.,-0.79778778)); #168620=CARTESIAN_POINT('Origin',(0.,0.,-0.79778778)); #168621=CARTESIAN_POINT('Origin',(0.,0.,-1.35788778)); #168622=CARTESIAN_POINT('',(0.16625,-0.126243811729526,-1.35788778)); #168623=CARTESIAN_POINT('Origin',(0.,0.178355994703805,0.432069997765417)); #168624=CARTESIAN_POINT('',(0.,0.185345171212682,0.439222019278791)); #168625=CARTESIAN_POINT('Origin',(-0.2634375,0.0814106455585174,0.303419174201979)); #168626=CARTESIAN_POINT('',(-0.2734375,0.0814106455585174,0.303419174201979)); #168627=CARTESIAN_POINT('Origin',(-0.2734375,0.00854401729352449,0.198060698393426)); #168628=CARTESIAN_POINT('',(-0.2734375,0.221,0.212)); #168629=CARTESIAN_POINT('Origin',(-0.2734375,-0.03670321,0.212)); #168630=CARTESIAN_POINT('',(-0.2734375,0.221,0.0100000000000001)); #168631=CARTESIAN_POINT('',(-0.2734375,0.221,0.205030349196713)); #168632=CARTESIAN_POINT('',(-0.2734375,0.104582503316759,0.0100000000000001)); #168633=CARTESIAN_POINT('',(-0.2734375,0.144772008646762,0.0100000000000001)); #168634=CARTESIAN_POINT('',(-0.2734375,0.0718949493839331,0.00710415058826849)); #168635=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.0718949493839832,0.00710415058827654)); #168636=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.082708329410868,0.00882951462198408)); #168637=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.093632340365705,0.0100000000000002)); #168638=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.104582503316759,0.0100000000000002)); #168639=CARTESIAN_POINT('',(-0.2734375,0.,4.23218697414733E-17)); #168640=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.37095678986282E-17)); #168641=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000113309702762707,8.90870665046732E-6)); #168642=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000226667709514138,1.75856332656967E-5)); #168643=CARTESIAN_POINT('Ctrl Pts',(-0.273437499999999,0.0242231393737108, 0.001974772662425)); #168644=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.0482230160578522,0.00332709824502763)); #168645=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.0718949493839331,0.00710415058826846)); #168646=CARTESIAN_POINT('',(-0.2734375,-0.0718949493839331,0.00710415058826838)); #168647=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0718949493839331,0.00710415058826838)); #168648=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0482230160575656,0.00332709824498181)); #168649=CARTESIAN_POINT('Ctrl Pts',(-0.273437500000002,-0.0242265786568439, 0.00195845832657226)); #168650=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000226655994701133,1.76411453077488E-5)); #168651=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.00011330970247702,8.90870658080454E-6)); #168652=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.37095678986282E-17)); #168653=CARTESIAN_POINT('',(-0.2734375,-0.104582503316759,0.01)); #168654=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.104582503316759,0.0100000000000001)); #168655=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0936323403657049,0.0100000000000001)); #168656=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.082708329410868,0.00882951462198403)); #168657=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0718949493839832,0.00710415058827648)); #168658=CARTESIAN_POINT('',(-0.2734375,-0.221,0.00999999999999993)); #168659=CARTESIAN_POINT('',(-0.2734375,0.144772008646762,0.0100000000000001)); #168660=CARTESIAN_POINT('',(-0.2734375,-0.221,0.0990303491967128)); #168661=CARTESIAN_POINT('',(-0.2734375,0.1185,0.212)); #168662=CARTESIAN_POINT('Origin',(-0.2734375,0.,0.212)); #168663=CARTESIAN_POINT('Origin',(-0.2134375,-0.03670321,0.212)); #168664=CARTESIAN_POINT('',(-0.2134375,0.281,0.212)); #168665=CARTESIAN_POINT('Origin',(-0.2134375,-0.03670321,0.212)); #168666=CARTESIAN_POINT('Origin',(-0.2134375,0.221,0.212)); #168667=CARTESIAN_POINT('Origin',(0.,-0.03670321,0.212)); #168668=CARTESIAN_POINT('',(0.2134375,0.281,0.212)); #168669=CARTESIAN_POINT('Origin',(0.2134375,-0.03670321,0.212)); #168670=CARTESIAN_POINT('',(0.,0.281,0.212)); #168671=CARTESIAN_POINT('Origin',(0.2134375,-0.03670321,0.212)); #168672=CARTESIAN_POINT('',(0.2734375,0.221,0.212)); #168673=CARTESIAN_POINT('Origin',(0.2734375,-0.03670321,0.212)); #168674=CARTESIAN_POINT('Origin',(0.2134375,0.221,0.212)); #168675=CARTESIAN_POINT('Origin',(0.2734375,0.0085440172935245,0.198060698393426)); #168676=CARTESIAN_POINT('',(0.2734375,-0.221,0.197366165872553)); #168677=CARTESIAN_POINT('',(0.2734375,-0.136227991353238,0.197366165872553)); #168678=CARTESIAN_POINT('',(0.2734375,-0.221,0.00999999999999997)); #168679=CARTESIAN_POINT('',(0.2734375,-0.221,0.0990303491967128)); #168680=CARTESIAN_POINT('',(0.2734375,-0.104582503316759,0.01)); #168681=CARTESIAN_POINT('',(0.2734375,0.144772008646762,0.0100000000000001)); #168682=CARTESIAN_POINT('',(0.2734375,-0.0718949493839331,0.0071041505882684)); #168683=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.0718949493839831,0.00710415058827647)); #168684=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.0827083294108679,0.00882951462198402)); #168685=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.093632340365705,0.0100000000000001)); #168686=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.104582503316759,0.0100000000000001)); #168687=CARTESIAN_POINT('',(0.2734375,3.34864359141854E-17,0.)); #168688=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-5.1905111879621E-17,4.37095678986282E-17)); #168689=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000113309702584916,8.90870666980099E-6)); #168690=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000226667709163558,1.75856332395259E-5)); #168691=CARTESIAN_POINT('Ctrl Pts',(0.273437499999996,-0.0242231393733723, 0.00197477266223319)); #168692=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.0482230160576786,0.00332709824499989)); #168693=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.071894949383933,0.0071041505882684)); #168694=CARTESIAN_POINT('',(0.2734375,0.0718949493839329,0.0071041505882684)); #168695=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.0718949493839329,0.00710415058826838)); #168696=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.0482230160578024,0.00332709824501964)); #168697=CARTESIAN_POINT('Ctrl Pts',(0.273437500000003,0.0242265786574165, 0.00195845832539047)); #168698=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000226655995178975,1.76411453556132E-5)); #168699=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000113309702710647,8.90870667205016E-6)); #168700=CARTESIAN_POINT('Ctrl Pts',(0.2734375,5.05391878827889E-17,4.37095678986282E-17)); #168701=CARTESIAN_POINT('',(0.2734375,0.104582503316759,0.0100000000000001)); #168702=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.104582503316759,0.0100000000000002)); #168703=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.093632340365705,0.0100000000000002)); #168704=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.082708329410868,0.0088295146219841)); #168705=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.0718949493839832,0.00710415058827653)); #168706=CARTESIAN_POINT('',(0.2734375,0.221,0.0100000000000001)); #168707=CARTESIAN_POINT('',(0.2734375,0.144772008646762,0.0100000000000001)); #168708=CARTESIAN_POINT('',(0.2734375,0.221,0.205030349196713)); #168709=CARTESIAN_POINT('',(0.2734375,0.1185,0.212)); #168710=CARTESIAN_POINT('Origin',(0.2734375,0.,0.212)); #168711=CARTESIAN_POINT('Origin',(-0.2134375,-0.221,0.197366165872553)); #168712=CARTESIAN_POINT('',(-0.273379898198316,-0.223628478311602,0.197366165872553)); #168713=CARTESIAN_POINT('Origin',(-0.263389498498596,-0.223190398593001, 0.197366165872553)); #168714=CARTESIAN_POINT('',(-0.2134375,-0.281,0.197366165872553)); #168715=CARTESIAN_POINT('Origin',(-0.2134375,-0.221,0.197366165872553)); #168716=CARTESIAN_POINT('',(-0.2134375,-0.271,0.207366165872553)); #168717=CARTESIAN_POINT('Origin',(-0.2134375,-0.271,0.197366165872553)); #168718=CARTESIAN_POINT('Origin',(-0.2134375,-0.221,0.207366165872553)); #168719=CARTESIAN_POINT('Origin',(0.,-0.271,0.197366165872553)); #168720=CARTESIAN_POINT('',(0.2134375,-0.281,0.197366165872553)); #168721=CARTESIAN_POINT('',(0.2134375,-0.271,0.207366165872553)); #168722=CARTESIAN_POINT('Origin',(0.2134375,-0.271,0.197366165872553)); #168723=CARTESIAN_POINT('',(0.,-0.271,0.207366165872553)); #168724=CARTESIAN_POINT('',(0.,-0.281,0.197366165872553)); #168725=CARTESIAN_POINT('Origin',(0.2134375,-0.221,0.197366165872553)); #168726=CARTESIAN_POINT('Origin',(0.2134375,-0.221,0.207366165872553)); #168727=CARTESIAN_POINT('',(0.273379898198316,-0.223628478311602,0.197366165872553)); #168728=CARTESIAN_POINT('Origin',(0.2134375,-0.221,0.197366165872553)); #168729=CARTESIAN_POINT('Origin',(0.263389498498596,-0.223190398593001, 0.197366165872553)); #168730=CARTESIAN_POINT('Origin',(-0.2134375,-0.221,0.197366165872553)); #168731=CARTESIAN_POINT('Origin',(-0.2134375,-0.221,0.197366165872553)); #168732=CARTESIAN_POINT('Origin',(0.2134375,-0.221,0.197366165872553)); #168733=CARTESIAN_POINT('Origin',(0.2134375,-0.221,0.197366165872553)); #168734=CARTESIAN_POINT('Origin',(0.2634375,-0.221,0.197366165872553)); #168735=CARTESIAN_POINT('Origin',(0.2634375,-0.136227991353238,0.197366165872553)); #168736=CARTESIAN_POINT('Origin',(0.2134375,-0.221,0.0990303491967128)); #168737=CARTESIAN_POINT('',(0.2134375,-0.281,0.0099999999999999)); #168738=CARTESIAN_POINT('',(0.2134375,-0.281,0.0990303491967128)); #168739=CARTESIAN_POINT('Origin',(0.2134375,-0.221,0.00999999999999993)); #168740=CARTESIAN_POINT('Origin',(0.,-0.281,-4.37095678986282E-17)); #168741=CARTESIAN_POINT('',(-0.2134375,-0.281,0.00999999999999996)); #168742=CARTESIAN_POINT('',(-0.2134375,-0.281,0.0990303491967128)); #168743=CARTESIAN_POINT('',(-0.0830410525357815,-0.281,0.01)); #168744=CARTESIAN_POINT('',(0.,-0.281,0.00999999999999996)); #168745=CARTESIAN_POINT('',(0.083041052535779,-0.281,0.00999999999999996)); #168746=CARTESIAN_POINT('Ctrl Pts',(0.083041052535779,-0.281,0.01)); #168747=CARTESIAN_POINT('Ctrl Pts',(0.0711581163733105,-0.281,0.01)); #168748=CARTESIAN_POINT('Ctrl Pts',(0.0553377280979252,-0.281,0.00887444697653246)); #168749=CARTESIAN_POINT('Ctrl Pts',(0.0237796837856482,-0.281,0.00623005877698947)); #168750=CARTESIAN_POINT('Ctrl Pts',(-0.0198529031339087,-0.281,0.00434309885534648)); #168751=CARTESIAN_POINT('Ctrl Pts',(-0.0592751802108445,-0.281,0.0100000000000003)); #168752=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357815,-0.281,0.01)); #168753=CARTESIAN_POINT('',(0.,-0.281,0.00999999999999996)); #168754=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-5.1905111879621E-17,4.37095678986282E-17)); #168755=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000113309702584916,8.90870666980099E-6)); #168756=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000226667709163558,1.75856332395259E-5)); #168757=CARTESIAN_POINT('Ctrl Pts',(0.273437499999996,-0.0242231393733723, 0.00197477266223319)); #168758=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.0482230160576786,0.00332709824499989)); #168759=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.071894949383933,0.0071041505882684)); #168760=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-5.19566378872018E-17,4.30542094037546E-17)); #168761=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000115171882882158,3.63528193790603E-6)); #168762=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000226077628148618,7.53016804033025E-6)); #168763=CARTESIAN_POINT('Ctrl Pts',(0.273437499999996,-0.0243445746063001, 0.000877504610327672)); #168764=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.0483051087972052,0.00117017569177742)); #168765=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.0724449555038062,0.00365709531463079)); #168766=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-5.20081638947825E-17,4.23988509088809E-17)); #168767=CARTESIAN_POINT('Ctrl Pts',(0.273437116473771,-0.000114225045502865, -2.42072321508951E-6)); #168768=CARTESIAN_POINT('Ctrl Pts',(0.273437936891554,-0.000227992408534143, -1.8579145712764E-6)); #168769=CARTESIAN_POINT('Ctrl Pts',(0.273396608144829,-0.0244495240895488, -0.000215381298429476)); #168770=CARTESIAN_POINT('Ctrl Pts',(0.273109227595018,-0.0482085825219828, -0.00109637102930092)); #168771=CARTESIAN_POINT('Ctrl Pts',(0.2714604989404,-0.0729845005234485, 0.000275602930960422)); #168772=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-5.20596899023633E-17,4.17434924140073E-17)); #168773=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.00011451437960143,-6.41356170338714E-6)); #168774=CARTESIAN_POINT('Ctrl Pts',(0.273437542797067,-0.000228902339402859, -1.31383774764554E-5)); #168775=CARTESIAN_POINT('Ctrl Pts',(0.273399121573129,-0.0245328503106053, -0.00127902063974863)); #168776=CARTESIAN_POINT('Ctrl Pts',(0.27199576097732,-0.0483853557373018, -0.0033364508774848)); #168777=CARTESIAN_POINT('Ctrl Pts',(0.268437500000021,-0.0732595035833863, -0.00144792470586576)); #168778=CARTESIAN_POINT('',(0.268437500000002,-0.0732595035834586,-0.0014479247058658)); #168779=CARTESIAN_POINT('Origin',(0.2634375,-0.0718949493839331,0.00710415058826839)); #168780=CARTESIAN_POINT('Ctrl Pts',(0.268437500000021,-0.0732595035833863, -0.00144792470586576)); #168781=CARTESIAN_POINT('Ctrl Pts',(0.27199576097732,-0.0483853557373018, -0.0033364508774848)); #168782=CARTESIAN_POINT('Ctrl Pts',(0.273399121573129,-0.0245328503106053, -0.00127902063974863)); #168783=CARTESIAN_POINT('Ctrl Pts',(0.273437542797067,-0.000228902339402859, -1.31383774764554E-5)); #168784=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.00011451437960143,-6.41356170338714E-6)); #168785=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-5.20596899023633E-17,4.17434924140073E-17)); #168786=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.0718949493839329,0.00710415058826838)); #168787=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.0482230160578024,0.00332709824501964)); #168788=CARTESIAN_POINT('Ctrl Pts',(0.273437500000003,0.0242265786574165, 0.00195845832539047)); #168789=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000226655995178975,1.76411453556132E-5)); #168790=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000113309702710647,8.90870667205016E-6)); #168791=CARTESIAN_POINT('Ctrl Pts',(0.2734375,5.05391878827889E-17,4.37095678986282E-17)); #168792=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.0722092385952889,0.00513440471761832)); #168793=CARTESIAN_POINT('Ctrl Pts',(0.273427360181266,0.048210948705168, 0.0021011821881287)); #168794=CARTESIAN_POINT('Ctrl Pts',(0.273437134319081,0.0242883012709694, 0.00133296291245644)); #168795=CARTESIAN_POINT('Ctrl Pts',(0.273437501076783,0.000227072694968259, 1.18081376363711E-5)); #168796=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000113539164999451,5.99017936107867E-6)); #168797=CARTESIAN_POINT('Ctrl Pts',(0.2734375,5.06148756444511E-17,4.27468964258176E-17)); #168798=CARTESIAN_POINT('Ctrl Pts',(0.272361013253224,0.072758166179301, 0.00169410895554491)); #168799=CARTESIAN_POINT('Ctrl Pts',(0.273234655899564,0.0481940165551014, -6.20373486757053E-5)); #168800=CARTESIAN_POINT('Ctrl Pts',(0.273424285640712,0.0243960437227786, 0.000239036763703952)); #168801=CARTESIAN_POINT('Ctrl Pts',(0.273437527821112,0.000227802850638561, 1.59794054641595E-6)); #168802=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000113940724004858,8.82756566878572E-7)); #168803=CARTESIAN_POINT('Ctrl Pts',(0.2734375,5.074732922736E-17,4.1062221348399E-17)); #168804=CARTESIAN_POINT('Ctrl Pts',(0.26973307097447,0.0731416451291271, -0.000709270004368841)); #168805=CARTESIAN_POINT('Ctrl Pts',(0.272476362121137,0.0483052182083801, -0.00234742349418594)); #168806=CARTESIAN_POINT('Ctrl Pts',(0.273406793412056,0.0244887151298221, -0.000839378950068163)); #168807=CARTESIAN_POINT('Ctrl Pts',(0.273437541265547,0.000228583334935032, -8.66380098511712E-6)); #168808=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000114342283010266,-4.22466622732153E-6)); #168809=CARTESIAN_POINT('Ctrl Pts',(0.2734375,5.08797828102689E-17,3.93775462709804E-17)); #168810=CARTESIAN_POINT('Ctrl Pts',(0.268437500000021,0.0732595035833861, -0.00144792470586578)); #168811=CARTESIAN_POINT('Ctrl Pts',(0.271995760977301,0.0483853557374323, -0.00333645087747491)); #168812=CARTESIAN_POINT('Ctrl Pts',(0.273404516886636,0.024524755822675, -0.0013008812902415)); #168813=CARTESIAN_POINT('Ctrl Pts',(0.273437524419021,0.000228930114324569, -1.30639780088477E-5)); #168814=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000114514379726869,-6.41356171055015E-6)); #168815=CARTESIAN_POINT('Ctrl Pts',(0.2734375,5.09365486315156E-17,3.86555426663725E-17)); #168816=CARTESIAN_POINT('',(0.268437500000002,0.0732595035834586,-0.00144792470586577)); #168817=CARTESIAN_POINT('Ctrl Pts',(0.2734375,5.09365486315156E-17,3.86555426663725E-17)); #168818=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000114514379726869,-6.41356171055015E-6)); #168819=CARTESIAN_POINT('Ctrl Pts',(0.273437524419021,0.000228930114324569, -1.30639780088477E-5)); #168820=CARTESIAN_POINT('Ctrl Pts',(0.273404516886636,0.024524755822675, -0.0013008812902415)); #168821=CARTESIAN_POINT('Ctrl Pts',(0.271995760977301,0.0483853557374323, -0.00333645087747491)); #168822=CARTESIAN_POINT('Ctrl Pts',(0.268437500000021,0.0732595035833861, -0.00144792470586578)); #168823=CARTESIAN_POINT('Origin',(0.2634375,0.0718949493839329,0.00710415058826839)); #168824=CARTESIAN_POINT('Origin',(0.2634375,0.144772008646762,0.0100000000000001)); #168825=CARTESIAN_POINT('',(0.2634375,-0.104582503316759,1.03244869183744E-17)); #168826=CARTESIAN_POINT('Origin',(0.2634375,-0.104582503316759,0.01)); #168827=CARTESIAN_POINT('',(0.2634375,-0.221,-2.59321681013146E-17)); #168828=CARTESIAN_POINT('Origin',(0.2634375,-0.221,0.00999999999999997)); #168829=CARTESIAN_POINT('',(0.2634375,0.144772008646762,8.60532118004243E-17)); #168830=CARTESIAN_POINT('Origin',(0.2134375,-0.221,0.00999999999999993)); #168831=CARTESIAN_POINT('',(0.2134375,-0.271,-4.12606409291524E-17)); #168832=CARTESIAN_POINT('Origin',(0.2134375,-0.271,0.00999999999999991)); #168833=CARTESIAN_POINT('Origin',(0.2134375,-0.221,-2.59525559398105E-17)); #168834=CARTESIAN_POINT('Origin',(0.,-0.271,0.00999999999999996)); #168835=CARTESIAN_POINT('',(0.083041052535779,-0.271,-4.06261767404371E-17)); #168836=CARTESIAN_POINT('Origin',(0.083041052535779,-0.271,0.00999999999999996)); #168837=CARTESIAN_POINT('',(0.,-0.271,-4.09777199049639E-17)); #168838=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357814,-0.271,4.78073398891246E-17)); #168839=CARTESIAN_POINT('Ctrl Pts',(-0.0602951676812118,-0.274328674144037, 1.81053704099131E-16)); #168840=CARTESIAN_POINT('Ctrl Pts',(-0.0204445015802432,-0.27801012027808, -0.00282845057232674)); #168841=CARTESIAN_POINT('Ctrl Pts',(0.0243989466155978,-0.276970714696747, -0.00188497061150524)); #168842=CARTESIAN_POINT('Ctrl Pts',(0.0561187821834053,-0.274519172905985, -0.000562776511733746)); #168843=CARTESIAN_POINT('Ctrl Pts',(0.0716681101084942,-0.272664337072019, 4.59054620758537E-17)); #168844=CARTESIAN_POINT('Ctrl Pts',(0.083041052535779,-0.271,4.64414158922925E-17)); #168845=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357814,-0.273617993877991, -4.37377650454872E-17)); #168846=CARTESIAN_POINT('Ctrl Pts',(-0.0602972982019181,-0.276393910421088, 0.000890982661514908)); #168847=CARTESIAN_POINT('Ctrl Pts',(-0.0203407498871849,-0.278931136855303, -0.00189248598376712)); #168848=CARTESIAN_POINT('Ctrl Pts',(0.02431172708799,-0.278234375412809, -0.000914085102320812)); #168849=CARTESIAN_POINT('Ctrl Pts',(0.0560573298140745,-0.276422795258539, 0.000187719277174173)); #168850=CARTESIAN_POINT('Ctrl Pts',(0.0716691753688473,-0.27500595214954, 0.000445491330757435)); #168851=CARTESIAN_POINT('Ctrl Pts',(0.083041052535779,-0.273617993877992, 5.78845540091187E-17)); #168852=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357814,-0.278833830385117, 0.00216616961488291)); #168853=CARTESIAN_POINT('Ctrl Pts',(-0.0600731019454066,-0.279807146103103, 0.00379682847890432)); #168854=CARTESIAN_POINT('Ctrl Pts',(-0.0201362126863031,-0.2804549962782, 0.000319145038031932)); #168855=CARTESIAN_POINT('Ctrl Pts',(0.0241120286357132,-0.280288573788357, 0.00156052668811951)); #168856=CARTESIAN_POINT('Ctrl Pts',(0.0558371865050884,-0.279753796903059, 0.00281640214968756)); #168857=CARTESIAN_POINT('Ctrl Pts',(0.0715570772405915,-0.27932048824411, 0.00298149904689366)); #168858=CARTESIAN_POINT('Ctrl Pts',(0.083041052535779,-0.278833830385117, 0.0021661696148831)); #168859=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357814,-0.281,0.00738200612200849)); #168860=CARTESIAN_POINT('Ctrl Pts',(-0.0595426483295914,-0.280981885130583, 0.00794171656246438)); #168861=CARTESIAN_POINT('Ctrl Pts',(-0.0199470856215499,-0.280991431480616, 0.00300403093867852)); #168862=CARTESIAN_POINT('Ctrl Pts',(0.02389037244496,-0.280985719567757, 0.0046794353843099)); #168863=CARTESIAN_POINT('Ctrl Pts',(0.0555044430353345,-0.280991255513467, 0.00685744440420657)); #168864=CARTESIAN_POINT('Ctrl Pts',(0.071291850432684,-0.280990942565292, 0.00766186134223636)); #168865=CARTESIAN_POINT('Ctrl Pts',(0.083041052535779,-0.281,0.00738200612200856)); #168866=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357815,-0.281,0.01)); #168867=CARTESIAN_POINT('Ctrl Pts',(-0.0592751802108445,-0.281,0.0100000000000003)); #168868=CARTESIAN_POINT('Ctrl Pts',(-0.0198529031339087,-0.281,0.00434309885534648)); #168869=CARTESIAN_POINT('Ctrl Pts',(0.0237796837856482,-0.281,0.00623005877698947)); #168870=CARTESIAN_POINT('Ctrl Pts',(0.0553377280979252,-0.281,0.00887444697653246)); #168871=CARTESIAN_POINT('Ctrl Pts',(0.0711581163733105,-0.281,0.01)); #168872=CARTESIAN_POINT('Ctrl Pts',(0.083041052535779,-0.281,0.01)); #168873=CARTESIAN_POINT('',(-0.0830410525357813,-0.270999999999999,4.78500250140257E-17)); #168874=CARTESIAN_POINT('Origin',(-0.0830410525357815,-0.271,0.01)); #168875=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357814,-0.271,4.78073398891246E-17)); #168876=CARTESIAN_POINT('Ctrl Pts',(-0.0602951676812118,-0.274328674144037, 1.81053704099131E-16)); #168877=CARTESIAN_POINT('Ctrl Pts',(-0.0204445015802432,-0.27801012027808, -0.00282845057232674)); #168878=CARTESIAN_POINT('Ctrl Pts',(0.0243989466155978,-0.276970714696747, -0.00188497061150524)); #168879=CARTESIAN_POINT('Ctrl Pts',(0.0561187821834053,-0.274519172905985, -0.000562776511733746)); #168880=CARTESIAN_POINT('Ctrl Pts',(0.0716681101084942,-0.272664337072019, 4.59054620758537E-17)); #168881=CARTESIAN_POINT('Ctrl Pts',(0.083041052535779,-0.271,4.64414158922925E-17)); #168882=CARTESIAN_POINT('Origin',(0.,-0.271,0.00999999999999996)); #168883=CARTESIAN_POINT('',(-0.2134375,-0.271,-4.09777199049639E-17)); #168884=CARTESIAN_POINT('Origin',(-0.2134375,-0.271,0.00999999999999996)); #168885=CARTESIAN_POINT('',(0.,-0.271,-4.09777199049639E-17)); #168886=CARTESIAN_POINT('Origin',(-0.2134375,-0.221,0.00999999999999993)); #168887=CARTESIAN_POINT('',(-0.2634375,-0.221,-2.59525559398105E-17)); #168888=CARTESIAN_POINT('Origin',(-0.2634375,-0.221,0.00999999999999993)); #168889=CARTESIAN_POINT('Origin',(-0.2134375,-0.221,-2.59525559398105E-17)); #168890=CARTESIAN_POINT('Origin',(-0.2134375,-0.221,0.00999999999999993)); #168891=CARTESIAN_POINT('Origin',(-0.2634375,0.144772008646762,0.0100000000000001)); #168892=CARTESIAN_POINT('',(-0.2634375,-0.104582503316759,1.03244869183744E-17)); #168893=CARTESIAN_POINT('Origin',(-0.2634375,-0.104582503316759,0.01)); #168894=CARTESIAN_POINT('',(-0.2634375,0.144772008646762,8.60532118004243E-17)); #168895=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.0718949493839831,0.00710415058827647)); #168896=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.0827083294108679,0.00882951462198402)); #168897=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.093632340365705,0.0100000000000001)); #168898=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.104582503316759,0.0100000000000001)); #168899=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.0721699524439215,0.00538062295145616)); #168900=CARTESIAN_POINT('Ctrl Pts',(0.273440051521236,-0.0829634332229536, 0.00682461570624712)); #168901=CARTESIAN_POINT('Ctrl Pts',(0.273427281750014,-0.093827806363646, 0.00769772803359156)); #168902=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.104582503316759,0.0073820061220086)); #168903=CARTESIAN_POINT('Ctrl Pts',(0.272502392574393,-0.0727195851993197, 0.00193590766631544)); #168904=CARTESIAN_POINT('Ctrl Pts',(0.272200045000015,-0.0834727762725133, 0.00282150180843105)); #168905=CARTESIAN_POINT('Ctrl Pts',(0.271820232282016,-0.0942154624546316, 0.00308611977669444)); #168906=CARTESIAN_POINT('Ctrl Pts',(0.271271203575662,-0.104582503316759, 0.0021662964243386)); #168907=CARTESIAN_POINT('Ctrl Pts',(0.269948999470204,-0.0731220020534756, -0.000586160887449459)); #168908=CARTESIAN_POINT('Ctrl Pts',(0.268905901046656,-0.0838010473669988, 0.000168940450269221)); #168909=CARTESIAN_POINT('Ctrl Pts',(0.267621335095225,-0.0943793053276402, 0.000502586210205026)); #168910=CARTESIAN_POINT('Ctrl Pts',(0.266055493877991,-0.104582503316759, 1.02380892303369E-16)); #168911=CARTESIAN_POINT('Ctrl Pts',(0.268437500000012,-0.0732595035834452, -0.00144792470586171)); #168912=CARTESIAN_POINT('Ctrl Pts',(0.267012108164726,-0.0838891148844822, -0.000585242689007849)); #168913=CARTESIAN_POINT('Ctrl Pts',(0.265315141165559,-0.0943777483407441, 1.06222493279547E-16)); #168914=CARTESIAN_POINT('Ctrl Pts',(0.2634375,-0.104582503316759,1.0244429976241E-16)); #168915=CARTESIAN_POINT('Ctrl Pts',(0.2634375,-0.104582503316759,1.0244429976241E-16)); #168916=CARTESIAN_POINT('Ctrl Pts',(0.265315141165559,-0.0943777483407441, 1.06222493279547E-16)); #168917=CARTESIAN_POINT('Ctrl Pts',(0.267012108164726,-0.0838891148844822, -0.000585242689007849)); #168918=CARTESIAN_POINT('Ctrl Pts',(0.268437500000012,-0.0732595035834452, -0.00144792470586171)); #168919=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0718949493839331,0.00710415058826838)); #168920=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0482230160575656,0.00332709824498181)); #168921=CARTESIAN_POINT('Ctrl Pts',(-0.273437500000002,-0.0242265786568439, 0.00195845832657226)); #168922=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000226655994701133,1.76411453077488E-5)); #168923=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.00011330970247702,8.90870658080454E-6)); #168924=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.37095678986282E-17)); #168925=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0722092385952892,0.00513440471761831)); #168926=CARTESIAN_POINT('Ctrl Pts',(-0.273427360181266,-0.0482109487049277, 0.00210118218809834)); #168927=CARTESIAN_POINT('Ctrl Pts',(-0.273437134319079,-0.02428830127035, 0.00133296291370336)); #168928=CARTESIAN_POINT('Ctrl Pts',(-0.273437501076783,-0.000227072694491436, 1.18081376035957E-5)); #168929=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000113539164762389,5.99017928964678E-6)); #168930=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-2.0654131060857E-20,4.34468683221845E-17)); #168931=CARTESIAN_POINT('Ctrl Pts',(-0.272361013253224,-0.0727581661793013, 0.00169410895554487)); #168932=CARTESIAN_POINT('Ctrl Pts',(-0.273234655899573,-0.0481940165548554, -6.20373486932742E-5)); #168933=CARTESIAN_POINT('Ctrl Pts',(-0.273424285640717,-0.0243960437220777, 0.000239036765064896)); #168934=CARTESIAN_POINT('Ctrl Pts',(-0.273437527821112,-0.00022780285016352, 1.59794054005167E-6)); #168935=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000113940723761785,8.82756530120715E-7)); #168936=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-5.67988604173568E-20,4.2987144063408E-17)); #168937=CARTESIAN_POINT('Ctrl Pts',(-0.269733070974469,-0.0731416451291273, -0.000709270004368893)); #168938=CARTESIAN_POINT('Ctrl Pts',(-0.272476362121165,-0.0483052182081311, -0.00234742349420235)); #168939=CARTESIAN_POINT('Ctrl Pts',(-0.273406793412076,-0.0244887151290392, -0.000839378948592947)); #168940=CARTESIAN_POINT('Ctrl Pts',(-0.273437541265547,-0.000228583334461666, -8.6638009649622E-6)); #168941=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000114342282761181,-4.22466622940537E-6)); #168942=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-9.29435897738566E-20,4.25274198046315E-17)); #168943=CARTESIAN_POINT('Ctrl Pts',(-0.268437500000021,-0.0732595035833864, -0.00144792470586584)); #168944=CARTESIAN_POINT('Ctrl Pts',(-0.271995760977337,-0.048385355737183, -0.00333645087749385)); #168945=CARTESIAN_POINT('Ctrl Pts',(-0.27340451688666,-0.0245247558218569, -0.00130088128871731)); #168946=CARTESIAN_POINT('Ctrl Pts',(-0.273437524419021,-0.000228930113851895, -1.30639779773226E-5)); #168947=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000114514379475208,-6.41356169777369E-6)); #168948=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-1.08434188069499E-19,4.23303951222988E-17)); #168949=CARTESIAN_POINT('',(-0.268437500000002,-0.0732595035834587,-0.00144792470586581)); #168950=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-1.08434188069499E-19,4.23303951222988E-17)); #168951=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000114514379475208,-6.41356169777369E-6)); #168952=CARTESIAN_POINT('Ctrl Pts',(-0.273437524419021,-0.000228930113851895, -1.30639779773226E-5)); #168953=CARTESIAN_POINT('Ctrl Pts',(-0.27340451688666,-0.0245247558218569, -0.00130088128871731)); #168954=CARTESIAN_POINT('Ctrl Pts',(-0.271995760977337,-0.048385355737183, -0.00333645087749385)); #168955=CARTESIAN_POINT('Ctrl Pts',(-0.268437500000021,-0.0732595035833864, -0.00144792470586584)); #168956=CARTESIAN_POINT('Origin',(-0.2634375,-0.0718949493839331,0.00710415058826837)); #168957=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.37095678986282E-17)); #168958=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000113309702762707,8.90870665046732E-6)); #168959=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000226667709514138,1.75856332656967E-5)); #168960=CARTESIAN_POINT('Ctrl Pts',(-0.273437499999999,0.0242231393737108, 0.001974772662425)); #168961=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.0482230160578522,0.00332709824502763)); #168962=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.0718949493839331,0.00710415058826846)); #168963=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,3.61447295456537E-20,4.32498436398817E-17)); #168964=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000115171883059715,3.6352819220258E-6)); #168965=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000226077628500988,7.53016805062487E-6)); #168966=CARTESIAN_POINT('Ctrl Pts',(-0.273437499999999,0.0243445746066388, 0.00087750461050377)); #168967=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.0483051087973818,0.0011701756917958)); #168968=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.0724449555038063,0.00365709531463085)); #168969=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,7.22894590913074E-20,4.27901193811351E-17)); #168970=CARTESIAN_POINT('Ctrl Pts',(-0.273437116473771,0.000114225045675806, -2.42072322892974E-6)); #168971=CARTESIAN_POINT('Ctrl Pts',(-0.273437936891554,0.000227992408890776, -1.85791457155607E-6)); #168972=CARTESIAN_POINT('Ctrl Pts',(-0.273396608144826,0.0244495240897832, -0.000215381298005554)); #168973=CARTESIAN_POINT('Ctrl Pts',(-0.273109227595006,0.0482085825221643, -0.00109637102929016)); #168974=CARTESIAN_POINT('Ctrl Pts',(-0.2714604989404,0.0729845005234487, 0.000275602930960462)); #168975=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,1.08434188636961E-19,4.23303951223886E-17)); #168976=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000114514379774004,-6.41356171366253E-6)); #168977=CARTESIAN_POINT('Ctrl Pts',(-0.273437542797067,0.00022890233976149, -1.31383774927906E-5)); #168978=CARTESIAN_POINT('Ctrl Pts',(-0.27339912157311,0.0245328503108402, -0.00127902063934088)); #168979=CARTESIAN_POINT('Ctrl Pts',(-0.271995760977293,0.0483853557374845, -0.00333645087747092)); #168980=CARTESIAN_POINT('Ctrl Pts',(-0.268437500000021,0.0732595035833864, -0.00144792470586572)); #168981=CARTESIAN_POINT('',(-0.268437500000002,0.0732595035834587,-0.00144792470586573)); #168982=CARTESIAN_POINT('Origin',(-0.2634375,0.0718949493839331,0.00710415058826848)); #168983=CARTESIAN_POINT('Ctrl Pts',(-0.268437500000021,0.0732595035833864, -0.00144792470586572)); #168984=CARTESIAN_POINT('Ctrl Pts',(-0.271995760977293,0.0483853557374845, -0.00333645087747092)); #168985=CARTESIAN_POINT('Ctrl Pts',(-0.27339912157311,0.0245328503108402, -0.00127902063934088)); #168986=CARTESIAN_POINT('Ctrl Pts',(-0.273437542797067,0.00022890233976149, -1.31383774927906E-5)); #168987=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000114514379774004,-6.41356171366253E-6)); #168988=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,1.08434188636961E-19,4.23303951223886E-17)); #168989=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.104582503316759,0.0100000000000001)); #168990=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0936323403657049,0.0100000000000001)); #168991=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.082708329410868,0.00882951462198403)); #168992=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0718949493839832,0.00710415058827648)); #168993=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.104582503316759,0.00738200612200861)); #168994=CARTESIAN_POINT('Ctrl Pts',(-0.273427281750014,-0.0938278063636461, 0.00769772803359157)); #168995=CARTESIAN_POINT('Ctrl Pts',(-0.273440051521236,-0.0829634332229537, 0.00682461570624711)); #168996=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.0721699524439217,0.00538062295145618)); #168997=CARTESIAN_POINT('Ctrl Pts',(-0.271271203575662,-0.104582503316759, 0.00216629642433862)); #168998=CARTESIAN_POINT('Ctrl Pts',(-0.271820232282016,-0.0942154624546321, 0.00308611977669446)); #168999=CARTESIAN_POINT('Ctrl Pts',(-0.272200045000015,-0.0834727762725134, 0.00282150180843104)); #169000=CARTESIAN_POINT('Ctrl Pts',(-0.272502392574393,-0.0727195851993198, 0.00193590766631545)); #169001=CARTESIAN_POINT('Ctrl Pts',(-0.266055493877992,-0.104582503316759, 1.15015446560746E-16)); #169002=CARTESIAN_POINT('Ctrl Pts',(-0.267621335095225,-0.0943793053276409, 0.000502586210205044)); #169003=CARTESIAN_POINT('Ctrl Pts',(-0.268905901046656,-0.0838010473669987, 0.000168940450269202)); #169004=CARTESIAN_POINT('Ctrl Pts',(-0.269948999470204,-0.0731220020534757, -0.000586160887449442)); #169005=CARTESIAN_POINT('Ctrl Pts',(-0.2634375,-0.104582503316759,1.03810223759242E-16)); #169006=CARTESIAN_POINT('Ctrl Pts',(-0.265315141165559,-0.0943777483407448, 1.1128019485407E-16)); #169007=CARTESIAN_POINT('Ctrl Pts',(-0.267012108164726,-0.0838891148844821, -0.000585242689007867)); #169008=CARTESIAN_POINT('Ctrl Pts',(-0.268437500000012,-0.0732595035834453, -0.00144792470586169)); #169009=CARTESIAN_POINT('Ctrl Pts',(-0.268437500000012,-0.0732595035834453, -0.00144792470586169)); #169010=CARTESIAN_POINT('Ctrl Pts',(-0.267012108164726,-0.0838891148844821, -0.000585242689007867)); #169011=CARTESIAN_POINT('Ctrl Pts',(-0.265315141165559,-0.0943777483407448, 1.1128019485407E-16)); #169012=CARTESIAN_POINT('Ctrl Pts',(-0.2634375,-0.104582503316759,1.03810223759242E-16)); #169013=CARTESIAN_POINT('Origin',(-0.2634375,0.144772008646762,0.0100000000000001)); #169014=CARTESIAN_POINT('',(-0.2634375,0.104582503316759,7.43628008852178E-17)); #169015=CARTESIAN_POINT('Origin',(-0.2634375,0.104582503316759,0.0100000000000001)); #169016=CARTESIAN_POINT('',(-0.2634375,0.221,1.09391303204468E-16)); #169017=CARTESIAN_POINT('Origin',(-0.2634375,0.221,0.0100000000000001)); #169018=CARTESIAN_POINT('',(-0.2634375,0.144772008646762,8.60532118004243E-17)); #169019=CARTESIAN_POINT('Origin',(-0.2134375,0.221,0.0100000000000001)); #169020=CARTESIAN_POINT('',(-0.2134375,0.281,0.0100000000000001)); #169021=CARTESIAN_POINT('',(-0.2134375,0.271,1.25947928732745E-16)); #169022=CARTESIAN_POINT('Origin',(-0.2134375,0.271,0.0100000000000001)); #169023=CARTESIAN_POINT('Origin',(-0.2134375,0.221,1.10639843743403E-16)); #169024=CARTESIAN_POINT('Origin',(-0.2134375,0.221,0.0100000000000001)); #169025=CARTESIAN_POINT('Origin',(0.,0.271,0.0100000000000001)); #169026=CARTESIAN_POINT('',(-0.0830410525357786,0.281,0.0100000000000001)); #169027=CARTESIAN_POINT('',(-0.0830410525357786,0.271,1.25313464544029E-16)); #169028=CARTESIAN_POINT('Origin',(-0.0830410525357786,0.271,0.0100000000000001)); #169029=CARTESIAN_POINT('',(0.,0.271,1.25665007708556E-16)); #169030=CARTESIAN_POINT('',(0.,0.281,0.0100000000000001)); #169031=CARTESIAN_POINT('Ctrl Pts',(0.0830410525357807,0.271,2.14450067502645E-16)); #169032=CARTESIAN_POINT('Ctrl Pts',(0.0602951676812113,0.274328674144038, 3.35822993317699E-16)); #169033=CARTESIAN_POINT('Ctrl Pts',(0.0204445015802429,0.27801012027808, -0.00282845057232648)); #169034=CARTESIAN_POINT('Ctrl Pts',(-0.0243989466155977,0.276970714696747, -0.00188497061150502)); #169035=CARTESIAN_POINT('Ctrl Pts',(-0.056118782183405,0.274519172905985, -0.000562776511733562)); #169036=CARTESIAN_POINT('Ctrl Pts',(-0.0716681101084938,0.272664337072019, 2.13620097322251E-16)); #169037=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357786,0.271,2.13084143505813E-16)); #169038=CARTESIAN_POINT('Ctrl Pts',(0.0830410525357807,0.273617993877991, 1.22904962568033E-16)); #169039=CARTESIAN_POINT('Ctrl Pts',(0.0602972982019176,0.276393910421088, 0.000890982661515051)); #169040=CARTESIAN_POINT('Ctrl Pts',(0.0203407498871844,0.278931136855304, -0.00189248598376686)); #169041=CARTESIAN_POINT('Ctrl Pts',(-0.0243117270879899,0.27823437541281, -0.000914085102320587)); #169042=CARTESIAN_POINT('Ctrl Pts',(-0.0560573298140743,0.276422795258539, 0.000187719277174344)); #169043=CARTESIAN_POINT('Ctrl Pts',(-0.071669175368847,0.27500595214954, 0.000445491330757587)); #169044=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357786,0.273617993877992, 2.13084143505813E-16)); #169045=CARTESIAN_POINT('Ctrl Pts',(0.0830410525357807,0.278833830385117, 0.00216616961488308)); #169046=CARTESIAN_POINT('Ctrl Pts',(0.0600731019454062,0.279807146103103, 0.00379682847890444)); #169047=CARTESIAN_POINT('Ctrl Pts',(0.020136212686303,0.2804549962782,0.000319145038032214)); #169048=CARTESIAN_POINT('Ctrl Pts',(-0.0241120286357131,0.280288573788358, 0.00156052668811974)); #169049=CARTESIAN_POINT('Ctrl Pts',(-0.0558371865050881,0.279753796903059, 0.00281640214968772)); #169050=CARTESIAN_POINT('Ctrl Pts',(-0.0715570772405911,0.27932048824411, 0.0029814990468938)); #169051=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357785,0.278833830385117, 0.00216616961488325)); #169052=CARTESIAN_POINT('Ctrl Pts',(0.0830410525357807,0.281,0.00738200612200866)); #169053=CARTESIAN_POINT('Ctrl Pts',(0.0595426483295909,0.280981885130583, 0.00794171656246452)); #169054=CARTESIAN_POINT('Ctrl Pts',(0.0199470856215494,0.280991431480616, 0.00300403093867885)); #169055=CARTESIAN_POINT('Ctrl Pts',(-0.02389037244496,0.280985719567757, 0.00467943538431017)); #169056=CARTESIAN_POINT('Ctrl Pts',(-0.0555044430353343,0.280991255513467, 0.00685744440420676)); #169057=CARTESIAN_POINT('Ctrl Pts',(-0.0712918504326837,0.280990942565292, 0.00766186134223651)); #169058=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357786,0.281,0.00738200612200871)); #169059=CARTESIAN_POINT('Ctrl Pts',(0.0830410525357808,0.281,0.0100000000000002)); #169060=CARTESIAN_POINT('Ctrl Pts',(0.0592751802108441,0.281,0.0100000000000005)); #169061=CARTESIAN_POINT('Ctrl Pts',(0.0198529031339084,0.281,0.00434309885534683)); #169062=CARTESIAN_POINT('Ctrl Pts',(-0.0237796837856482,0.281,0.00623005877698976)); #169063=CARTESIAN_POINT('Ctrl Pts',(-0.055337728097925,0.281,0.00887444697653266)); #169064=CARTESIAN_POINT('Ctrl Pts',(-0.0711581163733102,0.281,0.0100000000000002)); #169065=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357786,0.281,0.0100000000000002)); #169066=CARTESIAN_POINT('',(0.0830410525357808,0.281,0.0100000000000002)); #169067=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357786,0.281,0.0100000000000002)); #169068=CARTESIAN_POINT('Ctrl Pts',(-0.0711581163733102,0.281,0.0100000000000002)); #169069=CARTESIAN_POINT('Ctrl Pts',(-0.055337728097925,0.281,0.00887444697653266)); #169070=CARTESIAN_POINT('Ctrl Pts',(-0.0237796837856482,0.281,0.00623005877698976)); #169071=CARTESIAN_POINT('Ctrl Pts',(0.0198529031339084,0.281,0.00434309885534683)); #169072=CARTESIAN_POINT('Ctrl Pts',(0.0592751802108441,0.281,0.0100000000000005)); #169073=CARTESIAN_POINT('Ctrl Pts',(0.0830410525357808,0.281,0.0100000000000002)); #169074=CARTESIAN_POINT('',(0.0830410525357806,0.271,2.14578122877348E-16)); #169075=CARTESIAN_POINT('Origin',(0.0830410525357808,0.271,0.0100000000000002)); #169076=CARTESIAN_POINT('Ctrl Pts',(0.0830410525357807,0.271,2.14450067502645E-16)); #169077=CARTESIAN_POINT('Ctrl Pts',(0.0602951676812113,0.274328674144038, 3.35822993317699E-16)); #169078=CARTESIAN_POINT('Ctrl Pts',(0.0204445015802429,0.27801012027808, -0.00282845057232648)); #169079=CARTESIAN_POINT('Ctrl Pts',(-0.0243989466155977,0.276970714696747, -0.00188497061150502)); #169080=CARTESIAN_POINT('Ctrl Pts',(-0.056118782183405,0.274519172905985, -0.000562776511733562)); #169081=CARTESIAN_POINT('Ctrl Pts',(-0.0716681101084938,0.272664337072019, 2.13620097322251E-16)); #169082=CARTESIAN_POINT('Ctrl Pts',(-0.0830410525357786,0.271,2.13084143505813E-16)); #169083=CARTESIAN_POINT('Origin',(0.,0.271,0.0100000000000001)); #169084=CARTESIAN_POINT('',(0.2134375,0.281,0.0100000000000001)); #169085=CARTESIAN_POINT('',(0.2134375,0.271,1.25665007708556E-16)); #169086=CARTESIAN_POINT('Origin',(0.2134375,0.271,0.0100000000000001)); #169087=CARTESIAN_POINT('',(0.,0.271,1.25665007708556E-16)); #169088=CARTESIAN_POINT('',(0.,0.281,0.0100000000000001)); #169089=CARTESIAN_POINT('Origin',(0.2134375,0.221,0.0100000000000001)); #169090=CARTESIAN_POINT('',(0.2634375,0.221,1.10639843743403E-16)); #169091=CARTESIAN_POINT('Origin',(0.2634375,0.221,0.0100000000000001)); #169092=CARTESIAN_POINT('Origin',(0.2134375,0.221,1.10639843743403E-16)); #169093=CARTESIAN_POINT('Origin',(0.2134375,0.221,0.0100000000000001)); #169094=CARTESIAN_POINT('Origin',(0.2634375,0.144772008646762,0.0100000000000001)); #169095=CARTESIAN_POINT('',(0.2634375,0.104582503316759,7.43628008852178E-17)); #169096=CARTESIAN_POINT('Origin',(0.2634375,0.104582503316759,0.0100000000000001)); #169097=CARTESIAN_POINT('',(0.2634375,0.144772008646762,8.60532118004243E-17)); #169098=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.0718949493839832,0.00710415058827654)); #169099=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.082708329410868,0.00882951462198408)); #169100=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.093632340365705,0.0100000000000002)); #169101=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.104582503316759,0.0100000000000002)); #169102=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.0721699524439216,0.00538062295145623)); #169103=CARTESIAN_POINT('Ctrl Pts',(-0.273440051521236,0.0829634332229536, 0.00682461570624716)); #169104=CARTESIAN_POINT('Ctrl Pts',(-0.273427281750014,0.0938278063636459, 0.00769772803359163)); #169105=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.104582503316759,0.00738200612200868)); #169106=CARTESIAN_POINT('Ctrl Pts',(-0.272502392574392,0.0727195851993198, 0.0019359076663155)); #169107=CARTESIAN_POINT('Ctrl Pts',(-0.272200045000015,0.0834727762725132, 0.00282150180843107)); #169108=CARTESIAN_POINT('Ctrl Pts',(-0.271820232282016,0.0942154624546315, 0.00308611977669452)); #169109=CARTESIAN_POINT('Ctrl Pts',(-0.271271203575662,0.104582503316759, 0.00216629642433869)); #169110=CARTESIAN_POINT('Ctrl Pts',(-0.269948999470204,0.0731220020534757, -0.000586160887449397)); #169111=CARTESIAN_POINT('Ctrl Pts',(-0.268905901046656,0.0838010473669985, 0.000168940450269223)); #169112=CARTESIAN_POINT('Ctrl Pts',(-0.267621335095225,0.09437930532764, 0.000502586210205102)); #169113=CARTESIAN_POINT('Ctrl Pts',(-0.266055493877992,0.104582503316759, 1.80579798408688E-16)); #169114=CARTESIAN_POINT('Ctrl Pts',(-0.268437500000012,0.0732595035834452, -0.00144792470586165)); #169115=CARTESIAN_POINT('Ctrl Pts',(-0.267012108164726,0.0838891148844819, -0.000585242689007851)); #169116=CARTESIAN_POINT('Ctrl Pts',(-0.265315141165559,0.094377748340744, 1.7146301858408E-16)); #169117=CARTESIAN_POINT('Ctrl Pts',(-0.2634375,0.104582503316759,1.69374575607184E-16)); #169118=CARTESIAN_POINT('Ctrl Pts',(-0.2634375,0.104582503316759,1.69374575607184E-16)); #169119=CARTESIAN_POINT('Ctrl Pts',(-0.265315141165559,0.094377748340744, 1.7146301858408E-16)); #169120=CARTESIAN_POINT('Ctrl Pts',(-0.267012108164726,0.0838891148844819, -0.000585242689007851)); #169121=CARTESIAN_POINT('Ctrl Pts',(-0.268437500000012,0.0732595035834452, -0.00144792470586165)); #169122=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.104582503316759,0.0100000000000002)); #169123=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.093632340365705,0.0100000000000002)); #169124=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.082708329410868,0.0088295146219841)); #169125=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.0718949493839832,0.00710415058827653)); #169126=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.104582503316759,0.00738200612200867)); #169127=CARTESIAN_POINT('Ctrl Pts',(0.273427281750014,0.0938278063636462, 0.00769772803359162)); #169128=CARTESIAN_POINT('Ctrl Pts',(0.273440051521236,0.0829634332229536, 0.00682461570624718)); #169129=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.0721699524439216,0.00538062295145623)); #169130=CARTESIAN_POINT('Ctrl Pts',(0.271271203575662,0.104582503316759, 0.00216629642433868)); #169131=CARTESIAN_POINT('Ctrl Pts',(0.271820232282016,0.0942154624546321, 0.00308611977669451)); #169132=CARTESIAN_POINT('Ctrl Pts',(0.272200045000015,0.0834727762725132, 0.00282150180843108)); #169133=CARTESIAN_POINT('Ctrl Pts',(0.272502392574392,0.0727195851993198, 0.0019359076663155)); #169134=CARTESIAN_POINT('Ctrl Pts',(0.266055493877992,0.104582503316759, 1.77847950415024E-16)); #169135=CARTESIAN_POINT('Ctrl Pts',(0.267621335095225,0.0943793053276407, 0.000502586210205096)); #169136=CARTESIAN_POINT('Ctrl Pts',(0.268905901046656,0.0838010473669984, 0.000168940450269234)); #169137=CARTESIAN_POINT('Ctrl Pts',(0.269948999470204,0.0731220020534757, -0.000586160887449392)); #169138=CARTESIAN_POINT('Ctrl Pts',(0.2634375,0.104582503316759,1.6664272761352E-16)); #169139=CARTESIAN_POINT('Ctrl Pts',(0.265315141165559,0.0943777483407447, 1.70572210160646E-16)); #169140=CARTESIAN_POINT('Ctrl Pts',(0.267012108164726,0.0838891148844818, -0.000585242689007842)); #169141=CARTESIAN_POINT('Ctrl Pts',(0.268437500000012,0.0732595035834453, -0.00144792470586164)); #169142=CARTESIAN_POINT('Ctrl Pts',(0.268437500000012,0.0732595035834453, -0.00144792470586164)); #169143=CARTESIAN_POINT('Ctrl Pts',(0.267012108164726,0.0838891148844818, -0.000585242689007842)); #169144=CARTESIAN_POINT('Ctrl Pts',(0.265315141165559,0.0943777483407447, 1.70572210160646E-16)); #169145=CARTESIAN_POINT('Ctrl Pts',(0.2634375,0.104582503316759,1.6664272761352E-16)); #169146=CARTESIAN_POINT('Origin',(0.,0.281,1.28353307381575E-16)); #169147=CARTESIAN_POINT('Origin',(0.,-3.06161699786836E-18,4.23436439017961E-17)); #169148=CARTESIAN_POINT('Ctrl Pts',(0.2734375,4.29022619699355E-17,3.8023865930183E-17)); #169149=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000118529110169227,-6.6384131360427E-6)); #169150=CARTESIAN_POINT('Ctrl Pts',(0.273437258168329,0.000237162608027794, -1.34351363936005E-5)); #169151=CARTESIAN_POINT('Ctrl Pts',(0.273481535152237,0.0249025764203067, -0.00134982250747845)); #169152=CARTESIAN_POINT('Ctrl Pts',(0.271355802550281,0.0498986802880937, -0.0030778794341326)); #169153=CARTESIAN_POINT('Ctrl Pts',(0.269124482606489,0.073446988583664, -0.00105503554712167)); #169154=CARTESIAN_POINT('Ctrl Pts',(0.2734375,4.22593660430292E-17,3.46011620382635E-17)); #169155=CARTESIAN_POINT('Ctrl Pts',(0.273438076534906,0.000116691847672806, -9.79843303499845E-6)); #169156=CARTESIAN_POINT('Ctrl Pts',(0.273436681348571,0.000235982965247321, -2.60450532623969E-5)); #169157=CARTESIAN_POINT('Ctrl Pts',(0.27348640689235,0.0246728381040659, -0.00240511105456188)); #169158=CARTESIAN_POINT('Ctrl Pts',(0.270229174855826,0.049535570376803, -0.00543995690871428)); #169159=CARTESIAN_POINT('Ctrl Pts',(0.265939369627524,0.0725777367254235, -0.00270519495749472)); #169160=CARTESIAN_POINT('Ctrl Pts',(0.2734375,4.16164701161229E-17,3.1178458146344E-17)); #169161=CARTESIAN_POINT('Ctrl Pts',(0.273438388738589,0.000114864217168365, -1.68611484934011E-5)); #169162=CARTESIAN_POINT('Ctrl Pts',(0.273436567501263,0.000234703179520704, -3.50579102983922E-5)); #169163=CARTESIAN_POINT('Ctrl Pts',(0.273431948716709,0.0244695189086821, -0.00352341947096719)); #169164=CARTESIAN_POINT('Ctrl Pts',(0.270087538606859,0.0489962749096556, -0.00780824377384135)); #169165=CARTESIAN_POINT('Ctrl Pts',(0.263790311466373,0.0719912354576866, -0.00630898800307185)); #169166=CARTESIAN_POINT('Ctrl Pts',(0.2734375,4.09735741892166E-17,2.77557542544245E-17)); #169167=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000115550186263832,-2.24978610155641E-5)); #169168=CARTESIAN_POINT('Ctrl Pts',(0.273437419907231,0.000231112577286619, -4.52963175541951E-5)); #169169=CARTESIAN_POINT('Ctrl Pts',(0.273408892914643,0.0243029718080068, -0.00464417369744779)); #169170=CARTESIAN_POINT('Ctrl Pts',(0.270208834281851,0.0484724817943451, -0.00999999999999988)); #169171=CARTESIAN_POINT('Ctrl Pts',(0.263790311466373,0.0719912354576866, -0.00999999999999993)); #169172=CARTESIAN_POINT('',(0.263790311466373,0.0719912354576867,-0.00999999999999985)); #169173=CARTESIAN_POINT('Ctrl Pts',(0.2734375,4.09735741892166E-17,2.77557542544245E-17)); #169174=CARTESIAN_POINT('Ctrl Pts',(0.2734375,0.000115550186263832,-2.24978610155641E-5)); #169175=CARTESIAN_POINT('Ctrl Pts',(0.273437419907231,0.000231112577286619, -4.52963175541951E-5)); #169176=CARTESIAN_POINT('Ctrl Pts',(0.273408892914643,0.0243029718080068, -0.00464417369744779)); #169177=CARTESIAN_POINT('Ctrl Pts',(0.270208834281851,0.0484724817943451, -0.00999999999999988)); #169178=CARTESIAN_POINT('Ctrl Pts',(0.263790311466373,0.0719912354576866, -0.00999999999999993)); #169179=CARTESIAN_POINT('Origin',(0.2734375,0.0746240577829964,-0.00999999999999985)); #169180=CARTESIAN_POINT('Origin',(0.,0.281,1.28353307381575E-16)); #169181=CARTESIAN_POINT('Origin',(0.,-3.06161699786836E-18,4.23436439017961E-17)); #169182=CARTESIAN_POINT('Origin',(0.2134375,0.221,0.205030349196713)); #169183=CARTESIAN_POINT('',(0.2134375,0.281,0.205030349196713)); #169184=CARTESIAN_POINT('Origin',(0.,0.281,0.212)); #169185=CARTESIAN_POINT('',(-0.2134375,0.281,0.205030349196713)); #169186=CARTESIAN_POINT('Origin',(0.,0.,-0.00999999999999987)); #169187=CARTESIAN_POINT('',(-0.263790311466373,0.0719912354576867,-0.00999999999999985)); #169188=CARTESIAN_POINT('Origin',(0.,0.,-0.00999999999999987)); #169189=CARTESIAN_POINT('Origin',(-0.2734375,0.0746240577829964,-0.00999999999999985)); #169190=CARTESIAN_POINT('Origin',(0.,-3.06161699786836E-18,4.23436439017961E-17)); #169191=CARTESIAN_POINT('Origin',(0.,-3.06161699786836E-18,4.23436439017961E-17)); #169192=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169193=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000118529110169145,-6.6384131360368E-6)); #169194=CARTESIAN_POINT('Ctrl Pts',(-0.273437258168329,-0.000237162608028254, -1.3435136393667E-5)); #169195=CARTESIAN_POINT('Ctrl Pts',(-0.273481535152234,-0.0249025764201593, -0.00134982250745587)); #169196=CARTESIAN_POINT('Ctrl Pts',(-0.271355802550282,-0.0498986802880921, -0.0030778794341316)); #169197=CARTESIAN_POINT('Ctrl Pts',(-0.269124482606491,-0.0734469885836646, -0.00105503554712086)); #169198=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169199=CARTESIAN_POINT('Ctrl Pts',(-0.273438076534906,-0.000116691847672721, -9.79843303484024E-6)); #169200=CARTESIAN_POINT('Ctrl Pts',(-0.273436681348571,-0.000235982965247782, -2.60450532626127E-5)); #169201=CARTESIAN_POINT('Ctrl Pts',(-0.273486406892348,-0.0246728381039183, -0.00240511105453934)); #169202=CARTESIAN_POINT('Ctrl Pts',(-0.270229174855827,-0.0495355703768014, -0.00543995690871377)); #169203=CARTESIAN_POINT('Ctrl Pts',(-0.265939369627525,-0.0725777367254239, -0.00270519495749357)); #169204=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169205=CARTESIAN_POINT('Ctrl Pts',(-0.273438388738589,-0.000114864217168285, -1.68611484935409E-5)); #169206=CARTESIAN_POINT('Ctrl Pts',(-0.273436567501263,-0.000234703179521153, -3.50579102984875E-5)); #169207=CARTESIAN_POINT('Ctrl Pts',(-0.273431948716707,-0.024469518908538, -0.00352341947089244)); #169208=CARTESIAN_POINT('Ctrl Pts',(-0.270087538606859,-0.0489962749096559, -0.00780824377384104)); #169209=CARTESIAN_POINT('Ctrl Pts',(-0.263790311466373,-0.0719912354576868, -0.00630898800307127)); #169210=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169211=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000115550186263749,-2.24978610155529E-5)); #169212=CARTESIAN_POINT('Ctrl Pts',(-0.273437419907231,-0.000231112577287069, -4.52963175544382E-5)); #169213=CARTESIAN_POINT('Ctrl Pts',(-0.273408892914641,-0.0243029718078627, -0.0046441736973731)); #169214=CARTESIAN_POINT('Ctrl Pts',(-0.270208834281851,-0.0484724817943453, -0.01)); #169215=CARTESIAN_POINT('Ctrl Pts',(-0.263790311466373,-0.0719912354576868, -0.00999999999999998)); #169216=CARTESIAN_POINT('',(-0.263790311466373,-0.0719912354576867,-0.00999999999999989)); #169217=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169218=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,-0.000115550186263749,-2.24978610155529E-5)); #169219=CARTESIAN_POINT('Ctrl Pts',(-0.273437419907231,-0.000231112577287069, -4.52963175544382E-5)); #169220=CARTESIAN_POINT('Ctrl Pts',(-0.273408892914641,-0.0243029718078627, -0.0046441736973731)); #169221=CARTESIAN_POINT('Ctrl Pts',(-0.270208834281851,-0.0484724817943453, -0.01)); #169222=CARTESIAN_POINT('Ctrl Pts',(-0.263790311466373,-0.0719912354576868, -0.00999999999999998)); #169223=CARTESIAN_POINT('Origin',(-0.2734375,-0.0746240577829964,-0.00999999999999989)); #169224=CARTESIAN_POINT('Origin',(-0.2134375,0.221,0.205030349196713)); #169225=CARTESIAN_POINT('Origin',(0.,0.,-0.00999999999999987)); #169226=CARTESIAN_POINT('',(0.263790311466373,-0.0719912354576867,-0.00999999999999989)); #169227=CARTESIAN_POINT('Origin',(0.,0.,-0.00999999999999987)); #169228=CARTESIAN_POINT('Origin',(0.2734375,-0.0746240577829964,-0.00999999999999989)); #169229=CARTESIAN_POINT('Ctrl Pts',(0.269124482606495,-0.0734469885836656, -0.00105503554711878)); #169230=CARTESIAN_POINT('Ctrl Pts',(0.271355802550284,-0.0498986802880888, -0.00307787943412879)); #169231=CARTESIAN_POINT('Ctrl Pts',(0.273466754437736,-0.0249078595205264, -0.00136166822120373)); #169232=CARTESIAN_POINT('Ctrl Pts',(0.273437309061799,-0.000237144045503632, -1.33944265591E-5)); #169233=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000118529110169041,-6.63841313603375E-6)); #169234=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-4.34741390841058E-17,4.1068473840193E-17)); #169235=CARTESIAN_POINT('Ctrl Pts',(0.267304418047085,-0.0729502732360992, -0.00199798378161699)); #169236=CARTESIAN_POINT('Ctrl Pts',(0.270696710900708,-0.0497236161740486, -0.00450140107250631)); #169237=CARTESIAN_POINT('Ctrl Pts',(0.273466485094022,-0.0247815132566055, -0.00198023683835594)); #169238=CARTESIAN_POINT('Ctrl Pts',(0.273437275855204,-0.000236032968598845, -1.94934462800232E-5)); #169239=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000117961696091821,-9.65926035118259E-6)); #169240=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-4.33670058786036E-17,4.04981089893998E-17)); #169241=CARTESIAN_POINT('Ctrl Pts',(0.264648574630006,-0.0722254647785223, -0.00472473051968081)); #169242=CARTESIAN_POINT('Ctrl Pts',(0.27012757423274,-0.0493253250323666, -0.00687958877438724)); #169243=CARTESIAN_POINT('Ctrl Pts',(0.273437069569229,-0.0245700624168749, -0.00307155256577359)); #169244=CARTESIAN_POINT('Ctrl Pts',(0.273437333492999,-0.00023405569538489, -3.01364917780062E-5)); #169245=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000116968721456687,-1.4945742977693E-5)); #169246=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-4.31795227689747E-17,3.94999705005118E-17)); #169247=CARTESIAN_POINT('Ctrl Pts',(0.263790311466373,-0.0719912354576867, -0.0084181377156013)); #169248=CARTESIAN_POINT('Ctrl Pts',(0.270138658499411,-0.0487405188700516, -0.00906268725715112)); #169249=CARTESIAN_POINT('Ctrl Pts',(0.27341403470602,-0.0243811012716627, -0.00418762891189394)); #169250=CARTESIAN_POINT('Ctrl Pts',(0.273437401272393,-0.000232002174567934, -4.06958367029748E-5)); #169251=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000115975746821552,-2.02322256042035E-5)); #169252=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-4.29920396593458E-17,3.85018320116237E-17)); #169253=CARTESIAN_POINT('Ctrl Pts',(0.263790311466373,-0.0719912354576867, -0.00999999999999998)); #169254=CARTESIAN_POINT('Ctrl Pts',(0.270208834281851,-0.0484724817943441, -0.00999999999999998)); #169255=CARTESIAN_POINT('Ctrl Pts',(0.273408812304401,-0.0243019585826815, -0.00466586066159397)); #169256=CARTESIAN_POINT('Ctrl Pts',(0.273437420261775,-0.000231115838585823, -4.52215744805424E-5)); #169257=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000115550186263638,-2.24978610155651E-5)); #169258=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-4.29116897552191E-17,3.80740583735288E-17)); #169259=CARTESIAN_POINT('Ctrl Pts',(0.263790311466373,-0.0719912354576867, -0.00999999999999998)); #169260=CARTESIAN_POINT('Ctrl Pts',(0.270208834281851,-0.0484724817943441, -0.00999999999999998)); #169261=CARTESIAN_POINT('Ctrl Pts',(0.273408812304401,-0.0243019585826815, -0.00466586066159397)); #169262=CARTESIAN_POINT('Ctrl Pts',(0.273437420261775,-0.000231115838585823, -4.52215744805424E-5)); #169263=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-0.000115550186263638,-2.24978610155651E-5)); #169264=CARTESIAN_POINT('Ctrl Pts',(0.2734375,-4.29116897552191E-17,3.80740583735288E-17)); #169265=CARTESIAN_POINT('Ctrl Pts',(-0.269124482606501,0.0734469885836675, -0.00105503554711547)); #169266=CARTESIAN_POINT('Ctrl Pts',(-0.271355802550286,0.049898680288093, -0.00307787943412355)); #169267=CARTESIAN_POINT('Ctrl Pts',(-0.27346675443773,0.0249078595207291, -0.00136166822121206)); #169268=CARTESIAN_POINT('Ctrl Pts',(-0.2734373090618,0.000237144045514314, -1.3394426559668E-5)); #169269=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000118529110168769,-6.63841313602563E-6)); #169270=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169271=CARTESIAN_POINT('Ctrl Pts',(-0.267759434186942,0.0730744520729922, -0.00176224672298855)); #169272=CARTESIAN_POINT('Ctrl Pts',(-0.270855455239194,0.0497647865491861, -0.00413962687127385)); #169273=CARTESIAN_POINT('Ctrl Pts',(-0.273466245206889,0.0248131366177677, -0.00182560167314131)); #169274=CARTESIAN_POINT('Ctrl Pts',(-0.27343728510379,0.000236310586214843, -1.7968600944114E-5)); #169275=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000118103549610853,-8.90404854738957E-6)); #169276=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169277=CARTESIAN_POINT('Ctrl Pts',(-0.264955962356205,0.072309354221052, -0.00420926668999068)); #169278=CARTESIAN_POINT('Ctrl Pts',(-0.270147687315574,0.0493950574661722, -0.00655737474907747)); #169279=CARTESIAN_POINT('Ctrl Pts',(-0.273443496815715,0.0245986731980868, -0.00291351414857596)); #169280=CARTESIAN_POINT('Ctrl Pts',(-0.273437315748013,0.000234343573748325, -2.86232811314921E-5)); #169281=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000117110574975715,-1.41905311739055E-5)); #169282=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169283=CARTESIAN_POINT('Ctrl Pts',(-0.263790311466373,0.0719912354576868, -0.00789085028746696)); #169284=CARTESIAN_POINT('Ctrl Pts',(-0.270123936207793,0.0488334440479014, -0.0087572903433714)); #169285=CARTESIAN_POINT('Ctrl Pts',(-0.273416169017025,0.02440743553289, -0.00402820508582974)); #169286=CARTESIAN_POINT('Ctrl Pts',(-0.273437393740638,0.000232297815380254, -3.91873816668858E-5)); #169287=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000116117600340577,-1.94770138004213E-5)); #169288=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169289=CARTESIAN_POINT('Ctrl Pts',(-0.263790311466373,0.0719912354576868, -0.00999999999999994)); #169290=CARTESIAN_POINT('Ctrl Pts',(-0.270208834281851,0.0484724817943448, -0.00999999999999992)); #169291=CARTESIAN_POINT('Ctrl Pts',(-0.273408812304397,0.0243019585828789, -0.00466586066162942)); #169292=CARTESIAN_POINT('Ctrl Pts',(-0.273437420261775,0.000231115838596241, -4.52215744825397E-5)); #169293=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000115550186263355,-2.24978610155733E-5)); #169294=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169295=CARTESIAN_POINT('Ctrl Pts',(-0.263790311466373,0.0719912354576868, -0.00999999999999994)); #169296=CARTESIAN_POINT('Ctrl Pts',(-0.270208834281851,0.0484724817943448, -0.00999999999999992)); #169297=CARTESIAN_POINT('Ctrl Pts',(-0.273408812304397,0.0243019585828789, -0.00466586066162942)); #169298=CARTESIAN_POINT('Ctrl Pts',(-0.273437420261775,0.000231115838596241, -4.52215744825397E-5)); #169299=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.000115550186263355,-2.24978610155733E-5)); #169300=CARTESIAN_POINT('Ctrl Pts',(-0.2734375,0.,4.23218697414733E-17)); #169301=CARTESIAN_POINT('Origin',(0.,0.281,1.28353307381575E-16)); #169302=CARTESIAN_POINT('Origin',(0.,0.281,1.28353307381575E-16)); #169303=CARTESIAN_POINT('Origin',(-0.2634375,0.,0.212)); #169304=CARTESIAN_POINT('',(-0.2534375,0.0985,0.212)); #169305=CARTESIAN_POINT('',(-0.2634375,0.1085,0.212)); #169306=CARTESIAN_POINT('Origin',(-0.2534375,0.,0.212)); #169307=CARTESIAN_POINT('Origin',(0.,0.,0.212)); #169308=CARTESIAN_POINT('',(0.2534375,0.0985,0.212)); #169309=CARTESIAN_POINT('',(0.,0.0985,0.212)); #169310=CARTESIAN_POINT('Origin',(0.2534375,0.,0.212)); #169311=CARTESIAN_POINT('Origin',(0.2634375,0.,0.212)); #169312=CARTESIAN_POINT('',(0.2634375,0.1085,0.212)); #169313=CARTESIAN_POINT('Origin',(0.,0.,-0.40691278)); #169314=CARTESIAN_POINT('',(-0.2555,-3.12897257182149E-17,-0.37191278)); #169315=CARTESIAN_POINT('Origin',(0.,0.,-0.37191278)); #169316=CARTESIAN_POINT('',(-0.2205,-2.70034619211991E-17,-0.40691278)); #169317=CARTESIAN_POINT('Origin',(-0.2555,-3.12897257182149E-17,-0.40691278)); #169318=CARTESIAN_POINT('',(-2.70034619211991E-17,0.2205,-0.40691278)); #169319=CARTESIAN_POINT('Origin',(0.,0.,-0.40691278)); #169320=CARTESIAN_POINT('Origin',(0.,0.,-0.40691278)); #169321=CARTESIAN_POINT('Origin',(0.,0.,-0.40941278)); #169322=CARTESIAN_POINT('',(-0.2205,-2.70034619211991E-17,-0.41191278)); #169323=CARTESIAN_POINT('',(-2.70034619211991E-17,0.2205,-0.41191278)); #169324=CARTESIAN_POINT('Origin',(0.,0.,-0.41191278)); #169325=CARTESIAN_POINT('',(-2.70034619211991E-17,0.2205,-0.40941278)); #169326=CARTESIAN_POINT('Origin',(0.,0.,-0.41191278)); #169327=CARTESIAN_POINT('Origin',(0.,0.,-0.41191278)); #169328=CARTESIAN_POINT('',(-0.2555,-3.12897257182149E-17,-0.44691278)); #169329=CARTESIAN_POINT('Origin',(-0.2555,-3.12897257182149E-17,-0.41191278)); #169330=CARTESIAN_POINT('Origin',(0.,0.,-0.44691278)); #169331=CARTESIAN_POINT('Origin',(0.,-0.323,-0.44691278)); #169332=CARTESIAN_POINT('Origin',(0.,-0.2555,-0.37191278)); #169333=CARTESIAN_POINT('',(0.2734375,3.34864359141854E-17,-0.37191278)); #169334=CARTESIAN_POINT('Origin',(0.,0.,-0.37191278)); #169335=CARTESIAN_POINT('Origin',(0.,0.,0.)); #169336=CARTESIAN_POINT('',(0.2734375,-3.34864359141854E-17,0.)); #169337=CARTESIAN_POINT('Origin',(0.,0.,-1.34226278)); #169338=CARTESIAN_POINT('',(-0.113625,1.39150492553118E-17,-1.34226278)); #169339=CARTESIAN_POINT('Origin',(0.16625,0.217092155330979,-0.78778778)); #169340=CARTESIAN_POINT('Origin',(-0.2134375,-0.221,0.0990303491967128)); #169341=CARTESIAN_POINT('Origin',(0.,-0.281,0.207366165872553)); #169342=CARTESIAN_POINT('',(0.,0.,0.)); #169343=CARTESIAN_POINT('Ctrl Pts',(1.77110681237753,-1.7913576915938,0.19445363557987)); #169344=CARTESIAN_POINT('Ctrl Pts',(1.73986785127214,-1.77557341560502, 0.194700480678417)); #169345=CARTESIAN_POINT('Ctrl Pts',(1.70864701398075,-1.75975055634345, 0.195007858288055)); #169346=CARTESIAN_POINT('Ctrl Pts',(1.67744769459376,-1.74388079366935, 0.195375870810744)); #169347=CARTESIAN_POINT('Ctrl Pts',(1.77241509760141,-1.78878631815447, 0.187172949376444)); #169348=CARTESIAN_POINT('Ctrl Pts',(1.74116408968347,-1.7730334155073,0.187359004020562)); #169349=CARTESIAN_POINT('Ctrl Pts',(1.70992823813493,-1.75724788580381, 0.187591775629905)); #169350=CARTESIAN_POINT('Ctrl Pts',(1.6787077637123,-1.74142705724685,0.187870704643934)); #169351=CARTESIAN_POINT('Ctrl Pts',(1.77706028435673,-1.77965642077022, 0.174407898632252)); #169352=CARTESIAN_POINT('Ctrl Pts',(1.74582015659194,-1.76390977156653, 0.174464323611378)); #169353=CARTESIAN_POINT('Ctrl Pts',(1.71459311575134,-1.74813487544974, 0.174533907432222)); #169354=CARTESIAN_POINT('Ctrl Pts',(1.68338163654966,-1.73232561047191, 0.174619206702603)); #169355=CARTESIAN_POINT('Ctrl Pts',(1.78415298506272,-1.7657160491482,0.16958722)); #169356=CARTESIAN_POINT('Ctrl Pts',(1.75296952121878,-1.74990006103825, 0.169586597982768)); #169357=CARTESIAN_POINT('Ctrl Pts',(1.72181004101142,-1.73403615095824, 0.169588198868085)); #169358=CARTESIAN_POINT('Ctrl Pts',(1.69067588307341,-1.71812150205703, 0.16958722)); #169359=CARTESIAN_POINT('Ctrl Pts',(1.78770431489759,-1.75873607639837, 0.16958722)); #169360=CARTESIAN_POINT('Ctrl Pts',(1.7565494960282,-1.74288486297443,0.16958722)); #169361=CARTESIAN_POINT('Ctrl Pts',(1.72542359360548,-1.72697680749393, 0.16958722)); #169362=CARTESIAN_POINT('Ctrl Pts',(1.69432859323401,-1.71100856846472, 0.16958722)); #169363=CARTESIAN_POINT('',(1.67744769459376,-1.74388079366935,0.195375870810744)); #169364=CARTESIAN_POINT('',(1.69432859323401,-1.71100856846472,0.16958722)); #169365=CARTESIAN_POINT('Origin',(1.69432859323401,-1.71100856846472,0.208957299)); #169366=CARTESIAN_POINT('',(1.78770431489759,-1.75873607639837,0.16958722)); #169367=CARTESIAN_POINT('Ctrl Pts',(1.69432859323401,-1.71100856846472, 0.16958722)); #169368=CARTESIAN_POINT('Ctrl Pts',(1.72542359360548,-1.72697680749393, 0.16958722)); #169369=CARTESIAN_POINT('Ctrl Pts',(1.7565494960282,-1.74288486297443,0.16958722)); #169370=CARTESIAN_POINT('Ctrl Pts',(1.78770431489759,-1.75873607639837, 0.16958722)); #169371=CARTESIAN_POINT('',(1.77110681237753,-1.7913576915938,0.19445363557987)); #169372=CARTESIAN_POINT('Origin',(1.78770431489759,-1.75873607639837,0.208957299)); #169373=CARTESIAN_POINT('Ctrl Pts',(1.77110681237753,-1.7913576915938,0.19445363557987)); #169374=CARTESIAN_POINT('Ctrl Pts',(1.73986785127214,-1.77557341560502, 0.194700480678417)); #169375=CARTESIAN_POINT('Ctrl Pts',(1.70864701398075,-1.75975055634345, 0.195007858288055)); #169376=CARTESIAN_POINT('Ctrl Pts',(1.67744769459376,-1.74388079366935, 0.195375870810744)); #169377=CARTESIAN_POINT('Ctrl Pts',(1.80240953802333,-1.90937245736158, 0.194396225064474)); #169378=CARTESIAN_POINT('Ctrl Pts',(1.81322789973774,-1.89292845867485, 0.194394100384617)); #169379=CARTESIAN_POINT('Ctrl Pts',(1.82404773220987,-1.84498635160923, 0.194401490140813)); #169380=CARTESIAN_POINT('Ctrl Pts',(1.79449532030192,-1.80324878065042, 0.19443403447995)); #169381=CARTESIAN_POINT('Ctrl Pts',(1.77110681237753,-1.7913576915938,0.19445363557987)); #169382=CARTESIAN_POINT('Ctrl Pts',(1.80482619816543,-1.91096220797295, 0.187129640005398)); #169383=CARTESIAN_POINT('Ctrl Pts',(1.81602368258739,-1.89394240699593, 0.187128039118983)); #169384=CARTESIAN_POINT('Ctrl Pts',(1.82721892175343,-1.84430781476994, 0.187133621295571)); #169385=CARTESIAN_POINT('Ctrl Pts',(1.79662183623362,-1.80109073563092, 0.187158175432415)); #169386=CARTESIAN_POINT('Ctrl Pts',(1.77241509760141,-1.78878631815447, 0.187172949376445)); #169387=CARTESIAN_POINT('Ctrl Pts',(1.81338451904716,-1.91659212490542, 0.174394941819552)); #169388=CARTESIAN_POINT('Ctrl Pts',(1.82593900851895,-1.89750947863989, 0.174394456567344)); #169389=CARTESIAN_POINT('Ctrl Pts',(1.83849534346891,-1.84187797758693, 0.174396124435135)); #169390=CARTESIAN_POINT('Ctrl Pts',(1.80420122544058,-1.79345359196053, 0.174403520113263)); #169391=CARTESIAN_POINT('Ctrl Pts',(1.77706031577792,-1.77965635901334, 0.174408000622134)); #169392=CARTESIAN_POINT('Ctrl Pts',(1.82643451903462,-1.92517680137566, 0.16958722)); #169393=CARTESIAN_POINT('Ctrl Pts',(1.84104937996458,-1.90296070920199, 0.169587225335724)); #169394=CARTESIAN_POINT('Ctrl Pts',(1.85566941041569,-1.83819237822174, 0.16958725454983)); #169395=CARTESIAN_POINT('Ctrl Pts',(1.81575296452097,-1.78178980075178, 0.169587269392198)); #169396=CARTESIAN_POINT('Ctrl Pts',(1.78415298506272,-1.7657160491482,0.16958722)); #169397=CARTESIAN_POINT('Ctrl Pts',(1.8329686593825,-1.92947515240643,0.16958722)); #169398=CARTESIAN_POINT('Ctrl Pts',(1.84861659614943,-1.90568793394571, 0.16958722)); #169399=CARTESIAN_POINT('Ctrl Pts',(1.86427256267837,-1.83634408533053, 0.16958722)); #169400=CARTESIAN_POINT('Ctrl Pts',(1.82154010753731,-1.77595133843373, 0.16958722)); #169401=CARTESIAN_POINT('Ctrl Pts',(1.78770431489759,-1.75873607639838, 0.16958722)); #169402=CARTESIAN_POINT('',(1.8329686593825,-1.92947515240643,0.16958722)); #169403=CARTESIAN_POINT('Ctrl Pts',(1.78770431489759,-1.75873607639838, 0.16958722)); #169404=CARTESIAN_POINT('Ctrl Pts',(1.82154010753731,-1.77595133843373, 0.16958722)); #169405=CARTESIAN_POINT('Ctrl Pts',(1.86427256267837,-1.83634408533053, 0.16958722)); #169406=CARTESIAN_POINT('Ctrl Pts',(1.84861659614943,-1.90568793394571, 0.16958722)); #169407=CARTESIAN_POINT('Ctrl Pts',(1.8329686593825,-1.92947515240643,0.16958722)); #169408=CARTESIAN_POINT('',(1.80240953802333,-1.90937245736158,0.194396225064474)); #169409=CARTESIAN_POINT('Origin',(1.8329686593825,-1.92947515240643,0.208957299)); #169410=CARTESIAN_POINT('Ctrl Pts',(1.80240953802333,-1.90937245736158, 0.194396225064474)); #169411=CARTESIAN_POINT('Ctrl Pts',(1.81322789973774,-1.89292845867485, 0.194394100384617)); #169412=CARTESIAN_POINT('Ctrl Pts',(1.82404773220987,-1.84498635160923, 0.194401490140813)); #169413=CARTESIAN_POINT('Ctrl Pts',(1.79449532030192,-1.80324878065042, 0.19443403447995)); #169414=CARTESIAN_POINT('Ctrl Pts',(1.77110681237753,-1.7913576915938,0.19445363557987)); #169415=CARTESIAN_POINT('Ctrl Pts',(1.15082901470989,-2.5484050159894,0.195375870810663)); #169416=CARTESIAN_POINT('Ctrl Pts',(1.41006013473741,-2.38488894735794, 0.19506180697968)); #169417=CARTESIAN_POINT('Ctrl Pts',(1.63383466727019,-2.1654151328522,0.194695111644911)); #169418=CARTESIAN_POINT('Ctrl Pts',(1.80240953802333,-1.90937245736158, 0.194396225064475)); #169419=CARTESIAN_POINT('Ctrl Pts',(1.15230149879264,-2.5507374797599,0.187870704643873)); #169420=CARTESIAN_POINT('Ctrl Pts',(1.41188503799175,-2.38705261409213, 0.187632665149787)); #169421=CARTESIAN_POINT('Ctrl Pts',(1.63599398690316,-2.16732275042721, 0.187354842623267)); #169422=CARTESIAN_POINT('Ctrl Pts',(1.80482619816543,-1.91096220797295, 0.187129640005398)); #169423=CARTESIAN_POINT('Ctrl Pts',(1.15776332020668,-2.55938918657179, 0.174619353982235)); #169424=CARTESIAN_POINT('Ctrl Pts',(1.41858288509199,-2.39493025243665, 0.174546559134277)); #169425=CARTESIAN_POINT('Ctrl Pts',(1.64375080294277,-2.17417019298944, 0.17446323894603)); #169426=CARTESIAN_POINT('Ctrl Pts',(1.81338453882386,-1.91659213791512, 0.174394976721745)); #169427=CARTESIAN_POINT('Ctrl Pts',(1.16628713259665,-2.57289118894925, 0.16958722)); #169428=CARTESIAN_POINT('Ctrl Pts',(1.4289640415685,-2.40712945461473,0.169588055371195)); #169429=CARTESIAN_POINT('Ctrl Pts',(1.65567264957267,-2.18466268998499, 0.169586469403869)); #169430=CARTESIAN_POINT('Ctrl Pts',(1.82643451903462,-1.92517680137565, 0.16958722)); #169431=CARTESIAN_POINT('Ctrl Pts',(1.17055559493037,-2.57965257527984, 0.16958722)); #169432=CARTESIAN_POINT('Ctrl Pts',(1.43416365655086,-2.41323683938222, 0.16958722)); #169433=CARTESIAN_POINT('Ctrl Pts',(1.66164165591097,-2.18991797758525, 0.16958722)); #169434=CARTESIAN_POINT('Ctrl Pts',(1.8329686593825,-1.92947515240643,0.16958722)); #169435=CARTESIAN_POINT('',(1.17055559493037,-2.57965257527984,0.16958722)); #169436=CARTESIAN_POINT('Ctrl Pts',(1.8329686593825,-1.92947515240643,0.16958722)); #169437=CARTESIAN_POINT('Ctrl Pts',(1.66164165591097,-2.18991797758525, 0.16958722)); #169438=CARTESIAN_POINT('Ctrl Pts',(1.43416365655086,-2.41323683938222, 0.16958722)); #169439=CARTESIAN_POINT('Ctrl Pts',(1.17055559493037,-2.57965257527984, 0.16958722)); #169440=CARTESIAN_POINT('',(1.15082901470987,-2.54840501598941,0.195375870810663)); #169441=CARTESIAN_POINT('Origin',(1.17055559493037,-2.57965257527984,0.208957299)); #169442=CARTESIAN_POINT('Ctrl Pts',(1.15082901470989,-2.5484050159894,0.195375870810663)); #169443=CARTESIAN_POINT('Ctrl Pts',(1.41006013473741,-2.38488894735794, 0.19506180697968)); #169444=CARTESIAN_POINT('Ctrl Pts',(1.63383466727019,-2.1654151328522,0.194695111644911)); #169445=CARTESIAN_POINT('Ctrl Pts',(1.80240953802333,-1.90937245736158, 0.194396225064475)); #169446=CARTESIAN_POINT('Ctrl Pts',(1.55044127123921,-1.63683995524945, 0.16958722)); #169447=CARTESIAN_POINT('Ctrl Pts',(1.59837786094663,-1.66161294653307, 0.16958722)); #169448=CARTESIAN_POINT('Ctrl Pts',(1.64632841972031,-1.686359003102,0.16958722)); #169449=CARTESIAN_POINT('Ctrl Pts',(1.69432859323401,-1.71100856846472, 0.16958722)); #169450=CARTESIAN_POINT('Ctrl Pts',(1.54659821241558,-1.64427640617865, 0.16958722)); #169451=CARTESIAN_POINT('Ctrl Pts',(1.59460593463079,-1.66891814382376, 0.169589782408132)); #169452=CARTESIAN_POINT('Ctrl Pts',(1.642620186721,-1.69355703106011,0.169585711069013)); #169453=CARTESIAN_POINT('Ctrl Pts',(1.6906758830734,-1.71812150205703,0.16958722)); #169454=CARTESIAN_POINT('Ctrl Pts',(1.53892630190623,-1.65912181673681, 0.175118968696574)); #169455=CARTESIAN_POINT('Ctrl Pts',(1.58707367689919,-1.68350605453461, 0.174914528987304)); #169456=CARTESIAN_POINT('Ctrl Pts',(1.63521636684739,-1.70792860240365, 0.174750601803446)); #169457=CARTESIAN_POINT('Ctrl Pts',(1.68338160650832,-1.73232566897148, 0.174619112469841)); #169458=CARTESIAN_POINT('Ctrl Pts',(1.53426523620801,-1.66814113908737, 0.189498501374642)); #169459=CARTESIAN_POINT('Ctrl Pts',(1.58239657850181,-1.69256417907259, 0.188843013177066)); #169460=CARTESIAN_POINT('Ctrl Pts',(1.63053486714907,-1.71701535401139, 0.188300675381246)); #169461=CARTESIAN_POINT('Ctrl Pts',(1.67870776371229,-1.74142705724685, 0.187870704643934)); #169462=CARTESIAN_POINT('Ctrl Pts',(1.53314757508934,-1.6703038517717,0.197507459547401)); #169463=CARTESIAN_POINT('Ctrl Pts',(1.58121498724699,-1.69485243121235, 0.196653989205245)); #169464=CARTESIAN_POINT('Ctrl Pts',(1.62930906107638,-1.71939450677553, 0.195943164307519)); #169465=CARTESIAN_POINT('Ctrl Pts',(1.67744769459375,-1.74388079366934, 0.195375870810744)); #169466=CARTESIAN_POINT('',(1.53314757508934,-1.6703038517717,0.197507459547401)); #169467=CARTESIAN_POINT('Ctrl Pts',(1.67744769459375,-1.74388079366934, 0.195375870810744)); #169468=CARTESIAN_POINT('Ctrl Pts',(1.62930906107638,-1.71939450677553, 0.195943164307519)); #169469=CARTESIAN_POINT('Ctrl Pts',(1.58121498724699,-1.69485243121235, 0.196653989205245)); #169470=CARTESIAN_POINT('Ctrl Pts',(1.53314757508934,-1.6703038517717,0.197507459547401)); #169471=CARTESIAN_POINT('',(1.55044127123921,-1.63683995524945,0.16958722)); #169472=CARTESIAN_POINT('Origin',(1.55044127123921,-1.63683995524945,0.208957299)); #169473=CARTESIAN_POINT('Ctrl Pts',(1.55044127123921,-1.63683995524945, 0.16958722)); #169474=CARTESIAN_POINT('Ctrl Pts',(1.59837786094663,-1.66161294653307, 0.16958722)); #169475=CARTESIAN_POINT('Ctrl Pts',(1.64632841972031,-1.686359003102,0.16958722)); #169476=CARTESIAN_POINT('Ctrl Pts',(1.69432859323401,-1.71100856846472, 0.16958722)); #169477=CARTESIAN_POINT('Ctrl Pts',(0.252997794112161,-1.20190764782201, 0.143746368399996)); #169478=CARTESIAN_POINT('Ctrl Pts',(0.241672303948478,-1.15107422916712, 0.10494294092642)); #169479=CARTESIAN_POINT('Ctrl Pts',(0.236230598394241,-1.09892714404757, 0.0651439693920734)); #169480=CARTESIAN_POINT('Ctrl Pts',(0.236819438566585,-1.04684071964206, 0.0253989431661558)); #169481=CARTESIAN_POINT('Ctrl Pts',(0.254650378729286,-1.20826604521666, 0.135444843145546)); #169482=CARTESIAN_POINT('Ctrl Pts',(0.24289571209443,-1.15784045405745, 0.0964124791523791)); #169483=CARTESIAN_POINT('Ctrl Pts',(0.236979574410762,-1.10603945239282, 0.0563708360859423)); #169484=CARTESIAN_POINT('Ctrl Pts',(0.236992455005765,-1.05418609418347, 0.0163344706724999)); #169485=CARTESIAN_POINT('Ctrl Pts',(0.265201604559798,-1.21749904876118, 0.121156863519359)); #169486=CARTESIAN_POINT('Ctrl Pts',(0.253418911087025,-1.16823156609886, 0.0817625632017846)); #169487=CARTESIAN_POINT('Ctrl Pts',(0.24727601422629,-1.11759764076862, 0.0412952551865943)); #169488=CARTESIAN_POINT('Ctrl Pts',(0.246981673612215,-1.06688796742206, 0.000804922266587252)); #169489=CARTESIAN_POINT('Ctrl Pts',(0.283480829347292,-1.21867947027744, 0.114691584313362)); #169490=CARTESIAN_POINT('Ctrl Pts',(0.272505667162518,-1.17044853344183, 0.0756148982849149)); #169491=CARTESIAN_POINT('Ctrl Pts',(0.267102553555701,-1.12094502056137, 0.0355213989336197)); #169492=CARTESIAN_POINT('Ctrl Pts',(0.267432608177756,-1.07147989421754, -0.00455718428121168)); #169493=CARTESIAN_POINT('Ctrl Pts',(0.291305247793147,-1.21763092923561, 0.113842144176328)); #169494=CARTESIAN_POINT('Ctrl Pts',(0.280673808723802,-1.16973233756796, 0.0750387167027517)); #169495=CARTESIAN_POINT('Ctrl Pts',(0.275588507218189,-1.12060485552751, 0.0352397451684055)); #169496=CARTESIAN_POINT('Ctrl Pts',(0.276183441461853,-1.0715439630764, -0.00450528105751217)); #169497=CARTESIAN_POINT('',(0.291305247793146,-1.2176309292356,0.113842144176328)); #169498=CARTESIAN_POINT('',(0.252997794112161,-1.20190764782201,0.143746368399996)); #169499=CARTESIAN_POINT('Origin',(0.291305247793147,-1.192848398243,0.144433471020172)); #169500=CARTESIAN_POINT('',(0.236819438566585,-1.04684071964206,0.0253989431661561)); #169501=CARTESIAN_POINT('Ctrl Pts',(0.252997794112161,-1.20190764782201, 0.143746368399996)); #169502=CARTESIAN_POINT('Ctrl Pts',(0.241672303948478,-1.15107422916712, 0.10494294092642)); #169503=CARTESIAN_POINT('Ctrl Pts',(0.236230598394241,-1.09892714404757, 0.0651439693920734)); #169504=CARTESIAN_POINT('Ctrl Pts',(0.236819438566585,-1.04684071964206, 0.0253989431661558)); #169505=CARTESIAN_POINT('',(0.2761834414618,-1.0715439630764,-0.00450528105751196)); #169506=CARTESIAN_POINT('Origin',(0.276183441461853,-1.0467614320838,0.0260860457863322)); #169507=CARTESIAN_POINT('Origin',(0.914477181471395,-1.07928574542212,0.00176646262657468)); #169508=CARTESIAN_POINT('Ctrl Pts',(0.330322528339998,-1.39522085825679, 0.208270196379824)); #169509=CARTESIAN_POINT('Ctrl Pts',(0.313866692037487,-1.36773583071053, 0.208270196379846)); #169510=CARTESIAN_POINT('Ctrl Pts',(0.28011851965189,-1.30059874514622, 0.200139911606409)); #169511=CARTESIAN_POINT('Ctrl Pts',(0.260283918546801,-1.23461074573695, 0.168710109216233)); #169512=CARTESIAN_POINT('Ctrl Pts',(0.252997794112161,-1.20190764782201, 0.143746368399996)); #169513=CARTESIAN_POINT('Ctrl Pts',(0.330496951978787,-1.39511642733189, 0.196623353763716)); #169514=CARTESIAN_POINT('Ctrl Pts',(0.314511095515384,-1.36840152298539, 0.196975420335139)); #169515=CARTESIAN_POINT('Ctrl Pts',(0.281627847667023,-1.30331202506368, 0.189731116579021)); #169516=CARTESIAN_POINT('Ctrl Pts',(0.261988265006118,-1.23970245976548, 0.159516073651025)); #169517=CARTESIAN_POINT('Ctrl Pts',(0.254650378729286,-1.20826604521666, 0.135444843145546)); #169518=CARTESIAN_POINT('Ctrl Pts',(0.339083666758264,-1.38997538926853, 0.176620601433333)); #169519=CARTESIAN_POINT('Ctrl Pts',(0.323593791435347,-1.36532050279049, 0.177524210182124)); #169520=CARTESIAN_POINT('Ctrl Pts',(0.291669374218628,-1.30511316686999, 0.171757047873811)); #169521=CARTESIAN_POINT('Ctrl Pts',(0.272449844034876,-1.24637795725003, 0.143680486560259)); #169522=CARTESIAN_POINT('Ctrl Pts',(0.265202226214802,-1.21749841550515, 0.121157474882476)); #169523=CARTESIAN_POINT('Ctrl Pts',(0.35660032329789,-1.37948781627635, 0.169587219999996)); #169524=CARTESIAN_POINT('Ctrl Pts',(0.341099123154677,-1.35662412177226, 0.169992504464053)); #169525=CARTESIAN_POINT('Ctrl Pts',(0.30926747493694,-1.30068147133245, 0.163631218468345)); #169526=CARTESIAN_POINT('Ctrl Pts',(0.290442490232027,-1.24577970970317, 0.136408874368836)); #169527=CARTESIAN_POINT('Ctrl Pts',(0.283480829347292,-1.21867947027744, 0.114691584313362)); #169528=CARTESIAN_POINT('Ctrl Pts',(0.364096007607378,-1.37500000000021, 0.16958722)); #169529=CARTESIAN_POINT('Ctrl Pts',(0.348591581763057,-1.35262730551424, 0.169587220000019)); #169530=CARTESIAN_POINT('Ctrl Pts',(0.316801644344348,-1.29797417750572, 0.162563096426555)); #169531=CARTESIAN_POINT('Ctrl Pts',(0.298144862402228,-1.2442534213391, 0.135409457651259)); #169532=CARTESIAN_POINT('Ctrl Pts',(0.291305247793147,-1.2176309292356, 0.113842144176328)); #169533=CARTESIAN_POINT('',(0.364096007607378,-1.3750000000001,0.16958722)); #169534=CARTESIAN_POINT('Ctrl Pts',(0.291305247793147,-1.2176309292356, 0.113842144176328)); #169535=CARTESIAN_POINT('Ctrl Pts',(0.298144862402228,-1.2442534213391, 0.135409457651259)); #169536=CARTESIAN_POINT('Ctrl Pts',(0.316801644344348,-1.29797417750572, 0.162563096426555)); #169537=CARTESIAN_POINT('Ctrl Pts',(0.348591581763057,-1.35262730551424, 0.169587220000019)); #169538=CARTESIAN_POINT('Ctrl Pts',(0.364096007607378,-1.37500000000021, 0.16958722)); #169539=CARTESIAN_POINT('',(0.330322528339998,-1.39522085825679,0.208270196379824)); #169540=CARTESIAN_POINT('Origin',(0.364096007607378,-1.37500000000024,0.208957299)); #169541=CARTESIAN_POINT('Ctrl Pts',(0.330322528339998,-1.39522085825679, 0.208270196379824)); #169542=CARTESIAN_POINT('Ctrl Pts',(0.313866692037487,-1.36773583071053, 0.208270196379846)); #169543=CARTESIAN_POINT('Ctrl Pts',(0.28011851965189,-1.30059874514622, 0.200139911606409)); #169544=CARTESIAN_POINT('Ctrl Pts',(0.260283918546801,-1.23461074573695, 0.168710109216233)); #169545=CARTESIAN_POINT('Ctrl Pts',(0.252997794112161,-1.20190764782201, 0.143746368399996)); #169546=CARTESIAN_POINT('Origin',(0.914477181471395,-1.04547577136898,0.208957299)); #169547=CARTESIAN_POINT('',(0.92074332268317,-1.68693223074348,0.16958722)); #169548=CARTESIAN_POINT('',(0.92112783690777,-1.7262944354489,0.208270196381466)); #169549=CARTESIAN_POINT('Origin',(0.92074332268317,-1.68693223074348,0.208957299)); #169550=CARTESIAN_POINT('Origin',(0.914477181471395,-1.04547577136898,0.208270196379824)); #169551=CARTESIAN_POINT('Origin',(0.914477181471395,-1.04547577136898,0.16958722)); #169552=CARTESIAN_POINT('Ctrl Pts',(0.28746028992875,-0.981787853166177, -0.0768520939604878)); #169553=CARTESIAN_POINT('Ctrl Pts',(0.281564808306383,-1.01151947978917, -0.0530141533108928)); #169554=CARTESIAN_POINT('Ctrl Pts',(0.277813861226083,-1.04149974052589, -0.0288445939715)); #169555=CARTESIAN_POINT('Ctrl Pts',(0.276183441462055,-1.0715439630764, -0.00450528105751158)); #169556=CARTESIAN_POINT('Ctrl Pts',(0.281005241322114,-0.981582853806757, -0.0778357294560468)); #169557=CARTESIAN_POINT('Ctrl Pts',(0.275292083286342,-1.01137126763686, -0.0536443693332343)); #169558=CARTESIAN_POINT('Ctrl Pts',(0.271750213084252,-1.04140826141027, -0.0291613556511229)); #169559=CARTESIAN_POINT('Ctrl Pts',(0.270349552605971,-1.07150125050385, -0.00453988320666793)); #169560=CARTESIAN_POINT('Ctrl Pts',(0.267803272791345,-0.979063858986186, -0.0772464206863479)); #169561=CARTESIAN_POINT('Ctrl Pts',(0.262583697954599,-1.00913347138058, -0.0525163832360993)); #169562=CARTESIAN_POINT('Ctrl Pts',(0.259558327431883,-1.03942647343837, -0.0275721961493716)); #169563=CARTESIAN_POINT('Ctrl Pts',(0.258700126764851,-1.06975197430211, -0.00255497045807393)); #169564=CARTESIAN_POINT('Ctrl Pts',(0.249102319667603,-0.968366844448157, -0.0675807963853113)); #169565=CARTESIAN_POINT('Ctrl Pts',(0.244604286223763,-0.999313705442601, -0.0426905204736329)); #169566=CARTESIAN_POINT('Ctrl Pts',(0.242326604699902,-1.03041649990347, -0.0176528831571402)); #169567=CARTESIAN_POINT('Ctrl Pts',(0.242223965079862,-1.06148964077194, 0.00739734965563328)); #169568=CARTESIAN_POINT('Ctrl Pts',(0.243952041074569,-0.957411633549317, -0.0549973550325297)); #169569=CARTESIAN_POINT('Ctrl Pts',(0.239388745449123,-0.988974707525797, -0.0305852891384662)); #169570=CARTESIAN_POINT('Ctrl Pts',(0.237048716187907,-1.02067932146971, -0.00600877574540315)); #169571=CARTESIAN_POINT('Ctrl Pts',(0.23694920089597,-1.05234975054814, 0.0186005887958928)); #169572=CARTESIAN_POINT('Ctrl Pts',(0.244670286268615,-0.951340549073452, -0.0473390397336495)); #169573=CARTESIAN_POINT('Ctrl Pts',(0.23978834310276,-0.983053742212203, -0.0232309140618244)); #169574=CARTESIAN_POINT('Ctrl Pts',(0.237179944848469,-1.01495178936681, 0.00106580099769391)); #169575=CARTESIAN_POINT('Ctrl Pts',(0.236819438566585,-1.04684071964207, 0.0253989431661576)); #169576=CARTESIAN_POINT('',(0.244670286200195,-0.951340549651626,-0.0473390404619151)); #169577=CARTESIAN_POINT('',(0.287460289924678,-0.981787853186714,-0.0768520939440218)); #169578=CARTESIAN_POINT('Origin',(0.283651280447081,-0.956818970679275, -0.0466519370968209)); #169579=CARTESIAN_POINT('Ctrl Pts',(0.28746028992875,-0.981787853166177, -0.0768520939604878)); #169580=CARTESIAN_POINT('Ctrl Pts',(0.281564808306383,-1.01151947978917, -0.0530141533108928)); #169581=CARTESIAN_POINT('Ctrl Pts',(0.277813861226083,-1.04149974052589, -0.0288445939715)); #169582=CARTESIAN_POINT('Ctrl Pts',(0.276183441462055,-1.0715439630764, -0.00450528105751158)); #169583=CARTESIAN_POINT('Ctrl Pts',(0.236819438566585,-1.04684071964207, 0.0253989431661576)); #169584=CARTESIAN_POINT('Ctrl Pts',(0.237179944848469,-1.01495178936681, 0.00106580099769391)); #169585=CARTESIAN_POINT('Ctrl Pts',(0.23978834310276,-0.983053742212203, -0.0232309140618244)); #169586=CARTESIAN_POINT('Ctrl Pts',(0.244670286268615,-0.951340549073452, -0.0473390397336495)); #169587=CARTESIAN_POINT('Ctrl Pts',(0.244670286248144,-0.951340549217028, -0.0473390397169966)); #169588=CARTESIAN_POINT('Ctrl Pts',(0.245164951473717,-0.948144419644442, -0.0499191454971831)); #169589=CARTESIAN_POINT('Ctrl Pts',(0.245659464536566,-0.944949576287322, -0.0525008739988102)); #169590=CARTESIAN_POINT('Ctrl Pts',(0.246153785691682,-0.941756354393453, -0.0550846433962658)); #169591=CARTESIAN_POINT('Ctrl Pts',(0.244191456131717,-0.955387938866914, -0.0524445832417976)); #169592=CARTESIAN_POINT('Ctrl Pts',(0.244684828184999,-0.952201697243297, -0.0550337555977136)); #169593=CARTESIAN_POINT('Ctrl Pts',(0.2451784749469,-0.949014267734764, -0.0576207043485617)); #169594=CARTESIAN_POINT('Ctrl Pts',(0.245672140868918,-0.945825765439485, -0.0602060832916034)); #169595=CARTESIAN_POINT('Ctrl Pts',(0.246530027427202,-0.963926857675854, -0.0625738892673337)); #169596=CARTESIAN_POINT('Ctrl Pts',(0.247034648945313,-0.960762204855601, -0.0651808004092381)); #169597=CARTESIAN_POINT('Ctrl Pts',(0.247535374383121,-0.957590654635236, -0.0677778304126172)); #169598=CARTESIAN_POINT('Ctrl Pts',(0.248032151325629,-0.954412164648987, -0.0703663069075642)); #169599=CARTESIAN_POINT('Ctrl Pts',(0.261107281137932,-0.976909017582696, -0.0758608657015965)); #169600=CARTESIAN_POINT('Ctrl Pts',(0.261670515188858,-0.973765434172215, -0.0784735426301817)); #169601=CARTESIAN_POINT('Ctrl Pts',(0.262209764185745,-0.970610379826069, -0.0810726441856093)); #169602=CARTESIAN_POINT('Ctrl Pts',(0.262724605182618,-0.967442703651481, -0.0836595256071144)); #169603=CARTESIAN_POINT('Ctrl Pts',(0.277777717022829,-0.981480354166728, -0.0783275471702179)); #169604=CARTESIAN_POINT('Ctrl Pts',(0.278392373632563,-0.978320620950799, -0.0809084625561024)); #169605=CARTESIAN_POINT('Ctrl Pts',(0.278965179907272,-0.975154228003701, -0.0834850174790058)); #169606=CARTESIAN_POINT('Ctrl Pts',(0.279496226239879,-0.971982146427851, -0.0860610096448836)); #169607=CARTESIAN_POINT('Ctrl Pts',(0.287460289924678,-0.981787853186714, -0.0768520939440218)); #169608=CARTESIAN_POINT('Ctrl Pts',(0.288091237585054,-0.978605907699999, -0.0794032839187768)); #169609=CARTESIAN_POINT('Ctrl Pts',(0.288674862030568,-0.975425275608332, -0.0819611599122711)); #169610=CARTESIAN_POINT('Ctrl Pts',(0.289211073283269,-0.97224561696063, -0.0845280034321343)); #169611=CARTESIAN_POINT('',(0.246153785691682,-0.941756354393453,-0.0550846433962658)); #169612=CARTESIAN_POINT('',(0.289211073283269,-0.97224561696063,-0.0845280034321342)); #169613=CARTESIAN_POINT('Origin',(0.285134779890619,-0.947234775855701, -0.0543975407760899)); #169614=CARTESIAN_POINT('Ctrl Pts',(0.289211073283269,-0.97224561696063, -0.0845280034321343)); #169615=CARTESIAN_POINT('Ctrl Pts',(0.288674862030568,-0.975425275608332, -0.0819611599122711)); #169616=CARTESIAN_POINT('Ctrl Pts',(0.288091237585054,-0.978605907699999, -0.0794032839187768)); #169617=CARTESIAN_POINT('Ctrl Pts',(0.287460289924678,-0.981787853186714, -0.0768520939440218)); #169618=CARTESIAN_POINT('Ctrl Pts',(0.24467028626286,-0.951340549121945, -0.0473390397937533)); #169619=CARTESIAN_POINT('Ctrl Pts',(0.245164951483524,-0.948144419581079, -0.0499191455483865)); #169620=CARTESIAN_POINT('Ctrl Pts',(0.245659464541468,-0.944949576255656, -0.0525008740244321)); #169621=CARTESIAN_POINT('Ctrl Pts',(0.246153785691682,-0.941756354393453, -0.0550846433962658)); #169622=CARTESIAN_POINT('Ctrl Pts',(0.291450368440138,-0.443084012351421, -0.49641278)); #169623=CARTESIAN_POINT('Ctrl Pts',(0.295068415935637,-0.449539890272278, -0.496412779999977)); #169624=CARTESIAN_POINT('Ctrl Pts',(0.301200846732404,-0.46193334558279, -0.494643047917653)); #169625=CARTESIAN_POINT('Ctrl Pts',(0.306584708235294,-0.475338000201759, -0.487501420072292)); #169626=CARTESIAN_POINT('Ctrl Pts',(0.308629416744931,-0.4808525893347, -0.483033961802293)); #169627=CARTESIAN_POINT('Ctrl Pts',(0.285389864959719,-0.452962292640421, -0.496412779999966)); #169628=CARTESIAN_POINT('Ctrl Pts',(0.289005317604307,-0.458603446063704, -0.495318409313085)); #169629=CARTESIAN_POINT('Ctrl Pts',(0.295127750008299,-0.469183462409454, -0.491993225151998)); #169630=CARTESIAN_POINT('Ctrl Pts',(0.300494509883714,-0.480286808176863, -0.484279235798543)); #169631=CARTESIAN_POINT('Ctrl Pts',(0.302531453529496,-0.484822866128315, -0.47981757605383)); #169632=CARTESIAN_POINT('Ctrl Pts',(0.274889850072695,-0.470076727179996, -0.486861860206643)); #169633=CARTESIAN_POINT('Ctrl Pts',(0.278457334725365,-0.473321675639538, -0.485170948893282)); #169634=CARTESIAN_POINT('Ctrl Pts',(0.284543951552357,-0.479507447106441, -0.481280045867914)); #169635=CARTESIAN_POINT('Ctrl Pts',(0.289870327007993,-0.486227741914902, -0.47450239005357)); #169636=CARTESIAN_POINT('Ctrl Pts',(0.291883689834841,-0.489013285681917, -0.470816499206189)); #169637=CARTESIAN_POINT('Ctrl Pts',(0.270984008034829,-0.476443030332669, -0.466730661375646)); #169638=CARTESIAN_POINT('Ctrl Pts',(0.273817363502613,-0.478183114246671, -0.466228690918518)); #169639=CARTESIAN_POINT('Ctrl Pts',(0.27865010079479,-0.48163214549835, -0.464639149960834)); #169640=CARTESIAN_POINT('Ctrl Pts',(0.28290853028924,-0.485517772603948, -0.460886924608995)); #169641=CARTESIAN_POINT('Ctrl Pts',(0.28452368501135,-0.487139186244854, -0.458705912180447)); #169642=CARTESIAN_POINT('Ctrl Pts',(0.270868689391523,-0.476630993244434, -0.458041540807348)); #169643=CARTESIAN_POINT('Ctrl Pts',(0.273280947811431,-0.478110621129688, -0.458052834095035)); #169644=CARTESIAN_POINT('Ctrl Pts',(0.277365491204654,-0.480954720030911, -0.457460727865514)); #169645=CARTESIAN_POINT('Ctrl Pts',(0.280938493386738,-0.484041469148996, -0.455014999067811)); #169646=CARTESIAN_POINT('Ctrl Pts',(0.282291411702077,-0.485314415384952, -0.453481957804188)); #169647=CARTESIAN_POINT('',(0.270868689391523,-0.476630993244434,-0.458041540807348)); #169648=CARTESIAN_POINT('',(0.291450368440209,-0.443084012351381,-0.49641278)); #169649=CARTESIAN_POINT('Origin',(0.291450368440138,-0.443084012351285, -0.457042701)); #169650=CARTESIAN_POINT('',(0.308629416744931,-0.480852589334684,-0.483033961802306)); #169651=CARTESIAN_POINT('Ctrl Pts',(0.291450368440138,-0.443084012351421, -0.49641278)); #169652=CARTESIAN_POINT('Ctrl Pts',(0.295068415935637,-0.449539890272278, -0.496412779999977)); #169653=CARTESIAN_POINT('Ctrl Pts',(0.301200846732404,-0.46193334558279, -0.494643047917653)); #169654=CARTESIAN_POINT('Ctrl Pts',(0.306584708235294,-0.475338000201759, -0.487501420072292)); #169655=CARTESIAN_POINT('Ctrl Pts',(0.308629416744931,-0.4808525893347, -0.483033961802293)); #169656=CARTESIAN_POINT('',(0.282291411702077,-0.485314415384952,-0.453481957804188)); #169657=CARTESIAN_POINT('Origin',(0.308629416744931,-0.456070058342076, -0.452442634958466)); #169658=CARTESIAN_POINT('Ctrl Pts',(0.282291411702077,-0.485314415384952, -0.453481957804188)); #169659=CARTESIAN_POINT('Ctrl Pts',(0.280938493386738,-0.484041469148996, -0.455014999067811)); #169660=CARTESIAN_POINT('Ctrl Pts',(0.277365491204654,-0.480954720030911, -0.457460727865514)); #169661=CARTESIAN_POINT('Ctrl Pts',(0.273280947811431,-0.478110621129688, -0.458052834095035)); #169662=CARTESIAN_POINT('Ctrl Pts',(0.270868689391523,-0.476630993244434, -0.458041540807348)); #169663=CARTESIAN_POINT('Ctrl Pts',(0.306219403688507,-0.532529365769479, -0.396362046266195)); #169664=CARTESIAN_POINT('Ctrl Pts',(0.303871804388868,-0.514494073842783, -0.418247550515548)); #169665=CARTESIAN_POINT('Ctrl Pts',(0.295274766561891,-0.497530313796189, -0.438770043186232)); #169666=CARTESIAN_POINT('Ctrl Pts',(0.282291411702078,-0.485314415384953, -0.453481957804187)); #169667=CARTESIAN_POINT('Ctrl Pts',(0.307473957276669,-0.53924541640104, -0.404868119125378)); #169668=CARTESIAN_POINT('Ctrl Pts',(0.306576967802478,-0.520128638790734, -0.425998386327338)); #169669=CARTESIAN_POINT('Ctrl Pts',(0.298535483243624,-0.50139043302633, -0.446048863218136)); #169670=CARTESIAN_POINT('Ctrl Pts',(0.285267776114441,-0.487747443198153, -0.46044723030587)); #169671=CARTESIAN_POINT('Ctrl Pts',(0.317954856961859,-0.549595388545333, -0.419447235854528)); #169672=CARTESIAN_POINT('Ctrl Pts',(0.317420758212541,-0.527018455561707, -0.439368386464672)); #169673=CARTESIAN_POINT('Ctrl Pts',(0.308521844097325,-0.50484873572631, -0.458594781045164)); #169674=CARTESIAN_POINT('Ctrl Pts',(0.293379155474182,-0.488759876806916, -0.472494371670833)); #169675=CARTESIAN_POINT('Ctrl Pts',(0.336962840548601,-0.551858428706952, -0.425510977464896)); #169676=CARTESIAN_POINT('Ctrl Pts',(0.334176778130178,-0.526036070590359, -0.446416272286918)); #169677=CARTESIAN_POINT('Ctrl Pts',(0.322335967070644,-0.501522281645094, -0.466292070047108)); #169678=CARTESIAN_POINT('Ctrl Pts',(0.304055944333356,-0.483830296929896, -0.480621672490962)); #169679=CARTESIAN_POINT('Ctrl Pts',(0.34509792412411,-0.551173854675258, -0.426065562012464)); #169680=CARTESIAN_POINT('Ctrl Pts',(0.341354267349866,-0.524314122437193, -0.447825067575617)); #169681=CARTESIAN_POINT('Ctrl Pts',(0.328251574169009,-0.499048543172678, -0.468293123909529)); #169682=CARTESIAN_POINT('Ctrl Pts',(0.308629416744933,-0.480852589334681, -0.483033961802309)); #169683=CARTESIAN_POINT('',(0.345097924124111,-0.551173854675258,-0.426065562012464)); #169684=CARTESIAN_POINT('',(0.306219403688507,-0.532529365769479,-0.396362046266195)); #169685=CARTESIAN_POINT('Origin',(0.34509792412411,-0.526391323682654,-0.39547423516862)); #169686=CARTESIAN_POINT('Origin',(0.228104277742296,-0.545116729252366, -0.380304479824261)); #169687=CARTESIAN_POINT('Origin',(0.228104277742296,-0.569899260244969, -0.410895806668105)); #169688=CARTESIAN_POINT('Ctrl Pts',(0.229686552997975,-0.425649031118052, -0.49641278)); #169689=CARTESIAN_POINT('Ctrl Pts',(0.251353364141038,-0.425649031118052, -0.49641278)); #169690=CARTESIAN_POINT('Ctrl Pts',(0.272985411334069,-0.431755427462695, -0.49641278)); #169691=CARTESIAN_POINT('Ctrl Pts',(0.291450368440185,-0.443084012351314, -0.49641278)); #169692=CARTESIAN_POINT('Ctrl Pts',(0.229686552997975,-0.43729764787491, -0.49641278)); #169693=CARTESIAN_POINT('Ctrl Pts',(0.249208159934939,-0.437269467853279, -0.496411677073089)); #169694=CARTESIAN_POINT('Ctrl Pts',(0.268738693334668,-0.442759643485236, -0.49641321253457)); #169695=CARTESIAN_POINT('Ctrl Pts',(0.285389864959751,-0.45296229264033, -0.49641278)); #169696=CARTESIAN_POINT('Ctrl Pts',(0.229686552997975,-0.45747530718943, -0.486758102402172)); #169697=CARTESIAN_POINT('Ctrl Pts',(0.245520327910991,-0.457402079813789, -0.486806887462501)); #169698=CARTESIAN_POINT('Ctrl Pts',(0.261358452141256,-0.461809464644692, -0.48684279118167)); #169699=CARTESIAN_POINT('Ctrl Pts',(0.274889718162147,-0.470076942186759, -0.48686207663426)); #169700=CARTESIAN_POINT('Ctrl Pts',(0.229686552997975,-0.464860641568772, -0.466464935582254)); #169701=CARTESIAN_POINT('Ctrl Pts',(0.244156361956899,-0.464826016440032, -0.466588870530518)); #169702=CARTESIAN_POINT('Ctrl Pts',(0.258636468493958,-0.468884128611231, -0.466681473515455)); #169703=CARTESIAN_POINT('Ctrl Pts',(0.270984008034848,-0.47644303033268, -0.466730661375663)); #169704=CARTESIAN_POINT('Ctrl Pts',(0.229686552997975,-0.465013113864327, -0.457729803620176)); #169705=CARTESIAN_POINT('Ctrl Pts',(0.244134003633669,-0.465010584296597, -0.45787472245838)); #169706=CARTESIAN_POINT('Ctrl Pts',(0.258557560721221,-0.469079609392188, -0.457983904727909)); #169707=CARTESIAN_POINT('Ctrl Pts',(0.270868689391555,-0.476630993244454, -0.458041540807348)); #169708=CARTESIAN_POINT('',(0.229686552997975,-0.465013113864327,-0.457729803620176)); #169709=CARTESIAN_POINT('',(0.229686552997975,-0.425649031118052,-0.49641278)); #169710=CARTESIAN_POINT('Origin',(0.229686552997975,-0.425649031118052, -0.457042701)); #169711=CARTESIAN_POINT('Origin',(0.229645162441013,-0.543777258619267, -0.49641278)); #169712=CARTESIAN_POINT('Origin',(0.229645162441013,-0.543777258619267, -0.457042701)); #169713=CARTESIAN_POINT('Ctrl Pts',(-0.34509792412411,-0.551173854675257, -0.426065562012464)); #169714=CARTESIAN_POINT('Ctrl Pts',(-0.341354267349865,-0.524314122437187, -0.447825067575622)); #169715=CARTESIAN_POINT('Ctrl Pts',(-0.328251574169003,-0.499048543172667, -0.468293123909538)); #169716=CARTESIAN_POINT('Ctrl Pts',(-0.30862941674492,-0.480852589334669, -0.483033961802319)); #169717=CARTESIAN_POINT('Ctrl Pts',(-0.334251146023431,-0.55208662005085, -0.42532611594904)); #169718=CARTESIAN_POINT('Ctrl Pts',(-0.331809932180851,-0.52660137831958, -0.445925713212642)); #169719=CARTESIAN_POINT('Ctrl Pts',(-0.32035810741243,-0.502353138911244, -0.465630158473649)); #169720=CARTESIAN_POINT('Ctrl Pts',(-0.302531453529485,-0.484822866128289, -0.479817576053855)); #169721=CARTESIAN_POINT('Ctrl Pts',(-0.315418371960336,-0.548378997953801, -0.417509340035995)); #169722=CARTESIAN_POINT('Ctrl Pts',(-0.315123081562926,-0.526450914485136, -0.437551312348472)); #169723=CARTESIAN_POINT('Ctrl Pts',(-0.306597334960848,-0.50477081927227, -0.456860581715332)); #169724=CARTESIAN_POINT('Ctrl Pts',(-0.291883729304775,-0.489013211538523, -0.470816460224436)); #169725=CARTESIAN_POINT('Ctrl Pts',(-0.307160318879629,-0.537566403743149, -0.402741600910582)); #169726=CARTESIAN_POINT('Ctrl Pts',(-0.305879505374611,-0.518724670036863, -0.424075025018601)); #169727=CARTESIAN_POINT('Ctrl Pts',(-0.297725333804257,-0.500420819984665, -0.444225703313013)); #169728=CARTESIAN_POINT('Ctrl Pts',(-0.284523685011341,-0.487139186244844, -0.458705912180459)); #169729=CARTESIAN_POINT('Ctrl Pts',(-0.306219403688507,-0.532529365769479, -0.396362046266195)); #169730=CARTESIAN_POINT('Ctrl Pts',(-0.303871804388867,-0.514494073842778, -0.418247550515553)); #169731=CARTESIAN_POINT('Ctrl Pts',(-0.295274766561888,-0.497530313796181, -0.438770043186241)); #169732=CARTESIAN_POINT('Ctrl Pts',(-0.28229141170207,-0.485314415384945, -0.453481957804197)); #169733=CARTESIAN_POINT('',(-0.306219403688507,-0.532529365769479,-0.396362046266195)); #169734=CARTESIAN_POINT('',(-0.34509792412411,-0.551173854675257,-0.426065562012464)); #169735=CARTESIAN_POINT('Origin',(-0.34509792412411,-0.526391323682654, -0.39547423516862)); #169736=CARTESIAN_POINT('',(-0.30862941674492,-0.480852589334669,-0.483033961802318)); #169737=CARTESIAN_POINT('Origin',(-0.228104277742296,-0.569899260244969, -0.410895806668105)); #169738=CARTESIAN_POINT('',(-0.28229141170207,-0.485314415384945,-0.453481957804197)); #169739=CARTESIAN_POINT('Origin',(-0.30862941674492,-0.456070058342065, -0.452442634958474)); #169740=CARTESIAN_POINT('Origin',(-0.228104277742296,-0.545116729252365, -0.380304479824261)); #169741=CARTESIAN_POINT('Ctrl Pts',(-0.270868689391471,-0.476630993244402, -0.458041540807347)); #169742=CARTESIAN_POINT('Ctrl Pts',(-0.272677146461552,-0.477740262254757, -0.458050007323954)); #169743=CARTESIAN_POINT('Ctrl Pts',(-0.276788941146857,-0.48050969754755, -0.457603710137442)); #169744=CARTESIAN_POINT('Ctrl Pts',(-0.280487613547976,-0.483617241176684, -0.455525907516545)); #169745=CARTESIAN_POINT('Ctrl Pts',(-0.28229141170207,-0.485314415384945, -0.453481957804197)); #169746=CARTESIAN_POINT('Ctrl Pts',(-0.271022447582534,-0.476380376028707, -0.469627034898427)); #169747=CARTESIAN_POINT('Ctrl Pts',(-0.273258456888449,-0.477740834911689, -0.469107388256555)); #169748=CARTESIAN_POINT('Ctrl Pts',(-0.278367127619039,-0.481254968226981, -0.467357274346671)); #169749=CARTESIAN_POINT('Ctrl Pts',(-0.282994774428625,-0.485436105998388, -0.463647986696556)); #169750=CARTESIAN_POINT('Ctrl Pts',(-0.285267776114432,-0.487747443198144, -0.46044723030588)); #169751=CARTESIAN_POINT('Ctrl Pts',(-0.276281817233572,-0.467807898886464, -0.489456959700516)); #169752=CARTESIAN_POINT('Ctrl Pts',(-0.278977603514084,-0.470559789731131, -0.488113772575465)); #169753=CARTESIAN_POINT('Ctrl Pts',(-0.285135183187916,-0.477316367585915, -0.484223723118364)); #169754=CARTESIAN_POINT('Ctrl Pts',(-0.290683189455473,-0.484717632166229, -0.477676961516309)); #169755=CARTESIAN_POINT('Ctrl Pts',(-0.29337912325327,-0.488759949722249, -0.472494418786253)); #169756=CARTESIAN_POINT('Ctrl Pts',(-0.286904990829746,-0.450492722568044, -0.496412779999991)); #169757=CARTESIAN_POINT('Ctrl Pts',(-0.289611409289174,-0.454880010742679, -0.495809760059991)); #169758=CARTESIAN_POINT('Ctrl Pts',(-0.295777402367933,-0.465672563014301, -0.493223601549057)); #169759=CARTESIAN_POINT('Ctrl Pts',(-0.301339606425085,-0.477452610807392, -0.486568074180979)); #169760=CARTESIAN_POINT('Ctrl Pts',(-0.304055944333343,-0.483830296929884, -0.480621672490971)); #169761=CARTESIAN_POINT('Ctrl Pts',(-0.291450368440059,-0.443084012351281, -0.49641278)); #169762=CARTESIAN_POINT('Ctrl Pts',(-0.294162799048292,-0.447923949056726, -0.496412779999994)); #169763=CARTESIAN_POINT('Ctrl Pts',(-0.300334625348694,-0.459995422654512, -0.495064579458062)); #169764=CARTESIAN_POINT('Ctrl Pts',(-0.305903279184709,-0.473500182625557, -0.488990265955744)); #169765=CARTESIAN_POINT('Ctrl Pts',(-0.30862941674492,-0.480852589334669, -0.483033961802318)); #169766=CARTESIAN_POINT('',(-0.291450368440083,-0.443084012351268,-0.49641278)); #169767=CARTESIAN_POINT('',(-0.270868689391471,-0.476630993244402,-0.458041540807347)); #169768=CARTESIAN_POINT('Origin',(-0.291450368440059,-0.443084012351237, -0.457042701)); #169769=CARTESIAN_POINT('Ctrl Pts',(-0.270868689391471,-0.476630993244402, -0.458041540807347)); #169770=CARTESIAN_POINT('Ctrl Pts',(-0.272677146461552,-0.477740262254757, -0.458050007323954)); #169771=CARTESIAN_POINT('Ctrl Pts',(-0.276788941146857,-0.48050969754755, -0.457603710137442)); #169772=CARTESIAN_POINT('Ctrl Pts',(-0.280487613547976,-0.483617241176684, -0.455525907516545)); #169773=CARTESIAN_POINT('Ctrl Pts',(-0.28229141170207,-0.485314415384945, -0.453481957804197)); #169774=CARTESIAN_POINT('Ctrl Pts',(-0.30862941674492,-0.480852589334669, -0.483033961802318)); #169775=CARTESIAN_POINT('Ctrl Pts',(-0.305903279184709,-0.473500182625557, -0.488990265955744)); #169776=CARTESIAN_POINT('Ctrl Pts',(-0.300334625348694,-0.459995422654512, -0.495064579458062)); #169777=CARTESIAN_POINT('Ctrl Pts',(-0.294162799048292,-0.447923949056726, -0.496412779999994)); #169778=CARTESIAN_POINT('Ctrl Pts',(-0.291450368440059,-0.443084012351281, -0.49641278)); #169779=CARTESIAN_POINT('Ctrl Pts',(-0.354185499375349,-0.586659996872844, -0.395062775012283)); #169780=CARTESIAN_POINT('Ctrl Pts',(-0.356186377631706,-0.574782539229038, -0.404604789038486)); #169781=CARTESIAN_POINT('Ctrl Pts',(-0.353172526403584,-0.563621436284149, -0.415981573874912)); #169782=CARTESIAN_POINT('Ctrl Pts',(-0.34509792412411,-0.551173854675257, -0.426065562012464)); #169783=CARTESIAN_POINT('Ctrl Pts',(-0.34736572721326,-0.58708862940851, -0.396900114241754)); #169784=CARTESIAN_POINT('Ctrl Pts',(-0.349521127831865,-0.57565849311194, -0.406048297091229)); #169785=CARTESIAN_POINT('Ctrl Pts',(-0.346865640287792,-0.56435381849936, -0.41651602158704)); #169786=CARTESIAN_POINT('Ctrl Pts',(-0.33967453507377,-0.551630237363054, -0.425695838980752)); #169787=CARTESIAN_POINT('Ctrl Pts',(-0.332834488353491,-0.585467966916896, -0.397678128106483)); #169788=CARTESIAN_POINT('Ctrl Pts',(-0.335339940850558,-0.575093787947534, -0.406234592027918)); #169789=CARTESIAN_POINT('Ctrl Pts',(-0.333895006773067,-0.563873156469159, -0.415254503850541)); #169790=CARTESIAN_POINT('Ctrl Pts',(-0.328841182629826,-0.551089989644261, -0.423165116847672)); #169791=CARTESIAN_POINT('Ctrl Pts',(-0.311252625056766,-0.574802236325776, -0.388609863319176)); #169792=CARTESIAN_POINT('Ctrl Pts',(-0.313911166929152,-0.566268929933677, -0.397111882608998)); #169793=CARTESIAN_POINT('Ctrl Pts',(-0.314680045368982,-0.556477219907341, -0.405555799570779)); #169794=CARTESIAN_POINT('Ctrl Pts',(-0.313072309471801,-0.545252840564595, -0.41324680737564)); #169795=CARTESIAN_POINT('Ctrl Pts',(-0.305042900295488,-0.562865039331384, -0.375022186755355)); #169796=CARTESIAN_POINT('Ctrl Pts',(-0.307009949149059,-0.554998306321038, -0.384242515924595)); #169797=CARTESIAN_POINT('Ctrl Pts',(-0.307846783415956,-0.546688120403475, -0.393831533475933)); #169798=CARTESIAN_POINT('Ctrl Pts',(-0.307160318879629,-0.537566403743149, -0.402741600910582)); #169799=CARTESIAN_POINT('Ctrl Pts',(-0.305821271989322,-0.556286541824426, -0.3667292750989)); #169800=CARTESIAN_POINT('Ctrl Pts',(-0.307111466088327,-0.548318469447355, -0.376393898098957)); #169801=CARTESIAN_POINT('Ctrl Pts',(-0.307257349495148,-0.540503322666527, -0.386685792160421)); #169802=CARTESIAN_POINT('Ctrl Pts',(-0.306219403688507,-0.532529365769479, -0.396362046266195)); #169803=CARTESIAN_POINT('',(-0.305821272015027,-0.556286541619946,-0.366729274926883)); #169804=CARTESIAN_POINT('',(-0.354185499375349,-0.586659996872844,-0.395062775012283)); #169805=CARTESIAN_POINT('Origin',(-0.344802266149847,-0.561764963560683, -0.366042172484278)); #169806=CARTESIAN_POINT('Ctrl Pts',(-0.354185499375349,-0.586659996872844, -0.395062775012283)); #169807=CARTESIAN_POINT('Ctrl Pts',(-0.356186377631706,-0.574782539229038, -0.404604789038486)); #169808=CARTESIAN_POINT('Ctrl Pts',(-0.353172526403584,-0.563621436284149, -0.415981573874912)); #169809=CARTESIAN_POINT('Ctrl Pts',(-0.34509792412411,-0.551173854675257, -0.426065562012464)); #169810=CARTESIAN_POINT('Ctrl Pts',(-0.306219403688507,-0.532529365769479, -0.396362046266195)); #169811=CARTESIAN_POINT('Ctrl Pts',(-0.307257349495148,-0.540503322666527, -0.386685792160421)); #169812=CARTESIAN_POINT('Ctrl Pts',(-0.307111466088327,-0.548318469447355, -0.376393898098957)); #169813=CARTESIAN_POINT('Ctrl Pts',(-0.305821271989322,-0.556286541824426, -0.3667292750989)); #169814=CARTESIAN_POINT('Ctrl Pts',(-0.30582127195091,-0.556286542098435, -0.366729275104454)); #169815=CARTESIAN_POINT('Ctrl Pts',(-0.285927073688307,-0.684819038897906, -0.262901352011611)); #169816=CARTESIAN_POINT('Ctrl Pts',(-0.266038082097723,-0.813307531253429, -0.159017958837229)); #169817=CARTESIAN_POINT('Ctrl Pts',(-0.246153785691599,-0.94175635439399, -0.0550846433958315)); #169818=CARTESIAN_POINT('Ctrl Pts',(-0.305302357519589,-0.56067220710672, -0.37225788285389)); #169819=CARTESIAN_POINT('Ctrl Pts',(-0.285421783774903,-0.689097331851774, -0.26829118145697)); #169820=CARTESIAN_POINT('Ctrl Pts',(-0.265543362220158,-0.817480950800808, -0.164273150415096)); #169821=CARTESIAN_POINT('Ctrl Pts',(-0.245672140868834,-0.945825765440021, -0.0602060832911684)); #169822=CARTESIAN_POINT('Ctrl Pts',(-0.308143600638279,-0.569961692300961, -0.383213283272185)); #169823=CARTESIAN_POINT('Ctrl Pts',(-0.288090672302877,-0.698146023889181, -0.278975038780378)); #169824=CARTESIAN_POINT('Ctrl Pts',(-0.268054663788488,-0.826298689243754, -0.174695743130455)); #169825=CARTESIAN_POINT('Ctrl Pts',(-0.248032151129481,-0.954412164789623, -0.0703663071177859)); #169826=CARTESIAN_POINT('Ctrl Pts',(-0.325227122259631,-0.583578385463885, -0.396796571488046)); #169827=CARTESIAN_POINT('Ctrl Pts',(-0.304338388737864,-0.711584683295207, -0.292489929807999)); #169828=CARTESIAN_POINT('Ctrl Pts',(-0.283507248980981,-0.839537283228215, -0.188107830321161)); #169829=CARTESIAN_POINT('Ctrl Pts',(-0.262724605016698,-0.967442703939091, -0.0836595259931951)); #169830=CARTESIAN_POINT('Ctrl Pts',(-0.343955841149369,-0.587302945721422, -0.397818783812272)); #169831=CARTESIAN_POINT('Ctrl Pts',(-0.322415101168955,-0.715619402252984, -0.294020184376987)); #169832=CARTESIAN_POINT('Ctrl Pts',(-0.300936526811926,-0.843853815861448, -0.19010946342839)); #169833=CARTESIAN_POINT('Ctrl Pts',(-0.279496226239788,-0.971982146428387, -0.0860610096444487)); #169834=CARTESIAN_POINT('Ctrl Pts',(-0.354185499375349,-0.586659996872844, -0.395062775012283)); #169835=CARTESIAN_POINT('Ctrl Pts',(-0.332512687252563,-0.715312471536156, -0.291707009440565)); #169836=CARTESIAN_POINT('Ctrl Pts',(-0.310855045771936,-0.843836776999601, -0.18819006618711)); #169837=CARTESIAN_POINT('Ctrl Pts',(-0.289211073283177,-0.972245616961167, -0.0845280034317011)); #169838=CARTESIAN_POINT('',(-0.246153785691599,-0.94175635439399,-0.0550846433958315)); #169839=CARTESIAN_POINT('',(-0.289211073283166,-0.972245616961166,-0.084528003431703)); #169840=CARTESIAN_POINT('Origin',(-0.285134779890536,-0.947234775856238, -0.0543975407756555)); #169841=CARTESIAN_POINT('Ctrl Pts',(-0.289211073283177,-0.972245616961167, -0.0845280034317011)); #169842=CARTESIAN_POINT('Ctrl Pts',(-0.310855045771936,-0.843836776999601, -0.18819006618711)); #169843=CARTESIAN_POINT('Ctrl Pts',(-0.332512687252563,-0.715312471536156, -0.291707009440565)); #169844=CARTESIAN_POINT('Ctrl Pts',(-0.354185499375349,-0.586659996872844, -0.395062775012283)); #169845=CARTESIAN_POINT('Ctrl Pts',(-0.30582127195091,-0.556286542098435, -0.366729275104454)); #169846=CARTESIAN_POINT('Ctrl Pts',(-0.285927073688307,-0.684819038897906, -0.262901352011611)); #169847=CARTESIAN_POINT('Ctrl Pts',(-0.266038082097723,-0.813307531253429, -0.159017958837229)); #169848=CARTESIAN_POINT('Ctrl Pts',(-0.246153785691599,-0.94175635439399, -0.0550846433958315)); #169849=CARTESIAN_POINT('Origin',(-0.914477181471395,-1.04547577136898, 0.208957299)); #169850=CARTESIAN_POINT('',(-0.364096007607234,-1.375,0.16958722)); #169851=CARTESIAN_POINT('',(-0.330322528339845,-1.39522085825653,0.208270196379824)); #169852=CARTESIAN_POINT('Origin',(-0.364096007607234,-1.375,0.208957299)); #169853=CARTESIAN_POINT('',(-0.921127836905084,-1.72629443544893,0.208270196381574)); #169854=CARTESIAN_POINT('Origin',(-0.914477181471395,-1.04547577136898, 0.208270196379824)); #169855=CARTESIAN_POINT('',(-0.92074332268064,-1.68693223074351,0.16958722)); #169856=CARTESIAN_POINT('Origin',(-0.92074332268064,-1.68693223074351,0.208957299)); #169857=CARTESIAN_POINT('Origin',(-0.914477181471395,-1.04547577136898, 0.16958722)); #169858=CARTESIAN_POINT('Ctrl Pts',(-0.252997794112208,-1.20190764782222, 0.14374636840016)); #169859=CARTESIAN_POINT('Ctrl Pts',(-0.258462393787297,-1.22643499975578, 0.162469195765608)); #169860=CARTESIAN_POINT('Ctrl Pts',(-0.276371640294603,-1.29029981515232, 0.197165973803163)); #169861=CARTESIAN_POINT('Ctrl Pts',(-0.308381387777328,-1.35857411228315, 0.208270196379824)); #169862=CARTESIAN_POINT('Ctrl Pts',(-0.330322528339845,-1.39522085825653, 0.208270196379824)); #169863=CARTESIAN_POINT('Ctrl Pts',(-0.254650378729334,-1.20826604521687, 0.135444843145705)); #169864=CARTESIAN_POINT('Ctrl Pts',(-0.260153799831171,-1.23184338352205, 0.153498287000355)); #169865=CARTESIAN_POINT('Ctrl Pts',(-0.277945241378209,-1.29336676003552, 0.186891114446728)); #169866=CARTESIAN_POINT('Ctrl Pts',(-0.309182451929666,-1.3594965134843, 0.197092776404325)); #169867=CARTESIAN_POINT('Ctrl Pts',(-0.330496951978638,-1.39511642733165, 0.196623353763719)); #169868=CARTESIAN_POINT('Ctrl Pts',(-0.265202226214849,-1.21749841550534, 0.121157474882625)); #169869=CARTESIAN_POINT('Ctrl Pts',(-0.270637945895459,-1.23915809697952, 0.138049753267395)); #169870=CARTESIAN_POINT('Ctrl Pts',(-0.28808439479479,-1.29589203550763, 0.169167122175835)); #169871=CARTESIAN_POINT('Ctrl Pts',(-0.318430475664811,-1.35710216910352, 0.177825414498192)); #169872=CARTESIAN_POINT('Ctrl Pts',(-0.33908366675812,-1.3899753892683, 0.176620601433341)); #169873=CARTESIAN_POINT('Ctrl Pts',(-0.283480829347338,-1.21867947027762, 0.114691584313505)); #169874=CARTESIAN_POINT('Ctrl Pts',(-0.288702081077262,-1.23900467346196, 0.130979570779442)); #169875=CARTESIAN_POINT('Ctrl Pts',(-0.305722981238691,-1.29208505859979, 0.161083735173756)); #169876=CARTESIAN_POINT('Ctrl Pts',(-0.335932032426499,-1.34900285485144, 0.170127599913229)); #169877=CARTESIAN_POINT('Ctrl Pts',(-0.356600323297746,-1.37948781627613, 0.16958722)); #169878=CARTESIAN_POINT('Ctrl Pts',(-0.291305247793191,-1.21763092923578, 0.11384214417647)); #169879=CARTESIAN_POINT('Ctrl Pts',(-0.296434964710025,-1.23759782151214, 0.130017648076311)); #169880=CARTESIAN_POINT('Ctrl Pts',(-0.313274128340203,-1.28958960491444, 0.159993776013812)); #169881=CARTESIAN_POINT('Ctrl Pts',(-0.343423415796179,-1.34516970602677, 0.16958722)); #169882=CARTESIAN_POINT('Ctrl Pts',(-0.364096007607234,-1.375,0.16958722)); #169883=CARTESIAN_POINT('',(-0.291305247793191,-1.21763092923569,0.113842144176399)); #169884=CARTESIAN_POINT('Ctrl Pts',(-0.364096007607234,-1.375,0.16958722)); #169885=CARTESIAN_POINT('Ctrl Pts',(-0.343423415796179,-1.34516970602677, 0.16958722)); #169886=CARTESIAN_POINT('Ctrl Pts',(-0.313274128340203,-1.28958960491444, 0.159993776013812)); #169887=CARTESIAN_POINT('Ctrl Pts',(-0.296434964710025,-1.23759782151214, 0.130017648076311)); #169888=CARTESIAN_POINT('Ctrl Pts',(-0.291305247793191,-1.21763092923578, 0.11384214417647)); #169889=CARTESIAN_POINT('',(-0.252997794112208,-1.20190764782222,0.14374636840016)); #169890=CARTESIAN_POINT('Origin',(-0.291305247793191,-1.1928483982432,0.144433471020336)); #169891=CARTESIAN_POINT('Ctrl Pts',(-0.252997794112208,-1.20190764782222, 0.14374636840016)); #169892=CARTESIAN_POINT('Ctrl Pts',(-0.258462393787297,-1.22643499975578, 0.162469195765608)); #169893=CARTESIAN_POINT('Ctrl Pts',(-0.276371640294603,-1.29029981515232, 0.197165973803163)); #169894=CARTESIAN_POINT('Ctrl Pts',(-0.308381387777328,-1.35857411228315, 0.208270196379824)); #169895=CARTESIAN_POINT('Ctrl Pts',(-0.330322528339845,-1.39522085825653, 0.208270196379824)); #169896=CARTESIAN_POINT('Ctrl Pts',(-0.236819438577814,-1.04684071864874, 0.0253989424081938)); #169897=CARTESIAN_POINT('Ctrl Pts',(-0.236230598325393,-1.09892714338461, 0.0651439688860996)); #169898=CARTESIAN_POINT('Ctrl Pts',(-0.241672303876494,-1.15107422884408, 0.104942940679833)); #169899=CARTESIAN_POINT('Ctrl Pts',(-0.252997794112195,-1.20190764782216, 0.143746368400114)); #169900=CARTESIAN_POINT('Ctrl Pts',(-0.236992455006331,-1.05418609319468, 0.0163344699090992)); #169901=CARTESIAN_POINT('Ctrl Pts',(-0.236979574335619,-1.10603945173426, 0.0563708355768097)); #169902=CARTESIAN_POINT('Ctrl Pts',(-0.242895712019719,-1.15784045373701, 0.0964124789043374)); #169903=CARTESIAN_POINT('Ctrl Pts',(-0.254650378729322,-1.20826604521682, 0.135444843145666)); #169904=CARTESIAN_POINT('Ctrl Pts',(-0.246981673606511,-1.06688796645498, 0.000804921494367967)); #169905=CARTESIAN_POINT('Ctrl Pts',(-0.247276014148557,-1.11759764012489, 0.0412952546721514)); #169906=CARTESIAN_POINT('Ctrl Pts',(-0.253418911012137,-1.16823156578577, 0.0817625629514426)); #169907=CARTESIAN_POINT('Ctrl Pts',(-0.265201604559834,-1.21749904876133, 0.12115686351948)); #169908=CARTESIAN_POINT('Ctrl Pts',(-0.267432608184007,-1.07147989327414, -0.00455718504547776)); #169909=CARTESIAN_POINT('Ctrl Pts',(-0.267102553487352,-1.12094501993206, 0.0355213984238594)); #169910=CARTESIAN_POINT('Ctrl Pts',(-0.272505667092762,-1.17044853313533, 0.0756148980365912)); #169911=CARTESIAN_POINT('Ctrl Pts',(-0.283480829347326,-1.21867947027759, 0.114691584313481)); #169912=CARTESIAN_POINT('Ctrl Pts',(-0.276183441473199,-1.07154396214078, -0.00450528181547445)); #169913=CARTESIAN_POINT('Ctrl Pts',(-0.275588507153852,-1.12060485490294, 0.0352397446624314)); #169914=CARTESIAN_POINT('Ctrl Pts',(-0.28067380865623,-1.16973233726357, 0.0750387164561644)); #169915=CARTESIAN_POINT('Ctrl Pts',(-0.291305247793179,-1.21763092923575, 0.113842144176446)); #169916=CARTESIAN_POINT('',(-0.276183441462811,-1.07154396214071,-0.00450528181553562)); #169917=CARTESIAN_POINT('',(-0.236819438577814,-1.04684071864874,0.0253989424081938)); #169918=CARTESIAN_POINT('Origin',(-0.276183441473199,-1.04676143114818, 0.0260860450283699)); #169919=CARTESIAN_POINT('Ctrl Pts',(-0.236819438577814,-1.04684071864874, 0.0253989424081938)); #169920=CARTESIAN_POINT('Ctrl Pts',(-0.236230598325393,-1.09892714338461, 0.0651439688860996)); #169921=CARTESIAN_POINT('Ctrl Pts',(-0.241672303876494,-1.15107422884408, 0.104942940679833)); #169922=CARTESIAN_POINT('Ctrl Pts',(-0.252997794112195,-1.20190764782216, 0.143746368400114)); #169923=CARTESIAN_POINT('Origin',(-0.914477181471396,-1.07928574542212, 0.0017664626265747)); #169924=CARTESIAN_POINT('Ctrl Pts',(-1.80240953802338,-1.90937245736151, 0.194396225064475)); #169925=CARTESIAN_POINT('Ctrl Pts',(-1.63383466734507,-2.16541513273848, 0.194695111644779)); #169926=CARTESIAN_POINT('Ctrl Pts',(-1.4100601348772,-2.3848889472142,0.195061806979441)); #169927=CARTESIAN_POINT('Ctrl Pts',(-1.15082901498979,-2.54840501581285, 0.195375870810324)); #169928=CARTESIAN_POINT('Ctrl Pts',(-1.80482619816548,-1.91096220797288, 0.187129640005398)); #169929=CARTESIAN_POINT('Ctrl Pts',(-1.63599398697816,-2.16732275031335, 0.187354842623167)); #169930=CARTESIAN_POINT('Ctrl Pts',(-1.41188503813175,-2.38705261394824, 0.187632665149606)); #169931=CARTESIAN_POINT('Ctrl Pts',(-1.15230149907293,-2.55073747958316, 0.187870704643615)); #169932=CARTESIAN_POINT('Ctrl Pts',(-1.81338453882391,-1.91659213791504, 0.174394976721744)); #169933=CARTESIAN_POINT('Ctrl Pts',(-1.64375080301813,-2.17417019287504, 0.174463238945999)); #169934=CARTESIAN_POINT('Ctrl Pts',(-1.41858288523265,-2.39493025229207, 0.174546559134222)); #169935=CARTESIAN_POINT('Ctrl Pts',(-1.1577633204883,-2.55938918639422, 0.174619353982156)); #169936=CARTESIAN_POINT('Ctrl Pts',(-1.82643451903467,-1.92517680137558, 0.16958722)); #169937=CARTESIAN_POINT('Ctrl Pts',(-1.65567264964853,-2.18466268986974, 0.169586469403869)); #169938=CARTESIAN_POINT('Ctrl Pts',(-1.42896404171012,-2.40712945446904, 0.169588055371195)); #169939=CARTESIAN_POINT('Ctrl Pts',(-1.16628713288027,-2.57289118877027, 0.16958722)); #169940=CARTESIAN_POINT('Ctrl Pts',(-1.83296865938255,-1.92947515240635, 0.16958722)); #169941=CARTESIAN_POINT('Ctrl Pts',(-1.66164165598708,-2.18991797746958, 0.16958722)); #169942=CARTESIAN_POINT('Ctrl Pts',(-1.43416365669297,-2.41323683923597, 0.16958722)); #169943=CARTESIAN_POINT('Ctrl Pts',(-1.170555595215,-2.57965257510015,0.16958722)); #169944=CARTESIAN_POINT('',(-1.15082901498978,-2.54840501581285,0.195375870810324)); #169945=CARTESIAN_POINT('',(-1.170555595215,-2.57965257510015,0.16958722)); #169946=CARTESIAN_POINT('Origin',(-1.170555595215,-2.57965257510015,0.208957299)); #169947=CARTESIAN_POINT('',(-1.83296865938255,-1.92947515240635,0.16958722)); #169948=CARTESIAN_POINT('Ctrl Pts',(-1.170555595215,-2.57965257510015,0.16958722)); #169949=CARTESIAN_POINT('Ctrl Pts',(-1.43416365669297,-2.41323683923597, 0.16958722)); #169950=CARTESIAN_POINT('Ctrl Pts',(-1.66164165598708,-2.18991797746958, 0.16958722)); #169951=CARTESIAN_POINT('Ctrl Pts',(-1.83296865938255,-1.92947515240635, 0.16958722)); #169952=CARTESIAN_POINT('',(-1.80240953802336,-1.90937245736154,0.194396225064475)); #169953=CARTESIAN_POINT('Origin',(-1.83296865938255,-1.92947515240635,0.208957299)); #169954=CARTESIAN_POINT('Ctrl Pts',(-1.80240953802338,-1.90937245736151, 0.194396225064475)); #169955=CARTESIAN_POINT('Ctrl Pts',(-1.63383466734507,-2.16541513273848, 0.194695111644779)); #169956=CARTESIAN_POINT('Ctrl Pts',(-1.4100601348772,-2.3848889472142,0.195061806979441)); #169957=CARTESIAN_POINT('Ctrl Pts',(-1.15082901498979,-2.54840501581285, 0.195375870810324)); #169958=CARTESIAN_POINT('Ctrl Pts',(-1.77110681237751,-1.79135769159379, 0.19445363557987)); #169959=CARTESIAN_POINT('Ctrl Pts',(-1.79449531566324,-1.80324877829204, 0.194434034483837)); #169960=CARTESIAN_POINT('Ctrl Pts',(-1.8240468673486,-1.84498234126384, 0.194401491943797)); #169961=CARTESIAN_POINT('Ctrl Pts',(-1.81322789759215,-1.89292846193613, 0.194394100385039)); #169962=CARTESIAN_POINT('Ctrl Pts',(-1.80240953802338,-1.90937245736151, 0.194396225064475)); #169963=CARTESIAN_POINT('Ctrl Pts',(-1.7724150976014,-1.78878631815446, 0.187172949376445)); #169964=CARTESIAN_POINT('Ctrl Pts',(-1.7966218314327,-1.80109073319058, 0.187158175435345)); #169965=CARTESIAN_POINT('Ctrl Pts',(-1.82721802635538,-1.84430366406538, 0.187133622654285)); #169966=CARTESIAN_POINT('Ctrl Pts',(-1.8160236803666,-1.89394241037141, 0.187128039119301)); #169967=CARTESIAN_POINT('Ctrl Pts',(-1.80482619816548,-1.91096220797288, 0.187129640005398)); #169968=CARTESIAN_POINT('Ctrl Pts',(-1.77706031577791,-1.77965635901333, 0.174408000622134)); #169969=CARTESIAN_POINT('Ctrl Pts',(-1.80420122005779,-1.79345358922415, 0.174403520114151)); #169970=CARTESIAN_POINT('Ctrl Pts',(-1.83849433973316,-1.84187332379559, 0.174396124846841)); #169971=CARTESIAN_POINT('Ctrl Pts',(-1.82593900602902,-1.8975094824245, 0.174394456567441)); #169972=CARTESIAN_POINT('Ctrl Pts',(-1.81338451904721,-1.91659212490534, 0.174394941819552)); #169973=CARTESIAN_POINT('Ctrl Pts',(-1.7841529850627,-1.76571604914819, 0.16958722)); #169974=CARTESIAN_POINT('Ctrl Pts',(-1.81575295825385,-1.78178979756392, 0.169587269392188)); #169975=CARTESIAN_POINT('Ctrl Pts',(-1.85566824255506,-1.83818695996065, 0.16958725454567)); #169976=CARTESIAN_POINT('Ctrl Pts',(-1.84104937706602,-1.90296071360805, 0.169587225335724)); #169977=CARTESIAN_POINT('Ctrl Pts',(-1.82643451903467,-1.92517680137557, 0.16958722)); #169978=CARTESIAN_POINT('Ctrl Pts',(-1.78770431489757,-1.75873607639837, 0.16958722)); #169979=CARTESIAN_POINT('Ctrl Pts',(-1.82154010082677,-1.77595133501948, 0.16958722)); #169980=CARTESIAN_POINT('Ctrl Pts',(-1.86427131255395,-1.83633828376671, 0.16958722)); #169981=CARTESIAN_POINT('Ctrl Pts',(-1.84861659304598,-1.90568793866337, 0.16958722)); #169982=CARTESIAN_POINT('Ctrl Pts',(-1.83296865938256,-1.92947515240634, 0.16958722)); #169983=CARTESIAN_POINT('',(-1.78770431489757,-1.75873607639837,0.16958722)); #169984=CARTESIAN_POINT('Ctrl Pts',(-1.83296865938256,-1.92947515240634, 0.16958722)); #169985=CARTESIAN_POINT('Ctrl Pts',(-1.84861659304598,-1.90568793866337, 0.16958722)); #169986=CARTESIAN_POINT('Ctrl Pts',(-1.86427131255395,-1.83633828376671, 0.16958722)); #169987=CARTESIAN_POINT('Ctrl Pts',(-1.82154010082677,-1.77595133501948, 0.16958722)); #169988=CARTESIAN_POINT('Ctrl Pts',(-1.78770431489757,-1.75873607639837, 0.16958722)); #169989=CARTESIAN_POINT('',(-1.77110681237752,-1.7913576915938,0.19445363557987)); #169990=CARTESIAN_POINT('Origin',(-1.78770431489757,-1.75873607639837,0.208957299)); #169991=CARTESIAN_POINT('Ctrl Pts',(-1.77110681237751,-1.79135769159379, 0.19445363557987)); #169992=CARTESIAN_POINT('Ctrl Pts',(-1.79449531566324,-1.80324877829204, 0.194434034483837)); #169993=CARTESIAN_POINT('Ctrl Pts',(-1.8240468673486,-1.84498234126384, 0.194401491943797)); #169994=CARTESIAN_POINT('Ctrl Pts',(-1.81322789759215,-1.89292846193613, 0.194394100385039)); #169995=CARTESIAN_POINT('Ctrl Pts',(-1.80240953802338,-1.90937245736151, 0.194396225064475)); #169996=CARTESIAN_POINT('Ctrl Pts',(-1.67744769459377,-1.74388079366935, 0.195375870810744)); #169997=CARTESIAN_POINT('Ctrl Pts',(-1.70864701398075,-1.75975055634345, 0.195007858288055)); #169998=CARTESIAN_POINT('Ctrl Pts',(-1.73986785127214,-1.77557341560502, 0.194700480678417)); #169999=CARTESIAN_POINT('Ctrl Pts',(-1.77110681237751,-1.7913576915938, 0.19445363557987)); #170000=CARTESIAN_POINT('Ctrl Pts',(-1.67870776371231,-1.74142705724686, 0.187870704643934)); #170001=CARTESIAN_POINT('Ctrl Pts',(-1.70992823813493,-1.75724788580381, 0.187591775629905)); #170002=CARTESIAN_POINT('Ctrl Pts',(-1.74116408968347,-1.7730334155073, 0.187359004020562)); #170003=CARTESIAN_POINT('Ctrl Pts',(-1.7724150976014,-1.78878631815446, 0.187172949376445)); #170004=CARTESIAN_POINT('Ctrl Pts',(-1.68338163654967,-1.73232561047191, 0.174619206702603)); #170005=CARTESIAN_POINT('Ctrl Pts',(-1.71459311575135,-1.74813487544974, 0.174533907432222)); #170006=CARTESIAN_POINT('Ctrl Pts',(-1.74582015659194,-1.76390977156653, 0.174464323611378)); #170007=CARTESIAN_POINT('Ctrl Pts',(-1.77706028435671,-1.77965642077022, 0.174407898632252)); #170008=CARTESIAN_POINT('Ctrl Pts',(-1.69067588307342,-1.71812150205704, 0.16958722)); #170009=CARTESIAN_POINT('Ctrl Pts',(-1.72181004101143,-1.73403615095824, 0.169588198868085)); #170010=CARTESIAN_POINT('Ctrl Pts',(-1.75296952121877,-1.74990006103825, 0.169586597982768)); #170011=CARTESIAN_POINT('Ctrl Pts',(-1.7841529850627,-1.76571604914819, 0.16958722)); #170012=CARTESIAN_POINT('Ctrl Pts',(-1.69432859323403,-1.71100856846473, 0.16958722)); #170013=CARTESIAN_POINT('Ctrl Pts',(-1.72542359360549,-1.72697680749393, 0.16958722)); #170014=CARTESIAN_POINT('Ctrl Pts',(-1.7565494960282,-1.74288486297443, 0.16958722)); #170015=CARTESIAN_POINT('Ctrl Pts',(-1.78770431489757,-1.75873607639837, 0.16958722)); #170016=CARTESIAN_POINT('',(-1.69432859323403,-1.71100856846473,0.16958722)); #170017=CARTESIAN_POINT('Ctrl Pts',(-1.78770431489757,-1.75873607639837, 0.16958722)); #170018=CARTESIAN_POINT('Ctrl Pts',(-1.7565494960282,-1.74288486297443, 0.16958722)); #170019=CARTESIAN_POINT('Ctrl Pts',(-1.72542359360549,-1.72697680749393, 0.16958722)); #170020=CARTESIAN_POINT('Ctrl Pts',(-1.69432859323403,-1.71100856846473, 0.16958722)); #170021=CARTESIAN_POINT('',(-1.67744769459377,-1.74388079366935,0.195375870810744)); #170022=CARTESIAN_POINT('Origin',(-1.69432859323403,-1.71100856846473,0.208957299)); #170023=CARTESIAN_POINT('Ctrl Pts',(-1.67744769459377,-1.74388079366935, 0.195375870810744)); #170024=CARTESIAN_POINT('Ctrl Pts',(-1.70864701398075,-1.75975055634345, 0.195007858288055)); #170025=CARTESIAN_POINT('Ctrl Pts',(-1.73986785127214,-1.77557341560502, 0.194700480678417)); #170026=CARTESIAN_POINT('Ctrl Pts',(-1.77110681237751,-1.7913576915938, 0.19445363557987)); #170027=CARTESIAN_POINT('Ctrl Pts',(-0.579206847285186,-2.84038071186166, 0.16958722)); #170028=CARTESIAN_POINT('Ctrl Pts',(-0.787736391109755,-2.78325692676467, 0.16958722)); #170029=CARTESIAN_POINT('Ctrl Pts',(-0.987727582253914,-2.69507187655444, 0.16958722)); #170030=CARTESIAN_POINT('Ctrl Pts',(-1.17055559521499,-2.57965257510015, 0.16958722)); #170031=CARTESIAN_POINT('Ctrl Pts',(-0.577069227034078,-2.83257736033171, 0.16958722)); #170032=CARTESIAN_POINT('Ctrl Pts',(-0.784834726056391,-2.7756862312754, 0.169587568650067)); #170033=CARTESIAN_POINT('Ctrl Pts',(-0.984105098359044,-2.68785679532365, 0.169586640627701)); #170034=CARTESIAN_POINT('Ctrl Pts',(-1.16628713288026,-2.57289118877028, 0.16958722)); #170035=CARTESIAN_POINT('Ctrl Pts',(-0.572800876435657,-2.81699580876504, 0.174743358227349)); #170036=CARTESIAN_POINT('Ctrl Pts',(-0.77904304908066,-2.76056791936478, 0.174713659841584)); #170037=CARTESIAN_POINT('Ctrl Pts',(-0.976869784122226,-2.67345098573124, 0.174669795837587)); #170038=CARTESIAN_POINT('Ctrl Pts',(-1.15776330370002,-2.55938915980106, 0.174619308917889)); #170039=CARTESIAN_POINT('Ctrl Pts',(-0.570101186657498,-2.80714063062949, 0.188277628303485)); #170040=CARTESIAN_POINT('Ctrl Pts',(-0.775367112835038,-2.7509768829519, 0.188180988135652)); #170041=CARTESIAN_POINT('Ctrl Pts',(-0.972265452939635,-2.6642623065032, 0.188035797107712)); #170042=CARTESIAN_POINT('Ctrl Pts',(-1.15230149907292,-2.55073747958317, 0.187870704643615)); #170043=CARTESIAN_POINT('Ctrl Pts',(-0.569392849782328,-2.80455485720425, 0.195911352827113)); #170044=CARTESIAN_POINT('Ctrl Pts',(-0.774401380309257,-2.74844188403302, 0.195784264656895)); #170045=CARTESIAN_POINT('Ctrl Pts',(-0.971037449820744,-2.66181273530037, 0.19559369000852)); #170046=CARTESIAN_POINT('Ctrl Pts',(-1.15082901498978,-2.54840501581285, 0.195375870810324)); #170047=CARTESIAN_POINT('',(-0.569392849782329,-2.80455485720425,0.195911352827113)); #170048=CARTESIAN_POINT('Ctrl Pts',(-1.15082901498978,-2.54840501581285, 0.195375870810324)); #170049=CARTESIAN_POINT('Ctrl Pts',(-0.971037449820744,-2.66181273530037, 0.19559369000852)); #170050=CARTESIAN_POINT('Ctrl Pts',(-0.774401380309257,-2.74844188403302, 0.195784264656895)); #170051=CARTESIAN_POINT('Ctrl Pts',(-0.569392849782328,-2.80455485720425, 0.195911352827113)); #170052=CARTESIAN_POINT('',(-0.579206847285186,-2.84038071186166,0.16958722)); #170053=CARTESIAN_POINT('Origin',(-0.579206847285186,-2.84038071186166, 0.208957299)); #170054=CARTESIAN_POINT('Ctrl Pts',(-0.579206847285186,-2.84038071186166, 0.16958722)); #170055=CARTESIAN_POINT('Ctrl Pts',(-0.787736391109755,-2.78325692676467, 0.16958722)); #170056=CARTESIAN_POINT('Ctrl Pts',(-0.987727582253914,-2.69507187655444, 0.16958722)); #170057=CARTESIAN_POINT('Ctrl Pts',(-1.17055559521499,-2.57965257510015, 0.16958722)); #170058=CARTESIAN_POINT('Ctrl Pts',(0.44386359887591,-2.17184132481544, 0.16958722)); #170059=CARTESIAN_POINT('Ctrl Pts',(0.418000372828148,-2.12687369355787, 0.16958722)); #170060=CARTESIAN_POINT('Ctrl Pts',(0.385240286038082,-2.08587855071754, 0.16958722)); #170061=CARTESIAN_POINT('Ctrl Pts',(0.347087213284855,-2.05073118435815, 0.16958722)); #170062=CARTESIAN_POINT('Ctrl Pts',(0.453961194712287,-2.16603367170249, 0.16958722)); #170063=CARTESIAN_POINT('Ctrl Pts',(0.427506070305204,-2.12003692412333, 0.169587219999328)); #170064=CARTESIAN_POINT('Ctrl Pts',(0.394005403621942,-2.07811511798218, 0.169587219999782)); #170065=CARTESIAN_POINT('Ctrl Pts',(0.354979643705665,-2.0421638149295, 0.16958722)); #170066=CARTESIAN_POINT('Ctrl Pts',(0.471447460082783,-2.15597641003758, 0.179246532947357)); #170067=CARTESIAN_POINT('Ctrl Pts',(0.443974800039804,-2.10821050308882, 0.179246532977089)); #170068=CARTESIAN_POINT('Ctrl Pts',(0.409173168720721,-2.06466083674953, 0.179246532996136)); #170069=CARTESIAN_POINT('Ctrl Pts',(0.368647167637271,-2.02732748182884, 0.179246532986506)); #170070=CARTESIAN_POINT('Ctrl Pts',(0.477854157497805,-2.15229158477927, 0.19953506518812)); #170071=CARTESIAN_POINT('Ctrl Pts',(0.450005156016592,-2.10387134407609, 0.199535065263652)); #170072=CARTESIAN_POINT('Ctrl Pts',(0.414735611069493,-2.05973619344128, 0.199535065312374)); #170073=CARTESIAN_POINT('Ctrl Pts',(0.373654737169871,-2.02189167868101, 0.199535065287911)); #170074=CARTESIAN_POINT('Ctrl Pts',(0.477986327834065,-2.15221556673723, 0.208270197282674)); #170075=CARTESIAN_POINT('Ctrl Pts',(0.450131157303956,-2.10378460012922, 0.208270197370994)); #170076=CARTESIAN_POINT('Ctrl Pts',(0.414848012876893,-2.05963243169158, 0.20827019742823)); #170077=CARTESIAN_POINT('Ctrl Pts',(0.373758043444154,-2.02177953793742, 0.208270197399626)); #170078=CARTESIAN_POINT('',(0.347087213284855,-2.05073118435815,0.16958722)); #170079=CARTESIAN_POINT('',(0.373758043444162,-2.02177953793743,0.208270197399626)); #170080=CARTESIAN_POINT('Origin',(0.347087213284855,-2.05073118435815,0.208957299)); #170081=CARTESIAN_POINT('',(0.477986327834065,-2.15221556673723,0.208270197282675)); #170082=CARTESIAN_POINT('Ctrl Pts',(0.373758043444154,-2.02177953793742, 0.208270197399626)); #170083=CARTESIAN_POINT('Ctrl Pts',(0.414848012876893,-2.05963243169158, 0.20827019742823)); #170084=CARTESIAN_POINT('Ctrl Pts',(0.450131157303956,-2.10378460012922, 0.208270197370994)); #170085=CARTESIAN_POINT('Ctrl Pts',(0.477986327834065,-2.15221556673723, 0.208270197282674)); #170086=CARTESIAN_POINT('',(0.44386359887591,-2.17184132481544,0.16958722)); #170087=CARTESIAN_POINT('Origin',(0.44386359887591,-2.17184132481544,0.208957299)); #170088=CARTESIAN_POINT('Ctrl Pts',(0.44386359887591,-2.17184132481544, 0.16958722)); #170089=CARTESIAN_POINT('Ctrl Pts',(0.418000372828148,-2.12687369355787, 0.16958722)); #170090=CARTESIAN_POINT('Ctrl Pts',(0.385240286038082,-2.08587855071754, 0.16958722)); #170091=CARTESIAN_POINT('Ctrl Pts',(0.347087213284855,-2.05073118435815, 0.16958722)); #170092=CARTESIAN_POINT('Ctrl Pts',(0.347087213284859,-2.05073118435816, 0.16958722)); #170093=CARTESIAN_POINT('Ctrl Pts',(0.293666058615683,-2.00151855850641, 0.16958722)); #170094=CARTESIAN_POINT('Ctrl Pts',(0.165662835532599,-1.92632512791781, 0.16958722)); #170095=CARTESIAN_POINT('Ctrl Pts',(-0.0930885712534763,-1.89838004659632, 0.16958722)); #170096=CARTESIAN_POINT('Ctrl Pts',(-0.266955481281096,-1.97691224558054, 0.16958722)); #170097=CARTESIAN_POINT('Ctrl Pts',(-0.347087213284861,-2.05073118435816, 0.16958722)); #170098=CARTESIAN_POINT('Ctrl Pts',(0.354979643705669,-2.04216381492951, 0.16958722)); #170099=CARTESIAN_POINT('Ctrl Pts',(0.300338719321925,-1.99182751313858, 0.169587220002394)); #170100=CARTESIAN_POINT('Ctrl Pts',(0.169437293180376,-1.91492332188549, 0.16958722000329)); #170101=CARTESIAN_POINT('Ctrl Pts',(-0.0952171198214339,-1.8863428696345, 0.169587220003609)); #170102=CARTESIAN_POINT('Ctrl Pts',(-0.273018257130056,-1.96665936224312, 0.169587220003591)); #170103=CARTESIAN_POINT('Ctrl Pts',(-0.354979643705671,-2.04216381492951, 0.16958722)); #170104=CARTESIAN_POINT('Ctrl Pts',(0.368647464028119,-2.02732716009146, 0.179246161036427)); #170105=CARTESIAN_POINT('Ctrl Pts',(0.311909627011828,-1.97505914098059, 0.179246160930522)); #170106=CARTESIAN_POINT('Ctrl Pts',(0.175950977657581,-1.89519518458074, 0.179246160729986)); #170107=CARTESIAN_POINT('Ctrl Pts',(-0.0988670868298705,-1.86551418157206, 0.179246160642286)); #170108=CARTESIAN_POINT('Ctrl Pts',(-0.28354070850369,-1.94892513142515, 0.17924616087757)); #170109=CARTESIAN_POINT('Ctrl Pts',(-0.368647464028122,-2.02732716009147, 0.179246161036427)); #170110=CARTESIAN_POINT('Ctrl Pts',(0.373654737169875,-2.02189167868101, 0.199535065287911)); #170111=CARTESIAN_POINT('Ctrl Pts',(0.316141345038381,-1.96890920272181, 0.199535065018867)); #170112=CARTESIAN_POINT('Ctrl Pts',(0.178348130220041,-1.88795955833719, 0.199535064508018)); #170113=CARTESIAN_POINT('Ctrl Pts',(-0.100221470106237,-1.85787547654649, 0.199535064284583)); #170114=CARTESIAN_POINT('Ctrl Pts',(-0.287384648972644,-1.94241796474222, 0.199535064884344)); #170115=CARTESIAN_POINT('Ctrl Pts',(-0.373654737169878,-2.02189167868102, 0.199535065287911)); #170116=CARTESIAN_POINT('Ctrl Pts',(0.373758043444158,-2.02177953793743, 0.208270197399626)); #170117=CARTESIAN_POINT('Ctrl Pts',(0.316231938372664,-1.96878535047135, 0.208270197085028)); #170118=CARTESIAN_POINT('Ctrl Pts',(0.178392735338454,-1.88781391738505, 0.2082701964866)); #170119=CARTESIAN_POINT('Ctrl Pts',(-0.100241709747651,-1.85772146865884, 0.208270196224836)); #170120=CARTESIAN_POINT('Ctrl Pts',(-0.287468885836927,-1.94228825673832, 0.208270196927729)); #170121=CARTESIAN_POINT('Ctrl Pts',(-0.373758043444161,-2.02177953793743, 0.208270197399626)); #170122=CARTESIAN_POINT('',(-0.347087213284861,-2.05073118435816,0.16958722)); #170123=CARTESIAN_POINT('',(-0.373758043444161,-2.02177953793743,0.208270197399626)); #170124=CARTESIAN_POINT('Origin',(-0.347087213284861,-2.05073118435816, 0.208957299)); #170125=CARTESIAN_POINT('Ctrl Pts',(-0.373758043444161,-2.02177953793743, 0.208270197399626)); #170126=CARTESIAN_POINT('Ctrl Pts',(-0.287468885836927,-1.94228825673832, 0.208270196927729)); #170127=CARTESIAN_POINT('Ctrl Pts',(-0.100241709747651,-1.85772146865884, 0.208270196224836)); #170128=CARTESIAN_POINT('Ctrl Pts',(0.178392735338454,-1.88781391738505, 0.2082701964866)); #170129=CARTESIAN_POINT('Ctrl Pts',(0.316231938372664,-1.96878535047135, 0.208270197085028)); #170130=CARTESIAN_POINT('Ctrl Pts',(0.373758043444158,-2.02177953793743, 0.208270197399626)); #170131=CARTESIAN_POINT('Ctrl Pts',(0.347087213284859,-2.05073118435816, 0.16958722)); #170132=CARTESIAN_POINT('Ctrl Pts',(0.293666058615683,-2.00151855850641, 0.16958722)); #170133=CARTESIAN_POINT('Ctrl Pts',(0.165662835532599,-1.92632512791781, 0.16958722)); #170134=CARTESIAN_POINT('Ctrl Pts',(-0.0930885712534763,-1.89838004659632, 0.16958722)); #170135=CARTESIAN_POINT('Ctrl Pts',(-0.266955481281096,-1.97691224558054, 0.16958722)); #170136=CARTESIAN_POINT('Ctrl Pts',(-0.347087213284861,-2.05073118435816, 0.16958722)); #170137=CARTESIAN_POINT('Ctrl Pts',(-0.347087213284866,-2.05073118435816, 0.16958722)); #170138=CARTESIAN_POINT('Ctrl Pts',(-0.385240286038089,-2.08587855071755, 0.16958722)); #170139=CARTESIAN_POINT('Ctrl Pts',(-0.418000372828151,-2.12687369355788, 0.16958722)); #170140=CARTESIAN_POINT('Ctrl Pts',(-0.44386359887591,-2.17184132481544, 0.16958722)); #170141=CARTESIAN_POINT('Ctrl Pts',(-0.354979643705676,-2.04216381492951, 0.16958722)); #170142=CARTESIAN_POINT('Ctrl Pts',(-0.394005403621947,-2.07811511798219, 0.169587219999782)); #170143=CARTESIAN_POINT('Ctrl Pts',(-0.427506070305207,-2.12003692412333, 0.169587219999328)); #170144=CARTESIAN_POINT('Ctrl Pts',(-0.453961194712287,-2.16603367170249, 0.16958722)); #170145=CARTESIAN_POINT('Ctrl Pts',(-0.368647167637282,-2.02732748182885, 0.179246532986506)); #170146=CARTESIAN_POINT('Ctrl Pts',(-0.409173168720725,-2.06466083674953, 0.179246532996135)); #170147=CARTESIAN_POINT('Ctrl Pts',(-0.443974800039808,-2.10821050308882, 0.179246532977089)); #170148=CARTESIAN_POINT('Ctrl Pts',(-0.471447460082784,-2.15597641003758, 0.179246532947357)); #170149=CARTESIAN_POINT('Ctrl Pts',(-0.373654737169882,-2.02189167868102, 0.199535065287911)); #170150=CARTESIAN_POINT('Ctrl Pts',(-0.414735611069496,-2.05973619344129, 0.199535065312374)); #170151=CARTESIAN_POINT('Ctrl Pts',(-0.450005156016597,-2.1038713440761, 0.199535065263652)); #170152=CARTESIAN_POINT('Ctrl Pts',(-0.477854157497805,-2.15229158477927, 0.19953506518812)); #170153=CARTESIAN_POINT('Ctrl Pts',(-0.373758043444166,-2.02177953793744, 0.208270197399626)); #170154=CARTESIAN_POINT('Ctrl Pts',(-0.414848012876896,-2.05963243169159, 0.20827019742823)); #170155=CARTESIAN_POINT('Ctrl Pts',(-0.450131157303961,-2.10378460012923, 0.208270197370994)); #170156=CARTESIAN_POINT('Ctrl Pts',(-0.477986327834066,-2.15221556673723, 0.208270197282674)); #170157=CARTESIAN_POINT('',(-0.44386359887591,-2.17184132481544,0.16958722)); #170158=CARTESIAN_POINT('',(-0.477986327834066,-2.15221556673723,0.208270197282675)); #170159=CARTESIAN_POINT('Origin',(-0.44386359887591,-2.17184132481544,0.208957299)); #170160=CARTESIAN_POINT('Ctrl Pts',(-0.477986327834066,-2.15221556673723, 0.208270197282674)); #170161=CARTESIAN_POINT('Ctrl Pts',(-0.450131157303961,-2.10378460012923, 0.208270197370994)); #170162=CARTESIAN_POINT('Ctrl Pts',(-0.414848012876896,-2.05963243169159, 0.20827019742823)); #170163=CARTESIAN_POINT('Ctrl Pts',(-0.373758043444166,-2.02177953793744, 0.208270197399626)); #170164=CARTESIAN_POINT('Ctrl Pts',(-0.347087213284866,-2.05073118435816, 0.16958722)); #170165=CARTESIAN_POINT('Ctrl Pts',(-0.385240286038089,-2.08587855071755, 0.16958722)); #170166=CARTESIAN_POINT('Ctrl Pts',(-0.418000372828151,-2.12687369355788, 0.16958722)); #170167=CARTESIAN_POINT('Ctrl Pts',(-0.44386359887591,-2.17184132481544, 0.16958722)); #170168=CARTESIAN_POINT('Ctrl Pts',(-0.443863598875911,-2.17184132481544, 0.16958722)); #170169=CARTESIAN_POINT('Ctrl Pts',(-0.481652634471757,-2.23754401074932, 0.16958722)); #170170=CARTESIAN_POINT('Ctrl Pts',(-0.537376738175787,-2.40992398233719, 0.16958722)); #170171=CARTESIAN_POINT('Ctrl Pts',(-0.500475992186968,-2.59076962173034, 0.16958722)); #170172=CARTESIAN_POINT('Ctrl Pts',(-0.451990495470857,-2.67943884216773, 0.16958722)); #170173=CARTESIAN_POINT('Ctrl Pts',(-0.453961194712288,-2.16603367170249, 0.16958722)); #170174=CARTESIAN_POINT('Ctrl Pts',(-0.492084370567987,-2.23343299988854, 0.169608990696086)); #170175=CARTESIAN_POINT('Ctrl Pts',(-0.547843525483155,-2.40966083598844, 0.169606336401441)); #170176=CARTESIAN_POINT('Ctrl Pts',(-0.509648842300421,-2.59369387964022, 0.16958300417822)); #170177=CARTESIAN_POINT('Ctrl Pts',(-0.460103473276556,-2.68387512520728, 0.16958722)); #170178=CARTESIAN_POINT('Ctrl Pts',(-0.471446765458301,-2.15597680955229, 0.179247214285555)); #170179=CARTESIAN_POINT('Ctrl Pts',(-0.510202490090952,-2.22625941294597, 0.178284245037586)); #170180=CARTESIAN_POINT('Ctrl Pts',(-0.566079316757758,-2.4091662609607, 0.176559804771974)); #170181=CARTESIAN_POINT('Ctrl Pts',(-0.525620229743083,-2.59879277892293, 0.175809416145671)); #170182=CARTESIAN_POINT('Ctrl Pts',(-0.474241914996893,-2.69160621121684, 0.175536813634634)); #170183=CARTESIAN_POINT('Ctrl Pts',(-0.477854157497806,-2.15229158477927, 0.19953506518812)); #170184=CARTESIAN_POINT('Ctrl Pts',(-0.517789430268761,-2.22309672241095, 0.197088710879048)); #170185=CARTESIAN_POINT('Ctrl Pts',(-0.575688460693976,-2.4085499289554, 0.192393912832442)); #170186=CARTESIAN_POINT('Ctrl Pts',(-0.534786523717566,-2.60165899172098, 0.190167727948275)); #170187=CARTESIAN_POINT('Ctrl Pts',(-0.482565668910014,-2.69615774940596, 0.189368160378361)); #170188=CARTESIAN_POINT('Ctrl Pts',(-0.477986327834066,-2.15221556673723, 0.208270197282675)); #170189=CARTESIAN_POINT('Ctrl Pts',(-0.518479287990561,-2.22271963548964, 0.20540964158694)); #170190=CARTESIAN_POINT('Ctrl Pts',(-0.577574314989877,-2.40826483139955, 0.199724023379448)); #170191=CARTESIAN_POINT('Ctrl Pts',(-0.536908164177228,-2.6023027160343, 0.196916057839316)); #170192=CARTESIAN_POINT('Ctrl Pts',(-0.484581948933625,-2.69726027785925, 0.195911352827204)); #170193=CARTESIAN_POINT('',(-0.451990495470857,-2.67943884216773,0.16958722)); #170194=CARTESIAN_POINT('',(-0.484581948932495,-2.69726027786128,0.195911352827147)); #170195=CARTESIAN_POINT('Origin',(-0.451990495470857,-2.67943884216773, 0.208957299)); #170196=CARTESIAN_POINT('Ctrl Pts',(-0.484581948933625,-2.69726027785925, 0.195911352827204)); #170197=CARTESIAN_POINT('Ctrl Pts',(-0.536908164177228,-2.6023027160343, 0.196916057839316)); #170198=CARTESIAN_POINT('Ctrl Pts',(-0.577574314989877,-2.40826483139955, 0.199724023379448)); #170199=CARTESIAN_POINT('Ctrl Pts',(-0.518479287990561,-2.22271963548964, 0.20540964158694)); #170200=CARTESIAN_POINT('Ctrl Pts',(-0.477986327834066,-2.15221556673723, 0.208270197282675)); #170201=CARTESIAN_POINT('Ctrl Pts',(-0.443863598875911,-2.17184132481544, 0.16958722)); #170202=CARTESIAN_POINT('Ctrl Pts',(-0.481652634471757,-2.23754401074932, 0.16958722)); #170203=CARTESIAN_POINT('Ctrl Pts',(-0.537376738175787,-2.40992398233719, 0.16958722)); #170204=CARTESIAN_POINT('Ctrl Pts',(-0.500475992186968,-2.59076962173034, 0.16958722)); #170205=CARTESIAN_POINT('Ctrl Pts',(-0.451990495470857,-2.67943884216773, 0.16958722)); #170206=CARTESIAN_POINT('Origin',(-0.549764855025915,-2.73290314879937, 0.208957299)); #170207=CARTESIAN_POINT('Origin',(-0.549764855025915,-2.73290314879937, 0.16958722)); #170208=CARTESIAN_POINT('Origin',(-0.549764855025915,-2.73290314879937, 0.195911352827358)); #170209=CARTESIAN_POINT('Ctrl Pts',(-1.69432859323402,-1.71100856846472, 0.16958722)); #170210=CARTESIAN_POINT('Ctrl Pts',(-1.64632841972032,-1.68635900310201, 0.16958722)); #170211=CARTESIAN_POINT('Ctrl Pts',(-1.59837786094664,-1.66161294653307, 0.16958722)); #170212=CARTESIAN_POINT('Ctrl Pts',(-1.55044127123922,-1.63683995524946, 0.16958722)); #170213=CARTESIAN_POINT('Ctrl Pts',(-1.69067588307341,-1.71812150205704, 0.16958722)); #170214=CARTESIAN_POINT('Ctrl Pts',(-1.64262018672101,-1.69355703106012, 0.169585711069013)); #170215=CARTESIAN_POINT('Ctrl Pts',(-1.5946059346308,-1.66891814382376, 0.169589782408132)); #170216=CARTESIAN_POINT('Ctrl Pts',(-1.54659821241558,-1.64427640617865, 0.16958722)); #170217=CARTESIAN_POINT('Ctrl Pts',(-1.68338160650834,-1.73232566897149, 0.174619112469841)); #170218=CARTESIAN_POINT('Ctrl Pts',(-1.6352163668474,-1.70792860240366, 0.174750601803446)); #170219=CARTESIAN_POINT('Ctrl Pts',(-1.58707367689919,-1.68350605453461, 0.174914528987304)); #170220=CARTESIAN_POINT('Ctrl Pts',(-1.53892630190623,-1.65912181673681, 0.175118968696574)); #170221=CARTESIAN_POINT('Ctrl Pts',(-1.67870776371231,-1.74142705724685, 0.187870704643934)); #170222=CARTESIAN_POINT('Ctrl Pts',(-1.63053486714908,-1.7170153540114, 0.188300675381246)); #170223=CARTESIAN_POINT('Ctrl Pts',(-1.58239657850182,-1.69256417907259, 0.188843013177066)); #170224=CARTESIAN_POINT('Ctrl Pts',(-1.53426523620801,-1.66814113908737, 0.189498501374643)); #170225=CARTESIAN_POINT('Ctrl Pts',(-1.67744769459377,-1.74388079366935, 0.195375870810744)); #170226=CARTESIAN_POINT('Ctrl Pts',(-1.62930906107639,-1.71939450677554, 0.195943164307519)); #170227=CARTESIAN_POINT('Ctrl Pts',(-1.58121498724699,-1.69485243121235, 0.196653989205245)); #170228=CARTESIAN_POINT('Ctrl Pts',(-1.53314757508934,-1.6703038517717, 0.197507459547401)); #170229=CARTESIAN_POINT('',(-1.55044127123922,-1.63683995524946,0.16958722)); #170230=CARTESIAN_POINT('',(-1.53314757508934,-1.6703038517717,0.197507459547401)); #170231=CARTESIAN_POINT('Origin',(-1.55044127123922,-1.63683995524946,0.208957299)); #170232=CARTESIAN_POINT('Ctrl Pts',(-1.53314757508934,-1.6703038517717, 0.197507459547401)); #170233=CARTESIAN_POINT('Ctrl Pts',(-1.58121498724699,-1.69485243121235, 0.196653989205245)); #170234=CARTESIAN_POINT('Ctrl Pts',(-1.62930906107639,-1.71939450677554, 0.195943164307519)); #170235=CARTESIAN_POINT('Ctrl Pts',(-1.67744769459377,-1.74388079366935, 0.195375870810744)); #170236=CARTESIAN_POINT('Ctrl Pts',(-1.69432859323402,-1.71100856846472, 0.16958722)); #170237=CARTESIAN_POINT('Ctrl Pts',(-1.64632841972032,-1.68635900310201, 0.16958722)); #170238=CARTESIAN_POINT('Ctrl Pts',(-1.59837786094664,-1.66161294653307, 0.16958722)); #170239=CARTESIAN_POINT('Ctrl Pts',(-1.55044127123922,-1.63683995524946, 0.16958722)); #170240=CARTESIAN_POINT('Ctrl Pts',(-1.20264934915763,-1.66326143987748, 0.202202876089287)); #170241=CARTESIAN_POINT('Ctrl Pts',(-1.24698769384075,-1.64287134471569, 0.201657511248383)); #170242=CARTESIAN_POINT('Ctrl Pts',(-1.3598155342652,-1.6155026722493,0.200052976153994)); #170243=CARTESIAN_POINT('Ctrl Pts',(-1.47503056928972,-1.64055275018298, 0.19833455013592)); #170244=CARTESIAN_POINT('Ctrl Pts',(-1.53314757508934,-1.6703038517717, 0.197507459547401)); #170245=CARTESIAN_POINT('Ctrl Pts',(-1.2019008476458,-1.66162544053998, 0.191871810418853)); #170246=CARTESIAN_POINT('Ctrl Pts',(-1.24638805343017,-1.64102361247253, 0.191456531714335)); #170247=CARTESIAN_POINT('Ctrl Pts',(-1.35972028904304,-1.61314021888396, 0.190239220833061)); #170248=CARTESIAN_POINT('Ctrl Pts',(-1.47581805178919,-1.63800464117286, 0.188961304342226)); #170249=CARTESIAN_POINT('Ctrl Pts',(-1.53442490208211,-1.66783218013247, 0.18835436449282)); #170250=CARTESIAN_POINT('Ctrl Pts',(-1.19742786247634,-1.65184883934638, 0.175244906726118)); #170251=CARTESIAN_POINT('Ctrl Pts',(-1.24317670125256,-1.63062809954934, 0.175127085091459)); #170252=CARTESIAN_POINT('Ctrl Pts',(-1.35973507795683,-1.6018535177937, 0.174785655647907)); #170253=CARTESIAN_POINT('Ctrl Pts',(-1.47916299347031,-1.62740439127374, 0.174436304937499)); #170254=CARTESIAN_POINT('Ctrl Pts',(-1.53945483800514,-1.65809908121694, 0.174270387233572)); #170255=CARTESIAN_POINT('Ctrl Pts',(-1.18978470100916,-1.63514318558454, 0.16958722)); #170256=CARTESIAN_POINT('Ctrl Pts',(-1.23777357494865,-1.61310091091133, 0.169588109396031)); #170257=CARTESIAN_POINT('Ctrl Pts',(-1.35990588629133,-1.58358922221175, 0.169588154204299)); #170258=CARTESIAN_POINT('Ctrl Pts',(-1.48442824211403,-1.61094009693948, 0.169586260166391)); #170259=CARTESIAN_POINT('Ctrl Pts',(-1.54714722081896,-1.6432140560459, 0.16958722)); #170260=CARTESIAN_POINT('Ctrl Pts',(-1.1865125602162,-1.62799126927583, 0.16958722)); #170261=CARTESIAN_POINT('Ctrl Pts',(-1.23545930463894,-1.60559718184596, 0.16958722)); #170262=CARTESIAN_POINT('Ctrl Pts',(-1.3599776498776,-1.57576944629186, 0.16958722)); #170263=CARTESIAN_POINT('Ctrl Pts',(-1.48668339414649,-1.60389073330605, 0.16958722)); #170264=CARTESIAN_POINT('Ctrl Pts',(-1.55044127123922,-1.63683995524946, 0.16958722)); #170265=CARTESIAN_POINT('',(-1.1865125602162,-1.62799126927583,0.16958722)); #170266=CARTESIAN_POINT('Ctrl Pts',(-1.55044127123922,-1.63683995524946, 0.16958722)); #170267=CARTESIAN_POINT('Ctrl Pts',(-1.48668339414649,-1.60389073330605, 0.16958722)); #170268=CARTESIAN_POINT('Ctrl Pts',(-1.3599776498776,-1.57576944629186, 0.16958722)); #170269=CARTESIAN_POINT('Ctrl Pts',(-1.23545930463894,-1.60559718184596, 0.16958722)); #170270=CARTESIAN_POINT('Ctrl Pts',(-1.1865125602162,-1.62799126927583, 0.16958722)); #170271=CARTESIAN_POINT('',(-1.20264934915893,-1.66326143987687,0.202202876089226)); #170272=CARTESIAN_POINT('Origin',(-1.1865125602162,-1.62799126927583,0.208957299)); #170273=CARTESIAN_POINT('Ctrl Pts',(-1.20264934915763,-1.66326143987748, 0.202202876089287)); #170274=CARTESIAN_POINT('Ctrl Pts',(-1.24698769384075,-1.64287134471569, 0.201657511248383)); #170275=CARTESIAN_POINT('Ctrl Pts',(-1.3598155342652,-1.6155026722493,0.200052976153994)); #170276=CARTESIAN_POINT('Ctrl Pts',(-1.47503056928972,-1.64055275018298, 0.19833455013592)); #170277=CARTESIAN_POINT('Ctrl Pts',(-1.53314757508934,-1.6703038517717, 0.197507459547401)); #170278=CARTESIAN_POINT('Ctrl Pts',(-0.92112783690669,-1.72629443544887, 0.208270196380943)); #170279=CARTESIAN_POINT('Ctrl Pts',(-1.01792375873625,-1.72530390295199, 0.205693966817338)); #170280=CARTESIAN_POINT('Ctrl Pts',(-1.11463667522405,-1.70381974586977, 0.203721351975226)); #170281=CARTESIAN_POINT('Ctrl Pts',(-1.20264934915784,-1.66326143987739, 0.202202876089309)); #170282=CARTESIAN_POINT('Ctrl Pts',(-0.92112585107723,-1.7260911487543, 0.19662335376462)); #170283=CARTESIAN_POINT('Ctrl Pts',(-1.01790273569674,-1.72432436689427, 0.194553822166108)); #170284=CARTESIAN_POINT('Ctrl Pts',(-1.11426178049243,-1.70241271655635, 0.193028083525331)); #170285=CARTESIAN_POINT('Ctrl Pts',(-1.20190084764605,-1.66162544053988, 0.19187181041887)); #170286=CARTESIAN_POINT('Ctrl Pts',(-0.921028069910374,-1.71608142204043, 0.176623173124399)); #170287=CARTESIAN_POINT('Ctrl Pts',(-1.01640978834198,-1.71392435545397, 0.176003824260242)); #170288=CARTESIAN_POINT('Ctrl Pts',(-1.11123037654022,-1.69209290063188, 0.175572632520435)); #170289=CARTESIAN_POINT('Ctrl Pts',(-1.19742795996994,-1.65184905243683, 0.175244578165534)); #170290=CARTESIAN_POINT('Ctrl Pts',(-0.920828661749962,-1.69566827649899, 0.16958722)); #170291=CARTESIAN_POINT('Ctrl Pts',(-1.01342547403248,-1.69439871855022, 0.169593567490207)); #170292=CARTESIAN_POINT('Ctrl Pts',(-1.10573952883744,-1.67383614043388, 0.169584743627625)); #170293=CARTESIAN_POINT('Ctrl Pts',(-1.18978470101003,-1.63514318558414, 0.16958722)); #170294=CARTESIAN_POINT('Ctrl Pts',(-0.920743322682063,-1.68693223074349, 0.16958722)); #170295=CARTESIAN_POINT('Ctrl Pts',(-1.01214983800969,-1.68603931568373, 0.16958722)); #170296=CARTESIAN_POINT('Ctrl Pts',(-1.10338854190927,-1.66602212414321, 0.16958722)); #170297=CARTESIAN_POINT('Ctrl Pts',(-1.18651256021724,-1.62799126927536, 0.16958722)); #170298=CARTESIAN_POINT('Ctrl Pts',(-1.18651256021724,-1.62799126927536, 0.16958722)); #170299=CARTESIAN_POINT('Ctrl Pts',(-1.10338854190927,-1.66602212414321, 0.16958722)); #170300=CARTESIAN_POINT('Ctrl Pts',(-1.01214983800969,-1.68603931568373, 0.16958722)); #170301=CARTESIAN_POINT('Ctrl Pts',(-0.920743322682063,-1.68693223074349, 0.16958722)); #170302=CARTESIAN_POINT('Ctrl Pts',(-0.92112783690669,-1.72629443544887, 0.208270196380943)); #170303=CARTESIAN_POINT('Ctrl Pts',(-1.01792375873625,-1.72530390295199, 0.205693966817338)); #170304=CARTESIAN_POINT('Ctrl Pts',(-1.11463667522405,-1.70381974586977, 0.203721351975226)); #170305=CARTESIAN_POINT('Ctrl Pts',(-1.20264934915784,-1.66326143987739, 0.202202876089309)); #170306=CARTESIAN_POINT('Ctrl Pts',(-0.276183441512827,-1.07154396214081, -0.00450528181545293)); #170307=CARTESIAN_POINT('Ctrl Pts',(-0.277813861303998,-1.0414997399035, -0.0288445944732179)); #170308=CARTESIAN_POINT('Ctrl Pts',(-0.281564808367579,-1.01151947948055, -0.053014153558336)); #170309=CARTESIAN_POINT('Ctrl Pts',(-0.28746028992875,-0.981787853166177, -0.0768520939604879)); #170310=CARTESIAN_POINT('Ctrl Pts',(-0.270349552649593,-1.07150124956672, -0.00453988397337157)); #170311=CARTESIAN_POINT('Ctrl Pts',(-0.27175021315783,-1.04140826078673, -0.0291613561593842)); #170312=CARTESIAN_POINT('Ctrl Pts',(-0.275292083345646,-1.01137126732765, -0.053644369584346)); #170313=CARTESIAN_POINT('Ctrl Pts',(-0.281005241322114,-0.981582853806757, -0.0778357294560469)); #170314=CARTESIAN_POINT('Ctrl Pts',(-0.258700126791627,-1.06975197335775, -0.0025549712371037)); #170315=CARTESIAN_POINT('Ctrl Pts',(-0.259558327494676,-1.03942647280949, -0.0275721966672224)); #170316=CARTESIAN_POINT('Ctrl Pts',(-0.262583698008779,-1.00913347106845, -0.052516383492803)); #170317=CARTESIAN_POINT('Ctrl Pts',(-0.267803272791345,-0.979063858986186, -0.0772464206863483)); #170318=CARTESIAN_POINT('Ctrl Pts',(-0.24222396508303,-1.0614896398043, 0.00739734887555532)); #170319=CARTESIAN_POINT('Ctrl Pts',(-0.242326604747284,-1.03041649925779, -0.0176528836769145)); #170320=CARTESIAN_POINT('Ctrl Pts',(-0.244604286270453,-0.999313705121366, -0.0426905207319997)); #170321=CARTESIAN_POINT('Ctrl Pts',(-0.249102319667603,-0.968366844448157, -0.0675807963853116)); #170322=CARTESIAN_POINT('Ctrl Pts',(-0.236949200899286,-1.05234974956192, 0.0186005880295955)); #170323=CARTESIAN_POINT('Ctrl Pts',(-0.237048716236237,-1.02067932081144, -0.00600877625568795)); #170324=CARTESIAN_POINT('Ctrl Pts',(-0.239388745496491,-0.988974707198165, -0.0305852893918691)); #170325=CARTESIAN_POINT('Ctrl Pts',(-0.243952041074569,-0.957411633549317, -0.0549973550325298)); #170326=CARTESIAN_POINT('Ctrl Pts',(-0.236819438577811,-1.04684071864902, 0.0253989424084082)); #170327=CARTESIAN_POINT('Ctrl Pts',(-0.237179944902667,-1.0149517887046, 0.00106580049330474)); #170328=CARTESIAN_POINT('Ctrl Pts',(-0.239788343153435,-0.983053741883012, -0.0232309143120722)); #170329=CARTESIAN_POINT('Ctrl Pts',(-0.244670286268615,-0.951340549073452, -0.0473390397336496)); #170330=CARTESIAN_POINT('',(-0.287460289924678,-0.981787853186713,-0.0768520939440221)); #170331=CARTESIAN_POINT('',(-0.244670286200195,-0.951340549651626,-0.0473390404619153)); #170332=CARTESIAN_POINT('Origin',(-0.283651280447081,-0.956818970679275, -0.0466519370968211)); #170333=CARTESIAN_POINT('Ctrl Pts',(-0.244670286268615,-0.951340549073452, -0.0473390397336496)); #170334=CARTESIAN_POINT('Ctrl Pts',(-0.239788343153435,-0.983053741883012, -0.0232309143120722)); #170335=CARTESIAN_POINT('Ctrl Pts',(-0.237179944902667,-1.0149517887046, 0.00106580049330474)); #170336=CARTESIAN_POINT('Ctrl Pts',(-0.236819438577811,-1.04684071864902, 0.0253989424084082)); #170337=CARTESIAN_POINT('Ctrl Pts',(-0.276183441512827,-1.07154396214081, -0.00450528181545293)); #170338=CARTESIAN_POINT('Ctrl Pts',(-0.277813861303998,-1.0414997399035, -0.0288445944732179)); #170339=CARTESIAN_POINT('Ctrl Pts',(-0.281564808367579,-1.01151947948055, -0.053014153558336)); #170340=CARTESIAN_POINT('Ctrl Pts',(-0.28746028992875,-0.981787853166177, -0.0768520939604879)); #170341=CARTESIAN_POINT('Ctrl Pts',(-0.24615378569164,-0.941756354393726, -0.0550846433960453)); #170342=CARTESIAN_POINT('Ctrl Pts',(-0.245659464536537,-0.944949576287503, -0.0525008739986634)); #170343=CARTESIAN_POINT('Ctrl Pts',(-0.245164951473702,-0.948144419644532, -0.0499191454971102)); #170344=CARTESIAN_POINT('Ctrl Pts',(-0.244670286248143,-0.951340549217027, -0.047339039716997)); #170345=CARTESIAN_POINT('Ctrl Pts',(-0.245672140868875,-0.945825765439757, -0.0602060832913828)); #170346=CARTESIAN_POINT('Ctrl Pts',(-0.245178474946872,-0.949014267734945, -0.0576207043484146)); #170347=CARTESIAN_POINT('Ctrl Pts',(-0.244684828184985,-0.952201697243387, -0.0550337555976404)); #170348=CARTESIAN_POINT('Ctrl Pts',(-0.244191456131717,-0.955387938866913, -0.0524445832417981)); #170349=CARTESIAN_POINT('Ctrl Pts',(-0.248032151325586,-0.954412164649258, -0.0703663069073433)); #170350=CARTESIAN_POINT('Ctrl Pts',(-0.247535374383093,-0.957590654635416, -0.0677778304124695)); #170351=CARTESIAN_POINT('Ctrl Pts',(-0.247034648945299,-0.960762204855691, -0.0651808004091645)); #170352=CARTESIAN_POINT('Ctrl Pts',(-0.246530027427202,-0.963926857675853, -0.0625738892673342)); #170353=CARTESIAN_POINT('Ctrl Pts',(-0.262724605182574,-0.967442703651751, -0.0836595256068937)); #170354=CARTESIAN_POINT('Ctrl Pts',(-0.262209764185715,-0.970610379826249, -0.0810726441854615)); #170355=CARTESIAN_POINT('Ctrl Pts',(-0.261670515188842,-0.973765434172304, -0.078473542630108)); #170356=CARTESIAN_POINT('Ctrl Pts',(-0.261107281137932,-0.976909017582695, -0.0758608657015969)); #170357=CARTESIAN_POINT('Ctrl Pts',(-0.279496226239833,-0.971982146428122, -0.0860610096446638)); #170358=CARTESIAN_POINT('Ctrl Pts',(-0.278965179907239,-0.975154228003881, -0.0834850174788593)); #170359=CARTESIAN_POINT('Ctrl Pts',(-0.278392373632546,-0.978320620950888, -0.0809084625560295)); #170360=CARTESIAN_POINT('Ctrl Pts',(-0.277777717022829,-0.981480354166728, -0.0783275471702181)); #170361=CARTESIAN_POINT('Ctrl Pts',(-0.289211073283223,-0.972245616960901, -0.0845280034319153)); #170362=CARTESIAN_POINT('Ctrl Pts',(-0.288674862030534,-0.975425275608513, -0.0819611599121257)); #170363=CARTESIAN_POINT('Ctrl Pts',(-0.288091237585036,-0.97860590770009, -0.0794032839187046)); #170364=CARTESIAN_POINT('Ctrl Pts',(-0.287460289924678,-0.981787853186714, -0.0768520939440219)); #170365=CARTESIAN_POINT('Ctrl Pts',(-0.287460289924678,-0.981787853186714, -0.0768520939440219)); #170366=CARTESIAN_POINT('Ctrl Pts',(-0.288091237585036,-0.97860590770009, -0.0794032839187046)); #170367=CARTESIAN_POINT('Ctrl Pts',(-0.288674862030534,-0.975425275608513, -0.0819611599121257)); #170368=CARTESIAN_POINT('Ctrl Pts',(-0.289211073283223,-0.972245616960901, -0.0845280034319153)); #170369=CARTESIAN_POINT('Ctrl Pts',(-0.24615378569164,-0.941756354393726, -0.0550846433960453)); #170370=CARTESIAN_POINT('Ctrl Pts',(-0.245659464541439,-0.944949576255838, -0.0525008740242852)); #170371=CARTESIAN_POINT('Ctrl Pts',(-0.24516495148351,-0.948144419581169, -0.0499191455483134)); #170372=CARTESIAN_POINT('Ctrl Pts',(-0.244670286262859,-0.951340549121944, -0.0473390397937535)); #170373=CARTESIAN_POINT('Ctrl Pts',(-0.291450368440075,-0.443084012351247, -0.49641278)); #170374=CARTESIAN_POINT('Ctrl Pts',(-0.272985411333983,-0.43175542746267, -0.49641278)); #170375=CARTESIAN_POINT('Ctrl Pts',(-0.251353364140994,-0.425649031118052, -0.49641278)); #170376=CARTESIAN_POINT('Ctrl Pts',(-0.229686552997975,-0.425649031118052, -0.49641278)); #170377=CARTESIAN_POINT('Ctrl Pts',(-0.285389864959651,-0.452962292640269, -0.49641278)); #170378=CARTESIAN_POINT('Ctrl Pts',(-0.268738693334591,-0.442759643485213, -0.49641321253457)); #170379=CARTESIAN_POINT('Ctrl Pts',(-0.2492081599349,-0.437269467853279, -0.496411677073089)); #170380=CARTESIAN_POINT('Ctrl Pts',(-0.229686552997975,-0.43729764787491, -0.49641278)); #170381=CARTESIAN_POINT('Ctrl Pts',(-0.274889718162066,-0.470076942186709, -0.486862076634259)); #170382=CARTESIAN_POINT('Ctrl Pts',(-0.261358452141193,-0.461809464644674, -0.48684279118167)); #170383=CARTESIAN_POINT('Ctrl Pts',(-0.245520327910959,-0.457402079813789, -0.486806887462501)); #170384=CARTESIAN_POINT('Ctrl Pts',(-0.229686552997975,-0.45747530718943, -0.486758102402172)); #170385=CARTESIAN_POINT('Ctrl Pts',(-0.270984008034774,-0.476443030332635, -0.466730661375662)); #170386=CARTESIAN_POINT('Ctrl Pts',(-0.258636468493901,-0.468884128611214, -0.466681473515454)); #170387=CARTESIAN_POINT('Ctrl Pts',(-0.24415636195687,-0.464826016440033, -0.466588870530518)); #170388=CARTESIAN_POINT('Ctrl Pts',(-0.229686552997975,-0.464860641568772, -0.466464935582254)); #170389=CARTESIAN_POINT('Ctrl Pts',(-0.270868689391481,-0.476630993244409, -0.458041540807348)); #170390=CARTESIAN_POINT('Ctrl Pts',(-0.258557560721164,-0.469079609392172, -0.457983904727908)); #170391=CARTESIAN_POINT('Ctrl Pts',(-0.24413400363364,-0.465010584296597, -0.45787472245838)); #170392=CARTESIAN_POINT('Ctrl Pts',(-0.229686552997975,-0.465013113864327, -0.457729803620176)); #170393=CARTESIAN_POINT('',(-0.229686552997975,-0.425649031118052,-0.49641278)); #170394=CARTESIAN_POINT('',(-0.229686552997975,-0.465013113864327,-0.457729803620176)); #170395=CARTESIAN_POINT('Origin',(-0.229686552997975,-0.425649031118052, -0.457042701)); #170396=CARTESIAN_POINT('Origin',(-0.229645162441013,-0.543777258619267, -0.457042701)); #170397=CARTESIAN_POINT('Origin',(-0.229645162441013,-0.543777258619267, -0.49641278)); #170398=CARTESIAN_POINT('Origin',(-0.175514845443259,-0.425649031118052, -0.457042701)); #170399=CARTESIAN_POINT('',(-0.175514845443259,-0.425649031118052,-0.49641278)); #170400=CARTESIAN_POINT('',(-0.175514845443259,-0.465013113864327,-0.457729803620176)); #170401=CARTESIAN_POINT('Ctrl Pts',(0.34509792412411,-0.551173854675258, -0.426065562012464)); #170402=CARTESIAN_POINT('Ctrl Pts',(0.353172526403593,-0.563621436284162, -0.415981573874901)); #170403=CARTESIAN_POINT('Ctrl Pts',(0.356186377631717,-0.574782539228972, -0.404604789038539)); #170404=CARTESIAN_POINT('Ctrl Pts',(0.354185499375349,-0.586659996872844, -0.395062775012283)); #170405=CARTESIAN_POINT('Ctrl Pts',(0.339674535073771,-0.551630237363054, -0.425695838980752)); #170406=CARTESIAN_POINT('Ctrl Pts',(0.3468656402878,-0.564353818499374, -0.41651602158703)); #170407=CARTESIAN_POINT('Ctrl Pts',(0.349521127831877,-0.575658493111876, -0.406048297091281)); #170408=CARTESIAN_POINT('Ctrl Pts',(0.34736572721326,-0.58708862940851, -0.396900114241754)); #170409=CARTESIAN_POINT('Ctrl Pts',(0.328841182629826,-0.551089989644261, -0.423165116847672)); #170410=CARTESIAN_POINT('Ctrl Pts',(0.333895006773073,-0.563873156469173, -0.415254503850533)); #170411=CARTESIAN_POINT('Ctrl Pts',(0.335339940850571,-0.575093787947476, -0.406234592027966)); #170412=CARTESIAN_POINT('Ctrl Pts',(0.332834488353491,-0.585467966916896, -0.397678128106483)); #170413=CARTESIAN_POINT('Ctrl Pts',(0.313072309471801,-0.545252840564595, -0.413246807375639)); #170414=CARTESIAN_POINT('Ctrl Pts',(0.314680045368984,-0.556477219907353, -0.405555799570771)); #170415=CARTESIAN_POINT('Ctrl Pts',(0.313911166929167,-0.566268929933629, -0.397111882609045)); #170416=CARTESIAN_POINT('Ctrl Pts',(0.311252625056766,-0.574802236325776, -0.388609863319176)); #170417=CARTESIAN_POINT('Ctrl Pts',(0.307160318879629,-0.537566403743149, -0.402741600910582)); #170418=CARTESIAN_POINT('Ctrl Pts',(0.307846783415956,-0.546688120403486, -0.393831533475923)); #170419=CARTESIAN_POINT('Ctrl Pts',(0.30700994914907,-0.554998306320994, -0.384242515924647)); #170420=CARTESIAN_POINT('Ctrl Pts',(0.305042900295488,-0.562865039331383, -0.375022186755355)); #170421=CARTESIAN_POINT('Ctrl Pts',(0.306219403688507,-0.532529365769479, -0.396362046266195)); #170422=CARTESIAN_POINT('Ctrl Pts',(0.307257349495149,-0.540503322666536, -0.386685792160411)); #170423=CARTESIAN_POINT('Ctrl Pts',(0.307111466088335,-0.548318469447311, -0.376393898099011)); #170424=CARTESIAN_POINT('Ctrl Pts',(0.305821271989322,-0.556286541824426, -0.3667292750989)); #170425=CARTESIAN_POINT('',(0.354185499375349,-0.586659996872844,-0.395062775012283)); #170426=CARTESIAN_POINT('',(0.305821272015027,-0.556286541619946,-0.366729274926883)); #170427=CARTESIAN_POINT('Origin',(0.344802266149847,-0.561764963560683, -0.366042172484278)); #170428=CARTESIAN_POINT('Ctrl Pts',(0.305821271989322,-0.556286541824426, -0.3667292750989)); #170429=CARTESIAN_POINT('Ctrl Pts',(0.307111466088335,-0.548318469447311, -0.376393898099011)); #170430=CARTESIAN_POINT('Ctrl Pts',(0.307257349495149,-0.540503322666536, -0.386685792160411)); #170431=CARTESIAN_POINT('Ctrl Pts',(0.306219403688507,-0.532529365769479, -0.396362046266195)); #170432=CARTESIAN_POINT('Ctrl Pts',(0.34509792412411,-0.551173854675258, -0.426065562012464)); #170433=CARTESIAN_POINT('Ctrl Pts',(0.353172526403593,-0.563621436284162, -0.415981573874901)); #170434=CARTESIAN_POINT('Ctrl Pts',(0.356186377631717,-0.574782539228972, -0.404604789038539)); #170435=CARTESIAN_POINT('Ctrl Pts',(0.354185499375349,-0.586659996872844, -0.395062775012283)); #170436=CARTESIAN_POINT('Ctrl Pts',(0.246153785691682,-0.941756354393453, -0.055084643396266)); #170437=CARTESIAN_POINT('Ctrl Pts',(0.266038082098825,-0.813307531246311, -0.159017958842989)); #170438=CARTESIAN_POINT('Ctrl Pts',(0.285927073688505,-0.68481903889663, -0.262901352012642)); #170439=CARTESIAN_POINT('Ctrl Pts',(0.30582127195091,-0.556286542098435, -0.366729275104454)); #170440=CARTESIAN_POINT('Ctrl Pts',(0.245672140868918,-0.945825765439485, -0.0602060832916035)); #170441=CARTESIAN_POINT('Ctrl Pts',(0.265543362221259,-0.817480950793695, -0.164273150420864)); #170442=CARTESIAN_POINT('Ctrl Pts',(0.285421783775101,-0.689097331850499, -0.268291181458003)); #170443=CARTESIAN_POINT('Ctrl Pts',(0.30530235751959,-0.56067220710672, -0.37225788285389)); #170444=CARTESIAN_POINT('Ctrl Pts',(0.248032151129565,-0.954412164789088, -0.070366307118222)); #170445=CARTESIAN_POINT('Ctrl Pts',(0.268054663789598,-0.826298689236655, -0.174695743136236)); #170446=CARTESIAN_POINT('Ctrl Pts',(0.288090672303077,-0.698146023887908, -0.278975038781414)); #170447=CARTESIAN_POINT('Ctrl Pts',(0.308143600638279,-0.569961692300961, -0.383213283272185)); #170448=CARTESIAN_POINT('Ctrl Pts',(0.262724605016785,-0.967442703938557, -0.0836595259936318)); #170449=CARTESIAN_POINT('Ctrl Pts',(0.283507248982133,-0.839537283221127, -0.18810783032695)); #170450=CARTESIAN_POINT('Ctrl Pts',(0.304338388738071,-0.711584683293936, -0.292489929809035)); #170451=CARTESIAN_POINT('Ctrl Pts',(0.325227122259632,-0.583578385463885, -0.396796571488046)); #170452=CARTESIAN_POINT('Ctrl Pts',(0.279496226239878,-0.971982146427851, -0.0860610096448836)); #170453=CARTESIAN_POINT('Ctrl Pts',(0.300936526813115,-0.843853815854347, -0.190109463434156)); #170454=CARTESIAN_POINT('Ctrl Pts',(0.322415101169169,-0.715619402251709, -0.294020184378018)); #170455=CARTESIAN_POINT('Ctrl Pts',(0.343955841149369,-0.587302945721422, -0.397818783812272)); #170456=CARTESIAN_POINT('Ctrl Pts',(0.289211073283268,-0.97224561696063, -0.0845280034321344)); #170457=CARTESIAN_POINT('Ctrl Pts',(0.310855045773135,-0.843836776992484, -0.188190066192854)); #170458=CARTESIAN_POINT('Ctrl Pts',(0.332512687252778,-0.715312471534878, -0.291707009441592)); #170459=CARTESIAN_POINT('Ctrl Pts',(0.354185499375349,-0.586659996872844, -0.395062775012283)); #170460=CARTESIAN_POINT('Ctrl Pts',(0.354185499375349,-0.586659996872844, -0.395062775012283)); #170461=CARTESIAN_POINT('Ctrl Pts',(0.332512687252778,-0.715312471534878, -0.291707009441592)); #170462=CARTESIAN_POINT('Ctrl Pts',(0.310855045773135,-0.843836776992484, -0.188190066192854)); #170463=CARTESIAN_POINT('Ctrl Pts',(0.289211073283268,-0.97224561696063, -0.0845280034321344)); #170464=CARTESIAN_POINT('Ctrl Pts',(0.246153785691682,-0.941756354393453, -0.055084643396266)); #170465=CARTESIAN_POINT('Ctrl Pts',(0.266038082098825,-0.813307531246311, -0.159017958842989)); #170466=CARTESIAN_POINT('Ctrl Pts',(0.285927073688505,-0.68481903889663, -0.262901352012642)); #170467=CARTESIAN_POINT('Ctrl Pts',(0.30582127195091,-0.556286542098435, -0.366729275104454)); #170468=CARTESIAN_POINT('Ctrl Pts',(1.20264934916158,-1.66326143987566, 0.202202876089245)); #170469=CARTESIAN_POINT('Ctrl Pts',(1.11463817940129,-1.70381905271024, 0.203721326023763)); #170470=CARTESIAN_POINT('Ctrl Pts',(1.01792210445623,-1.72530391988021, 0.205694010846116)); #170471=CARTESIAN_POINT('Ctrl Pts',(0.921127836909277,-1.72629443544885, 0.208270196380875)); #170472=CARTESIAN_POINT('Ctrl Pts',(1.20190084764978,-1.66162544053814, 0.191871810418821)); #170473=CARTESIAN_POINT('Ctrl Pts',(1.11426327828456,-1.70241201948361, 0.193028063764083)); #170474=CARTESIAN_POINT('Ctrl Pts',(1.01790108174207,-1.72432439708891, 0.194553857535219)); #170475=CARTESIAN_POINT('Ctrl Pts',(0.921125851079815,-1.72609114875425, 0.196623353764564)); #170476=CARTESIAN_POINT('Ctrl Pts',(1.19742795997361,-1.65184905243512, 0.17524457816552)); #170477=CARTESIAN_POINT('Ctrl Pts',(1.11123184969673,-1.69209221284656, 0.175572626913833)); #170478=CARTESIAN_POINT('Ctrl Pts',(1.01640815823123,-1.71392439231873, 0.176003834845159)); #170479=CARTESIAN_POINT('Ctrl Pts',(0.921028069912923,-1.71608142204038, 0.176623173124383)); #170480=CARTESIAN_POINT('Ctrl Pts',(1.18978470101361,-1.6351431855825,0.16958722)); #170481=CARTESIAN_POINT('Ctrl Pts',(1.10574096520825,-1.67383547915403, 0.169584743669947)); #170482=CARTESIAN_POINT('Ctrl Pts',(1.01342389151687,-1.69439874024713, 0.169593567381725)); #170483=CARTESIAN_POINT('Ctrl Pts',(0.920828661752436,-1.69566827649895, 0.16958722)); #170484=CARTESIAN_POINT('Ctrl Pts',(1.18651256022077,-1.62799126927374, 0.16958722)); #170485=CARTESIAN_POINT('Ctrl Pts',(1.10338996253712,-1.66602147417895, 0.16958722)); #170486=CARTESIAN_POINT('Ctrl Pts',(1.01214827583672,-1.68603933094366, 0.16958722)); #170487=CARTESIAN_POINT('Ctrl Pts',(0.920743322684506,-1.68693223074347, 0.16958722)); #170488=CARTESIAN_POINT('',(1.18651256022077,-1.62799126927374,0.16958722)); #170489=CARTESIAN_POINT('Ctrl Pts',(0.920743322684506,-1.68693223074347, 0.16958722)); #170490=CARTESIAN_POINT('Ctrl Pts',(1.01214827583672,-1.68603933094366, 0.16958722)); #170491=CARTESIAN_POINT('Ctrl Pts',(1.10338996253712,-1.66602147417895, 0.16958722)); #170492=CARTESIAN_POINT('Ctrl Pts',(1.18651256022077,-1.62799126927374, 0.16958722)); #170493=CARTESIAN_POINT('',(1.20264934916191,-1.66326143987551,0.20220287608923)); #170494=CARTESIAN_POINT('Origin',(1.18651256022077,-1.62799126927374,0.208957299)); #170495=CARTESIAN_POINT('Ctrl Pts',(1.20264934916158,-1.66326143987566, 0.202202876089245)); #170496=CARTESIAN_POINT('Ctrl Pts',(1.11463817940129,-1.70381905271024, 0.203721326023763)); #170497=CARTESIAN_POINT('Ctrl Pts',(1.01792210445623,-1.72530391988021, 0.205694010846116)); #170498=CARTESIAN_POINT('Ctrl Pts',(0.921127836909277,-1.72629443544885, 0.208270196380875)); #170499=CARTESIAN_POINT('Ctrl Pts',(1.53314757508934,-1.6703038517717,0.197507459547401)); #170500=CARTESIAN_POINT('Ctrl Pts',(1.48955911996247,-1.6479901668402,0.198127787461882)); #170501=CARTESIAN_POINT('Ctrl Pts',(1.37772195163284,-1.61586802752057, 0.199770309953115)); #170502=CARTESIAN_POINT('Ctrl Pts',(1.26176809253266,-1.63607420923463, 0.201475711277296)); #170503=CARTESIAN_POINT('Ctrl Pts',(1.20264934916191,-1.66326143987551, 0.202202876089234)); #170504=CARTESIAN_POINT('Ctrl Pts',(1.53442490208211,-1.66783218013247, 0.18835436449282)); #170505=CARTESIAN_POINT('Ctrl Pts',(1.49046905767869,-1.64546116625111, 0.18880957669838)); #170506=CARTESIAN_POINT('Ctrl Pts',(1.37775133112192,-1.61344014073013, 0.190025526107288)); #170507=CARTESIAN_POINT('Ctrl Pts',(1.26121807568024,-1.63415589481741, 0.191318096577319)); #170508=CARTESIAN_POINT('Ctrl Pts',(1.2019008476501,-1.66162544053799,0.191871810418813)); #170509=CARTESIAN_POINT('Ctrl Pts',(1.53945483800514,-1.65809908121694, 0.174270387233572)); #170510=CARTESIAN_POINT('Ctrl Pts',(1.49423522760257,-1.63507769364175, 0.17439482751216)); #170511=CARTESIAN_POINT('Ctrl Pts',(1.37827405426924,-1.60214522591746, 0.174727458575016)); #170512=CARTESIAN_POINT('Ctrl Pts',(1.25842729487839,-1.623554064717,0.175087808687541)); #170513=CARTESIAN_POINT('Ctrl Pts',(1.19742786248075,-1.65184883934433, 0.175244906726107)); #170514=CARTESIAN_POINT('Ctrl Pts',(1.54714722081896,-1.6432140560459,0.16958722)); #170515=CARTESIAN_POINT('Ctrl Pts',(1.50010723052233,-1.61900819755541, 0.169586500113219)); #170516=CARTESIAN_POINT('Ctrl Pts',(1.37928280840215,-1.58402170962104, 0.169586788100933)); #170517=CARTESIAN_POINT('Ctrl Pts',(1.25377089498101,-1.60575301350939, 0.16958840588044)); #170518=CARTESIAN_POINT('Ctrl Pts',(1.18978470101379,-1.63514318558241, 0.16958722)); #170519=CARTESIAN_POINT('Ctrl Pts',(1.55044127123921,-1.63683995524945, 0.16958722)); #170520=CARTESIAN_POINT('Ctrl Pts',(1.50262209462466,-1.61212764148888, 0.16958722)); #170521=CARTESIAN_POINT('Ctrl Pts',(1.37971454192056,-1.57626251827126, 0.16958722)); #170522=CARTESIAN_POINT('Ctrl Pts',(1.25177593536589,-1.59813200598347, 0.16958722)); #170523=CARTESIAN_POINT('Ctrl Pts',(1.18651256022092,-1.62799126927367, 0.16958722)); #170524=CARTESIAN_POINT('Ctrl Pts',(1.18651256022092,-1.62799126927367, 0.16958722)); #170525=CARTESIAN_POINT('Ctrl Pts',(1.25177593536589,-1.59813200598347, 0.16958722)); #170526=CARTESIAN_POINT('Ctrl Pts',(1.37971454192056,-1.57626251827126, 0.16958722)); #170527=CARTESIAN_POINT('Ctrl Pts',(1.50262209462466,-1.61212764148888, 0.16958722)); #170528=CARTESIAN_POINT('Ctrl Pts',(1.55044127123921,-1.63683995524945, 0.16958722)); #170529=CARTESIAN_POINT('Ctrl Pts',(1.53314757508934,-1.6703038517717,0.197507459547401)); #170530=CARTESIAN_POINT('Ctrl Pts',(1.48955911996247,-1.6479901668402,0.198127787461882)); #170531=CARTESIAN_POINT('Ctrl Pts',(1.37772195163284,-1.61586802752057, 0.199770309953115)); #170532=CARTESIAN_POINT('Ctrl Pts',(1.26176809253266,-1.63607420923463, 0.201475711277296)); #170533=CARTESIAN_POINT('Ctrl Pts',(1.20264934916191,-1.66326143987551, 0.202202876089234)); #170534=CARTESIAN_POINT('Ctrl Pts',(1.17055559493035,-2.57965257527984, 0.16958722)); #170535=CARTESIAN_POINT('Ctrl Pts',(0.987727582046449,-2.69507187664619, 0.16958722)); #170536=CARTESIAN_POINT('Ctrl Pts',(0.78773639100154,-2.78325692679431, 0.16958722)); #170537=CARTESIAN_POINT('Ctrl Pts',(0.579206847285186,-2.84038071186166, 0.16958722)); #170538=CARTESIAN_POINT('Ctrl Pts',(1.16628713259663,-2.57289118894926, 0.16958722)); #170539=CARTESIAN_POINT('Ctrl Pts',(0.984105098152328,-2.68785679541503, 0.169586640627701)); #170540=CARTESIAN_POINT('Ctrl Pts',(0.784834725948573,-2.77568623130492, 0.169587568650066)); #170541=CARTESIAN_POINT('Ctrl Pts',(0.577069227034078,-2.83257736033171, 0.16958722)); #170542=CARTESIAN_POINT('Ctrl Pts',(1.1577633034184,-2.55938915997863,0.174619308917967)); #170543=CARTESIAN_POINT('Ctrl Pts',(0.976869783917002,-2.67345098582188, 0.174669795837633)); #170544=CARTESIAN_POINT('Ctrl Pts',(0.779043048973632,-2.76056791939407, 0.1747136598416)); #170545=CARTESIAN_POINT('Ctrl Pts',(0.572800876435656,-2.81699580876504, 0.174743358227349)); #170546=CARTESIAN_POINT('Ctrl Pts',(1.15230149879263,-2.55073747975991, 0.187870704643873)); #170547=CARTESIAN_POINT('Ctrl Pts',(0.97226545273538,-2.66426230659341, 0.188035797107864)); #170548=CARTESIAN_POINT('Ctrl Pts',(0.775367112728517,-2.75097688298105, 0.188180988135702)); #170549=CARTESIAN_POINT('Ctrl Pts',(0.570101186657497,-2.80714063062949, 0.188277628303485)); #170550=CARTESIAN_POINT('Ctrl Pts',(1.15082901470987,-2.54840501598941, 0.195375870810663)); #170551=CARTESIAN_POINT('Ctrl Pts',(0.971037449616758,-2.6618127353905, 0.195593690008719)); #170552=CARTESIAN_POINT('Ctrl Pts',(0.774401380202871,-2.74844188406214, 0.195784264656961)); #170553=CARTESIAN_POINT('Ctrl Pts',(0.569392849782328,-2.80455485720425, 0.195911352827113)); #170554=CARTESIAN_POINT('',(0.579206847285186,-2.84038071186166,0.16958722)); #170555=CARTESIAN_POINT('',(0.569392849782328,-2.80455485720425,0.195911352827113)); #170556=CARTESIAN_POINT('Origin',(0.579206847285186,-2.84038071186166,0.208957299)); #170557=CARTESIAN_POINT('Ctrl Pts',(0.569392849782328,-2.80455485720425, 0.195911352827113)); #170558=CARTESIAN_POINT('Ctrl Pts',(0.774401380202871,-2.74844188406214, 0.195784264656961)); #170559=CARTESIAN_POINT('Ctrl Pts',(0.971037449616758,-2.6618127353905, 0.195593690008719)); #170560=CARTESIAN_POINT('Ctrl Pts',(1.15082901470987,-2.54840501598941, 0.195375870810663)); #170561=CARTESIAN_POINT('Ctrl Pts',(1.17055559493035,-2.57965257527984, 0.16958722)); #170562=CARTESIAN_POINT('Ctrl Pts',(0.987727582046449,-2.69507187664619, 0.16958722)); #170563=CARTESIAN_POINT('Ctrl Pts',(0.78773639100154,-2.78325692679431, 0.16958722)); #170564=CARTESIAN_POINT('Ctrl Pts',(0.579206847285186,-2.84038071186166, 0.16958722)); #170565=CARTESIAN_POINT('Origin',(0.549764855025889,-2.73290314879939,0.208957299)); #170566=CARTESIAN_POINT('',(0.451990495470145,-2.67943884216904,0.16958722)); #170567=CARTESIAN_POINT('',(0.484581948931334,-2.69726027786338,0.195911352827113)); #170568=CARTESIAN_POINT('Origin',(0.451990495470145,-2.67943884216904,0.208957299)); #170569=CARTESIAN_POINT('Origin',(0.549764855025889,-2.73290314879939,0.195911352827113)); #170570=CARTESIAN_POINT('Origin',(0.549764855025889,-2.73290314879939,0.16958722)); #170571=CARTESIAN_POINT('Ctrl Pts',(0.451990495470784,-2.67943884216787, 0.16958722)); #170572=CARTESIAN_POINT('Ctrl Pts',(0.488355061444813,-2.61293611589204, 0.16958722)); #170573=CARTESIAN_POINT('Ctrl Pts',(0.538071537526229,-2.43839938033137, 0.16958722)); #170574=CARTESIAN_POINT('Ctrl Pts',(0.494249594087463,-2.25944597432956, 0.16958722)); #170575=CARTESIAN_POINT('Ctrl Pts',(0.44386359887591,-2.17184132481544, 0.16958722)); #170576=CARTESIAN_POINT('Ctrl Pts',(0.460103473276481,-2.68387512520741, 0.16958722)); #170577=CARTESIAN_POINT('Ctrl Pts',(0.49726295317467,-2.61623836625571, 0.169584058095108)); #170578=CARTESIAN_POINT('Ctrl Pts',(0.548411255595471,-2.4386523561246, 0.169591282854712)); #170579=CARTESIAN_POINT('Ctrl Pts',(0.504792715703143,-2.25590053847211, 0.169616247948755)); #170580=CARTESIAN_POINT('Ctrl Pts',(0.453961194712288,-2.16603367170249, 0.16958722)); #170581=CARTESIAN_POINT('Ctrl Pts',(0.474241914996815,-2.69160621121698, 0.175536813634634)); #170582=CARTESIAN_POINT('Ctrl Pts',(0.512776120950438,-2.62199528814678, 0.17574126801107)); #170583=CARTESIAN_POINT('Ctrl Pts',(0.566412590996566,-2.43908181426078, 0.176421647082054)); #170584=CARTESIAN_POINT('Ctrl Pts',(0.523121695103122,-2.24968809014498, 0.177963239631215)); #170585=CARTESIAN_POINT('Ctrl Pts',(0.4714467654583,-2.15597680955229,0.179247214285555)); #170586=CARTESIAN_POINT('Ctrl Pts',(0.482565668909935,-2.6961577494061, 0.18936816037836)); #170587=CARTESIAN_POINT('Ctrl Pts',(0.521731787615253,-2.62528281687901, 0.189967843368451)); #170588=CARTESIAN_POINT('Ctrl Pts',(0.576111580576338,-2.43904571356147, 0.191961457286468)); #170589=CARTESIAN_POINT('Ctrl Pts',(0.531101837172133,-2.24669958618525, 0.196273219667082)); #170590=CARTESIAN_POINT('Ctrl Pts',(0.477854157497806,-2.15229158477927, 0.199535065188121)); #170591=CARTESIAN_POINT('Ctrl Pts',(0.484581948933546,-2.6972602778594, 0.195911352827202)); #170592=CARTESIAN_POINT('Ctrl Pts',(0.523827088929504,-2.6260412380312, 0.196664890775081)); #170593=CARTESIAN_POINT('Ctrl Pts',(0.578103259511534,-2.43891863900482, 0.199167325490467)); #170594=CARTESIAN_POINT('Ctrl Pts',(0.531977599756629,-2.24622213807572, 0.20445607651153)); #170595=CARTESIAN_POINT('Ctrl Pts',(0.477986327834066,-2.15221556673723, 0.208270197282675)); #170596=CARTESIAN_POINT('Ctrl Pts',(0.477986327834066,-2.15221556673723, 0.208270197282675)); #170597=CARTESIAN_POINT('Ctrl Pts',(0.531977599756629,-2.24622213807572, 0.20445607651153)); #170598=CARTESIAN_POINT('Ctrl Pts',(0.578103259511534,-2.43891863900482, 0.199167325490467)); #170599=CARTESIAN_POINT('Ctrl Pts',(0.523827088929504,-2.6260412380312, 0.196664890775081)); #170600=CARTESIAN_POINT('Ctrl Pts',(0.484581948933546,-2.6972602778594, 0.195911352827202)); #170601=CARTESIAN_POINT('Ctrl Pts',(0.451990495470784,-2.67943884216787, 0.16958722)); #170602=CARTESIAN_POINT('Ctrl Pts',(0.488355061444813,-2.61293611589204, 0.16958722)); #170603=CARTESIAN_POINT('Ctrl Pts',(0.538071537526229,-2.43839938033137, 0.16958722)); #170604=CARTESIAN_POINT('Ctrl Pts',(0.494249594087463,-2.25944597432956, 0.16958722)); #170605=CARTESIAN_POINT('Ctrl Pts',(0.44386359887591,-2.17184132481544, 0.16958722)); #170606=CARTESIAN_POINT('Origin',(-0.351029690886518,-0.4643379,-0.49641278)); #170607=CARTESIAN_POINT('',(0.214958533007677,-0.477815986316223,0.275746267912488)); #170608=CARTESIAN_POINT('',(0.230370500809692,-0.464418567102243,-0.491791364807629)); #170609=CARTESIAN_POINT('',(-0.214958533007677,-0.477815986316223,0.275746267912488)); #170610=CARTESIAN_POINT('Ctrl Pts',(-0.214958533007722,-0.477815986316223, 0.275746267912489)); #170611=CARTESIAN_POINT('Ctrl Pts',(-0.0735350335262381,-0.478310818320537, 0.304095174453299)); #170612=CARTESIAN_POINT('Ctrl Pts',(0.0735350335262382,-0.478310818320537, 0.304095174453299)); #170613=CARTESIAN_POINT('Ctrl Pts',(0.214958533007722,-0.477815986316224, 0.275746267912489)); #170614=CARTESIAN_POINT('',(-0.23040653603414,-0.464387242159536,-0.493585969573398)); #170615=CARTESIAN_POINT('Origin',(0.230370500809692,-0.543146731594946, -0.493165570030529)); #170616=CARTESIAN_POINT('',(0.292920520415568,-0.567500993593022,0.275746267912362)); #170617=CARTESIAN_POINT('',(0.308332488217449,-0.554103574380289,-0.491791364807629)); #170618=CARTESIAN_POINT('Origin',(0.214958533007633,-0.556544150808926, 0.274372062689587)); #170619=CARTESIAN_POINT('Origin',(0.32524591592759,-0.4343379,-0.49641278)); #170620=CARTESIAN_POINT('',(0.238987252701733,-0.950541852946609,0.281441386759642)); #170621=CARTESIAN_POINT('',(0.240240923699231,-0.950718044915058,0.20891278)); #170622=CARTESIAN_POINT('Ctrl Pts',(0.292920520415742,-0.567500993591776, 0.275746267912387)); #170623=CARTESIAN_POINT('Ctrl Pts',(0.290794243436914,-0.582539581652205, 0.276469110425744)); #170624=CARTESIAN_POINT('Ctrl Pts',(0.288668998222938,-0.597583190678017, 0.277093385152742)); #170625=CARTESIAN_POINT('Ctrl Pts',(0.279361626501463,-0.66351862181669, 0.27940529892352)); #170626=CARTESIAN_POINT('Ctrl Pts',(0.272185315835991,-0.714431133458172, 0.280598028979884)); #170627=CARTESIAN_POINT('Ctrl Pts',(0.256327662148499,-0.827081012034343, 0.282058646157165)); #170628=CARTESIAN_POINT('Ctrl Pts',(0.247649705563209,-0.88882436047599, 0.282086720647714)); #170629=CARTESIAN_POINT('Ctrl Pts',(0.238987252701736,-0.95054185294659, 0.281441386759642)); #170630=CARTESIAN_POINT('Origin',(0.914477181471395,-1.04547577136898,-0.49641278)); #170631=CARTESIAN_POINT('',(0.743685357622853,-1.70485616059033,0.224845697827318)); #170632=CARTESIAN_POINT('Ctrl Pts',(0.743685357625245,-1.70485616059095, 0.224845697827055)); #170633=CARTESIAN_POINT('Ctrl Pts',(0.80149547157322,-1.71971669012206, 0.218558302455367)); #170634=CARTESIAN_POINT('Ctrl Pts',(0.861340405757787,-1.72696478140483, 0.213064306757152)); #170635=CARTESIAN_POINT('Ctrl Pts',(0.921131985281633,-1.72629906372756, 0.20827075525508)); #170636=CARTESIAN_POINT('',(0.232539904905619,-1.05984369132333,0.279165000329333)); #170637=CARTESIAN_POINT('Ctrl Pts',(0.232539904905618,-1.05984369132333, 0.279165000329333)); #170638=CARTESIAN_POINT('Ctrl Pts',(0.235204864505549,-1.18631380534995, 0.279146285510638)); #170639=CARTESIAN_POINT('Ctrl Pts',(0.300058079632177,-1.39543509049981, 0.272551816626262)); #170640=CARTESIAN_POINT('Ctrl Pts',(0.507639593331208,-1.61169950216889, 0.250420854957923)); #170641=CARTESIAN_POINT('Ctrl Pts',(0.662386454293002,-1.6839576590775, 0.233687720677749)); #170642=CARTESIAN_POINT('Ctrl Pts',(0.743685357622853,-1.70485616059033, 0.224845697827318)); #170643=CARTESIAN_POINT('Ctrl Pts',(0.238987252701734,-0.950541852946602, 0.281441386759642)); #170644=CARTESIAN_POINT('Ctrl Pts',(0.233908963874338,-0.986728688736639, 0.281019338417424)); #170645=CARTESIAN_POINT('Ctrl Pts',(0.231753176011223,-1.02331966036554, 0.280149840222726)); #170646=CARTESIAN_POINT('Ctrl Pts',(0.232539904905619,-1.05984369132333, 0.279165000329333)); #170647=CARTESIAN_POINT('Ctrl Pts',(0.730025513928289,-1.78709644509915, 0.301744791630907)); #170648=CARTESIAN_POINT('Ctrl Pts',(0.802155972264358,-1.80511079820438, 0.294754098338997)); #170649=CARTESIAN_POINT('Ctrl Pts',(0.977074420948806,-1.8223363545158, 0.280748929047756)); #170650=CARTESIAN_POINT('Ctrl Pts',(1.14959312459187,-1.77916432514908, 0.271418945353523)); #170651=CARTESIAN_POINT('Ctrl Pts',(1.23982210411853,-1.73646099665695, 0.26723662367444)); #170652=CARTESIAN_POINT('Ctrl Pts',(0.736146374609371,-1.76380353046475, 0.30306288919835)); #170653=CARTESIAN_POINT('Ctrl Pts',(0.805985068217089,-1.7811768440539, 0.296138525797663)); #170654=CARTESIAN_POINT('Ctrl Pts',(0.975325036327314,-1.7977441489975, 0.282206074991628)); #170655=CARTESIAN_POINT('Ctrl Pts',(1.14234389010251,-1.7559264517325,0.272831965841064)); #170656=CARTESIAN_POINT('Ctrl Pts',(1.22968215649723,-1.71458486356845, 0.26860977386119)); #170657=CARTESIAN_POINT('Ctrl Pts',(0.745123519506611,-1.72193350575932, 0.284658359157772)); #170658=CARTESIAN_POINT('Ctrl Pts',(0.811062031918521,-1.73836526407963, 0.277757766121205)); #170659=CARTESIAN_POINT('Ctrl Pts',(0.970836171962473,-1.75413607404443, 0.263843103582621)); #170660=CARTESIAN_POINT('Ctrl Pts',(1.12839767792603,-1.71492642647777, 0.254425269976509)); #170661=CARTESIAN_POINT('Ctrl Pts',(1.21085141343542,-1.6760551065539,0.250171931801622)); #170662=CARTESIAN_POINT('Ctrl Pts',(0.745252973805839,-1.70558688727793, 0.242852636746308)); #170663=CARTESIAN_POINT('Ctrl Pts',(0.809944792520673,-1.72204441060427, 0.235850680617486)); #170664=CARTESIAN_POINT('Ctrl Pts',(0.966804487083425,-1.73823080804714, 0.221796318296237)); #170665=CARTESIAN_POINT('Ctrl Pts',(1.12156566940241,-1.70027290419686, 0.212389034689478)); #170666=CARTESIAN_POINT('Ctrl Pts',(1.20252180120807,-1.66239278980622, 0.208162580918764)); #170667=CARTESIAN_POINT('Ctrl Pts',(0.743685357625245,-1.70485616059095, 0.224845697827055)); #170668=CARTESIAN_POINT('Ctrl Pts',(0.808463618836641,-1.72150790538032, 0.217800450691008)); #170669=CARTESIAN_POINT('Ctrl Pts',(0.965574370386538,-1.7380595822412, 0.203685988116436)); #170670=CARTESIAN_POINT('Ctrl Pts',(1.12059976785735,-1.7002638330901,0.194283195266515)); #170671=CARTESIAN_POINT('Ctrl Pts',(1.20169576817525,-1.66242895744843, 0.190068235662196)); #170672=CARTESIAN_POINT('',(1.23982210411853,-1.73646099665695,0.26723662367444)); #170673=CARTESIAN_POINT('Origin',(1.23492292663332,-1.73380178018365,0.188694030439297)); #170674=CARTESIAN_POINT('',(0.730025513928358,-1.7870964451012,0.301744791631182)); #170675=CARTESIAN_POINT('Ctrl Pts',(1.23982210411853,-1.73646099665695, 0.26723662367444)); #170676=CARTESIAN_POINT('Ctrl Pts',(1.14959312459187,-1.77916432514908, 0.271418945353523)); #170677=CARTESIAN_POINT('Ctrl Pts',(0.977074420948806,-1.8223363545158, 0.280748929047756)); #170678=CARTESIAN_POINT('Ctrl Pts',(0.802155972264358,-1.80511079820438, 0.294754098338997)); #170679=CARTESIAN_POINT('Ctrl Pts',(0.730025513928289,-1.78709644509915, 0.301744791630907)); #170680=CARTESIAN_POINT('Origin',(0.723944750034544,-1.78106923228039,0.223471492604419)); #170681=CARTESIAN_POINT('Ctrl Pts',(1.239822104119,-1.73646099665673,0.267236623674418)); #170682=CARTESIAN_POINT('Ctrl Pts',(1.27505100502664,-1.71978795728745, 0.265603682719434)); #170683=CARTESIAN_POINT('Ctrl Pts',(1.36455158781329,-1.69695143108822, 0.260800089190116)); #170684=CARTESIAN_POINT('Ctrl Pts',(1.45583037744648,-1.71573370016306, 0.255703999097897)); #170685=CARTESIAN_POINT('Ctrl Pts',(1.50196395411917,-1.73876193162719, 0.253259448987722)); #170686=CARTESIAN_POINT('Ctrl Pts',(1.23294965690034,-1.7216342366367,0.26816728944648)); #170687=CARTESIAN_POINT('Ctrl Pts',(1.27011133479546,-1.7041476648026,0.266394787191394)); #170688=CARTESIAN_POINT('Ctrl Pts',(1.36446291161744,-1.6803560529275,0.261206314786362)); #170689=CARTESIAN_POINT('Ctrl Pts',(1.46049359192218,-1.70034822737693, 0.255798243337775)); #170690=CARTESIAN_POINT('Ctrl Pts',(1.50900028612186,-1.72464826741153, 0.253229543762528)); #170691=CARTESIAN_POINT('Ctrl Pts',(1.21612591691107,-1.6865716325245,0.258096792139358)); #170692=CARTESIAN_POINT('Ctrl Pts',(1.25783544121222,-1.66721809914697, 0.256128713420206)); #170693=CARTESIAN_POINT('Ctrl Pts',(1.3636062292016,-1.64127322786004,0.250396391843677)); #170694=CARTESIAN_POINT('Ctrl Pts',(1.47083217299069,-1.66414824909933, 0.24454616126577)); #170695=CARTESIAN_POINT('Ctrl Pts',(1.52494926275784,-1.69145093097017, 0.241806104997194)); #170696=CARTESIAN_POINT('Ctrl Pts',(1.20505541384682,-1.66627442523271, 0.223684306477185)); #170697=CARTESIAN_POINT('Ctrl Pts',(1.24928045008653,-1.64580830902486, 0.221858118368466)); #170698=CARTESIAN_POINT('Ctrl Pts',(1.36149243191889,-1.61834211785258, 0.216526149964762)); #170699=CARTESIAN_POINT('Ctrl Pts',(1.47546623518281,-1.64259275761151, 0.211004271433976)); #170700=CARTESIAN_POINT('Ctrl Pts',(1.53308423514758,-1.67158665433366, 0.208386208592342)); #170701=CARTESIAN_POINT('Ctrl Pts',(1.20264934916164,-1.66326143987563, 0.202202876089197)); #170702=CARTESIAN_POINT('Ctrl Pts',(1.24711741669313,-1.64258884673548, 0.200492283397911)); #170703=CARTESIAN_POINT('Ctrl Pts',(1.36016712467752,-1.61463894619303, 0.195472327737733)); #170704=CARTESIAN_POINT('Ctrl Pts',(1.47546698403266,-1.6388442075892,0.190193656965867)); #170705=CARTESIAN_POINT('Ctrl Pts',(1.53376437505888,-1.66802225524007, 0.187674445164292)); #170706=CARTESIAN_POINT('',(1.50196395411917,-1.73876193162719,0.253259448987722)); #170707=CARTESIAN_POINT('Origin',(1.49856018322885,-1.73723164396622,0.174607780933029)); #170708=CARTESIAN_POINT('Ctrl Pts',(1.50196395411917,-1.73876193162719, 0.253259448987722)); #170709=CARTESIAN_POINT('Ctrl Pts',(1.45583037744648,-1.71573370016306, 0.255703999097897)); #170710=CARTESIAN_POINT('Ctrl Pts',(1.36455158781329,-1.69695143108822, 0.260800089190116)); #170711=CARTESIAN_POINT('Ctrl Pts',(1.27505100502664,-1.71978795728745, 0.265603682719434)); #170712=CARTESIAN_POINT('Ctrl Pts',(1.239822104119,-1.73646099665673,0.267236623674418)); #170713=CARTESIAN_POINT('Ctrl Pts',(1.50196395411917,-1.73876193162719, 0.253259448987722)); #170714=CARTESIAN_POINT('Ctrl Pts',(1.54994295885083,-1.76271133477489, 0.250717112369536)); #170715=CARTESIAN_POINT('Ctrl Pts',(1.59793754827382,-1.78667458547652, 0.248601872918208)); #170716=CARTESIAN_POINT('Ctrl Pts',(1.64594144529461,-1.81064911341777, 0.246914199771388)); #170717=CARTESIAN_POINT('Ctrl Pts',(1.50835524555978,-1.72594210714154, 0.253232285259555)); #170718=CARTESIAN_POINT('Ctrl Pts',(1.55633675433333,-1.74988993220412, 0.250693831682794)); #170719=CARTESIAN_POINT('Ctrl Pts',(1.60433402005651,-1.77385190372536, 0.248582062761987)); #170720=CARTESIAN_POINT('Ctrl Pts',(1.65234011017566,-1.79782516765386, 0.246897650626287)); #170721=CARTESIAN_POINT('Ctrl Pts',(1.52287634374297,-1.69582452457192, 0.243844963699215)); #170722=CARTESIAN_POINT('Ctrl Pts',(1.57090947102423,-1.71978856078922, 0.241309741261565)); #170723=CARTESIAN_POINT('Ctrl Pts',(1.6189573482809,-1.74376715575874,0.239201204197138)); #170724=CARTESIAN_POINT('Ctrl Pts',(1.66701429486801,-1.76775783961667, 0.237519934696985)); #170725=CARTESIAN_POINT('Ctrl Pts',(1.53144038995133,-1.67566649410909, 0.215759108417904)); #170726=CARTESIAN_POINT('Ctrl Pts',(1.57961167994864,-1.69969087997328, 0.213213729475669)); #170727=CARTESIAN_POINT('Ctrl Pts',(1.62779860694023,-1.72373132351084, 0.211096266181783)); #170728=CARTESIAN_POINT('Ctrl Pts',(1.67599338409631,-1.74778364378271, 0.209407735813223)); #170729=CARTESIAN_POINT('Ctrl Pts',(1.53314757508934,-1.6703038517717,0.197507459547401)); #170730=CARTESIAN_POINT('Ctrl Pts',(1.58140704593128,-1.69437017028548, 0.194954425262623)); #170731=CARTESIAN_POINT('Ctrl Pts',(1.62968133906281,-1.71845215832368, 0.192830564146037)); #170732=CARTESIAN_POINT('Ctrl Pts',(1.67796434440281,-1.74254680079684, 0.191136430269212)); #170733=CARTESIAN_POINT('',(1.64594144529461,-1.81064911341777,0.246914199771388)); #170734=CARTESIAN_POINT('Origin',(1.64368589774202,-1.80962524324365,0.168213014777201)); #170735=CARTESIAN_POINT('Ctrl Pts',(1.64594144529461,-1.81064911341777, 0.246914199771388)); #170736=CARTESIAN_POINT('Ctrl Pts',(1.59793754827382,-1.78667458547652, 0.248601872918208)); #170737=CARTESIAN_POINT('Ctrl Pts',(1.54994295885083,-1.76271133477489, 0.250717112369536)); #170738=CARTESIAN_POINT('Ctrl Pts',(1.50196395411917,-1.73876193162719, 0.253259448987722)); #170739=CARTESIAN_POINT('Ctrl Pts',(1.77110681237753,-1.7913576915938,0.19445363557987)); #170740=CARTESIAN_POINT('Ctrl Pts',(1.739865489707,-1.77554907526318,0.194648436918154)); #170741=CARTESIAN_POINT('Ctrl Pts',(1.70865256763564,-1.75970198718128, 0.194889468942481)); #170742=CARTESIAN_POINT('Ctrl Pts',(1.67747521880562,-1.74381131987916, 0.195172643814317)); #170743=CARTESIAN_POINT('Ctrl Pts',(1.76848107871118,-1.79741371537089, 0.211079634917394)); #170744=CARTESIAN_POINT('Ctrl Pts',(1.73733203874076,-1.78155580088371, 0.211424929226578)); #170745=CARTESIAN_POINT('Ctrl Pts',(1.70621881840872,-1.76564593864087, 0.211849364590291)); #170746=CARTESIAN_POINT('Ctrl Pts',(1.67516009500682,-1.74966113988528, 0.212354856013187)); #170747=CARTESIAN_POINT('Ctrl Pts',(1.759220981734,-1.81717493213499,0.235976259605148)); #170748=CARTESIAN_POINT('Ctrl Pts',(1.72814555755591,-1.80137378857737, 0.236603433494319)); #170749=CARTESIAN_POINT('Ctrl Pts',(1.69711130834768,-1.785514709457,0.237385015998391)); #170750=CARTESIAN_POINT('Ctrl Pts',(1.66612972780015,-1.76958660718632, 0.238316539655087)); #170751=CARTESIAN_POINT('Ctrl Pts',(1.74540754668919,-1.84528294882192, 0.244159244587786)); #170752=CARTESIAN_POINT('Ctrl Pts',(1.71427391745746,-1.82966594535124, 0.24489296152711)); #170753=CARTESIAN_POINT('Ctrl Pts',(1.68315709663371,-1.81403250098346, 0.245804843564219)); #170754=CARTESIAN_POINT('Ctrl Pts',(1.65206850525708,-1.79836950726372, 0.246898353089893)); #170755=CARTESIAN_POINT('Ctrl Pts',(1.73942407371887,-1.85729104796254, 0.244168917684206)); #170756=CARTESIAN_POINT('Ctrl Pts',(1.70825374498308,-1.84174717073459, 0.244903967938555)); #170757=CARTESIAN_POINT('Ctrl Pts',(1.67708918102153,-1.82620518921238, 0.245819138736075)); #170758=CARTESIAN_POINT('Ctrl Pts',(1.64594144529461,-1.81064911341777, 0.246914199771387)); #170759=CARTESIAN_POINT('',(1.73942407371887,-1.85729104796254,0.244168917684207)); #170760=CARTESIAN_POINT('Origin',(1.73791180775899,-1.85660092115607,0.165446309108002)); #170761=CARTESIAN_POINT('Ctrl Pts',(1.73942407371887,-1.85729104796254, 0.244168917684206)); #170762=CARTESIAN_POINT('Ctrl Pts',(1.70825374498308,-1.84174717073459, 0.244903967938555)); #170763=CARTESIAN_POINT('Ctrl Pts',(1.67708918102153,-1.82620518921238, 0.245819138736075)); #170764=CARTESIAN_POINT('Ctrl Pts',(1.64594144529461,-1.81064911341777, 0.246914199771387)); #170765=CARTESIAN_POINT('Ctrl Pts',(1.80250365210792,-1.90943556924235, 0.194109950780861)); #170766=CARTESIAN_POINT('Ctrl Pts',(1.81334323952896,-1.89296706315681, 0.194097652798767)); #170767=CARTESIAN_POINT('Ctrl Pts',(1.82086311170094,-1.85892109165602, 0.194128244829366)); #170768=CARTESIAN_POINT('Ctrl Pts',(1.8033706022069,-1.81498227893018,0.194275498783099)); #170769=CARTESIAN_POINT('Ctrl Pts',(1.78279495004793,-1.79727755765918, 0.194393078352324)); #170770=CARTESIAN_POINT('Ctrl Pts',(1.77110681237752,-1.79135769159379, 0.19445363557987)); #170771=CARTESIAN_POINT('Ctrl Pts',(1.79713991586859,-1.90583836464083, 0.210519406027539)); #170772=CARTESIAN_POINT('Ctrl Pts',(1.80707694970568,-1.8907248179179,0.210499229401079)); #170773=CARTESIAN_POINT('Ctrl Pts',(1.81401593152603,-1.85958413782951, 0.210546842215129)); #170774=CARTESIAN_POINT('Ctrl Pts',(1.79806453823669,-1.81920597337567, 0.210788515451354)); #170775=CARTESIAN_POINT('Ctrl Pts',(1.779215433999,-1.80289584379431,0.210980317072703)); #170776=CARTESIAN_POINT('Ctrl Pts',(1.76848107871117,-1.79741371537089, 0.211079634917394)); #170777=CARTESIAN_POINT('Ctrl Pts',(1.7794045393962,-1.89405139938496,0.235187314507062)); #170778=CARTESIAN_POINT('Ctrl Pts',(1.78642530598763,-1.88336101595263, 0.235159059707103)); #170779=CARTESIAN_POINT('Ctrl Pts',(1.79133267169515,-1.86128712346773, 0.235230058251374)); #170780=CARTESIAN_POINT('Ctrl Pts',(1.78012751078056,-1.83270200408112, 0.235568020665082)); #170781=CARTESIAN_POINT('Ctrl Pts',(1.76681429353466,-1.82108246253492, 0.235837644716251)); #170782=CARTESIAN_POINT('Ctrl Pts',(1.75922097117,-1.81717495155133,0.235976221912831)); #170783=CARTESIAN_POINT('Ctrl Pts',(1.75377957371268,-1.8771192659532,0.243732626558489)); #170784=CARTESIAN_POINT('Ctrl Pts',(1.75669484845121,-1.87268192028451, 0.243717152568723)); #170785=CARTESIAN_POINT('Ctrl Pts',(1.7587283724248,-1.86350957190008,0.24375515553896)); #170786=CARTESIAN_POINT('Ctrl Pts',(1.75406636137765,-1.85167274465933, 0.243939455703852)); #170787=CARTESIAN_POINT('Ctrl Pts',(1.74854836429369,-1.846885357695,0.244084734384368)); #170788=CARTESIAN_POINT('Ctrl Pts',(1.74540754668919,-1.84528294882192, 0.244159244587787)); #170789=CARTESIAN_POINT('Ctrl Pts',(1.7427414805674,-1.8698380444797,0.243998019789337)); #170790=CARTESIAN_POINT('Ctrl Pts',(1.74389940983455,-1.86807759195368, 0.24399169403349)); #170791=CARTESIAN_POINT('Ctrl Pts',(1.74470430858969,-1.86443627495,0.2440076396458)); #170792=CARTESIAN_POINT('Ctrl Pts',(1.74284146586622,-1.85976762359029, 0.244082167184632)); #170793=CARTESIAN_POINT('Ctrl Pts',(1.74065972192179,-1.8579072353671,0.24413977896278)); #170794=CARTESIAN_POINT('Ctrl Pts',(1.73942407371887,-1.85729104796253, 0.244168917684208)); #170795=CARTESIAN_POINT('',(1.7427414805674,-1.8698380444797,0.243998019789338)); #170796=CARTESIAN_POINT('Origin',(1.74129129608121,-1.86916706778249,0.165274077563274)); #170797=CARTESIAN_POINT('Ctrl Pts',(1.7427414805674,-1.8698380444797,0.243998019789337)); #170798=CARTESIAN_POINT('Ctrl Pts',(1.74389940983455,-1.86807759195368, 0.24399169403349)); #170799=CARTESIAN_POINT('Ctrl Pts',(1.74470430858969,-1.86443627495,0.2440076396458)); #170800=CARTESIAN_POINT('Ctrl Pts',(1.74284146586622,-1.85976762359029, 0.244082167184632)); #170801=CARTESIAN_POINT('Ctrl Pts',(1.74065972192179,-1.8579072353671,0.24413977896278)); #170802=CARTESIAN_POINT('Ctrl Pts',(1.73942407371887,-1.85729104796253, 0.244168917684208)); #170803=CARTESIAN_POINT('Ctrl Pts',(1.15090059645659,-2.5485209300499,0.195002300134368)); #170804=CARTESIAN_POINT('Ctrl Pts',(1.29866816115349,-2.45528782123896, 0.194888311010553)); #170805=CARTESIAN_POINT('Ctrl Pts',(1.48652533485169,-2.30216771327479, 0.194716803448104)); #170806=CARTESIAN_POINT('Ctrl Pts',(1.6895981505514,-2.07006092670888,0.194512905240685)); #170807=CARTESIAN_POINT('Ctrl Pts',(1.76651911263613,-1.96390439857622, 0.194433370717372)); #170808=CARTESIAN_POINT('Ctrl Pts',(1.80240953802334,-1.90937245736158, 0.194396225064474)); #170809=CARTESIAN_POINT('Ctrl Pts',(1.14850316608444,-2.54463811699781, 0.20761423596343)); #170810=CARTESIAN_POINT('Ctrl Pts',(1.2959296885646,-2.45154852937044,0.207431968052202)); #170811=CARTESIAN_POINT('Ctrl Pts',(1.48332285399617,-2.29868749948782, 0.207157299750862)); #170812=CARTESIAN_POINT('Ctrl Pts',(1.68586032811315,-2.06702038080778, 0.20683090195904)); #170813=CARTESIAN_POINT('Ctrl Pts',(1.76256957838259,-1.96107764028502, 0.206703094594107)); #170814=CARTESIAN_POINT('Ctrl Pts',(1.79836007488178,-1.90665718669593, 0.206643418817321)); #170815=CARTESIAN_POINT('Ctrl Pts',(1.13881107821377,-2.52908633302565, 0.234285235209685)); #170816=CARTESIAN_POINT('Ctrl Pts',(1.28494844706196,-2.4367254386783,0.233918265467534)); #170817=CARTESIAN_POINT('Ctrl Pts',(1.47067268941593,-2.28507781640097, 0.233366069777138)); #170818=CARTESIAN_POINT('Ctrl Pts',(1.67134845878693,-2.05531898792774, 0.232706473625414)); #170819=CARTESIAN_POINT('Ctrl Pts',(1.74734163251729,-1.95025953228364, 0.232446866488575)); #170820=CARTESIAN_POINT('Ctrl Pts',(1.78279424385271,-1.89629748539536, 0.232325041872693)); #170821=CARTESIAN_POINT('Ctrl Pts',(1.12242335111726,-2.50298812473651, 0.246354518405991)); #170822=CARTESIAN_POINT('Ctrl Pts',(1.26649652960774,-2.4120170144029,0.245852953457367)); #170823=CARTESIAN_POINT('Ctrl Pts',(1.44961889386653,-2.26263482144844, 0.245100307141751)); #170824=CARTESIAN_POINT('Ctrl Pts',(1.64751032378601,-2.03623202724318, 0.244182557723266)); #170825=CARTESIAN_POINT('Ctrl Pts',(1.72244890957285,-1.93269769276969, 0.243818548138435)); #170826=CARTESIAN_POINT('Ctrl Pts',(1.75740999436512,-1.87951405429793, 0.243645338921612)); #170827=CARTESIAN_POINT('Ctrl Pts',(1.11290093996407,-2.48786179984511, 0.246914199771288)); #170828=CARTESIAN_POINT('Ctrl Pts',(1.25578065110097,-2.39771258102024, 0.246381281095605)); #170829=CARTESIAN_POINT('Ctrl Pts',(1.43741374962138,-2.24965463144863, 0.245575025966176)); #170830=CARTESIAN_POINT('Ctrl Pts',(1.63371653903385,-2.0252166547353,0.244585010173545)); #170831=CARTESIAN_POINT('Ctrl Pts',(1.70805876534714,-1.92256775375596, 0.244187491110951)); #170832=CARTESIAN_POINT('Ctrl Pts',(1.7427414805674,-1.86983804447971,0.243998019789338)); #170833=CARTESIAN_POINT('',(1.11290094000224,-2.48786179982102,0.246914199771146)); #170834=CARTESIAN_POINT('Origin',(1.11137585476996,-2.48590989820224,0.168213014776957)); #170835=CARTESIAN_POINT('Ctrl Pts',(1.11290094000224,-2.48786179982102, 0.246914199771145)); #170836=CARTESIAN_POINT('Ctrl Pts',(1.25578065112449,-2.39771258100106, 0.2463812810955)); #170837=CARTESIAN_POINT('Ctrl Pts',(1.43741374963137,-2.24965463143721, 0.245575025966126)); #170838=CARTESIAN_POINT('Ctrl Pts',(1.63371653903385,-2.0252166547353,0.244585010173545)); #170839=CARTESIAN_POINT('Ctrl Pts',(1.70805876534714,-1.92256775375596, 0.244187491110951)); #170840=CARTESIAN_POINT('Ctrl Pts',(1.7427414805674,-1.86983804447971,0.243998019789338)); #170841=CARTESIAN_POINT('Ctrl Pts',(1.11290094000224,-2.48786179982102, 0.246914199771146)); #170842=CARTESIAN_POINT('Ctrl Pts',(0.939093909025891,-2.59752445202591, 0.247562472447778)); #170843=CARTESIAN_POINT('Ctrl Pts',(0.748930325996892,-2.68132729791961, 0.248129857007931)); #170844=CARTESIAN_POINT('Ctrl Pts',(0.550654730149761,-2.73560532286573, 0.248508206526205)); #170845=CARTESIAN_POINT('Ctrl Pts',(1.12009302203005,-2.4992864030694,0.246491483903946)); #170846=CARTESIAN_POINT('Ctrl Pts',(0.945152365866739,-2.6096400035046, 0.247112178537826)); #170847=CARTESIAN_POINT('Ctrl Pts',(0.753754525940557,-2.69396806864871, 0.247658143419867)); #170848=CARTESIAN_POINT('Ctrl Pts',(0.554200306787942,-2.74858504413941, 0.248022386269224)); #170849=CARTESIAN_POINT('Ctrl Pts',(1.13672502550916,-2.52575576236644, 0.237233676249583)); #170850=CARTESIAN_POINT('Ctrl Pts',(0.959149222917547,-2.63769230326583, 0.237814025087259)); #170851=CARTESIAN_POINT('Ctrl Pts',(0.764896391980303,-2.72321805610876, 0.238328006261844)); #170852=CARTESIAN_POINT('Ctrl Pts',(0.562385648941816,-2.7786081195739, 0.238671682856263)); #170853=CARTESIAN_POINT('Ctrl Pts',(1.14787424707524,-2.54361689456201, 0.211365021249611)); #170854=CARTESIAN_POINT('Ctrl Pts',(0.968508855356232,-2.65660459513409, 0.211964865854588)); #170855=CARTESIAN_POINT('Ctrl Pts',(0.772354941756056,-2.7429093478463, 0.212495338017625)); #170856=CARTESIAN_POINT('Ctrl Pts',(0.567855279439074,-2.79880818613236, 0.212849113505119)); #170857=CARTESIAN_POINT('Ctrl Pts',(1.15101733731595,-2.54871004967195, 0.194379905332059)); #170858=CARTESIAN_POINT('Ctrl Pts',(0.971152520876522,-2.66198787426216, 0.195000668393778)); #170859=CARTESIAN_POINT('Ctrl Pts',(0.774443135392304,-2.74851691520014, 0.195546808520882)); #170860=CARTESIAN_POINT('Ctrl Pts',(0.569392849782328,-2.80455485720425, 0.195911352827113)); #170861=CARTESIAN_POINT('',(0.550654730149761,-2.73560532286573,0.248508206526205)); #170862=CARTESIAN_POINT('Origin',(0.549764855025888,-2.73290314879939,0.169819460812706)); #170863=CARTESIAN_POINT('Ctrl Pts',(0.550654730149761,-2.73560532286573, 0.248508206526205)); #170864=CARTESIAN_POINT('Ctrl Pts',(0.748930325996892,-2.68132729791961, 0.248129857007931)); #170865=CARTESIAN_POINT('Ctrl Pts',(0.939093909025891,-2.59752445202591, 0.247562472447778)); #170866=CARTESIAN_POINT('Ctrl Pts',(1.11290094000224,-2.48786179982102, 0.246914199771146)); #170867=CARTESIAN_POINT('Origin',(0.549764855025889,-2.73290314879939,0.169819460812706)); #170868=CARTESIAN_POINT('Origin',(0.549764855031255,-2.73290314878978,0.169819460812975)); #170869=CARTESIAN_POINT('Ctrl Pts',(0.550654730155158,-2.73560532285616, 0.248508206526473)); #170870=CARTESIAN_POINT('Ctrl Pts',(0.607860361940144,-2.63420974395953, 0.251343207047131)); #170871=CARTESIAN_POINT('Ctrl Pts',(0.654619167364661,-2.43858515725304, 0.258723074994275)); #170872=CARTESIAN_POINT('Ctrl Pts',(0.591967974916892,-2.17117859832026, 0.27848684533636)); #170873=CARTESIAN_POINT('Ctrl Pts',(0.503403644560039,-2.04240482656026, 0.293805266938613)); #170874=CARTESIAN_POINT('Ctrl Pts',(0.44868736142928,-1.98827495535468, 0.30174479163031)); #170875=CARTESIAN_POINT('Ctrl Pts',(0.529962279867354,-2.7240759101221, 0.249138133670499)); #170876=CARTESIAN_POINT('Ctrl Pts',(0.584987776114836,-2.62652512023981, 0.252032055803831)); #170877=CARTESIAN_POINT('Ctrl Pts',(0.629986360575965,-2.43832582646895, 0.259452985662155)); #170878=CARTESIAN_POINT('Ctrl Pts',(0.570078550973297,-2.18098029396489, 0.278703313560694)); #170879=CARTESIAN_POINT('Ctrl Pts',(0.485117577844628,-2.05667279413854, 0.293397424911539)); #170880=CARTESIAN_POINT('Ctrl Pts',(0.432549841709851,-2.00430602024195, 0.300937688203087)); #170881=CARTESIAN_POINT('Ctrl Pts',(0.493917165175351,-2.70343165553189, 0.230233210267565)); #170882=CARTESIAN_POINT('Ctrl Pts',(0.545117712943054,-2.61233955554105, 0.233165672577561)); #170883=CARTESIAN_POINT('Ctrl Pts',(0.586914642630328,-2.43683726084754, 0.240598938877136)); #170884=CARTESIAN_POINT('Ctrl Pts',(0.531397281175596,-2.19639455648117, 0.259592634224748)); #170885=CARTESIAN_POINT('Ctrl Pts',(0.452578276034447,-2.07971301595277, 0.273930306888802)); #170886=CARTESIAN_POINT('Ctrl Pts',(0.403697993907517,-2.03030373795718, 0.281273473316093)); #170887=CARTESIAN_POINT('Ctrl Pts',(0.481059154772706,-2.69509953504527, 0.188957656936137)); #170888=CARTESIAN_POINT('Ctrl Pts',(0.530843691045493,-2.60606311451293, 0.191837369929459)); #170889=CARTESIAN_POINT('Ctrl Pts',(0.571239771917027,-2.4343352423358, 0.19927255561531)); #170890=CARTESIAN_POINT('Ctrl Pts',(0.516072768395309,-2.19926649296119, 0.218913158143602)); #170891=CARTESIAN_POINT('Ctrl Pts',(0.438630274610079,-2.08546030193678, 0.234019373910628)); #170892=CARTESIAN_POINT('Ctrl Pts',(0.390853948021095,-2.0373896764098, 0.241823457989901)); #170893=CARTESIAN_POINT('Ctrl Pts',(0.481000100624162,-2.6945686677548, 0.171193665854369)); #170894=CARTESIAN_POINT('Ctrl Pts',(0.530769208816126,-2.60539479255936, 0.174050789985798)); #170895=CARTESIAN_POINT('Ctrl Pts',(0.57104203688054,-2.43334298402594, 0.181488248460652)); #170896=CARTESIAN_POINT('Ctrl Pts',(0.515203896822018,-2.19791852201302, 0.201406249955401)); #170897=CARTESIAN_POINT('Ctrl Pts',(0.437312783184029,-2.0842634287585, 0.216844212746188)); #170898=CARTESIAN_POINT('Ctrl Pts',(0.389401227256134,-2.03636141691418, 0.224845695775144)); #170899=CARTESIAN_POINT('',(0.389401227256134,-2.03636141691418,0.224845695775144)); #170900=CARTESIAN_POINT('Ctrl Pts',(0.477940346266901,-2.15221941786479, 0.208288092429233)); #170901=CARTESIAN_POINT('Ctrl Pts',(0.453695333737731,-2.10990105953792, 0.21347951914176)); #170902=CARTESIAN_POINT('Ctrl Pts',(0.423868332790616,-2.07082165652813, 0.219089507009193)); #170903=CARTESIAN_POINT('Ctrl Pts',(0.389401227256134,-2.03636141691418, 0.224845695775144)); #170904=CARTESIAN_POINT('',(0.448687361429281,-1.98827495535468,0.30174479163031)); #170905=CARTESIAN_POINT('Origin',(0.444950009989257,-1.98057202162353,0.223471492603554)); #170906=CARTESIAN_POINT('Ctrl Pts',(0.44868736142928,-1.98827495535468, 0.30174479163031)); #170907=CARTESIAN_POINT('Ctrl Pts',(0.503403644560039,-2.04240482656026, 0.293805266938613)); #170908=CARTESIAN_POINT('Ctrl Pts',(0.591967974916892,-2.17117859832026, 0.27848684533636)); #170909=CARTESIAN_POINT('Ctrl Pts',(0.654619167364661,-2.43858515725304, 0.258723074994275)); #170910=CARTESIAN_POINT('Ctrl Pts',(0.607860361940144,-2.63420974395953, 0.251343207047131)); #170911=CARTESIAN_POINT('Ctrl Pts',(0.550654730155158,-2.73560532285616, 0.248508206526473)); #170912=CARTESIAN_POINT('Ctrl Pts',(0.373983780363039,-2.02153534237578, 0.227321898887878)); #170913=CARTESIAN_POINT('Ctrl Pts',(0.373908534723416,-2.02161674089633, 0.220971331725126)); #170914=CARTESIAN_POINT('Ctrl Pts',(0.373833289083792,-2.02169813941689, 0.214620764562375)); #170915=CARTESIAN_POINT('Ctrl Pts',(0.373758043444169,-2.02177953793744, 0.208270197399624)); #170916=CARTESIAN_POINT('Ctrl Pts',(0.41515759432129,-2.05959684455073, 0.221789880901163)); #170917=CARTESIAN_POINT('Ctrl Pts',(0.415074064597156,-2.05967058519156, 0.215439313738411)); #170918=CARTESIAN_POINT('Ctrl Pts',(0.414990534578424,-2.05974432551739, 0.20908874657566)); #170919=CARTESIAN_POINT('Ctrl Pts',(0.41490700485429,-2.05981806615822, 0.202738179412909)); #170920=CARTESIAN_POINT('Ctrl Pts',(0.450225355543308,-2.1036703727236, 0.216210491708594)); #170921=CARTESIAN_POINT('Ctrl Pts',(0.450134770119464,-2.10373524578209, 0.209859924545843)); #170922=CARTESIAN_POINT('Ctrl Pts',(0.450044184570501,-2.10380011845457, 0.203509357383092)); #170923=CARTESIAN_POINT('Ctrl Pts',(0.449953599146657,-2.10386499151306, 0.19715879022034)); #170924=CARTESIAN_POINT('Ctrl Pts',(0.47802792000521,-2.15219173457392, 0.2110164612006)); #170925=CARTESIAN_POINT('Ctrl Pts',(0.477931740656032,-2.15224684499238, 0.204665894037849)); #170926=CARTESIAN_POINT('Ctrl Pts',(0.477835561306853,-2.15230195541083, 0.198315326875097)); #170927=CARTESIAN_POINT('Ctrl Pts',(0.477739381957675,-2.15235706582928, 0.191964759712346)); #170928=CARTESIAN_POINT('',(0.373983780363039,-2.02153534237578,0.227321898887877)); #170929=CARTESIAN_POINT('',(0.374865591077501,-2.02058142547212,0.301744791630314)); #170930=CARTESIAN_POINT('Ctrl Pts',(0.389401227254155,-2.0363614169122, 0.224845695775478)); #170931=CARTESIAN_POINT('Ctrl Pts',(0.384359956377231,-2.03132115026718, 0.225687614652552)); #170932=CARTESIAN_POINT('Ctrl Pts',(0.379219549705283,-2.02637783889975, 0.226513226229693)); #170933=CARTESIAN_POINT('Ctrl Pts',(0.373983780363039,-2.02153534237578, 0.227321898887878)); #170934=CARTESIAN_POINT('Origin',(-0.353668336079037,-0.455340423879736, -0.426483661851114)); #170935=CARTESIAN_POINT('',(-0.342051272278132,-0.94454163912163,-0.0407031484495305)); #170936=CARTESIAN_POINT('Origin',(-0.282998802193871,-0.934129095368295, -0.0386091786473805)); #170937=CARTESIAN_POINT('',(-0.414529860849435,-0.453496556104549,-0.43850674980215)); #170938=CARTESIAN_POINT('',(-0.412720806163298,-0.465752967633071,-0.428577631653265)); #170939=CARTESIAN_POINT('',(-0.355477390765174,-0.480852589334669,-0.483033961802319)); #170940=CARTESIAN_POINT('Origin',(-0.355477390765174,-0.443084012351214, -0.43641278)); #170941=CARTESIAN_POINT('',(-0.353668336079037,-0.493109000863191,-0.473104843653433)); #170942=CARTESIAN_POINT('Origin',(3.32501522146161E-17,-0.443084012351214, -0.43641278)); #170943=CARTESIAN_POINT('',(0.355477390765174,-0.443084012351214,-0.49641278)); #170944=CARTESIAN_POINT('',(3.32501522146161E-17,-0.443084012351214,-0.49641278)); #170945=CARTESIAN_POINT('',(0.355477390765174,-0.480852589334669,-0.483033961802318)); #170946=CARTESIAN_POINT('Origin',(0.355477390765174,-0.443084012351214, -0.43641278)); #170947=CARTESIAN_POINT('',(3.32501522146161E-17,-0.480852589334669,-0.483033961802318)); #170948=CARTESIAN_POINT('Origin',(3.32501522146161E-17,-0.443084012351214, -0.43641278)); #170949=CARTESIAN_POINT('',(3.32501522146161E-17,-0.480852589334669,-0.483033961802318)); #170950=CARTESIAN_POINT('',(-0.355477390765174,-0.443084012351214,-0.49641278)); #170951=CARTESIAN_POINT('Origin',(-0.355477390765174,-0.443084012351214, -0.43641278)); #170952=CARTESIAN_POINT('',(3.32501522146161E-17,-0.443084012351214,-0.49641278)); #170953=CARTESIAN_POINT('Ctrl Pts',(-0.282998802193871,-0.97189767235175, -0.0852303604496991)); #170954=CARTESIAN_POINT('Ctrl Pts',(-0.270949695610185,-1.05353080924495, -0.0190980296944423)); #170955=CARTESIAN_POINT('Ctrl Pts',(-0.274916990218112,-1.13749869718538, 0.048925720824429)); #170956=CARTESIAN_POINT('Ctrl Pts',(-0.294609148112243,-1.21763092923554, 0.113842144176276)); #170957=CARTESIAN_POINT('Ctrl Pts',(-0.299280775596763,-0.973348645774111, -0.084054903301578)); #170958=CARTESIAN_POINT('Ctrl Pts',(-0.288348839880083,-1.05379863060278, -0.0189194802185304)); #170959=CARTESIAN_POINT('Ctrl Pts',(-0.293187028549768,-1.13632506042548, 0.0480341527630932)); #170960=CARTESIAN_POINT('Ctrl Pts',(-0.313436629437863,-1.2148374699198, 0.111579117390207)); #170961=CARTESIAN_POINT('Ctrl Pts',(-0.327568584155578,-0.968052217640109, -0.0723630900171875)); #170962=CARTESIAN_POINT('Ctrl Pts',(-0.318484209461275,-1.04547199391737, -0.00774704778788598)); #170963=CARTESIAN_POINT('Ctrl Pts',(-0.324889276768365,-1.12455592877006, 0.0584137337935719)); #170964=CARTESIAN_POINT('Ctrl Pts',(-0.345940202171093,-1.19912386253479, 0.12111600982772)); #170965=CARTESIAN_POINT('Ctrl Pts',(-0.34038613416872,-0.95205820702098, -0.0502849133081842)); #170966=CARTESIAN_POINT('Ctrl Pts',(-0.330544761243168,-1.0268130153325, 0.0153854195112155)); #170967=CARTESIAN_POINT('Ctrl Pts',(-0.335489333170333,-1.1033815282311, 0.0828372349147285)); #170968=CARTESIAN_POINT('Ctrl Pts',(-0.354710433114634,-1.17593309646183, 0.147082059539592)); #170969=CARTESIAN_POINT('Ctrl Pts',(-0.342051272278132,-0.94454163912163, -0.0407031484495307)); #170970=CARTESIAN_POINT('Ctrl Pts',(-0.331341614488695,-1.01857838441971, 0.0254291823057262)); #170971=CARTESIAN_POINT('Ctrl Pts',(-0.335171294754123,-1.09464634498408, 0.0934529328245975)); #170972=CARTESIAN_POINT('Ctrl Pts',(-0.353211213302491,-1.16715750097765, 0.158369356176445)); #170973=CARTESIAN_POINT('',(-0.294609148112228,-1.21763092923554,0.113842144176278)); #170974=CARTESIAN_POINT('Ctrl Pts',(-0.276218157537999,-1.07154374856824, -0.00450545483406442)); #170975=CARTESIAN_POINT('Ctrl Pts',(-0.276719349395564,-1.12073109826327, 0.0353420164601225)); #170976=CARTESIAN_POINT('Ctrl Pts',(-0.282870265688244,-1.1698625304919, 0.075144188106109)); #170977=CARTESIAN_POINT('Ctrl Pts',(-0.294609148112243,-1.21763092923554, 0.113842144176276)); #170978=CARTESIAN_POINT('',(-0.353211213302491,-1.16715750097765,0.158369356176444)); #170979=CARTESIAN_POINT('Origin',(-0.294609148112243,-1.17986235225209, 0.160463325978595)); #170980=CARTESIAN_POINT('Ctrl Pts',(-0.353211213302491,-1.16715750097765, 0.158369356176445)); #170981=CARTESIAN_POINT('Ctrl Pts',(-0.335171294754123,-1.09464634498408, 0.0934529328245975)); #170982=CARTESIAN_POINT('Ctrl Pts',(-0.331341614488695,-1.01857838441971, 0.0254291823057262)); #170983=CARTESIAN_POINT('Ctrl Pts',(-0.342051272278132,-0.94454163912163, -0.0407031484495307)); #170984=CARTESIAN_POINT('Origin',(0.,-0.4643379,-0.49641278)); #170985=CARTESIAN_POINT('',(0.,-1.21763092923561,0.113842144176328)); #170986=CARTESIAN_POINT('Origin',(0.,-0.4643379,-0.49641278)); #170987=CARTESIAN_POINT('Origin',(0.,-1.375,-0.08041278)); #170988=CARTESIAN_POINT('',(-0.375354122510042,-1.375,0.16958722)); #170989=CARTESIAN_POINT('',(0.,-1.375,0.16958722)); #170990=CARTESIAN_POINT('Ctrl Pts',(-0.294609148112243,-1.21763092923561, 0.113842144176328)); #170991=CARTESIAN_POINT('Ctrl Pts',(-0.3027284746961,-1.24427571642444, 0.135427519262189)); #170992=CARTESIAN_POINT('Ctrl Pts',(-0.323648719554528,-1.29802427128521, 0.162578919442326)); #170993=CARTESIAN_POINT('Ctrl Pts',(-0.358422047584595,-1.35265969737277, 0.16958722)); #170994=CARTESIAN_POINT('Ctrl Pts',(-0.375354122510042,-1.375,0.16958722)); #170995=CARTESIAN_POINT('Origin',(9.88253907054278E-17,-2.4261,0.16958722)); #170996=CARTESIAN_POINT('',(0.375354122510043,-1.375,0.16958722)); #170997=CARTESIAN_POINT('',(0.,-1.375,0.16958722)); #170998=CARTESIAN_POINT('',(1.18115079142425,-1.61829779932655,0.16958722)); #170999=CARTESIAN_POINT('Origin',(0.914477181471395,-1.04547577136898,0.16958722)); #171000=CARTESIAN_POINT('',(1.55540059578836,-1.62348109586648,0.16958722)); #171001=CARTESIAN_POINT('Origin',(1.36290445129721,-2.00870950918995,0.16958722)); #171002=CARTESIAN_POINT('',(1.79465898254615,-1.74303696297064,0.16958722)); #171003=CARTESIAN_POINT('',(1.21318173869371,-1.45247654821497,0.16958722)); #171004=CARTESIAN_POINT('',(1.84727272383851,-1.93904267520013,0.16958722)); #171005=CARTESIAN_POINT('Origin',(1.734026145,-1.86437701300098,0.16958722)); #171006=CARTESIAN_POINT('',(-1.8472727238385,-1.93904267520013,0.16958722)); #171007=CARTESIAN_POINT('Origin',(0.,-0.7211,0.16958722)); #171008=CARTESIAN_POINT('',(-1.79465898254615,-1.74303696297064,0.16958722)); #171009=CARTESIAN_POINT('Origin',(-1.734026145,-1.86437701300098,0.16958722)); #171010=CARTESIAN_POINT('',(-1.55540059578836,-1.62348109586648,0.16958722)); #171011=CARTESIAN_POINT('',(-1.09355254531481,-1.39269861466289,0.16958722)); #171012=CARTESIAN_POINT('',(-1.18115079142425,-1.61829779932655,0.16958722)); #171013=CARTESIAN_POINT('Origin',(-1.36290445129721,-2.00870950918995,0.16958722)); #171014=CARTESIAN_POINT('Origin',(-0.914477181471395,-1.04547577136898, 0.16958722)); #171015=CARTESIAN_POINT('',(-0.493213785944833,-2.4261,0.16958722)); #171016=CARTESIAN_POINT('Origin',(6.61754975377686E-17,-2.4261,0.16958722)); #171017=CARTESIAN_POINT('Origin',(0.,-0.4643379,-0.49641278)); #171018=CARTESIAN_POINT('',(0.294609148112259,-1.21763092923561,0.113842144176328)); #171019=CARTESIAN_POINT('Ctrl Pts',(0.294609148112259,-1.21763092923561, 0.113842144176328)); #171020=CARTESIAN_POINT('Ctrl Pts',(0.282870265762679,-1.16986253079482, 0.0751441883515054)); #171021=CARTESIAN_POINT('Ctrl Pts',(0.276719349473564,-1.12073109888632, 0.0353420169648642)); #171022=CARTESIAN_POINT('Ctrl Pts',(0.276218157547533,-1.07154374950384, -0.00450545407611687)); #171023=CARTESIAN_POINT('',(0.,-1.21763092923561,0.113842144176328)); #171024=CARTESIAN_POINT('Ctrl Pts',(-0.483541727333831,-0.117711602087779, 0.143407021460557)); #171025=CARTESIAN_POINT('Ctrl Pts',(-0.475675119991342,-0.154012761327196, 0.113432595042085)); #171026=CARTESIAN_POINT('Ctrl Pts',(-0.465437746190182,-0.194245141657384, 0.0396131890848242)); #171027=CARTESIAN_POINT('Ctrl Pts',(-0.464067350055862,-0.178976608284298, -0.0729711649034607)); #171028=CARTESIAN_POINT('Ctrl Pts',(-0.469296417309156,-0.140400214139111, -0.124908205109984)); #171029=CARTESIAN_POINT('Ctrl Pts',(-0.473103272231595,-0.115959310828619, -0.144594113666233)); #171030=CARTESIAN_POINT('Ctrl Pts',(-0.486235623457711,-0.118071693566667, 0.143172284686229)); #171031=CARTESIAN_POINT('Ctrl Pts',(-0.478444213690316,-0.154271871713641, 0.113138706144223)); #171032=CARTESIAN_POINT('Ctrl Pts',(-0.468316882975557,-0.194267131267786, 0.0393763850576534)); #171033=CARTESIAN_POINT('Ctrl Pts',(-0.466963108752595,-0.179016329572352, -0.0728649036694993)); #171034=CARTESIAN_POINT('Ctrl Pts',(-0.472133591984716,-0.140602635615152, -0.124737592234821)); #171035=CARTESIAN_POINT('Ctrl Pts',(-0.475900500931729,-0.116241091028234, -0.14443072351874)); #171036=CARTESIAN_POINT('Ctrl Pts',(-0.491557606690594,-0.120287180723759, 0.144530671929134)); #171037=CARTESIAN_POINT('Ctrl Pts',(-0.483907076054192,-0.156849207198098, 0.114086280095708)); #171038=CARTESIAN_POINT('Ctrl Pts',(-0.473994578741762,-0.197104796277831, 0.0395314002013637)); #171039=CARTESIAN_POINT('Ctrl Pts',(-0.472670553316059,-0.1816963313438, -0.0737416829094086)); #171040=CARTESIAN_POINT('Ctrl Pts',(-0.477729176317583,-0.142974653926212, -0.126142690695757)); #171041=CARTESIAN_POINT('Ctrl Pts',(-0.481421482343265,-0.118382368307809, -0.146076664607199)); #171042=CARTESIAN_POINT('Ctrl Pts',(-0.493434424161134,-0.124087638710133, 0.148667238144257)); #171043=CARTESIAN_POINT('Ctrl Pts',(-0.485683271198606,-0.161785466918249, 0.117462698651004)); #171044=CARTESIAN_POINT('Ctrl Pts',(-0.47560780427433,-0.203486461909807, 0.0407426498846328)); #171045=CARTESIAN_POINT('Ctrl Pts',(-0.474262437003043,-0.187624191340029, -0.0761116468561803)); #171046=CARTESIAN_POINT('Ctrl Pts',(-0.479404918623386,-0.147606229003619, -0.130070060882608)); #171047=CARTESIAN_POINT('Ctrl Pts',(-0.483151383359016,-0.122234340709979, -0.150542666990747)); #171048=CARTESIAN_POINT('Ctrl Pts',(-0.493209281616835,-0.125790652839995, 0.150786411865519)); #171049=CARTESIAN_POINT('Ctrl Pts',(-0.485342674274346,-0.164052822315434, 0.11920234559649)); #171050=CARTESIAN_POINT('Ctrl Pts',(-0.475105300473186,-0.206466602779273, 0.0414042488220989)); #171051=CARTESIAN_POINT('Ctrl Pts',(-0.473734904338866,-0.190374918391412, -0.0772606164609732)); #171052=CARTESIAN_POINT('Ctrl Pts',(-0.47896397159216,-0.14971166339846, -0.13199807452065)); #171053=CARTESIAN_POINT('Ctrl Pts',(-0.482770826514599,-0.123950071568556, -0.152743128063145)); #171054=CARTESIAN_POINT('',(-0.493209281616835,-0.125790652839995,0.150786411865519)); #171055=CARTESIAN_POINT('',(-0.483541727333831,-0.117711602087779,0.143407021460557)); #171056=CARTESIAN_POINT('Origin',(-0.483367203269458,-0.124055228881105, 0.151135406832544)); #171057=CARTESIAN_POINT('',(-0.473103272231595,-0.115959310828619,-0.144594113666233)); #171058=CARTESIAN_POINT('Ctrl Pts',(-0.483541727333831,-0.117711602087779, 0.143407021460557)); #171059=CARTESIAN_POINT('Ctrl Pts',(-0.475675119991342,-0.154012761327196, 0.113432595042085)); #171060=CARTESIAN_POINT('Ctrl Pts',(-0.465437746190182,-0.194245141657384, 0.0396131890848242)); #171061=CARTESIAN_POINT('Ctrl Pts',(-0.464067350055862,-0.178976608284298, -0.0729711649034607)); #171062=CARTESIAN_POINT('Ctrl Pts',(-0.469296417309156,-0.140400214139111, -0.124908205109984)); #171063=CARTESIAN_POINT('Ctrl Pts',(-0.473103272231595,-0.115959310828619, -0.144594113666233)); #171064=CARTESIAN_POINT('',(-0.482770826514599,-0.123950071568556,-0.152743128063145)); #171065=CARTESIAN_POINT('Origin',(-0.472928748167222,-0.122214647609667, -0.15239413309612)); #171066=CARTESIAN_POINT('Origin',(-0.509834951500789,-0.00113273166791696, -0.000227793127476418)); #171067=CARTESIAN_POINT('Ctrl Pts',(-0.473103272231594,-0.115959310828619, -0.144594113666233)); #171068=CARTESIAN_POINT('Ctrl Pts',(-0.477949136504865,-0.0848477232919703, -0.169652920188465)); #171069=CARTESIAN_POINT('Ctrl Pts',(-0.484339100724509,-0.00473751696568106, -0.199050757983219)); #171070=CARTESIAN_POINT('Ctrl Pts',(-0.461480998464528,0.114861682630256, -0.161350249999407)); #171071=CARTESIAN_POINT('Ctrl Pts',(-0.436427980991933,0.175589963561928, -0.0784072677735238)); #171072=CARTESIAN_POINT('Ctrl Pts',(-0.433473935954348,0.188043896026504, 0.00252529676552454)); #171073=CARTESIAN_POINT('Ctrl Pts',(-0.443948534117075,0.173675843790218, 0.0823063033369093)); #171074=CARTESIAN_POINT('Ctrl Pts',(-0.474800339025974,0.11274733336931, 0.16307338403246)); #171075=CARTESIAN_POINT('Ctrl Pts',(-0.498837973673709,-0.00755561538837521, 0.199226417876296)); #171076=CARTESIAN_POINT('Ctrl Pts',(-0.490178643667427,-0.0870849613778419, 0.168695910510914)); #171077=CARTESIAN_POINT('Ctrl Pts',(-0.48354172733383,-0.117711602087779, 0.143407021460557)); #171078=CARTESIAN_POINT('Ctrl Pts',(-0.474701688631671,-0.116120328085542, -0.144500747867666)); #171079=CARTESIAN_POINT('Ctrl Pts',(-0.479490298629575,-0.0849978534708294, -0.169618596936023)); #171080=CARTESIAN_POINT('Ctrl Pts',(-0.485812680909406,-0.0046567593731985, -0.199185964473742)); #171081=CARTESIAN_POINT('Ctrl Pts',(-0.463069403856845,0.115282223434699, -0.161136914516276)); #171082=CARTESIAN_POINT('Ctrl Pts',(-0.438287599615438,0.1757019526658, -0.0780786274942311)); #171083=CARTESIAN_POINT('Ctrl Pts',(-0.435401991210749,0.1880366954342, 0.00243213308229228)); #171084=CARTESIAN_POINT('Ctrl Pts',(-0.445731907522367,0.173861962509087, 0.0818735589821219)); #171085=CARTESIAN_POINT('Ctrl Pts',(-0.476310105542449,0.113210022832191, 0.162865350766002)); #171086=CARTESIAN_POINT('Ctrl Pts',(-0.500247131804634,-0.00749952423756828, 0.199360856392693)); #171087=CARTESIAN_POINT('Ctrl Pts',(-0.491657034481096,-0.0872749115517951, 0.168641790998137)); #171088=CARTESIAN_POINT('Ctrl Pts',(-0.485081096547476,-0.117917368647143, 0.143272886160941)); #171089=CARTESIAN_POINT('Ctrl Pts',(-0.477482896823498,-0.116836796758479, -0.144880101789295)); #171090=CARTESIAN_POINT('Ctrl Pts',(-0.482173559889511,-0.0855419368058158, -0.170152786368363)); #171091=CARTESIAN_POINT('Ctrl Pts',(-0.488380107127808,-0.00454060328291089, -0.200021232002742)); #171092=CARTESIAN_POINT('Ctrl Pts',(-0.465833300585634,0.116413500615033, -0.161427348513061)); #171093=CARTESIAN_POINT('Ctrl Pts',(-0.441516405273298,0.176799722259018, -0.0779403882067082)); #171094=CARTESIAN_POINT('Ctrl Pts',(-0.438748100634729,0.189046428099178, 0.00228489450001073)); #171095=CARTESIAN_POINT('Ctrl Pts',(-0.448830105491895,0.175029423710343, 0.081554410144686)); #171096=CARTESIAN_POINT('Ctrl Pts',(-0.478938683679519,0.114363108655864, 0.163115813332882)); #171097=CARTESIAN_POINT('Ctrl Pts',(-0.50270268404478,-0.00742579225619126, 0.200139016730817)); #171098=CARTESIAN_POINT('Ctrl Pts',(-0.494231849972948,-0.0878727937364217, 0.169093741307824)); #171099=CARTESIAN_POINT('Ctrl Pts',(-0.487760307335139,-0.118690502469272, 0.143542182647427)); #171100=CARTESIAN_POINT('Ctrl Pts',(-0.480957177828841,-0.118925086484866, -0.146835825052362)); #171101=CARTESIAN_POINT('Ctrl Pts',(-0.485590510748949,-0.0870207493880318, -0.172453657424641)); #171102=CARTESIAN_POINT('Ctrl Pts',(-0.491726837683473,-0.00443399592162653, -0.202754928518424)); #171103=CARTESIAN_POINT('Ctrl Pts',(-0.469268244632384,0.118931300590153, -0.163568846321696)); #171104=CARTESIAN_POINT('Ctrl Pts',(-0.445204476644228,0.180500006077672, -0.0789448820763258)); #171105=CARTESIAN_POINT('Ctrl Pts',(-0.442498751410181,0.192955760596842, 0.00216216867070015)); #171106=CARTESIAN_POINT('Ctrl Pts',(-0.452432501878452,0.178680549970579, 0.0823454410642756)); #171107=CARTESIAN_POINT('Ctrl Pts',(-0.482277314223704,0.116804608941735, 0.165074654813162)); #171108=CARTESIAN_POINT('Ctrl Pts',(-0.505961914845976,-0.00738250850482668, 0.202668467825359)); #171109=CARTESIAN_POINT('Ctrl Pts',(-0.497565766261262,-0.0893901018072381, 0.171169091057294)); #171110=CARTESIAN_POINT('Ctrl Pts',(-0.491157825096297,-0.120806286355063, 0.145259109878501)); #171111=CARTESIAN_POINT('Ctrl Pts',(-0.48280994544512,-0.121408856117649, -0.149580221052231)); #171112=CARTESIAN_POINT('Ctrl Pts',(-0.487524820507239,-0.0887473395101424, -0.17557714662283)); #171113=CARTESIAN_POINT('Ctrl Pts',(-0.493756107364751,-0.00441432741332465, -0.20622688758115)); #171114=CARTESIAN_POINT('Ctrl Pts',(-0.471065586742373,0.121564056637846, -0.166725026680759)); #171115=CARTESIAN_POINT('Ctrl Pts',(-0.446587602077135,0.184967176464059, -0.0807746935266887)); #171116=CARTESIAN_POINT('Ctrl Pts',(-0.443779528932316,0.197852934869388, 0.00217348038786247)); #171117=CARTESIAN_POINT('Ctrl Pts',(-0.453898037350324,0.183000544004639, 0.0840927806035999)); #171118=CARTESIAN_POINT('Ctrl Pts',(-0.484149186512277,0.119311746663067, 0.168005084468399)); #171119=CARTESIAN_POINT('Ctrl Pts',(-0.508037400541991,-0.0074130531542204, 0.205899783765901)); #171120=CARTESIAN_POINT('Ctrl Pts',(-0.499547722275491,-0.0911198710960134, 0.174048143347135)); #171121=CARTESIAN_POINT('Ctrl Pts',(-0.493057584980409,-0.123273267143602, 0.14777453557745)); #171122=CARTESIAN_POINT('Ctrl Pts',(-0.482933922305063,-0.123214758343452, -0.151800073317832)); #171123=CARTESIAN_POINT('Ctrl Pts',(-0.487808410896341,-0.0900106508306166, -0.178083633103605)); #171124=CARTESIAN_POINT('Ctrl Pts',(-0.49423761612483,-0.00445332995121949, -0.208960395416135)); #171125=CARTESIAN_POINT('Ctrl Pts',(-0.471129859875508,0.123313117766295, -0.169315466259346)); #171126=CARTESIAN_POINT('Ctrl Pts',(-0.445910080094538,0.18804662527461, -0.0823435897855443)); #171127=CARTESIAN_POINT('Ctrl Pts',(-0.442930396702219,0.201271747178016, 0.00226371240307162)); #171128=CARTESIAN_POINT('Ctrl Pts',(-0.453389093684299,0.185971647770008, 0.085711465046969)); #171129=CARTESIAN_POINT('Ctrl Pts',(-0.484391605670913,0.120983918714947, 0.170464029573852)); #171130=CARTESIAN_POINT('Ctrl Pts',(-0.508654589237719,-0.00748035054777046, 0.208484514758722)); #171131=CARTESIAN_POINT('Ctrl Pts',(-0.499963372320417,-0.0923791931498936, 0.176413468874789)); #171132=CARTESIAN_POINT('Ctrl Pts',(-0.493305771278677,-0.125060789641482, 0.149878194556407)); #171133=CARTESIAN_POINT('Ctrl Pts',(-0.482770826514598,-0.123950071568556, -0.152743128063145)); #171134=CARTESIAN_POINT('Ctrl Pts',(-0.487727160605687,-0.0905308329862415, -0.179150153247141)); #171135=CARTESIAN_POINT('Ctrl Pts',(-0.494261469791741,-0.0044779586459725, -0.210125227687584)); #171136=CARTESIAN_POINT('Ctrl Pts',(-0.470935712198326,0.124001318278633, -0.170416607374526)); #171137=CARTESIAN_POINT('Ctrl Pts',(-0.445348153170958,0.18924084645196, -0.0830027446310768)); #171138=CARTESIAN_POINT('Ctrl Pts',(-0.442287185219349,0.202596925748811, 0.00231330695257245)); #171139=CARTESIAN_POINT('Ctrl Pts',(-0.452910422254104,0.187129043752239, 0.0864124335105318)); #171140=CARTESIAN_POINT('Ctrl Pts',(-0.484291304412886,0.121647997771708, 0.171524226315769)); #171141=CARTESIAN_POINT('Ctrl Pts',(-0.508754125959984,-0.00751606898286078, 0.209597751287428)); #171142=CARTESIAN_POINT('Ctrl Pts',(-0.499953833201495,-0.0928991129211256, 0.177433325353891)); #171143=CARTESIAN_POINT('Ctrl Pts',(-0.493209281616834,-0.125790652839995, 0.150786411865519)); #171144=CARTESIAN_POINT('',(-0.50288678745584,-0.024963880916779,0.193731267306629)); #171145=CARTESIAN_POINT('',(-0.494589631961833,0.0613706835212192,0.185883319567057)); #171146=CARTESIAN_POINT('Ctrl Pts',(-0.502904340797127,-0.0247924394495449, 0.193730611095408)); #171147=CARTESIAN_POINT('Ctrl Pts',(-0.502901307538406,-0.0243971315869484, 0.193758979200625)); #171148=CARTESIAN_POINT('Ctrl Pts',(-0.502897713719085,-0.0240017906909653, 0.19378646922004)); #171149=CARTESIAN_POINT('Ctrl Pts',(-0.502893563147132,-0.0236064242403323, 0.193813078794052)); #171150=CARTESIAN_POINT('Ctrl Pts',(-0.502836554946452,-0.0181760570001004, 0.194178561901587)); #171151=CARTESIAN_POINT('Ctrl Pts',(-0.502675009703637,-0.012737456455427, 0.194378306091947)); #171152=CARTESIAN_POINT('Ctrl Pts',(-0.502414959804959,-0.0073059726068574, 0.194405013537556)); #171153=CARTESIAN_POINT('Ctrl Pts',(-0.502388237619531,-0.00674784465031491, 0.194407757938986)); #171154=CARTESIAN_POINT('Ctrl Pts',(-0.502360469789225,-0.00618979003775311, 0.194408675861734)); #171155=CARTESIAN_POINT('Ctrl Pts',(-0.502331676844963,-0.00563182208465769, 0.19440777693248)); #171156=CARTESIAN_POINT('Ctrl Pts',(-0.502105168812671,-0.00124240563643052, 0.194400705245242)); #171157=CARTESIAN_POINT('Ctrl Pts',(-0.501815334080437,0.00314386788815008, 0.194281082088521)); #171158=CARTESIAN_POINT('Ctrl Pts',(-0.501470229274564,0.00752135466638901, 0.194052823494019)); #171159=CARTESIAN_POINT('Ctrl Pts',(-0.501294180530999,0.00975444743753288, 0.193936381653493)); #171160=CARTESIAN_POINT('Ctrl Pts',(-0.501103682890752,0.011985487583262, 0.19379165792711)); #171161=CARTESIAN_POINT('Ctrl Pts',(-0.500899493176561,0.0142137259988118, 0.19361916192193)); #171162=CARTESIAN_POINT('Ctrl Pts',(-0.500743739743752,0.0159133991861446, 0.193487584065234)); #171163=CARTESIAN_POINT('Ctrl Pts',(-0.500580007760221,0.0176115258338959, 0.193339840688667)); #171164=CARTESIAN_POINT('Ctrl Pts',(-0.500408549390934,0.0193077620794507, 0.193176155791183)); #171165=CARTESIAN_POINT('Ctrl Pts',(-0.500222513758188,0.0211482110732125, 0.192998554525053)); #171166=CARTESIAN_POINT('Ctrl Pts',(-0.500027372818225,0.0229865382007532, 0.192802175825289)); #171167=CARTESIAN_POINT('Ctrl Pts',(-0.499823353462398,0.0248222744331252, 0.192587303595693)); #171168=CARTESIAN_POINT('Ctrl Pts',(-0.499496444911984,0.0277637496095071, 0.192243005042173)); #171169=CARTESIAN_POINT('Ctrl Pts',(-0.499146718328392,0.030699060057614, 0.191851166869032)); #171170=CARTESIAN_POINT('Ctrl Pts',(-0.498774596943553,0.0336259909275502, 0.191412948948174)); #171171=CARTESIAN_POINT('Ctrl Pts',(-0.497643037087081,0.0425263057442194, 0.190080400409358)); #171172=CARTESIAN_POINT('Ctrl Pts',(-0.496305513995558,0.0513675513517733, 0.188316377843845)); #171173=CARTESIAN_POINT('Ctrl Pts',(-0.494741603604837,0.0600632322502384, 0.18615176491226)); #171174=CARTESIAN_POINT('Ctrl Pts',(-0.494670821909698,0.060456793823866, 0.186053795761645)); #171175=CARTESIAN_POINT('Ctrl Pts',(-0.494599561494529,0.0608500966140582, 0.185954995095674)); #171176=CARTESIAN_POINT('Ctrl Pts',(-0.494527818584489,0.0612431290012197, 0.185855365700971)); #171177=CARTESIAN_POINT('Ctrl Pts',(-0.494527818584475,0.0612431290012986, 0.185855365700946)); #171178=CARTESIAN_POINT('Ctrl Pts',(-0.491102748290028,0.0797820187853043, 0.179989416676017)); #171179=CARTESIAN_POINT('Ctrl Pts',(-0.477479957191164,0.135860923283432, 0.153050367916045)); #171180=CARTESIAN_POINT('Ctrl Pts',(-0.452910422254104,0.187129043752239, 0.0864124335105318)); #171181=CARTESIAN_POINT('Ctrl Pts',(-0.442287185219349,0.202596925748811, 0.00231330695257245)); #171182=CARTESIAN_POINT('Ctrl Pts',(-0.445348153170958,0.18924084645196, -0.0830027446310768)); #171183=CARTESIAN_POINT('Ctrl Pts',(-0.470935712198326,0.124001318278633, -0.170416607374526)); #171184=CARTESIAN_POINT('Ctrl Pts',(-0.494261469791741,-0.0044779586459725, -0.210125227687584)); #171185=CARTESIAN_POINT('Ctrl Pts',(-0.487727160605687,-0.0905308329862415, -0.179150153247141)); #171186=CARTESIAN_POINT('Ctrl Pts',(-0.482770826514598,-0.123950071568556, -0.152743128063145)); #171187=CARTESIAN_POINT('',(-0.435048850964597,0.184703825153298,-2.50796247109781E-6)); #171188=CARTESIAN_POINT('Ctrl Pts',(-0.473103272231594,-0.115959310828619, -0.144594113666233)); #171189=CARTESIAN_POINT('Ctrl Pts',(-0.477949136504865,-0.0848477232919703, -0.169652920188465)); #171190=CARTESIAN_POINT('Ctrl Pts',(-0.484339100724509,-0.00473751696568106, -0.199050757983219)); #171191=CARTESIAN_POINT('Ctrl Pts',(-0.461480998464528,0.114861682630256, -0.161350249999407)); #171192=CARTESIAN_POINT('Ctrl Pts',(-0.436625906448497,0.17511019409931, -0.0790625392450676)); #171193=CARTESIAN_POINT('Ctrl Pts',(-0.434273013546815,0.184679741722098, -0.0193044203772726)); #171194=CARTESIAN_POINT('Ctrl Pts',(-0.435048956287261,0.184703828441927, 1.12575945796401E-7)); #171195=CARTESIAN_POINT('Ctrl Pts',(-0.435048850964597,0.184703825153298, -2.50796247111224E-6)); #171196=CARTESIAN_POINT('Ctrl Pts',(-0.435080791641755,0.184704828308092, 0.000792281112611894)); #171197=CARTESIAN_POINT('Ctrl Pts',(-0.435989031568237,0.184593928342952, 0.0216818177682437)); #171198=CARTESIAN_POINT('Ctrl Pts',(-0.443948534117075,0.173675843790218, 0.0823063033369093)); #171199=CARTESIAN_POINT('Ctrl Pts',(-0.474800339025974,0.11274733336931, 0.16307338403246)); #171200=CARTESIAN_POINT('Ctrl Pts',(-0.498837973673709,-0.00755561538837521, 0.199226417876296)); #171201=CARTESIAN_POINT('Ctrl Pts',(-0.490178643667427,-0.0870849613778419, 0.168695910510914)); #171202=CARTESIAN_POINT('Ctrl Pts',(-0.48354172733383,-0.117711602087779, 0.143407021460557)); #171203=CARTESIAN_POINT('Ctrl Pts',(-0.493209281616834,-0.125790652839995, 0.150786411865519)); #171204=CARTESIAN_POINT('Ctrl Pts',(-0.499027696861553,-0.0974156549051471, 0.173774271876563)); #171205=CARTESIAN_POINT('Ctrl Pts',(-0.503101351124179,-0.0617472819739449, 0.188899635585772)); #171206=CARTESIAN_POINT('Ctrl Pts',(-0.502905268147854,-0.0249608284218783, 0.19370867094276)); #171207=CARTESIAN_POINT('Origin',(0.0397126400703084,-0.0318221394355476, 0.49641278)); #171208=CARTESIAN_POINT('',(-0.495317979614032,-0.126162473191066,0.212103161007912)); #171209=CARTESIAN_POINT('',(-0.488034099693016,-0.124878128636751,0.000302401252951563)); #171210=CARTESIAN_POINT('Ctrl Pts',(-0.502910948269103,-0.0249674476361421, 0.193708622081988)); #171211=CARTESIAN_POINT('Ctrl Pts',(-0.503506014083291,-0.0592309266926279, 0.198389542672677)); #171212=CARTESIAN_POINT('Ctrl Pts',(-0.500881914469267,-0.0931373490021077, 0.204790995392334)); #171213=CARTESIAN_POINT('Ctrl Pts',(-0.495317979614032,-0.126162473191066, 0.212103161007912)); #171214=CARTESIAN_POINT('Origin',(0.0397126400703084,-0.0318221394355476, 0.49641278)); #171215=CARTESIAN_POINT('',(-0.420380343469033,0.256367589654112,0.201056882937768)); #171216=CARTESIAN_POINT('Ctrl Pts',(-0.420370678684657,0.256357655307398, 0.201077062520138)); #171217=CARTESIAN_POINT('Ctrl Pts',(-0.445890663739288,0.215037317330639, 0.192957904023844)); #171218=CARTESIAN_POINT('Ctrl Pts',(-0.474866947972745,0.150749233704018, 0.184550255946162)); #171219=CARTESIAN_POINT('Ctrl Pts',(-0.490967359018355,0.0819091160558474, 0.1850328341646)); #171220=CARTESIAN_POINT('Ctrl Pts',(-0.494580745983081,0.0613701186580763, 0.185906784021125)); #171221=CARTESIAN_POINT('',(-0.402901314594827,0.246399453646751,-0.374641156220573)); #171222=CARTESIAN_POINT('Ctrl Pts',(-0.402901314594827,0.246399453646751, -0.374641156220573)); #171223=CARTESIAN_POINT('Ctrl Pts',(-0.40872866176042,0.249720996924913, -0.182741817093012)); #171224=CARTESIAN_POINT('Ctrl Pts',(-0.414554961416683,0.253043757579535, 0.00915752960237308)); #171225=CARTESIAN_POINT('Ctrl Pts',(-0.420380343469029,0.256367589654115, 0.201056882937738)); #171226=CARTESIAN_POINT('',(-0.438819562687607,0.173103118945085,-0.43850674980215)); #171227=CARTESIAN_POINT('Ctrl Pts',(-0.438819562687606,0.173103118945085, -0.43850674980215)); #171228=CARTESIAN_POINT('Ctrl Pts',(-0.429772396241086,0.194229653187778, -0.43850674980215)); #171229=CARTESIAN_POINT('Ctrl Pts',(-0.41134765566718,0.230318864645026, -0.424338425596242)); #171230=CARTESIAN_POINT('Ctrl Pts',(-0.402438469472275,0.246135635469807, -0.389883027111761)); #171231=CARTESIAN_POINT('Ctrl Pts',(-0.402901314594827,0.246399453646751, -0.374641156220573)); #171232=CARTESIAN_POINT('',(-0.472943345683323,-0.122217221545607,-0.43850674980215)); #171233=CARTESIAN_POINT('Origin',(0.0397126400703084,-0.0318221394355476, -0.43850674980215)); #171234=CARTESIAN_POINT('',(-0.488034099693016,-0.124878128636751,0.000302401252951563)); #171235=CARTESIAN_POINT('Ctrl Pts',(-0.442323979004611,-0.0867832776838668, 0.318483799170608)); #171236=CARTESIAN_POINT('Ctrl Pts',(-0.450261512258239,-0.0551502812780558, 0.307550898472262)); #171237=CARTESIAN_POINT('Ctrl Pts',(-0.458270425891376,0.00959282357095804, 0.288896051744437)); #171238=CARTESIAN_POINT('Ctrl Pts',(-0.440076969852322,0.123223661376681, 0.280845360229556)); #171239=CARTESIAN_POINT('Ctrl Pts',(-0.398460409804304,0.196032128975673, 0.300574507362574)); #171240=CARTESIAN_POINT('Ctrl Pts',(-0.36548331363379,0.234307385389339, 0.31578879511843)); #171241=CARTESIAN_POINT('Ctrl Pts',(-0.462635487146893,-0.093814800739439, 0.305759669640956)); #171242=CARTESIAN_POINT('Ctrl Pts',(-0.469633250527504,-0.0601646656421803, 0.294340611044722)); #171243=CARTESIAN_POINT('Ctrl Pts',(-0.475734945376124,0.00857315119974481, 0.275073496316549)); #171244=CARTESIAN_POINT('Ctrl Pts',(-0.455444316406124,0.129493617494039, 0.26683290050893)); #171245=CARTESIAN_POINT('Ctrl Pts',(-0.413816482657663,0.207741137848625, 0.287061776972617)); #171246=CARTESIAN_POINT('Ctrl Pts',(-0.380814506496682,0.249319433094053, 0.302964408342717)); #171247=CARTESIAN_POINT('Ctrl Pts',(-0.490535306372026,-0.108006287392226, 0.272509996230019)); #171248=CARTESIAN_POINT('Ctrl Pts',(-0.496309724291985,-0.0707410438800638, 0.261471273377023)); #171249=CARTESIAN_POINT('Ctrl Pts',(-0.499866863096101,0.0052134661494455, 0.24295897940774)); #171250=CARTESIAN_POINT('Ctrl Pts',(-0.476264460519023,0.138991921672285, 0.23501725187037)); #171251=CARTESIAN_POINT('Ctrl Pts',(-0.433700551661494,0.226509685459643, 0.254204961094936)); #171252=CARTESIAN_POINT('Ctrl Pts',(-0.40017283056416,0.273580192988141, 0.26941557801573)); #171253=CARTESIAN_POINT('Ctrl Pts',(-0.496825276931247,-0.121236244258122, 0.230114430984661)); #171254=CARTESIAN_POINT('Ctrl Pts',(-0.503078846169329,-0.0816260714714552, 0.220578810711507)); #171255=CARTESIAN_POINT('Ctrl Pts',(-0.507122554507802,-0.000280808143650075, 0.204463286618163)); #171256=CARTESIAN_POINT('Ctrl Pts',(-0.481853825345208,0.143915665894824, 0.197369464877444)); #171257=CARTESIAN_POINT('Ctrl Pts',(-0.436114542117652,0.237489977185065, 0.21382055255607)); #171258=CARTESIAN_POINT('Ctrl Pts',(-0.400765850610791,0.28709417301431, 0.226610332862292)); #171259=CARTESIAN_POINT('Ctrl Pts',(-0.495317979614032,-0.126162473191066, 0.212103161007912)); #171260=CARTESIAN_POINT('Ctrl Pts',(-0.502095747737495,-0.0859325568054121, 0.203195766454915)); #171261=CARTESIAN_POINT('Ctrl Pts',(-0.506926346409461,-0.00285717912917503, 0.188083820370273)); #171262=CARTESIAN_POINT('Ctrl Pts',(-0.481308096803573,0.145045629641259, 0.181344945572496)); #171263=CARTESIAN_POINT('Ctrl Pts',(-0.433874698753331,0.2403904606954, 0.196647661749414)); #171264=CARTESIAN_POINT('Ctrl Pts',(-0.39757956741037,0.29034830725862, 0.208423835675961)); #171265=CARTESIAN_POINT('',(-0.442323979004611,-0.0867832776838668,0.318483799170608)); #171266=CARTESIAN_POINT('Origin',(-0.372292000271821,-0.104469673704952, 0.216465598095724)); #171267=CARTESIAN_POINT('',(-0.365483313633791,0.234307385389339,0.31578879511843)); #171268=CARTESIAN_POINT('Ctrl Pts',(-0.442323979004611,-0.0867832776838668, 0.318483799170608)); #171269=CARTESIAN_POINT('Ctrl Pts',(-0.450261512258239,-0.0551502812780558, 0.307550898472262)); #171270=CARTESIAN_POINT('Ctrl Pts',(-0.458270425891376,0.00959282357095804, 0.288896051744437)); #171271=CARTESIAN_POINT('Ctrl Pts',(-0.440076969852322,0.123223661376681, 0.280845360229556)); #171272=CARTESIAN_POINT('Ctrl Pts',(-0.398460409804304,0.196032128975673, 0.300574507362574)); #171273=CARTESIAN_POINT('Ctrl Pts',(-0.36548331363379,0.234307385389339, 0.31578879511843)); #171274=CARTESIAN_POINT('Ctrl Pts',(-0.420380343469031,0.256367589654116, 0.2010568829378)); #171275=CARTESIAN_POINT('Ctrl Pts',(-0.422498497001859,0.254791877413211, 0.228508698338544)); #171276=CARTESIAN_POINT('Ctrl Pts',(-0.409203453869429,0.24852178295691, 0.275031307841297)); #171277=CARTESIAN_POINT('Ctrl Pts',(-0.379588056844351,0.238800535045594, 0.305623831277494)); #171278=CARTESIAN_POINT('Ctrl Pts',(-0.365483313633791,0.234307385389339, 0.31578879511843)); #171279=CARTESIAN_POINT('Origin',(-0.4245,0.,0.)); #171280=CARTESIAN_POINT('',(-0.434325475935627,0.184671504320407,0.)); #171281=CARTESIAN_POINT('',(-0.434325475935627,-2.26157366659713E-17,-0.184671504320407)); #171282=CARTESIAN_POINT('Origin',(-0.434325475935627,0.,1.13078683329857E-17)); #171283=CARTESIAN_POINT('',(-0.4245,0.1845,2.25947334442687E-17)); #171284=CARTESIAN_POINT('Origin',(-0.434325475935627,0.,1.13078683329857E-17)); #171285=CARTESIAN_POINT('Origin',(-0.4345,0.,0.)); #171286=CARTESIAN_POINT('',(-0.4245,-2.13912763864632E-17,-0.174673027368843)); #171287=CARTESIAN_POINT('Origin',(-0.4345,-2.13912763864632E-17,-0.174673027368843)); #171288=CARTESIAN_POINT('Origin',(-0.4245,0.,0.)); #171289=CARTESIAN_POINT('Origin',(-0.4245,-1.74280537117491E-17,3.88628981451502E-18)); #171290=CARTESIAN_POINT('',(-0.4245,0.095,1.16341445918999E-17)); #171291=CARTESIAN_POINT('Origin',(-0.4245,0.,0.)); #171292=CARTESIAN_POINT('Origin',(0.4345,0.,0.)); #171293=CARTESIAN_POINT('',(0.4245,-2.13912763864632E-17,-0.174673027368843)); #171294=CARTESIAN_POINT('Origin',(0.4245,0.,0.)); #171295=CARTESIAN_POINT('',(0.434325475935627,-2.26157366659713E-17,-0.184671504320407)); #171296=CARTESIAN_POINT('Origin',(0.4345,-2.13912763864632E-17,-0.174673027368843)); #171297=CARTESIAN_POINT('',(0.434325475935627,0.184671504320407,4.52314733319426E-17)); #171298=CARTESIAN_POINT('Origin',(0.434325475935627,0.,1.13078683329857E-17)); #171299=CARTESIAN_POINT('Origin',(0.434325475935627,0.,1.13078683329857E-17)); #171300=CARTESIAN_POINT('Origin',(0.4245,0.,0.)); #171301=CARTESIAN_POINT('',(0.43505175996208,0.184699222855809,1.96792709925898E-8)); #171302=CARTESIAN_POINT('',(0.4245,0.1845,2.25947334442687E-17)); #171303=CARTESIAN_POINT('',(0.483541727333829,-0.117711602087785,0.143407021460551)); #171304=CARTESIAN_POINT('Ctrl Pts',(0.483541727333827,-0.117711602087785, 0.143407021460552)); #171305=CARTESIAN_POINT('Ctrl Pts',(0.490178651262943,-0.0870849263276456, 0.168695939452403)); #171306=CARTESIAN_POINT('Ctrl Pts',(0.498961821019344,-0.00736711305956243, 0.199315499315652)); #171307=CARTESIAN_POINT('Ctrl Pts',(0.474687788146464,0.112587057648486, 0.162962876975209)); #171308=CARTESIAN_POINT('Ctrl Pts',(0.443968194897681,0.173634706643198, 0.0823374780895337)); #171309=CARTESIAN_POINT('Ctrl Pts',(0.435995428754038,0.184579996220203, 0.0216843267420178)); #171310=CARTESIAN_POINT('Ctrl Pts',(0.435083928668636,0.184699905291595, 0.000797561398029226)); #171311=CARTESIAN_POINT('Ctrl Pts',(0.43505175996208,0.184699222855809, 1.96792709944611E-8)); #171312=CARTESIAN_POINT('',(0.473103272231594,-0.115959310828625,-0.144594113666228)); #171313=CARTESIAN_POINT('Ctrl Pts',(0.473103272231594,-0.115959310828625, -0.144594113666228)); #171314=CARTESIAN_POINT('Ctrl Pts',(0.467392989847936,-0.152620665794361, -0.115065250831854)); #171315=CARTESIAN_POINT('Ctrl Pts',(0.462488715694446,-0.19374878729774, -0.0417448607156253)); #171316=CARTESIAN_POINT('Ctrl Pts',(0.469286560148283,-0.179854728133205, 0.0710184913539799)); #171317=CARTESIAN_POINT('Ctrl Pts',(0.478297322438837,-0.141912374914062, 0.123424070514903)); #171318=CARTESIAN_POINT('Ctrl Pts',(0.483541727333829,-0.117711602087785, 0.143407021460551)); #171319=CARTESIAN_POINT('Ctrl Pts',(0.43505175996208,0.184699222855809, 1.96792709944611E-8)); #171320=CARTESIAN_POINT('Ctrl Pts',(0.434273503727246,0.184682712714049, -0.0192948753172972)); #171321=CARTESIAN_POINT('Ctrl Pts',(0.436620969728771,0.175140656371233, -0.0789959752257055)); #171322=CARTESIAN_POINT('Ctrl Pts',(0.461577611193832,0.115001728458033, -0.161486631525781)); #171323=CARTESIAN_POINT('Ctrl Pts',(0.484215412156393,-0.00489515420206837, -0.198972560679139)); #171324=CARTESIAN_POINT('Ctrl Pts',(0.477949142050639,-0.0848476876867838, -0.169652948866639)); #171325=CARTESIAN_POINT('Ctrl Pts',(0.473103272231591,-0.115959310828625, -0.144594113666228)); #171326=CARTESIAN_POINT('Origin',(0.4245,-1.74280537117491E-17,3.88628981451502E-18)); #171327=CARTESIAN_POINT('',(0.4245,0.095,1.16341445918999E-17)); #171328=CARTESIAN_POINT('Origin',(0.4245,0.,0.)); #171329=CARTESIAN_POINT('Ctrl Pts',(0.473103272231594,-0.115959310828625, -0.144594113666228)); #171330=CARTESIAN_POINT('Ctrl Pts',(0.467392989847936,-0.152620665794361, -0.115065250831854)); #171331=CARTESIAN_POINT('Ctrl Pts',(0.462488715694446,-0.19374878729774, -0.0417448607156253)); #171332=CARTESIAN_POINT('Ctrl Pts',(0.469286560148283,-0.179854728133205, 0.0710184913539799)); #171333=CARTESIAN_POINT('Ctrl Pts',(0.478297322438837,-0.141912374914062, 0.123424070514903)); #171334=CARTESIAN_POINT('Ctrl Pts',(0.483541727333829,-0.117711602087785, 0.143407021460551)); #171335=CARTESIAN_POINT('Ctrl Pts',(0.475900500931728,-0.11624109102824, -0.144430723518735)); #171336=CARTESIAN_POINT('Ctrl Pts',(0.470250137511209,-0.152783407908614, -0.114891026592857)); #171337=CARTESIAN_POINT('Ctrl Pts',(0.465402652948165,-0.193719285206177, -0.041731240721469)); #171338=CARTESIAN_POINT('Ctrl Pts',(0.472122658227624,-0.179976541359917, 0.0707299720051731)); #171339=CARTESIAN_POINT('Ctrl Pts',(0.481041350279447,-0.142205145664654, 0.123149898991553)); #171340=CARTESIAN_POINT('Ctrl Pts',(0.48623562345771,-0.118071693566673, 0.143172284686223)); #171341=CARTESIAN_POINT('Ctrl Pts',(0.481421482343264,-0.118382368307816, -0.146076664607193)); #171342=CARTESIAN_POINT('Ctrl Pts',(0.475883023304741,-0.155270796735417, -0.116175703740031)); #171343=CARTESIAN_POINT('Ctrl Pts',(0.471145062050182,-0.196509372098568, -0.0423336296328282)); #171344=CARTESIAN_POINT('Ctrl Pts',(0.47771716853716,-0.18273324330022, 0.0712025848578193)); #171345=CARTESIAN_POINT('Ctrl Pts',(0.486457252932992,-0.144661865039989, 0.124234410706845)); #171346=CARTESIAN_POINT('Ctrl Pts',(0.491557606690593,-0.120287180723766, 0.144530671929128)); #171347=CARTESIAN_POINT('Ctrl Pts',(0.483151383359015,-0.122234340709986, -0.150542666990741)); #171348=CARTESIAN_POINT('Ctrl Pts',(0.47753168625557,-0.160292173150442, -0.119833757828532)); #171349=CARTESIAN_POINT('Ctrl Pts',(0.472710446845044,-0.20294332809322, -0.0437021807839505)); #171350=CARTESIAN_POINT('Ctrl Pts',(0.479393400871326,-0.18857960970858, 0.0733657783574264)); #171351=CARTESIAN_POINT('Ctrl Pts',(0.488266988852781,-0.149219524182215, 0.127864211815416)); #171352=CARTESIAN_POINT('Ctrl Pts',(0.493434424161132,-0.12408763871014, 0.148667238144251)); #171353=CARTESIAN_POINT('Ctrl Pts',(0.482770826514598,-0.123950071568563, -0.152743128063139)); #171354=CARTESIAN_POINT('Ctrl Pts',(0.47706054413094,-0.162592459313416, -0.121625547749397)); #171355=CARTESIAN_POINT('Ctrl Pts',(0.472156269977449,-0.205946609135941, -0.044347700181803)); #171356=CARTESIAN_POINT('Ctrl Pts',(0.478954114431287,-0.191295205948692, 0.0745036458391318)); #171357=CARTESIAN_POINT('Ctrl Pts',(0.487964876721841,-0.151298765823625, 0.12973036768616)); #171358=CARTESIAN_POINT('Ctrl Pts',(0.493209281616833,-0.125790652840001, 0.150786411865513)); #171359=CARTESIAN_POINT('',(0.482770826514597,-0.123950071568563,-0.152743128063139)); #171360=CARTESIAN_POINT('Origin',(0.472928748167221,-0.122214647609674, -0.152394133096114)); #171361=CARTESIAN_POINT('',(0.493209281616833,-0.125790652840002,0.150786411865513)); #171362=CARTESIAN_POINT('Origin',(0.483367203269456,-0.124055228881112, 0.151135406832538)); #171363=CARTESIAN_POINT('Origin',(0.509834951500788,-0.00113273166791694, -0.000227793127476394)); #171364=CARTESIAN_POINT('Ctrl Pts',(0.483541727333827,-0.117711602087785, 0.143407021460552)); #171365=CARTESIAN_POINT('Ctrl Pts',(0.490178651262943,-0.0870849263276456, 0.168695939452403)); #171366=CARTESIAN_POINT('Ctrl Pts',(0.498961821019344,-0.00736711305956243, 0.199315499315652)); #171367=CARTESIAN_POINT('Ctrl Pts',(0.474687788146464,0.112587057648486, 0.162962876975209)); #171368=CARTESIAN_POINT('Ctrl Pts',(0.443968194897681,0.173634706643198, 0.0823374780895337)); #171369=CARTESIAN_POINT('Ctrl Pts',(0.433476655791404,0.188037855005732, 0.00252265625523332)); #171370=CARTESIAN_POINT('Ctrl Pts',(0.436421260359857,0.175621903311352, -0.0783358640911983)); #171371=CARTESIAN_POINT('Ctrl Pts',(0.461577611193832,0.115001728458033, -0.161486631525781)); #171372=CARTESIAN_POINT('Ctrl Pts',(0.484215412156393,-0.00489515420206837, -0.198972560679139)); #171373=CARTESIAN_POINT('Ctrl Pts',(0.477949142050639,-0.0848476876867838, -0.169652948866639)); #171374=CARTESIAN_POINT('Ctrl Pts',(0.473103272231591,-0.115959310828625, -0.144594113666228)); #171375=CARTESIAN_POINT('Ctrl Pts',(0.485081096547473,-0.11791736864715, 0.143272886160936)); #171376=CARTESIAN_POINT('Ctrl Pts',(0.491657042006827,-0.0872748764834979, 0.168641820031199)); #171377=CARTESIAN_POINT('Ctrl Pts',(0.500370480824437,-0.00730619142634324, 0.199454099183857)); #171378=CARTESIAN_POINT('Ctrl Pts',(0.476200367341504,0.113040138907051, 0.162754724317505)); #171379=CARTESIAN_POINT('Ctrl Pts',(0.44574907077681,0.173825664762865, 0.0819018749747995)); #171380=CARTESIAN_POINT('Ctrl Pts',(0.435404574334882,0.188030861394067, 0.00242652283657043)); #171381=CARTESIAN_POINT('Ctrl Pts',(0.438283870371467,0.175728263039302, -0.0780132470812918)); #171382=CARTESIAN_POINT('Ctrl Pts',(0.463162715312222,0.115432635287507, -0.161270496426168)); #171383=CARTESIAN_POINT('Ctrl Pts',(0.485689703618467,-0.00481951494345423, -0.199103512765738)); #171384=CARTESIAN_POINT('Ctrl Pts',(0.479490304109826,-0.0849978178531831, -0.169618625681769)); #171385=CARTESIAN_POINT('Ctrl Pts',(0.474701688631668,-0.116120328085548, -0.144500747867661)); #171386=CARTESIAN_POINT('Ctrl Pts',(0.487760307335136,-0.118690502469279, 0.143542182647421)); #171387=CARTESIAN_POINT('Ctrl Pts',(0.494231857379205,-0.0878727584675605, 0.169093770549922)); #171388=CARTESIAN_POINT('Ctrl Pts',(0.502825726912382,-0.00722797982406627, 0.20023786681497)); #171389=CARTESIAN_POINT('Ctrl Pts',(0.47883341586985,0.114181818111852, 0.16300328436648)); #171390=CARTESIAN_POINT('Ctrl Pts',(0.448842631491699,0.17500039682615, 0.0815801667617767)); #171391=CARTESIAN_POINT('Ctrl Pts',(0.438750538589491,0.18904065774123, 0.00227715571025003)); #171392=CARTESIAN_POINT('Ctrl Pts',(0.441518178010027,0.176817123980405, -0.0778821193851659)); #171393=CARTESIAN_POINT('Ctrl Pts',(0.465921229367443,0.116576791055756, -0.161559019295707)); #171394=CARTESIAN_POINT('Ctrl Pts',(0.488257812524822,-0.00470804858320982, -0.199932783744507)); #171395=CARTESIAN_POINT('Ctrl Pts',(0.482173565257668,-0.0855419009908862, -0.170152815291308)); #171396=CARTESIAN_POINT('Ctrl Pts',(0.477482896823496,-0.116836796758486, -0.14488010178929)); #171397=CARTESIAN_POINT('Ctrl Pts',(0.491157825096294,-0.120806286355069, 0.145259109878496)); #171398=CARTESIAN_POINT('Ctrl Pts',(0.497565773594731,-0.0893900658534603, 0.171169120709583)); #171399=CARTESIAN_POINT('Ctrl Pts',(0.506084893041781,-0.00718178092666314, 0.202769759003086)); #171400=CARTESIAN_POINT('Ctrl Pts',(0.482174696258289,0.116619593863736, 0.164959682623025)); #171401=CARTESIAN_POINT('Ctrl Pts',(0.452442174824217,0.178652099553818, 0.0823718814490698)); #171402=CARTESIAN_POINT('Ctrl Pts',(0.442501105517633,0.192949900341981, 0.00215353920039099)); #171403=CARTESIAN_POINT('Ctrl Pts',(0.44520963056955,0.18051577968375,-0.0788873249262035)); #171404=CARTESIAN_POINT('Ctrl Pts',(0.469352970947702,0.119098789540699, -0.163701708237299)); #171405=CARTESIAN_POINT('Ctrl Pts',(0.491604827455142,-0.00460386028231554, -0.202663996774001)); #171406=CARTESIAN_POINT('Ctrl Pts',(0.485590516051495,-0.0870207128755949, -0.172453686742584)); #171407=CARTESIAN_POINT('Ctrl Pts',(0.480957177828838,-0.118925086484873, -0.146835825052357)); #171408=CARTESIAN_POINT('Ctrl Pts',(0.493057584980406,-0.123273267143608, 0.147774535577445)); #171409=CARTESIAN_POINT('Ctrl Pts',(0.499547729703028,-0.0911198342985449, 0.17404817341557)); #171410=CARTESIAN_POINT('Ctrl Pts',(0.508160921039499,-0.0072122873143341, 0.205998500132375)); #171411=CARTESIAN_POINT('Ctrl Pts',(0.484043350856354,0.119133052162533, 0.167888825389534)); #171412=CARTESIAN_POINT('Ctrl Pts',(0.45391082720273,0.182965184524615, 0.084122227418352)); #171413=CARTESIAN_POINT('Ctrl Pts',(0.44378197744964,0.197846769804685, 0.00216705259373226)); #171414=CARTESIAN_POINT('Ctrl Pts',(0.44658914223958,0.184990522810817, -0.0807100262991588)); #171415=CARTESIAN_POINT('Ctrl Pts',(0.471154105435228,0.12172402367743, -0.166862544122572)); #171416=CARTESIAN_POINT('Ctrl Pts',(0.493633257099992,-0.00458331250938887, -0.206138979184196)); #171417=CARTESIAN_POINT('Ctrl Pts',(0.487524825903104,-0.0887473021311632, -0.175577176374621)); #171418=CARTESIAN_POINT('Ctrl Pts',(0.482809945445117,-0.121408856117655, -0.149580221052226)); #171419=CARTESIAN_POINT('Ctrl Pts',(0.493305771278674,-0.125060789641489, 0.149878194556401)); #171420=CARTESIAN_POINT('Ctrl Pts',(0.499963379939606,-0.0923791557479341, 0.176413499242685)); #171421=CARTESIAN_POINT('Ctrl Pts',(0.508780166337533,-0.00727932574788715, 0.208579696188239)); #171422=CARTESIAN_POINT('Ctrl Pts',(0.484279495585359,0.120811047599215, 0.170347398995681)); #171423=CARTESIAN_POINT('Ctrl Pts',(0.453406672966703,0.185929853849076, 0.0857435619517184)); #171424=CARTESIAN_POINT('Ctrl Pts',(0.442933157233063,0.201265338634168, 0.00226032595247703)); #171425=CARTESIAN_POINT('Ctrl Pts',(0.445906112824696,0.188077624680649, -0.0822712435038364)); #171426=CARTESIAN_POINT('Ctrl Pts',(0.471225420694822,0.123465782650263, -0.16945769244575)); #171427=CARTESIAN_POINT('Ctrl Pts',(0.494112209755166,-0.00462195184707982, -0.208876405348625)); #171428=CARTESIAN_POINT('Ctrl Pts',(0.487808416474874,-0.0900106128306771, -0.17808366318343)); #171429=CARTESIAN_POINT('Ctrl Pts',(0.48293392230506,-0.123214758343458, -0.151800073317826)); #171430=CARTESIAN_POINT('Ctrl Pts',(0.493209281616831,-0.125790652840001, 0.150786411865513)); #171431=CARTESIAN_POINT('Ctrl Pts',(0.499953840920194,-0.0928990752788995, 0.177433355849551)); #171432=CARTESIAN_POINT('Ctrl Pts',(0.508880206392872,-0.0073143853182513, 0.209691382897562)); #171433=CARTESIAN_POINT('Ctrl Pts',(0.484176654443313,0.121476888422812, 0.17140763491775)); #171434=CARTESIAN_POINT('Ctrl Pts',(0.452930525259329,0.187084587475669, 0.0864456421018082)); #171435=CARTESIAN_POINT('Ctrl Pts',(0.442289988760633,0.20259046711292, 0.00231064027437889)); #171436=CARTESIAN_POINT('Ctrl Pts',(0.44534136324815,0.189275092266783, -0.0829270866210781)); #171437=CARTESIAN_POINT('Ctrl Pts',(0.471034200625736,0.124151563847474, -0.170560559511131)); #171438=CARTESIAN_POINT('Ctrl Pts',(0.494135317762171,-0.00464702411387623, -0.210043017802847)); #171439=CARTESIAN_POINT('Ctrl Pts',(0.487727166277886,-0.0905307947400986, -0.179150183468264)); #171440=CARTESIAN_POINT('Ctrl Pts',(0.482770826514596,-0.123950071568563, -0.15274312806314)); #171441=CARTESIAN_POINT('',(0.494589631961832,0.0613706835212052,0.185883319567062)); #171442=CARTESIAN_POINT('',(0.502886787455837,-0.0249638809167664,0.193731267306631)); #171443=CARTESIAN_POINT('Ctrl Pts',(0.494527211853707,0.0612453066940207, 0.185839851785095)); #171444=CARTESIAN_POINT('Ctrl Pts',(0.49459940849762,0.060852962116054, 0.185939863084393)); #171445=CARTESIAN_POINT('Ctrl Pts',(0.49467112256295,0.0604603468596849, 0.18603904667997)); #171446=CARTESIAN_POINT('Ctrl Pts',(0.49474235769455,0.0600674723634567, 0.186137399651563)); #171447=CARTESIAN_POINT('Ctrl Pts',(0.496316267086188,0.0513870804633228, 0.188310465977258)); #171448=CARTESIAN_POINT('Ctrl Pts',(0.497663698443119,0.0425609418909745, 0.190083404311327)); #171449=CARTESIAN_POINT('Ctrl Pts',(0.498803777874635,0.0336738017521824, 0.191423860014657)); #171450=CARTESIAN_POINT('Ctrl Pts',(0.499178717481409,0.0307510748466073, 0.19186469766194)); #171451=CARTESIAN_POINT('Ctrl Pts',(0.499531113268551,0.027819762202959, 0.19225904491682)); #171452=CARTESIAN_POINT('Ctrl Pts',(0.49986049916482,0.0248820198326501, 0.1926056946921)); #171453=CARTESIAN_POINT('Ctrl Pts',(0.500066062895921,0.0230486283927179, 0.192822032495264)); #171454=CARTESIAN_POINT('Ctrl Pts',(0.500262673818631,0.0212125431515843, 0.193019815820063)); #171455=CARTESIAN_POINT('Ctrl Pts',(0.500450094867155,0.0193742190934098, 0.193198750187123)); #171456=CARTESIAN_POINT('Ctrl Pts',(0.500622826686636,0.0176799747069591, 0.193363660473526)); #171457=CARTESIAN_POINT('Ctrl Pts',(0.500787760663413,0.015983740759828, 0.193512569185116)); #171458=CARTESIAN_POINT('Ctrl Pts',(0.500944636801999,0.0142858501806302, 0.193645244631846)); #171459=CARTESIAN_POINT('Ctrl Pts',(0.501150291902462,0.0120600186374377, 0.193819174093472)); #171460=CARTESIAN_POINT('Ctrl Pts',(0.501342119491551,0.00983119830383172, 0.193965216597265)); #171461=CARTESIAN_POINT('Ctrl Pts',(0.501519346087976,0.00760010451965307, 0.194082846991196)); #171462=CARTESIAN_POINT('Ctrl Pts',(0.501866757265446,0.00322656841250938, 0.194313433790729)); #171463=CARTESIAN_POINT('Ctrl Pts',(0.502158316898245,-0.00115661111416185, 0.194434887968559)); #171464=CARTESIAN_POINT('Ctrl Pts',(0.502385838994473,-0.00554410119968165, 0.194443174479665)); #171465=CARTESIAN_POINT('Ctrl Pts',(0.502414756163734,-0.00610173404297903, 0.194444227662842)); #171466=CARTESIAN_POINT('Ctrl Pts',(0.502442637060892,-0.00665947242728266, 0.194443454623803)); #171467=CARTESIAN_POINT('Ctrl Pts',(0.502469460872253,-0.00721730358484242, 0.194440845486317)); #171468=CARTESIAN_POINT('Ctrl Pts',(0.502730566541665,-0.0126472880063231, 0.194415447880417)); #171469=CARTESIAN_POINT('Ctrl Pts',(0.502892035109113,-0.0180862359617988, 0.19421601423977)); #171470=CARTESIAN_POINT('Ctrl Pts',(0.502948100737949,-0.0235184253440687, 0.193850075786674)); #171471=CARTESIAN_POINT('Ctrl Pts',(0.502952182449239,-0.0239139016668327, 0.193823434595876)); #171472=CARTESIAN_POINT('Ctrl Pts',(0.502955702866693,-0.0243093601907657, 0.193795909003245)); #171473=CARTESIAN_POINT('Ctrl Pts',(0.502958658279451,-0.024704793254612, 0.193767501460129)); #171474=CARTESIAN_POINT('Ctrl Pts',(0.502960007767037,-0.0249638261755082, 0.193733687091572)); #171475=CARTESIAN_POINT('Ctrl Pts',(0.503141466096844,-0.0617312306600743, 0.188909455191835)); #171476=CARTESIAN_POINT('Ctrl Pts',(0.49902289934662,-0.097439051220214, 0.173755317471114)); #171477=CARTESIAN_POINT('Ctrl Pts',(0.493209281616831,-0.125790652840001, 0.150786411865513)); #171478=CARTESIAN_POINT('Ctrl Pts',(0.482770826514596,-0.123950071568563, -0.15274312806314)); #171479=CARTESIAN_POINT('Ctrl Pts',(0.487727166277886,-0.0905307947400986, -0.179150183468264)); #171480=CARTESIAN_POINT('Ctrl Pts',(0.494135317762171,-0.00464702411387623, -0.210043017802847)); #171481=CARTESIAN_POINT('Ctrl Pts',(0.471034200625736,0.124151563847474, -0.170560559511131)); #171482=CARTESIAN_POINT('Ctrl Pts',(0.44534136324815,0.189275092266783, -0.0829270866210781)); #171483=CARTESIAN_POINT('Ctrl Pts',(0.442289988760633,0.20259046711292, 0.00231064027437889)); #171484=CARTESIAN_POINT('Ctrl Pts',(0.452930525259329,0.187084587475669, 0.0864456421018082)); #171485=CARTESIAN_POINT('Ctrl Pts',(0.477385543793985,0.135736227270587, 0.15294178621044)); #171486=CARTESIAN_POINT('Ctrl Pts',(0.491067704462248,0.0798057084077446, 0.179929350086853)); #171487=CARTESIAN_POINT('Ctrl Pts',(0.494510828970663,0.0613332427294553, 0.185811870454933)); #171488=CARTESIAN_POINT('Origin',(-0.0397126400703147,-0.0318221394355538, 0.49641278)); #171489=CARTESIAN_POINT('',(0.472943345683321,-0.122217221545614,-0.43850674980215)); #171490=CARTESIAN_POINT('',(0.488034099693015,-0.124878128636758,0.000302401252951571)); #171491=CARTESIAN_POINT('',(0.438819562687485,0.173103118945357,-0.43850674980215)); #171492=CARTESIAN_POINT('Origin',(-0.0397126400703147,-0.0318221394355538, -0.43850674980215)); #171493=CARTESIAN_POINT('',(0.40290131459482,0.246399453646751,-0.374641156220573)); #171494=CARTESIAN_POINT('Ctrl Pts',(0.40290131459482,0.246399453646751, -0.374641156220573)); #171495=CARTESIAN_POINT('Ctrl Pts',(0.402592753211211,0.24622357602002, -0.38480233658485)); #171496=CARTESIAN_POINT('Ctrl Pts',(0.405603309653698,0.24019821506753, -0.405004513754855)); #171497=CARTESIAN_POINT('Ctrl Pts',(0.419543672734181,0.214763418719592, -0.430924615700827)); #171498=CARTESIAN_POINT('Ctrl Pts',(0.432034232524064,0.188947915312557, -0.438506749802098)); #171499=CARTESIAN_POINT('Ctrl Pts',(0.438819562687485,0.173103118945357, -0.43850674980215)); #171500=CARTESIAN_POINT('',(0.420380343469027,0.256367589654112,0.201056882937803)); #171501=CARTESIAN_POINT('Ctrl Pts',(0.420380343469024,0.256367589654117, 0.201056882937803)); #171502=CARTESIAN_POINT('Ctrl Pts',(0.414554961416678,0.253043757579536, 0.00915752960241609)); #171503=CARTESIAN_POINT('Ctrl Pts',(0.408728661760414,0.249720996924914, -0.18274181709299)); #171504=CARTESIAN_POINT('Ctrl Pts',(0.40290131459482,0.246399453646751, -0.374641156220573)); #171505=CARTESIAN_POINT('Ctrl Pts',(0.494600506271878,0.0613718172822329, 0.185869042529458)); #171506=CARTESIAN_POINT('Ctrl Pts',(0.487324406515145,0.102711750064077, 0.184116617223673)); #171507=CARTESIAN_POINT('Ctrl Pts',(0.467042971382075,0.171069773132589, 0.186578662690306)); #171508=CARTESIAN_POINT('Ctrl Pts',(0.434986413941114,0.232774095131074, 0.196384382317354)); #171509=CARTESIAN_POINT('Ctrl Pts',(0.420386293015542,0.256372606184238, 0.201051615794321)); #171510=CARTESIAN_POINT('Origin',(-0.0397126400703147,-0.0318221394355538, 0.49641278)); #171511=CARTESIAN_POINT('',(0.49531797961403,-0.126162473191072,0.212103161007915)); #171512=CARTESIAN_POINT('Ctrl Pts',(0.49531797961403,-0.126162473191072, 0.212103161007915)); #171513=CARTESIAN_POINT('Ctrl Pts',(0.500874653567138,-0.093180446594138, 0.204800537725281)); #171514=CARTESIAN_POINT('Ctrl Pts',(0.503445960711267,-0.0591992153298699, 0.198470973498105)); #171515=CARTESIAN_POINT('Ctrl Pts',(0.50285816491573,-0.0249567406788169, 0.19378705649436)); #171516=CARTESIAN_POINT('',(0.488034099693015,-0.124878128636758,0.000302401252951571)); #171517=CARTESIAN_POINT('Ctrl Pts',(0.365483313633779,0.234307385389343, 0.315788795118437)); #171518=CARTESIAN_POINT('Ctrl Pts',(0.387468044414123,0.208790547780232, 0.305645936614533)); #171519=CARTESIAN_POINT('Ctrl Pts',(0.42431060231212,0.153208029178543, 0.288150789826309)); #171520=CARTESIAN_POINT('Ctrl Pts',(0.459046336017498,0.0429612868270035, 0.281227980309522)); #171521=CARTESIAN_POINT('Ctrl Pts',(0.45423027888505,-0.0393337830751543, 0.302084448123093)); #171522=CARTESIAN_POINT('Ctrl Pts',(0.442323979004607,-0.0867832776838727, 0.318483799170613)); #171523=CARTESIAN_POINT('Ctrl Pts',(0.38081450649667,0.249319433094058, 0.302964408342724)); #171524=CARTESIAN_POINT('Ctrl Pts',(0.402815823937326,0.221600569597105, 0.29236265409599)); #171525=CARTESIAN_POINT('Ctrl Pts',(0.439651287286674,0.16165834750481, 0.274304659071613)); #171526=CARTESIAN_POINT('Ctrl Pts',(0.475593796956632,0.0439576544482655, 0.267225916783968)); #171527=CARTESIAN_POINT('Ctrl Pts',(0.473132132217807,-0.0433395980935546, 0.288631081746609)); #171528=CARTESIAN_POINT('Ctrl Pts',(0.46263548714689,-0.0938148007394448, 0.30575966964096)); #171529=CARTESIAN_POINT('Ctrl Pts',(0.400172830564149,0.273580192988147, 0.269415578015737)); #171530=CARTESIAN_POINT('Ctrl Pts',(0.422524644629039,0.242199854635815, 0.259275166735207)); #171531=CARTESIAN_POINT('Ctrl Pts',(0.4600684407337,0.17488032346645,0.242093936661181)); #171532=CARTESIAN_POINT('Ctrl Pts',(0.498477204252992,0.0442361204666708, 0.235461851960505)); #171533=CARTESIAN_POINT('Ctrl Pts',(0.499196933251961,-0.052108422123986, 0.255951911950528)); #171534=CARTESIAN_POINT('Ctrl Pts',(0.490535306372023,-0.108006287392232, 0.272509996230023)); #171535=CARTESIAN_POINT('Ctrl Pts',(0.40076585061078,0.287094173014317, 0.226610332862298)); #171536=CARTESIAN_POINT('Ctrl Pts',(0.424331644948689,0.254024709128153, 0.21808381265815)); #171537=CARTESIAN_POINT('Ctrl Pts',(0.464394296412329,0.182413205275527, 0.203455028259073)); #171538=CARTESIAN_POINT('Ctrl Pts',(0.505728603381736,0.0417975805908678, 0.197893378334113)); #171539=CARTESIAN_POINT('Ctrl Pts',(0.506205630788368,-0.0618209850781245, 0.215811000574933)); #171540=CARTESIAN_POINT('Ctrl Pts',(0.496825276931244,-0.121236244258128, 0.230114430984665)); #171541=CARTESIAN_POINT('Ctrl Pts',(0.397579567410358,0.290348307258627, 0.208423835675966)); #171542=CARTESIAN_POINT('Ctrl Pts',(0.421776321639001,0.25704307621648, 0.200573053058269)); #171543=CARTESIAN_POINT('Ctrl Pts',(0.463174369746744,0.184372145133563, 0.187014677558858)); #171544=CARTESIAN_POINT('Ctrl Pts',(0.505772981526923,0.0403319925449116, 0.181902510409918)); #171545=CARTESIAN_POINT('Ctrl Pts',(0.505484631799223,-0.0658175986125879, 0.19874206917842)); #171546=CARTESIAN_POINT('Ctrl Pts',(0.49531797961403,-0.126162473191072, 0.212103161007915)); #171547=CARTESIAN_POINT('',(0.365483313633777,0.234307385389341,0.315788795118438)); #171548=CARTESIAN_POINT('Ctrl Pts',(0.365483313633782,0.234307385389339, 0.315788795118436)); #171549=CARTESIAN_POINT('Ctrl Pts',(0.384289759729193,0.240298290402458, 0.302235422208165)); #171550=CARTESIAN_POINT('Ctrl Pts',(0.412892927872564,0.249783746732239, 0.268913628083117)); #171551=CARTESIAN_POINT('Ctrl Pts',(0.42196896890862,0.25518579781864,0.221645877849087)); #171552=CARTESIAN_POINT('Ctrl Pts',(0.420380343469024,0.256367589654117, 0.201056882937804)); #171553=CARTESIAN_POINT('',(0.442323979004607,-0.0867832776838729,0.318483799170613)); #171554=CARTESIAN_POINT('Ctrl Pts',(0.365483313633779,0.234307385389343, 0.315788795118437)); #171555=CARTESIAN_POINT('Ctrl Pts',(0.387468044414123,0.208790547780232, 0.305645936614533)); #171556=CARTESIAN_POINT('Ctrl Pts',(0.42431060231212,0.153208029178543, 0.288150789826309)); #171557=CARTESIAN_POINT('Ctrl Pts',(0.459046336017498,0.0429612868270035, 0.281227980309522)); #171558=CARTESIAN_POINT('Ctrl Pts',(0.45423027888505,-0.0393337830751543, 0.302084448123093)); #171559=CARTESIAN_POINT('Ctrl Pts',(0.442323979004607,-0.0867832776838727, 0.318483799170613)); #171560=CARTESIAN_POINT('Origin',(0.372292000271819,-0.104469673704958, 0.216465598095728)); #171561=CARTESIAN_POINT('Ctrl Pts',(-0.155081140430754,-1.06337784042663, 0.35649865030611)); #171562=CARTESIAN_POINT('Ctrl Pts',(-0.155004627634188,-1.02253128824013, 0.35747332581425)); #171563=CARTESIAN_POINT('Ctrl Pts',(-0.158225393255265,-0.981547149604721, 0.358323196905864)); #171564=CARTESIAN_POINT('Ctrl Pts',(-0.164856715681956,-0.940945008198925, 0.358702305480478)); #171565=CARTESIAN_POINT('Ctrl Pts',(-0.178139318492613,-1.06287371403207, 0.356143900014612)); #171566=CARTESIAN_POINT('Ctrl Pts',(-0.177912525653265,-1.02326573457904, 0.356848877268722)); #171567=CARTESIAN_POINT('Ctrl Pts',(-0.180884111645365,-0.98348569499304, 0.357458491903315)); #171568=CARTESIAN_POINT('Ctrl Pts',(-0.187149178924681,-0.944061979775634, 0.357562210092159)); #171569=CARTESIAN_POINT('Ctrl Pts',(-0.217806259210777,-1.06154993799834, 0.336648469497144)); #171570=CARTESIAN_POINT('Ctrl Pts',(-0.21714746717518,-1.02410623750212, 0.337210094853203)); #171571=CARTESIAN_POINT('Ctrl Pts',(-0.219505661649973,-0.986487130960683, 0.337653079211799)); #171572=CARTESIAN_POINT('Ctrl Pts',(-0.224946887789216,-0.949158639727433, 0.337622455883711)); #171573=CARTESIAN_POINT('Ctrl Pts',(-0.232246942730519,-1.06026800606648, 0.296457126758568)); #171574=CARTESIAN_POINT('Ctrl Pts',(-0.231436947767721,-1.02369433550937, 0.297315080869212)); #171575=CARTESIAN_POINT('Ctrl Pts',(-0.233581934175851,-0.987000821761399, 0.298055235846281)); #171576=CARTESIAN_POINT('Ctrl Pts',(-0.238670768064369,-0.950674144543544, 0.298341535803211)); #171577=CARTESIAN_POINT('Ctrl Pts',(-0.232539904905619,-1.05984369132334, 0.279165000329333)); #171578=CARTESIAN_POINT('Ctrl Pts',(-0.231753176011223,-1.02331966036555, 0.280149840222726)); #171579=CARTESIAN_POINT('Ctrl Pts',(-0.233908963874338,-0.986728688736639, 0.281019338417424)); #171580=CARTESIAN_POINT('Ctrl Pts',(-0.238987252701735,-0.950541852946594, 0.281441386759642)); #171581=CARTESIAN_POINT('',(-0.232539904905619,-1.05984369132334,0.279165000329333)); #171582=CARTESIAN_POINT('',(-0.238987252701733,-0.95054185294661,0.281441386759642)); #171583=CARTESIAN_POINT('Ctrl Pts',(-0.232539904905619,-1.05984369132334, 0.279165000329333)); #171584=CARTESIAN_POINT('Ctrl Pts',(-0.231753176011223,-1.02331966036555, 0.280149840222726)); #171585=CARTESIAN_POINT('Ctrl Pts',(-0.233908963874338,-0.986728688736639, 0.281019338417424)); #171586=CARTESIAN_POINT('Ctrl Pts',(-0.238987252701735,-0.950541852946594, 0.281441386759642)); #171587=CARTESIAN_POINT('',(-0.164856715681956,-0.940945008198926,0.358702305480478)); #171588=CARTESIAN_POINT('Origin',(-0.161025265293979,-0.939585010161246, 0.280067181536743)); #171589=CARTESIAN_POINT('',(-0.155079957256232,-1.06243261212578,0.356521181150588)); #171590=CARTESIAN_POINT('Ctrl Pts',(-0.164856715681956,-0.940945008198925, 0.358702305480478)); #171591=CARTESIAN_POINT('Ctrl Pts',(-0.158276543644693,-0.981233966922462, 0.358326121141783)); #171592=CARTESIAN_POINT('Ctrl Pts',(-0.155054516997442,-1.0218990525686, 0.357486408685434)); #171593=CARTESIAN_POINT('Ctrl Pts',(-0.155079958487266,-1.06243261230751, 0.356521182209955)); #171594=CARTESIAN_POINT('',(-0.155141835961258,-1.06243229237866,0.356520165647451)); #171595=CARTESIAN_POINT('Ctrl Pts',(-0.155079958487266,-1.06243261230751, 0.356521182209955)); #171596=CARTESIAN_POINT('Ctrl Pts',(-0.155100639871382,-1.06243284268416, 0.356520842748485)); #171597=CARTESIAN_POINT('Ctrl Pts',(-0.155121265913791,-1.06243273463801, 0.356520503561298)); #171598=CARTESIAN_POINT('Ctrl Pts',(-0.155141836569103,-1.0624322928152, 0.356520164663073)); #171599=CARTESIAN_POINT('Ctrl Pts',(-0.155141836569103,-1.0624322928152, 0.356520164663073)); #171600=CARTESIAN_POINT('Ctrl Pts',(-0.161756379892765,-1.06229023132355, 0.35641119094699)); #171601=CARTESIAN_POINT('Ctrl Pts',(-0.168297954496941,-1.06213835633022, 0.355420109303894)); #171602=CARTESIAN_POINT('Ctrl Pts',(-0.174635776508923,-1.0619814356041, 0.353644460226531)); #171603=CARTESIAN_POINT('Ctrl Pts',(-0.178117707355619,-1.06189522505484, 0.352668937833556)); #171604=CARTESIAN_POINT('Ctrl Pts',(-0.181538171678953,-1.06180746637861, 0.351455602859183)); #171605=CARTESIAN_POINT('Ctrl Pts',(-0.184873736207892,-1.06171883836288, 0.350014260329526)); #171606=CARTESIAN_POINT('Ctrl Pts',(-0.190984213866315,-1.06155647918639, 0.347373840319902)); #171607=CARTESIAN_POINT('Ctrl Pts',(-0.196735860402658,-1.06139327012135, 0.344003512109516)); #171608=CARTESIAN_POINT('Ctrl Pts',(-0.201992416897596,-1.06123284176138, 0.339981742759035)); #171609=CARTESIAN_POINT('Ctrl Pts',(-0.204828475432528,-1.06114628619596, 0.337811886256409)); #171610=CARTESIAN_POINT('Ctrl Pts',(-0.207520125802175,-1.06106053078757, 0.335451554837061)); #171611=CARTESIAN_POINT('Ctrl Pts',(-0.210042052185665,-1.06097614891692, 0.332908063188428)); #171612=CARTESIAN_POINT('Ctrl Pts',(-0.211870981442402,-1.06091495423933, 0.331063494559895)); #171613=CARTESIAN_POINT('Ctrl Pts',(-0.213601779430484,-1.06085477841344, 0.329131381375531)); #171614=CARTESIAN_POINT('Ctrl Pts',(-0.2152263706118,-1.06079578677888, 0.327116100331442)); #171615=CARTESIAN_POINT('Ctrl Pts',(-0.219357063732146,-1.0606457943735, 0.321992037342475)); #171616=CARTESIAN_POINT('Ctrl Pts',(-0.22280444677618,-1.06050340730181, 0.316332223854389)); #171617=CARTESIAN_POINT('Ctrl Pts',(-0.225521446870605,-1.06037201997,0.310297452647711)); #171618=CARTESIAN_POINT('Ctrl Pts',(-0.225940748230683,-1.06035174360452, 0.30936613586936)); #171619=CARTESIAN_POINT('Ctrl Pts',(-0.226342564328138,-1.06033173080647, 0.308425844128511)); #171620=CARTESIAN_POINT('Ctrl Pts',(-0.226726619379697,-1.0603119961435, 0.307477130150329)); #171621=CARTESIAN_POINT('Ctrl Pts',(-0.229215221197203,-1.06018411937703, 0.301329648634827)); #171622=CARTESIAN_POINT('Ctrl Pts',(-0.230932670158416,-1.06006930389079, 0.294902552808751)); #171623=CARTESIAN_POINT('Ctrl Pts',(-0.231830320745954,-1.05997054915383, 0.288355819023371)); #171624=CARTESIAN_POINT('Ctrl Pts',(-0.232227068860888,-1.05992690103922, 0.285462260745363)); #171625=CARTESIAN_POINT('Ctrl Pts',(-0.23246303879467,-1.05988640176988, 0.282545082880649)); #171626=CARTESIAN_POINT('Ctrl Pts',(-0.232530775371628,-1.05984938642053, 0.279617680183057)); #171627=CARTESIAN_POINT('Ctrl Pts',(-0.232534266706445,-1.0598474785447, 0.279466793565802)); #171628=CARTESIAN_POINT('Ctrl Pts',(-0.2325373101599,-1.05984558016405, 0.279315899662086)); #171629=CARTESIAN_POINT('Ctrl Pts',(-0.232539904905619,-1.05984369132334, 0.279165000329332)); #171630=CARTESIAN_POINT('Ctrl Pts',(-1.64594144529462,-1.81064911341777, 0.246914199771387)); #171631=CARTESIAN_POINT('Ctrl Pts',(-1.59793754827382,-1.78667458547652, 0.248601872918208)); #171632=CARTESIAN_POINT('Ctrl Pts',(-1.54994295885084,-1.76271133477489, 0.250717112369535)); #171633=CARTESIAN_POINT('Ctrl Pts',(-1.50196395411917,-1.73876193162719, 0.253259448987722)); #171634=CARTESIAN_POINT('Ctrl Pts',(-1.65447299846935,-1.79355051906589, 0.246892134244586)); #171635=CARTESIAN_POINT('Ctrl Pts',(-1.60646654669799,-1.76957788409363, 0.248575390726433)); #171636=CARTESIAN_POINT('Ctrl Pts',(-1.55846765441098,-1.74561592140524, 0.250686157576573)); #171637=CARTESIAN_POINT('Ctrl Pts',(-1.51048567603998,-1.72166883231299, 0.2532232306835)); #171638=CARTESIAN_POINT('Ctrl Pts',(-1.66896660920946,-1.7636059006818, 0.234119376272695)); #171639=CARTESIAN_POINT('Ctrl Pts',(-1.62089246261161,-1.73960815881733, 0.235801275151286)); #171640=CARTESIAN_POINT('Ctrl Pts',(-1.57282750020739,-1.71562265457363, 0.23791056935562)); #171641=CARTESIAN_POINT('Ctrl Pts',(-1.52477707152311,-1.69165181562703, 0.240446726040142)); #171642=CARTESIAN_POINT('Ctrl Pts',(-1.67648612417295,-1.74647443303625, 0.20483990942722)); #171643=CARTESIAN_POINT('Ctrl Pts',(-1.62826903213491,-1.72241138156092, 0.206529889564096)); #171644=CARTESIAN_POINT('Ctrl Pts',(-1.58006077580033,-1.69836085570492, 0.208648842123022)); #171645=CARTESIAN_POINT('Ctrl Pts',(-1.53186718623583,-1.67432583352474, 0.211196196200278)); #171646=CARTESIAN_POINT('Ctrl Pts',(-1.67796434440282,-1.74254680079685, 0.191136430269211)); #171647=CARTESIAN_POINT('Ctrl Pts',(-1.62968133906282,-1.71845215832369, 0.192830564146037)); #171648=CARTESIAN_POINT('Ctrl Pts',(-1.58140704593128,-1.69437017028549, 0.194954425262623)); #171649=CARTESIAN_POINT('Ctrl Pts',(-1.53314757508934,-1.6703038517717, 0.197507459547401)); #171650=CARTESIAN_POINT('',(-1.50196395411917,-1.73876193162719,0.253259448987722)); #171651=CARTESIAN_POINT('Origin',(-1.49856018322885,-1.73723164396622,0.174607780933029)); #171652=CARTESIAN_POINT('',(-1.64594144529462,-1.81064911341777,0.246914199771387)); #171653=CARTESIAN_POINT('Ctrl Pts',(-1.50196395411917,-1.73876193162719, 0.253259448987722)); #171654=CARTESIAN_POINT('Ctrl Pts',(-1.54994295885084,-1.76271133477489, 0.250717112369535)); #171655=CARTESIAN_POINT('Ctrl Pts',(-1.59793754827382,-1.78667458547652, 0.248601872918208)); #171656=CARTESIAN_POINT('Ctrl Pts',(-1.64594144529462,-1.81064911341777, 0.246914199771387)); #171657=CARTESIAN_POINT('Origin',(-1.64368589774203,-1.80962524324365,0.168213014777201)); #171658=CARTESIAN_POINT('Ctrl Pts',(-1.50196395411917,-1.73876193162719, 0.253259448987722)); #171659=CARTESIAN_POINT('Ctrl Pts',(-1.46736333639962,-1.72149054078531, 0.255092884631755)); #171660=CARTESIAN_POINT('Ctrl Pts',(-1.37872656125316,-1.69708105012904, 0.259957365537364)); #171661=CARTESIAN_POINT('Ctrl Pts',(-1.2867945628235,-1.71422999787171, 0.265059341681568)); #171662=CARTESIAN_POINT('Ctrl Pts',(-1.23982210411582,-1.73646099665823, 0.267236623674566)); #171663=CARTESIAN_POINT('Ctrl Pts',(-1.51134573012277,-1.71994371267295, 0.25321957535413)); #171664=CARTESIAN_POINT('Ctrl Pts',(-1.47436230220394,-1.70139371369819, 0.255179252353743)); #171665=CARTESIAN_POINT('Ctrl Pts',(-1.3796070429434,-1.67501690819087, 0.260421085144939)); #171666=CARTESIAN_POINT('Ctrl Pts',(-1.28108614868932,-1.69300922776054, 0.266047587774746)); #171667=CARTESIAN_POINT('Ctrl Pts',(-1.23065884115737,-1.71669198329825, 0.268477511370666)); #171668=CARTESIAN_POINT('Ctrl Pts',(-1.52698060167318,-1.68693224054854, 0.237616683392324)); #171669=CARTESIAN_POINT('Ctrl Pts',(-1.48581156261437,-1.66614989103535, 0.239666667076021)); #171670=CARTESIAN_POINT('Ctrl Pts',(-1.38026393540589,-1.63630557093468, 0.245198467599739)); #171671=CARTESIAN_POINT('Ctrl Pts',(-1.2701320175668,-1.65566425958496, 0.251242916071046)); #171672=CARTESIAN_POINT('Ctrl Pts',(-1.21370254146973,-1.68179958891141, 0.253857095977978)); #171673=CARTESIAN_POINT('Ctrl Pts',(-1.53325427012543,-1.67069555456021, 0.203208267735192)); #171674=CARTESIAN_POINT('Ctrl Pts',(-1.48992068379349,-1.64892081358738, 0.20515180419313)); #171675=CARTESIAN_POINT('Ctrl Pts',(-1.37891530024088,-1.61774293155008, 0.210352007607876)); #171676=CARTESIAN_POINT('Ctrl Pts',(-1.26348721815123,-1.63816821298314, 0.215920791095944)); #171677=CARTESIAN_POINT('Ctrl Pts',(-1.2044538976715,-1.66552117889523, 0.218313948880208)); #171678=CARTESIAN_POINT('Ctrl Pts',(-1.53376437505889,-1.66802225524004, 0.187674445164124)); #171679=CARTESIAN_POINT('Ctrl Pts',(-1.49004078182315,-1.64613844424196, 0.189563877781051)); #171680=CARTESIAN_POINT('Ctrl Pts',(-1.37807506182419,-1.61491601580616, 0.194595396158346)); #171681=CARTESIAN_POINT('Ctrl Pts',(-1.26194085164896,-1.63569763565231, 0.199922057145467)); #171682=CARTESIAN_POINT('Ctrl Pts',(-1.20264934915761,-1.66326143987747, 0.202202876089178)); #171683=CARTESIAN_POINT('',(-1.23982210411582,-1.73646099665823,0.267236623674566)); #171684=CARTESIAN_POINT('Origin',(-1.23492292663061,-1.73380178018491,0.188694030439423)); #171685=CARTESIAN_POINT('Ctrl Pts',(-1.23982210411582,-1.73646099665823, 0.267236623674566)); #171686=CARTESIAN_POINT('Ctrl Pts',(-1.2867945628235,-1.71422999787171, 0.265059341681568)); #171687=CARTESIAN_POINT('Ctrl Pts',(-1.37872656125316,-1.69708105012904, 0.259957365537364)); #171688=CARTESIAN_POINT('Ctrl Pts',(-1.46736333639962,-1.72149054078531, 0.255092884631755)); #171689=CARTESIAN_POINT('Ctrl Pts',(-1.50196395411917,-1.73876193162719, 0.253259448987722)); #171690=CARTESIAN_POINT('Ctrl Pts',(-1.23982210411582,-1.73646099665823, 0.267236623674565)); #171691=CARTESIAN_POINT('Ctrl Pts',(-1.17215036554207,-1.7684884948864, 0.270373365115996)); #171692=CARTESIAN_POINT('Ctrl Pts',(-1.00330449901315,-1.81977373157871, 0.279195676506113)); #171693=CARTESIAN_POINT('Ctrl Pts',(-0.826199463970682,-1.81111558396848, 0.292423866699674)); #171694=CARTESIAN_POINT('Ctrl Pts',(-0.730025513938915,-1.78709644510181, 0.301744791629877)); #171695=CARTESIAN_POINT('Ctrl Pts',(-1.22968215649461,-1.71458486356969, 0.268609773861316)); #171696=CARTESIAN_POINT('Ctrl Pts',(-1.16417845289568,-1.74559105649251, 0.271776418030076)); #171697=CARTESIAN_POINT('Ctrl Pts',(-1.00072721886418,-1.79525782422479, 0.280648321268174)); #171698=CARTESIAN_POINT('Ctrl Pts',(-0.829264638169551,-1.78696794992963, 0.293830404127219)); #171699=CARTESIAN_POINT('Ctrl Pts',(-0.736146374619661,-1.76380353046731, 0.30306288919733)); #171700=CARTESIAN_POINT('Ctrl Pts',(-1.21085141343295,-1.67605510655506, 0.25017193180175)); #171701=CARTESIAN_POINT('Ctrl Pts',(-1.1490111082107,-1.70520859819021, 0.253361935618125)); #171702=CARTESIAN_POINT('Ctrl Pts',(-0.994775765185525,-1.75182284723656, 0.262280720484365)); #171703=CARTESIAN_POINT('Ctrl Pts',(-0.833041541169882,-1.74384251812614, 0.275457567907309)); #171704=CARTESIAN_POINT('Ctrl Pts',(-0.745123519516324,-1.72193350576174, 0.284658359156756)); #171705=CARTESIAN_POINT('Ctrl Pts',(-1.20252180120564,-1.66239278980736, 0.20816258091889)); #171706=CARTESIAN_POINT('Ctrl Pts',(-1.14180469882639,-1.69080287724942, 0.211332421430966)); #171707=CARTESIAN_POINT('Ctrl Pts',(-0.990332619491633,-1.73604384863834, 0.220231915481689)); #171708=CARTESIAN_POINT('Ctrl Pts',(-0.831508737109658,-1.72753025298814, 0.233516694698317)); #171709=CARTESIAN_POINT('Ctrl Pts',(-0.74525297381537,-1.70558688728035, 0.242852636745277)); #171710=CARTESIAN_POINT('Ctrl Pts',(-1.20169576817282,-1.66242895744957, 0.190068235662322)); #171711=CARTESIAN_POINT('Ctrl Pts',(-1.1408737644033,-1.69080511582793, 0.193229455549101)); #171712=CARTESIAN_POINT('Ctrl Pts',(-0.989140230269135,-1.73590661459354, 0.202120614331087)); #171713=CARTESIAN_POINT('Ctrl Pts',(-0.830056377597852,-1.72705848826692, 0.215452034432742)); #171714=CARTESIAN_POINT('Ctrl Pts',(-0.743685357634788,-1.7048561605934, 0.224845697826017)); #171715=CARTESIAN_POINT('',(-0.743685357634788,-1.7048561605934,0.224845697826017)); #171716=CARTESIAN_POINT('Ctrl Pts',(-0.921130053955654,-1.72625261032223, 0.208272335748879)); #171717=CARTESIAN_POINT('Ctrl Pts',(-0.861336748268355,-1.72689580468471, 0.213066418079755)); #171718=CARTESIAN_POINT('Ctrl Pts',(-0.801495444344696,-1.71971668312187, 0.218558305416837)); #171719=CARTESIAN_POINT('Ctrl Pts',(-0.743685357634788,-1.7048561605934, 0.224845697826017)); #171720=CARTESIAN_POINT('',(-0.730025513937511,-1.78709644510042,0.301744791629877)); #171721=CARTESIAN_POINT('Origin',(-0.723944750047857,-1.78106923228382, 0.223471492603117)); #171722=CARTESIAN_POINT('Ctrl Pts',(-0.730025513938915,-1.78709644510181, 0.301744791629877)); #171723=CARTESIAN_POINT('Ctrl Pts',(-0.826199463970682,-1.81111558396848, 0.292423866699674)); #171724=CARTESIAN_POINT('Ctrl Pts',(-1.00330449901315,-1.81977373157871, 0.279195676506113)); #171725=CARTESIAN_POINT('Ctrl Pts',(-1.17215036554207,-1.7684884948864, 0.270373365115996)); #171726=CARTESIAN_POINT('Ctrl Pts',(-1.23982210411582,-1.73646099665823, 0.267236623674565)); #171727=CARTESIAN_POINT('Ctrl Pts',(-0.730025513938115,-1.78709644510163, 0.301744791629956)); #171728=CARTESIAN_POINT('Ctrl Pts',(-0.638572564371472,-1.76356919529752, 0.310661082928894)); #171729=CARTESIAN_POINT('Ctrl Pts',(-0.464511345040578,-1.68225294934985, 0.327534766938817)); #171730=CARTESIAN_POINT('Ctrl Pts',(-0.231049795043762,-1.43895698811969, 0.349851617313404)); #171731=CARTESIAN_POINT('Ctrl Pts',(-0.158118780179219,-1.2037377544233, 0.356501476347913)); #171732=CARTESIAN_POINT('Ctrl Pts',(-0.155121295175504,-1.06148528655434, 0.356520348361468)); #171733=CARTESIAN_POINT('Ctrl Pts',(-0.736146374618889,-1.76380353046714, 0.303062889197416)); #171734=CARTESIAN_POINT('Ctrl Pts',(-0.64750500357949,-1.7411826690411, 0.311683162931662)); #171735=CARTESIAN_POINT('Ctrl Pts',(-0.478650192990801,-1.66266382050886, 0.328035449709827)); #171736=CARTESIAN_POINT('Ctrl Pts',(-0.251960173184715,-1.42709719258357, 0.349658803994745)); #171737=CARTESIAN_POINT('Ctrl Pts',(-0.181071392936542,-1.19895055227784, 0.356123778927323)); #171738=CARTESIAN_POINT('Ctrl Pts',(-0.17816417276947,-1.06099968641043, 0.356142071913382)); #171739=CARTESIAN_POINT('Ctrl Pts',(-0.745129629603855,-1.72192301802841, 0.284693355554493)); #171740=CARTESIAN_POINT('Ctrl Pts',(-0.661550085867956,-1.70091220320199, 0.293126100159988)); #171741=CARTESIAN_POINT('Ctrl Pts',(-0.502157602444994,-1.6274836699866, 0.309100255734111)); #171742=CARTESIAN_POINT('Ctrl Pts',(-0.287692811450853,-1.40574765492845, 0.330282119417879)); #171743=CARTESIAN_POINT('Ctrl Pts',(-0.220535391149011,-1.19041857501424, 0.33660119937781)); #171744=CARTESIAN_POINT('Ctrl Pts',(-0.217789711300768,-1.06016212347494, 0.336619138839158)); #171745=CARTESIAN_POINT('Ctrl Pts',(-0.74525297381463,-1.70558688728017, 0.242852636745364)); #171746=CARTESIAN_POINT('Ctrl Pts',(-0.663803178088322,-1.68486566087493, 0.251571982829143)); #171747=CARTESIAN_POINT('Ctrl Pts',(-0.508600034056139,-1.61283295732992, 0.268075717445235)); #171748=CARTESIAN_POINT('Ctrl Pts',(-0.300130598227802,-1.3964186778259, 0.289921587576026)); #171749=CARTESIAN_POINT('Ctrl Pts',(-0.234911224708272,-1.18668046047507, 0.296432208127253)); #171750=CARTESIAN_POINT('Ctrl Pts',(-0.232238297319851,-1.0598523398903, 0.296450690409022)); #171751=CARTESIAN_POINT('Ctrl Pts',(-0.743685357634036,-1.7048561605932, 0.224845697826102)); #171752=CARTESIAN_POINT('Ctrl Pts',(-0.662386454302738,-1.68395765908147, 0.233687720676694)); #171753=CARTESIAN_POINT('Ctrl Pts',(-0.507639593337763,-1.61169950217387, 0.25042085495722)); #171754=CARTESIAN_POINT('Ctrl Pts',(-0.300058079633774,-1.39543509050434, 0.272551816626098)); #171755=CARTESIAN_POINT('Ctrl Pts',(-0.235204864505559,-1.18631380535036, 0.279146285510638)); #171756=CARTESIAN_POINT('Ctrl Pts',(-0.232539904905619,-1.05984369132334, 0.279165000329333)); #171757=CARTESIAN_POINT('',(-0.155141858474608,-1.06243330151261,0.356520165391859)); #171758=CARTESIAN_POINT('Ctrl Pts',(-0.155141875086782,-1.062433301141, 0.356520163806369)); #171759=CARTESIAN_POINT('Ctrl Pts',(-0.158313364287062,-1.20436468598952, 0.356483732837881)); #171760=CARTESIAN_POINT('Ctrl Pts',(-0.231272064733112,-1.43918862077065, 0.349830370305492)); #171761=CARTESIAN_POINT('Ctrl Pts',(-0.464511345040578,-1.68225294934985, 0.327534766938817)); #171762=CARTESIAN_POINT('Ctrl Pts',(-0.638572564371472,-1.76356919529752, 0.310661082928894)); #171763=CARTESIAN_POINT('Ctrl Pts',(-0.730025513938115,-1.78709644510163, 0.301744791629956)); #171764=CARTESIAN_POINT('Ctrl Pts',(-0.743685357634036,-1.7048561605932, 0.224845697826102)); #171765=CARTESIAN_POINT('Ctrl Pts',(-0.662386454302738,-1.68395765908147, 0.233687720676694)); #171766=CARTESIAN_POINT('Ctrl Pts',(-0.507639593337763,-1.61169950217387, 0.25042085495722)); #171767=CARTESIAN_POINT('Ctrl Pts',(-0.300058079633774,-1.39543509050434, 0.272551816626098)); #171768=CARTESIAN_POINT('Ctrl Pts',(-0.235204864505559,-1.18631380535036, 0.279146285510638)); #171769=CARTESIAN_POINT('Ctrl Pts',(-0.232539904905619,-1.05984369132334, 0.279165000329333)); #171770=CARTESIAN_POINT('Ctrl Pts',(-0.155141858453066,-1.06243330151275, 0.356520164079433)); #171771=CARTESIAN_POINT('Ctrl Pts',(-0.155141814546298,-1.0624313334986, 0.356520164583452)); #171772=CARTESIAN_POINT('Ctrl Pts',(-0.155141879843936,-1.06243426039301, 0.356520163834052)); #171773=CARTESIAN_POINT('Ctrl Pts',(-0.155141835939762,-1.0624322923788, 0.356520164337811)); #171774=CARTESIAN_POINT('Ctrl Pts',(-0.164856715688177,-0.940945008160837, 0.358702305480833)); #171775=CARTESIAN_POINT('Ctrl Pts',(-0.17499635291868,-0.878862220122051, 0.359281982228278)); #171776=CARTESIAN_POINT('Ctrl Pts',(-0.185343799007396,-0.81674717773238, 0.359135552179575)); #171777=CARTESIAN_POINT('Ctrl Pts',(-0.204589988770845,-0.703364517456595, 0.357294243303794)); #171778=CARTESIAN_POINT('Ctrl Pts',(-0.213434609604855,-0.652177225516226, 0.355867439360761)); #171779=CARTESIAN_POINT('Ctrl Pts',(-0.225070609836208,-0.585699074748835, 0.353134676804654)); #171780=CARTESIAN_POINT('Ctrl Pts',(-0.227743731747285,-0.570312051841039, 0.352402295156707)); #171781=CARTESIAN_POINT('Ctrl Pts',(-0.230426429490796,-0.555020880333613, 0.35156296986027)); #171782=CARTESIAN_POINT('Ctrl Pts',(-0.187149178930726,-0.944061979737524, 0.357562210092275)); #171783=CARTESIAN_POINT('Ctrl Pts',(-0.196999722981671,-0.881944045560495, 0.35773984242714)); #171784=CARTESIAN_POINT('Ctrl Pts',(-0.207013290313787,-0.819799851239251, 0.357183335057668)); #171785=CARTESIAN_POINT('Ctrl Pts',(-0.225538402591642,-0.706369628357936, 0.35451432199302)); #171786=CARTESIAN_POINT('Ctrl Pts',(-0.234015916752483,-0.655162115212459, 0.352702677683526)); #171787=CARTESIAN_POINT('Ctrl Pts',(-0.245119248171996,-0.588671429498441, 0.349454578872662)); #171788=CARTESIAN_POINT('Ctrl Pts',(-0.247671315924338,-0.573293788691488, 0.348610004525056)); #171789=CARTESIAN_POINT('Ctrl Pts',(-0.250226778068645,-0.558008290314564, 0.347654230867943)); #171790=CARTESIAN_POINT('Ctrl Pts',(-0.22494778826241,-0.949158777032444, 0.337623503733991)); #171791=CARTESIAN_POINT('Ctrl Pts',(-0.234002913796054,-0.887079182611101, 0.337605772709128)); #171792=CARTESIAN_POINT('Ctrl Pts',(-0.243110017968032,-0.82496615663003, 0.33680976554682)); #171793=CARTESIAN_POINT('Ctrl Pts',(-0.259819551578449,-0.711615189146963, 0.333786021525549)); #171794=CARTESIAN_POINT('Ctrl Pts',(-0.267400883126579,-0.660424885915137, 0.331810831673712)); #171795=CARTESIAN_POINT('Ctrl Pts',(-0.277272265536466,-0.594013839522042, 0.328406659564787)); #171796=CARTESIAN_POINT('Ctrl Pts',(-0.279542789793996,-0.578717479263556, 0.327533327390892)); #171797=CARTESIAN_POINT('Ctrl Pts',(-0.281809843723152,-0.563488056454552, 0.326551985298705)); #171798=CARTESIAN_POINT('Ctrl Pts',(-0.238670768069697,-0.950674144505601, 0.298341535803484)); #171799=CARTESIAN_POINT('Ctrl Pts',(-0.247350931500712,-0.888834255731444, 0.298788029230744)); #171800=CARTESIAN_POINT('Ctrl Pts',(-0.256055270886469,-0.826965628273846, 0.298527664708113)); #171801=CARTESIAN_POINT('Ctrl Pts',(-0.271953821644555,-0.714075557269797, 0.296596915785419)); #171802=CARTESIAN_POINT('Ctrl Pts',(-0.279149844056823,-0.663065412962935, 0.295168240884832)); #171803=CARTESIAN_POINT('Ctrl Pts',(-0.288484550119122,-0.596967518903306, 0.292527968254432)); #171804=CARTESIAN_POINT('Ctrl Pts',(-0.290622020501297,-0.581843789346308, 0.291828846121591)); #171805=CARTESIAN_POINT('Ctrl Pts',(-0.292757619233027,-0.566743412100843, 0.291028411291603)); #171806=CARTESIAN_POINT('Ctrl Pts',(-0.23898725270705,-0.950541852908725, 0.281441386760038)); #171807=CARTESIAN_POINT('Ctrl Pts',(-0.247649705566758,-0.888824360450741, 0.282086720647702)); #171808=CARTESIAN_POINT('Ctrl Pts',(-0.256327662150275,-0.827081012021723, 0.282058646157001)); #171809=CARTESIAN_POINT('Ctrl Pts',(-0.27218531583599,-0.714431133458172, 0.280598028979884)); #171810=CARTESIAN_POINT('Ctrl Pts',(-0.279361626501462,-0.66351862181669, 0.27940529892352)); #171811=CARTESIAN_POINT('Ctrl Pts',(-0.288668998222938,-0.597583190678016, 0.277093385152742)); #171812=CARTESIAN_POINT('Ctrl Pts',(-0.290794243436913,-0.582539581652205, 0.276469110425744)); #171813=CARTESIAN_POINT('Ctrl Pts',(-0.292920520415742,-0.567500993591776, 0.275746267912387)); #171814=CARTESIAN_POINT('',(-0.292920520415568,-0.567500993593022,0.275746267912362)); #171815=CARTESIAN_POINT('',(-0.230426429490796,-0.555020880333613,0.35156296986027)); #171816=CARTESIAN_POINT('Origin',(-0.214958533007985,-0.556544150806432, 0.274372062689487)); #171817=CARTESIAN_POINT('',(-0.222414171174383,-0.600908478505068,0.353758860593445)); #171818=CARTESIAN_POINT('Ctrl Pts',(-0.230426429490796,-0.555020880333613, 0.35156296986027)); #171819=CARTESIAN_POINT('Ctrl Pts',(-0.227743731747285,-0.570312051841039, 0.352402295156707)); #171820=CARTESIAN_POINT('Ctrl Pts',(-0.225070609836208,-0.585699074748835, 0.353134676804654)); #171821=CARTESIAN_POINT('Ctrl Pts',(-0.222415124705199,-0.600870245029849, 0.353758328419473)); #171822=CARTESIAN_POINT('',(-0.195889172655098,-0.754629261573781,0.358126727920156)); #171823=CARTESIAN_POINT('Ctrl Pts',(-0.222415124705199,-0.600870245029849, 0.353758328419473)); #171824=CARTESIAN_POINT('Ctrl Pts',(-0.213434609604855,-0.652177225516226, 0.355867439360761)); #171825=CARTESIAN_POINT('Ctrl Pts',(-0.204589988770845,-0.703364517456595, 0.357294243303794)); #171826=CARTESIAN_POINT('Ctrl Pts',(-0.195889303953499,-0.754621769044405, 0.358126649529466)); #171827=CARTESIAN_POINT('Ctrl Pts',(-0.195889303953499,-0.754621769044405, 0.358126649529466)); #171828=CARTESIAN_POINT('Ctrl Pts',(-0.185343799007396,-0.81674717773238, 0.359135552179575)); #171829=CARTESIAN_POINT('Ctrl Pts',(-0.17499635291868,-0.878862220122051, 0.359281982228278)); #171830=CARTESIAN_POINT('Ctrl Pts',(-0.164856715688177,-0.940945008160837, 0.358702305480833)); #171831=CARTESIAN_POINT('Ctrl Pts',(-0.23898725270705,-0.950541852908725, 0.281441386760038)); #171832=CARTESIAN_POINT('Ctrl Pts',(-0.247649705566758,-0.888824360450741, 0.282086720647702)); #171833=CARTESIAN_POINT('Ctrl Pts',(-0.256327662150275,-0.827081012021723, 0.282058646157001)); #171834=CARTESIAN_POINT('Ctrl Pts',(-0.27218531583599,-0.714431133458172, 0.280598028979884)); #171835=CARTESIAN_POINT('Ctrl Pts',(-0.279361626501462,-0.66351862181669, 0.27940529892352)); #171836=CARTESIAN_POINT('Ctrl Pts',(-0.288668998222938,-0.597583190678016, 0.277093385152742)); #171837=CARTESIAN_POINT('Ctrl Pts',(-0.290794243436913,-0.582539581652205, 0.276469110425744)); #171838=CARTESIAN_POINT('Ctrl Pts',(-0.292920520415742,-0.567500993591776, 0.275746267912387)); #171839=CARTESIAN_POINT('Ctrl Pts',(-0.230426429490446,-0.555020880336146, 0.351562969860389)); #171840=CARTESIAN_POINT('Ctrl Pts',(-0.078730412216152,-0.559421148774078, 0.382047403549158)); #171841=CARTESIAN_POINT('Ctrl Pts',(0.0787304122161519,-0.559421148774078, 0.382047403549159)); #171842=CARTESIAN_POINT('Ctrl Pts',(0.230426429490446,-0.555020880336146, 0.35156296986039)); #171843=CARTESIAN_POINT('Ctrl Pts',(-0.230261538072161,-0.532013149414841, 0.351141981495824)); #171844=CARTESIAN_POINT('Ctrl Pts',(-0.078868636454856,-0.535650121319692, 0.382738554646912)); #171845=CARTESIAN_POINT('Ctrl Pts',(0.078868636454856,-0.535650121319692, 0.382738554646912)); #171846=CARTESIAN_POINT('Ctrl Pts',(0.230261538072161,-0.532013149414841, 0.351141981495824)); #171847=CARTESIAN_POINT('Ctrl Pts',(-0.226284897729947,-0.492488037389679, 0.331993726088174)); #171848=CARTESIAN_POINT('Ctrl Pts',(-0.0776917614917247,-0.493957274035547, 0.363642352725295)); #171849=CARTESIAN_POINT('Ctrl Pts',(0.0776917614917244,-0.493957274035547, 0.363642352725296)); #171850=CARTESIAN_POINT('Ctrl Pts',(0.226284897729947,-0.492488037389679, 0.331993726088175)); #171851=CARTESIAN_POINT('Ctrl Pts',(-0.218351210616014,-0.478111322751101, 0.292666080934788)); #171852=CARTESIAN_POINT('Ctrl Pts',(-0.074781283989471,-0.478614537831669, 0.322003568546103)); #171853=CARTESIAN_POINT('Ctrl Pts',(0.0747812839894711,-0.478614537831669, 0.322003568546103)); #171854=CARTESIAN_POINT('Ctrl Pts',(0.218351210616014,-0.478111322751101, 0.292666080934789)); #171855=CARTESIAN_POINT('Ctrl Pts',(-0.214958533007722,-0.477815986316223, 0.275746267912489)); #171856=CARTESIAN_POINT('Ctrl Pts',(-0.0735350335262381,-0.478310818320537, 0.304095174453299)); #171857=CARTESIAN_POINT('Ctrl Pts',(0.0735350335262382,-0.478310818320537, 0.304095174453299)); #171858=CARTESIAN_POINT('Ctrl Pts',(0.214958533007722,-0.477815986316224, 0.275746267912489)); #171859=CARTESIAN_POINT('',(0.230426429490446,-0.555020880336146,0.35156296986039)); #171860=CARTESIAN_POINT('Origin',(0.214958533007722,-0.556544150808926, 0.274372062689589)); #171861=CARTESIAN_POINT('Ctrl Pts',(0.230426429490446,-0.555020880336146, 0.35156296986039)); #171862=CARTESIAN_POINT('Ctrl Pts',(0.0787304122161519,-0.559421148774078, 0.382047403549159)); #171863=CARTESIAN_POINT('Ctrl Pts',(-0.078730412216152,-0.559421148774078, 0.382047403549158)); #171864=CARTESIAN_POINT('Ctrl Pts',(-0.230426429490446,-0.555020880336146, 0.351562969860389)); #171865=CARTESIAN_POINT('Origin',(-0.214958533007722,-0.556544150808926, 0.274372062689589)); #171866=CARTESIAN_POINT('Origin',(-0.214958533007636,-0.556544150808923, 0.274372062689437)); #171867=CARTESIAN_POINT('Origin',(-0.214958533007636,-0.556544150808923, 0.274372062689437)); #171868=CARTESIAN_POINT('Ctrl Pts',(0.230426429490796,-0.555020880333613, 0.35156296986027)); #171869=CARTESIAN_POINT('Ctrl Pts',(0.227743731747285,-0.570312051841039, 0.352402295156707)); #171870=CARTESIAN_POINT('Ctrl Pts',(0.225072626739849,-0.585620436394544, 0.353133542096245)); #171871=CARTESIAN_POINT('Ctrl Pts',(0.213425655429593,-0.652526417710823, 0.355872481673261)); #171872=CARTESIAN_POINT('Ctrl Pts',(0.204589570039231,-0.703381586930367, 0.357294527344877)); #171873=CARTESIAN_POINT('Ctrl Pts',(0.185341797573971,-0.816824316978534, 0.359136619771878)); #171874=CARTESIAN_POINT('Ctrl Pts',(0.174996352914449,-0.878862220147455, 0.359281982228338)); #171875=CARTESIAN_POINT('Ctrl Pts',(0.164856715681956,-0.940945008198926, 0.358702305480478)); #171876=CARTESIAN_POINT('Ctrl Pts',(0.250226778068645,-0.558008290314564, 0.347654230867943)); #171877=CARTESIAN_POINT('Ctrl Pts',(0.247671315924338,-0.573293788691488, 0.348610004525056)); #171878=CARTESIAN_POINT('Ctrl Pts',(0.245122862243876,-0.588596802291628, 0.349455694977948)); #171879=CARTESIAN_POINT('Ctrl Pts',(0.233999870043104,-0.655493491942337, 0.352697725216458)); #171880=CARTESIAN_POINT('Ctrl Pts',(0.225537633695899,-0.706385785541629, 0.35451411662107)); #171881=CARTESIAN_POINT('Ctrl Pts',(0.207009726351846,-0.819873105966435, 0.357182195762889)); #171882=CARTESIAN_POINT('Ctrl Pts',(0.196999722977578,-0.881944045585911, 0.357739842427374)); #171883=CARTESIAN_POINT('Ctrl Pts',(0.187149178924682,-0.944061979775634, 0.357562210092159)); #171884=CARTESIAN_POINT('Ctrl Pts',(0.281809843723152,-0.563488056454552, 0.326551985298705)); #171885=CARTESIAN_POINT('Ctrl Pts',(0.279542789793996,-0.578717479263556, 0.327533327390892)); #171886=CARTESIAN_POINT('Ctrl Pts',(0.277277623240242,-0.593961651852864, 0.328409158716808)); #171887=CARTESIAN_POINT('Ctrl Pts',(0.267377093288045,-0.660656618339665, 0.331799736989441)); #171888=CARTESIAN_POINT('Ctrl Pts',(0.259818398398598,-0.711626455505599, 0.333785507459038)); #171889=CARTESIAN_POINT('Ctrl Pts',(0.243104750612209,-0.825017423740269, 0.336807279799458)); #171890=CARTESIAN_POINT('Ctrl Pts',(0.234002913792328,-0.887079182636503, 0.337605772709452)); #171891=CARTESIAN_POINT('Ctrl Pts',(0.224947788256856,-0.949158777070531, 0.337623503734004)); #171892=CARTESIAN_POINT('Ctrl Pts',(0.292757619233027,-0.566743412100843, 0.291028411291604)); #171893=CARTESIAN_POINT('Ctrl Pts',(0.290622020501297,-0.581843789346308, 0.291828846121592)); #171894=CARTESIAN_POINT('Ctrl Pts',(0.288486735326976,-0.596951972014996, 0.292528719588528)); #171895=CARTESIAN_POINT('Ctrl Pts',(0.279140140922184,-0.663134446857428, 0.29516490542201)); #171896=CARTESIAN_POINT('Ctrl Pts',(0.271953349806153,-0.714078913542595, 0.296596761180616)); #171897=CARTESIAN_POINT('Ctrl Pts',(0.256053124334226,-0.826980900950973, 0.298526917474082)); #171898=CARTESIAN_POINT('Ctrl Pts',(0.247350931497154,-0.888834255756745, 0.29878802923085)); #171899=CARTESIAN_POINT('Ctrl Pts',(0.23867076806437,-0.950674144543541, 0.298341535803211)); #171900=CARTESIAN_POINT('Ctrl Pts',(0.292920520415742,-0.567500993591776, 0.275746267912387)); #171901=CARTESIAN_POINT('Ctrl Pts',(0.290794243436914,-0.582539581652205, 0.276469110425744)); #171902=CARTESIAN_POINT('Ctrl Pts',(0.288668998222938,-0.597583190678017, 0.277093385152742)); #171903=CARTESIAN_POINT('Ctrl Pts',(0.279361626501463,-0.66351862181669, 0.27940529892352)); #171904=CARTESIAN_POINT('Ctrl Pts',(0.272185315835991,-0.714431133458172, 0.280598028979884)); #171905=CARTESIAN_POINT('Ctrl Pts',(0.256327662148499,-0.827081012034343, 0.282058646157165)); #171906=CARTESIAN_POINT('Ctrl Pts',(0.247649705563209,-0.88882436047599, 0.282086720647714)); #171907=CARTESIAN_POINT('Ctrl Pts',(0.238987252701736,-0.95054185294659, 0.281441386759642)); #171908=CARTESIAN_POINT('',(0.164856715681956,-0.940945008198926,0.358702305480478)); #171909=CARTESIAN_POINT('Origin',(0.161025265293979,-0.939585010161247, 0.280067181536743)); #171910=CARTESIAN_POINT('',(0.195889172655098,-0.754629261573781,0.358126727920156)); #171911=CARTESIAN_POINT('Ctrl Pts',(0.164856715681956,-0.940945008198926, 0.358702305480478)); #171912=CARTESIAN_POINT('Ctrl Pts',(0.174996352914449,-0.878862220147455, 0.359281982228338)); #171913=CARTESIAN_POINT('Ctrl Pts',(0.185341797573971,-0.816824316978534, 0.359136619771878)); #171914=CARTESIAN_POINT('Ctrl Pts',(0.195888169725934,-0.75466599444111, 0.35812728779289)); #171915=CARTESIAN_POINT('',(0.222414171174383,-0.600908478505068,0.353758860593445)); #171916=CARTESIAN_POINT('Ctrl Pts',(0.195894255799996,-0.754630124471239, 0.358126705236123)); #171917=CARTESIAN_POINT('Ctrl Pts',(0.204592633060625,-0.703364104292183, 0.357293965429905)); #171918=CARTESIAN_POINT('Ctrl Pts',(0.213425604129796,-0.652526735816641, 0.355872334398581)); #171919=CARTESIAN_POINT('Ctrl Pts',(0.222411361608799,-0.600908063576095, 0.353759373813345)); #171920=CARTESIAN_POINT('Ctrl Pts',(0.222414637867321,-0.600889243117512, 0.353758603386799)); #171921=CARTESIAN_POINT('Ctrl Pts',(0.225072626739849,-0.585620436394544, 0.353133542096245)); #171922=CARTESIAN_POINT('Ctrl Pts',(0.227743731747285,-0.570312051841039, 0.352402295156707)); #171923=CARTESIAN_POINT('Ctrl Pts',(0.230426429490796,-0.555020880333613, 0.35156296986027)); #171924=CARTESIAN_POINT('Origin',(0.214958533007985,-0.556544150806432, 0.274372062689487)); #171925=CARTESIAN_POINT('Ctrl Pts',(0.164856715681955,-0.940945008198934, 0.358702305480478)); #171926=CARTESIAN_POINT('Ctrl Pts',(0.158225393255265,-0.981547149604723, 0.358323196905864)); #171927=CARTESIAN_POINT('Ctrl Pts',(0.155004627634188,-1.02253128824013, 0.357473325814249)); #171928=CARTESIAN_POINT('Ctrl Pts',(0.155081140430754,-1.06337784042662, 0.35649865030611)); #171929=CARTESIAN_POINT('Ctrl Pts',(0.18714917892468,-0.944061979775643, 0.357562210092159)); #171930=CARTESIAN_POINT('Ctrl Pts',(0.180884111645366,-0.983485694993043, 0.357458491903314)); #171931=CARTESIAN_POINT('Ctrl Pts',(0.177912525653266,-1.02326573457903, 0.356848877268722)); #171932=CARTESIAN_POINT('Ctrl Pts',(0.178139318492613,-1.06287371403205, 0.356143900014612)); #171933=CARTESIAN_POINT('Ctrl Pts',(0.224946887789215,-0.949158639727441, 0.337622455883711)); #171934=CARTESIAN_POINT('Ctrl Pts',(0.219505661649973,-0.986487130960685, 0.337653079211799)); #171935=CARTESIAN_POINT('Ctrl Pts',(0.217147467175181,-1.02410623750211, 0.337210094853202)); #171936=CARTESIAN_POINT('Ctrl Pts',(0.217806259210777,-1.06154993799833, 0.336648469497143)); #171937=CARTESIAN_POINT('Ctrl Pts',(0.238670768064369,-0.950674144543552, 0.298341535803211)); #171938=CARTESIAN_POINT('Ctrl Pts',(0.233581934175852,-0.9870008217614, 0.29805523584628)); #171939=CARTESIAN_POINT('Ctrl Pts',(0.231436947767722,-1.02369433550936, 0.297315080869212)); #171940=CARTESIAN_POINT('Ctrl Pts',(0.23224694273052,-1.06026800606647, 0.296457126758568)); #171941=CARTESIAN_POINT('Ctrl Pts',(0.238987252701734,-0.950541852946602, 0.281441386759642)); #171942=CARTESIAN_POINT('Ctrl Pts',(0.233908963874338,-0.986728688736639, 0.281019338417424)); #171943=CARTESIAN_POINT('Ctrl Pts',(0.231753176011223,-1.02331966036554, 0.280149840222726)); #171944=CARTESIAN_POINT('Ctrl Pts',(0.232539904905619,-1.05984369132333, 0.279165000329333)); #171945=CARTESIAN_POINT('',(0.155079957256232,-1.06243261212576,0.356521181150587)); #171946=CARTESIAN_POINT('Ctrl Pts',(0.155079958487267,-1.06243261230748, 0.356521182209955)); #171947=CARTESIAN_POINT('Ctrl Pts',(0.155054516997443,-1.02189905256858, 0.357486408685434)); #171948=CARTESIAN_POINT('Ctrl Pts',(0.158276543644694,-0.981233966922461, 0.358326121141782)); #171949=CARTESIAN_POINT('Ctrl Pts',(0.164856715681955,-0.940945008198934, 0.358702305480478)); #171950=CARTESIAN_POINT('',(0.155141835961258,-1.06243229237866,0.356520165647451)); #171951=CARTESIAN_POINT('Ctrl Pts',(0.232539904905619,-1.05984369132333, 0.279165000329329)); #171952=CARTESIAN_POINT('Ctrl Pts',(0.232537310159904,-1.05984558016403, 0.27931589966191)); #171953=CARTESIAN_POINT('Ctrl Pts',(0.23253426670651,-1.05984747854702, 0.279466793565034)); #171954=CARTESIAN_POINT('Ctrl Pts',(0.232530775371686,-1.05984938642226, 0.279617680182124)); #171955=CARTESIAN_POINT('Ctrl Pts',(0.232377520015168,-1.05993313436261, 0.286240987158899)); #171956=CARTESIAN_POINT('Ctrl Pts',(0.231366701316469,-1.06003462465913, 0.29281206168518)); #171957=CARTESIAN_POINT('Ctrl Pts',(0.229569520696704,-1.06015036446747, 0.299175514414056)); #171958=CARTESIAN_POINT('Ctrl Pts',(0.228775193583689,-1.0602015197419, 0.301988065906848)); #171959=CARTESIAN_POINT('Ctrl Pts',(0.227826544297963,-1.06025547651835, 0.30476003493007)); #171960=CARTESIAN_POINT('Ctrl Pts',(0.226726619379693,-1.06031199614352, 0.307477130150341)); #171961=CARTESIAN_POINT('Ctrl Pts',(0.224238009251676,-1.06043987333702, 0.313624632194923)); #171962=CARTESIAN_POINT('Ctrl Pts',(0.221007585272886,-1.06057934875932, 0.319419967709275)); #171963=CARTESIAN_POINT('Ctrl Pts',(0.217089594218172,-1.06072690268146, 0.324705977195051)); #171964=CARTESIAN_POINT('Ctrl Pts',(0.21648494978661,-1.06074967395789, 0.325521741232314)); #171965=CARTESIAN_POINT('Ctrl Pts',(0.215863840314743,-1.06077263918324, 0.326325328706373)); #171966=CARTESIAN_POINT('Ctrl Pts',(0.215226370611801,-1.06079578677888, 0.327116100331441)); #171967=CARTESIAN_POINT('Ctrl Pts',(0.213601779430485,-1.06085477841345, 0.32913138137553)); #171968=CARTESIAN_POINT('Ctrl Pts',(0.211870981442403,-1.06091495423933, 0.331063494559894)); #171969=CARTESIAN_POINT('Ctrl Pts',(0.210042052185667,-1.06097614891693, 0.332908063188425)); #171970=CARTESIAN_POINT('Ctrl Pts',(0.205367730993866,-1.06113254839343, 0.337622355006066)); #171971=CARTESIAN_POINT('Ctrl Pts',(0.200112617353396,-1.06129365178913, 0.341709691880833)); #171972=CARTESIAN_POINT('Ctrl Pts',(0.194428960992309,-1.0614558689071, 0.345114712878269)); #171973=CARTESIAN_POINT('Ctrl Pts',(0.191362470192196,-1.06154338955723, 0.346951816478395)); #171974=CARTESIAN_POINT('Ctrl Pts',(0.188170508977674,-1.06163124106821, 0.348589680243464)); #171975=CARTESIAN_POINT('Ctrl Pts',(0.184873736207887,-1.06171883836287, 0.350014260329528)); #171976=CARTESIAN_POINT('Ctrl Pts',(0.178802330650294,-1.06188015936944, 0.35263779675613)); #171977=CARTESIAN_POINT('Ctrl Pts',(0.172450778813698,-1.06203863805704, 0.354508620677048)); #171978=CARTESIAN_POINT('Ctrl Pts',(0.165955902020818,-1.06219003719944, 0.355557155782378)); #171979=CARTESIAN_POINT('Ctrl Pts',(0.162387686976561,-1.06227321425034, 0.35613320962552)); #171980=CARTESIAN_POINT('Ctrl Pts',(0.15877579511072,-1.0623542457686,0.356460295682405)); #171981=CARTESIAN_POINT('Ctrl Pts',(0.155141836569103,-1.0624322928152, 0.356520164663073)); #171982=CARTESIAN_POINT('Ctrl Pts',(0.155141836569103,-1.0624322928152, 0.356520164663073)); #171983=CARTESIAN_POINT('Ctrl Pts',(0.155121254713888,-1.06243273486235, 0.3565205037462)); #171984=CARTESIAN_POINT('Ctrl Pts',(0.155100628691862,-1.0624328425596, 0.356520842931984)); #171985=CARTESIAN_POINT('Ctrl Pts',(0.155079958487267,-1.06243261230748, 0.356521182209955)); #171986=CARTESIAN_POINT('Ctrl Pts',(0.155121295175504,-1.06148528655433, 0.356520348361468)); #171987=CARTESIAN_POINT('Ctrl Pts',(0.158118780179207,-1.20373775442284, 0.356501476347913)); #171988=CARTESIAN_POINT('Ctrl Pts',(0.231049795041967,-1.43895698811459, 0.349851617313569)); #171989=CARTESIAN_POINT('Ctrl Pts',(0.464511345033206,-1.68225294934424, 0.327534766939526)); #171990=CARTESIAN_POINT('Ctrl Pts',(0.638572564360519,-1.76356919529305, 0.310661082929958)); #171991=CARTESIAN_POINT('Ctrl Pts',(0.730025513925535,-1.7870964450984, 0.301744791631182)); #171992=CARTESIAN_POINT('Ctrl Pts',(0.17816417276947,-1.06099968641042, 0.356142071913382)); #171993=CARTESIAN_POINT('Ctrl Pts',(0.18107139293653,-1.1989505522774,0.356123778927323)); #171994=CARTESIAN_POINT('Ctrl Pts',(0.25196017318297,-1.42709719257862, 0.349658803994904)); #171995=CARTESIAN_POINT('Ctrl Pts',(0.478650192983644,-1.66266382050344, 0.328035449710514)); #171996=CARTESIAN_POINT('Ctrl Pts',(0.647505003568868,-1.74118266903679, 0.311683162932692)); #171997=CARTESIAN_POINT('Ctrl Pts',(0.736146374606696,-1.76380353046403, 0.303062889198603)); #171998=CARTESIAN_POINT('Ctrl Pts',(0.217789711300767,-1.06016212347493, 0.336619138839158)); #171999=CARTESIAN_POINT('Ctrl Pts',(0.220535391149,-1.19041857501383,0.33660119937781)); #172000=CARTESIAN_POINT('Ctrl Pts',(0.287692811449199,-1.40574765492378, 0.330282119418036)); #172001=CARTESIAN_POINT('Ctrl Pts',(0.502157602438227,-1.62748366998151, 0.309100255734784)); #172002=CARTESIAN_POINT('Ctrl Pts',(0.661550085857932,-1.70091220319796, 0.293126100160995)); #172003=CARTESIAN_POINT('Ctrl Pts',(0.745129629592359,-1.72192301802552, 0.284693355555653)); #172004=CARTESIAN_POINT('Ctrl Pts',(0.232238297319851,-1.05985233989029, 0.296450690409022)); #172005=CARTESIAN_POINT('Ctrl Pts',(0.234911224708261,-1.18668046047466, 0.296432208127253)); #172006=CARTESIAN_POINT('Ctrl Pts',(0.300130598226196,-1.39641867782135, 0.289921587576188)); #172007=CARTESIAN_POINT('Ctrl Pts',(0.508600034049559,-1.61283295732494, 0.268075717445929)); #172008=CARTESIAN_POINT('Ctrl Pts',(0.663803178078559,-1.68486566087097, 0.251571982830183)); #172009=CARTESIAN_POINT('Ctrl Pts',(0.745252973803425,-1.70558688727732, 0.242852636746563)); #172010=CARTESIAN_POINT('Ctrl Pts',(0.232539904905618,-1.05984369132333, 0.279165000329333)); #172011=CARTESIAN_POINT('Ctrl Pts',(0.235204864505549,-1.18631380534995, 0.279146285510638)); #172012=CARTESIAN_POINT('Ctrl Pts',(0.300058079632177,-1.39543509049981, 0.272551816626262)); #172013=CARTESIAN_POINT('Ctrl Pts',(0.507639593331208,-1.61169950216889, 0.250420854957923)); #172014=CARTESIAN_POINT('Ctrl Pts',(0.662386454293002,-1.6839576590775, 0.233687720677749)); #172015=CARTESIAN_POINT('Ctrl Pts',(0.743685357622853,-1.70485616059033, 0.224845697827318)); #172016=CARTESIAN_POINT('',(0.155141858474607,-1.06243330151257,0.356520165391861)); #172017=CARTESIAN_POINT('Ctrl Pts',(0.730025513925535,-1.7870964450984, 0.301744791631182)); #172018=CARTESIAN_POINT('Ctrl Pts',(0.638572564360519,-1.76356919529305, 0.310661082929958)); #172019=CARTESIAN_POINT('Ctrl Pts',(0.464511345033206,-1.68225294934424, 0.327534766939526)); #172020=CARTESIAN_POINT('Ctrl Pts',(0.231272064731306,-1.43918862076554, 0.349830370305658)); #172021=CARTESIAN_POINT('Ctrl Pts',(0.158313364287042,-1.20436468598903, 0.356483732837882)); #172022=CARTESIAN_POINT('Ctrl Pts',(0.155141875086781,-1.06243330114096, 0.356520163806369)); #172023=CARTESIAN_POINT('Ctrl Pts',(0.155141835939762,-1.0624322923788, 0.356520164337811)); #172024=CARTESIAN_POINT('Ctrl Pts',(0.155141879843936,-1.06243426039301, 0.356520163834051)); #172025=CARTESIAN_POINT('Ctrl Pts',(0.155141814546297,-1.06243133349857, 0.356520164583452)); #172026=CARTESIAN_POINT('Ctrl Pts',(0.155141858453065,-1.06243330151272, 0.356520164079433)); #172027=CARTESIAN_POINT('Origin',(0.214958533007633,-0.556544150808926, 0.274372062689587)); #172028=CARTESIAN_POINT('Ctrl Pts',(0.430914814844302,-1.97119275289333, 0.304241793562472)); #172029=CARTESIAN_POINT('Ctrl Pts',(0.331855313013355,-1.87961934140067, 0.317626071537721)); #172030=CARTESIAN_POINT('Ctrl Pts',(0.115954491517276,-1.78142084565192, 0.331936414859664)); #172031=CARTESIAN_POINT('Ctrl Pts',(-0.206232185247347,-1.81642803334594, 0.326837337439143)); #172032=CARTESIAN_POINT('Ctrl Pts',(-0.364875146957004,-1.91014381189823, 0.313164645545972)); #172033=CARTESIAN_POINT('Ctrl Pts',(-0.430914814844302,-1.97119275289333, 0.304241793562472)); #172034=CARTESIAN_POINT('Ctrl Pts',(0.41545102189705,-1.98779006283452, 0.303347958805175)); #172035=CARTESIAN_POINT('Ctrl Pts',(0.320140554186351,-1.89924611555647, 0.316255890911962)); #172036=CARTESIAN_POINT('Ctrl Pts',(0.111891650627536,-1.80414091905968, 0.330098683919929)); #172037=CARTESIAN_POINT('Ctrl Pts',(-0.199000582107235,-1.83805328506769, 0.325165990480258)); #172038=CARTESIAN_POINT('Ctrl Pts',(-0.351910710089916,-1.92876076464915, 0.311953246876367)); #172039=CARTESIAN_POINT('Ctrl Pts',(-0.41545102189705,-1.98779006283452, 0.303347958805175)); #172040=CARTESIAN_POINT('Ctrl Pts',(0.387802451317777,-2.01481700233962, 0.283630453257124)); #172041=CARTESIAN_POINT('Ctrl Pts',(0.299148355310804,-1.93175352007828, 0.296256312851645)); #172042=CARTESIAN_POINT('Ctrl Pts',(0.104680331579998,-1.84226816329795, 0.309793168079751)); #172043=CARTESIAN_POINT('Ctrl Pts',(-0.186103111838388,-1.87419262757564, 0.304967000550336)); #172044=CARTESIAN_POINT('Ctrl Pts',(-0.328699720646458,-1.95944134749872, 0.292047692986806)); #172045=CARTESIAN_POINT('Ctrl Pts',(-0.387802451317777,-2.01481700233962, 0.283630453257124)); #172046=CARTESIAN_POINT('Ctrl Pts',(0.375420713436122,-2.02245701983951, 0.244262896710278)); #172047=CARTESIAN_POINT('Ctrl Pts',(0.289371559580978,-1.94238760709705, 0.257341622912065)); #172048=CARTESIAN_POINT('Ctrl Pts',(0.101165842614589,-1.85630771345092, 0.271335032062845)); #172049=CARTESIAN_POINT('Ctrl Pts',(-0.179909700633281,-1.88700606490882, 0.266348003669833)); #172050=CARTESIAN_POINT('Ctrl Pts',(-0.318054610866021,-1.96907741134453, 0.252982047511471)); #172051=CARTESIAN_POINT('Ctrl Pts',(-0.375420713436122,-2.02245701983951, 0.244262896710278)); #172052=CARTESIAN_POINT('Ctrl Pts',(0.373983780363039,-2.02153534237578, 0.227321898887877)); #172053=CARTESIAN_POINT('Ctrl Pts',(0.288031209884601,-1.94203896668516, 0.240594693161394)); #172054=CARTESIAN_POINT('Ctrl Pts',(0.100647918103891,-1.856772989588,0.254785839564063)); #172055=CARTESIAN_POINT('Ctrl Pts',(-0.179005552910527,-1.88717088012127, 0.249729234498774)); #172056=CARTESIAN_POINT('Ctrl Pts',(-0.316682066710742,-1.96853775858203, 0.236170428403557)); #172057=CARTESIAN_POINT('Ctrl Pts',(-0.373983780363039,-2.02153534237578, 0.227321898887878)); #172058=CARTESIAN_POINT('',(-0.373983780363039,-2.02153534237578,0.227321898887878)); #172059=CARTESIAN_POINT('',(-0.430914814844302,-1.97119275289333,0.304241793562472)); #172060=CARTESIAN_POINT('Origin',(-0.427325500229654,-1.9636321057507,0.22594769570212)); #172061=CARTESIAN_POINT('',(0.430914814844302,-1.97119275289333,0.304241793562472)); #172062=CARTESIAN_POINT('Ctrl Pts',(-0.430914814844302,-1.97119275289333, 0.304241793562472)); #172063=CARTESIAN_POINT('Ctrl Pts',(-0.364875146957004,-1.91014381189823, 0.313164645545972)); #172064=CARTESIAN_POINT('Ctrl Pts',(-0.206232185247347,-1.81642803334594, 0.326837337439143)); #172065=CARTESIAN_POINT('Ctrl Pts',(0.115954491517276,-1.78142084565192, 0.331936414859664)); #172066=CARTESIAN_POINT('Ctrl Pts',(0.331855313013355,-1.87961934140067, 0.317626071537721)); #172067=CARTESIAN_POINT('Ctrl Pts',(0.430914814844302,-1.97119275289333, 0.304241793562472)); #172068=CARTESIAN_POINT('Origin',(0.427325500229654,-1.9636321057507,0.22594769570212)); #172069=CARTESIAN_POINT('Ctrl Pts',(0.373983780363039,-2.02153534237578, 0.227321898887877)); #172070=CARTESIAN_POINT('Ctrl Pts',(0.288031209884601,-1.94203896668516, 0.240594693161394)); #172071=CARTESIAN_POINT('Ctrl Pts',(0.100647918103891,-1.856772989588,0.254785839564063)); #172072=CARTESIAN_POINT('Ctrl Pts',(-0.179005552910527,-1.88717088012127, 0.249729234498774)); #172073=CARTESIAN_POINT('Ctrl Pts',(-0.316682066710742,-1.96853775858203, 0.236170428403557)); #172074=CARTESIAN_POINT('Ctrl Pts',(-0.373983780363039,-2.02153534237578, 0.227321898887878)); #172075=CARTESIAN_POINT('Ctrl Pts',(0.448687361426998,-1.9882749553524, 0.301744791630646)); #172076=CARTESIAN_POINT('Ctrl Pts',(0.442875856438109,-1.9824676023275, 0.302593782191529)); #172077=CARTESIAN_POINT('Ctrl Pts',(0.436950221855452,-1.97677205421771, 0.303426328476963)); #172078=CARTESIAN_POINT('Ctrl Pts',(0.430914814844301,-1.97119275289333, 0.304241793562472)); #172079=CARTESIAN_POINT('Ctrl Pts',(0.432549841707657,-2.00430602023974, 0.300937688203412)); #172080=CARTESIAN_POINT('Ctrl Pts',(0.426960501660492,-1.99869190726819, 0.30175629088617)); #172081=CARTESIAN_POINT('Ctrl Pts',(0.421257685395465,-1.99318452457617, 0.302561557472401)); #172082=CARTESIAN_POINT('Ctrl Pts',(0.41545102189705,-1.98779006283452, 0.303347958805175)); #172083=CARTESIAN_POINT('Ctrl Pts',(0.403696599362647,-2.0303055152354, 0.281276084536746)); #172084=CARTESIAN_POINT('Ctrl Pts',(0.39850159944239,-2.02504093723285, 0.282076658205607)); #172085=CARTESIAN_POINT('Ctrl Pts',(0.393203068207458,-2.01987700234586, 0.282861127334959)); #172086=CARTESIAN_POINT('Ctrl Pts',(0.387802519227486,-2.01481690951482, 0.283630320774738)); #172087=CARTESIAN_POINT('Ctrl Pts',(0.390853948019115,-2.03738967640781, 0.24182345799023)); #172088=CARTESIAN_POINT('Ctrl Pts',(0.385809324007311,-2.03231408172551, 0.24265297455377)); #172089=CARTESIAN_POINT('Ctrl Pts',(0.380662360204583,-2.02733446541288, 0.243466067062847)); #172090=CARTESIAN_POINT('Ctrl Pts',(0.375420713436122,-2.02245701983951, 0.244262896710278)); #172091=CARTESIAN_POINT('Ctrl Pts',(0.389401227254155,-2.0363614169122, 0.224845695775478)); #172092=CARTESIAN_POINT('Ctrl Pts',(0.384359956377231,-2.03132115026718, 0.225687614652552)); #172093=CARTESIAN_POINT('Ctrl Pts',(0.379219549705283,-2.02637783889975, 0.226513226229693)); #172094=CARTESIAN_POINT('Ctrl Pts',(0.373983780363039,-2.02153534237578, 0.227321898887878)); #172095=CARTESIAN_POINT('Ctrl Pts',(0.430914814844301,-1.97119275289333, 0.304241793562472)); #172096=CARTESIAN_POINT('Ctrl Pts',(0.436950221855452,-1.97677205421771, 0.303426328476963)); #172097=CARTESIAN_POINT('Ctrl Pts',(0.442875856438109,-1.9824676023275, 0.302593782191529)); #172098=CARTESIAN_POINT('Ctrl Pts',(0.448687361426998,-1.9882749553524, 0.301744791630646)); #172099=CARTESIAN_POINT('Ctrl Pts',(-0.44868736142928,-1.98827495535468, 0.30174479163031)); #172100=CARTESIAN_POINT('Ctrl Pts',(-0.530761805352396,-2.06946978118397, 0.289835501802862)); #172101=CARTESIAN_POINT('Ctrl Pts',(-0.627916845006045,-2.24381313525604, 0.27137615773122)); #172102=CARTESIAN_POINT('Ctrl Pts',(-0.639565504561343,-2.51878060860686, 0.255609527258301)); #172103=CARTESIAN_POINT('Ctrl Pts',(-0.588791826945977,-2.66800825442278, 0.25039820731634)); #172104=CARTESIAN_POINT('Ctrl Pts',(-0.550654730155241,-2.73560532285602, 0.248508206526477)); #172105=CARTESIAN_POINT('Ctrl Pts',(-0.432549841709851,-2.00430602024195, 0.300937688203088)); #172106=CARTESIAN_POINT('Ctrl Pts',(-0.511401464384006,-2.0828561994882, 0.289627290616161)); #172107=CARTESIAN_POINT('Ctrl Pts',(-0.60451706435206,-2.25096408711675, 0.271829186025316)); #172108=CARTESIAN_POINT('Ctrl Pts',(-0.615493651497897,-2.51545310380077, 0.256325527033482)); #172109=CARTESIAN_POINT('Ctrl Pts',(-0.566645952626017,-2.65904203496542, 0.251067415544684)); #172110=CARTESIAN_POINT('Ctrl Pts',(-0.529962279867433,-2.72407591012196, 0.249138133670503)); #172111=CARTESIAN_POINT('Ctrl Pts',(-0.403697993907517,-2.03030373795718, 0.281273473316093)); #172112=CARTESIAN_POINT('Ctrl Pts',(-0.477018434274148,-2.10441767231269, 0.270258721094813)); #172113=CARTESIAN_POINT('Ctrl Pts',(-0.563306374749684,-2.26186825545672, 0.252856260662448)); #172114=CARTESIAN_POINT('Ctrl Pts',(-0.573463562770246,-2.50874118879229, 0.237465132207664)); #172115=CARTESIAN_POINT('Ctrl Pts',(-0.528050871683462,-2.64270357464488, 0.232188185598879)); #172116=CARTESIAN_POINT('Ctrl Pts',(-0.493917165175424,-2.70343165553176, 0.23023321026757)); #172117=CARTESIAN_POINT('Ctrl Pts',(-0.390853948021095,-2.0373896764098, 0.241823457989901)); #172118=CARTESIAN_POINT('Ctrl Pts',(-0.462518454692883,-2.109495631592, 0.230117329128684)); #172119=CARTESIAN_POINT('Ctrl Pts',(-0.547559910380441,-2.26323748239179, 0.211876629946938)); #172120=CARTESIAN_POINT('Ctrl Pts',(-0.558279348050028,-2.50470824812653, 0.196136221694644)); #172121=CARTESIAN_POINT('Ctrl Pts',(-0.514248853396391,-2.63574190745163, 0.190877466048096)); #172122=CARTESIAN_POINT('Ctrl Pts',(-0.481059154772778,-2.69509953504514, 0.188957656936141)); #172123=CARTESIAN_POINT('Ctrl Pts',(-0.389401227256134,-2.03636141691418, 0.224845695775145)); #172124=CARTESIAN_POINT('Ctrl Pts',(-0.461268577983807,-2.10821445151314, 0.212843468420037)); #172125=CARTESIAN_POINT('Ctrl Pts',(-0.546951711127554,-2.26192147017396, 0.194240072439716)); #172126=CARTESIAN_POINT('Ctrl Pts',(-0.558138977296535,-2.50387064200912, 0.17835040343293)); #172127=CARTESIAN_POINT('Ctrl Pts',(-0.514179513858222,-2.63511940369763, 0.173098415721539)); #172128=CARTESIAN_POINT('Ctrl Pts',(-0.481000100624233,-2.69456866775467, 0.171193665854373)); #172129=CARTESIAN_POINT('',(-0.550654730155241,-2.73560532285602,0.248508206526477)); #172130=CARTESIAN_POINT('Origin',(-0.549764855031337,-2.73290314878963, 0.169819460812979)); #172131=CARTESIAN_POINT('',(-0.448687361429281,-1.98827495535468,0.30174479163031)); #172132=CARTESIAN_POINT('Ctrl Pts',(-0.550654730155241,-2.73560532285602, 0.248508206526477)); #172133=CARTESIAN_POINT('Ctrl Pts',(-0.588791826945977,-2.66800825442278, 0.25039820731634)); #172134=CARTESIAN_POINT('Ctrl Pts',(-0.639565504561343,-2.51878060860686, 0.255609527258301)); #172135=CARTESIAN_POINT('Ctrl Pts',(-0.627916845006045,-2.24381313525604, 0.27137615773122)); #172136=CARTESIAN_POINT('Ctrl Pts',(-0.530761805352396,-2.06946978118397, 0.289835501802862)); #172137=CARTESIAN_POINT('Ctrl Pts',(-0.44868736142928,-1.98827495535468, 0.30174479163031)); #172138=CARTESIAN_POINT('',(-0.389401227256134,-2.03636141691418,0.224845695775145)); #172139=CARTESIAN_POINT('Origin',(-0.444950009989256,-1.98057202162353, 0.223471492603554)); #172140=CARTESIAN_POINT('Ctrl Pts',(-0.389401227256134,-2.03636141691418, 0.224845695775145)); #172141=CARTESIAN_POINT('Ctrl Pts',(-0.423866006555732,-2.07081933075663, 0.219089895502712)); #172142=CARTESIAN_POINT('Ctrl Pts',(-0.453626002031561,-2.10996218257945, 0.213527321032966)); #172143=CARTESIAN_POINT('Ctrl Pts',(-0.477852753473164,-2.15230215355275, 0.208353306917758)); #172144=CARTESIAN_POINT('Ctrl Pts',(-0.430914814844302,-1.97119275289333, 0.304241793562472)); #172145=CARTESIAN_POINT('Ctrl Pts',(-0.436950221856243,-1.97677205421844, 0.303426328476857)); #172146=CARTESIAN_POINT('Ctrl Pts',(-0.442875856439663,-1.98246760232899, 0.302593782191311)); #172147=CARTESIAN_POINT('Ctrl Pts',(-0.448687361429281,-1.98827495535468, 0.301744791630313)); #172148=CARTESIAN_POINT('Ctrl Pts',(-0.415451021897051,-1.98779006283452, 0.303347958805175)); #172149=CARTESIAN_POINT('Ctrl Pts',(-0.421257685396226,-1.99318452457688, 0.302561557472298)); #172150=CARTESIAN_POINT('Ctrl Pts',(-0.426960501661987,-1.99869190726963, 0.30175629088596)); #172151=CARTESIAN_POINT('Ctrl Pts',(-0.432549841709852,-2.00430602024195, 0.30093768820309)); #172152=CARTESIAN_POINT('Ctrl Pts',(-0.387802519227486,-2.01481690951482, 0.283630320774738)); #172153=CARTESIAN_POINT('Ctrl Pts',(-0.393203068208167,-2.01987700234652, 0.282861127334858)); #172154=CARTESIAN_POINT('Ctrl Pts',(-0.398501599443778,-2.0250409372342, 0.282076658205402)); #172155=CARTESIAN_POINT('Ctrl Pts',(-0.403696599364686,-2.03030551523746, 0.281276084536433)); #172156=CARTESIAN_POINT('Ctrl Pts',(-0.375420713436122,-2.02245701983951, 0.244262896710278)); #172157=CARTESIAN_POINT('Ctrl Pts',(-0.38066236020527,-2.02733446541352, 0.243466067062743)); #172158=CARTESIAN_POINT('Ctrl Pts',(-0.385809324008658,-2.03231408172681, 0.242652974553558)); #172159=CARTESIAN_POINT('Ctrl Pts',(-0.390853948021096,-2.0373896764098, 0.241823457989904)); #172160=CARTESIAN_POINT('Ctrl Pts',(-0.373983780363039,-2.02153534237578, 0.227321898887878)); #172161=CARTESIAN_POINT('Ctrl Pts',(-0.379219549705969,-2.02637783890038, 0.226513226229588)); #172162=CARTESIAN_POINT('Ctrl Pts',(-0.384359956378575,-2.03132115026847, 0.225687614652336)); #172163=CARTESIAN_POINT('Ctrl Pts',(-0.389401227256135,-2.03636141691418, 0.224845695775148)); #172164=CARTESIAN_POINT('Ctrl Pts',(-0.448687361429281,-1.98827495535468, 0.301744791630313)); #172165=CARTESIAN_POINT('Ctrl Pts',(-0.442875856439663,-1.98246760232899, 0.302593782191311)); #172166=CARTESIAN_POINT('Ctrl Pts',(-0.436950221856243,-1.97677205421844, 0.303426328476857)); #172167=CARTESIAN_POINT('Ctrl Pts',(-0.430914814844302,-1.97119275289333, 0.304241793562472)); #172168=CARTESIAN_POINT('Ctrl Pts',(-0.373983780363039,-2.02153534237578, 0.227321898887878)); #172169=CARTESIAN_POINT('Ctrl Pts',(-0.379219549705969,-2.02637783890038, 0.226513226229588)); #172170=CARTESIAN_POINT('Ctrl Pts',(-0.384359956378575,-2.03132115026847, 0.225687614652336)); #172171=CARTESIAN_POINT('Ctrl Pts',(-0.389401227256135,-2.03636141691418, 0.224845695775148)); #172172=CARTESIAN_POINT('Ctrl Pts',(-0.550654730149762,-2.73560532286573, 0.248508206526205)); #172173=CARTESIAN_POINT('Ctrl Pts',(-0.748930326099807,-2.68132729789144, 0.248129857007734)); #172174=CARTESIAN_POINT('Ctrl Pts',(-0.939093909223195,-2.59752445193871, 0.247562472447186)); #172175=CARTESIAN_POINT('Ctrl Pts',(-1.11290094027289,-2.48786179965026, 0.246914199770136)); #172176=CARTESIAN_POINT('Ctrl Pts',(-0.554200306787942,-2.74858504413941, 0.248022386269224)); #172177=CARTESIAN_POINT('Ctrl Pts',(-0.753754526044136,-2.69396806862036, 0.247658143419678)); #172178=CARTESIAN_POINT('Ctrl Pts',(-0.945152366065321,-2.60964000341685, 0.247112178537256)); #172179=CARTESIAN_POINT('Ctrl Pts',(-1.12009302230246,-2.49928640289756, 0.24649148390298)); #172180=CARTESIAN_POINT('Ctrl Pts',(-0.562385648941816,-2.7786081195739, 0.238671682856263)); #172181=CARTESIAN_POINT('Ctrl Pts',(-0.764896392085415,-2.72321805608001, 0.238328006261666)); #172182=CARTESIAN_POINT('Ctrl Pts',(-0.959149223119093,-2.63769230317684, 0.237814025086723)); #172183=CARTESIAN_POINT('Ctrl Pts',(-1.13672502578567,-2.52575576219214, 0.23723367624868)); #172184=CARTESIAN_POINT('Ctrl Pts',(-0.567855279439074,-2.79880818613236, 0.212849113505119)); #172185=CARTESIAN_POINT('Ctrl Pts',(-0.772354941862201,-2.74290934781728, 0.212495338017441)); #172186=CARTESIAN_POINT('Ctrl Pts',(-0.968508855559764,-2.65660459504428, 0.211964865854034)); #172187=CARTESIAN_POINT('Ctrl Pts',(-1.14787424735452,-2.54361689438608, 0.211365021248676)); #172188=CARTESIAN_POINT('Ctrl Pts',(-0.569392849782328,-2.80455485720425, 0.195911352827113)); #172189=CARTESIAN_POINT('Ctrl Pts',(-0.774443135498734,-2.74851691517106, 0.195546808520692)); #172190=CARTESIAN_POINT('Ctrl Pts',(-0.97115252108062,-2.66198787417212, 0.195000668393207)); #172191=CARTESIAN_POINT('Ctrl Pts',(-1.15101733759602,-2.54871004949556, 0.194379905331093)); #172192=CARTESIAN_POINT('',(-1.11290094027289,-2.48786179965026,0.246914199770136)); #172193=CARTESIAN_POINT('Origin',(-1.11137585504041,-2.48590989803195,0.16821301477594)); #172194=CARTESIAN_POINT('Ctrl Pts',(-1.11290094027289,-2.48786179965026, 0.246914199770136)); #172195=CARTESIAN_POINT('Ctrl Pts',(-0.939093909223195,-2.59752445193871, 0.247562472447186)); #172196=CARTESIAN_POINT('Ctrl Pts',(-0.748930326099807,-2.68132729789144, 0.248129857007734)); #172197=CARTESIAN_POINT('Ctrl Pts',(-0.550654730149762,-2.73560532286573, 0.248508206526205)); #172198=CARTESIAN_POINT('Origin',(-0.549764855025889,-2.73290314879939, 0.169819460812706)); #172199=CARTESIAN_POINT('Origin',(-0.549764855025915,-2.73290314879937, 0.169819460813442)); #172200=CARTESIAN_POINT('Ctrl Pts',(-1.80240953802334,-1.90937245736157, 0.194396225064475)); #172201=CARTESIAN_POINT('Ctrl Pts',(-1.73051205263676,-2.01861355451443, 0.194470637084047)); #172202=CARTESIAN_POINT('Ctrl Pts',(-1.53916869285215,-2.25910652854712, 0.194668661272838)); #172203=CARTESIAN_POINT('Ctrl Pts',(-1.29821174872029,-2.45557579204507, 0.194888663090858)); #172204=CARTESIAN_POINT('Ctrl Pts',(-1.15090059677597,-2.54852092984839, 0.195002300134122)); #172205=CARTESIAN_POINT('Ctrl Pts',(-1.7970102538346,-1.90575209647404, 0.210725816734937)); #172206=CARTESIAN_POINT('Ctrl Pts',(-1.72537871397557,-2.01469782221734, 0.210860725999096)); #172207=CARTESIAN_POINT('Ctrl Pts',(-1.53472600563757,-2.2545629909347, 0.211217809591703)); #172208=CARTESIAN_POINT('Ctrl Pts',(-1.29456458062801,-2.45058674682333, 0.211613211549782)); #172209=CARTESIAN_POINT('Ctrl Pts',(-1.14770402294545,-2.54334384577935, 0.211818214572674)); #172210=CARTESIAN_POINT('Ctrl Pts',(-1.77928293210188,-1.89397098685608, 0.235243979940856)); #172211=CARTESIAN_POINT('Ctrl Pts',(-1.70840232692629,-2.00185564306473, 0.235508388121303)); #172212=CARTESIAN_POINT('Ctrl Pts',(-1.51971528627738,-2.23941676535049, 0.23620260611041)); #172213=CARTESIAN_POINT('Ctrl Pts',(-1.28195911529139,-2.43360391405724, 0.23696638434966)); #172214=CARTESIAN_POINT('Ctrl Pts',(-1.13655939499363,-2.52549195389361, 0.23736129379123)); #172215=CARTESIAN_POINT('Ctrl Pts',(-1.75374286591569,-1.87709505184337, 0.243733509138544)); #172216=CARTESIAN_POINT('Ctrl Pts',(-1.68384712821167,-1.98340619916431, 0.244088362637317)); #172217=CARTESIAN_POINT('Ctrl Pts',(-1.49778090170902,-2.21747045768036, 0.245002980965752)); #172218=CARTESIAN_POINT('Ctrl Pts',(-1.26337165009975,-2.40872311292525, 0.245987120694368)); #172219=CARTESIAN_POINT('Ctrl Pts',(-1.12004274863971,-2.49920654331749, 0.246494438746217)); #172220=CARTESIAN_POINT('Ctrl Pts',(-1.7427414805674,-1.8698380444797,0.243998019789338)); #172221=CARTESIAN_POINT('Ctrl Pts',(-1.67326334026082,-1.97546882096749, 0.244377578165421)); #172222=CARTESIAN_POINT('Ctrl Pts',(-1.48831292185285,-2.20801705086948, 0.245348722500943)); #172223=CARTESIAN_POINT('Ctrl Pts',(-1.25533933587222,-2.39799102655901, 0.246382927131324)); #172224=CARTESIAN_POINT('Ctrl Pts',(-1.11290094027289,-2.48786179965026, 0.246914199770136)); #172225=CARTESIAN_POINT('',(-1.7427414805674,-1.8698380444797,0.243998019789338)); #172226=CARTESIAN_POINT('Origin',(-1.74129129608121,-1.86916706778249,0.165274077563275)); #172227=CARTESIAN_POINT('Ctrl Pts',(-1.7427414805674,-1.8698380444797,0.243998019789338)); #172228=CARTESIAN_POINT('Ctrl Pts',(-1.67326334026082,-1.97546882096749, 0.244377578165421)); #172229=CARTESIAN_POINT('Ctrl Pts',(-1.48831292185285,-2.20801705086948, 0.245348722500943)); #172230=CARTESIAN_POINT('Ctrl Pts',(-1.25533933587222,-2.39799102655901, 0.246382927131324)); #172231=CARTESIAN_POINT('Ctrl Pts',(-1.11290094027289,-2.48786179965026, 0.246914199770136)); #172232=CARTESIAN_POINT('Ctrl Pts',(-1.77110681237752,-1.79135769159379, 0.19445363557987)); #172233=CARTESIAN_POINT('Ctrl Pts',(-1.79468541309859,-1.80329989848885, 0.194331472859909)); #172234=CARTESIAN_POINT('Ctrl Pts',(-1.82416616858294,-1.84502804544082, 0.194139345243033)); #172235=CARTESIAN_POINT('Ctrl Pts',(-1.81325046390172,-1.89310801649041, 0.194097758056741)); #172236=CARTESIAN_POINT('Ctrl Pts',(-1.80250365210792,-1.90943556924235, 0.194109950780861)); #172237=CARTESIAN_POINT('Ctrl Pts',(-1.76913751212776,-1.79589970942661, 0.206923135083013)); #172238=CARTESIAN_POINT('Ctrl Pts',(-1.79126375581557,-1.80717409006453, 0.206743348830716)); #172239=CARTESIAN_POINT('Ctrl Pts',(-1.81881573503823,-1.84637723192226, 0.206460394442517)); #172240=CARTESIAN_POINT('Ctrl Pts',(-1.80855246882311,-1.89142395517071, 0.20639908988605)); #172241=CARTESIAN_POINT('Ctrl Pts',(-1.79848084992842,-1.90673766579121, 0.20641704221587)); #172242=CARTESIAN_POINT('Ctrl Pts',(-1.76109858210805,-1.81326754971861, 0.233029950175621)); #172243=CARTESIAN_POINT('Ctrl Pts',(-1.77766526019041,-1.82179379239028, 0.232752715851648)); #172244=CARTESIAN_POINT('Ctrl Pts',(-1.79816178921064,-1.85125077643801, 0.232315089811631)); #172245=CARTESIAN_POINT('Ctrl Pts',(-1.79045279076988,-1.88492419070015, 0.232219918756361)); #172246=CARTESIAN_POINT('Ctrl Pts',(-1.78292601693543,-1.89638467795343, 0.232247667068552)); #172247=CARTESIAN_POINT('Ctrl Pts',(-1.7474020376793,-1.84128024910838, 0.244156020222314)); #172248=CARTESIAN_POINT('Ctrl Pts',(-1.75503021152841,-1.84518257129374, 0.243973777604039)); #172249=CARTESIAN_POINT('Ctrl Pts',(-1.76449448730135,-1.85871245571182, 0.243687321076807)); #172250=CARTESIAN_POINT('Ctrl Pts',(-1.76093487342621,-1.87425479016418, 0.243625659408172)); #172251=CARTESIAN_POINT('Ctrl Pts',(-1.75745893809443,-1.87954633977769, 0.243644162148207)); #172252=CARTESIAN_POINT('Ctrl Pts',(-1.73942407371887,-1.85729104796253, 0.244168917684208)); #172253=CARTESIAN_POINT('Ctrl Pts',(-1.74191675958807,-1.8585340891716, 0.244110135840817)); #172254=CARTESIAN_POINT('Ctrl Pts',(-1.7450571008622,-1.86294862246394, 0.244013601092008)); #172255=CARTESIAN_POINT('Ctrl Pts',(-1.74388949916084,-1.86809265960164, 0.243991748175401)); #172256=CARTESIAN_POINT('Ctrl Pts',(-1.7427414805674,-1.8698380444797,0.243998019789337)); #172257=CARTESIAN_POINT('',(-1.73942407371887,-1.85729104796253,0.244168917684207)); #172258=CARTESIAN_POINT('Origin',(-1.73791180775899,-1.85660092115607,0.165446309108003)); #172259=CARTESIAN_POINT('Ctrl Pts',(-1.73942407371887,-1.85729104796253, 0.244168917684208)); #172260=CARTESIAN_POINT('Ctrl Pts',(-1.74191675958807,-1.8585340891716, 0.244110135840817)); #172261=CARTESIAN_POINT('Ctrl Pts',(-1.7450571008622,-1.86294862246394, 0.244013601092008)); #172262=CARTESIAN_POINT('Ctrl Pts',(-1.74388949916084,-1.86809265960164, 0.243991748175401)); #172263=CARTESIAN_POINT('Ctrl Pts',(-1.7427414805674,-1.8698380444797,0.243998019789337)); #172264=CARTESIAN_POINT('Ctrl Pts',(-1.67747521880563,-1.74381131987917, 0.195172643814317)); #172265=CARTESIAN_POINT('Ctrl Pts',(-1.70866443146288,-1.75970803401139, 0.194889361186758)); #172266=CARTESIAN_POINT('Ctrl Pts',(-1.73987856970156,-1.77555569395272, 0.194648355359493)); #172267=CARTESIAN_POINT('Ctrl Pts',(-1.77110681237752,-1.7913576915938, 0.194453635579869)); #172268=CARTESIAN_POINT('Ctrl Pts',(-1.67573887595653,-1.74819868488376, 0.208059302963469)); #172269=CARTESIAN_POINT('Ctrl Pts',(-1.70684001644641,-1.7641646782225, 0.207610734353772)); #172270=CARTESIAN_POINT('Ctrl Pts',(-1.73797784841464,-1.78006156707895, 0.207229710934949)); #172271=CARTESIAN_POINT('Ctrl Pts',(-1.76913751212777,-1.79589970942662, 0.206923135083012)); #172272=CARTESIAN_POINT('Ctrl Pts',(-1.66801607398168,-1.76558837917284, 0.235221366587038)); #172273=CARTESIAN_POINT('Ctrl Pts',(-1.69900394768118,-1.78155802998716, 0.234350127694173)); #172274=CARTESIAN_POINT('Ctrl Pts',(-1.73003672951436,-1.79744736630837, 0.23361734469566)); #172275=CARTESIAN_POINT('Ctrl Pts',(-1.76109859477006,-1.81326752593622, 0.233029984575831)); #172276=CARTESIAN_POINT('Ctrl Pts',(-1.65411085857792,-1.79427630521238, 0.246893070862728)); #172277=CARTESIAN_POINT('Ctrl Pts',(-1.68519039744523,-1.80998250734598, 0.245797448512188)); #172278=CARTESIAN_POINT('Ctrl Pts',(-1.71629442957996,-1.82564445965062, 0.24489039102457)); #172279=CARTESIAN_POINT('Ctrl Pts',(-1.7474020376793,-1.84128024910838, 0.244156020222313)); #172280=CARTESIAN_POINT('Ctrl Pts',(-1.64594144529462,-1.81064911341777, 0.246914199771387)); #172281=CARTESIAN_POINT('Ctrl Pts',(-1.67710103358019,-1.82621110872134, 0.245818722035637)); #172282=CARTESIAN_POINT('Ctrl Pts',(-1.70826679525419,-1.8417536785842, 0.244903660190594)); #172283=CARTESIAN_POINT('Ctrl Pts',(-1.73942407371887,-1.85729104796253, 0.244168917684206)); #172284=CARTESIAN_POINT('Ctrl Pts',(-1.64594144529462,-1.81064911341777, 0.246914199771387)); #172285=CARTESIAN_POINT('Ctrl Pts',(-1.67710103358019,-1.82621110872134, 0.245818722035637)); #172286=CARTESIAN_POINT('Ctrl Pts',(-1.70826679525419,-1.8417536785842, 0.244903660190594)); #172287=CARTESIAN_POINT('Ctrl Pts',(-1.73942407371887,-1.85729104796253, 0.244168917684206)); #172288=CARTESIAN_POINT('Ctrl Pts',(0.321512839586527,-2.03979839717548, 0.301744791630907)); #172289=CARTESIAN_POINT('Ctrl Pts',(0.751863746263537,-1.89807600735628, 0.301744791630907)); #172290=CARTESIAN_POINT('Ctrl Pts',(0.937570533588889,-1.48479628634993, 0.301744791630907)); #172291=CARTESIAN_POINT('Ctrl Pts',(0.460788626986257,-2.46272000795337, 0.253040726215779)); #172292=CARTESIAN_POINT('Ctrl Pts',(1.07756276162116,-2.25960505459107, 0.253040726215779)); #172293=CARTESIAN_POINT('Ctrl Pts',(1.34371566445305,-1.66729715345504, 0.253040726215779)); #172294=CARTESIAN_POINT('Ctrl Pts',(0.600866472062565,-2.88807712838336, 0.243983285902429)); #172295=CARTESIAN_POINT('Ctrl Pts',(1.40513740375066,-2.62321606562627, 0.243983285902429)); #172296=CARTESIAN_POINT('Ctrl Pts',(1.75219969302582,-1.85084900166876, 0.243983285902429)); #172297=CARTESIAN_POINT('Origin',(-6.29392525165424E-17,-1.0635,0.301744791630314)); #172298=CARTESIAN_POINT('Origin',(0.,-1.0635,-9.09534846392466)); #172299=CARTESIAN_POINT('Origin',(-6.29392525165424E-17,-1.0635,0.301744791630314)); #172300=CARTESIAN_POINT('Ctrl Pts',(0.155079957256233,-1.06243261212571, 0.356521181150588)); #172301=CARTESIAN_POINT('Ctrl Pts',(0.0513847798407126,-1.06127799147895, 0.358222411897203)); #172302=CARTESIAN_POINT('Ctrl Pts',(-0.0513854497466046,-1.06127799893823, 0.358222400906737)); #172303=CARTESIAN_POINT('Ctrl Pts',(-0.155079957261702,-1.06243261212578, 0.356521181150498)); #172304=CARTESIAN_POINT('Origin',(-0.23040653603414,-0.543115406652239, -0.494960174796298)); #172305=CARTESIAN_POINT('',(-0.308368523441897,-0.554072249437582,-0.493585969573398)); #172306=CARTESIAN_POINT('Origin',(-0.252432612439344,-0.952431475027025, -0.49641278)); #172307=CARTESIAN_POINT('',(-0.240240923699231,-0.950718044915058,0.20891278)); #172308=CARTESIAN_POINT('Origin',(-0.914477181471395,-1.04547577136898, -0.49641278)); #172309=CARTESIAN_POINT('Origin',(6.61754975377686E-17,-2.4261,0.19958722)); #172310=CARTESIAN_POINT('',(-0.463218355090141,-2.4261,0.199063647806882)); #172311=CARTESIAN_POINT('Origin',(-0.493213785944833,-2.4261,0.19958722)); #172312=CARTESIAN_POINT('Origin',(8.74191357972564E-17,-2.4261,0.199063647806882)); #172313=CARTESIAN_POINT('Origin',(6.61754975377686E-17,-2.4261,0.25508722)); #172314=CARTESIAN_POINT('',(-0.46249771648061,-2.4261,0.240349006096559)); #172315=CARTESIAN_POINT('',(-0.46224046,-2.4261,0.25508722)); #172316=CARTESIAN_POINT('Origin',(8.74191357972564E-17,-2.4261,0.240349006096559)); #172317=CARTESIAN_POINT('Origin',(6.61754975377686E-17,-2.4261,0.24008722)); #172318=CARTESIAN_POINT('',(-0.447500001053265,-2.4261,0.25508722)); #172319=CARTESIAN_POINT('Origin',(6.61754975377686E-17,-2.4261,0.25508722)); #172320=CARTESIAN_POINT('Origin',(-0.447500001053265,-2.4261,0.24008722)); #172321=CARTESIAN_POINT('Origin',(1.06003714776626E-16,-2.4261,0.25508722)); #172322=CARTESIAN_POINT('Origin',(-0.355477390765174,-0.443084012351214, -0.43641278)); #172323=CARTESIAN_POINT('Origin',(-0.355477390765174,-0.443084012351214, -0.43641278)); #172324=CARTESIAN_POINT('Ctrl Pts',(-0.294609148112243,-1.21763092923561, 0.113842144176328)); #172325=CARTESIAN_POINT('Ctrl Pts',(-0.3027284746961,-1.24427571642444, 0.135427519262189)); #172326=CARTESIAN_POINT('Ctrl Pts',(-0.323648719554528,-1.29802427128521, 0.162578919442326)); #172327=CARTESIAN_POINT('Ctrl Pts',(-0.358422047584595,-1.35265969737277, 0.16958722)); #172328=CARTESIAN_POINT('Ctrl Pts',(-0.375354122510042,-1.375,0.16958722)); #172329=CARTESIAN_POINT('Ctrl Pts',(-0.313436629437866,-1.21483746991986, 0.111579117390255)); #172330=CARTESIAN_POINT('Ctrl Pts',(-0.321436625765092,-1.24029858383759, 0.132838416297324)); #172331=CARTESIAN_POINT('Ctrl Pts',(-0.34152848059485,-1.29126394740251, 0.159989780553735)); #172332=CARTESIAN_POINT('Ctrl Pts',(-0.374310508564347,-1.34397068442078, 0.168744905274837)); #172333=CARTESIAN_POINT('Ctrl Pts',(-0.390331057016821,-1.36584575829475, 0.16958722)); #172334=CARTESIAN_POINT('Ctrl Pts',(-0.345937713868375,-1.1991224877694, 0.121118461642941)); #172335=CARTESIAN_POINT('Ctrl Pts',(-0.353719973303513,-1.22437797942848, 0.143518704947753)); #172336=CARTESIAN_POINT('Ctrl Pts',(-0.372345713624725,-1.27451707074292, 0.172385613350589)); #172337=CARTESIAN_POINT('Ctrl Pts',(-0.40178431026943,-1.32760564580019, 0.182634930978018)); #172338=CARTESIAN_POINT('Ctrl Pts',(-0.416290407196304,-1.34997881530008, 0.183941948162661)); #172339=CARTESIAN_POINT('Ctrl Pts',(-0.354710433114637,-1.17593309646184, 0.147082059539596)); #172340=CARTESIAN_POINT('Ctrl Pts',(-0.362243570382915,-1.20423315562606, 0.172541452100028)); #172341=CARTESIAN_POINT('Ctrl Pts',(-0.380975608928744,-1.26108825418377, 0.204775189390161)); #172342=CARTESIAN_POINT('Ctrl Pts',(-0.411305203587928,-1.31973501610432, 0.213941056400451)); #172343=CARTESIAN_POINT('Ctrl Pts',(-0.426125289661946,-1.34396751230663, 0.214336500604513)); #172344=CARTESIAN_POINT('Ctrl Pts',(-0.35321121330249,-1.16715750097764, 0.158369356176439)); #172345=CARTESIAN_POINT('Ctrl Pts',(-0.360649300637946,-1.19705477072583, 0.185135221282908)); #172346=CARTESIAN_POINT('Ctrl Pts',(-0.379694137499272,-1.2573521428358, 0.21880295750632)); #172347=CARTESIAN_POINT('Ctrl Pts',(-0.411192096957123,-1.31865486646241, 0.22749325019785)); #172348=CARTESIAN_POINT('Ctrl Pts',(-0.426517305269271,-1.34372790348548, 0.22749325019785)); #172349=CARTESIAN_POINT('',(-0.426517305269271,-1.34372790348548,0.22749325019785)); #172350=CARTESIAN_POINT('Origin',(-0.375354122510042,-1.375,0.22958722)); #172351=CARTESIAN_POINT('Ctrl Pts',(-0.426517305269271,-1.34372790348548, 0.22749325019785)); #172352=CARTESIAN_POINT('Ctrl Pts',(-0.411192096957123,-1.31865486646241, 0.22749325019785)); #172353=CARTESIAN_POINT('Ctrl Pts',(-0.379694137499272,-1.2573521428358, 0.21880295750632)); #172354=CARTESIAN_POINT('Ctrl Pts',(-0.360649300637946,-1.19705477072583, 0.185135221282908)); #172355=CARTESIAN_POINT('Ctrl Pts',(-0.35321121330249,-1.16715750097764, 0.158369356176439)); #172356=CARTESIAN_POINT('Origin',(-0.914477181471395,-1.04547577136898, 0.22958722)); #172357=CARTESIAN_POINT('',(-1.15584326577442,-1.56393655912776,0.22749325019785)); #172358=CARTESIAN_POINT('Origin',(-1.18115079142425,-1.61829779932655,0.22958722)); #172359=CARTESIAN_POINT('Origin',(-0.914477181471395,-1.04547577136898, 0.22749325019785)); #172360=CARTESIAN_POINT('Origin',(-1.36290445129721,-2.00870950918995,0.22958722)); #172361=CARTESIAN_POINT('',(-1.58220391362861,-1.56984158204297,0.22749325019785)); #172362=CARTESIAN_POINT('Origin',(-1.55540059578836,-1.62348109586648,0.22958722)); #172363=CARTESIAN_POINT('Origin',(-1.36290445129721,-2.00870950918995,0.22749325019785)); #172364=CARTESIAN_POINT('Origin',(-1.09355254531481,-1.39269861466289,0.22958722)); #172365=CARTESIAN_POINT('',(-1.8214623003864,-1.68939744914713,0.22749325019785)); #172366=CARTESIAN_POINT('Origin',(-1.79465898254615,-1.74303696297064,0.22958722)); #172367=CARTESIAN_POINT('',(-1.12035586315506,-1.33905910083938,0.22749325019785)); #172368=CARTESIAN_POINT('Origin',(-1.734026145,-1.86437701300098,0.22958722)); #172369=CARTESIAN_POINT('',(-1.89733444236882,-1.97204933556223,0.22749325019785)); #172370=CARTESIAN_POINT('Origin',(-1.8472727238385,-1.93904267520013,0.22958722)); #172371=CARTESIAN_POINT('Origin',(-1.734026145,-1.86437701300098,0.22749325019785)); #172372=CARTESIAN_POINT('Origin',(0.,-0.7211,0.22958722)); #172373=CARTESIAN_POINT('',(1.89733444236882,-1.97204933556223,0.22749325019785)); #172374=CARTESIAN_POINT('Origin',(1.84727272383851,-1.93904267520013,0.22958722)); #172375=CARTESIAN_POINT('Origin',(-8.70593889330891E-17,-0.7211,0.22749325019785)); #172376=CARTESIAN_POINT('Origin',(1.734026145,-1.86437701300098,0.22958722)); #172377=CARTESIAN_POINT('',(1.8214623003864,-1.68939744914713,0.22749325019785)); #172378=CARTESIAN_POINT('Origin',(1.79465898254615,-1.74303696297064,0.22958722)); #172379=CARTESIAN_POINT('Origin',(1.734026145,-1.86437701300098,0.22749325019785)); #172380=CARTESIAN_POINT('Origin',(1.21318173869371,-1.45247654821497,0.22958722)); #172381=CARTESIAN_POINT('',(1.58220391362861,-1.56984158204297,0.22749325019785)); #172382=CARTESIAN_POINT('Origin',(1.55540059578836,-1.62348109586648,0.22958722)); #172383=CARTESIAN_POINT('',(1.23998505653396,-1.39883703439146,0.22749325019785)); #172384=CARTESIAN_POINT('Origin',(1.36290445129721,-2.00870950918995,0.22958722)); #172385=CARTESIAN_POINT('',(1.15584326577442,-1.56393655912776,0.22749325019785)); #172386=CARTESIAN_POINT('Origin',(1.18115079142425,-1.61829779932655,0.22958722)); #172387=CARTESIAN_POINT('Origin',(1.36290445129721,-2.00870950918995,0.22749325019785)); #172388=CARTESIAN_POINT('Origin',(0.914477181471395,-1.04547577136898,0.22958722)); #172389=CARTESIAN_POINT('',(0.426517305269271,-1.34372790348548,0.22749325019785)); #172390=CARTESIAN_POINT('Origin',(0.375354122510042,-1.375,0.22958722)); #172391=CARTESIAN_POINT('Origin',(0.914477181471395,-1.04547577136898,0.22749325019785)); #172392=CARTESIAN_POINT('Ctrl Pts',(0.375354122510042,-1.375,0.16958722)); #172393=CARTESIAN_POINT('Ctrl Pts',(0.35842184182803,-1.35265942589607, 0.16958722)); #172394=CARTESIAN_POINT('Ctrl Pts',(0.323646927170268,-1.29802084188954, 0.162577867278283)); #172395=CARTESIAN_POINT('Ctrl Pts',(0.302728573361213,-1.24427604020873, 0.135427781565105)); #172396=CARTESIAN_POINT('Ctrl Pts',(0.294609148112259,-1.21763092923561, 0.113842144176328)); #172397=CARTESIAN_POINT('Ctrl Pts',(0.390331057016821,-1.36584575829475, 0.16958722)); #172398=CARTESIAN_POINT('Ctrl Pts',(0.374310313884543,-1.34397041859748, 0.168744895039128)); #172399=CARTESIAN_POINT('Ctrl Pts',(0.34152678106896,-1.29126067006614, 0.159988668902714)); #172400=CARTESIAN_POINT('Ctrl Pts',(0.321436722980117,-1.24029889323802, 0.132838674637806)); #172401=CARTESIAN_POINT('Ctrl Pts',(0.313436629437879,-1.21483746991986, 0.111579117390258)); #172402=CARTESIAN_POINT('Ctrl Pts',(0.416290407196304,-1.34997881530008, 0.183941948162661)); #172403=CARTESIAN_POINT('Ctrl Pts',(0.401784133993061,-1.32760537392409, 0.1826349150953)); #172404=CARTESIAN_POINT('Ctrl Pts',(0.37234416806108,-1.27451379791263, 0.172384400689171)); #172405=CARTESIAN_POINT('Ctrl Pts',(0.353720067872626,-1.22437828633023, 0.143518977152874)); #172406=CARTESIAN_POINT('Ctrl Pts',(0.345937713868384,-1.19912248776941, 0.121118461642961)); #172407=CARTESIAN_POINT('Ctrl Pts',(0.426125289661946,-1.34396751230663, 0.214336500604513)); #172408=CARTESIAN_POINT('Ctrl Pts',(0.411305023495999,-1.3197347216339, 0.21394105159506)); #172409=CARTESIAN_POINT('Ctrl Pts',(0.380974031596571,-1.26108459706472, 0.204773911870583)); #172410=CARTESIAN_POINT('Ctrl Pts',(0.362243661924732,-1.20423349952507, 0.172541761479592)); #172411=CARTESIAN_POINT('Ctrl Pts',(0.35471043311465,-1.17593309646189, 0.147082059539643)); #172412=CARTESIAN_POINT('Ctrl Pts',(0.426517305269271,-1.34372790348548, 0.22749325019785)); #172413=CARTESIAN_POINT('Ctrl Pts',(0.411191910727008,-1.31865456177783, 0.22749325019785)); #172414=CARTESIAN_POINT('Ctrl Pts',(0.379692511070622,-1.25734829522155, 0.21880165282292)); #172415=CARTESIAN_POINT('Ctrl Pts',(0.360649391024733,-1.19705513403397, 0.185135546538581)); #172416=CARTESIAN_POINT('Ctrl Pts',(0.353211213302506,-1.16715750097771, 0.158369356176496)); #172417=CARTESIAN_POINT('',(0.353211213302506,-1.16715750097771,0.158369356176496)); #172418=CARTESIAN_POINT('Origin',(0.294609148112259,-1.17986235225215,0.160463325978647)); #172419=CARTESIAN_POINT('Ctrl Pts',(0.353211213302506,-1.16715750097771, 0.158369356176496)); #172420=CARTESIAN_POINT('Ctrl Pts',(0.360649391024733,-1.19705513403397, 0.185135546538581)); #172421=CARTESIAN_POINT('Ctrl Pts',(0.379692511070622,-1.25734829522155, 0.21880165282292)); #172422=CARTESIAN_POINT('Ctrl Pts',(0.411191910727008,-1.31865456177783, 0.22749325019785)); #172423=CARTESIAN_POINT('Ctrl Pts',(0.426517305269271,-1.34372790348548, 0.22749325019785)); #172424=CARTESIAN_POINT('Ctrl Pts',(0.375354122510042,-1.375,0.16958722)); #172425=CARTESIAN_POINT('Ctrl Pts',(0.35842184182803,-1.35265942589607, 0.16958722)); #172426=CARTESIAN_POINT('Ctrl Pts',(0.323646927170268,-1.29802084188954, 0.162577867278283)); #172427=CARTESIAN_POINT('Ctrl Pts',(0.302728573361213,-1.24427604020873, 0.135427781565105)); #172428=CARTESIAN_POINT('Ctrl Pts',(0.294609148112259,-1.21763092923561, 0.113842144176328)); #172429=CARTESIAN_POINT('Ctrl Pts',(0.294609148112259,-1.21763092923561, 0.113842144176328)); #172430=CARTESIAN_POINT('Ctrl Pts',(0.274916990218114,-1.13749869718542, 0.0489257208244632)); #172431=CARTESIAN_POINT('Ctrl Pts',(0.270949695610182,-1.05353080924497, -0.0190980296944291)); #172432=CARTESIAN_POINT('Ctrl Pts',(0.282998802193872,-0.971897672351742, -0.0852303604497055)); #172433=CARTESIAN_POINT('Ctrl Pts',(0.313436629437879,-1.21483746991986, 0.111579117390258)); #172434=CARTESIAN_POINT('Ctrl Pts',(0.293187028549771,-1.13632506042552, 0.0480341527631268)); #172435=CARTESIAN_POINT('Ctrl Pts',(0.28834883988008,-1.05379863060279, -0.0189194802185172)); #172436=CARTESIAN_POINT('Ctrl Pts',(0.299280775596765,-0.973348645774103, -0.0840549033015843)); #172437=CARTESIAN_POINT('Ctrl Pts',(0.34594020217111,-1.19912386253485, 0.12111600982777)); #172438=CARTESIAN_POINT('Ctrl Pts',(0.324889276768368,-1.1245559287701, 0.0584137337936053)); #172439=CARTESIAN_POINT('Ctrl Pts',(0.318484209461272,-1.04547199391739, -0.00774704778787325)); #172440=CARTESIAN_POINT('Ctrl Pts',(0.327568584155579,-0.968052217640102, -0.0723630900171938)); #172441=CARTESIAN_POINT('Ctrl Pts',(0.35471043311465,-1.17593309646189, 0.147082059539643)); #172442=CARTESIAN_POINT('Ctrl Pts',(0.335489333170335,-1.10338152823113, 0.0828372349147622)); #172443=CARTESIAN_POINT('Ctrl Pts',(0.330544761243165,-1.02681301533252, 0.0153854195112288)); #172444=CARTESIAN_POINT('Ctrl Pts',(0.340386134168721,-0.952058207020973, -0.0502849133081905)); #172445=CARTESIAN_POINT('Ctrl Pts',(0.353211213302506,-1.16715750097771, 0.158369356176496)); #172446=CARTESIAN_POINT('Ctrl Pts',(0.335171294754125,-1.09464634498412, 0.0934529328246316)); #172447=CARTESIAN_POINT('Ctrl Pts',(0.331341614488692,-1.01857838441972, 0.0254291823057394)); #172448=CARTESIAN_POINT('Ctrl Pts',(0.342051272278133,-0.944541639121623, -0.040703148449537)); #172449=CARTESIAN_POINT('',(0.342051272278133,-0.944541639121625,-0.0407031484495392)); #172450=CARTESIAN_POINT('Origin',(0.282998802193872,-0.934129095368287, -0.0386091786473869)); #172451=CARTESIAN_POINT('Ctrl Pts',(0.342051272278133,-0.944541639121623, -0.040703148449537)); #172452=CARTESIAN_POINT('Ctrl Pts',(0.331341614488692,-1.01857838441972, 0.0254291823057394)); #172453=CARTESIAN_POINT('Ctrl Pts',(0.335171294754125,-1.09464634498412, 0.0934529328246316)); #172454=CARTESIAN_POINT('Ctrl Pts',(0.353211213302506,-1.16715750097771, 0.158369356176496)); #172455=CARTESIAN_POINT('Origin',(0.316670794424065,-0.706000118991227, -0.223420169561075)); #172456=CARTESIAN_POINT('',(0.316670794424065,-0.743768695974682,-0.270041351363394)); #172457=CARTESIAN_POINT('',(0.414529860849435,-0.453496556104549,-0.43850674980215)); #172458=CARTESIAN_POINT('Origin',(0.355477390765174,-0.443084012351214, -0.43641278)); #172459=CARTESIAN_POINT('',(0.375723264508326,-0.716412662744562,-0.225514139363225)); #172460=CARTESIAN_POINT('Origin',(0.355477390765174,-0.443084012351214, -0.43641278)); #172461=CARTESIAN_POINT('Origin',(0.355477390765174,-0.443084012351214, -0.43641278)); #172462=CARTESIAN_POINT('Origin',(0.,-0.4643379,-0.49641278)); #172463=CARTESIAN_POINT('Origin',(0.,-1.375,-0.08041278)); #172464=CARTESIAN_POINT('Origin',(-0.379644967201209,-0.306022875485307, -0.43641278)); #172465=CARTESIAN_POINT('',(-0.43869743728547,-0.316435419238642,-0.43850674980215)); #172466=CARTESIAN_POINT('',(-0.413890875599061,-0.111804677792272,-0.49641278)); #172467=CARTESIAN_POINT('Origin',(-0.413890875599061,-0.111804677792272, -0.43641278)); #172468=CARTESIAN_POINT('',(-0.379644967201209,-0.306022875485307,-0.49641278)); #172469=CARTESIAN_POINT('Ctrl Pts',(-0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172470=CARTESIAN_POINT('Ctrl Pts',(-0.283227865755017,-0.204953232160192, -0.00630544285425249)); #172471=CARTESIAN_POINT('Ctrl Pts',(-0.283227362464154,-0.205021546825452, -0.00627230635866349)); #172472=CARTESIAN_POINT('Ctrl Pts',(-0.283162523324604,-0.217296075187436, -0.000535871502533631)); #172473=CARTESIAN_POINT('Ctrl Pts',(-0.279275637254311,-0.229976605672439, 0.00648519317140903)); #172474=CARTESIAN_POINT('Ctrl Pts',(-0.271218854282043,-0.240778784938029, 0.00667298203129589)); #172475=CARTESIAN_POINT('Ctrl Pts',(-0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172476=CARTESIAN_POINT('Ctrl Pts',(-0.283229954891708,-0.204953273567428, -0.00630663483776655)); #172477=CARTESIAN_POINT('Ctrl Pts',(-0.283225411797991,-0.205024364548009, -0.00627739934644368)); #172478=CARTESIAN_POINT('Ctrl Pts',(-0.283212662702208,-0.217451513098778, -0.000905668378019457)); #172479=CARTESIAN_POINT('Ctrl Pts',(-0.279040373527123,-0.230386488423463, 0.00568871484840511)); #172480=CARTESIAN_POINT('Ctrl Pts',(-0.271231434289324,-0.240810832657464, 0.00537450952049868)); #172481=CARTESIAN_POINT('Ctrl Pts',(-0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172482=CARTESIAN_POINT('Ctrl Pts',(-0.283231215417586,-0.204954431861159, -0.00630902639230887)); #172483=CARTESIAN_POINT('Ctrl Pts',(-0.283224279864561,-0.205026124666493, -0.00628146348761792)); #172484=CARTESIAN_POINT('Ctrl Pts',(-0.283244261318627,-0.21762959623088, -0.00126996023669961)); #172485=CARTESIAN_POINT('Ctrl Pts',(-0.279187978208888,-0.230750166699623, 0.00477253411820453)); #172486=CARTESIAN_POINT('Ctrl Pts',(-0.27218153182618,-0.241539683092393, 0.00421609085129749)); #172487=CARTESIAN_POINT('Ctrl Pts',(-0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172488=CARTESIAN_POINT('Ctrl Pts',(-0.28322792101088,-0.204956129881036, -0.00631177454898144)); #172489=CARTESIAN_POINT('Ctrl Pts',(-0.283227509640929,-0.205027354890405, -0.00628508199243373)); #172490=CARTESIAN_POINT('Ctrl Pts',(-0.283174883343969,-0.217823612560918, -0.00166586784641766)); #172491=CARTESIAN_POINT('Ctrl Pts',(-0.279937136267506,-0.2310635728852, 0.00403322966614042)); #172492=CARTESIAN_POINT('Ctrl Pts',(-0.273222802058999,-0.242316077849704, 0.00422964287202223)); #172493=CARTESIAN_POINT('',(-0.271218854282043,-0.240778784938029,0.00667298203129589)); #172494=CARTESIAN_POINT('',(-0.273222802058163,-0.242316077849704,0.00422964287202219)); #172495=CARTESIAN_POINT('Origin',(-0.273222802059416,-0.242272446833611, 0.00672926210991319)); #172496=CARTESIAN_POINT('',(-0.283228149655051,-0.204885135874384,-0.0063379745759342)); #172497=CARTESIAN_POINT('Ctrl Pts',(-0.273222802058999,-0.242316077849704, 0.00422964287202223)); #172498=CARTESIAN_POINT('Ctrl Pts',(-0.279937136267506,-0.2310635728852, 0.00403322966614042)); #172499=CARTESIAN_POINT('Ctrl Pts',(-0.283174883343969,-0.217823612560918, -0.00166586784641766)); #172500=CARTESIAN_POINT('Ctrl Pts',(-0.283227509640929,-0.205027354890405, -0.00628508199243373)); #172501=CARTESIAN_POINT('Ctrl Pts',(-0.28322792101088,-0.204956129881036, -0.00631177454898144)); #172502=CARTESIAN_POINT('Ctrl Pts',(-0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172503=CARTESIAN_POINT('Ctrl Pts',(-0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172504=CARTESIAN_POINT('Ctrl Pts',(-0.283227865755017,-0.204953232160192, -0.00630544285425249)); #172505=CARTESIAN_POINT('Ctrl Pts',(-0.283227362464154,-0.205021546825452, -0.00627230635866349)); #172506=CARTESIAN_POINT('Ctrl Pts',(-0.283162523324604,-0.217296075187436, -0.000535871502533631)); #172507=CARTESIAN_POINT('Ctrl Pts',(-0.279275637254311,-0.229976605672439, 0.00648519317140903)); #172508=CARTESIAN_POINT('Ctrl Pts',(-0.271218854282043,-0.240778784938029, 0.00667298203129589)); #172509=CARTESIAN_POINT('Ctrl Pts',(-0.223122616002877,-0.267447577735541, 0.00466831483427131)); #172510=CARTESIAN_POINT('Ctrl Pts',(-0.242496819291607,-0.267447577735541, 0.00466831483427131)); #172511=CARTESIAN_POINT('Ctrl Pts',(-0.261640704157211,-0.257844802540216, 0.00450069776974648)); #172512=CARTESIAN_POINT('Ctrl Pts',(-0.273222802059192,-0.242316077850004, 0.00422964287202744)); #172513=CARTESIAN_POINT('Ctrl Pts',(-0.223122616002877,-0.266704143173689, 0.00465533813572435)); #172514=CARTESIAN_POINT('Ctrl Pts',(-0.242265357245088,-0.266705150011785, 0.00465539571283219)); #172515=CARTESIAN_POINT('Ctrl Pts',(-0.261183992623163,-0.257216065039894, 0.0044897312156289)); #172516=CARTESIAN_POINT('Ctrl Pts',(-0.272627790497533,-0.24187242370293, 0.00422189886008461)); #172517=CARTESIAN_POINT('Ctrl Pts',(-0.223122616002877,-0.265405300787369, 0.00525218108094608)); #172518=CARTESIAN_POINT('Ctrl Pts',(-0.241867598777908,-0.265407946431557, 0.00525047214298002)); #172519=CARTESIAN_POINT('Ctrl Pts',(-0.260390710252267,-0.256118483434255, 0.00508742485505596)); #172520=CARTESIAN_POINT('Ctrl Pts',(-0.271596834790187,-0.241092949418622, 0.00482550953233137)); #172521=CARTESIAN_POINT('Ctrl Pts',(-0.223122616002877,-0.264919787502441, 0.00654348342183511)); #172522=CARTESIAN_POINT('Ctrl Pts',(-0.24172289731166,-0.264921072707858, 0.00653906525369382)); #172523=CARTESIAN_POINT('Ctrl Pts',(-0.260104747761218,-0.255701442633091, 0.00637582719219183)); #172524=CARTESIAN_POINT('Ctrl Pts',(-0.271224245713554,-0.240792519675175, 0.0061164938123392)); #172525=CARTESIAN_POINT('Ctrl Pts',(-0.223122616002877,-0.264904844413658, 0.00710094403410468)); #172526=CARTESIAN_POINT('Ctrl Pts',(-0.241721896355375,-0.264904983236596, 0.00709576516374405)); #172527=CARTESIAN_POINT('Ctrl Pts',(-0.260100049491614,-0.255686388007122, 0.00693214101157038)); #172528=CARTESIAN_POINT('Ctrl Pts',(-0.271218854281828,-0.240778784938317, 0.00667298203130089)); #172529=CARTESIAN_POINT('',(-0.223122616002877,-0.264904844413658,0.00710094403410468)); #172530=CARTESIAN_POINT('Ctrl Pts',(-0.271218854281828,-0.240778784938317, 0.00667298203130089)); #172531=CARTESIAN_POINT('Ctrl Pts',(-0.260100049491614,-0.255686388007122, 0.00693214101157038)); #172532=CARTESIAN_POINT('Ctrl Pts',(-0.241721896355375,-0.264904983236596, 0.00709576516374405)); #172533=CARTESIAN_POINT('Ctrl Pts',(-0.223122616002877,-0.264904844413658, 0.00710094403410468)); #172534=CARTESIAN_POINT('',(-0.223122616002877,-0.267447577735541,0.00466831483427131)); #172535=CARTESIAN_POINT('Origin',(-0.223122616002877,-0.267403946719448, 0.00716793407216228)); #172536=CARTESIAN_POINT('Ctrl Pts',(-0.223122616002877,-0.267447577735541, 0.00466831483427131)); #172537=CARTESIAN_POINT('Ctrl Pts',(-0.242496819291607,-0.267447577735541, 0.00466831483427131)); #172538=CARTESIAN_POINT('Ctrl Pts',(-0.261640704157211,-0.257844802540216, 0.00450069776974648)); #172539=CARTESIAN_POINT('Ctrl Pts',(-0.273222802059192,-0.242316077850004, 0.00422964287202744)); #172540=CARTESIAN_POINT('Origin',(0.,-0.267403946719448,0.00716793407216225)); #172541=CARTESIAN_POINT('',(0.223122616002877,-0.264904844413658,0.00710094403410466)); #172542=CARTESIAN_POINT('',(0.223122616002877,-0.267447577735541,0.00466831483427131)); #172543=CARTESIAN_POINT('Origin',(0.223122616002877,-0.267403946719448, 0.00716793407216225)); #172544=CARTESIAN_POINT('',(0.,-0.267447577735541,0.00466831483427131)); #172545=CARTESIAN_POINT('',(0.,-0.264904844413658,0.00710094403410466)); #172546=CARTESIAN_POINT('Ctrl Pts',(0.273222802059416,-0.242316077849704, 0.0042296428720222)); #172547=CARTESIAN_POINT('Ctrl Pts',(0.261640704174105,-0.257844802517759, 0.00450069776935448)); #172548=CARTESIAN_POINT('Ctrl Pts',(0.242496819263877,-0.267447577735541, 0.00466831483427131)); #172549=CARTESIAN_POINT('Ctrl Pts',(0.223122616002877,-0.267447577735541, 0.00466831483427131)); #172550=CARTESIAN_POINT('Ctrl Pts',(0.272627790497754,-0.241872423702633, 0.00422189886007944)); #172551=CARTESIAN_POINT('Ctrl Pts',(0.261183992639856,-0.257216065017705, 0.00448973121524159)); #172552=CARTESIAN_POINT('Ctrl Pts',(0.242265357217689,-0.266705150011784, 0.00465539571283211)); #172553=CARTESIAN_POINT('Ctrl Pts',(0.223122616002877,-0.266704143173689, 0.00465533813572435)); #172554=CARTESIAN_POINT('Ctrl Pts',(0.271596834790398,-0.241092949418327, 0.00482550953232035)); #172555=CARTESIAN_POINT('Ctrl Pts',(0.260390710268608,-0.256118483412521, 0.00508742485467124)); #172556=CARTESIAN_POINT('Ctrl Pts',(0.241867598751077,-0.265407946431546, 0.00525047214297643)); #172557=CARTESIAN_POINT('Ctrl Pts',(0.223122616002877,-0.265405300787362, 0.00525218108094004)); #172558=CARTESIAN_POINT('Ctrl Pts',(0.271224245713769,-0.240792519674886, 0.00611649381233418)); #172559=CARTESIAN_POINT('Ctrl Pts',(0.260104747777439,-0.25570144261153, 0.00637582719181675)); #172560=CARTESIAN_POINT('Ctrl Pts',(0.241722897285037,-0.264921072707857, 0.00653906525370016)); #172561=CARTESIAN_POINT('Ctrl Pts',(0.223122616002877,-0.264919787502441, 0.00654348342183512)); #172562=CARTESIAN_POINT('Ctrl Pts',(0.271218854282043,-0.240778784938029, 0.00667298203129587)); #172563=CARTESIAN_POINT('Ctrl Pts',(0.260100049507833,-0.255686387985563, 0.00693214101119553)); #172564=CARTESIAN_POINT('Ctrl Pts',(0.241721896328753,-0.264904983236595, 0.00709576516375148)); #172565=CARTESIAN_POINT('Ctrl Pts',(0.223122616002877,-0.264904844413658, 0.0071009440341047)); #172566=CARTESIAN_POINT('',(0.271218854282043,-0.240778784938029,0.00667298203129587)); #172567=CARTESIAN_POINT('Ctrl Pts',(0.223122616002877,-0.264904844413658, 0.0071009440341047)); #172568=CARTESIAN_POINT('Ctrl Pts',(0.241721896328753,-0.264904983236595, 0.00709576516375148)); #172569=CARTESIAN_POINT('Ctrl Pts',(0.260100049507833,-0.255686387985563, 0.00693214101119553)); #172570=CARTESIAN_POINT('Ctrl Pts',(0.271218854282043,-0.240778784938029, 0.00667298203129587)); #172571=CARTESIAN_POINT('',(0.273222802058372,-0.242316077849704,0.0042296428720222)); #172572=CARTESIAN_POINT('Origin',(0.273222802059416,-0.242272446833611, 0.00672926210991317)); #172573=CARTESIAN_POINT('Ctrl Pts',(0.273222802059416,-0.242316077849704, 0.0042296428720222)); #172574=CARTESIAN_POINT('Ctrl Pts',(0.261640704174105,-0.257844802517759, 0.00450069776935448)); #172575=CARTESIAN_POINT('Ctrl Pts',(0.242496819263877,-0.267447577735541, 0.00466831483427131)); #172576=CARTESIAN_POINT('Ctrl Pts',(0.223122616002877,-0.267447577735541, 0.00466831483427131)); #172577=CARTESIAN_POINT('Ctrl Pts',(0.271218854282043,-0.240778784938029, 0.0066729820312959)); #172578=CARTESIAN_POINT('Ctrl Pts',(0.279275637254312,-0.229976605672439, 0.00648519317140896)); #172579=CARTESIAN_POINT('Ctrl Pts',(0.283154334388772,-0.217312736333074, -0.000484065688096337)); #172580=CARTESIAN_POINT('Ctrl Pts',(0.283227395369427,-0.205021480446586, -0.00627250992961367)); #172581=CARTESIAN_POINT('Ctrl Pts',(0.283227865755017,-0.204953232160192, -0.00630544285425249)); #172582=CARTESIAN_POINT('Ctrl Pts',(0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172583=CARTESIAN_POINT('Ctrl Pts',(0.271226042857633,-0.240797097920563, 0.00593099773941179)); #172584=CARTESIAN_POINT('Ctrl Pts',(0.279109774965553,-0.230233983250228, 0.00600903541550076)); #172585=CARTESIAN_POINT('Ctrl Pts',(0.283168020952358,-0.217408947494411, -0.000699276726533082)); #172586=CARTESIAN_POINT('Ctrl Pts',(0.283227365846864,-0.205022603536991, -0.00627494357488331)); #172587=CARTESIAN_POINT('Ctrl Pts',(0.283227876279943,-0.20495378410702, -0.00630664889134371)); #172588=CARTESIAN_POINT('Ctrl Pts',(0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172589=CARTESIAN_POINT('Ctrl Pts',(0.271733169813102,-0.241197536450431, 0.00465927883973543)); #172590=CARTESIAN_POINT('Ctrl Pts',(0.279019032393182,-0.230642364594157, 0.00514917561037947)); #172591=CARTESIAN_POINT('Ctrl Pts',(0.2831875483784,-0.217578595708775, -0.00107562479545237)); #172592=CARTESIAN_POINT('Ctrl Pts',(0.283227344307457,-0.205024563573269, -0.00627920372140095)); #172593=CARTESIAN_POINT('Ctrl Pts',(0.283227894698564,-0.204954750013968, -0.00630875945625336)); #172594=CARTESIAN_POINT('Ctrl Pts',(0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172595=CARTESIAN_POINT('Ctrl Pts',(0.272776543387791,-0.241983337239428, 0.00422383486314022)); #172596=CARTESIAN_POINT('Ctrl Pts',(0.279569251983012,-0.230937271936919, 0.0043334235688529)); #172597=CARTESIAN_POINT('Ctrl Pts',(0.283178371641871,-0.217762379104959, -0.00145499842493045)); #172598=CARTESIAN_POINT('Ctrl Pts',(0.283227458794025,-0.205026468606535, -0.0062834512142923)); #172599=CARTESIAN_POINT('Ctrl Pts',(0.283227913117185,-0.204955715920915, -0.00631087002116302)); #172600=CARTESIAN_POINT('Ctrl Pts',(0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172601=CARTESIAN_POINT('Ctrl Pts',(0.273222802058999,-0.242316077849704, 0.00422964287202223)); #172602=CARTESIAN_POINT('Ctrl Pts',(0.279937136267509,-0.231063572885197, 0.00403322966614034)); #172603=CARTESIAN_POINT('Ctrl Pts',(0.283168068704564,-0.217841229588876, -0.00162378192283468)); #172604=CARTESIAN_POINT('Ctrl Pts',(0.283227537024746,-0.20502728472051, -0.00628524736725048)); #172605=CARTESIAN_POINT('Ctrl Pts',(0.28322792101088,-0.204956129881036, -0.00631177454898144)); #172606=CARTESIAN_POINT('Ctrl Pts',(0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172607=CARTESIAN_POINT('',(0.283228149655051,-0.204885135874384,-0.0063379745759342)); #172608=CARTESIAN_POINT('Ctrl Pts',(0.271218854282043,-0.240778784938029, 0.0066729820312959)); #172609=CARTESIAN_POINT('Ctrl Pts',(0.279275637254312,-0.229976605672439, 0.00648519317140896)); #172610=CARTESIAN_POINT('Ctrl Pts',(0.283154334388772,-0.217312736333074, -0.000484065688096337)); #172611=CARTESIAN_POINT('Ctrl Pts',(0.283227395369427,-0.205021480446586, -0.00627250992961367)); #172612=CARTESIAN_POINT('Ctrl Pts',(0.283227865755017,-0.204953232160192, -0.00630544285425249)); #172613=CARTESIAN_POINT('Ctrl Pts',(0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172614=CARTESIAN_POINT('Ctrl Pts',(0.283228149655051,-0.204885135874571, -0.00633797457593103)); #172615=CARTESIAN_POINT('Ctrl Pts',(0.28322792101088,-0.204956129881036, -0.00631177454898144)); #172616=CARTESIAN_POINT('Ctrl Pts',(0.283227537024746,-0.20502728472051, -0.00628524736725048)); #172617=CARTESIAN_POINT('Ctrl Pts',(0.283168068704564,-0.217841229588876, -0.00162378192283468)); #172618=CARTESIAN_POINT('Ctrl Pts',(0.279937136267509,-0.231063572885197, 0.00403322966614034)); #172619=CARTESIAN_POINT('Ctrl Pts',(0.273222802058999,-0.242316077849704, 0.00422964287202223)); #172620=CARTESIAN_POINT('Ctrl Pts',(0.222098902706985,-0.212194519128266, 0.158740310104032)); #172621=CARTESIAN_POINT('Ctrl Pts',(0.222421579650376,-0.247950987127548, 0.11094320974647)); #172622=CARTESIAN_POINT('Ctrl Pts',(0.222827683633045,-0.26684945825333, 0.0507883297252327)); #172623=CARTESIAN_POINT('Ctrl Pts',(0.223230434271201,-0.264851517106152, -0.00886983013196459)); #172624=CARTESIAN_POINT('Ctrl Pts',(0.239990682259105,-0.212119626068566, 0.158840422651239)); #172625=CARTESIAN_POINT('Ctrl Pts',(0.240331594930456,-0.247912580269889, 0.111043015709842)); #172626=CARTESIAN_POINT('Ctrl Pts',(0.24075933461576,-0.266819853516269, 0.0509910017682771)); #172627=CARTESIAN_POINT('Ctrl Pts',(0.241185047504916,-0.264856758665054, -0.00871331813491377)); #172628=CARTESIAN_POINT('Ctrl Pts',(0.270994630806695,-0.200035759229168, 0.150071186451613)); #172629=CARTESIAN_POINT('Ctrl Pts',(0.271364581270665,-0.233768429452552, 0.105054205545648)); #172630=CARTESIAN_POINT('Ctrl Pts',(0.271831250757277,-0.251661635493949, 0.0483199682532022)); #172631=CARTESIAN_POINT('Ctrl Pts',(0.272293502826054,-0.249833675902379, -0.00793871839237319)); #172632=CARTESIAN_POINT('Ctrl Pts',(0.282026472882083,-0.17489580382875, 0.131360544730196)); #172633=CARTESIAN_POINT('Ctrl Pts',(0.282370385404593,-0.204373285937318, 0.0919791015376153)); #172634=CARTESIAN_POINT('Ctrl Pts',(0.282802639686644,-0.219974259287598, 0.0423863937378514)); #172635=CARTESIAN_POINT('Ctrl Pts',(0.283232082878377,-0.218344063124761, -0.00678867732751287)); #172636=CARTESIAN_POINT('Ctrl Pts',(0.282096618090836,-0.164150477061489, 0.123322699946229)); #172637=CARTESIAN_POINT('Ctrl Pts',(0.282419295034226,-0.191811140985461, 0.0863475845752848)); #172638=CARTESIAN_POINT('Ctrl Pts',(0.282825399016895,-0.206430712988425, 0.0398126773890447)); #172639=CARTESIAN_POINT('Ctrl Pts',(0.283228149655051,-0.20488513587457, -0.00633797457595692)); #172640=CARTESIAN_POINT('',(0.222164477745355,-0.219125502941278,0.14902689005925)); #172641=CARTESIAN_POINT('Origin',(0.223170554285722,0.,0.)); #172642=CARTESIAN_POINT('',(0.269912569787653,-0.200214118212993,0.134763970647541)); #172643=CARTESIAN_POINT('Ctrl Pts',(0.26991256978747,-0.200214118213186, 0.134763970647686)); #172644=CARTESIAN_POINT('Ctrl Pts',(0.258767540404383,-0.211914470397513, 0.14358652827165)); #172645=CARTESIAN_POINT('Ctrl Pts',(0.240573603787107,-0.219127888324524, 0.149023382649928)); #172646=CARTESIAN_POINT('Ctrl Pts',(0.222164477745355,-0.219125502941278, 0.14902689005925)); #172647=CARTESIAN_POINT('',(0.28209661809084,-0.164150477060757,0.123322699945682)); #172648=CARTESIAN_POINT('Ctrl Pts',(0.282096618090836,-0.164150477061489, 0.123322699946229)); #172649=CARTESIAN_POINT('Ctrl Pts',(0.282096483671454,-0.164223521534666, 0.123338102881763)); #172650=CARTESIAN_POINT('Ctrl Pts',(0.282096097038884,-0.164297084947862, 0.123353170203559)); #172651=CARTESIAN_POINT('Ctrl Pts',(0.282065077903567,-0.177449418494121, 0.126239641895668)); #172652=CARTESIAN_POINT('Ctrl Pts',(0.278030773973912,-0.191691408686895, 0.128337489230179)); #172653=CARTESIAN_POINT('Ctrl Pts',(0.269912569787653,-0.200214118212993, 0.134763970647541)); #172654=CARTESIAN_POINT('Origin',(0.283168269669572,0.,0.000523592129902436)); #172655=CARTESIAN_POINT('Origin',(0.,0.,0.)); #172656=CARTESIAN_POINT('',(-0.222164477745355,-0.219125502941278,0.14902689005925)); #172657=CARTESIAN_POINT('Origin',(-0.223170554285722,0.,0.)); #172658=CARTESIAN_POINT('',(0.,-0.219125502941278,0.14902689005925)); #172659=CARTESIAN_POINT('Ctrl Pts',(-0.223230434271201,-0.264851517106152, -0.00886983013196459)); #172660=CARTESIAN_POINT('Ctrl Pts',(-0.222827683633045,-0.26684945825333, 0.0507883297252327)); #172661=CARTESIAN_POINT('Ctrl Pts',(-0.222421579650376,-0.247950987127548, 0.11094320974647)); #172662=CARTESIAN_POINT('Ctrl Pts',(-0.222098902706985,-0.212194519128266, 0.158740310104032)); #172663=CARTESIAN_POINT('Ctrl Pts',(-0.241185047504916,-0.264856758665054, -0.00871331813491377)); #172664=CARTESIAN_POINT('Ctrl Pts',(-0.24075933461576,-0.266819853516269, 0.0509910017682771)); #172665=CARTESIAN_POINT('Ctrl Pts',(-0.240331594930456,-0.247912580269889, 0.111043015709842)); #172666=CARTESIAN_POINT('Ctrl Pts',(-0.239990682259105,-0.212119626068566, 0.158840422651239)); #172667=CARTESIAN_POINT('Ctrl Pts',(-0.272293502826054,-0.249833675902379, -0.00793871839237319)); #172668=CARTESIAN_POINT('Ctrl Pts',(-0.271831250757277,-0.251661635493949, 0.0483199682532022)); #172669=CARTESIAN_POINT('Ctrl Pts',(-0.271364581270665,-0.233768429452552, 0.105054205545648)); #172670=CARTESIAN_POINT('Ctrl Pts',(-0.270994630806695,-0.200035759229168, 0.150071186451613)); #172671=CARTESIAN_POINT('Ctrl Pts',(-0.283232082878377,-0.218344063124761, -0.00678867732751287)); #172672=CARTESIAN_POINT('Ctrl Pts',(-0.282802639686644,-0.219974259287598, 0.0423863937378514)); #172673=CARTESIAN_POINT('Ctrl Pts',(-0.282370385404593,-0.204373285937318, 0.0919791015376153)); #172674=CARTESIAN_POINT('Ctrl Pts',(-0.282026472882083,-0.17489580382875, 0.131360544730196)); #172675=CARTESIAN_POINT('Ctrl Pts',(-0.283228149655051,-0.20488513587457, -0.00633797457595692)); #172676=CARTESIAN_POINT('Ctrl Pts',(-0.282825399016895,-0.206430712988425, 0.0398126773890447)); #172677=CARTESIAN_POINT('Ctrl Pts',(-0.282419295034226,-0.191811140985461, 0.0863475845752848)); #172678=CARTESIAN_POINT('Ctrl Pts',(-0.282096618090836,-0.164150477061489, 0.123322699946229)); #172679=CARTESIAN_POINT('',(-0.28209661809084,-0.164150477060757,0.123322699945682)); #172680=CARTESIAN_POINT('Origin',(-0.283168269669572,0.,0.000523592129902436)); #172681=CARTESIAN_POINT('',(-0.269912569787653,-0.200214118212993,0.134763970647541)); #172682=CARTESIAN_POINT('Ctrl Pts',(-0.269912569787653,-0.200214118212993, 0.134763970647541)); #172683=CARTESIAN_POINT('Ctrl Pts',(-0.278030773973912,-0.191691408686895, 0.128337489230179)); #172684=CARTESIAN_POINT('Ctrl Pts',(-0.282054240089504,-0.177492400851247, 0.126209713630178)); #172685=CARTESIAN_POINT('Ctrl Pts',(-0.282096140144439,-0.164296916097575, 0.123353286728655)); #172686=CARTESIAN_POINT('Ctrl Pts',(-0.282096483671454,-0.164223521534666, 0.123338102881763)); #172687=CARTESIAN_POINT('Ctrl Pts',(-0.282096618090836,-0.164150477061489, 0.123322699946229)); #172688=CARTESIAN_POINT('Ctrl Pts',(-0.222164477745355,-0.219125502941278, 0.14902689005925)); #172689=CARTESIAN_POINT('Ctrl Pts',(-0.240573604992946,-0.21912788832468, 0.149023382649698)); #172690=CARTESIAN_POINT('Ctrl Pts',(-0.258767539674616,-0.211914471163764, 0.143586528849436)); #172691=CARTESIAN_POINT('Ctrl Pts',(-0.269912569787653,-0.200214118212993, 0.134763970647541)); #172692=CARTESIAN_POINT('Ctrl Pts',(0.282096618090836,-0.164150477061489, 0.123322699946229)); #172693=CARTESIAN_POINT('Ctrl Pts',(0.282096483671454,-0.164223521534666, 0.123338102881763)); #172694=CARTESIAN_POINT('Ctrl Pts',(0.282096097038884,-0.164297084947862, 0.123353170203559)); #172695=CARTESIAN_POINT('Ctrl Pts',(0.282065077903567,-0.177449418494121, 0.126239641895668)); #172696=CARTESIAN_POINT('Ctrl Pts',(0.278030773973912,-0.191691408686895, 0.128337489230179)); #172697=CARTESIAN_POINT('Ctrl Pts',(0.269912569787653,-0.200214118212993, 0.134763970647541)); #172698=CARTESIAN_POINT('Ctrl Pts',(0.282096618090836,-0.164150477061488, 0.123322699946229)); #172699=CARTESIAN_POINT('Ctrl Pts',(0.2820984675574,-0.164222965798958, 0.123339082596051)); #172700=CARTESIAN_POINT('Ctrl Pts',(0.282094134376719,-0.164296114938945, 0.123358866058321)); #172701=CARTESIAN_POINT('Ctrl Pts',(0.282107417260287,-0.177351801763901, 0.126626725652334)); #172702=CARTESIAN_POINT('Ctrl Pts',(0.277791306969017,-0.191525986785082, 0.129212799242292)); #172703=CARTESIAN_POINT('Ctrl Pts',(0.269939553756654,-0.199458032854771, 0.135805165518781)); #172704=CARTESIAN_POINT('Ctrl Pts',(0.282096618090836,-0.164150477061488, 0.123322699946229)); #172705=CARTESIAN_POINT('Ctrl Pts',(0.282099694958831,-0.164222459071558, 0.123341602603481)); #172706=CARTESIAN_POINT('Ctrl Pts',(0.282092926323595,-0.164295036655312, 0.123363185607511)); #172707=CARTESIAN_POINT('Ctrl Pts',(0.282131256663241,-0.177272544464074, 0.127022086420343)); #172708=CARTESIAN_POINT('Ctrl Pts',(0.277937758734842,-0.191258529124814, 0.130159750748729)); #172709=CARTESIAN_POINT('Ctrl Pts',(0.270877300436993,-0.199339412453289, 0.137151105473107)); #172710=CARTESIAN_POINT('Ctrl Pts',(0.282096618090836,-0.164150477061488, 0.123322699946229)); #172711=CARTESIAN_POINT('Ctrl Pts',(0.282096424895043,-0.16422199263587, 0.123344837991302)); #172712=CARTESIAN_POINT('Ctrl Pts',(0.282096021200229,-0.164293970816117, 0.12336673318305)); #172713=CARTESIAN_POINT('Ctrl Pts',(0.282055492976797,-0.177183143963398, 0.127454166638601)); #172714=CARTESIAN_POINT('Ctrl Pts',(0.278678422825878,-0.191064885376866, 0.130938414810759)); #172715=CARTESIAN_POINT('Ctrl Pts',(0.271901688522636,-0.19996250435193, 0.137618936104037)); #172716=CARTESIAN_POINT('',(0.271901688521935,-0.199962504351928,0.137618936104034)); #172717=CARTESIAN_POINT('Origin',(0.271901688522987,-0.201463554915247, 0.13561972445782)); #172718=CARTESIAN_POINT('Ctrl Pts',(0.271901688522636,-0.19996250435193, 0.137618936104037)); #172719=CARTESIAN_POINT('Ctrl Pts',(0.278678422825878,-0.191064885376866, 0.130938414810759)); #172720=CARTESIAN_POINT('Ctrl Pts',(0.282055492976797,-0.177183143963398, 0.127454166638601)); #172721=CARTESIAN_POINT('Ctrl Pts',(0.282096021200229,-0.164293970816117, 0.12336673318305)); #172722=CARTESIAN_POINT('Ctrl Pts',(0.282096424895043,-0.16422199263587, 0.123344837991302)); #172723=CARTESIAN_POINT('Ctrl Pts',(0.282096618090836,-0.164150477061488, 0.123322699946229)); #172724=CARTESIAN_POINT('Ctrl Pts',(0.222164477745355,-0.219691674103825, 0.152432015762631)); #172725=CARTESIAN_POINT('Ctrl Pts',(0.241340618821453,-0.219691674103825, 0.152432015762631)); #172726=CARTESIAN_POINT('Ctrl Pts',(0.260292510717649,-0.21216788717394, 0.146782996745194)); #172727=CARTESIAN_POINT('Ctrl Pts',(0.271901688522795,-0.199962504352133, 0.137618936104189)); #172728=CARTESIAN_POINT('Ctrl Pts',(0.222164477745355,-0.219111326294248, 0.151996278301751)); #172729=CARTESIAN_POINT('Ctrl Pts',(0.241116863741306,-0.219110649408016, 0.151995808358378)); #172730=CARTESIAN_POINT('Ctrl Pts',(0.259848766502025,-0.211670815321096, 0.146409502320186)); #172731=CARTESIAN_POINT('Ctrl Pts',(0.271316323902386,-0.199606451838547, 0.137351604315132)); #172732=CARTESIAN_POINT('Ctrl Pts',(0.222164477745355,-0.218458330765809, 0.15077009181045)); #172733=CARTESIAN_POINT('Ctrl Pts',(0.240731701664901,-0.218457450062096, 0.150767686089541)); #172734=CARTESIAN_POINT('Ctrl Pts',(0.259077252175039,-0.21116788871471, 0.145287834945179)); #172735=CARTESIAN_POINT('Ctrl Pts',(0.270301800424313,-0.199352803465666, 0.13640421774804)); #172736=CARTESIAN_POINT('Ctrl Pts',(0.222164477745355,-0.21881941260872, 0.149476957815751)); #172737=CARTESIAN_POINT('Ctrl Pts',(0.240580870984967,-0.218820691402478, 0.149473421216949)); #172738=CARTESIAN_POINT('Ctrl Pts',(0.25878201217529,-0.211598747328368, 0.14403346464832)); #172739=CARTESIAN_POINT('Ctrl Pts',(0.269924134345642,-0.199890081631038, 0.135210197021085)); #172740=CARTESIAN_POINT('Ctrl Pts',(0.222164477745355,-0.219125502941278, 0.14902689005925)); #172741=CARTESIAN_POINT('Ctrl Pts',(0.240573603787107,-0.219127888324524, 0.149023382649928)); #172742=CARTESIAN_POINT('Ctrl Pts',(0.258767540404383,-0.211914470397513, 0.14358652827165)); #172743=CARTESIAN_POINT('Ctrl Pts',(0.26991256978747,-0.200214118213186, 0.134763970647686)); #172744=CARTESIAN_POINT('',(0.222164477745355,-0.219691674103825,0.152432015762631)); #172745=CARTESIAN_POINT('Origin',(0.222164477745355,-0.221192724667139, 0.150432804116413)); #172746=CARTESIAN_POINT('Ctrl Pts',(0.222164477745355,-0.219691674103825, 0.152432015762631)); #172747=CARTESIAN_POINT('Ctrl Pts',(0.241340618821453,-0.219691674103825, 0.152432015762631)); #172748=CARTESIAN_POINT('Ctrl Pts',(0.260292510717649,-0.21216788717394, 0.146782996745194)); #172749=CARTESIAN_POINT('Ctrl Pts',(0.271901688522795,-0.199962504352133, 0.137618936104189)); #172750=CARTESIAN_POINT('Origin',(0.,-0.221192724667139,0.150432804116413)); #172751=CARTESIAN_POINT('',(-0.222164477745355,-0.219691674103825,0.152432015762631)); #172752=CARTESIAN_POINT('Origin',(-0.222164477745355,-0.221192724667139, 0.150432804116413)); #172753=CARTESIAN_POINT('',(0.,-0.219691674103825,0.152432015762631)); #172754=CARTESIAN_POINT('Ctrl Pts',(-0.271901688522987,-0.199962504351932, 0.137618936104038)); #172755=CARTESIAN_POINT('Ctrl Pts',(-0.260292509957489,-0.212167887973266, 0.146782997345346)); #172756=CARTESIAN_POINT('Ctrl Pts',(-0.241340620077534,-0.219691674103825, 0.152432015762631)); #172757=CARTESIAN_POINT('Ctrl Pts',(-0.222164477745355,-0.219691674103825, 0.152432015762631)); #172758=CARTESIAN_POINT('Ctrl Pts',(-0.271316323902575,-0.199606451838349, 0.137351604314982)); #172759=CARTESIAN_POINT('Ctrl Pts',(-0.259848765751139,-0.211670816111187, 0.146409502913385)); #172760=CARTESIAN_POINT('Ctrl Pts',(-0.241116864982731,-0.219110649407972, 0.151995808358348)); #172761=CARTESIAN_POINT('Ctrl Pts',(-0.222164477745355,-0.219111326294248, 0.151996278301751)); #172762=CARTESIAN_POINT('Ctrl Pts',(-0.270301800424459,-0.199352803465422, 0.13640421774791)); #172763=CARTESIAN_POINT('Ctrl Pts',(-0.259077251440025,-0.211167889488426, 0.14528783552698)); #172764=CARTESIAN_POINT('Ctrl Pts',(-0.240731702881096,-0.218457450061976, 0.150767686089389)); #172765=CARTESIAN_POINT('Ctrl Pts',(-0.222164477745355,-0.218458330765747, 0.150770091810455)); #172766=CARTESIAN_POINT('Ctrl Pts',(-0.269924134345825,-0.199890081630845, 0.13521019702094)); #172767=CARTESIAN_POINT('Ctrl Pts',(-0.258782011445714,-0.211598748095164, 0.144033465226153)); #172768=CARTESIAN_POINT('Ctrl Pts',(-0.240580872191283,-0.218820691402562, 0.149473421216718)); #172769=CARTESIAN_POINT('Ctrl Pts',(-0.222164477745355,-0.21881941260872, 0.149476957815751)); #172770=CARTESIAN_POINT('Ctrl Pts',(-0.269912569787653,-0.200214118212993, 0.134763970647541)); #172771=CARTESIAN_POINT('Ctrl Pts',(-0.258767539674616,-0.211914471163764, 0.143586528849436)); #172772=CARTESIAN_POINT('Ctrl Pts',(-0.240573604992946,-0.21912788832468, 0.149023382649698)); #172773=CARTESIAN_POINT('Ctrl Pts',(-0.222164477745355,-0.219125502941278, 0.14902689005925)); #172774=CARTESIAN_POINT('',(-0.271901688522111,-0.199962504351928,0.137618936104035)); #172775=CARTESIAN_POINT('Origin',(-0.271901688522987,-0.201463554915247, 0.13561972445782)); #172776=CARTESIAN_POINT('Ctrl Pts',(-0.271901688522987,-0.199962504351932, 0.137618936104038)); #172777=CARTESIAN_POINT('Ctrl Pts',(-0.260292509957489,-0.212167887973266, 0.146782997345346)); #172778=CARTESIAN_POINT('Ctrl Pts',(-0.241340620077534,-0.219691674103825, 0.152432015762631)); #172779=CARTESIAN_POINT('Ctrl Pts',(-0.222164477745355,-0.219691674103825, 0.152432015762631)); #172780=CARTESIAN_POINT('Ctrl Pts',(-0.269912569787653,-0.200214118212993, 0.134763970647541)); #172781=CARTESIAN_POINT('Ctrl Pts',(-0.278030773973912,-0.191691408686895, 0.128337489230179)); #172782=CARTESIAN_POINT('Ctrl Pts',(-0.282054240089504,-0.177492400851247, 0.126209713630178)); #172783=CARTESIAN_POINT('Ctrl Pts',(-0.282096140144439,-0.164296916097575, 0.123353286728655)); #172784=CARTESIAN_POINT('Ctrl Pts',(-0.282096483671454,-0.164223521534666, 0.123338102881763)); #172785=CARTESIAN_POINT('Ctrl Pts',(-0.282096618090836,-0.164150477061489, 0.123322699946229)); #172786=CARTESIAN_POINT('Ctrl Pts',(-0.269927989198511,-0.199782069436866, 0.135358939145392)); #172787=CARTESIAN_POINT('Ctrl Pts',(-0.277864503737303,-0.191600022585884, 0.128867331189164)); #172788=CARTESIAN_POINT('Ctrl Pts',(-0.282063575509368,-0.177439124220838, 0.12643840885076)); #172789=CARTESIAN_POINT('Ctrl Pts',(-0.282096069222492,-0.164296332968582, 0.123355880355276)); #172790=CARTESIAN_POINT('Ctrl Pts',(-0.282096472475947,-0.164223230315848, 0.12333938575977)); #172791=CARTESIAN_POINT('Ctrl Pts',(-0.282096618090836,-0.164150477061488, 0.123322699946229)); #172792=CARTESIAN_POINT('Ctrl Pts',(-0.270436327311921,-0.199335781015222, 0.136597310324436)); #172793=CARTESIAN_POINT('Ctrl Pts',(-0.277773487335634,-0.191395420198133, 0.129795405673768)); #172794=CARTESIAN_POINT('Ctrl Pts',(-0.282075551636206,-0.177346556763086, 0.126838941725068)); #172795=CARTESIAN_POINT('Ctrl Pts',(-0.282095974721696,-0.164295309424968, 0.1233604181113)); #172796=CARTESIAN_POINT('Ctrl Pts',(-0.28209645288381,-0.164222720682916, 0.123341630796283)); #172797=CARTESIAN_POINT('Ctrl Pts',(-0.282096618090836,-0.164150477061489, 0.123322699946229)); #172798=CARTESIAN_POINT('Ctrl Pts',(-0.27146266505736,-0.199695464966799, 0.13741843726221)); #172799=CARTESIAN_POINT('Ctrl Pts',(-0.278316184387456,-0.191142217423717, 0.130622922603705)); #172800=CARTESIAN_POINT('Ctrl Pts',(-0.282059440595411,-0.177261443995961, 0.127250710174842)); #172801=CARTESIAN_POINT('Ctrl Pts',(-0.282096012919778,-0.164294257429484, 0.123364912024207)); #172802=CARTESIAN_POINT('Ctrl Pts',(-0.282096433291673,-0.164222211049984, 0.123343875832796)); #172803=CARTESIAN_POINT('Ctrl Pts',(-0.282096618090836,-0.164150477061488, 0.123322699946229)); #172804=CARTESIAN_POINT('Ctrl Pts',(-0.271901688522636,-0.19996250435193, 0.137618936104037)); #172805=CARTESIAN_POINT('Ctrl Pts',(-0.278678422825877,-0.191064885376867, 0.13093841481076)); #172806=CARTESIAN_POINT('Ctrl Pts',(-0.282046435525682,-0.177221140518021, 0.127432198777439)); #172807=CARTESIAN_POINT('Ctrl Pts',(-0.282096057223994,-0.164293821442282, 0.123366818545503)); #172808=CARTESIAN_POINT('Ctrl Pts',(-0.282096424895043,-0.16422199263587, 0.123344837991302)); #172809=CARTESIAN_POINT('Ctrl Pts',(-0.282096618090836,-0.164150477061488, 0.123322699946229)); #172810=CARTESIAN_POINT('Ctrl Pts',(-0.282096618090836,-0.164150477061488, 0.123322699946229)); #172811=CARTESIAN_POINT('Ctrl Pts',(-0.282096424895043,-0.16422199263587, 0.123344837991302)); #172812=CARTESIAN_POINT('Ctrl Pts',(-0.282096057223994,-0.164293821442282, 0.123366818545503)); #172813=CARTESIAN_POINT('Ctrl Pts',(-0.282046435525682,-0.177221140518021, 0.127432198777439)); #172814=CARTESIAN_POINT('Ctrl Pts',(-0.278678422825877,-0.191064885376867, 0.13093841481076)); #172815=CARTESIAN_POINT('Ctrl Pts',(-0.271901688522636,-0.19996250435193, 0.137618936104037)); #172816=CARTESIAN_POINT('Origin',(0.272548597850157,-0.0952284540313293, 0.071487315931607)); #172817=CARTESIAN_POINT('',(0.271823413127865,-0.211908718990166,0.146588407768593)); #172818=CARTESIAN_POINT('',(0.272548597850157,-0.101232656284588,0.0634904693467361)); #172819=CARTESIAN_POINT('',(0.281823032358507,-0.205904516736907,0.154672519708448)); #172820=CARTESIAN_POINT('Origin',(0.271823413127865,-0.205904516736907, 0.154585254353464)); #172821=CARTESIAN_POINT('',(0.282548217080799,-0.0952284540313293,0.0715745812865908)); #172822=CARTESIAN_POINT('Origin',(0.273240180729257,-0.128403454963303, -0.00776023263703502)); #172823=CARTESIAN_POINT('',(0.283220493927201,-0.255143300121674,-0.00546071505582806)); #172824=CARTESIAN_POINT('',(0.283239799959899,-0.128403454963303,-0.00767296728205128)); #172825=CARTESIAN_POINT('',(0.273220874696559,-0.254968776057302,0.00445049654075213)); #172826=CARTESIAN_POINT('Origin',(0.273220874696559,-0.255143300121674, -0.00554798041081181)); #172827=CARTESIAN_POINT('',(0.273240180729257,-0.12822893089893,0.00223824431452891)); #172828=CARTESIAN_POINT('Ctrl Pts',(0.273220874696559,-0.254968776057302, 0.00445049654075213)); #172829=CARTESIAN_POINT('Ctrl Pts',(0.273218596442367,-0.269925012179628, 0.00471155861334809)); #172830=CARTESIAN_POINT('Ctrl Pts',(0.260680043767433,-0.295840476126569, 0.00516391471918511)); #172831=CARTESIAN_POINT('Ctrl Pts',(0.234438284916516,-0.3049535470227, 0.00532298396337193)); #172832=CARTESIAN_POINT('Ctrl Pts',(0.223218971937067,-0.3049535470227, 0.00532298396337193)); #172833=CARTESIAN_POINT('Ctrl Pts',(0.275824326349162,-0.254969172515207, 0.0044505034609506)); #172834=CARTESIAN_POINT('Ctrl Pts',(0.275821929943338,-0.270705435605353, 0.00472518097618353)); #172835=CARTESIAN_POINT('Ctrl Pts',(0.262635307401351,-0.29796993583475, 0.00520107085803295)); #172836=CARTESIAN_POINT('Ctrl Pts',(0.235026641180525,-0.30756785262125, 0.00536851027946999)); #172837=CARTESIAN_POINT('Ctrl Pts',(0.223218971937067,-0.307571142167543, 0.00536867425658077)); #172838=CARTESIAN_POINT('Ctrl Pts',(0.281010194592321,-0.255007352568517, 0.00230842605826458)); #172839=CARTESIAN_POINT('Ctrl Pts',(0.281007563772043,-0.272290898847751, 0.00261011032585259)); #172840=CARTESIAN_POINT('Ctrl Pts',(0.266529240901191,-0.302250555286094, 0.00312675216180622)); #172841=CARTESIAN_POINT('Ctrl Pts',(0.2361934931743,-0.312812808701121, 0.00329787535235808)); #172842=CARTESIAN_POINT('Ctrl Pts',(0.223218971937067,-0.312822497779852, 0.00329231909942081)); #172843=CARTESIAN_POINT('Ctrl Pts',(0.283197778274167,-0.255097861895145, -0.00285775903788538)); #172844=CARTESIAN_POINT('Ctrl Pts',(0.283195045562406,-0.273044646619847, -0.00254450005182448)); #172845=CARTESIAN_POINT('Ctrl Pts',(0.268162594179683,-0.304126046841039, -0.00202014938434815)); #172846=CARTESIAN_POINT('Ctrl Pts',(0.236686663248192,-0.315075333341533, -0.00186714131588454)); #172847=CARTESIAN_POINT('Ctrl Pts',(0.223218971937067,-0.315080857745427, -0.00188337377897591)); #172848=CARTESIAN_POINT('Ctrl Pts',(0.283220493927201,-0.255143300121674, -0.00546071505582803)); #172849=CARTESIAN_POINT('Ctrl Pts',(0.283217760056858,-0.273090783537847, -0.00514744454360352)); #172850=CARTESIAN_POINT('Ctrl Pts',(0.268171687757006,-0.304189722123394, -0.00462649334364525)); #172851=CARTESIAN_POINT('Ctrl Pts',(0.236681976688877,-0.315126206365558, -0.00448139448628428)); #172852=CARTESIAN_POINT('Ctrl Pts',(0.223218971937067,-0.315126548038636, -0.00450096892381913)); #172853=CARTESIAN_POINT('',(0.223218971937067,-0.315126548038636,-0.00450096892381916)); #172854=CARTESIAN_POINT('',(0.223218971937067,-0.3049535470227,0.00532298396337192)); #172855=CARTESIAN_POINT('Origin',(0.223218971937067,-0.305128071087072, -0.00467549298819199)); #172856=CARTESIAN_POINT('Origin',(0.223218971937067,-0.254961162264881, 0.00445036364151106)); #172857=CARTESIAN_POINT('Origin',(0.223218971937067,-0.255135686329253, -0.00554811331005286)); #172858=CARTESIAN_POINT('Origin',(0.,-0.305128071087072,-0.004675492988192)); #172859=CARTESIAN_POINT('',(-0.223218971937067,-0.315126548038636,-0.00450096892381916)); #172860=CARTESIAN_POINT('',(-0.223218971937067,-0.3049535470227,0.00532298396337193)); #172861=CARTESIAN_POINT('Origin',(-0.223218971937067,-0.305128071087072, -0.004675492988192)); #172862=CARTESIAN_POINT('',(0.,-0.3049535470227,0.00532298396337193)); #172863=CARTESIAN_POINT('',(0.,-0.315126548038636,-0.00450096892381916)); #172864=CARTESIAN_POINT('Ctrl Pts',(-0.223218971937067,-0.3049535470227, 0.00532298396337193)); #172865=CARTESIAN_POINT('Ctrl Pts',(-0.234438284916516,-0.3049535470227, 0.00532298396337193)); #172866=CARTESIAN_POINT('Ctrl Pts',(-0.260680043767433,-0.295840476126569, 0.00516391471918511)); #172867=CARTESIAN_POINT('Ctrl Pts',(-0.273218596442367,-0.269925012179628, 0.00471155861334809)); #172868=CARTESIAN_POINT('Ctrl Pts',(-0.273220874696559,-0.254968776057302, 0.00445049654075212)); #172869=CARTESIAN_POINT('Ctrl Pts',(-0.223218971937067,-0.307571142167543, 0.00536867425658077)); #172870=CARTESIAN_POINT('Ctrl Pts',(-0.235026641180525,-0.30756785262125, 0.00536851027946999)); #172871=CARTESIAN_POINT('Ctrl Pts',(-0.262635307401351,-0.29796993583475, 0.00520107085803295)); #172872=CARTESIAN_POINT('Ctrl Pts',(-0.275821929943338,-0.270705435605353, 0.00472518097618353)); #172873=CARTESIAN_POINT('Ctrl Pts',(-0.275824326349162,-0.254969172515207, 0.0044505034609506)); #172874=CARTESIAN_POINT('Ctrl Pts',(-0.223218971937067,-0.312822497779852, 0.00329231909942081)); #172875=CARTESIAN_POINT('Ctrl Pts',(-0.2361934931743,-0.312812808701121, 0.00329787535235808)); #172876=CARTESIAN_POINT('Ctrl Pts',(-0.266529240901191,-0.302250555286094, 0.00312675216180622)); #172877=CARTESIAN_POINT('Ctrl Pts',(-0.281007563772043,-0.272290898847751, 0.00261011032585259)); #172878=CARTESIAN_POINT('Ctrl Pts',(-0.281010194592321,-0.255007352568517, 0.00230842605826458)); #172879=CARTESIAN_POINT('Ctrl Pts',(-0.223218971937067,-0.315080857745427, -0.00188337377897591)); #172880=CARTESIAN_POINT('Ctrl Pts',(-0.236686663248192,-0.315075333341533, -0.00186714131588454)); #172881=CARTESIAN_POINT('Ctrl Pts',(-0.268162594179683,-0.304126046841039, -0.00202014938434815)); #172882=CARTESIAN_POINT('Ctrl Pts',(-0.283195045562406,-0.273044646619847, -0.00254450005182448)); #172883=CARTESIAN_POINT('Ctrl Pts',(-0.283197778274167,-0.255097861895145, -0.00285775903788538)); #172884=CARTESIAN_POINT('Ctrl Pts',(-0.223218971937067,-0.315126548038636, -0.00450096892381913)); #172885=CARTESIAN_POINT('Ctrl Pts',(-0.236681976688877,-0.315126206365558, -0.00448139448628428)); #172886=CARTESIAN_POINT('Ctrl Pts',(-0.268171687757007,-0.304189722123394, -0.00462649334364525)); #172887=CARTESIAN_POINT('Ctrl Pts',(-0.283217760056858,-0.273090783537847, -0.00514744454360352)); #172888=CARTESIAN_POINT('Ctrl Pts',(-0.283220493927201,-0.255143300121674, -0.00546071505582803)); #172889=CARTESIAN_POINT('',(-0.283220493927201,-0.255143300121674,-0.00546071505582806)); #172890=CARTESIAN_POINT('',(-0.273220874696559,-0.254968776057302,0.00445049654075212)); #172891=CARTESIAN_POINT('Origin',(-0.273220874696559,-0.255143300121674, -0.00554798041081179)); #172892=CARTESIAN_POINT('Origin',(-0.223218971937067,-0.254961162264881, 0.00445036364151106)); #172893=CARTESIAN_POINT('Origin',(-0.223218971937067,-0.255135686329253, -0.00554811331005286)); #172894=CARTESIAN_POINT('Origin',(-0.273240180729257,-0.128403454963303, -0.00776023263703502)); #172895=CARTESIAN_POINT('',(-0.273240180729257,-0.12822893089893,0.00223824431452891)); #172896=CARTESIAN_POINT('',(-0.283239799959899,-0.128403454963303,-0.00767296728205128)); #172897=CARTESIAN_POINT('Origin',(-0.284275609073083,0.0063386007199031, -0.126364935353379)); #172898=CARTESIAN_POINT('',(-0.287245446315493,-0.263193818011382,-0.466674576064951)); #172899=CARTESIAN_POINT('',(-0.283673958474816,-0.256050298292271,-0.057422605448517)); #172900=CARTESIAN_POINT('',(-0.287245446315493,0.149497927498345,-0.466674576064951)); #172901=CARTESIAN_POINT('',(-0.287245446315493,-0.216499649640049,-0.466674576064951)); #172902=CARTESIAN_POINT('',(-0.286430862835514,0.244483458538202,-0.373332554676493)); #172903=CARTESIAN_POINT('Origin',(-0.286416393875371,0.149497927498345, -0.371674576064951)); #172904=CARTESIAN_POINT('',(-0.281000335238722,0.255345340953433,0.248944272129902)); #172905=CARTESIAN_POINT('',(-0.283713665132455,0.249918267849731,-0.0619725377485108)); #172906=CARTESIAN_POINT('',(-0.281000335238722,0.,0.248944272129902)); #172907=CARTESIAN_POINT('',(-0.281000335238722,0.148001760359952,0.248944272129902)); #172908=CARTESIAN_POINT('',(-0.281823032358507,-0.205904516736907,0.154672519708448)); #172909=CARTESIAN_POINT('Origin',(-0.283374043277808,0.,-0.0230557278700976)); #172910=CARTESIAN_POINT('',(-0.282548217080799,-0.0952284540313293,0.0715745812865908)); #172911=CARTESIAN_POINT('',(-0.283172838988866,0.095,1.14510797068985E-17)); #172912=CARTESIAN_POINT('Origin',(-0.283172838988866,0.,0.)); #172913=CARTESIAN_POINT('Origin',(-0.223676243090966,-0.256050298292271, -0.0579461975784194)); #172914=CARTESIAN_POINT('',(-0.227254584388627,-0.323198387678707,-0.466936352193118)); #172915=CARTESIAN_POINT('',(-0.223676243090966,-0.316041160001655,-0.0568990531921824)); #172916=CARTESIAN_POINT('Origin',(-0.227240876952702,-0.263180109009447, -0.46641278)); #172917=CARTESIAN_POINT('Origin',(0.,-0.314952023974263,0.00549750802774408)); #172918=CARTESIAN_POINT('',(0.227254584388627,-0.323198387678707,-0.466936352193118)); #172919=CARTESIAN_POINT('',(0.223676243090966,-0.316041160001655,-0.0568990531921824)); #172920=CARTESIAN_POINT('',(3.32501522146161E-17,-0.323198387678707,-0.466936352193118)); #172921=CARTESIAN_POINT('Origin',(0.223676243090966,-0.256050298292271, -0.0579461975784194)); #172922=CARTESIAN_POINT('',(0.287245446315493,-0.263193818011382,-0.466674576064951)); #172923=CARTESIAN_POINT('',(0.283673958474816,-0.256050298292271,-0.057422605448517)); #172924=CARTESIAN_POINT('Origin',(0.227240876952702,-0.263180109009447, -0.46641278)); #172925=CARTESIAN_POINT('Origin',(0.284275609073083,0.0063386007199031, -0.126364935353379)); #172926=CARTESIAN_POINT('',(0.281000335238722,0.,0.248944272129902)); #172927=CARTESIAN_POINT('Origin',(0.283374043277808,0.,-0.0230557278700976)); #172928=CARTESIAN_POINT('',(0.281000335238722,0.255345340953433,0.248944272129902)); #172929=CARTESIAN_POINT('',(0.281000335238722,0.148001760359952,0.248944272129902)); #172930=CARTESIAN_POINT('',(0.286430862835514,0.244483458538202,-0.373332554676493)); #172931=CARTESIAN_POINT('',(0.283744916085622,0.249855761183,-0.0655535422871696)); #172932=CARTESIAN_POINT('',(0.287245446315493,0.149497927498345,-0.466674576064951)); #172933=CARTESIAN_POINT('Origin',(0.286416393875371,0.149497927498345,-0.371674576064951)); #172934=CARTESIAN_POINT('',(0.287245446315493,-0.216499649640049,-0.466674576064951)); #172935=CARTESIAN_POINT('',(0.283172838988866,0.095,1.14510797068985E-17)); #172936=CARTESIAN_POINT('Origin',(0.283172838988866,0.,0.)); #172937=CARTESIAN_POINT('Origin',(-0.272548597850157,-0.0952284540313293, 0.071487315931607)); #172938=CARTESIAN_POINT('',(-0.271823413127865,-0.211908718990166,0.146588407768593)); #172939=CARTESIAN_POINT('Origin',(-0.271823413127865,-0.205904516736907, 0.154585254353464)); #172940=CARTESIAN_POINT('',(-0.272548597850157,-0.101232656284588,0.0634904693467361)); #172941=CARTESIAN_POINT('Ctrl Pts',(-0.271823413127865,-0.211908718990166, 0.146588407768593)); #172942=CARTESIAN_POINT('Ctrl Pts',(-0.271764785564625,-0.22085632594603, 0.15330646110068)); #172943=CARTESIAN_POINT('Ctrl Pts',(-0.262575038460519,-0.241733241094932, 0.168981292379208)); #172944=CARTESIAN_POINT('Ctrl Pts',(-0.236761212185414,-0.251745575540321, 0.176498765723153)); #172945=CARTESIAN_POINT('Ctrl Pts',(-0.221842448578581,-0.251745575540321, 0.176498765723153)); #172946=CARTESIAN_POINT('Ctrl Pts',(-0.274453001796599,-0.211919737434801, 0.146596680650835)); #172947=CARTESIAN_POINT('Ctrl Pts',(-0.274416843439102,-0.221337248205132, 0.15366650255397)); #172948=CARTESIAN_POINT('Ctrl Pts',(-0.264792489133052,-0.243346208837871, 0.170190606560878)); #172949=CARTESIAN_POINT('Ctrl Pts',(-0.23757459413413,-0.253919521476361, 0.178130882936106)); #172950=CARTESIAN_POINT('Ctrl Pts',(-0.221842448578581,-0.253917197188452, 0.178129265377555)); #172951=CARTESIAN_POINT('Ctrl Pts',(-0.279692098422895,-0.210629214436778, 0.14836121680984)); #172952=CARTESIAN_POINT('Ctrl Pts',(-0.279698592505543,-0.220949843064232, 0.156165961479048)); #172953=CARTESIAN_POINT('Ctrl Pts',(-0.269200369894346,-0.245155707227316, 0.174449830334303)); #172954=CARTESIAN_POINT('Ctrl Pts',(-0.239184743497709,-0.256840173188844, 0.183255718500681)); #172955=CARTESIAN_POINT('Ctrl Pts',(-0.221842448578581,-0.256836702528227, 0.1832466953647)); #172956=CARTESIAN_POINT('Ctrl Pts',(-0.281841382881393,-0.207483435078275, 0.152569758061699)); #172957=CARTESIAN_POINT('Ctrl Pts',(-0.281810967763155,-0.218143414368441, 0.160732071700068)); #172958=CARTESIAN_POINT('Ctrl Pts',(-0.270857245152229,-0.243100770090832, 0.179779559058157)); #172959=CARTESIAN_POINT('Ctrl Pts',(-0.239799740169008,-0.25512241917323, 0.18889879866511)); #172960=CARTESIAN_POINT('Ctrl Pts',(-0.221842448578581,-0.255127899671948, 0.188885098853337)); #172961=CARTESIAN_POINT('Ctrl Pts',(-0.281823032358507,-0.205904516736907, 0.154672519708448)); #172962=CARTESIAN_POINT('Ctrl Pts',(-0.281751674497368,-0.216542263608563, 0.162849320692431)); #172963=CARTESIAN_POINT('Ctrl Pts',(-0.270722029195633,-0.241403852601804, 0.18188242593049)); #172964=CARTESIAN_POINT('Ctrl Pts',(-0.239744853112085,-0.253362246088131, 0.190970377130846)); #172965=CARTESIAN_POINT('Ctrl Pts',(-0.221842448578581,-0.253373092954362, 0.190957566727528)); #172966=CARTESIAN_POINT('',(-0.22184244857858,-0.253373092954362,0.190957566727528)); #172967=CARTESIAN_POINT('',(-0.221842448578581,-0.251745575540321,0.176498765723153)); #172968=CARTESIAN_POINT('Origin',(-0.221842448578581,-0.245741373287062, 0.184495612308024)); #172969=CARTESIAN_POINT('Ctrl Pts',(-0.221842448578581,-0.251745575540321, 0.176498765723153)); #172970=CARTESIAN_POINT('Ctrl Pts',(-0.236761212185414,-0.251745575540321, 0.176498765723153)); #172971=CARTESIAN_POINT('Ctrl Pts',(-0.262575038460519,-0.241733241094932, 0.168981292379208)); #172972=CARTESIAN_POINT('Ctrl Pts',(-0.271764785564625,-0.22085632594603, 0.15330646110068)); #172973=CARTESIAN_POINT('Ctrl Pts',(-0.271823413127865,-0.211908718990166, 0.146588407768593)); #172974=CARTESIAN_POINT('Ctrl Pts',(-0.281823032358507,-0.205904516736907, 0.154672519708448)); #172975=CARTESIAN_POINT('Ctrl Pts',(-0.281751674497368,-0.216542263608563, 0.162849320692431)); #172976=CARTESIAN_POINT('Ctrl Pts',(-0.270722029195633,-0.241403852601804, 0.18188242593049)); #172977=CARTESIAN_POINT('Ctrl Pts',(-0.239744853112085,-0.253362246088131, 0.190970377130846)); #172978=CARTESIAN_POINT('Ctrl Pts',(-0.221842448578581,-0.253373092954362, 0.190957566727528)); #172979=CARTESIAN_POINT('Origin',(0.,-0.245741373287062,0.184495612308024)); #172980=CARTESIAN_POINT('',(0.221842448578579,-0.253373092954362,0.190957566727528)); #172981=CARTESIAN_POINT('',(0.221842448578579,-0.251745575540321,0.176498765723153)); #172982=CARTESIAN_POINT('Origin',(0.221842448578579,-0.245741373287062, 0.184495612308024)); #172983=CARTESIAN_POINT('',(0.,-0.251745575540321,0.176498765723153)); #172984=CARTESIAN_POINT('',(0.,-0.253373092954362,0.190957566727528)); #172985=CARTESIAN_POINT('Ctrl Pts',(0.221842448578579,-0.251745575540321, 0.176498765723153)); #172986=CARTESIAN_POINT('Ctrl Pts',(0.236761212019029,-0.251745575540321, 0.176498765723153)); #172987=CARTESIAN_POINT('Ctrl Pts',(0.262574982588036,-0.241733279503761, 0.168981321217372)); #172988=CARTESIAN_POINT('Ctrl Pts',(0.271764785565278,-0.220856325846242, 0.153306461025756)); #172989=CARTESIAN_POINT('Ctrl Pts',(0.271823413127865,-0.211908718990166, 0.146588407768593)); #172990=CARTESIAN_POINT('Ctrl Pts',(0.221842448578579,-0.253917197188452, 0.178129265377555)); #172991=CARTESIAN_POINT('Ctrl Pts',(0.237574593958675,-0.253919521476335, 0.178130882936089)); #172992=CARTESIAN_POINT('Ctrl Pts',(0.264792430272163,-0.243346249413252, 0.170190637023775)); #172993=CARTESIAN_POINT('Ctrl Pts',(0.274416843439505,-0.221337248100103, 0.153666502475124)); #172994=CARTESIAN_POINT('Ctrl Pts',(0.274453001796599,-0.211919737434801, 0.146596680650835)); #172995=CARTESIAN_POINT('Ctrl Pts',(0.221842448578579,-0.256836702528178, 0.183246695364705)); #172996=CARTESIAN_POINT('Ctrl Pts',(0.239184743304297,-0.256840173188757, 0.183255718500586)); #172997=CARTESIAN_POINT('Ctrl Pts',(0.269200305113185,-0.245155752016895, 0.174449864113794)); #172998=CARTESIAN_POINT('Ctrl Pts',(0.279698592505439,-0.220949842949112, 0.15616596139203)); #172999=CARTESIAN_POINT('Ctrl Pts',(0.279692098422863,-0.21062921443676, 0.148361216809865)); #173000=CARTESIAN_POINT('Ctrl Pts',(0.221842448578579,-0.255127899671948, 0.188885098853337)); #173001=CARTESIAN_POINT('Ctrl Pts',(0.239799739968737,-0.255122419173291, 0.188898798664958)); #173002=CARTESIAN_POINT('Ctrl Pts',(0.27085717798804,-0.243100816221763, 0.179779594110196)); #173003=CARTESIAN_POINT('Ctrl Pts',(0.281810967763495,-0.218143414249556, 0.160732071609038)); #173004=CARTESIAN_POINT('Ctrl Pts',(0.281841382881393,-0.207483435078275, 0.152569758061699)); #173005=CARTESIAN_POINT('Ctrl Pts',(0.221842448578579,-0.253373092954362, 0.190957566727528)); #173006=CARTESIAN_POINT('Ctrl Pts',(0.239744852912426,-0.253362246088252, 0.190970377130704)); #173007=CARTESIAN_POINT('Ctrl Pts',(0.27072196214605,-0.24140389844131, 0.181882460834622)); #173008=CARTESIAN_POINT('Ctrl Pts',(0.281751674498164,-0.216542263489926, 0.162849320601239)); #173009=CARTESIAN_POINT('Ctrl Pts',(0.281823032358507,-0.205904516736907, 0.154672519708448)); #173010=CARTESIAN_POINT('Ctrl Pts',(0.271823413127865,-0.211908718990166, 0.146588407768593)); #173011=CARTESIAN_POINT('Ctrl Pts',(0.271764785565278,-0.220856325846242, 0.153306461025756)); #173012=CARTESIAN_POINT('Ctrl Pts',(0.262574982588036,-0.241733279503761, 0.168981321217372)); #173013=CARTESIAN_POINT('Ctrl Pts',(0.236761212019029,-0.251745575540321, 0.176498765723153)); #173014=CARTESIAN_POINT('Ctrl Pts',(0.221842448578579,-0.251745575540321, 0.176498765723153)); #173015=CARTESIAN_POINT('Ctrl Pts',(0.221842448578579,-0.253373092954362, 0.190957566727528)); #173016=CARTESIAN_POINT('Ctrl Pts',(0.239744852912426,-0.253362246088252, 0.190970377130704)); #173017=CARTESIAN_POINT('Ctrl Pts',(0.27072196214605,-0.24140389844131, 0.181882460834622)); #173018=CARTESIAN_POINT('Ctrl Pts',(0.281751674498164,-0.216542263489926, 0.162849320601239)); #173019=CARTESIAN_POINT('Ctrl Pts',(0.281823032358507,-0.205904516736907, 0.154672519708448)); #173020=CARTESIAN_POINT('Ctrl Pts',(0.221002619854871,-4.06582737316921E-17, 0.30842068)); #173021=CARTESIAN_POINT('Ctrl Pts',(0.221002619854871,-0.0411842052516884, 0.30842068)); #173022=CARTESIAN_POINT('Ctrl Pts',(0.221131641869793,-0.137306365188957, 0.290374943856862)); #173023=CARTESIAN_POINT('Ctrl Pts',(0.221543030286935,-0.217923395890592, 0.232835873237457)); #173024=CARTESIAN_POINT('Ctrl Pts',(0.221842691355926,-0.253401840542727, 0.190923610538392)); #173025=CARTESIAN_POINT('Ctrl Pts',(0.23885484477527,-4.06618314377154E-17, 0.30842068)); #173026=CARTESIAN_POINT('Ctrl Pts',(0.23885484477527,-0.0411884849709806, 0.30842068)); #173027=CARTESIAN_POINT('Ctrl Pts',(0.238989177471817,-0.137257660177748, 0.290395418771938)); #173028=CARTESIAN_POINT('Ctrl Pts',(0.23941739993075,-0.217821228826401, 0.232925125707317)); #173029=CARTESIAN_POINT('Ctrl Pts',(0.239729816183946,-0.253324862695418, 0.191014547888946)); #173030=CARTESIAN_POINT('Ctrl Pts',(0.269791157516644,-2.90595037962613E-17, 0.293560114808439)); #173031=CARTESIAN_POINT('Ctrl Pts',(0.269791157516644,-0.0392785388399391, 0.293560114808439)); #173032=CARTESIAN_POINT('Ctrl Pts',(0.269934434963909,-0.13097787706542, 0.276358673212597)); #173033=CARTESIAN_POINT('Ctrl Pts',(0.27039144916467,-0.207931578627626, 0.221494056997909)); #173034=CARTESIAN_POINT('Ctrl Pts',(0.270724177256091,-0.241801489328648, 0.181530574581997)); #173035=CARTESIAN_POINT('Ctrl Pts',(0.280883494182852,-4.66825860808368E-18, 0.262332931001685)); #173036=CARTESIAN_POINT('Ctrl Pts',(0.280883494182852,-0.0353981931835214, 0.262332931001685)); #173037=CARTESIAN_POINT('Ctrl Pts',(0.281018813659869,-0.118026809493187, 0.246824538880231)); #173038=CARTESIAN_POINT('Ctrl Pts',(0.281450252476265,-0.187342216801865, 0.197369966532222)); #173039=CARTESIAN_POINT('Ctrl Pts',(0.281764768953958,-0.217846092888962, 0.161348844587665)); #173040=CARTESIAN_POINT('Ctrl Pts',(0.281000335238722,5.79041523556174E-18, 0.248944272129902)); #173041=CARTESIAN_POINT('Ctrl Pts',(0.281000335238722,-0.0337412765917447, 0.248944272129902)); #173042=CARTESIAN_POINT('Ctrl Pts',(0.281129357253644,-0.112491961841555, 0.234159813602994)); #173043=CARTESIAN_POINT('Ctrl Pts',(0.281540745670785,-0.178539649645304, 0.187019370203964)); #173044=CARTESIAN_POINT('Ctrl Pts',(0.281840406739776,-0.207606327191632, 0.152681612811958)); #173045=CARTESIAN_POINT('',(0.221002619854871,0.,0.30842068)); #173046=CARTESIAN_POINT('Origin',(0.223376327893958,0.,-0.02357932)); #173047=CARTESIAN_POINT('Origin',(0.221002619854871,0.,0.24842068)); #173048=CARTESIAN_POINT('Origin',(0.,0.,-0.02357932)); #173049=CARTESIAN_POINT('',(-0.221002619854871,0.,0.30842068)); #173050=CARTESIAN_POINT('Origin',(-0.223376327893958,0.,-0.02357932)); #173051=CARTESIAN_POINT('',(0.,0.,0.30842068)); #173052=CARTESIAN_POINT('Ctrl Pts',(-0.221842695763561,-0.253402362384462, 0.190922994062049)); #173053=CARTESIAN_POINT('Ctrl Pts',(-0.221617948869326,-0.226793530819805, 0.222357343888335)); #173054=CARTESIAN_POINT('Ctrl Pts',(-0.221176759777449,-0.150915995873865, 0.284064502515802)); #173055=CARTESIAN_POINT('Ctrl Pts',(-0.221002619854871,-0.0549124275144837, 0.30842068)); #173056=CARTESIAN_POINT('Ctrl Pts',(-0.221002619854871,-4.06582737316921E-17, 0.30842068)); #173057=CARTESIAN_POINT('Ctrl Pts',(-0.239729820775134,-0.253325384599071, 0.191013931788079)); #173058=CARTESIAN_POINT('Ctrl Pts',(-0.239495507445996,-0.226697661017984, 0.222447018003874)); #173059=CARTESIAN_POINT('Ctrl Pts',(-0.239036034365169,-0.150837366464792, 0.284102628370672)); #173060=CARTESIAN_POINT('Ctrl Pts',(-0.23885484477527,-0.0549181338228603, 0.30842068)); #173061=CARTESIAN_POINT('Ctrl Pts',(-0.23885484477527,-4.06618314377154E-17, 0.30842068)); #173062=CARTESIAN_POINT('Ctrl Pts',(-0.270724182151551,-0.241801987633129, 0.181529986629114)); #173063=CARTESIAN_POINT('Ctrl Pts',(-0.270474634869331,-0.216399556282539, 0.211502744224711)); #173064=CARTESIAN_POINT('Ctrl Pts',(-0.26998460192596,-0.143971750414744, 0.270340311820507)); #173065=CARTESIAN_POINT('Ctrl Pts',(-0.269791157515659,-0.0523715318467767, 0.293560114807248)); #173066=CARTESIAN_POINT('Ctrl Pts',(-0.269791157515659,-2.90595037953304E-17, 0.293560114807248)); #173067=CARTESIAN_POINT('Ctrl Pts',(-0.281764773578198,-0.217846541614234, 0.161348314702231)); #173068=CARTESIAN_POINT('Ctrl Pts',(-0.281528885073048,-0.194968636155406, 0.188364287510636)); #173069=CARTESIAN_POINT('Ctrl Pts',(-0.281066077354487,-0.129730275114385, 0.241399911710067)); #173070=CARTESIAN_POINT('Ctrl Pts',(-0.280883494182852,-0.0471977231430032, 0.262332931001685)); #173071=CARTESIAN_POINT('Ctrl Pts',(-0.280883494182852,-4.66825860808367E-18, 0.262332931001685)); #173072=CARTESIAN_POINT('Ctrl Pts',(-0.281840411147411,-0.207606754724619, 0.152681107747003)); #173073=CARTESIAN_POINT('Ctrl Pts',(-0.281615664253176,-0.185806748141527, 0.178434550978177)); #173074=CARTESIAN_POINT('Ctrl Pts',(-0.281174475161299,-0.123642020715937, 0.22898981346815)); #173075=CARTESIAN_POINT('Ctrl Pts',(-0.281000335238722,-0.0449884948311432, 0.248944272129902)); #173076=CARTESIAN_POINT('Ctrl Pts',(-0.281000335238722,5.79041523556174E-18, 0.248944272129902)); #173077=CARTESIAN_POINT('Origin',(-0.221002619854871,0.,0.24842068)); #173078=CARTESIAN_POINT('Origin',(0.0397126400703084,-0.0318221394355476, -0.43641278)); #173079=CARTESIAN_POINT('',(-0.383697786344401,0.149497927498345,-0.49641278)); #173080=CARTESIAN_POINT('Origin',(-0.383697786344401,0.149497927498345, -0.43641278)); #173081=CARTESIAN_POINT('Origin',(0.0397126400703084,-0.0318221394355476, -0.49641278)); #173082=CARTESIAN_POINT('Ctrl Pts',(-0.438819562687606,0.173103118945085, -0.43850674980215)); #173083=CARTESIAN_POINT('Ctrl Pts',(-0.429772396241086,0.194229653187778, -0.43850674980215)); #173084=CARTESIAN_POINT('Ctrl Pts',(-0.41134765566718,0.230318864645026, -0.424338425596242)); #173085=CARTESIAN_POINT('Ctrl Pts',(-0.402438469472275,0.246135635469807, -0.389883027111761)); #173086=CARTESIAN_POINT('Ctrl Pts',(-0.402901314594827,0.246399453646751, -0.374641156220573)); #173087=CARTESIAN_POINT('Ctrl Pts',(-0.438256433878578,0.172861966278605, -0.456049082593266)); #173088=CARTESIAN_POINT('Ctrl Pts',(-0.427378132232835,0.198254297103344, -0.454728672874506)); #173089=CARTESIAN_POINT('Ctrl Pts',(-0.406050081165739,0.238873393226999, -0.434829941762606)); #173090=CARTESIAN_POINT('Ctrl Pts',(-0.396245425865176,0.255776571486861, -0.393062683663029)); #173091=CARTESIAN_POINT('Ctrl Pts',(-0.396762649179433,0.256166271074713, -0.374625790192944)); #173092=CARTESIAN_POINT('Ctrl Pts',(-0.424083926246551,0.166792772248075, -0.485949870282024)); #173093=CARTESIAN_POINT('Ctrl Pts',(-0.412506040840878,0.199858757824426, -0.482593360374963)); #173094=CARTESIAN_POINT('Ctrl Pts',(-0.390294085610624,0.249803649687304, -0.452951759407385)); #173095=CARTESIAN_POINT('Ctrl Pts',(-0.380432726009771,0.269480375130011, -0.398403419047375)); #173096=CARTESIAN_POINT('Ctrl Pts',(-0.380969449618502,0.27004047726614, -0.374389830453788)); #173097=CARTESIAN_POINT('Ctrl Pts',(-0.395799582836536,0.15468036612782, -0.49641278)); #173098=CARTESIAN_POINT('Ctrl Pts',(-0.386729173645395,0.190683814375962, -0.494909246045937)); #173099=CARTESIAN_POINT('Ctrl Pts',(-0.368695418988259,0.249300344312438, -0.465398922794621)); #173100=CARTESIAN_POINT('Ctrl Pts',(-0.360298393687053,0.273923394438271, -0.401891551406978)); #173101=CARTESIAN_POINT('Ctrl Pts',(-0.3607823062195,0.274474320838805, -0.373856063093122)); #173102=CARTESIAN_POINT('Ctrl Pts',(-0.3836977863444,0.149497927498345, -0.49641278)); #173103=CARTESIAN_POINT('Ctrl Pts',(-0.375692756419789,0.185445973904269, -0.49641278)); #173104=CARTESIAN_POINT('Ctrl Pts',(-0.359442163139896,0.246900762081048, -0.469166002680946)); #173105=CARTESIAN_POINT('Ctrl Pts',(-0.351672990240966,0.273967258919753, -0.402905620980022)); #173106=CARTESIAN_POINT('Ctrl Pts',(-0.35213443382143,0.274478889392894, -0.37359433080466)); #173107=CARTESIAN_POINT('',(-0.35213443382143,0.274478889392894,-0.37359433080466)); #173108=CARTESIAN_POINT('Origin',(-0.35213443382143,0.21448802768351,-0.372547186418423)); #173109=CARTESIAN_POINT('Ctrl Pts',(-0.35213443382143,0.274478889392894, -0.37359433080466)); #173110=CARTESIAN_POINT('Ctrl Pts',(-0.351672990240966,0.273967258919753, -0.402905620980022)); #173111=CARTESIAN_POINT('Ctrl Pts',(-0.359442163139896,0.246900762081048, -0.469166002680946)); #173112=CARTESIAN_POINT('Ctrl Pts',(-0.375692756419789,0.185445973904269, -0.49641278)); #173113=CARTESIAN_POINT('Ctrl Pts',(-0.3836977863444,0.149497927498345, -0.49641278)); #173114=CARTESIAN_POINT('Ctrl Pts',(-0.402901314594827,0.246399453646751, -0.374641156220573)); #173115=CARTESIAN_POINT('Ctrl Pts',(-0.40872866176042,0.249720996924913, -0.182741817093012)); #173116=CARTESIAN_POINT('Ctrl Pts',(-0.414554961416683,0.253043757579535, 0.00915752960237308)); #173117=CARTESIAN_POINT('Ctrl Pts',(-0.420380343469029,0.256367589654115, 0.201056882937738)); #173118=CARTESIAN_POINT('Ctrl Pts',(-0.392158650117888,0.263491384145684, -0.374614265672222)); #173119=CARTESIAN_POINT('Ctrl Pts',(-0.397989835888195,0.266828530093353, -0.182715324368367)); #173120=CARTESIAN_POINT('Ctrl Pts',(-0.403819017056075,0.270165313894443, 0.00918368141516514)); #173121=CARTESIAN_POINT('Ctrl Pts',(-0.409647958729114,0.2735034667466, 0.201082668899657)); #173122=CARTESIAN_POINT('Ctrl Pts',(-0.372312802750259,0.274468229433353, -0.374205039477737)); #173123=CARTESIAN_POINT('Ctrl Pts',(-0.378133762637442,0.277818199865646, -0.182306029750901)); #173124=CARTESIAN_POINT('Ctrl Pts',(-0.383953372178535,0.28116711738955, 0.00959303789805822)); #173125=CARTESIAN_POINT('Ctrl Pts',(-0.389772935625892,0.284517060177252, 0.201492088274241)); #173126=CARTESIAN_POINT('Ctrl Pts',(-0.35213443382143,0.274478889392894, -0.37359433080466)); #173127=CARTESIAN_POINT('Ctrl Pts',(-0.357944135187345,0.277828504817047, -0.181694991677098)); #173128=CARTESIAN_POINT('Ctrl Pts',(-0.363753586504897,0.281178120373298, 0.0102043550182861)); #173129=CARTESIAN_POINT('Ctrl Pts',(-0.36956281841311,0.28452773604545, 0.202103708353651)); #173130=CARTESIAN_POINT('',(-0.36956281841311,0.28452773604545,0.202103708353651)); #173131=CARTESIAN_POINT('Origin',(-0.36956281841311,0.224536874336066,0.203150852739888)); #173132=CARTESIAN_POINT('Ctrl Pts',(-0.36956281841311,0.28452773604545, 0.202103708353651)); #173133=CARTESIAN_POINT('Ctrl Pts',(-0.363753586504897,0.281178120373298, 0.0102043550182861)); #173134=CARTESIAN_POINT('Ctrl Pts',(-0.357944135187345,0.277828504817047, -0.181694991677098)); #173135=CARTESIAN_POINT('Ctrl Pts',(-0.35213443382143,0.274478889392894, -0.37359433080466)); #173136=CARTESIAN_POINT('Ctrl Pts',(-0.332613225101728,0.285630839099622, 0.265300440001958)); #173137=CARTESIAN_POINT('Ctrl Pts',(-0.341714235566727,0.285525546961138, 0.2592682574282)); #173138=CARTESIAN_POINT('Ctrl Pts',(-0.360792698346256,0.285220715244404, 0.241804460072692)); #173139=CARTESIAN_POINT('Ctrl Pts',(-0.370003277642701,0.284781705789074, 0.216653625221261)); #173140=CARTESIAN_POINT('Ctrl Pts',(-0.369562818413111,0.284527736045451, 0.202103708353713)); #173141=CARTESIAN_POINT('Ctrl Pts',(-0.341708605016456,0.285870294782612, 0.279018846892715)); #173142=CARTESIAN_POINT('Ctrl Pts',(-0.351789081141268,0.285705586246816, 0.271245317024871)); #173143=CARTESIAN_POINT('Ctrl Pts',(-0.3726187317383,0.285314661615338, 0.249442206152322)); #173144=CARTESIAN_POINT('Ctrl Pts',(-0.38210411592849,0.284831989236336, 0.219224937030989)); #173145=CARTESIAN_POINT('Ctrl Pts',(-0.381111456820416,0.284521635549338, 0.201754211165479)); #173146=CARTESIAN_POINT('Ctrl Pts',(-0.357507211116094,0.273745490301791, 0.303066515255532)); #173147=CARTESIAN_POINT('Ctrl Pts',(-0.369324563211012,0.275005509319985, 0.292327075589344)); #173148=CARTESIAN_POINT('Ctrl Pts',(-0.393262743379967,0.277379769764807, 0.262981020810235)); #173149=CARTESIAN_POINT('Ctrl Pts',(-0.40323077775729,0.278399188806933, 0.223823648287013)); #173150=CARTESIAN_POINT('Ctrl Pts',(-0.401285154001917,0.278500936953808, 0.201248598940559)); #173151=CARTESIAN_POINT('Ctrl Pts',(-0.364596148025067,0.246523365042354, 0.314237059905499)); #173152=CARTESIAN_POINT('Ctrl Pts',(-0.3780728098923,0.250218324332015, 0.303444935223856)); #173153=CARTESIAN_POINT('Ctrl Pts',(-0.40593751770936,0.257941163532213, 0.272258884615019)); #173154=CARTESIAN_POINT('Ctrl Pts',(-0.417977615692598,0.262594503125138, 0.227341628475691)); #173155=CARTESIAN_POINT('Ctrl Pts',(-0.415780750009067,0.263711536979467, 0.201067934064337)); #173156=CARTESIAN_POINT('Ctrl Pts',(-0.365483313633791,0.234307385389339, 0.31578879511843)); #173157=CARTESIAN_POINT('Ctrl Pts',(-0.379588056844351,0.238800535045594, 0.305623831277494)); #173158=CARTESIAN_POINT('Ctrl Pts',(-0.409203453869429,0.24852178295691, 0.275031307841297)); #173159=CARTESIAN_POINT('Ctrl Pts',(-0.422498497001859,0.254791877413211, 0.228508698338544)); #173160=CARTESIAN_POINT('Ctrl Pts',(-0.420380343469031,0.256367589654116, 0.2010568829378)); #173161=CARTESIAN_POINT('',(-0.332613225101728,0.285630839099622,0.265300440001958)); #173162=CARTESIAN_POINT('Origin',(-0.332613225101728,0.225639977390238, 0.266347584388195)); #173163=CARTESIAN_POINT('Ctrl Pts',(-0.332613225101728,0.285630839099622, 0.265300440001958)); #173164=CARTESIAN_POINT('Ctrl Pts',(-0.341714235566727,0.285525546961138, 0.2592682574282)); #173165=CARTESIAN_POINT('Ctrl Pts',(-0.360792698346256,0.285220715244404, 0.241804460072692)); #173166=CARTESIAN_POINT('Ctrl Pts',(-0.370003277642701,0.284781705789074, 0.216653625221261)); #173167=CARTESIAN_POINT('Ctrl Pts',(-0.369562818413111,0.284527736045451, 0.202103708353713)); #173168=CARTESIAN_POINT('Ctrl Pts',(-0.365483313574985,0.23430738538938, 0.315788795157518)); #173169=CARTESIAN_POINT('Ctrl Pts',(-0.273252490516575,0.234371497712206, 0.377095538786433)); #173170=CARTESIAN_POINT('Ctrl Pts',(-0.0214883158954261,0.234107676338474, 0.464324000910935)); #173171=CARTESIAN_POINT('Ctrl Pts',(0.242508882898009,0.234392868486554, 0.397531119970373)); #173172=CARTESIAN_POINT('Ctrl Pts',(0.365483313633776,0.234307385389339, 0.31578879511844)); #173173=CARTESIAN_POINT('Ctrl Pts',(-0.364300426096968,0.250595358260212, 0.313719814873614)); #173174=CARTESIAN_POINT('Ctrl Pts',(-0.272496571462277,0.250889242366201, 0.375048799455847)); #173175=CARTESIAN_POINT('Ctrl Pts',(-0.0214661545369409,0.251015354846725, 0.462532292501415)); #173176=CARTESIAN_POINT('Ctrl Pts',(0.241895286651387,0.250987203734822, 0.395491794290827)); #173177=CARTESIAN_POINT('Ctrl Pts',(0.364300426155477,0.250595358260026, 0.313719814834532)); #173178=CARTESIAN_POINT('Ctrl Pts',(-0.355374479306185,0.277075619334278, 0.29979064455081)); #173179=CARTESIAN_POINT('Ctrl Pts',(-0.265931235298705,0.277897202853847, 0.359656969650828)); #173180=CARTESIAN_POINT('Ctrl Pts',(-0.0209820497299404,0.278988546729284, 0.445310966885606)); #173181=CARTESIAN_POINT('Ctrl Pts',(0.236116820695222,0.278171064026714, 0.379612411325623)); #173182=CARTESIAN_POINT('Ctrl Pts',(0.355374479363202,0.277075619333754, 0.299790644512646)); #173183=CARTESIAN_POINT('Ctrl Pts',(-0.339434759983225,0.285810430862497, 0.275589245206292)); #173184=CARTESIAN_POINT('Ctrl Pts',(-0.253881974550212,0.286805066369193, 0.332466586438399)); #173185=CARTESIAN_POINT('Ctrl Pts',(-0.0199951069485234,0.288219708570329, 0.413638114176564)); #173186=CARTESIAN_POINT('Ctrl Pts',(0.225364379468623,0.287136611537674, 0.351425700158531)); #173187=CARTESIAN_POINT('Ctrl Pts',(0.339434760037761,0.285810430861864, 0.275589245170035)); #173188=CARTESIAN_POINT('Ctrl Pts',(-0.332613225048242,0.285630839100241, 0.265300440037409)); #173189=CARTESIAN_POINT('Ctrl Pts',(-0.248724611885149,0.286601369955718, 0.320902115509195)); #173190=CARTESIAN_POINT('Ctrl Pts',(-0.0195724254866799,0.287984787130347, 0.400158032362982)); #173191=CARTESIAN_POINT('Ctrl Pts',(0.220761740892279,0.28692488024047, 0.33943600730978)); #173192=CARTESIAN_POINT('Ctrl Pts',(0.332613225101715,0.285630839099622, 0.265300440001967)); #173193=CARTESIAN_POINT('',(-0.221002619854871,0.286237061702767,0.332136681228777)); #173194=CARTESIAN_POINT('',(-0.303766659765072,0.285944220611102,0.283254054770452)); #173195=CARTESIAN_POINT('Ctrl Pts',(-0.221002619854871,0.286237061702767, 0.332136681228777)); #173196=CARTESIAN_POINT('Ctrl Pts',(-0.232214371299175,0.285870744664026, 0.330426668543205)); #173197=CARTESIAN_POINT('Ctrl Pts',(-0.24312758679573,0.285514195087999, 0.32728757689019)); #173198=CARTESIAN_POINT('Ctrl Pts',(-0.263889216499526,0.285316287819642, 0.318114473462631)); #173199=CARTESIAN_POINT('Ctrl Pts',(-0.273512310596825,0.2854750938207, 0.312198079682789)); #173200=CARTESIAN_POINT('Ctrl Pts',(-0.290155346211194,0.285869117505383, 0.298733067347012)); #173201=CARTESIAN_POINT('Ctrl Pts',(-0.297262312840923,0.286078689512786, 0.291477103274674)); #173202=CARTESIAN_POINT('Ctrl Pts',(-0.303603750018622,0.285947772090487, 0.283460868821654)); #173203=CARTESIAN_POINT('Ctrl Pts',(-0.303685276553313,0.285946026562162, 0.283357517637394)); #173204=CARTESIAN_POINT('Ctrl Pts',(-0.30376665975582,0.285944220611272, 0.283254054780202)); #173205=CARTESIAN_POINT('Ctrl Pts',(-0.303762398660044,0.28594407757248, 0.283245860093266)); #173206=CARTESIAN_POINT('Ctrl Pts',(-0.313549064857167,0.285844515806128, 0.277541970319067)); #173207=CARTESIAN_POINT('Ctrl Pts',(-0.323171835629128,0.28574006917813, 0.271558227008612)); #173208=CARTESIAN_POINT('Ctrl Pts',(-0.332613225048242,0.285630839100241, 0.265300440037409)); #173209=CARTESIAN_POINT('Ctrl Pts',(-0.365483313574985,0.23430738538938, 0.315788795157518)); #173210=CARTESIAN_POINT('Ctrl Pts',(-0.273252490516575,0.234371497712206, 0.377095538786433)); #173211=CARTESIAN_POINT('Ctrl Pts',(-0.0214883158954261,0.234107676338474, 0.464324000910935)); #173212=CARTESIAN_POINT('Ctrl Pts',(0.242508882898009,0.234392868486554, 0.397531119970373)); #173213=CARTESIAN_POINT('Ctrl Pts',(0.365483313633776,0.234307385389339, 0.31578879511844)); #173214=CARTESIAN_POINT('',(0.332613225101715,0.285630839099622,0.265300440001967)); #173215=CARTESIAN_POINT('Origin',(0.332613225101715,0.225639977390239,0.266347584388204)); #173216=CARTESIAN_POINT('',(0.303766659803857,0.285944220610389,0.283254054729594)); #173217=CARTESIAN_POINT('Ctrl Pts',(0.332613225101715,0.285630839099622, 0.265300440001967)); #173218=CARTESIAN_POINT('Ctrl Pts',(0.323171843049811,0.285740069092304, 0.271558222091626)); #173219=CARTESIAN_POINT('Ctrl Pts',(0.31354889827206,0.285844394345738, 0.277535011857991)); #173220=CARTESIAN_POINT('Ctrl Pts',(0.303761912433572,0.28594374903995, 0.283227038477224)); #173221=CARTESIAN_POINT('',(0.221002619854871,0.286237061702767,0.332136681228777)); #173222=CARTESIAN_POINT('Ctrl Pts',(0.30376665975582,0.285944220611272, 0.283254054780202)); #173223=CARTESIAN_POINT('Ctrl Pts',(0.303685276553319,0.285946026562162, 0.283357517637387)); #173224=CARTESIAN_POINT('Ctrl Pts',(0.303603750018603,0.285947772090487, 0.283460868821678)); #173225=CARTESIAN_POINT('Ctrl Pts',(0.297262312841343,0.286078689512777, 0.291477103274144)); #173226=CARTESIAN_POINT('Ctrl Pts',(0.290155346211288,0.285869117505385, 0.298733067346937)); #173227=CARTESIAN_POINT('Ctrl Pts',(0.273512310596825,0.2854750938207,0.312198079682789)); #173228=CARTESIAN_POINT('Ctrl Pts',(0.263889216499526,0.285316287819642, 0.318114473462631)); #173229=CARTESIAN_POINT('Ctrl Pts',(0.24312758679573,0.285514195087999, 0.327287576890189)); #173230=CARTESIAN_POINT('Ctrl Pts',(0.232214371299175,0.285870744664026, 0.330426668543205)); #173231=CARTESIAN_POINT('Ctrl Pts',(0.221002619854871,0.286237061702767, 0.332136681228777)); #173232=CARTESIAN_POINT('',(0.179585749779031,0.28689801864966,0.33789710780293)); #173233=CARTESIAN_POINT('Ctrl Pts',(0.220963651575784,0.286223709131737, 0.331918874806597)); #173234=CARTESIAN_POINT('Ctrl Pts',(0.207572770252722,0.286665718926209, 0.33393765213255)); #173235=CARTESIAN_POINT('Ctrl Pts',(0.194093593690641,0.286853587842433, 0.335805726455342)); #173236=CARTESIAN_POINT('Ctrl Pts',(0.180615400438507,0.286890707049721, 0.337495041258088)); #173237=CARTESIAN_POINT('Ctrl Pts',(0.180256669881373,0.286891695000677, 0.337540003429874)); #173238=CARTESIAN_POINT('Ctrl Pts',(0.179897923048286,0.286892574921773, 0.337584842179223)); #173239=CARTESIAN_POINT('Ctrl Pts',(0.179539161254152,0.286893348535795, 0.337629557158793)); #173240=CARTESIAN_POINT('',(-0.179585751485372,0.286898018645031,0.337897107537735)); #173241=CARTESIAN_POINT('Ctrl Pts',(0.179539161278502,0.286893348535664, 0.337629557151259)); #173242=CARTESIAN_POINT('Ctrl Pts',(0.105470076599212,0.287294042888662, 0.360585321260223)); #173243=CARTESIAN_POINT('Ctrl Pts',(-0.0175256475113566,0.287537590075402, 0.374538130243815)); #173244=CARTESIAN_POINT('Ctrl Pts',(-0.13752586158208,0.287126601859772, 0.350992631139674)); #173245=CARTESIAN_POINT('Ctrl Pts',(-0.179547855349102,0.286898000143934, 0.33789604761059)); #173246=CARTESIAN_POINT('Ctrl Pts',(-0.179547855431728,0.286898000149142, 0.337896047907374)); #173247=CARTESIAN_POINT('Ctrl Pts',(-0.17990659567893,0.286897216143167, 0.33785080798354)); #173248=CARTESIAN_POINT('Ctrl Pts',(-0.180265320955372,0.286896325726183, 0.337805441019731)); #173249=CARTESIAN_POINT('Ctrl Pts',(-0.180624029903387,0.286895327176957, 0.337759947429897)); #173250=CARTESIAN_POINT('Ctrl Pts',(-0.194101443267818,0.286857809683285, 0.336050662175072)); #173251=CARTESIAN_POINT('Ctrl Pts',(-0.207579792729044,0.28666939110008, 0.334158049164461)); #173252=CARTESIAN_POINT('Ctrl Pts',(-0.220969836255787,0.286226790484581, 0.332113437135137)); #173253=CARTESIAN_POINT('Ctrl Pts',(0.369562818413105,0.284527736045451, 0.202103708353717)); #173254=CARTESIAN_POINT('Ctrl Pts',(0.369893164975045,0.284718214586951, 0.213016216687768)); #173255=CARTESIAN_POINT('Ctrl Pts',(0.363157881713341,0.285161910749178, 0.238435552797426)); #173256=CARTESIAN_POINT('Ctrl Pts',(0.344747984321973,0.285490448672295, 0.257257477807088)); #173257=CARTESIAN_POINT('Ctrl Pts',(0.332613225101721,0.285630839099622, 0.265300440001963)); #173258=CARTESIAN_POINT('Ctrl Pts',(0.38111145682041,0.284521635549338, 0.201754211165483)); #173259=CARTESIAN_POINT('Ctrl Pts',(0.381855955973796,0.284754402322282, 0.214857340437276)); #173260=CARTESIAN_POINT('Ctrl Pts',(0.375150193897444,0.28526988484301, 0.245381725580365)); #173261=CARTESIAN_POINT('Ctrl Pts',(0.355149326908877,0.285650681979057, 0.2686540732667)); #173262=CARTESIAN_POINT('Ctrl Pts',(0.341708605016449,0.285870294782612, 0.279018846892721)); #173263=CARTESIAN_POINT('Ctrl Pts',(0.401285154001458,0.278500936953089, 0.201248598940589)); #173264=CARTESIAN_POINT('Ctrl Pts',(0.402744381269814,0.278424625348641, 0.218179995619859)); #173265=CARTESIAN_POINT('Ctrl Pts',(0.396076644680551,0.27760943256076, 0.257671505734289)); #173266=CARTESIAN_POINT('Ctrl Pts',(0.373263782634406,0.275425526539083, 0.288747169614873)); #173267=CARTESIAN_POINT('Ctrl Pts',(0.357507211114752,0.273745490299423, 0.303066515253566)); #173268=CARTESIAN_POINT('Ctrl Pts',(0.415780750009061,0.263711536979467, 0.20106793406434)); #173269=CARTESIAN_POINT('Ctrl Pts',(0.417428409944066,0.262873756162178, 0.220773332510278)); #173270=CARTESIAN_POINT('Ctrl Pts',(0.409325574936882,0.258885182794909, 0.266281120380655)); #173271=CARTESIAN_POINT('Ctrl Pts',(0.382565146904984,0.251450009339832, 0.299847467124526)); #173272=CARTESIAN_POINT('Ctrl Pts',(0.364596148025058,0.246523365042354, 0.314237059905505)); #173273=CARTESIAN_POINT('Ctrl Pts',(0.420380343469024,0.256367589654117, 0.201056882937804)); #173274=CARTESIAN_POINT('Ctrl Pts',(0.42196896890862,0.25518579781864,0.221645877849087)); #173275=CARTESIAN_POINT('Ctrl Pts',(0.412892927872564,0.249783746732239, 0.268913628083117)); #173276=CARTESIAN_POINT('Ctrl Pts',(0.384289759729193,0.240298290402458, 0.302235422208165)); #173277=CARTESIAN_POINT('Ctrl Pts',(0.365483313633782,0.234307385389339, 0.315788795118436)); #173278=CARTESIAN_POINT('',(0.369562818413105,0.284527736045451,0.202103708353717)); #173279=CARTESIAN_POINT('Origin',(0.369562818413105,0.224536874336067,0.203150852739954)); #173280=CARTESIAN_POINT('Ctrl Pts',(0.369562818413105,0.284527736045451, 0.202103708353717)); #173281=CARTESIAN_POINT('Ctrl Pts',(0.369893164975045,0.284718214586951, 0.213016216687768)); #173282=CARTESIAN_POINT('Ctrl Pts',(0.363157881713341,0.285161910749178, 0.238435552797426)); #173283=CARTESIAN_POINT('Ctrl Pts',(0.344747984321973,0.285490448672295, 0.257257477807088)); #173284=CARTESIAN_POINT('Ctrl Pts',(0.332613225101721,0.285630839099622, 0.265300440001963)); #173285=CARTESIAN_POINT('Ctrl Pts',(0.352134433821424,0.274478889392894, -0.37359433080466)); #173286=CARTESIAN_POINT('Ctrl Pts',(0.357944135187339,0.277828504817048, -0.181694991677077)); #173287=CARTESIAN_POINT('Ctrl Pts',(0.363753586504892,0.281178120373299, 0.0102043550183291)); #173288=CARTESIAN_POINT('Ctrl Pts',(0.369562818413105,0.284527736045451, 0.202103708353716)); #173289=CARTESIAN_POINT('Ctrl Pts',(0.372312802750252,0.274468229433353, -0.374205039477737)); #173290=CARTESIAN_POINT('Ctrl Pts',(0.378133762637436,0.277818199865646, -0.182306029750879)); #173291=CARTESIAN_POINT('Ctrl Pts',(0.38395337217853,0.281167117389551, 0.00959303789810071)); #173292=CARTESIAN_POINT('Ctrl Pts',(0.389772935625888,0.284517060177253, 0.201492088274306)); #173293=CARTESIAN_POINT('Ctrl Pts',(0.392158650117881,0.263491384145685, -0.374614265672222)); #173294=CARTESIAN_POINT('Ctrl Pts',(0.397989835888189,0.266828530093354, -0.182715324368345)); #173295=CARTESIAN_POINT('Ctrl Pts',(0.403819017056069,0.270165313894444, 0.00918368141520865)); #173296=CARTESIAN_POINT('Ctrl Pts',(0.409647958729109,0.273503466746601, 0.201082668899722)); #173297=CARTESIAN_POINT('Ctrl Pts',(0.40290131459482,0.246399453646751, -0.374641156220573)); #173298=CARTESIAN_POINT('Ctrl Pts',(0.408728661760414,0.249720996924914, -0.18274181709299)); #173299=CARTESIAN_POINT('Ctrl Pts',(0.414554961416678,0.253043757579536, 0.00915752960241609)); #173300=CARTESIAN_POINT('Ctrl Pts',(0.420380343469024,0.256367589654117, 0.201056882937803)); #173301=CARTESIAN_POINT('',(0.352134433821424,0.274478889392894,-0.37359433080466)); #173302=CARTESIAN_POINT('Origin',(0.352134433821424,0.21448802768351,-0.372547186418423)); #173303=CARTESIAN_POINT('Ctrl Pts',(0.352134433821424,0.274478889392894, -0.37359433080466)); #173304=CARTESIAN_POINT('Ctrl Pts',(0.357944135187339,0.277828504817048, -0.181694991677077)); #173305=CARTESIAN_POINT('Ctrl Pts',(0.363753586504892,0.281178120373299, 0.0102043550183291)); #173306=CARTESIAN_POINT('Ctrl Pts',(0.369562818413105,0.284527736045451, 0.202103708353716)); #173307=CARTESIAN_POINT('Ctrl Pts',(0.40290131459482,0.246399453646751, -0.374641156220573)); #173308=CARTESIAN_POINT('Ctrl Pts',(0.402592753211211,0.24622357602002, -0.38480233658485)); #173309=CARTESIAN_POINT('Ctrl Pts',(0.405603309653698,0.24019821506753, -0.405004513754855)); #173310=CARTESIAN_POINT('Ctrl Pts',(0.419543672734181,0.214763418719592, -0.430924615700827)); #173311=CARTESIAN_POINT('Ctrl Pts',(0.432034232524064,0.188947915312557, -0.438506749802098)); #173312=CARTESIAN_POINT('Ctrl Pts',(0.438819562687485,0.173103118945357, -0.43850674980215)); #173313=CARTESIAN_POINT('Ctrl Pts',(0.396762649179426,0.256166271074713, -0.374625790192944)); #173314=CARTESIAN_POINT('Ctrl Pts',(0.39641783590668,0.255906473059869, -0.386916971586877)); #173315=CARTESIAN_POINT('Ctrl Pts',(0.399722461812192,0.249345306395279, -0.411356545393721)); #173316=CARTESIAN_POINT('Ctrl Pts',(0.415490909586092,0.22154289603177, -0.443933574165974)); #173317=CARTESIAN_POINT('Ctrl Pts',(0.430097761357049,0.191906089019769, -0.455058781823796)); #173318=CARTESIAN_POINT('Ctrl Pts',(0.438256433878433,0.172861966278931, -0.45604908259325)); #173319=CARTESIAN_POINT('Ctrl Pts',(0.380969449618575,0.270040477266306, -0.374389830453794)); #173320=CARTESIAN_POINT('Ctrl Pts',(0.380611636235099,0.269667078300506, -0.390398784120633)); #173321=CARTESIAN_POINT('Ctrl Pts',(0.383988837301137,0.26191681465415, -0.422289460018665)); #173322=CARTESIAN_POINT('Ctrl Pts',(0.400031452412293,0.228676914344813, -0.466301293756393)); #173323=CARTESIAN_POINT('Ctrl Pts',(0.415400569359885,0.191592098163664, -0.483432504425494)); #173324=CARTESIAN_POINT('Ctrl Pts',(0.424083926246935,0.166792772248731, -0.485949870282706)); #173325=CARTESIAN_POINT('Ctrl Pts',(0.360782306219493,0.274474320838805, -0.373856063093122)); #173326=CARTESIAN_POINT('Ctrl Pts',(0.360459699988419,0.274107038989796, -0.392546265588038)); #173327=CARTESIAN_POINT('Ctrl Pts',(0.36329208504761,0.264590396464211, -0.429708956842491)); #173328=CARTESIAN_POINT('Ctrl Pts',(0.376672778934465,0.224228352727295, -0.478942488599793)); #173329=CARTESIAN_POINT('Ctrl Pts',(0.388996820729296,0.181682774542991, -0.495285136958215)); #173330=CARTESIAN_POINT('Ctrl Pts',(0.395799582836414,0.154680366128282, -0.496412779999981)); #173331=CARTESIAN_POINT('Ctrl Pts',(0.352134433821424,0.274478889392894, -0.37359433080466)); #173332=CARTESIAN_POINT('Ctrl Pts',(0.351826806793055,0.274137804656344, -0.393135062274423)); #173333=CARTESIAN_POINT('Ctrl Pts',(0.354423405487235,0.263797242306556, -0.431985402985971)); #173334=CARTESIAN_POINT('Ctrl Pts',(0.366670242047216,0.220409408623589, -0.481831752882071)); #173335=CARTESIAN_POINT('Ctrl Pts',(0.377694053426633,0.176458784805415, -0.4964127799999)); #173336=CARTESIAN_POINT('Ctrl Pts',(0.383697786344292,0.149497927498806, -0.49641278)); #173337=CARTESIAN_POINT('',(0.383697786344292,0.149497927498575,-0.49641278)); #173338=CARTESIAN_POINT('Origin',(0.383697786344292,0.149497927498585,-0.43641278)); #173339=CARTESIAN_POINT('Ctrl Pts',(0.383697786344292,0.149497927498806, -0.49641278)); #173340=CARTESIAN_POINT('Ctrl Pts',(0.377694053426633,0.176458784805415, -0.4964127799999)); #173341=CARTESIAN_POINT('Ctrl Pts',(0.366670242047216,0.220409408623589, -0.481831752882071)); #173342=CARTESIAN_POINT('Ctrl Pts',(0.354423405487235,0.263797242306556, -0.431985402985971)); #173343=CARTESIAN_POINT('Ctrl Pts',(0.351826806793055,0.274137804656344, -0.393135062274423)); #173344=CARTESIAN_POINT('Ctrl Pts',(0.352134433821424,0.274478889392894, -0.37359433080466)); #173345=CARTESIAN_POINT('Origin',(-0.0397126400703147,-0.0318221394355538, -0.43641278)); #173346=CARTESIAN_POINT('',(0.413890875599058,-0.111804677792279,-0.49641278)); #173347=CARTESIAN_POINT('Origin',(0.413890875599058,-0.111804677792279, -0.43641278)); #173348=CARTESIAN_POINT('Origin',(-0.0397126400703147,-0.0318221394355538, -0.49641278)); #173349=CARTESIAN_POINT('Origin',(0.30735864893458,-0.715978958077936,-0.43641278)); #173350=CARTESIAN_POINT('',(0.30735864893458,-0.715978958077936,-0.49641278)); #173351=CARTESIAN_POINT('',(0.366411119018842,-0.726391501831271,-0.43850674980215)); #173352=CARTESIAN_POINT('Ctrl Pts',(0.423209915289038,-1.0635,0.438404711999736)); #173353=CARTESIAN_POINT('Ctrl Pts',(0.42628680520605,-0.95857927522106, 0.428836047038727)); #173354=CARTESIAN_POINT('Ctrl Pts',(0.429363695123062,-0.853797648436197, 0.418606216208143)); #173355=CARTESIAN_POINT('Ctrl Pts',(0.433979029998581,-0.69682563351488, 0.402276688033827)); #173356=CARTESIAN_POINT('Ctrl Pts',(0.435517474957087,-0.644831444755482, 0.396626425226034)); #173357=CARTESIAN_POINT('Ctrl Pts',(0.4403106777322,-0.482880968212442, 0.378525996790493)); #173358=CARTESIAN_POINT('Ctrl Pts',(0.443565435548807,-0.373716601227457, 0.363170545254731)); #173359=CARTESIAN_POINT('Ctrl Pts',(0.450074951182022,-0.157560948339156, 0.326453330379322)); #173360=CARTESIAN_POINT('Ctrl Pts',(0.453329708998629,-0.0503357579156381, 0.305120032218828)); #173361=CARTESIAN_POINT('Ctrl Pts',(0.458461259179748,0.117103890827387, 0.266903514602253)); #173362=CARTESIAN_POINT('Ctrl Pts',(0.460338051544261,0.178003548898237, 0.251960587979636)); #173363=CARTESIAN_POINT('Ctrl Pts',(0.462214843908774,0.238533566305067, 0.236066625881135)); #173364=CARTESIAN_POINT('Ctrl Pts',(0.342651389505626,-1.0635,0.441980760827883)); #173365=CARTESIAN_POINT('Ctrl Pts',(0.346712677169426,-0.959528556099509, 0.437405686916994)); #173366=CARTESIAN_POINT('Ctrl Pts',(0.350773964833228,-0.855627686526229, 0.432182323892313)); #173367=CARTESIAN_POINT('Ctrl Pts',(0.356865896328928,-0.699898256074752, 0.423376189704157)); #173368=CARTESIAN_POINT('Ctrl Pts',(0.358896540160828,-0.648183215741656, 0.420253959492891)); #173369=CARTESIAN_POINT('Ctrl Pts',(0.365223245316261,-0.487090676284364, 0.410029842014374)); #173370=CARTESIAN_POINT('Ctrl Pts',(0.369519306639794,-0.378172773631744, 0.400071227428177)); #173371=CARTESIAN_POINT('Ctrl Pts',(0.37811142928686,-0.161741724488292, 0.374196468921642)); #173372=CARTESIAN_POINT('Ctrl Pts',(0.382407490610393,-0.0540937232876462, 0.358296654130067)); #173373=CARTESIAN_POINT('Ctrl Pts',(0.389180791576256,0.114482610889774, 0.328631924222215)); #173374=CARTESIAN_POINT('Ctrl Pts',(0.391658031218587,0.175893485125734, 0.316812948877362)); #173375=CARTESIAN_POINT('Ctrl Pts',(0.394135270860917,0.237033595411927, 0.304035226053401)); #173376=CARTESIAN_POINT('Ctrl Pts',(0.181443682385331,-1.0635,0.44709063943775)); #173377=CARTESIAN_POINT('Ctrl Pts',(0.184862577755413,-0.961010335806193, 0.450350004991156)); #173378=CARTESIAN_POINT('Ctrl Pts',(0.188281473125493,-0.858492147597937, 0.452979824528391)); #173379=CARTESIAN_POINT('Ctrl Pts',(0.193409816180616,-0.704701681077489, 0.455976861622969)); #173380=CARTESIAN_POINT('Ctrl Pts',(0.195119263865656,-0.653427717806853, 0.456819875162083)); #173381=CARTESIAN_POINT('Ctrl Pts',(0.200445245365706,-0.493674743978599, 0.458952690129644)); #173382=CARTESIAN_POINT('Ctrl Pts',(0.204061779180714,-0.385145399624315, 0.457459723462327)); #173383=CARTESIAN_POINT('Ctrl Pts',(0.211294846810732,-0.168291345271561, 0.448592411822209)); #173384=CARTESIAN_POINT('Ctrl Pts',(0.21491138062574,-0.0599781786424292, 0.44121645941634)); #173385=CARTESIAN_POINT('Ctrl Pts',(0.220613317850913,0.110375087396333, 0.424965763286813)); #173386=CARTESIAN_POINT('Ctrl Pts',(0.222698721261077,0.172588275840028, 0.418047313044762)); #173387=CARTESIAN_POINT('Ctrl Pts',(0.224784124671241,0.234683442353232, 0.410157578301657)); #173388=CARTESIAN_POINT('Ctrl Pts',(-0.0201631078654876,-1.0635,0.448368290211361)); #173389=CARTESIAN_POINT('Ctrl Pts',(-0.0206277780488517,-0.961402665240904, 0.453697461625038)); #173390=CARTESIAN_POINT('Ctrl Pts',(-0.0210924482322159,-0.859246493441227, 0.458402710683638)); #173391=CARTESIAN_POINT('Ctrl Pts',(-0.0217894535072619,-0.70596917923866, 0.464518071487744)); #173392=CARTESIAN_POINT('Ctrl Pts',(-0.0220217885989439,-0.654810449093613, 0.466409051630865)); #173393=CARTESIAN_POINT('Ctrl Pts',(-0.0227456553840697,-0.495413331475537, 0.471806241672026)); #173394=CARTESIAN_POINT('Ctrl Pts',(-0.0232371870775129,-0.386986579554317, 0.472550170621269)); #173395=CARTESIAN_POINT('Ctrl Pts',(-0.0242202504644005,-0.170019105973782, 0.468176761451857)); #173396=CARTESIAN_POINT('Ctrl Pts',(-0.0247117821578435,-0.0615325165858491, 0.46305255257052)); #173397=CARTESIAN_POINT('Ctrl Pts',(-0.0254867459777775,0.109291069657124, 0.450346149737334)); #173398=CARTESIAN_POINT('Ctrl Pts',(-0.0257701781042671,0.171715246367872, 0.444722304438567)); #173399=CARTESIAN_POINT('Ctrl Pts',(-0.0260536102307573,0.234062913876961, 0.438124051466149)); #173400=CARTESIAN_POINT('Ctrl Pts',(-0.221745609331846,-1.0635,0.445813140050603)); #173401=CARTESIAN_POINT('Ctrl Pts',(-0.2253268219488,-0.960636014498546, 0.447095620356923)); #173402=CARTESIAN_POINT('Ctrl Pts',(-0.228908034565754,-0.857769958955912, 0.447743587780669)); #173403=CARTESIAN_POINT('Ctrl Pts',(-0.234279853491185,-0.703489734980868, 0.447762291363225)); #173404=CARTESIAN_POINT('Ctrl Pts',(-0.236070459799662,-0.652104937988016, 0.447604607913636)); #173405=CARTESIAN_POINT('Ctrl Pts',(-0.241649300355167,-0.492013212249429, 0.446619435390485)); #173406=CARTESIAN_POINT('Ctrl Pts',(-0.245437534602196,-0.383385880145595, 0.442990177629623)); #173407=CARTESIAN_POINT('Ctrl Pts',(-0.253014003096253,-0.166639265119398, 0.429831062691365)); #173408=CARTESIAN_POINT('Ctrl Pts',(-0.256802237343282,-0.0584931919305492, 0.420304308679306)); #173409=CARTESIAN_POINT('Ctrl Pts',(-0.262774882692584,0.11141129564189, 0.400668631689614)); #173410=CARTESIAN_POINT('Ctrl Pts',(-0.264959293794857,0.173422340867291, 0.392513630297611)); #173411=CARTESIAN_POINT('Ctrl Pts',(-0.267143704897131,0.235276415472472, 0.383390440555282)); #173412=CARTESIAN_POINT('Ctrl Pts',(-0.342651389505628,-1.0635,0.441980760827881)); #173413=CARTESIAN_POINT('Ctrl Pts',(-0.346712677169429,-0.959528556099509, 0.437405686916992)); #173414=CARTESIAN_POINT('Ctrl Pts',(-0.35077396483323,-0.855627686526229, 0.432182323892311)); #173415=CARTESIAN_POINT('Ctrl Pts',(-0.356865896328931,-0.699898256074752, 0.423376189704155)); #173416=CARTESIAN_POINT('Ctrl Pts',(-0.358896540160831,-0.648183215741656, 0.420253959492889)); #173417=CARTESIAN_POINT('Ctrl Pts',(-0.365223245316265,-0.487090676284364, 0.410029842014371)); #173418=CARTESIAN_POINT('Ctrl Pts',(-0.369519306639798,-0.378172773631744, 0.400071227428174)); #173419=CARTESIAN_POINT('Ctrl Pts',(-0.378111429286865,-0.161741724488292, 0.374196468921638)); #173420=CARTESIAN_POINT('Ctrl Pts',(-0.382407490610397,-0.0540937232876461, 0.358296654130064)); #173421=CARTESIAN_POINT('Ctrl Pts',(-0.389180791576261,0.114482610889774, 0.328631924222211)); #173422=CARTESIAN_POINT('Ctrl Pts',(-0.391658031218592,0.175893485125734, 0.316812948877358)); #173423=CARTESIAN_POINT('Ctrl Pts',(-0.394135270860922,0.237033595411927, 0.304035226053397)); #173424=CARTESIAN_POINT('Ctrl Pts',(-0.42320991528904,-1.0635,0.438404711999734)); #173425=CARTESIAN_POINT('Ctrl Pts',(-0.426286805206052,-0.95857927522106, 0.428836047038725)); #173426=CARTESIAN_POINT('Ctrl Pts',(-0.429363695123065,-0.853797648436197, 0.418606216208142)); #173427=CARTESIAN_POINT('Ctrl Pts',(-0.433979029998584,-0.69682563351488, 0.402276688033824)); #173428=CARTESIAN_POINT('Ctrl Pts',(-0.43551747495709,-0.644831444755482, 0.396626425226032)); #173429=CARTESIAN_POINT('Ctrl Pts',(-0.440310677732203,-0.482880968212442, 0.37852599679049)); #173430=CARTESIAN_POINT('Ctrl Pts',(-0.443565435548811,-0.373716601227456, 0.363170545254727)); #173431=CARTESIAN_POINT('Ctrl Pts',(-0.450074951182025,-0.157560948339156, 0.326453330379318)); #173432=CARTESIAN_POINT('Ctrl Pts',(-0.453329708998632,-0.0503357579156379, 0.305120032218824)); #173433=CARTESIAN_POINT('Ctrl Pts',(-0.458461259179752,0.117103890827388, 0.266903514602248)); #173434=CARTESIAN_POINT('Ctrl Pts',(-0.460338051544265,0.178003548898237, 0.251960587979631)); #173435=CARTESIAN_POINT('Ctrl Pts',(-0.462214843908778,0.238533566305067, 0.23606662588113)); #173436=CARTESIAN_POINT('',(-0.402529132651536,-0.268083134212285,0.368731741658812)); #173437=CARTESIAN_POINT('Ctrl Pts',(-0.402529238282194,-0.268085105716182, 0.368731759164039)); #173438=CARTESIAN_POINT('Ctrl Pts',(-0.403382870708653,-0.26356912792371, 0.367747175157932)); #173439=CARTESIAN_POINT('Ctrl Pts',(-0.405823272688168,-0.250807124398557, 0.364915988617894)); #173440=CARTESIAN_POINT('Ctrl Pts',(-0.412386264930178,-0.217558408345309, 0.357170926609266)); #173441=CARTESIAN_POINT('Ctrl Pts',(-0.422643089619444,-0.169115601858459, 0.344603721847963)); #173442=CARTESIAN_POINT('Ctrl Pts',(-0.431930220979105,-0.129195109388856, 0.332539256807361)); #173443=CARTESIAN_POINT('Ctrl Pts',(-0.438535462253158,-0.10200253438866, 0.32366819261)); #173444=CARTESIAN_POINT('Ctrl Pts',(-0.440417108659226,-0.0943826187958457, 0.321110260498653)); #173445=CARTESIAN_POINT('Ctrl Pts',(-0.442323979004613,-0.0867832776838688, 0.318483799170607)); #173446=CARTESIAN_POINT('',(-0.353388842787505,-0.596424709460534,0.416504238426611)); #173447=CARTESIAN_POINT('Ctrl Pts',(-0.353388129823658,-0.596380956656582, 0.41650198531971)); #173448=CARTESIAN_POINT('Ctrl Pts',(-0.355641344352877,-0.578791239657177, 0.41493441530718)); #173449=CARTESIAN_POINT('Ctrl Pts',(-0.36059820080653,-0.539693860123121, 0.411186276310372)); #173450=CARTESIAN_POINT('Ctrl Pts',(-0.368528531389881,-0.479793188540576, 0.404379665110822)); #173451=CARTESIAN_POINT('Ctrl Pts',(-0.377485666486865,-0.416670105685613, 0.395847264451992)); #173452=CARTESIAN_POINT('Ctrl Pts',(-0.387232168533253,-0.354003293668244, 0.385818044832932)); #173453=CARTESIAN_POINT('Ctrl Pts',(-0.395752476436864,-0.304499718683806, 0.376481802494406)); #173454=CARTESIAN_POINT('Ctrl Pts',(-0.401046018843009,-0.27593179223032, 0.370442512050297)); #173455=CARTESIAN_POINT('Ctrl Pts',(-0.402529238282194,-0.268085105716182, 0.368731759164039)); #173456=CARTESIAN_POINT('',(-0.332991812028821,-0.752171161628652,0.42875026970222)); #173457=CARTESIAN_POINT('Ctrl Pts',(-0.332991511093822,-0.752162886020488, 0.428749813581635)); #173458=CARTESIAN_POINT('Ctrl Pts',(-0.336054401625338,-0.730193843027606, 0.427235487318378)); #173459=CARTESIAN_POINT('Ctrl Pts',(-0.342290011972506,-0.683395598902568, 0.423829847558911)); #173460=CARTESIAN_POINT('Ctrl Pts',(-0.34889946204002,-0.631462865335439, 0.419594512459179)); #173461=CARTESIAN_POINT('Ctrl Pts',(-0.352728778720626,-0.601528180391907, 0.41696069841757)); #173462=CARTESIAN_POINT('Ctrl Pts',(-0.353388129823658,-0.596380956656582, 0.41650198531971)); #173463=CARTESIAN_POINT('',(-0.302672264624203,-0.93496270560862,0.439401418141107)); #173464=CARTESIAN_POINT('Ctrl Pts',(-0.302672264624203,-0.934962705608621, 0.439401418141106)); #173465=CARTESIAN_POINT('Ctrl Pts',(-0.304491259665108,-0.925994642595831, 0.438984775425252)); #173466=CARTESIAN_POINT('Ctrl Pts',(-0.310009537206992,-0.897781876804464, 0.437617445326255)); #173467=CARTESIAN_POINT('Ctrl Pts',(-0.319897618946298,-0.841494301709089, 0.434561938483769)); #173468=CARTESIAN_POINT('Ctrl Pts',(-0.327080337884899,-0.793886152387354, 0.431573391335452)); #173469=CARTESIAN_POINT('Ctrl Pts',(-0.331729215195173,-0.761175350589459, 0.429367672088933)); #173470=CARTESIAN_POINT('Ctrl Pts',(-0.33237658562056,-0.756573531461617, 0.429053839397944)); #173471=CARTESIAN_POINT('Ctrl Pts',(-0.332991511093822,-0.752162886020488, 0.428749813581635)); #173472=CARTESIAN_POINT('',(-0.290116999245002,-1.06349941220404,0.443382485918122)); #173473=CARTESIAN_POINT('Ctrl Pts',(-0.290116999245227,-1.06349941220405, 0.443382485918194)); #173474=CARTESIAN_POINT('Ctrl Pts',(-0.289871839543971,-1.02059653204712, 0.442452172365701)); #173475=CARTESIAN_POINT('Ctrl Pts',(-0.29405616140973,-0.977442071860032, 0.441374945590404)); #173476=CARTESIAN_POINT('Ctrl Pts',(-0.302672264624203,-0.934962705608621, 0.439401418141107)); #173477=CARTESIAN_POINT('',(-0.290077638258187,-1.0635,0.443383695834832)); #173478=CARTESIAN_POINT('Ctrl Pts',(-0.290077637797181,-1.0635,0.443383677890516)); #173479=CARTESIAN_POINT('Ctrl Pts',(-0.290090790668302,-1.06350000000001, 0.443383277905484)); #173480=CARTESIAN_POINT('Ctrl Pts',(-0.290103911031654,-1.06349980311763, 0.443382874563659)); #173481=CARTESIAN_POINT('Ctrl Pts',(-0.290116998754753,-1.06349941221104, 0.443382467922227)); #173482=CARTESIAN_POINT('',(-0.273156322071332,-1.0635,0.443883259624145)); #173483=CARTESIAN_POINT('Origin',(0.,-1.0635,-9.09534846392466)); #173484=CARTESIAN_POINT('',(-0.234965939716977,-1.0635,0.44490034095566)); #173485=CARTESIAN_POINT('Origin',(0.,-1.0635,-9.09534846392466)); #173486=CARTESIAN_POINT('',(-0.229730594326133,-1.0635,0.445027844475235)); #173487=CARTESIAN_POINT('Origin',(0.,-1.0635,-9.09534846392466)); #173488=CARTESIAN_POINT('',(0.229730594326132,-1.0635,0.445027844475235)); #173489=CARTESIAN_POINT('Origin',(0.,-1.0635,-9.09534846392466)); #173490=CARTESIAN_POINT('',(0.234965939716981,-1.0635,0.444900340955659)); #173491=CARTESIAN_POINT('Origin',(0.,-1.0635,-9.09534846392466)); #173492=CARTESIAN_POINT('',(0.273156322071332,-1.0635,0.443883259624145)); #173493=CARTESIAN_POINT('Origin',(0.,-1.0635,-9.09534846392466)); #173494=CARTESIAN_POINT('',(0.290077638258184,-1.06349999999994,0.443383695834833)); #173495=CARTESIAN_POINT('Origin',(0.,-1.0635,-9.09534846392466)); #173496=CARTESIAN_POINT('',(0.290116999245002,-1.06349941220406,0.443382485918122)); #173497=CARTESIAN_POINT('Ctrl Pts',(0.290116998695534,-1.06349941221759, 0.44338247712835)); #173498=CARTESIAN_POINT('Ctrl Pts',(0.290103905054789,-1.06349980329673, 0.443382883933359)); #173499=CARTESIAN_POINT('Ctrl Pts',(0.290090784705575,-1.06349999999997, 0.443383287261099)); #173500=CARTESIAN_POINT('Ctrl Pts',(0.290077637740608,-1.06349999999997, 0.443383687067459)); #173501=CARTESIAN_POINT('',(0.302672264624204,-0.93496270560862,0.439401418141107)); #173502=CARTESIAN_POINT('Ctrl Pts',(0.302672264624204,-0.93496270560862, 0.439401418141107)); #173503=CARTESIAN_POINT('Ctrl Pts',(0.294056161409729,-0.97744207186004, 0.441374945590405)); #173504=CARTESIAN_POINT('Ctrl Pts',(0.28987183954397,-1.02059653204713, 0.442452172365701)); #173505=CARTESIAN_POINT('Ctrl Pts',(0.290116999245227,-1.06349941220408, 0.443382485918194)); #173506=CARTESIAN_POINT('',(0.33299181875095,-0.752171161450901,0.42875026846878)); #173507=CARTESIAN_POINT('Ctrl Pts',(0.332991637447061,-0.752172274609877, 0.428750281380093)); #173508=CARTESIAN_POINT('Ctrl Pts',(0.332376719405952,-0.756584321164799, 0.429054364384018)); #173509=CARTESIAN_POINT('Ctrl Pts',(0.33172796625284,-0.761194795088293, 0.429368814553578)); #173510=CARTESIAN_POINT('Ctrl Pts',(0.327078183751355,-0.79391077179802, 0.431574836679095)); #173511=CARTESIAN_POINT('Ctrl Pts',(0.319895070780797,-0.841511259488533, 0.434562880806509)); #173512=CARTESIAN_POINT('Ctrl Pts',(0.310008464521762,-0.897788402907501, 0.437617762505257)); #173513=CARTESIAN_POINT('Ctrl Pts',(0.304491259314277,-0.925994644325509, 0.438984775505611)); #173514=CARTESIAN_POINT('Ctrl Pts',(0.302672264624204,-0.93496270560862, 0.439401418141107)); #173515=CARTESIAN_POINT('',(0.353388846263349,-0.596424709329382,0.416504237483541)); #173516=CARTESIAN_POINT('Ctrl Pts',(0.353388507645029,-0.59640728903139, 0.416503330334837)); #173517=CARTESIAN_POINT('Ctrl Pts',(0.35272828750064,-0.601616073104646, 0.416965640477107)); #173518=CARTESIAN_POINT('Ctrl Pts',(0.348896723156198,-0.631509081334722, 0.419597700042367)); #173519=CARTESIAN_POINT('Ctrl Pts',(0.342287374222506,-0.68345504662674, 0.423833442913642)); #173520=CARTESIAN_POINT('Ctrl Pts',(0.336052849434329,-0.730208030760481, 0.427236482020649)); #173521=CARTESIAN_POINT('Ctrl Pts',(0.332991637447061,-0.752172274609877, 0.428750281380093)); #173522=CARTESIAN_POINT('',(0.402529121657681,-0.268083134774757,0.368731747177422)); #173523=CARTESIAN_POINT('Ctrl Pts',(0.402529196647097,-0.268082707564468, 0.36873164496322)); #173524=CARTESIAN_POINT('Ctrl Pts',(0.40104509070607,-0.275932338426112, 0.370443211910708)); #173525=CARTESIAN_POINT('Ctrl Pts',(0.395750596415733,-0.30450774046162, 0.376483755225108)); #173526=CARTESIAN_POINT('Ctrl Pts',(0.387229085185914,-0.354007136340191, 0.385820389901881)); #173527=CARTESIAN_POINT('Ctrl Pts',(0.377482354045313,-0.416686598437704, 0.395850220770397)); #173528=CARTESIAN_POINT('Ctrl Pts',(0.368524204124615,-0.479769896612774, 0.404380443873364)); #173529=CARTESIAN_POINT('Ctrl Pts',(0.360595695809691,-0.539708905049139, 0.411188001440391)); #173530=CARTESIAN_POINT('Ctrl Pts',(0.355638044555632,-0.57865964511029, 0.414928122825615)); #173531=CARTESIAN_POINT('Ctrl Pts',(0.353388507645029,-0.59640728903139, 0.416503330334837)); #173532=CARTESIAN_POINT('Ctrl Pts',(0.442323979004609,-0.0867832776838667, 0.31848379917061)); #173533=CARTESIAN_POINT('Ctrl Pts',(0.440417309409921,-0.0943818187555857, 0.321109983991159)); #173534=CARTESIAN_POINT('Ctrl Pts',(0.438535857995132,-0.102000872217297, 0.323667651903522)); #173535=CARTESIAN_POINT('Ctrl Pts',(0.431930227449999,-0.129194807909319, 0.332539256223825)); #173536=CARTESIAN_POINT('Ctrl Pts',(0.422642240069149,-0.16911843270585, 0.344604769437007)); #173537=CARTESIAN_POINT('Ctrl Pts',(0.412385357081456,-0.217561542148817, 0.357171977283312)); #173538=CARTESIAN_POINT('Ctrl Pts',(0.405822676965491,-0.250808140074266, 0.364916569999046)); #173539=CARTESIAN_POINT('Ctrl Pts',(0.403382854752351,-0.263567597946581, 0.367747151230652)); #173540=CARTESIAN_POINT('Ctrl Pts',(0.402529196647097,-0.268082707564468, 0.36873164496322)); #173541=CARTESIAN_POINT('Origin',(-0.488034099693016,-0.124878128636751, 0.000302401252951563)); #173542=CARTESIAN_POINT('',(-0.356435626007369,-0.947077988784148,0.377565285609373)); #173543=CARTESIAN_POINT('',(-0.343461463159759,-0.94479029382201,0.000302401252951563)); #173544=CARTESIAN_POINT('Ctrl Pts',(-0.495317979614033,-0.126162473191066, 0.212103161007913)); #173545=CARTESIAN_POINT('Ctrl Pts',(-0.49408105057166,-0.133607774645628, 0.214243025621394)); #173546=CARTESIAN_POINT('Ctrl Pts',(-0.492843261265939,-0.141055587530928, 0.216371118196361)); #173547=CARTESIAN_POINT('Ctrl Pts',(-0.488433758752995,-0.167578497952977, 0.223906542053519)); #173548=CARTESIAN_POINT('Ctrl Pts',(-0.48198896586991,-0.206309274745385, 0.234749568061167)); #173549=CARTESIAN_POINT('Ctrl Pts',(-0.474215641220366,-0.252946426922063, 0.247441903080005)); #173550=CARTESIAN_POINT('Ctrl Pts',(-0.468893860125967,-0.284838157720036, 0.255947146762704)); #173551=CARTESIAN_POINT('Ctrl Pts',(-0.466852781670335,-0.297063293633035, 0.259177393033094)); #173552=CARTESIAN_POINT('Ctrl Pts',(-0.464876459902798,-0.308898353234586, 0.262294149475063)); #173553=CARTESIAN_POINT('Ctrl Pts',(-0.460311241135003,-0.336227801882303, 0.269448806180298)); #173554=CARTESIAN_POINT('Ctrl Pts',(-0.452409165366682,-0.383474630900542, 0.281541903903278)); #173555=CARTESIAN_POINT('Ctrl Pts',(-0.442392371934264,-0.443240652825742, 0.296250771108114)); #173556=CARTESIAN_POINT('Ctrl Pts',(-0.432279525285421,-0.503426656214471, 0.310339235705692)); #173557=CARTESIAN_POINT('Ctrl Pts',(-0.42261848672935,-0.560742733643444, 0.322898143506757)); #173558=CARTESIAN_POINT('Ctrl Pts',(-0.416299068121734,-0.59810027791489, 0.330448293442609)); #173559=CARTESIAN_POINT('Ctrl Pts',(-0.41256087366772,-0.620156305894805, 0.334703245062119)); #173560=CARTESIAN_POINT('Ctrl Pts',(-0.407634590528615,-0.649212292406281, 0.340260891183144)); #173561=CARTESIAN_POINT('Ctrl Pts',(-0.399059899318147,-0.69967813077037, 0.349392376177454)); #173562=CARTESIAN_POINT('Ctrl Pts',(-0.391264480860022,-0.745341341873314, 0.356618587471923)); #173563=CARTESIAN_POINT('Ctrl Pts',(-0.38684477084253,-0.771157490740664, 0.360351681438603)); #173564=CARTESIAN_POINT('Ctrl Pts',(-0.386072913196144,-0.775663511344502, 0.360991153945329)); #173565=CARTESIAN_POINT('Ctrl Pts',(-0.380580232902001,-0.807709697286156, 0.36544488316489)); #173566=CARTESIAN_POINT('Ctrl Pts',(-0.372532507263468,-0.854489806218008, 0.371109651353276)); #173567=CARTESIAN_POINT('Ctrl Pts',(-0.362879842282642,-0.910149365130988, 0.375667445094759)); #173568=CARTESIAN_POINT('Ctrl Pts',(-0.357993965490493,-0.938161354723147, 0.377173185119901)); #173569=CARTESIAN_POINT('Ctrl Pts',(-0.356435626007369,-0.947077988784148, 0.377565285609373)); #173570=CARTESIAN_POINT('Origin',(0.205249375,0.149497927498345,-0.37141278)); #173571=CARTESIAN_POINT('',(-0.316429720527439,0.274478889392894,-0.37359433080466)); #173572=CARTESIAN_POINT('',(0.205249375,0.274478889392894,-0.37359433080466)); #173573=CARTESIAN_POINT('',(-0.317244304007418,0.149497927498345,-0.49641278)); #173574=CARTESIAN_POINT('Origin',(-0.316415251567296,0.149497927498345, -0.37141278)); #173575=CARTESIAN_POINT('',(0.205249375,0.149497927498345,-0.49641278)); #173576=CARTESIAN_POINT('Ctrl Pts',(-0.310999192930647,0.285340771808125, 0.248682496001735)); #173577=CARTESIAN_POINT('Ctrl Pts',(-0.310823931346842,0.285691321672988, 0.268765484309409)); #173578=CARTESIAN_POINT('Ctrl Pts',(-0.294183881710291,0.286508591972764, 0.315586868425556)); #173579=CARTESIAN_POINT('Ctrl Pts',(-0.24778095723547,0.286898018649729, 0.337897107806882)); #173580=CARTESIAN_POINT('Ctrl Pts',(-0.221002619854871,0.286898018649729, 0.337897107806882)); #173581=CARTESIAN_POINT('Ctrl Pts',(-0.302024425841201,0.285339405115812, 0.248604198251596)); #173582=CARTESIAN_POINT('Ctrl Pts',(-0.301889447315088,0.28565394305495, 0.266676594909242)); #173583=CARTESIAN_POINT('Ctrl Pts',(-0.28695933177706,0.286391115741072, 0.308877545475664)); #173584=CARTESIAN_POINT('Ctrl Pts',(-0.24512859848788,0.286743106798468, 0.329022213791984)); #173585=CARTESIAN_POINT('Ctrl Pts',(-0.221002619854871,0.286743106798468, 0.329022213791984)); #173586=CARTESIAN_POINT('Ctrl Pts',(-0.286483256664868,0.277808512849889, 0.248600024736349)); #173587=CARTESIAN_POINT('Ctrl Pts',(-0.286414612372455,0.278103535571648, 0.263218027790667)); #173588=CARTESIAN_POINT('Ctrl Pts',(-0.274439387678664,0.278791781410334, 0.29739629266762)); #173589=CARTESIAN_POINT('Ctrl Pts',(-0.240568914379226,0.2791208986472, 0.313775291893824)); #173590=CARTESIAN_POINT('Ctrl Pts',(-0.221002619854871,0.2791208986472, 0.313775291893824)); #173591=CARTESIAN_POINT('Ctrl Pts',(-0.281001360375059,0.262075647364589, 0.248826803140738)); #173592=CARTESIAN_POINT('Ctrl Pts',(-0.28091102332411,0.26240965799678, 0.262210771749108)); #173593=CARTESIAN_POINT('Ctrl Pts',(-0.269876752202545,0.263188372352016, 0.293479147796137)); #173594=CARTESIAN_POINT('Ctrl Pts',(-0.238882488900726,0.263559772227644, 0.30842068)); #173595=CARTESIAN_POINT('Ctrl Pts',(-0.221002619854871,0.263559772227644, 0.30842068)); #173596=CARTESIAN_POINT('Ctrl Pts',(-0.281000335238721,0.255345340953433, 0.248944272129902)); #173597=CARTESIAN_POINT('Ctrl Pts',(-0.280883494182852,0.255695890818296, 0.262332931001685)); #173598=CARTESIAN_POINT('Ctrl Pts',(-0.269790127758485,0.256513161118072, 0.293547187079116)); #173599=CARTESIAN_POINT('Ctrl Pts',(-0.23885484477527,0.256902587795037, 0.30842068)); #173600=CARTESIAN_POINT('Ctrl Pts',(-0.221002619854871,0.256902587795037, 0.30842068)); #173601=CARTESIAN_POINT('',(-0.221002619854871,0.256902587795037,0.30842068)); #173602=CARTESIAN_POINT('Origin',(-0.221002619854871,0.256902587795037, 0.33842068)); #173603=CARTESIAN_POINT('Origin',(-0.221002619854871,0.255331631951498, 0.24842068)); #173604=CARTESIAN_POINT('',(-0.310999192930647,0.285340771808125,0.248682496001735)); #173605=CARTESIAN_POINT('Origin',(-0.310999192930647,0.255345340953433, 0.249206068194854)); #173606=CARTESIAN_POINT('Origin',(-0.221002619854871,0.285327062806189, 0.247897107806881)); #173607=CARTESIAN_POINT('Origin',(0.41049875,0.281001322402778,7.56060708232537E-5)); #173608=CARTESIAN_POINT('',(-0.31371252282438,0.279913698704423,-0.062234313876678)); #173609=CARTESIAN_POINT('Origin',(0.41049875,0.281001322402778,7.56060708232537E-5)); #173610=CARTESIAN_POINT('',(0.205249375,0.286898018649729,0.337897107806881)); #173611=CARTESIAN_POINT('Ctrl Pts',(-0.286430862835514,0.244483458538202, -0.373332554676493)); #173612=CARTESIAN_POINT('Ctrl Pts',(-0.286614806648986,0.244115542891457, -0.394410427961874)); #173613=CARTESIAN_POINT('Ctrl Pts',(-0.287042327454058,0.22632015927539, -0.443399459917275)); #173614=CARTESIAN_POINT('Ctrl Pts',(-0.287245446315493,0.17760603954713, -0.466674576064951)); #173615=CARTESIAN_POINT('Ctrl Pts',(-0.287245446315493,0.149497927498345, -0.466674576064951)); #173616=CARTESIAN_POINT('Ctrl Pts',(-0.286432229683964,0.253457200419743, -0.373489179995379)); #173617=CARTESIAN_POINT('Ctrl Pts',(-0.286634079550135,0.253043076266636, -0.396566652927539)); #173618=CARTESIAN_POINT('Ctrl Pts',(-0.28710153382441,0.233541465459489, -0.450158141983023)); #173619=CARTESIAN_POINT('Ctrl Pts',(-0.28732334035274,0.180258343454609, -0.475600348646139)); #173620=CARTESIAN_POINT('Ctrl Pts',(-0.28732334035274,0.149497927498345, -0.475600348646139)); #173621=CARTESIAN_POINT('Ctrl Pts',(-0.293964837422649,0.268995568398584, -0.373694667145473)); #173622=CARTESIAN_POINT('Ctrl Pts',(-0.294176390755208,0.268503493149675, -0.400207097010793)); #173623=CARTESIAN_POINT('Ctrl Pts',(-0.29466819387251,0.246050483277435, -0.461783981596607)); #173624=CARTESIAN_POINT('Ctrl Pts',(-0.294901595009779,0.184816092256518, -0.490994266745052)); #173625=CARTESIAN_POINT('Ctrl Pts',(-0.294901595009779,0.149497927498345, -0.490994266745052)); #173626=CARTESIAN_POINT('Ctrl Pts',(-0.309698645210355,0.274477864373659, -0.373653054117265)); #173627=CARTESIAN_POINT('Ctrl Pts',(-0.309890812754323,0.273980521559924, -0.401391976722222)); #173628=CARTESIAN_POINT('Ctrl Pts',(-0.310337544970657,0.250536427500688, -0.465823003883113)); #173629=CARTESIAN_POINT('Ctrl Pts',(-0.310549719662269,0.186472050999815, -0.49641278)); #173630=CARTESIAN_POINT('Ctrl Pts',(-0.310549719662269,0.149497927498345, -0.49641278)); #173631=CARTESIAN_POINT('Ctrl Pts',(-0.316429720527439,0.274478889392894, -0.37359433080466)); #173632=CARTESIAN_POINT('Ctrl Pts',(-0.316613664340911,0.273994789857703, -0.401328374601215)); #173633=CARTESIAN_POINT('Ctrl Pts',(-0.317041185145983,0.250579811415509, -0.46578762717411)); #173634=CARTESIAN_POINT('Ctrl Pts',(-0.317244304007418,0.186482285457272, -0.49641278)); #173635=CARTESIAN_POINT('Ctrl Pts',(-0.317244304007418,0.149497927498345, -0.49641278)); #173636=CARTESIAN_POINT('Origin',(-0.316429720527439,0.244483458538202, -0.373070758611542)); #173637=CARTESIAN_POINT('Origin',(-0.317244304007418,0.149497927498345, -0.46641278)); #173638=CARTESIAN_POINT('Origin',(-0.317244304007418,-0.216499649640049, -0.46641278)); #173639=CARTESIAN_POINT('',(-0.317244304007418,-0.263193818011382,-0.49641278)); #173640=CARTESIAN_POINT('Origin',(-0.317244304007418,-0.263193818011382, -0.46641278)); #173641=CARTESIAN_POINT('',(-0.317244304007418,-0.216499649640049,-0.49641278)); #173642=CARTESIAN_POINT('Ctrl Pts',(-0.227254584388627,-0.353193818533399, -0.49641278)); #173643=CARTESIAN_POINT('Ctrl Pts',(-0.247449347751635,-0.353193818533399, -0.49641278)); #173644=CARTESIAN_POINT('Ctrl Pts',(-0.294668108987569,-0.336768606785953, -0.49641278)); #173645=CARTESIAN_POINT('Ctrl Pts',(-0.317244304007418,-0.290123245371338, -0.49641278)); #173646=CARTESIAN_POINT('Ctrl Pts',(-0.317244304007418,-0.263193818011382, -0.49641278)); #173647=CARTESIAN_POINT('Ctrl Pts',(-0.227254584388627,-0.344317572623256, -0.49641278)); #173648=CARTESIAN_POINT('Ctrl Pts',(-0.245449235544047,-0.344328991595695, -0.496412333077277)); #173649=CARTESIAN_POINT('Ctrl Pts',(-0.288033959327334,-0.329525741544631, -0.496412569150197)); #173650=CARTESIAN_POINT('Ctrl Pts',(-0.30834865846725,-0.287441808770992, -0.49641156943456)); #173651=CARTESIAN_POINT('Ctrl Pts',(-0.308318191547219,-0.263193818011382, -0.49641278)); #173652=CARTESIAN_POINT('Ctrl Pts',(-0.227254584388627,-0.328941547791589, -0.489056467148545)); #173653=CARTESIAN_POINT('Ctrl Pts',(-0.242010831950734,-0.328971220635536, -0.489076235595377)); #173654=CARTESIAN_POINT('Ctrl Pts',(-0.27655401720561,-0.316977032896367, -0.489090101684153)); #173655=CARTESIAN_POINT('Ctrl Pts',(-0.292938437190287,-0.282833998022701, -0.489021690545846)); #173656=CARTESIAN_POINT('Ctrl Pts',(-0.292859368842577,-0.263193818011382, -0.488968609742598)); #173657=CARTESIAN_POINT('Ctrl Pts',(-0.227254584388627,-0.323314571567153, -0.473592522704292)); #173658=CARTESIAN_POINT('Ctrl Pts',(-0.240739118541,-0.323328602194807, -0.47364274302623)); #173659=CARTESIAN_POINT('Ctrl Pts',(-0.272305252662663,-0.312359746053499, -0.473678290778222)); #173660=CARTESIAN_POINT('Ctrl Pts',(-0.287340317115535,-0.281159850361712, -0.473503162610124)); #173661=CARTESIAN_POINT('Ctrl Pts',(-0.287303866843428,-0.263193818011382, -0.473368905500842)); #173662=CARTESIAN_POINT('Ctrl Pts',(-0.227254584388627,-0.323198387678707, -0.466936352193119)); #173663=CARTESIAN_POINT('Ctrl Pts',(-0.240718272434551,-0.323199412697941, -0.466995075505723)); #173664=CARTESIAN_POINT('Ctrl Pts',(-0.27219781114517,-0.31225000069517, -0.467036849179754)); #173665=CARTESIAN_POINT('Ctrl Pts',(-0.287246813163944,-0.281149503489797, -0.466831201383837)); #173666=CARTESIAN_POINT('Ctrl Pts',(-0.287245446315493,-0.263193818011382, -0.466674576064951)); #173667=CARTESIAN_POINT('',(-0.227254584388627,-0.353193818533399,-0.49641278)); #173668=CARTESIAN_POINT('Origin',(-0.227254584388627,-0.353193818533399, -0.46641278)); #173669=CARTESIAN_POINT('Origin',(-0.227240876952702,-0.263180109009447, -0.49641278)); #173670=CARTESIAN_POINT('Origin',(3.32501522146161E-17,-0.353193818533399, -0.46641278)); #173671=CARTESIAN_POINT('',(0.227254584388627,-0.353193818533399,-0.49641278)); #173672=CARTESIAN_POINT('Origin',(0.227254584388627,-0.353193818533399, -0.46641278)); #173673=CARTESIAN_POINT('',(3.32501522146161E-17,-0.353193818533399,-0.49641278)); #173674=CARTESIAN_POINT('Ctrl Pts',(0.317244304007418,-0.263193818011382, -0.49641278)); #173675=CARTESIAN_POINT('Ctrl Pts',(0.317244304007418,-0.283390888531349, -0.49641278)); #173676=CARTESIAN_POINT('Ctrl Pts',(0.300820968548988,-0.330615044289198, -0.49641278)); #173677=CARTESIAN_POINT('Ctrl Pts',(0.254180935539305,-0.353193818533399, -0.49641278)); #173678=CARTESIAN_POINT('Ctrl Pts',(0.227254584388627,-0.353193818533399, -0.49641278)); #173679=CARTESIAN_POINT('Ctrl Pts',(0.308318191547219,-0.263193818011382, -0.49641278)); #173680=CARTESIAN_POINT('Ctrl Pts',(0.308341041737242,-0.28137981108109, -0.49641187207592)); #173681=CARTESIAN_POINT('Ctrl Pts',(0.293585420988003,-0.323966387212966, -0.496412309935087)); #173682=CARTESIAN_POINT('Ctrl Pts',(0.25151411926252,-0.344332797919842, -0.496412184103036)); #173683=CARTESIAN_POINT('Ctrl Pts',(0.227254584388627,-0.344317572623256, -0.49641278)); #173684=CARTESIAN_POINT('Ctrl Pts',(0.292859368842577,-0.263193818011382, -0.488968609742598)); #173685=CARTESIAN_POINT('Ctrl Pts',(0.29291867010336,-0.277923953019871, -0.489008420345034)); #173686=CARTESIAN_POINT('Ctrl Pts',(0.281048042484126,-0.31246291736058, -0.489084238275055)); #173687=CARTESIAN_POINT('Ctrl Pts',(0.246929581138104,-0.328981111583518, -0.489082825077655)); #173688=CARTESIAN_POINT('Ctrl Pts',(0.227254584388627,-0.328941547791589, -0.489056467148545)); #173689=CARTESIAN_POINT('Ctrl Pts',(0.287303866843428,-0.263193818011382, -0.473368905500842)); #173690=CARTESIAN_POINT('Ctrl Pts',(0.287331204547508,-0.276668342274129, -0.473469598332804)); #173691=CARTESIAN_POINT('Ctrl Pts',(0.276418809450526,-0.30823675401901, -0.473663129552495)); #173692=CARTESIAN_POINT('Ctrl Pts',(0.245233963258457,-0.323333279070692, -0.473659483133543)); #173693=CARTESIAN_POINT('Ctrl Pts',(0.227254584388627,-0.323314571567153, -0.473592522704292)); #173694=CARTESIAN_POINT('Ctrl Pts',(0.287245446315493,-0.263193818011382, -0.466674576064951)); #173695=CARTESIAN_POINT('Ctrl Pts',(0.287246471451831,-0.276660582120193, -0.466792045054116)); #173696=CARTESIAN_POINT('Ctrl Pts',(0.276299561322503,-0.308147313279646, -0.46701895078247)); #173697=CARTESIAN_POINT('Ctrl Pts',(0.245206168449859,-0.323199754371019, -0.467014649943258)); #173698=CARTESIAN_POINT('Ctrl Pts',(0.227254584388627,-0.323198387678707, -0.466936352193119)); #173699=CARTESIAN_POINT('',(0.317244304007418,-0.263193818011382,-0.49641278)); #173700=CARTESIAN_POINT('Origin',(0.317244304007418,-0.263193818011382, -0.46641278)); #173701=CARTESIAN_POINT('Origin',(0.227240876952702,-0.263180109009447, -0.49641278)); #173702=CARTESIAN_POINT('Origin',(0.317244304007418,-0.216499649640049, -0.46641278)); #173703=CARTESIAN_POINT('',(0.317244304007418,0.149497927498345,-0.49641278)); #173704=CARTESIAN_POINT('Origin',(0.317244304007418,0.149497927498345,-0.46641278)); #173705=CARTESIAN_POINT('',(0.317244304007418,-0.216499649640049,-0.49641278)); #173706=CARTESIAN_POINT('Ctrl Pts',(0.287245446315493,0.149497927498345, -0.466674576064951)); #173707=CARTESIAN_POINT('Ctrl Pts',(0.287245446315493,0.170579011534933, -0.466674576064951)); #173708=CARTESIAN_POINT('Ctrl Pts',(0.287097633274872,0.219871154491058, -0.449736879268657)); #173709=CARTESIAN_POINT('Ctrl Pts',(0.286676121253476,0.243992904342542, -0.401436385723668)); #173710=CARTESIAN_POINT('Ctrl Pts',(0.286430862835514,0.244483458538202, -0.373332554676493)); #173711=CARTESIAN_POINT('Ctrl Pts',(0.28732334035274,0.149497927498345, -0.475600348646139)); #173712=CARTESIAN_POINT('Ctrl Pts',(0.28732334035274,0.172568239465543, -0.475600348646139)); #173713=CARTESIAN_POINT('Ctrl Pts',(0.287161710553395,0.226488128901181, -0.457082371891954)); #173714=CARTESIAN_POINT('Ctrl Pts',(0.286701362838859,0.252905034882266, -0.404259143904926)); #173715=CARTESIAN_POINT('Ctrl Pts',(0.286432229683964,0.253457200419743, -0.373489179995379)); #173716=CARTESIAN_POINT('Ctrl Pts',(0.294901595009779,0.149497927498345, -0.490994266745052)); #173717=CARTESIAN_POINT('Ctrl Pts',(0.294901595009779,0.175986551066975, -0.490994266745052)); #173718=CARTESIAN_POINT('Ctrl Pts',(0.294731817836708,0.23793520697215, -0.469739848714652)); #173719=CARTESIAN_POINT('Ctrl Pts',(0.294246908532727,0.268339468066705, -0.409044573632567)); #173720=CARTESIAN_POINT('Ctrl Pts',(0.293964837422649,0.268995568398584, -0.373694667145473)); #173721=CARTESIAN_POINT('Ctrl Pts',(0.310549719662269,0.149497927498345, -0.49641278)); #173722=CARTESIAN_POINT('Ctrl Pts',(0.310549719662269,0.177228520124447, -0.49641278)); #173723=CARTESIAN_POINT('Ctrl Pts',(0.31039534771877,0.242054106903677, -0.474150190497356)); #173724=CARTESIAN_POINT('Ctrl Pts',(0.309954868602312,0.273814740622013, -0.410638284257208)); #173725=CARTESIAN_POINT('Ctrl Pts',(0.309698645210355,0.274477864373659, -0.373653054117265)); #173726=CARTESIAN_POINT('Ctrl Pts',(0.317244304007418,0.149497927498345, -0.49641278)); #173727=CARTESIAN_POINT('Ctrl Pts',(0.317244304007418,0.17723619596754, -0.49641278)); #173728=CARTESIAN_POINT('Ctrl Pts',(0.317096490966797,0.242094278804547, -0.474126336846982)); #173729=CARTESIAN_POINT('Ctrl Pts',(0.316674978945401,0.273833423345972, -0.410573055866733)); #173730=CARTESIAN_POINT('Ctrl Pts',(0.316429720527439,0.274478889392894, -0.37359433080466)); #173731=CARTESIAN_POINT('',(0.316429720527439,0.274478889392894,-0.37359433080466)); #173732=CARTESIAN_POINT('Origin',(0.316429720527439,0.244483458538202,-0.373070758611542)); #173733=CARTESIAN_POINT('Origin',(0.316415251567296,0.149497927498345,-0.37141278)); #173734=CARTESIAN_POINT('Origin',(0.313743773777547,0.249855761183,-0.0652917462222184)); #173735=CARTESIAN_POINT('',(0.310999192930647,0.285340771808125,0.248682496001735)); #173736=CARTESIAN_POINT('Origin',(0.310999192930647,0.255345340953433,0.249206068194854)); #173737=CARTESIAN_POINT('',(0.313743773777547,0.279851192037692,-0.0658153184153369)); #173738=CARTESIAN_POINT('Ctrl Pts',(0.221002619854871,0.286898018649729, 0.337897107806882)); #173739=CARTESIAN_POINT('Ctrl Pts',(0.24108637289032,0.286898018649729, 0.337897107806882)); #173740=CARTESIAN_POINT('Ctrl Pts',(0.288051184175478,0.286614708500763, 0.321666280243005)); #173741=CARTESIAN_POINT('Ctrl Pts',(0.310765510818907,0.285808171627942, 0.2754598137453)); #173742=CARTESIAN_POINT('Ctrl Pts',(0.310999192930647,0.285340771808125, 0.248682496001735)); #173743=CARTESIAN_POINT('Ctrl Pts',(0.221002619854871,0.286743106798468, 0.329022213791984)); #173744=CARTESIAN_POINT('Ctrl Pts',(0.239097103829628,0.286743106798468, 0.329022213791984)); #173745=CARTESIAN_POINT('Ctrl Pts',(0.281433492239249,0.286487451758879, 0.314369717652085)); #173746=CARTESIAN_POINT('Ctrl Pts',(0.301844454473051,0.285758789034663, 0.272700727128458)); #173747=CARTESIAN_POINT('Ctrl Pts',(0.302024425841201,0.285339405115812, 0.248604198251596)); #173748=CARTESIAN_POINT('Ctrl Pts',(0.221002619854871,0.2791208986472,0.313775291893824)); #173749=CARTESIAN_POINT('Ctrl Pts',(0.235677340748137,0.2791208986472,0.313775291893824)); #173750=CARTESIAN_POINT('Ctrl Pts',(0.269979065131587,0.278881308439831, 0.301856319888548)); #173751=CARTESIAN_POINT('Ctrl Pts',(0.28639173094165,0.278201876478901, 0.268090695475439)); #173752=CARTESIAN_POINT('Ctrl Pts',(0.286483256664868,0.27780851284989, 0.248600024736349)); #173753=CARTESIAN_POINT('Ctrl Pts',(0.221002619854871,0.263559772227644, 0.30842068)); #173754=CARTESIAN_POINT('Ctrl Pts',(0.234412521639262,0.263559772227644, 0.30842068)); #173755=CARTESIAN_POINT('Ctrl Pts',(0.265785183957315,0.263289512909906, 0.297552123855872)); #173756=CARTESIAN_POINT('Ctrl Pts',(0.280880910973794,0.262520994874178, 0.266672094618564)); #173757=CARTESIAN_POINT('Ctrl Pts',(0.281001360375059,0.262075647364589, 0.248826803140738)); #173758=CARTESIAN_POINT('Ctrl Pts',(0.221002619854871,0.256902587795037, 0.30842068)); #173759=CARTESIAN_POINT('Ctrl Pts',(0.234391788545171,0.256902587795037, 0.30842068)); #173760=CARTESIAN_POINT('Ctrl Pts',(0.265701662735276,0.256619277646071, 0.297600128290749)); #173761=CARTESIAN_POINT('Ctrl Pts',(0.280844547164229,0.25581274077325, 0.266795817292279)); #173762=CARTESIAN_POINT('Ctrl Pts',(0.281000335238722,0.255345340953433, 0.248944272129902)); #173763=CARTESIAN_POINT('Origin',(0.221002619854871,0.285327062806189,0.247897107806881)); #173764=CARTESIAN_POINT('',(0.221002619854871,0.256902587795037,0.30842068)); #173765=CARTESIAN_POINT('Origin',(0.221002619854871,0.255331631951498,0.24842068)); #173766=CARTESIAN_POINT('Origin',(0.221002619854871,0.256902587795037,0.33842068)); #173767=CARTESIAN_POINT('Origin',(0.205249375,0.256902587795037,0.33842068)); #173768=CARTESIAN_POINT('',(0.205249375,0.256902587795037,0.30842068)); #173769=CARTESIAN_POINT('Origin',(-0.31371252282438,0.249918267849731,-0.0617107416835595)); #173770=CARTESIAN_POINT('Origin',(0.41049875,0.281001322402778,7.56060708232537E-5)); #173771=CARTESIAN_POINT('',(0.205249375,0.274478889392894,-0.37359433080466)); #173772=CARTESIAN_POINT('Origin',(0.221002619854871,0.148001760359952,0.24842068)); #173773=CARTESIAN_POINT('',(0.221002619854871,0.148001760359952,0.30842068)); #173774=CARTESIAN_POINT('Origin',(0.,-0.26008958105547,0.182763622274239)); #173775=CARTESIAN_POINT('Origin',(0.,-0.264959639216444,0.00462488770588322)); #173776=CARTESIAN_POINT('Origin',(-0.221002619854871,0.148001760359952, 0.24842068)); #173777=CARTESIAN_POINT('',(-0.221002619854871,0.148001760359952,0.30842068)); #173778=CARTESIAN_POINT('Ctrl Pts',(0.290077409866724,-1.06349205473183, 0.44338370277702)); #173779=CARTESIAN_POINT('Ctrl Pts',(0.292525830043761,-1.1486861806405, 0.443309316110046)); #173780=CARTESIAN_POINT('Ctrl Pts',(0.332395614467895,-1.31787231580219, 0.439776970713025)); #173781=CARTESIAN_POINT('Ctrl Pts',(0.512565162738905,-1.5629615756427, 0.422808101224569)); #173782=CARTESIAN_POINT('Ctrl Pts',(0.713967700224725,-1.65598160747022, 0.403446886799427)); #173783=CARTESIAN_POINT('Ctrl Pts',(0.840132561549743,-1.67253184367925, 0.391211172964572)); #173784=CARTESIAN_POINT('Ctrl Pts',(0.308065249449041,-1.06297461905369, 0.442836683536401)); #173785=CARTESIAN_POINT('Ctrl Pts',(0.310444927728426,-1.14572879709839, 0.442764442208488)); #173786=CARTESIAN_POINT('Ctrl Pts',(0.349254013650618,-1.31009953135686, 0.439320966990515)); #173787=CARTESIAN_POINT('Ctrl Pts',(0.524512467123456,-1.54795948011088, 0.42284660427131)); #173788=CARTESIAN_POINT('Ctrl Pts',(0.720243564776595,-1.63795024351696, 0.404037956251554)); #173789=CARTESIAN_POINT('Ctrl Pts',(0.842686555351322,-1.65378494501584, 0.392188530959085)); #173790=CARTESIAN_POINT('Ctrl Pts',(0.338747423450525,-1.06207878543756, 0.426746327098619)); #173791=CARTESIAN_POINT('Ctrl Pts',(0.341004765868579,-1.14050258138898, 0.426675323042675)); #173792=CARTESIAN_POINT('Ctrl Pts',(0.377873287864019,-1.29621524059963, 0.423306780414564)); #173793=CARTESIAN_POINT('Ctrl Pts',(0.544342234182515,-1.52123508687503, 0.40712168484892)); #173794=CARTESIAN_POINT('Ctrl Pts',(0.729809080646074,-1.60574271388272, 0.388707676632616)); #173795=CARTESIAN_POINT('Ctrl Pts',(0.845611173289684,-1.62032947886689, 0.377092407175936)); #173796=CARTESIAN_POINT('Ctrl Pts',(0.348663654629834,-1.0617659165136, 0.394811921408188)); #173797=CARTESIAN_POINT('Ctrl Pts',(0.350868236565371,-1.13842703118976, 0.394738848353327)); #173798=CARTESIAN_POINT('Ctrl Pts',(0.386824535542684,-1.29068651287807, 0.391270933969999)); #173799=CARTESIAN_POINT('Ctrl Pts',(0.54919252881258,-1.51099256888252, 0.37460539385131)); #173800=CARTESIAN_POINT('Ctrl Pts',(0.730478003895181,-1.59427555392195, 0.355608792652185)); #173801=CARTESIAN_POINT('Ctrl Pts',(0.843862364898719,-1.60890561767261, 0.343607988134738)); #173802=CARTESIAN_POINT('Ctrl Pts',(0.348192273356797,-1.06176768108642, 0.38131745766274)); #173803=CARTESIAN_POINT('Ctrl Pts',(0.350392176964382,-1.13832313678903, 0.381243538682413)); #173804=CARTESIAN_POINT('Ctrl Pts',(0.386206839660481,-1.29035479681143, 0.377733401980107)); #173805=CARTESIAN_POINT('Ctrl Pts',(0.548065931942171,-1.51063750131835, 0.360871219805532)); #173806=CARTESIAN_POINT('Ctrl Pts',(0.729048719490695,-1.59430599935158, 0.341631733935253)); #173807=CARTESIAN_POINT('Ctrl Pts',(0.842449916780606,-1.60922277485728, 0.329472948940198)); #173808=CARTESIAN_POINT('',(0.840132561553193,-1.67253184368175,0.391211172964572)); #173809=CARTESIAN_POINT('Ctrl Pts',(0.290077638258964,-1.06349999999963, 0.443383695834758)); #173810=CARTESIAN_POINT('Ctrl Pts',(0.292527069487896,-1.14869144010971, 0.443309206298809)); #173811=CARTESIAN_POINT('Ctrl Pts',(0.332397214729828,-1.31787449267956, 0.439776819995903)); #173812=CARTESIAN_POINT('Ctrl Pts',(0.512565162738905,-1.5629615756427, 0.422808101224569)); #173813=CARTESIAN_POINT('Ctrl Pts',(0.713967700224725,-1.65598160747022, 0.403446886799427)); #173814=CARTESIAN_POINT('Ctrl Pts',(0.840132561549743,-1.67253184367925, 0.391211172964572)); #173815=CARTESIAN_POINT('',(0.842449916780606,-1.60922277485728,0.329472948940198)); #173816=CARTESIAN_POINT('Origin',(0.834850448539396,-1.66870271578629,0.331566918742348)); #173817=CARTESIAN_POINT('',(0.34820979564865,-1.06236646247243,0.381316845735205)); #173818=CARTESIAN_POINT('Ctrl Pts',(0.842449916780606,-1.60922277485728, 0.329472948940198)); #173819=CARTESIAN_POINT('Ctrl Pts',(0.729048719490695,-1.59430599935158, 0.341631733935253)); #173820=CARTESIAN_POINT('Ctrl Pts',(0.548065931942171,-1.51063750131835, 0.360871219805532)); #173821=CARTESIAN_POINT('Ctrl Pts',(0.386327412058342,-1.29051889024304, 0.377720840969729)); #173822=CARTESIAN_POINT('Ctrl Pts',(0.350485650235353,-1.13871947338557, 0.381234376549748)); #173823=CARTESIAN_POINT('Ctrl Pts',(0.348209800593621,-1.06236646232451, 0.381316845211208)); #173824=CARTESIAN_POINT('Ctrl Pts',(0.348209800539212,-1.06236646049911, 0.381316845213179)); #173825=CARTESIAN_POINT('Ctrl Pts',(0.348214303846499,-1.06236502633239, 0.381446970794895)); #173826=CARTESIAN_POINT('Ctrl Pts',(0.348218368170185,-1.06236360526393, 0.381577113858047)); #173827=CARTESIAN_POINT('Ctrl Pts',(0.348221994345632,-1.06236219728065, 0.381707272309886)); #173828=CARTESIAN_POINT('Ctrl Pts',(0.348372661959741,-1.06230369556569, 0.387115356855478)); #173829=CARTESIAN_POINT('Ctrl Pts',(0.347770466046427,-1.06226807443964, 0.392512949262249)); #173830=CARTESIAN_POINT('Ctrl Pts',(0.346477447591335,-1.06225486516395, 0.397751838302297)); #173831=CARTESIAN_POINT('Ctrl Pts',(0.345852366154142,-1.06224847942908, 0.400284464404848)); #173832=CARTESIAN_POINT('Ctrl Pts',(0.345065022440998,-1.06224735892017, 0.402780043029279)); #173833=CARTESIAN_POINT('Ctrl Pts',(0.344118214977697,-1.0622515955258, 0.405220786213939)); #173834=CARTESIAN_POINT('Ctrl Pts',(0.3421659866923,-1.0622603310097,0.410253369993827)); #173835=CARTESIAN_POINT('Ctrl Pts',(0.339564629295289,-1.06229164914303, 0.414987506683618)); #173836=CARTESIAN_POINT('Ctrl Pts',(0.336355787109906,-1.06234538802233, 0.419273947241102)); #173837=CARTESIAN_POINT('Ctrl Pts',(0.334915700231372,-1.06236950533646, 0.421197646334366)); #173838=CARTESIAN_POINT('Ctrl Pts',(0.333352601408392,-1.06239816173803, 0.423030888212869)); #173839=CARTESIAN_POINT('Ctrl Pts',(0.331677547847435,-1.06243116181025, 0.424767427065176)); #173840=CARTESIAN_POINT('Ctrl Pts',(0.32791479601647,-1.06250529142919, 0.428668296206536)); #173841=CARTESIAN_POINT('Ctrl Pts',(0.323639269966954,-1.06260025985614, 0.432032453528441)); #173842=CARTESIAN_POINT('Ctrl Pts',(0.318990302905891,-1.06271272602704, 0.434802383483924)); #173843=CARTESIAN_POINT('Ctrl Pts',(0.316958664364997,-1.06276187470981, 0.436012866668515)); #173844=CARTESIAN_POINT('Ctrl Pts',(0.314855215008196,-1.06281438278043, 0.437109378038766)); #173845=CARTESIAN_POINT('Ctrl Pts',(0.312689982233157,-1.06287003137712, 0.438084457378635)); #173846=CARTESIAN_POINT('Ctrl Pts',(0.307773779916159,-1.0629963825774, 0.440298393619202)); #173847=CARTESIAN_POINT('Ctrl Pts',(0.302604611873319,-1.06313720021519, 0.441860486672258)); #173848=CARTESIAN_POINT('Ctrl Pts',(0.297307349058362,-1.06328898387719, 0.442700256363555)); #173849=CARTESIAN_POINT('Ctrl Pts',(0.294933001202656,-1.06335701659993, 0.443076659316451)); #173850=CARTESIAN_POINT('Ctrl Pts',(0.292532652172855,-1.06342726011466, 0.44330732777479)); #173851=CARTESIAN_POINT('Ctrl Pts',(0.290116998913117,-1.0634994122105, 0.44338248504537)); #173852=CARTESIAN_POINT('Ctrl Pts',(0.840132561552697,-1.67253184367967, 0.39121117296428)); #173853=CARTESIAN_POINT('Ctrl Pts',(0.956581370272795,-1.68719595698842, 0.379957003696196)); #173854=CARTESIAN_POINT('Ctrl Pts',(1.07756265355577,-1.66812621169275, 0.371560114254987)); #173855=CARTESIAN_POINT('Ctrl Pts',(1.18447158345544,-1.61797117567747, 0.365364730054806)); #173856=CARTESIAN_POINT('Ctrl Pts',(0.842686555354194,-1.65378494501624, 0.392188530958814)); #173857=CARTESIAN_POINT('Ctrl Pts',(0.95560136266294,-1.66788070826393, 0.381094244141246)); #173858=CARTESIAN_POINT('Ctrl Pts',(1.07290837432226,-1.64933075173461, 0.372740673398465)); #173859=CARTESIAN_POINT('Ctrl Pts',(1.17652905576294,-1.60067844592578, 0.366555646485117)); #173860=CARTESIAN_POINT('Ctrl Pts',(0.845610974640538,-1.62033026289789, 0.377091419330486)); #173861=CARTESIAN_POINT('Ctrl Pts',(0.952550667945197,-1.63364732681208, 0.366068529828819)); #173862=CARTESIAN_POINT('Ctrl Pts',(1.06346923639822,-1.61616516143377, 0.357741061937661)); #173863=CARTESIAN_POINT('Ctrl Pts',(1.16154970008884,-1.57023001432379, 0.351552282843027)); #173864=CARTESIAN_POINT('Ctrl Pts',(0.843862364901337,-1.60890561767295, 0.343607988134463)); #173865=CARTESIAN_POINT('Ctrl Pts',(0.949168312567474,-1.6224977122033, 0.332373805186605)); #173866=CARTESIAN_POINT('Ctrl Pts',(1.0585406483404,-1.60567496538358,0.323962462328954)); #173867=CARTESIAN_POINT('Ctrl Pts',(1.15519665480597,-1.5607612102533,0.317742892936297)); #173868=CARTESIAN_POINT('Ctrl Pts',(0.842449916783207,-1.60922277485762, 0.329472948939916)); #173869=CARTESIAN_POINT('Ctrl Pts',(0.948043829960277,-1.62311258144889, 0.318151254656223)); #173870=CARTESIAN_POINT('Ctrl Pts',(1.05775333465704,-1.60643497611657, 0.309703983878367)); #173871=CARTESIAN_POINT('Ctrl Pts',(1.15472347792289,-1.56153122495264, 0.303471427372984)); #173872=CARTESIAN_POINT('',(1.18447158345544,-1.61797117567747,0.365364730054806)); #173873=CARTESIAN_POINT('',(1.15472347792316,-1.56153122495251,0.303471427372984)); #173874=CARTESIAN_POINT('Origin',(1.18003100357267,-1.61589246515146,0.305565397175134)); #173875=CARTESIAN_POINT('Ctrl Pts',(1.15472347792289,-1.56153122495264, 0.303471427372984)); #173876=CARTESIAN_POINT('Ctrl Pts',(1.05775333465704,-1.60643497611657, 0.309703983878367)); #173877=CARTESIAN_POINT('Ctrl Pts',(0.948043829960277,-1.62311258144889, 0.318151254656223)); #173878=CARTESIAN_POINT('Ctrl Pts',(0.842449916783207,-1.60922277485762, 0.329472948939916)); #173879=CARTESIAN_POINT('Ctrl Pts',(0.840132561552697,-1.67253184367967, 0.39121117296428)); #173880=CARTESIAN_POINT('Ctrl Pts',(0.956581370272795,-1.68719595698842, 0.379957003696196)); #173881=CARTESIAN_POINT('Ctrl Pts',(1.07756265355577,-1.66812621169275, 0.371560114254987)); #173882=CARTESIAN_POINT('Ctrl Pts',(1.18447158345544,-1.61797117567747, 0.365364730054806)); #173883=CARTESIAN_POINT('Ctrl Pts',(1.18447158345562,-1.61797117567739, 0.365364730054795)); #173884=CARTESIAN_POINT('Ctrl Pts',(1.23481188431123,-1.59435463022308, 0.362447504105144)); #173885=CARTESIAN_POINT('Ctrl Pts',(1.3626391751406,-1.56228878145339,0.3541341212254)); #173886=CARTESIAN_POINT('Ctrl Pts',(1.49299662605691,-1.58963059430786, 0.346352292704157)); #173887=CARTESIAN_POINT('Ctrl Pts',(1.55888182512686,-1.62276304838567, 0.34291649524779)); #173888=CARTESIAN_POINT('Ctrl Pts',(1.17652905576308,-1.60067844592571, 0.366555646485109)); #173889=CARTESIAN_POINT('Ctrl Pts',(1.22915286214679,-1.57614138266465, 0.363408883823369)); #173890=CARTESIAN_POINT('Ctrl Pts',(1.3626153463412,-1.54304991467731,0.354518247000023)); #173891=CARTESIAN_POINT('Ctrl Pts',(1.49842282472212,-1.57180403750833, 0.346377745406819)); #173892=CARTESIAN_POINT('Ctrl Pts',(1.56707813887335,-1.60641619423752, 0.342813484148528)); #173893=CARTESIAN_POINT('Ctrl Pts',(1.16155003590519,-1.57023088521683, 0.351551119159818)); #173894=CARTESIAN_POINT('Ctrl Pts',(1.2181240819601,-1.54418104732645,0.348271392807047)); #173895=CARTESIAN_POINT('Ctrl Pts',(1.36150126950717,-1.50944603827859, 0.339017586595098)); #173896=CARTESIAN_POINT('Ctrl Pts',(1.5070353095454,-1.54070853774636,0.330627846361469)); #173897=CARTESIAN_POINT('Ctrl Pts',(1.58056687034108,-1.57788761314945, 0.326990097817667)); #173898=CARTESIAN_POINT('Ctrl Pts',(1.15519665480603,-1.56076121025327, 0.317742892936288)); #173899=CARTESIAN_POINT('Ctrl Pts',(1.2128445864874,-1.53414936223686,0.314707673554459)); #173900=CARTESIAN_POINT('Ctrl Pts',(1.35922914547488,-1.49839990406395, 0.30608355620037)); #173901=CARTESIAN_POINT('Ctrl Pts',(1.50839092317494,-1.53007837810783, 0.298089538658763)); #173902=CARTESIAN_POINT('Ctrl Pts',(1.58382248258841,-1.56803143300257, 0.294580431974063)); #173903=CARTESIAN_POINT('Ctrl Pts',(1.15472347792295,-1.56153122495261, 0.303471427372974)); #173904=CARTESIAN_POINT('Ctrl Pts',(1.2121670765357,-1.53490177158697,0.300536698067625)); #173905=CARTESIAN_POINT('Ctrl Pts',(1.35825192338555,-1.49890117244535, 0.292173434890603)); #173906=CARTESIAN_POINT('Ctrl Pts',(1.50753376936844,-1.53031002911183, 0.284344915398231)); #173907=CARTESIAN_POINT('Ctrl Pts',(1.58303738061163,-1.56817362570925, 0.280888503157127)); #173908=CARTESIAN_POINT('',(1.55888182512686,-1.62276304838567,0.34291649524779)); #173909=CARTESIAN_POINT('',(1.58303738061162,-1.56817362570925,0.280888503157127)); #173910=CARTESIAN_POINT('Origin',(1.55623406277137,-1.62181313953275,0.282982472959277)); #173911=CARTESIAN_POINT('Ctrl Pts',(1.58303738061163,-1.56817362570925, 0.280888503157127)); #173912=CARTESIAN_POINT('Ctrl Pts',(1.50753376936844,-1.53031002911183, 0.284344915398231)); #173913=CARTESIAN_POINT('Ctrl Pts',(1.35825192338555,-1.49890117244535, 0.292173434890603)); #173914=CARTESIAN_POINT('Ctrl Pts',(1.2121670765357,-1.53490177158697,0.300536698067625)); #173915=CARTESIAN_POINT('Ctrl Pts',(1.15472347792295,-1.56153122495261, 0.303471427372974)); #173916=CARTESIAN_POINT('Ctrl Pts',(1.18447158345562,-1.61797117567739, 0.365364730054795)); #173917=CARTESIAN_POINT('Ctrl Pts',(1.23481188431123,-1.59435463022308, 0.362447504105144)); #173918=CARTESIAN_POINT('Ctrl Pts',(1.3626391751406,-1.56228878145339,0.3541341212254)); #173919=CARTESIAN_POINT('Ctrl Pts',(1.49299662605691,-1.58963059430786, 0.346352292704157)); #173920=CARTESIAN_POINT('Ctrl Pts',(1.55888182512686,-1.62276304838567, 0.34291649524779)); #173921=CARTESIAN_POINT('Ctrl Pts',(1.55888182512686,-1.62276304838567, 0.34291649524779)); #173922=CARTESIAN_POINT('Ctrl Pts',(1.63802747574761,-1.66256393950638, 0.338789188524523)); #173923=CARTESIAN_POINT('Ctrl Pts',(1.71724324240501,-1.70234016296213, 0.335818540823905)); #173924=CARTESIAN_POINT('Ctrl Pts',(1.79650112137209,-1.74208258527907, 0.334013729825829)); #173925=CARTESIAN_POINT('Ctrl Pts',(1.56707813887335,-1.60641619423752, 0.342813484148528)); #173926=CARTESIAN_POINT('Ctrl Pts',(1.64622611180354,-1.6462006080217,0.338712028064069)); #173927=CARTESIAN_POINT('Ctrl Pts',(1.72544707722225,-1.68596492986432, 0.335760902756381)); #173928=CARTESIAN_POINT('Ctrl Pts',(1.80470409114819,-1.72569397531612, 0.333975198372455)); #173929=CARTESIAN_POINT('Ctrl Pts',(1.5805665324806,-1.57788820486741,0.326989311730906)); #173930=CARTESIAN_POINT('Ctrl Pts',(1.6598526886278,-1.61768951842012,0.322895220847081)); #173931=CARTESIAN_POINT('Ctrl Pts',(1.73920257937926,-1.65747393270785, 0.319953996403459)); #173932=CARTESIAN_POINT('Ctrl Pts',(1.81859256021248,-1.6972308222091,0.318175682819335)); #173933=CARTESIAN_POINT('Ctrl Pts',(1.58382248258841,-1.56803143300257, 0.294580431974063)); #173934=CARTESIAN_POINT('Ctrl Pts',(1.66336534147634,-1.60793965733493, 0.29044539858156)); #173935=CARTESIAN_POINT('Ctrl Pts',(1.74297291065879,-1.64783559453859, 0.287471005826374)); #173936=CARTESIAN_POINT('Ctrl Pts',(1.82262074918331,-1.68770536917887, 0.28566630409212)); #173937=CARTESIAN_POINT('Ctrl Pts',(1.58303738061163,-1.56817362570925, 0.280888503157127)); #173938=CARTESIAN_POINT('Ctrl Pts',(1.66268581683536,-1.6081355215052,0.27673643259352)); #173939=CARTESIAN_POINT('Ctrl Pts',(1.74240102219821,-1.64808535703782, 0.273747961006698)); #173940=CARTESIAN_POINT('Ctrl Pts',(1.82215596688924,-1.68800926525925, 0.271932321142633)); #173941=CARTESIAN_POINT('',(1.79650112137209,-1.74208258527907,0.334013729825829)); #173942=CARTESIAN_POINT('',(1.82215596688923,-1.68800926525925,0.271932321142633)); #173943=CARTESIAN_POINT('Origin',(1.79535264904898,-1.74164877908276,0.274026290944783)); #173944=CARTESIAN_POINT('Ctrl Pts',(1.82215596688924,-1.68800926525925, 0.271932321142633)); #173945=CARTESIAN_POINT('Ctrl Pts',(1.74240102219821,-1.64808535703782, 0.273747961006698)); #173946=CARTESIAN_POINT('Ctrl Pts',(1.66268581683536,-1.6081355215052,0.27673643259352)); #173947=CARTESIAN_POINT('Ctrl Pts',(1.58303738061163,-1.56817362570925, 0.280888503157127)); #173948=CARTESIAN_POINT('Ctrl Pts',(1.55888182512686,-1.62276304838567, 0.34291649524779)); #173949=CARTESIAN_POINT('Ctrl Pts',(1.63802747574761,-1.66256393950638, 0.338789188524523)); #173950=CARTESIAN_POINT('Ctrl Pts',(1.71724324240501,-1.70234016296213, 0.335818540823905)); #173951=CARTESIAN_POINT('Ctrl Pts',(1.79650112137209,-1.74208258527907, 0.334013729825829)); #173952=CARTESIAN_POINT('Ctrl Pts',(1.79650112137209,-1.74208258527907, 0.334013729825828)); #173953=CARTESIAN_POINT('Ctrl Pts',(1.82592614058172,-1.75683722614701, 0.333343681636547)); #173954=CARTESIAN_POINT('Ctrl Pts',(1.86536880156956,-1.8000500268025,0.332583352040817)); #173955=CARTESIAN_POINT('Ctrl Pts',(1.87625600119712,-1.8788461810819,0.33223559688472)); #173956=CARTESIAN_POINT('Ctrl Pts',(1.86108154644316,-1.92161860561907, 0.332206484003845)); #173957=CARTESIAN_POINT('Ctrl Pts',(1.84894320847896,-1.94006492976548, 0.332230643256519)); #173958=CARTESIAN_POINT('Ctrl Pts',(1.80470409114819,-1.72569397531612, 0.333975198372455)); #173959=CARTESIAN_POINT('Ctrl Pts',(1.83807551532066,-1.74248361465712, 0.333219443444409)); #173960=CARTESIAN_POINT('Ctrl Pts',(1.88277251374725,-1.79142439089358, 0.332412750774141)); #173961=CARTESIAN_POINT('Ctrl Pts',(1.89516429351466,-1.880752808244,0.332090889676107)); #173962=CARTESIAN_POINT('Ctrl Pts',(1.87795458819645,-1.92920489142065, 0.332066595222445)); #173963=CARTESIAN_POINT('Ctrl Pts',(1.86418921842338,-1.95011626639585, 0.332088162025312)); #173964=CARTESIAN_POINT('Ctrl Pts',(1.81859232948051,-1.69723125714629, 0.318175111327563)); #173965=CARTESIAN_POINT('Ctrl Pts',(1.85878654241814,-1.71752310988175, 0.317372701039543)); #173966=CARTESIAN_POINT('Ctrl Pts',(1.91264583500981,-1.77654062907219, 0.316536435414637)); #173967=CARTESIAN_POINT('Ctrl Pts',(1.92767978371916,-1.88397653762707, 0.31622764842669)); #173968=CARTESIAN_POINT('Ctrl Pts',(1.90701473974748,-1.94231677129866, 0.316203872299874)); #173969=CARTESIAN_POINT('Ctrl Pts',(1.89045484050546,-1.96745316713758, 0.316224102403444)); #173970=CARTESIAN_POINT('Ctrl Pts',(1.82262074918332,-1.68770536917887, 0.28566630409212)); #173971=CARTESIAN_POINT('Ctrl Pts',(1.86521249777559,-1.709092128701,0.284954874473597)); #173972=CARTESIAN_POINT('Ctrl Pts',(1.92233175039762,-1.77141273489956, 0.284169019584278)); #173973=CARTESIAN_POINT('Ctrl Pts',(1.9383183999399,-1.88498017440966,0.283831144016762)); #173974=CARTESIAN_POINT('Ctrl Pts',(1.91648772620066,-1.94656725009,0.283803359128316)); #173975=CARTESIAN_POINT('Ctrl Pts',(1.89898510318817,-1.97311957882655, 0.283826475794744)); #173976=CARTESIAN_POINT('Ctrl Pts',(1.82215596688924,-1.68800926525925, 0.271932321142633)); #173977=CARTESIAN_POINT('Ctrl Pts',(1.86471125598135,-1.70930018191663, 0.271258252664217)); #173978=CARTESIAN_POINT('Ctrl Pts',(1.92183486373712,-1.77153811337314, 0.270493361090912)); #173979=CARTESIAN_POINT('Ctrl Pts',(1.93781832587662,-1.88489755570694, 0.270143519403878)); #173980=CARTESIAN_POINT('Ctrl Pts',(1.91604476134736,-1.94637501212233, 0.270114231845718)); #173981=CARTESIAN_POINT('Ctrl Pts',(1.89857773677488,-1.97286906363781, 0.270138536053908)); #173982=CARTESIAN_POINT('',(1.84894320847896,-1.94006492976548,0.332230643256519)); #173983=CARTESIAN_POINT('',(1.89857773677488,-1.97286906363781,0.270138536053908)); #173984=CARTESIAN_POINT('Origin',(1.84851601824457,-1.93986240327571,0.272232505856058)); #173985=CARTESIAN_POINT('Ctrl Pts',(1.89857773677488,-1.97286906363781, 0.270138536053908)); #173986=CARTESIAN_POINT('Ctrl Pts',(1.91604476134736,-1.94637501212233, 0.270114231845718)); #173987=CARTESIAN_POINT('Ctrl Pts',(1.93781832587662,-1.88489755570694, 0.270143519403878)); #173988=CARTESIAN_POINT('Ctrl Pts',(1.92183486373712,-1.77153811337314, 0.270493361090912)); #173989=CARTESIAN_POINT('Ctrl Pts',(1.86471125598135,-1.70930018191663, 0.271258252664217)); #173990=CARTESIAN_POINT('Ctrl Pts',(1.82215596688924,-1.68800926525925, 0.271932321142633)); #173991=CARTESIAN_POINT('Ctrl Pts',(1.79650112137209,-1.74208258527907, 0.334013729825828)); #173992=CARTESIAN_POINT('Ctrl Pts',(1.82592614058172,-1.75683722614701, 0.333343681636547)); #173993=CARTESIAN_POINT('Ctrl Pts',(1.86536880156956,-1.8000500268025,0.332583352040817)); #173994=CARTESIAN_POINT('Ctrl Pts',(1.87625600119712,-1.8788461810819,0.33223559688472)); #173995=CARTESIAN_POINT('Ctrl Pts',(1.86108154644316,-1.92161860561907, 0.332206484003845)); #173996=CARTESIAN_POINT('Ctrl Pts',(1.84894320847896,-1.94006492976548, 0.332230643256519)); #173997=CARTESIAN_POINT('Ctrl Pts',(1.84894320847896,-1.94006492976548, 0.332230643256519)); #173998=CARTESIAN_POINT('Ctrl Pts',(1.73441965583031,-2.11410346624271, 0.332458582489528)); #173999=CARTESIAN_POINT('Ctrl Pts',(1.45587882415095,-2.42971051512776, 0.333139008598319)); #174000=CARTESIAN_POINT('Ctrl Pts',(0.922533021407997,-2.76797373115189, 0.334330731293199)); #174001=CARTESIAN_POINT('Ctrl Pts',(0.315867725410123,-2.94419600159166, 0.335120157600207)); #174002=CARTESIAN_POINT('Ctrl Pts',(-0.315899380991333,-2.94414361291961, 0.335118865945846)); #174003=CARTESIAN_POINT('Ctrl Pts',(-0.922570937469886,-2.76799185687525, 0.334331361578931)); #174004=CARTESIAN_POINT('Ctrl Pts',(-1.45590476902044,-2.429671067681,0.333138640178627)); #174005=CARTESIAN_POINT('Ctrl Pts',(-1.73441965583031,-2.11410346624271, 0.332458582489529)); #174006=CARTESIAN_POINT('Ctrl Pts',(-1.84894320847896,-1.94006492976548, 0.332230643256519)); #174007=CARTESIAN_POINT('Ctrl Pts',(1.86418921842338,-1.95011626639585, 0.332088162025312)); #174008=CARTESIAN_POINT('Ctrl Pts',(1.74868760077829,-2.12557765438646, 0.332262224275811)); #174009=CARTESIAN_POINT('Ctrl Pts',(1.46781669437221,-2.44372441641999, 0.332848495328066)); #174010=CARTESIAN_POINT('Ctrl Pts',(0.930059025037709,-2.78469562896723, 0.333930949554871)); #174011=CARTESIAN_POINT('Ctrl Pts',(0.318442028378875,-2.9623131991705, 0.334662788603534)); #174012=CARTESIAN_POINT('Ctrl Pts',(-0.318472588894957,-2.96225855835209, 0.334662030870554)); #174013=CARTESIAN_POINT('Ctrl Pts',(-0.930099539048075,-2.7847149455201, 0.333931287874601)); #174014=CARTESIAN_POINT('Ctrl Pts',(-1.4678408909974,-2.44368444406493, 0.332848199294479)); #174015=CARTESIAN_POINT('Ctrl Pts',(-1.74868760077829,-2.12557765438646, 0.332262224275811)); #174016=CARTESIAN_POINT('Ctrl Pts',(-1.86418921842338,-1.95011626639585, 0.332088162025312)); #174017=CARTESIAN_POINT('Ctrl Pts',(1.89045476295553,-1.96745311615287, 0.31622399532355)); #174018=CARTESIAN_POINT('Ctrl Pts',(1.77324280096591,-2.14532602148467, 0.316369855638758)); #174019=CARTESIAN_POINT('Ctrl Pts',(1.48826493961781,-2.46782079511804, 0.316897230027491)); #174020=CARTESIAN_POINT('Ctrl Pts',(0.942921829430329,-2.81333012209351, 0.317920613525952)); #174021=CARTESIAN_POINT('Ctrl Pts',(0.322821819449885,-2.99328071720712, 0.318619820468134)); #174022=CARTESIAN_POINT('Ctrl Pts',(-0.322854772095745,-2.99322822086923, 0.318618431032748)); #174023=CARTESIAN_POINT('Ctrl Pts',(-0.942959591295695,-2.81334807947548, 0.317921305447242)); #174024=CARTESIAN_POINT('Ctrl Pts',(-1.48829225597306,-2.46778065014619, 0.316896868254816)); #174025=CARTESIAN_POINT('Ctrl Pts',(-1.77324280096591,-2.14532602148467, 0.316369855638758)); #174026=CARTESIAN_POINT('Ctrl Pts',(-1.89045476295553,-1.96745311615287, 0.31622399532355)); #174027=CARTESIAN_POINT('Ctrl Pts',(1.89898510318817,-1.97311957882655, 0.283826475794744)); #174028=CARTESIAN_POINT('Ctrl Pts',(1.78119513826915,-2.15178818594377, 0.284031468856804)); #174029=CARTESIAN_POINT('Ctrl Pts',(1.49486913711228,-2.4756752752376,0.284669655254654)); #174030=CARTESIAN_POINT('Ctrl Pts',(0.947041310688241,-2.82263194010207, 0.285817193883306)); #174031=CARTESIAN_POINT('Ctrl Pts',(0.324223907615736,-3.00330880596447, 0.286583482026309)); #174032=CARTESIAN_POINT('Ctrl Pts',(-0.324255827996722,-3.00325464047125, 0.28658210883345)); #174033=CARTESIAN_POINT('Ctrl Pts',(-0.947081200771791,-2.82265083541795, 0.285817871202797)); #174034=CARTESIAN_POINT('Ctrl Pts',(-1.49489483335857,-2.47563479644565, 0.284669281836487)); #174035=CARTESIAN_POINT('Ctrl Pts',(-1.78119513826915,-2.15178818594377, 0.284031468856805)); #174036=CARTESIAN_POINT('Ctrl Pts',(-1.89898510318817,-1.97311957882655, 0.283826475794744)); #174037=CARTESIAN_POINT('Ctrl Pts',(1.89857773677488,-1.97286906363781, 0.270138536053908)); #174038=CARTESIAN_POINT('Ctrl Pts',(1.78081235770853,-2.15150020524406, 0.270367842922316)); #174039=CARTESIAN_POINT('Ctrl Pts',(1.49453354699692,-2.47532773972206, 0.27105235158776)); #174040=CARTESIAN_POINT('Ctrl Pts',(0.946823685089432,-2.82220197246268, 0.272251224618808)); #174041=CARTESIAN_POINT('Ctrl Pts',(0.324145461962419,-3.00283345147603, 0.273045387483658)); #174042=CARTESIAN_POINT('Ctrl Pts',(-0.32417809762426,-3.00278023140616, 0.27304408807937)); #174043=CARTESIAN_POINT('Ctrl Pts',(-0.946862376882842,-2.82222032127583, 0.272251858686255)); #174044=CARTESIAN_POINT('Ctrl Pts',(-1.49456027277382,-2.47528738795923, 0.271051980957549)); #174045=CARTESIAN_POINT('Ctrl Pts',(-1.78081235770853,-2.15150020524406, 0.270367842922316)); #174046=CARTESIAN_POINT('Ctrl Pts',(-1.89857773677488,-1.97286906363781, 0.270138536053908)); #174047=CARTESIAN_POINT('',(-1.84894320847896,-1.94006492976548,0.332230643256519)); #174048=CARTESIAN_POINT('',(-1.89857773677488,-1.97286906363781,0.270138536053908)); #174049=CARTESIAN_POINT('Origin',(-1.84851601824457,-1.93986240327571,0.272232505856059)); #174050=CARTESIAN_POINT('Ctrl Pts',(-1.89857773677488,-1.97286906363781, 0.270138536053908)); #174051=CARTESIAN_POINT('Ctrl Pts',(-1.78081235770853,-2.15150020524406, 0.270367842922316)); #174052=CARTESIAN_POINT('Ctrl Pts',(-1.49456027277382,-2.47528738795923, 0.271051980957549)); #174053=CARTESIAN_POINT('Ctrl Pts',(-0.946862376882842,-2.82222032127583, 0.272251858686255)); #174054=CARTESIAN_POINT('Ctrl Pts',(-0.32417809762426,-3.00278023140616, 0.27304408807937)); #174055=CARTESIAN_POINT('Ctrl Pts',(0.324145461962419,-3.00283345147603, 0.273045387483658)); #174056=CARTESIAN_POINT('Ctrl Pts',(0.946823685089432,-2.82220197246268, 0.272251224618808)); #174057=CARTESIAN_POINT('Ctrl Pts',(1.49453354699692,-2.47532773972206, 0.27105235158776)); #174058=CARTESIAN_POINT('Ctrl Pts',(1.78081235770853,-2.15150020524406, 0.270367842922316)); #174059=CARTESIAN_POINT('Ctrl Pts',(1.89857773677488,-1.97286906363781, 0.270138536053908)); #174060=CARTESIAN_POINT('Ctrl Pts',(1.84894320847896,-1.94006492976548, 0.332230643256519)); #174061=CARTESIAN_POINT('Ctrl Pts',(1.73441965583031,-2.11410346624271, 0.332458582489528)); #174062=CARTESIAN_POINT('Ctrl Pts',(1.45587882415095,-2.42971051512776, 0.333139008598319)); #174063=CARTESIAN_POINT('Ctrl Pts',(0.922533021407997,-2.76797373115189, 0.334330731293199)); #174064=CARTESIAN_POINT('Ctrl Pts',(0.315867725410123,-2.94419600159166, 0.335120157600207)); #174065=CARTESIAN_POINT('Ctrl Pts',(-0.315899380991333,-2.94414361291961, 0.335118865945846)); #174066=CARTESIAN_POINT('Ctrl Pts',(-0.922570937469886,-2.76799185687525, 0.334331361578931)); #174067=CARTESIAN_POINT('Ctrl Pts',(-1.45590476902044,-2.429671067681,0.333138640178627)); #174068=CARTESIAN_POINT('Ctrl Pts',(-1.73441965583031,-2.11410346624271, 0.332458582489529)); #174069=CARTESIAN_POINT('Ctrl Pts',(-1.84894320847896,-1.94006492976548, 0.332230643256519)); #174070=CARTESIAN_POINT('Ctrl Pts',(-1.84894320847896,-1.94006492976548, 0.332230643256519)); #174071=CARTESIAN_POINT('Ctrl Pts',(-1.86715039012942,-1.91239593788969, 0.332194405024953)); #174072=CARTESIAN_POINT('Ctrl Pts',(-1.8799429891312,-1.85501639499013, 0.332255771046016)); #174073=CARTESIAN_POINT('Ctrl Pts',(-1.85039143735979,-1.78128456399729, 0.332848653059685)); #174074=CARTESIAN_POINT('Ctrl Pts',(-1.81611745037302,-1.75191883678648, 0.333567039013707)); #174075=CARTESIAN_POINT('Ctrl Pts',(-1.79650112137209,-1.74208258527907, 0.334013729825828)); #174076=CARTESIAN_POINT('Ctrl Pts',(-1.86418921842338,-1.95011626639584, 0.332088162025312)); #174077=CARTESIAN_POINT('Ctrl Pts',(-1.88483690418426,-1.91874976433788, 0.332055812398981)); #174078=CARTESIAN_POINT('Ctrl Pts',(-1.89933486666311,-1.85376131380507, 0.332098131888739)); #174079=CARTESIAN_POINT('Ctrl Pts',(-1.8657937682598,-1.77017448508975, 0.332687097845251)); #174080=CARTESIAN_POINT('Ctrl Pts',(-1.82695130978664,-1.73688686823401, 0.333471370755316)); #174081=CARTESIAN_POINT('Ctrl Pts',(-1.80470409114819,-1.72569397531612, 0.333975198372454)); #174082=CARTESIAN_POINT('Ctrl Pts',(-1.89045484050546,-1.96745316713758, 0.316224102403444)); #174083=CARTESIAN_POINT('Ctrl Pts',(-1.91529424557905,-1.92974924701053, 0.316193757790236)); #174084=CARTESIAN_POINT('Ctrl Pts',(-1.9326542562883,-1.85147413065155, 0.316229227428318)); #174085=CARTESIAN_POINT('Ctrl Pts',(-1.89217818911926,-1.75093511353245, 0.316817346093637)); #174086=CARTESIAN_POINT('Ctrl Pts',(-1.84538799269832,-1.71075891728007, 0.317640180692805)); #174087=CARTESIAN_POINT('Ctrl Pts',(-1.81859232948051,-1.69723125714629, 0.318175111327563)); #174088=CARTESIAN_POINT('Ctrl Pts',(-1.89898510318817,-1.97311957882655, 0.283826475794744)); #174089=CARTESIAN_POINT('Ctrl Pts',(-1.92523856865338,-1.93329179729871, 0.283791801414607)); #174090=CARTESIAN_POINT('Ctrl Pts',(-1.9435772076852,-1.85065877630632, 0.283846046310301)); #174091=CARTESIAN_POINT('Ctrl Pts',(-1.90063029046762,-1.74435902499807, 0.284440056927471)); #174092=CARTESIAN_POINT('Ctrl Pts',(-1.85101474094794,-1.70196295412934, 0.285192026153384)); #174093=CARTESIAN_POINT('Ctrl Pts',(-1.82262074918331,-1.68770536917887, 0.285666304092119)); #174094=CARTESIAN_POINT('Ctrl Pts',(-1.89857773677488,-1.97286906363781, 0.270138536053908)); #174095=CARTESIAN_POINT('Ctrl Pts',(-1.92477780553407,-1.9331286963798, 0.270102080392953)); #174096=CARTESIAN_POINT('Ctrl Pts',(-1.94306691116271,-1.8506306542744, 0.270163814610142)); #174097=CARTESIAN_POINT('Ctrl Pts',(-1.90013678285405,-1.74451485869782, 0.270760253915893)); #174098=CARTESIAN_POINT('Ctrl Pts',(-1.85052565275468,-1.7022029561081, 0.271482950185639)); #174099=CARTESIAN_POINT('Ctrl Pts',(-1.82215596688924,-1.68800926525925, 0.271932321142633)); #174100=CARTESIAN_POINT('',(-1.79650112137209,-1.74208258527907,0.334013729825828)); #174101=CARTESIAN_POINT('',(-1.82215596688924,-1.68800926525925,0.271932321142633)); #174102=CARTESIAN_POINT('Origin',(-1.79535264904898,-1.74164877908276,0.274026290944783)); #174103=CARTESIAN_POINT('Ctrl Pts',(-1.82215596688924,-1.68800926525925, 0.271932321142633)); #174104=CARTESIAN_POINT('Ctrl Pts',(-1.85052565275468,-1.7022029561081, 0.271482950185639)); #174105=CARTESIAN_POINT('Ctrl Pts',(-1.90013678285405,-1.74451485869782, 0.270760253915893)); #174106=CARTESIAN_POINT('Ctrl Pts',(-1.94306691116271,-1.8506306542744, 0.270163814610142)); #174107=CARTESIAN_POINT('Ctrl Pts',(-1.92477780553407,-1.9331286963798, 0.270102080392953)); #174108=CARTESIAN_POINT('Ctrl Pts',(-1.89857773677488,-1.97286906363781, 0.270138536053908)); #174109=CARTESIAN_POINT('Ctrl Pts',(-1.84894320847896,-1.94006492976548, 0.332230643256519)); #174110=CARTESIAN_POINT('Ctrl Pts',(-1.86715039012942,-1.91239593788969, 0.332194405024953)); #174111=CARTESIAN_POINT('Ctrl Pts',(-1.8799429891312,-1.85501639499013, 0.332255771046016)); #174112=CARTESIAN_POINT('Ctrl Pts',(-1.85039143735979,-1.78128456399729, 0.332848653059685)); #174113=CARTESIAN_POINT('Ctrl Pts',(-1.81611745037302,-1.75191883678648, 0.333567039013707)); #174114=CARTESIAN_POINT('Ctrl Pts',(-1.79650112137209,-1.74208258527907, 0.334013729825828)); #174115=CARTESIAN_POINT('Ctrl Pts',(-1.79650112137209,-1.74208258527907, 0.334013729825828)); #174116=CARTESIAN_POINT('Ctrl Pts',(-1.71724324240501,-1.70234016296213, 0.335818540823905)); #174117=CARTESIAN_POINT('Ctrl Pts',(-1.6380274757476,-1.66256393950638, 0.338789188524523)); #174118=CARTESIAN_POINT('Ctrl Pts',(-1.55888182512686,-1.62276304838567, 0.34291649524779)); #174119=CARTESIAN_POINT('Ctrl Pts',(-1.80470409114819,-1.72569397531612, 0.333975198372455)); #174120=CARTESIAN_POINT('Ctrl Pts',(-1.72544707722225,-1.68596492986432, 0.335760902756381)); #174121=CARTESIAN_POINT('Ctrl Pts',(-1.64622611180354,-1.64620060802169, 0.338712028064069)); #174122=CARTESIAN_POINT('Ctrl Pts',(-1.56707813887335,-1.60641619423752, 0.342813484148528)); #174123=CARTESIAN_POINT('Ctrl Pts',(-1.81859256021248,-1.6972308222091, 0.318175682819335)); #174124=CARTESIAN_POINT('Ctrl Pts',(-1.73920257937925,-1.65747393270785, 0.319953996403459)); #174125=CARTESIAN_POINT('Ctrl Pts',(-1.6598526886278,-1.61768951842012, 0.322895220847081)); #174126=CARTESIAN_POINT('Ctrl Pts',(-1.5805665324806,-1.57788820486741, 0.326989311730906)); #174127=CARTESIAN_POINT('Ctrl Pts',(-1.82262074918331,-1.68770536917887, 0.28566630409212)); #174128=CARTESIAN_POINT('Ctrl Pts',(-1.74297291065879,-1.64783559453859, 0.287471005826374)); #174129=CARTESIAN_POINT('Ctrl Pts',(-1.66336534147634,-1.60793965733493, 0.29044539858156)); #174130=CARTESIAN_POINT('Ctrl Pts',(-1.5838224825884,-1.56803143300257, 0.294580431974063)); #174131=CARTESIAN_POINT('Ctrl Pts',(-1.82215596688924,-1.68800926525925, 0.271932321142634)); #174132=CARTESIAN_POINT('Ctrl Pts',(-1.74240102219821,-1.64808535703782, 0.273747961006699)); #174133=CARTESIAN_POINT('Ctrl Pts',(-1.66268581683536,-1.6081355215052, 0.27673643259352)); #174134=CARTESIAN_POINT('Ctrl Pts',(-1.58303738061162,-1.56817362570925, 0.280888503157127)); #174135=CARTESIAN_POINT('',(-1.55888182512686,-1.62276304838567,0.34291649524779)); #174136=CARTESIAN_POINT('',(-1.58303738061162,-1.56817362570925,0.280888503157127)); #174137=CARTESIAN_POINT('Origin',(-1.55623406277137,-1.62181313953275,0.282982472959277)); #174138=CARTESIAN_POINT('Ctrl Pts',(-1.58303738061162,-1.56817362570925, 0.280888503157127)); #174139=CARTESIAN_POINT('Ctrl Pts',(-1.66268581683536,-1.6081355215052, 0.27673643259352)); #174140=CARTESIAN_POINT('Ctrl Pts',(-1.74240102219821,-1.64808535703782, 0.273747961006699)); #174141=CARTESIAN_POINT('Ctrl Pts',(-1.82215596688924,-1.68800926525925, 0.271932321142634)); #174142=CARTESIAN_POINT('Ctrl Pts',(-1.79650112137209,-1.74208258527907, 0.334013729825828)); #174143=CARTESIAN_POINT('Ctrl Pts',(-1.71724324240501,-1.70234016296213, 0.335818540823905)); #174144=CARTESIAN_POINT('Ctrl Pts',(-1.6380274757476,-1.66256393950638, 0.338789188524523)); #174145=CARTESIAN_POINT('Ctrl Pts',(-1.55888182512686,-1.62276304838567, 0.34291649524779)); #174146=CARTESIAN_POINT('Ctrl Pts',(-1.55888182512686,-1.62276304838566, 0.34291649524779)); #174147=CARTESIAN_POINT('Ctrl Pts',(-1.4929962733011,-1.58963041691346, 0.346352311099753)); #174148=CARTESIAN_POINT('Ctrl Pts',(-1.36263694272101,-1.5622888263976, 0.354134262901871)); #174149=CARTESIAN_POINT('Ctrl Pts',(-1.23481215383734,-1.59435450377804, 0.36244748848608)); #174150=CARTESIAN_POINT('Ctrl Pts',(-1.18447158345487,-1.61797117567774, 0.365364730054839)); #174151=CARTESIAN_POINT('Ctrl Pts',(-1.56707813887335,-1.60641619423752, 0.342813484148528)); #174152=CARTESIAN_POINT('Ctrl Pts',(-1.49842245713484,-1.57180385219145, 0.346377764490223)); #174153=CARTESIAN_POINT('Ctrl Pts',(-1.36261301776674,-1.54304995601776, 0.35451839722651)); #174154=CARTESIAN_POINT('Ctrl Pts',(-1.22915314389897,-1.57614125129107, 0.363408866975347)); #174155=CARTESIAN_POINT('Ctrl Pts',(-1.1765290557623,-1.60067844592608, 0.366555646485155)); #174156=CARTESIAN_POINT('Ctrl Pts',(-1.58056687034108,-1.57788761314945, 0.326990097817667)); #174157=CARTESIAN_POINT('Ctrl Pts',(-1.5070349158502,-1.54070833868594, 0.330627865838332)); #174158=CARTESIAN_POINT('Ctrl Pts',(-1.36149877226958,-1.50944607207153, 0.339017741753599)); #174159=CARTESIAN_POINT('Ctrl Pts',(-1.21812438486219,-1.54418090785335, 0.348271375247126)); #174160=CARTESIAN_POINT('Ctrl Pts',(-1.16155003590434,-1.57023088521721, 0.351551119159866)); #174161=CARTESIAN_POINT('Ctrl Pts',(-1.5838224825884,-1.56803143300257, 0.294580431974063)); #174162=CARTESIAN_POINT('Ctrl Pts',(-1.50839051930696,-1.53007817490344, 0.298089557446865)); #174163=CARTESIAN_POINT('Ctrl Pts',(-1.35922658976802,-1.49839994368278, 0.306083702406526)); #174164=CARTESIAN_POINT('Ctrl Pts',(-1.21284489513917,-1.5341492197547, 0.314707657303648)); #174165=CARTESIAN_POINT('Ctrl Pts',(-1.15519665480517,-1.56076121025366, 0.317742892936333)); #174166=CARTESIAN_POINT('Ctrl Pts',(-1.58303738061162,-1.56817362570925, 0.280888503157127)); #174167=CARTESIAN_POINT('Ctrl Pts',(-1.50753336511469,-1.53030982638642, 0.284344933904202)); #174168=CARTESIAN_POINT('Ctrl Pts',(-1.35824936925702,-1.49890121709016, 0.292173577417132)); #174169=CARTESIAN_POINT('Ctrl Pts',(-1.21216738409345,-1.53490162901055, 0.300536682354847)); #174170=CARTESIAN_POINT('Ctrl Pts',(-1.1547234779221,-1.561531224953,0.303471427373017)); #174171=CARTESIAN_POINT('',(-1.18447158345487,-1.61797117567774,0.365364730054839)); #174172=CARTESIAN_POINT('',(-1.15472347792276,-1.5615312249527,0.303471427373017)); #174173=CARTESIAN_POINT('Origin',(-1.18003100357209,-1.61589246515172,0.305565397175168)); #174174=CARTESIAN_POINT('Ctrl Pts',(-1.1547234779221,-1.561531224953,0.303471427373017)); #174175=CARTESIAN_POINT('Ctrl Pts',(-1.21216738409345,-1.53490162901055, 0.300536682354847)); #174176=CARTESIAN_POINT('Ctrl Pts',(-1.35824936925702,-1.49890121709016, 0.292173577417132)); #174177=CARTESIAN_POINT('Ctrl Pts',(-1.50753336511469,-1.53030982638642, 0.284344933904202)); #174178=CARTESIAN_POINT('Ctrl Pts',(-1.58303738061162,-1.56817362570925, 0.280888503157127)); #174179=CARTESIAN_POINT('Ctrl Pts',(-1.55888182512686,-1.62276304838566, 0.34291649524779)); #174180=CARTESIAN_POINT('Ctrl Pts',(-1.4929962733011,-1.58963041691346, 0.346352311099753)); #174181=CARTESIAN_POINT('Ctrl Pts',(-1.36263694272101,-1.5622888263976, 0.354134262901871)); #174182=CARTESIAN_POINT('Ctrl Pts',(-1.23481215383734,-1.59435450377804, 0.36244748848608)); #174183=CARTESIAN_POINT('Ctrl Pts',(-1.18447158345487,-1.61797117567774, 0.365364730054839)); #174184=CARTESIAN_POINT('Ctrl Pts',(-1.18447158345487,-1.61797117567774, 0.365364730054839)); #174185=CARTESIAN_POINT('Ctrl Pts',(-1.0775626528405,-1.66812621202818, 0.371560114296442)); #174186=CARTESIAN_POINT('Ctrl Pts',(-0.956581371059975,-1.68719595708591, 0.379957003620278)); #174187=CARTESIAN_POINT('Ctrl Pts',(-0.840132561561236,-1.67253184368075, 0.391211172963455)); #174188=CARTESIAN_POINT('Ctrl Pts',(-1.17652905576239,-1.60067844592604, 0.36655564648515)); #174189=CARTESIAN_POINT('Ctrl Pts',(-1.072908373629,-1.64933075205999,0.37274067343985)); #174190=CARTESIAN_POINT('Ctrl Pts',(-0.955601363426227,-1.66788070835763, 0.381094244066403)); #174191=CARTESIAN_POINT('Ctrl Pts',(-0.842686555362476,-1.65378494501727, 0.392188530957999)); #174192=CARTESIAN_POINT('Ctrl Pts',(-1.16154970008832,-1.57023001432404, 0.35155228284306)); #174193=CARTESIAN_POINT('Ctrl Pts',(-1.06346923574202,-1.61616516174098, 0.357741061979072)); #174194=CARTESIAN_POINT('Ctrl Pts',(-0.952550668668084,-1.6336473269006, 0.366068529754457)); #174195=CARTESIAN_POINT('Ctrl Pts',(-0.845610974648379,-1.62033026289887, 0.377091419329677)); #174196=CARTESIAN_POINT('Ctrl Pts',(-1.15519665480545,-1.56076121025354, 0.317742892936331)); #174197=CARTESIAN_POINT('Ctrl Pts',(-1.05854064769373,-1.60567496568395, 0.32396246237057)); #174198=CARTESIAN_POINT('Ctrl Pts',(-0.949168313279324,-1.62249771229371, 0.332373805110821)); #174199=CARTESIAN_POINT('Ctrl Pts',(-0.843862364909059,-1.60890561767394, 0.343607988133639)); #174200=CARTESIAN_POINT('Ctrl Pts',(-1.15472347792237,-1.56153122495288, 0.303471427373017)); #174201=CARTESIAN_POINT('Ctrl Pts',(-1.05775333400827,-1.60643497641688, 0.30970398392007)); #174202=CARTESIAN_POINT('Ctrl Pts',(-0.948043830674077,-1.6231125815413, 0.31815125457985)); #174203=CARTESIAN_POINT('Ctrl Pts',(-0.842449916790951,-1.60922277485864, 0.329472948939086)); #174204=CARTESIAN_POINT('',(-0.840132561560563,-1.67253184368026,0.391211172963455)); #174205=CARTESIAN_POINT('',(-0.842449916790951,-1.60922277485864,0.329472948939086)); #174206=CARTESIAN_POINT('Origin',(-0.834850448550833,-1.66870271578779, 0.331566918741236)); #174207=CARTESIAN_POINT('Ctrl Pts',(-0.842449916790951,-1.60922277485864, 0.329472948939086)); #174208=CARTESIAN_POINT('Ctrl Pts',(-0.948043830674077,-1.6231125815413, 0.31815125457985)); #174209=CARTESIAN_POINT('Ctrl Pts',(-1.05775333400827,-1.60643497641688, 0.30970398392007)); #174210=CARTESIAN_POINT('Ctrl Pts',(-1.15472347792237,-1.56153122495288, 0.303471427373017)); #174211=CARTESIAN_POINT('Ctrl Pts',(-1.18447158345487,-1.61797117567774, 0.365364730054839)); #174212=CARTESIAN_POINT('Ctrl Pts',(-1.0775626528405,-1.66812621202818, 0.371560114296442)); #174213=CARTESIAN_POINT('Ctrl Pts',(-0.956581371059975,-1.68719595708591, 0.379957003620278)); #174214=CARTESIAN_POINT('Ctrl Pts',(-0.840132561561236,-1.67253184368075, 0.391211172963455)); #174215=CARTESIAN_POINT('Ctrl Pts',(-0.840132561560885,-1.67253184368071, 0.391211172963492)); #174216=CARTESIAN_POINT('Ctrl Pts',(-0.713967700233981,-1.65598160747372, 0.403446886798536)); #174217=CARTESIAN_POINT('Ctrl Pts',(-0.512565162744113,-1.56296157564773, 0.422808101224074)); #174218=CARTESIAN_POINT('Ctrl Pts',(-0.332395614468873,-1.31787231580536, 0.439776970712937)); #174219=CARTESIAN_POINT('Ctrl Pts',(-0.292525830043787,-1.14868618064155, 0.443309316110045)); #174220=CARTESIAN_POINT('Ctrl Pts',(-0.290077409866723,-1.0634920547318, 0.44338370277702)); #174221=CARTESIAN_POINT('Ctrl Pts',(-0.842686555362137,-1.65378494501724, 0.392188530958038)); #174222=CARTESIAN_POINT('Ctrl Pts',(-0.720243564785586,-1.63795024352033, 0.404037956250689)); #174223=CARTESIAN_POINT('Ctrl Pts',(-0.524512467128522,-1.54795948011576, 0.42284660427083)); #174224=CARTESIAN_POINT('Ctrl Pts',(-0.349254013651569,-1.31009953135994, 0.439320966990428)); #174225=CARTESIAN_POINT('Ctrl Pts',(-0.310444927728452,-1.14572879709941, 0.442764442208487)); #174226=CARTESIAN_POINT('Ctrl Pts',(-0.30806524944904,-1.06297461905367, 0.4428366835364)); #174227=CARTESIAN_POINT('Ctrl Pts',(-0.84561117329991,-1.62032947886817, 0.377092407174911)); #174228=CARTESIAN_POINT('Ctrl Pts',(-0.729809080654588,-1.60574271388589, 0.388707676631768)); #174229=CARTESIAN_POINT('Ctrl Pts',(-0.544342234187324,-1.52123508687964, 0.407121684848448)); #174230=CARTESIAN_POINT('Ctrl Pts',(-0.377873287864923,-1.29621524060255, 0.42330678041448)); #174231=CARTESIAN_POINT('Ctrl Pts',(-0.341004765868603,-1.14050258138995, 0.426675323042675)); #174232=CARTESIAN_POINT('Ctrl Pts',(-0.338747423450524,-1.06207878543753, 0.426746327098618)); #174233=CARTESIAN_POINT('Ctrl Pts',(-0.843862364908734,-1.6089056176739, 0.343607988133678)); #174234=CARTESIAN_POINT('Ctrl Pts',(-0.730478003903509,-1.59427555392508, 0.35560879265131)); #174235=CARTESIAN_POINT('Ctrl Pts',(-0.549192528817272,-1.51099256888704, 0.374605393850825)); #174236=CARTESIAN_POINT('Ctrl Pts',(-0.386824535543565,-1.29068651288093, 0.391270933969912)); #174237=CARTESIAN_POINT('Ctrl Pts',(-0.350868236565395,-1.13842703119071, 0.394738848353326)); #174238=CARTESIAN_POINT('Ctrl Pts',(-0.348663654629832,-1.06176591651358, 0.394811921408188)); #174239=CARTESIAN_POINT('Ctrl Pts',(-0.842449916790621,-1.6092227748586, 0.329472948939124)); #174240=CARTESIAN_POINT('Ctrl Pts',(-0.729048719499013,-1.59430599935473, 0.341631733934367)); #174241=CARTESIAN_POINT('Ctrl Pts',(-0.54806593194685,-1.51063750132287, 0.36087121980504)); #174242=CARTESIAN_POINT('Ctrl Pts',(-0.386206839661359,-1.29035479681428, 0.377733401980019)); #174243=CARTESIAN_POINT('Ctrl Pts',(-0.350392176964405,-1.13832313678998, 0.381243538682413)); #174244=CARTESIAN_POINT('Ctrl Pts',(-0.348192273356795,-1.0617676810864, 0.38131745766274)); #174245=CARTESIAN_POINT('',(-0.34820979564865,-1.06236646247243,0.381316845735205)); #174246=CARTESIAN_POINT('Ctrl Pts',(-0.348209800593621,-1.06236646232451, 0.381316845211208)); #174247=CARTESIAN_POINT('Ctrl Pts',(-0.350485650235382,-1.13871947338654, 0.381234376549747)); #174248=CARTESIAN_POINT('Ctrl Pts',(-0.386327412059226,-1.2905188902459, 0.37772084096964)); #174249=CARTESIAN_POINT('Ctrl Pts',(-0.54806593194685,-1.51063750132287, 0.36087121980504)); #174250=CARTESIAN_POINT('Ctrl Pts',(-0.729048719499013,-1.59430599935473, 0.341631733934367)); #174251=CARTESIAN_POINT('Ctrl Pts',(-0.842449916790621,-1.6092227748586, 0.329472948939124)); #174252=CARTESIAN_POINT('Ctrl Pts',(-0.840132561560885,-1.67253184368071, 0.391211172963492)); #174253=CARTESIAN_POINT('Ctrl Pts',(-0.713967700233981,-1.65598160747372, 0.403446886798536)); #174254=CARTESIAN_POINT('Ctrl Pts',(-0.512565162744113,-1.56296157564773, 0.422808101224074)); #174255=CARTESIAN_POINT('Ctrl Pts',(-0.332397214730821,-1.31787449268276, 0.439776819995813)); #174256=CARTESIAN_POINT('Ctrl Pts',(-0.292527069487935,-1.14869144011082, 0.443309206298807)); #174257=CARTESIAN_POINT('Ctrl Pts',(-0.290077638258966,-1.06349999999968, 0.443383695834757)); #174258=CARTESIAN_POINT('Ctrl Pts',(-0.290116998913117,-1.06349941221048, 0.44338248504537)); #174259=CARTESIAN_POINT('Ctrl Pts',(-0.29550641569797,-1.06333843806619, 0.443214806238846)); #174260=CARTESIAN_POINT('Ctrl Pts',(-0.300819894611088,-1.06318696109185, 0.44227674524384)); #174261=CARTESIAN_POINT('Ctrl Pts',(-0.305937828853226,-1.06304834350548, 0.440661525589218)); #174262=CARTESIAN_POINT('Ctrl Pts',(-0.308231797760944,-1.06298621210281, 0.439937549177734)); #174263=CARTESIAN_POINT('Ctrl Pts',(-0.31048643394089,-1.06292666472,0.439076791523473)); #174264=CARTESIAN_POINT('Ctrl Pts',(-0.312689982233163,-1.0628700313771, 0.438084457378632)); #174265=CARTESIAN_POINT('Ctrl Pts',(-0.317644650914697,-1.06274269155369, 0.435853198401326)); #174266=CARTESIAN_POINT('Ctrl Pts',(-0.322277282258771,-1.0626317394669, 0.432989261943103)); #174267=CARTESIAN_POINT('Ctrl Pts',(-0.326461759956126,-1.06254002849867, 0.42956868771113)); #174268=CARTESIAN_POINT('Ctrl Pts',(-0.328290412655015,-1.06249995001775, 0.428073867388631)); #174269=CARTESIAN_POINT('Ctrl Pts',(-0.330033192974826,-1.06246355709048, 0.42647214038887)); #174270=CARTESIAN_POINT('Ctrl Pts',(-0.33167754784744,-1.06243116181022, 0.424767427065169)); #174271=CARTESIAN_POINT('Ctrl Pts',(-0.333352601408394,-1.062398161738, 0.423030888212864)); #174272=CARTESIAN_POINT('Ctrl Pts',(-0.334915700231372,-1.06236950533642, 0.421197646334363)); #174273=CARTESIAN_POINT('Ctrl Pts',(-0.336355787109905,-1.0623453880223, 0.419273947241102)); #174274=CARTESIAN_POINT('Ctrl Pts',(-0.339564629295287,-1.06229164914299, 0.414987506683617)); #174275=CARTESIAN_POINT('Ctrl Pts',(-0.342165986692299,-1.06226033100966, 0.410253369993825)); #174276=CARTESIAN_POINT('Ctrl Pts',(-0.344118214977695,-1.06225159552575, 0.405220786213938)); #174277=CARTESIAN_POINT('Ctrl Pts',(-0.34607674307182,-1.06224283185258, 0.400171962368551)); #174278=CARTESIAN_POINT('Ctrl Pts',(-0.347356028623233,-1.06225688365142, 0.394889696913099)); #174279=CARTESIAN_POINT('Ctrl Pts',(-0.347918835452247,-1.0622933704649, 0.389526431113894)); #174280=CARTESIAN_POINT('Ctrl Pts',(-0.348190912058591,-1.0623110092139, 0.386933678001563)); #174281=CARTESIAN_POINT('Ctrl Pts',(-0.348294831298533,-1.06233391592928, 0.384321692127281)); #174282=CARTESIAN_POINT('Ctrl Pts',(-0.348221994345522,-1.06236219727729, 0.381707272309564)); #174283=CARTESIAN_POINT('Ctrl Pts',(-0.348218368170044,-1.06236360525945, 0.381577113857843)); #174284=CARTESIAN_POINT('Ctrl Pts',(-0.348214303846494,-1.0623650263324, 0.381446970794767)); #174285=CARTESIAN_POINT('Ctrl Pts',(-0.348209800539211,-1.06236646049911, 0.381316845213181)); #174286=CARTESIAN_POINT('Ctrl Pts',(0.302672264624204,-0.93496270560862, 0.439401418141107)); #174287=CARTESIAN_POINT('Ctrl Pts',(0.294055592950544,-0.97744487449428, 0.441375075796575)); #174288=CARTESIAN_POINT('Ctrl Pts',(0.289871287430554,-1.02060222639904, 0.442452314504817)); #174289=CARTESIAN_POINT('Ctrl Pts',(0.290117047827309,-1.06350790392924, 0.4433826700524)); #174290=CARTESIAN_POINT('Ctrl Pts',(0.319757579512917,-0.938011360777108, 0.437975707748192)); #174291=CARTESIAN_POINT('Ctrl Pts',(0.311565696156693,-0.979335299124078, 0.440230924448454)); #174292=CARTESIAN_POINT('Ctrl Pts',(0.307682736742261,-1.02129529878572, 0.441582636647951)); #174293=CARTESIAN_POINT('Ctrl Pts',(0.308095637303354,-1.06295110261339, 0.442823748714995)); #174294=CARTESIAN_POINT('Ctrl Pts',(0.348136997310522,-0.943697838870416, 0.42145066591367)); #174295=CARTESIAN_POINT('Ctrl Pts',(0.340925447023019,-0.98297480857488, 0.423816802451917)); #174296=CARTESIAN_POINT('Ctrl Pts',(0.337813207324617,-1.02280364293445, 0.425341175715472)); #174297=CARTESIAN_POINT('Ctrl Pts',(0.338767458433008,-1.06231745409265, 0.426735253035111)); #174298=CARTESIAN_POINT('Ctrl Pts',(0.356982282783901,-0.946600103723776, 0.390605317903164)); #174299=CARTESIAN_POINT('Ctrl Pts',(0.350259161774044,-0.984922716365892, 0.392611436873112)); #174300=CARTESIAN_POINT('Ctrl Pts',(0.347473188834113,-1.02390079884608, 0.393775110058556)); #174301=CARTESIAN_POINT('Ctrl Pts',(0.348690516505193,-1.06267067346687, 0.394816486178611)); #174302=CARTESIAN_POINT('Ctrl Pts',(0.35643562600737,-0.947077988784146, 0.377565285609373)); #174303=CARTESIAN_POINT('Ctrl Pts',(0.349766215525517,-0.985275619608298, 0.37942268549496)); #174304=CARTESIAN_POINT('Ctrl Pts',(0.346999202679966,-1.02418402422721, 0.380434528762701)); #174305=CARTESIAN_POINT('Ctrl Pts',(0.348228515136775,-1.06296708466584, 0.381330734380367)); #174306=CARTESIAN_POINT('',(0.35643562600737,-0.947077988784148,0.377565285609373)); #174307=CARTESIAN_POINT('Ctrl Pts',(0.34820979690553,-1.06236646243677, 0.381316845982814)); #174308=CARTESIAN_POINT('Ctrl Pts',(0.347027874160006,-1.02378234086025, 0.380424059650094)); #174309=CARTESIAN_POINT('Ctrl Pts',(0.349800644045611,-0.985078437577901, 0.379413097311798)); #174310=CARTESIAN_POINT('Ctrl Pts',(0.35643562600737,-0.947077988784146, 0.377565285609373)); #174311=CARTESIAN_POINT('Origin',(0.297383155923109,-0.936665445030812, 0.379659255411523)); #174312=CARTESIAN_POINT('Ctrl Pts',(-0.290117047827309,-1.06350790392921, 0.443382670052399)); #174313=CARTESIAN_POINT('Ctrl Pts',(-0.289871287430555,-1.02060222639903, 0.442452314504817)); #174314=CARTESIAN_POINT('Ctrl Pts',(-0.294055592950546,-0.977444874494273, 0.441375075796575)); #174315=CARTESIAN_POINT('Ctrl Pts',(-0.302672264624203,-0.934962705608621, 0.439401418141107)); #174316=CARTESIAN_POINT('Ctrl Pts',(-0.308095637303354,-1.06295110261337, 0.442823748714994)); #174317=CARTESIAN_POINT('Ctrl Pts',(-0.307682736742262,-1.02129529878571, 0.441582636647951)); #174318=CARTESIAN_POINT('Ctrl Pts',(-0.311565696156694,-0.979335299124068, 0.440230924448454)); #174319=CARTESIAN_POINT('Ctrl Pts',(-0.319757579512916,-0.938011360777109, 0.437975707748192)); #174320=CARTESIAN_POINT('Ctrl Pts',(-0.338767458433007,-1.06231745409262, 0.42673525303511)); #174321=CARTESIAN_POINT('Ctrl Pts',(-0.337813207324617,-1.02280364293444, 0.425341175715471)); #174322=CARTESIAN_POINT('Ctrl Pts',(-0.340925447023021,-0.982974808574867, 0.423816802451917)); #174323=CARTESIAN_POINT('Ctrl Pts',(-0.348136997310521,-0.943697838870417, 0.42145066591367)); #174324=CARTESIAN_POINT('Ctrl Pts',(-0.348690516505192,-1.06267067346685, 0.39481648617861)); #174325=CARTESIAN_POINT('Ctrl Pts',(-0.347473188834113,-1.02390079884607, 0.393775110058556)); #174326=CARTESIAN_POINT('Ctrl Pts',(-0.350259161774046,-0.984922716365878, 0.392611436873111)); #174327=CARTESIAN_POINT('Ctrl Pts',(-0.3569822827839,-0.946600103723777, 0.390605317903164)); #174328=CARTESIAN_POINT('Ctrl Pts',(-0.348228515136774,-1.06296708466582, 0.381330734380367)); #174329=CARTESIAN_POINT('Ctrl Pts',(-0.346999202679967,-1.0241840242272, 0.380434528762701)); #174330=CARTESIAN_POINT('Ctrl Pts',(-0.349766215525519,-0.985275619608284, 0.379422685494959)); #174331=CARTESIAN_POINT('Ctrl Pts',(-0.35643562600737,-0.947077988784148, 0.377565285609373)); #174332=CARTESIAN_POINT('Origin',(-0.297383155923108,-0.936665445030813, 0.379659255411523)); #174333=CARTESIAN_POINT('Ctrl Pts',(-0.35643562600737,-0.947077988784148, 0.377565285609373)); #174334=CARTESIAN_POINT('Ctrl Pts',(-0.349800644045612,-0.985078437577896, 0.379413097311798)); #174335=CARTESIAN_POINT('Ctrl Pts',(-0.347027874160005,-1.02378234086026, 0.380424059650094)); #174336=CARTESIAN_POINT('Ctrl Pts',(-0.34820979690553,-1.06236646243677, 0.381316845982814)); #174337=CARTESIAN_POINT('Ctrl Pts',(0.495317979614033,-0.126162473191068, 0.212103161007931)); #174338=CARTESIAN_POINT('Ctrl Pts',(0.494081180792559,-0.133606990822295, 0.21424280034164)); #174339=CARTESIAN_POINT('Ctrl Pts',(0.492843521894012,-0.14105401927429, 0.216370669856857)); #174340=CARTESIAN_POINT('Ctrl Pts',(0.488433774179675,-0.167578406145949, 0.223906520581758)); #174341=CARTESIAN_POINT('Ctrl Pts',(0.48198838621696,-0.206312757013537, 0.234750537192091)); #174342=CARTESIAN_POINT('Ctrl Pts',(0.474214930675751,-0.252950686117372, 0.247443044282051)); #174343=CARTESIAN_POINT('Ctrl Pts',(0.468893382575365,-0.284841016072816, 0.255947892800393)); #174344=CARTESIAN_POINT('Ctrl Pts',(0.466852770717798,-0.297063357014781, 0.259177399332363)); #174345=CARTESIAN_POINT('Ctrl Pts',(0.464875764766018,-0.308902513587155, 0.262295233687872)); #174346=CARTESIAN_POINT('Ctrl Pts',(0.460309624500175,-0.336237473398416, 0.269451308033295)); #174347=CARTESIAN_POINT('Ctrl Pts',(0.452406392690118,-0.383491191816668, 0.28154606244641)); #174348=CARTESIAN_POINT('Ctrl Pts',(0.442388910984149,-0.443261278471266, 0.296255731916647)); #174349=CARTESIAN_POINT('Ctrl Pts',(0.432275281877147,-0.503451875447227, 0.310344972476239)); #174350=CARTESIAN_POINT('Ctrl Pts',(0.422615384002073,-0.560761097791772, 0.322901961034693)); #174351=CARTESIAN_POINT('Ctrl Pts',(0.416297353177349,-0.598110396896802, 0.330450247931131)); #174352=CARTESIAN_POINT('Ctrl Pts',(0.412558876575591,-0.620168089869587, 0.334705522043284)); #174353=CARTESIAN_POINT('Ctrl Pts',(0.407630623566883,-0.649235671616544, 0.340265274322168)); #174354=CARTESIAN_POINT('Ctrl Pts',(0.399055899348349,-0.699701599908117, 0.349396275625643)); #174355=CARTESIAN_POINT('Ctrl Pts',(0.391262481485107,-0.74535302191745, 0.356620283266708)); #174356=CARTESIAN_POINT('Ctrl Pts',(0.386844776735747,-0.771157457652469, 0.360351683098868)); #174357=CARTESIAN_POINT('Ctrl Pts',(0.386071277749675,-0.775673060258218, 0.360992515630675)); #174358=CARTESIAN_POINT('Ctrl Pts',(0.380577641310309,-0.807724783289462, 0.365446814318986)); #174359=CARTESIAN_POINT('Ctrl Pts',(0.372529896949435,-0.854504890366623, 0.371111045292221)); #174360=CARTESIAN_POINT('Ctrl Pts',(0.362878881034366,-0.910154876529315, 0.375667742898057)); #174361=CARTESIAN_POINT('Ctrl Pts',(0.357993965189935,-0.938161356442906, 0.377173185195526)); #174362=CARTESIAN_POINT('Ctrl Pts',(0.35643562600737,-0.947077988784147, 0.377565285609373)); #174363=CARTESIAN_POINT('Ctrl Pts',(0.496277394310491,-0.123026863260358, 0.223567572786707)); #174364=CARTESIAN_POINT('Ctrl Pts',(0.495043583745932,-0.130466734815878, 0.22576979412638)); #174365=CARTESIAN_POINT('Ctrl Pts',(0.493808560674603,-0.1379106401473, 0.227954739325731)); #174366=CARTESIAN_POINT('Ctrl Pts',(0.489406419608384,-0.164429117052858, 0.235674085844094)); #174367=CARTESIAN_POINT('Ctrl Pts',(0.482966543181969,-0.203173797814967, 0.246713132713079)); #174368=CARTESIAN_POINT('Ctrl Pts',(0.475186404583439,-0.249866010227865, 0.259475477521071)); #174369=CARTESIAN_POINT('Ctrl Pts',(0.469853776203697,-0.281812099877206, 0.267955917952152)); #174370=CARTESIAN_POINT('Ctrl Pts',(0.467807877073425,-0.294058919842163, 0.271164480730616)); #174371=CARTESIAN_POINT('Ctrl Pts',(0.465825425725139,-0.305922878274269, 0.274258234654248)); #174372=CARTESIAN_POINT('Ctrl Pts',(0.461245413790438,-0.333320051355868, 0.281343568483547)); #174373=CARTESIAN_POINT('Ctrl Pts',(0.45331151630017,-0.380708088300136, 0.293227005779084)); #174374=CARTESIAN_POINT('Ctrl Pts',(0.443241713234184,-0.440693074325939, 0.307535696409737)); #174375=CARTESIAN_POINT('Ctrl Pts',(0.433065328751207,-0.501139472379445, 0.321123171242636)); #174376=CARTESIAN_POINT('Ctrl Pts',(0.423338487804052,-0.558720376725729, 0.333144618215386)); #174377=CARTESIAN_POINT('Ctrl Pts',(0.416974619306145,-0.596258215159325, 0.340332007935689)); #174378=CARTESIAN_POINT('Ctrl Pts',(0.413208548437131,-0.618427936638081, 0.344375830604936)); #174379=CARTESIAN_POINT('Ctrl Pts',(0.408250903571669,-0.647602184164705, 0.349654623932801)); #174380=CARTESIAN_POINT('Ctrl Pts',(0.399622867783734,-0.69828171637239, 0.358315491114145)); #174381=CARTESIAN_POINT('Ctrl Pts',(0.391782355837099,-0.744128989301151, 0.365176081968117)); #174382=CARTESIAN_POINT('Ctrl Pts',(0.38733807357681,-0.770046434648879, 0.36872881767547)); #174383=CARTESIAN_POINT('Ctrl Pts',(0.386559963262753,-0.77458145609008, 0.369339284163197)); #174384=CARTESIAN_POINT('Ctrl Pts',(0.381033685684206,-0.80677222080057, 0.373585723917936)); #174385=CARTESIAN_POINT('Ctrl Pts',(0.372943872409554,-0.853757396047675, 0.379016477910709)); #174386=CARTESIAN_POINT('Ctrl Pts',(0.363242832810528,-0.909670504624098, 0.383491626663012)); #174387=CARTESIAN_POINT('Ctrl Pts',(0.358332605470527,-0.937823877137536, 0.385030774513007)); #174388=CARTESIAN_POINT('Ctrl Pts',(0.356765818988402,-0.946789335465558, 0.385441758234796)); #174389=CARTESIAN_POINT('Ctrl Pts',(0.494839220451277,-0.115905848272723, 0.247512631697507)); #174390=CARTESIAN_POINT('Ctrl Pts',(0.493574434160526,-0.123341150947259, 0.249861647244578)); #174391=CARTESIAN_POINT('Ctrl Pts',(0.492309025928405,-0.130784035937377, 0.252180975669307)); #174392=CARTESIAN_POINT('Ctrl Pts',(0.4878017297441,-0.157310051440594, 0.260338966800104)); #174393=CARTESIAN_POINT('Ctrl Pts',(0.481218796390893,-0.196108095363528, 0.271862887638525)); #174394=CARTESIAN_POINT('Ctrl Pts',(0.473297937555027,-0.242953255809239, 0.284860788542825)); #174395=CARTESIAN_POINT('Ctrl Pts',(0.46788557624641,-0.275041379360788, 0.29335111943087)); #174396=CARTESIAN_POINT('Ctrl Pts',(0.465811874748744,-0.287348794823228, 0.296538890242867)); #174397=CARTESIAN_POINT('Ctrl Pts',(0.463803395757724,-0.299273608770949, 0.299604215446552)); #174398=CARTESIAN_POINT('Ctrl Pts',(0.459166727175599,-0.326821277647769, 0.306590299222081)); #174399=CARTESIAN_POINT('Ctrl Pts',(0.451156957929269,-0.374521919585898, 0.318101275405746)); #174400=CARTESIAN_POINT('Ctrl Pts',(0.441028594585516,-0.434985582439985, 0.331643676835859)); #174401=CARTESIAN_POINT('Ctrl Pts',(0.430823853080929,-0.495984275271042, 0.344232571287805)); #174402=CARTESIAN_POINT('Ctrl Pts',(0.421092075812686,-0.554138468481603, 0.355164835500694)); #174403=CARTESIAN_POINT('Ctrl Pts',(0.414732795519669,-0.592067505266535, 0.361607859746376)); #174404=CARTESIAN_POINT('Ctrl Pts',(0.410970452946307,-0.614468370799254, 0.365215078507152)); #174405=CARTESIAN_POINT('Ctrl Pts',(0.406030251153079,-0.643857830358999, 0.36991680953194)); #174406=CARTESIAN_POINT('Ctrl Pts',(0.397427559411248,-0.694964476631126, 0.37759951589206)); #174407=CARTESIAN_POINT('Ctrl Pts',(0.389599430231174,-0.741195346713473, 0.383702839111265)); #174408=CARTESIAN_POINT('Ctrl Pts',(0.385154936445003,-0.767333070686526, 0.386885576453623)); #174409=CARTESIAN_POINT('Ctrl Pts',(0.384376660059113,-0.771905850905671, 0.387433379317447)); #174410=CARTESIAN_POINT('Ctrl Pts',(0.378846919167632,-0.804366510001683, 0.391251285001233)); #174411=CARTESIAN_POINT('Ctrl Pts',(0.370735005732316,-0.851738656719388, 0.39619620921222)); #174412=CARTESIAN_POINT('Ctrl Pts',(0.360942543648702,-0.908138958436468, 0.400533310784694)); #174413=CARTESIAN_POINT('Ctrl Pts',(0.355957904366903,-0.936559383150157, 0.40217007333386)); #174414=CARTESIAN_POINT('Ctrl Pts',(0.354363982059993,-0.945613672376826, 0.402631433852804)); #174415=CARTESIAN_POINT('Ctrl Pts',(0.478828757931642,-0.101695260975034, 0.287701295813679)); #174416=CARTESIAN_POINT('Ctrl Pts',(0.477339078526943,-0.109158833436362, 0.290290121879803)); #174417=CARTESIAN_POINT('Ctrl Pts',(0.475855928116715,-0.116636432343732, 0.292829753464876)); #174418=CARTESIAN_POINT('Ctrl Pts',(0.470600192104224,-0.14330778651584, 0.301701054336738)); #174419=CARTESIAN_POINT('Ctrl Pts',(0.463026083253455,-0.18239716251829, 0.314005639281402)); #174420=CARTESIAN_POINT('Ctrl Pts',(0.454184293522829,-0.229746144052595, 0.32734454659131)); #174421=CARTESIAN_POINT('Ctrl Pts',(0.448279493595083,-0.262235836940976, 0.335802749237808)); #174422=CARTESIAN_POINT('Ctrl Pts',(0.446040288166268,-0.274705811774911, 0.33893535097097)); #174423=CARTESIAN_POINT('Ctrl Pts',(0.443879416081415,-0.286790863529831, 0.341933142579272)); #174424=CARTESIAN_POINT('Ctrl Pts',(0.438922783584028,-0.314721792679262, 0.348706971848999)); #174425=CARTESIAN_POINT('Ctrl Pts',(0.430557267081449,-0.363144630845131, 0.359517134107617)); #174426=CARTESIAN_POINT('Ctrl Pts',(0.420314129325752,-0.424594912423801, 0.371661899269898)); #174427=CARTESIAN_POINT('Ctrl Pts',(0.410282689729738,-0.486623119478215, 0.382457263501816)); #174428=CARTESIAN_POINT('Ctrl Pts',(0.400930254716939,-0.545756305202999, 0.391444784473405)); #174429=CARTESIAN_POINT('Ctrl Pts',(0.394901195879771,-0.584305793190811, 0.396563097797389)); #174430=CARTESIAN_POINT('Ctrl Pts',(0.391344757226728,-0.607060649143192, 0.399392103816453)); #174431=CARTESIAN_POINT('Ctrl Pts',(0.386679214090175,-0.636767840031572, 0.403070002721197)); #174432=CARTESIAN_POINT('Ctrl Pts',(0.378578865833188,-0.688471364477286, 0.409018782449646)); #174433=CARTESIAN_POINT('Ctrl Pts',(0.371143045974938,-0.735175413852683, 0.413766781828099)); #174434=CARTESIAN_POINT('Ctrl Pts',(0.366875538442466,-0.761567329205632, 0.416278615030007)); #174435=CARTESIAN_POINT('Ctrl Pts',(0.36612665115142,-0.766182626534442, 0.416712358738997)); #174436=CARTESIAN_POINT('Ctrl Pts',(0.360791747052777,-0.798943466216958, 0.419747725062604)); #174437=CARTESIAN_POINT('Ctrl Pts',(0.352840612640653,-0.846711175663718, 0.423793378087271)); #174438=CARTESIAN_POINT('Ctrl Pts',(0.342804816611858,-0.903483107865684, 0.427770421849828)); #174439=CARTESIAN_POINT('Ctrl Pts',(0.337514403918958,-0.932062905770696, 0.429497713749134)); #174440=CARTESIAN_POINT('Ctrl Pts',(0.335801866509166,-0.941165303022357, 0.430018301345091)); #174441=CARTESIAN_POINT('Ctrl Pts',(0.456868590804785,-0.0918183923829277, 0.309372337667725)); #174442=CARTESIAN_POINT('Ctrl Pts',(0.455109239196836,-0.0993543166542694, 0.312020187688569)); #174443=CARTESIAN_POINT('Ctrl Pts',(0.453367560615924,-0.106909331948831, 0.314603638215614)); #174444=CARTESIAN_POINT('Ctrl Pts',(0.447229986583205,-0.133869317507321, 0.323589582462708)); #174445=CARTESIAN_POINT('Ctrl Pts',(0.43851808445253,-0.173433453919664, 0.335888810176672)); #174446=CARTESIAN_POINT('Ctrl Pts',(0.428689258116156,-0.221428020189004, 0.348878075601612)); #174447=CARTESIAN_POINT('Ctrl Pts',(0.422293516798588,-0.254372303763373, 0.356966298410767)); #174448=CARTESIAN_POINT('Ctrl Pts',(0.419897124444817,-0.267017504870535, 0.359936437786829)); #174449=CARTESIAN_POINT('Ctrl Pts',(0.417594656722054,-0.27927242016738, 0.362769750066238)); #174450=CARTESIAN_POINT('Ctrl Pts',(0.412354721463166,-0.307597383116954, 0.369134534600676)); #174451=CARTESIAN_POINT('Ctrl Pts',(0.403768438177478,-0.356693568683278, 0.379066768672619)); #174452=CARTESIAN_POINT('Ctrl Pts',(0.393677592096449,-0.418935300747051, 0.38989535131204)); #174453=CARTESIAN_POINT('Ctrl Pts',(0.384168541344994,-0.481667147027978, 0.39923028906778)); #174454=CARTESIAN_POINT('Ctrl Pts',(0.375577034912964,-0.541360972856699, 0.4067775997094)); #174455=CARTESIAN_POINT('Ctrl Pts',(0.370143594785316,-0.580204521605006, 0.41096882632075)); #174456=CARTESIAN_POINT('Ctrl Pts',(0.366947815342369,-0.60311200376044, 0.413263280717177)); #174457=CARTESIAN_POINT('Ctrl Pts',(0.362745091460171,-0.632957074794862, 0.416235869926627)); #174458=CARTESIAN_POINT('Ctrl Pts',(0.355493883685427,-0.684858370078344, 0.421014400334657)); #174459=CARTESIAN_POINT('Ctrl Pts',(0.348740304467127,-0.731635796777525, 0.424840426144921)); #174460=CARTESIAN_POINT('Ctrl Pts',(0.344802245959542,-0.75804297956867, 0.426879429518439)); #174461=CARTESIAN_POINT('Ctrl Pts',(0.344108672210999,-0.762659559814224, 0.427232087514818)); #174462=CARTESIAN_POINT('Ctrl Pts',(0.339150552556119,-0.795423049582986, 0.429704519937337)); #174463=CARTESIAN_POINT('Ctrl Pts',(0.331620476951747,-0.843126003039731, 0.43303407628463)); #174464=CARTESIAN_POINT('Ctrl Pts',(0.321577005366806,-0.899637235880562, 0.436436307105543)); #174465=CARTESIAN_POINT('Ctrl Pts',(0.316083468822215,-0.928007839510321, 0.437964877702651)); #174466=CARTESIAN_POINT('Ctrl Pts',(0.314282168149289,-0.93703434389085, 0.438432611875359)); #174467=CARTESIAN_POINT('Ctrl Pts',(0.442323979004609,-0.0867832776838667, 0.31848379917061)); #174468=CARTESIAN_POINT('Ctrl Pts',(0.440417309409921,-0.0943818187555857, 0.321109983991159)); #174469=CARTESIAN_POINT('Ctrl Pts',(0.438535857995132,-0.102000872217297, 0.323667651903522)); #174470=CARTESIAN_POINT('Ctrl Pts',(0.431930227449999,-0.129194807909319, 0.332539256223825)); #174471=CARTESIAN_POINT('Ctrl Pts',(0.422642240069149,-0.16911843270585, 0.344604769437007)); #174472=CARTESIAN_POINT('Ctrl Pts',(0.412385357081456,-0.217561542148817, 0.357171977283312)); #174473=CARTESIAN_POINT('Ctrl Pts',(0.405822676965491,-0.250808140074266, 0.364916569999046)); #174474=CARTESIAN_POINT('Ctrl Pts',(0.403382854752351,-0.263567597946581, 0.367747151230652)); #174475=CARTESIAN_POINT('Ctrl Pts',(0.40104509070607,-0.275932338426112, 0.370443211910708)); #174476=CARTESIAN_POINT('Ctrl Pts',(0.395750596415733,-0.30450774046162, 0.376483755225108)); #174477=CARTESIAN_POINT('Ctrl Pts',(0.387229085185914,-0.354007136340191, 0.385820389901881)); #174478=CARTESIAN_POINT('Ctrl Pts',(0.377482354045313,-0.416686598437704, 0.395850220770397)); #174479=CARTESIAN_POINT('Ctrl Pts',(0.368524204124615,-0.479769896612774, 0.404380443873364)); #174480=CARTESIAN_POINT('Ctrl Pts',(0.360595695809691,-0.539708905049139, 0.411188001440391)); #174481=CARTESIAN_POINT('Ctrl Pts',(0.355638044555632,-0.57865964511029, 0.414928122825615)); #174482=CARTESIAN_POINT('Ctrl Pts',(0.35272828750064,-0.601616073104646, 0.416965640477107)); #174483=CARTESIAN_POINT('Ctrl Pts',(0.348896723156198,-0.631509081334722, 0.419597700042367)); #174484=CARTESIAN_POINT('Ctrl Pts',(0.342287374222506,-0.68345504662674, 0.423833442913642)); #174485=CARTESIAN_POINT('Ctrl Pts',(0.336052849434329,-0.730208030760481, 0.427236482020649)); #174486=CARTESIAN_POINT('Ctrl Pts',(0.332376719405952,-0.756584321164799, 0.429054364384018)); #174487=CARTESIAN_POINT('Ctrl Pts',(0.33172796625284,-0.761194795088293, 0.429368814553578)); #174488=CARTESIAN_POINT('Ctrl Pts',(0.327078183751355,-0.79391077179802, 0.431574836679095)); #174489=CARTESIAN_POINT('Ctrl Pts',(0.319895070780797,-0.841511259488533, 0.434562880806509)); #174490=CARTESIAN_POINT('Ctrl Pts',(0.310008464521762,-0.897788402907501, 0.437617762505257)); #174491=CARTESIAN_POINT('Ctrl Pts',(0.304491259314277,-0.925994644325509, 0.438984775505611)); #174492=CARTESIAN_POINT('Ctrl Pts',(0.302672264624204,-0.93496270560862, 0.439401418141107)); #174493=CARTESIAN_POINT('Ctrl Pts',(0.35643562600737,-0.947077988784147, 0.377565285609373)); #174494=CARTESIAN_POINT('Ctrl Pts',(0.357993965189935,-0.938161356442906, 0.377173185195526)); #174495=CARTESIAN_POINT('Ctrl Pts',(0.362878881034366,-0.910154876529315, 0.375667742898057)); #174496=CARTESIAN_POINT('Ctrl Pts',(0.372529896949435,-0.854504890366623, 0.371111045292221)); #174497=CARTESIAN_POINT('Ctrl Pts',(0.380577641310309,-0.807724783289462, 0.365446814318986)); #174498=CARTESIAN_POINT('Ctrl Pts',(0.386071277749675,-0.775673060258218, 0.360992515630675)); #174499=CARTESIAN_POINT('Ctrl Pts',(0.386844776735747,-0.771157457652469, 0.360351683098868)); #174500=CARTESIAN_POINT('Ctrl Pts',(0.391262481485107,-0.74535302191745, 0.356620283266708)); #174501=CARTESIAN_POINT('Ctrl Pts',(0.399055899348349,-0.699701599908117, 0.349396275625643)); #174502=CARTESIAN_POINT('Ctrl Pts',(0.407630623566883,-0.649235671616544, 0.340265274322168)); #174503=CARTESIAN_POINT('Ctrl Pts',(0.412558876575591,-0.620168089869587, 0.334705522043284)); #174504=CARTESIAN_POINT('Ctrl Pts',(0.416297353177349,-0.598110396896802, 0.330450247931131)); #174505=CARTESIAN_POINT('Ctrl Pts',(0.422615384002073,-0.560761097791772, 0.322901961034693)); #174506=CARTESIAN_POINT('Ctrl Pts',(0.432275281877147,-0.503451875447227, 0.310344972476239)); #174507=CARTESIAN_POINT('Ctrl Pts',(0.442388910984149,-0.443261278471266, 0.296255731916647)); #174508=CARTESIAN_POINT('Ctrl Pts',(0.452406392690118,-0.383491191816668, 0.28154606244641)); #174509=CARTESIAN_POINT('Ctrl Pts',(0.460309624500175,-0.336237473398416, 0.269451308033295)); #174510=CARTESIAN_POINT('Ctrl Pts',(0.464875764766018,-0.308902513587155, 0.262295233687872)); #174511=CARTESIAN_POINT('Ctrl Pts',(0.466852770717798,-0.297063357014781, 0.259177399332363)); #174512=CARTESIAN_POINT('Ctrl Pts',(0.468893382575365,-0.284841016072816, 0.255947892800393)); #174513=CARTESIAN_POINT('Ctrl Pts',(0.474214930675751,-0.252950686117372, 0.247443044282051)); #174514=CARTESIAN_POINT('Ctrl Pts',(0.48198838621696,-0.206312757013537, 0.234750537192091)); #174515=CARTESIAN_POINT('Ctrl Pts',(0.488433774179675,-0.167578406145949, 0.223906520581758)); #174516=CARTESIAN_POINT('Ctrl Pts',(0.492843521894012,-0.14105401927429, 0.216370669856857)); #174517=CARTESIAN_POINT('Ctrl Pts',(0.494081180792559,-0.133606990822295, 0.21424280034164)); #174518=CARTESIAN_POINT('Ctrl Pts',(0.495317979614033,-0.126162473191068, 0.212103161007931)); #174519=CARTESIAN_POINT('Ctrl Pts',(-0.356435626007369,-0.947077988784148, 0.377565285609373)); #174520=CARTESIAN_POINT('Ctrl Pts',(-0.357993965490493,-0.938161354723147, 0.377173185119901)); #174521=CARTESIAN_POINT('Ctrl Pts',(-0.362879842282642,-0.910149365130988, 0.375667445094759)); #174522=CARTESIAN_POINT('Ctrl Pts',(-0.372532507263468,-0.854489806218008, 0.371109651353276)); #174523=CARTESIAN_POINT('Ctrl Pts',(-0.380580232902001,-0.807709697286156, 0.36544488316489)); #174524=CARTESIAN_POINT('Ctrl Pts',(-0.386072913196144,-0.775663511344502, 0.360991153945329)); #174525=CARTESIAN_POINT('Ctrl Pts',(-0.38684477084253,-0.771157490740664, 0.360351681438603)); #174526=CARTESIAN_POINT('Ctrl Pts',(-0.391264480860022,-0.745341341873314, 0.356618587471923)); #174527=CARTESIAN_POINT('Ctrl Pts',(-0.399059899318147,-0.69967813077037, 0.349392376177454)); #174528=CARTESIAN_POINT('Ctrl Pts',(-0.407634590528615,-0.649212292406281, 0.340260891183144)); #174529=CARTESIAN_POINT('Ctrl Pts',(-0.41256087366772,-0.620156305894805, 0.334703245062119)); #174530=CARTESIAN_POINT('Ctrl Pts',(-0.416299068121734,-0.59810027791489, 0.330448293442609)); #174531=CARTESIAN_POINT('Ctrl Pts',(-0.42261848672935,-0.560742733643444, 0.322898143506757)); #174532=CARTESIAN_POINT('Ctrl Pts',(-0.432279525285421,-0.503426656214471, 0.310339235705692)); #174533=CARTESIAN_POINT('Ctrl Pts',(-0.442392371934264,-0.443240652825742, 0.296250771108114)); #174534=CARTESIAN_POINT('Ctrl Pts',(-0.452409165366682,-0.383474630900542, 0.281541903903278)); #174535=CARTESIAN_POINT('Ctrl Pts',(-0.460311241135003,-0.336227801882303, 0.269448806180298)); #174536=CARTESIAN_POINT('Ctrl Pts',(-0.464876459902798,-0.308898353234586, 0.262294149475063)); #174537=CARTESIAN_POINT('Ctrl Pts',(-0.466852781670335,-0.297063293633035, 0.259177393033094)); #174538=CARTESIAN_POINT('Ctrl Pts',(-0.468893860125967,-0.284838157720036, 0.255947146762704)); #174539=CARTESIAN_POINT('Ctrl Pts',(-0.474215641220366,-0.252946426922063, 0.247441903080005)); #174540=CARTESIAN_POINT('Ctrl Pts',(-0.48198896586991,-0.206309274745385, 0.234749568061167)); #174541=CARTESIAN_POINT('Ctrl Pts',(-0.488433758752995,-0.167578497952977, 0.223906542053519)); #174542=CARTESIAN_POINT('Ctrl Pts',(-0.492843261265939,-0.141055587530928, 0.216371118196361)); #174543=CARTESIAN_POINT('Ctrl Pts',(-0.49408105057166,-0.133607774645628, 0.214243025621394)); #174544=CARTESIAN_POINT('Ctrl Pts',(-0.495317979614033,-0.126162473191066, 0.212103161007913)); #174545=CARTESIAN_POINT('Ctrl Pts',(-0.356765818988402,-0.94678933546556, 0.385441758234797)); #174546=CARTESIAN_POINT('Ctrl Pts',(-0.358332605802144,-0.937823875239964, 0.385030774426336)); #174547=CARTESIAN_POINT('Ctrl Pts',(-0.363243819777446,-0.909664844998317, 0.383491314271366)); #174548=CARTESIAN_POINT('Ctrl Pts',(-0.372946531197411,-0.853742038404054, 0.379015106990104)); #174549=CARTESIAN_POINT('Ctrl Pts',(-0.381036485748854,-0.806755944297926, 0.373583799652879)); #174550=CARTESIAN_POINT('Ctrl Pts',(-0.386561811419897,-0.77457068794441, 0.369337905676864)); #174551=CARTESIAN_POINT('Ctrl Pts',(-0.387338294549381,-0.770045149765307, 0.368728727821004)); #174552=CARTESIAN_POINT('Ctrl Pts',(-0.39178440874879,-0.744117013017186, 0.36517441958776)); #174553=CARTESIAN_POINT('Ctrl Pts',(-0.39962762759063,-0.698253869585073, 0.358311471265115)); #174554=CARTESIAN_POINT('Ctrl Pts',(-0.408255354357171,-0.647576040653932, 0.349650256238999)); #174555=CARTESIAN_POINT('Ctrl Pts',(-0.41321213186361,-0.618406957472492, 0.344372970927331)); #174556=CARTESIAN_POINT('Ctrl Pts',(-0.416973390791302,-0.596265223243353, 0.340331448949186)); #174557=CARTESIAN_POINT('Ctrl Pts',(-0.42334152528613,-0.558702423945512, 0.333141014392399)); #174558=CARTESIAN_POINT('Ctrl Pts',(-0.433068566334513,-0.501120146726645, 0.321118110487372)); #174559=CARTESIAN_POINT('Ctrl Pts',(-0.443245086644992,-0.440672989298078, 0.307530934652644)); #174560=CARTESIAN_POINT('Ctrl Pts',(-0.4533140212156,-0.380693103199925, 0.293223059829478)); #174561=CARTESIAN_POINT('Ctrl Pts',(-0.461246992321117,-0.333310607345473, 0.281341114653123)); #174562=CARTESIAN_POINT('Ctrl Pts',(-0.465826045471891,-0.305919157407599, 0.274257192919388)); #174563=CARTESIAN_POINT('Ctrl Pts',(-0.467807853879097,-0.294059054566151, 0.271164486129579)); #174564=CARTESIAN_POINT('Ctrl Pts',(-0.469854213738711,-0.281809474982255, 0.267955192456153)); #174565=CARTESIAN_POINT('Ctrl Pts',(-0.475187088391524,-0.24986190546297, 0.259474344930402)); #174566=CARTESIAN_POINT('Ctrl Pts',(-0.482967106482043,-0.203170406750823, 0.246712152262415)); #174567=CARTESIAN_POINT('Ctrl Pts',(-0.489406400131408,-0.164429231886386, 0.235674105780596)); #174568=CARTESIAN_POINT('Ctrl Pts',(-0.493808299427128,-0.137912214661747, 0.227955200122573)); #174569=CARTESIAN_POINT('Ctrl Pts',(-0.495043453875518,-0.130467517934217, 0.225770025933284)); #174570=CARTESIAN_POINT('Ctrl Pts',(-0.496277394310492,-0.123026863260356, 0.223567572786691)); #174571=CARTESIAN_POINT('Ctrl Pts',(-0.354363982059993,-0.945613672376828, 0.402631433852804)); #174572=CARTESIAN_POINT('Ctrl Pts',(-0.355957904755804,-0.936559380937627, 0.402170073224375)); #174573=CARTESIAN_POINT('Ctrl Pts',(-0.360943580579713,-0.908132987677476, 0.400532971856425)); #174574=CARTESIAN_POINT('Ctrl Pts',(-0.370737744160711,-0.851722728111045, 0.396194897862803)); #174575=CARTESIAN_POINT('Ctrl Pts',(-0.378850057396884,-0.8043476700202, 0.391249465029706)); #174576=CARTESIAN_POINT('Ctrl Pts',(-0.384378857204225,-0.771892450027539, 0.387432061382747)); #174577=CARTESIAN_POINT('Ctrl Pts',(-0.385155548626824,-0.767328929019328, 0.386885411039839)); #174578=CARTESIAN_POINT('Ctrl Pts',(-0.389601543248478,-0.741182754323433, 0.383701273804441)); #174579=CARTESIAN_POINT('Ctrl Pts',(-0.39743356647699,-0.694927175846037, 0.377595605331449)); #174580=CARTESIAN_POINT('Ctrl Pts',(-0.406035471046323,-0.643825722099063, 0.36991269586308)); #174581=CARTESIAN_POINT('Ctrl Pts',(-0.410976715930492,-0.614427501249971, 0.365211769254897)); #174582=CARTESIAN_POINT('Ctrl Pts',(-0.414726518308463,-0.592111582579483, 0.361608769353214)); #174583=CARTESIAN_POINT('Ctrl Pts',(-0.421094963101635,-0.554121413452183, 0.355161633093861)); #174584=CARTESIAN_POINT('Ctrl Pts',(-0.430825334017854,-0.495977706357804, 0.344228400385238)); #174585=CARTESIAN_POINT('Ctrl Pts',(-0.441031801585042,-0.434966664640155, 0.331639258285645)); #174586=CARTESIAN_POINT('Ctrl Pts',(-0.451159009189572,-0.374510336879264, 0.318097614456983)); #174587=CARTESIAN_POINT('Ctrl Pts',(-0.459168251763824,-0.326812320256736, 0.306587909309048)); #174588=CARTESIAN_POINT('Ctrl Pts',(-0.463803897880346,-0.299270832503001, 0.299603219369388)); #174589=CARTESIAN_POINT('Ctrl Pts',(-0.46581179097162,-0.287349361733006, 0.296538902238144)); #174590=CARTESIAN_POINT('Ctrl Pts',(-0.467885949277117,-0.275039259667161, 0.293350411205784)); #174591=CARTESIAN_POINT('Ctrl Pts',(-0.473298584041893,-0.242949484789743, 0.284859652185627)); #174592=CARTESIAN_POINT('Ctrl Pts',(-0.481219345577784,-0.196104899012402, 0.271861868602756)); #174593=CARTESIAN_POINT('Ctrl Pts',(-0.487801703521656,-0.157310217818953, 0.260338981692434)); #174594=CARTESIAN_POINT('Ctrl Pts',(-0.492308756179718,-0.130785625387009, 0.25218146494363)); #174595=CARTESIAN_POINT('Ctrl Pts',(-0.493574301085211,-0.123341933244527, 0.249861894409526)); #174596=CARTESIAN_POINT('Ctrl Pts',(-0.49483922045128,-0.115905848272722, 0.247512631697493)); #174597=CARTESIAN_POINT('Ctrl Pts',(-0.335801866509165,-0.941165303022358, 0.43001830134509)); #174598=CARTESIAN_POINT('Ctrl Pts',(-0.33751440435214,-0.93206290342642, 0.42949771362284)); #174599=CARTESIAN_POINT('Ctrl Pts',(-0.342805912100315,-0.903476691890382, 0.427770066409072)); #174600=CARTESIAN_POINT('Ctrl Pts',(-0.352843378027274,-0.84669442813593, 0.423792220478391)); #174601=CARTESIAN_POINT('Ctrl Pts',(-0.360794806899411,-0.798920573308317, 0.419746231272283)); #174602=CARTESIAN_POINT('Ctrl Pts',(-0.36612877332298,-0.766165028292304, 0.416711289058418)); #174603=CARTESIAN_POINT('Ctrl Pts',(-0.366876139128232,-0.761558593777136, 0.416278460256578)); #174604=CARTESIAN_POINT('Ctrl Pts',(-0.371145051797658,-0.735161842054084, 0.413765479455968)); #174605=CARTESIAN_POINT('Ctrl Pts',(-0.37858459155478,-0.688418889441206, 0.409015599329338)); #174606=CARTESIAN_POINT('Ctrl Pts',(-0.386684163987565,-0.636726141544137, 0.403066686427859)); #174607=CARTESIAN_POINT('Ctrl Pts',(-0.391350782662367,-0.606987720565677, 0.399389154211955)); #174608=CARTESIAN_POINT('Ctrl Pts',(-0.394895054626889,-0.584409629732894, 0.396564460136533)); #174609=CARTESIAN_POINT('Ctrl Pts',(-0.400932993277883,-0.545740687490303, 0.391442232805868)); #174610=CARTESIAN_POINT('Ctrl Pts',(-0.410284138375999,-0.486637070179448, 0.38245392741119)); #174611=CARTESIAN_POINT('Ctrl Pts',(-0.420317343619093,-0.424577812097385, 0.371658023163512)); #174612=CARTESIAN_POINT('Ctrl Pts',(-0.430559435183984,-0.363138469835529, 0.359513793805348)); #174613=CARTESIAN_POINT('Ctrl Pts',(-0.438924417848913,-0.31471357460831, 0.348704676465915)); #174614=CARTESIAN_POINT('Ctrl Pts',(-0.44387999381166,-0.286789603072605, 0.34193218713794)); #174615=CARTESIAN_POINT('Ctrl Pts',(-0.446040202650992,-0.274707068790095, 0.338935344757263)); #174616=CARTESIAN_POINT('Ctrl Pts',(-0.448279908153088,-0.262234515586216, 0.335802044256932)); #174617=CARTESIAN_POINT('Ctrl Pts',(-0.454185012507531,-0.229742883792659, 0.327343385258851)); #174618=CARTESIAN_POINT('Ctrl Pts',(-0.46302672413598,-0.182394260870659, 0.31400454747073)); #174619=CARTESIAN_POINT('Ctrl Pts',(-0.470600167711708,-0.143308040585348, 0.301701058746065)); #174620=CARTESIAN_POINT('Ctrl Pts',(-0.475855612533193,-0.11663805364618, 0.292830288846362)); #174621=CARTESIAN_POINT('Ctrl Pts',(-0.477338921794335,-0.109159618581299, 0.290290394257062)); #174622=CARTESIAN_POINT('Ctrl Pts',(-0.478828757931647,-0.101695260975035, 0.287701295813671)); #174623=CARTESIAN_POINT('Ctrl Pts',(-0.314282168149288,-0.937034343890851, 0.438432611875359)); #174624=CARTESIAN_POINT('Ctrl Pts',(-0.316083469214385,-0.928007837513302, 0.437964877601178)); #174625=CARTESIAN_POINT('Ctrl Pts',(-0.321578095330967,-0.899630706388974, 0.436435973761855)); #174626=CARTESIAN_POINT('Ctrl Pts',(-0.331623123092017,-0.843109043147711, 0.433033065587199)); #174627=CARTESIAN_POINT('Ctrl Pts',(-0.339153030588491,-0.795398688535444, 0.429703110519504)); #174628=CARTESIAN_POINT('Ctrl Pts',(-0.344110223232181,-0.762640405805629, 0.427231024044366)); #174629=CARTESIAN_POINT('Ctrl Pts',(-0.344802340473112,-0.758032519569314, 0.426879086255128)); #174630=CARTESIAN_POINT('Ctrl Pts',(-0.348742041493108,-0.731621763356928, 0.424839340478311)); #174631=CARTESIAN_POINT('Ctrl Pts',(-0.355497566998584,-0.684800102611533, 0.421011141048296)); #174632=CARTESIAN_POINT('Ctrl Pts',(-0.36274861343467,-0.632911654571781, 0.416232762522893)); #174633=CARTESIAN_POINT('Ctrl Pts',(-0.366950097377002,-0.603026694972699, 0.413259395781988)); #174634=CARTESIAN_POINT('Ctrl Pts',(-0.370143951739713,-0.580331366979726, 0.41097274579286)); #174635=CARTESIAN_POINT('Ctrl Pts',(-0.375579677937009,-0.541345860026828, 0.406775582125937)); #174636=CARTESIAN_POINT('Ctrl Pts',(-0.384172051146527,-0.481688897116291, 0.399228386693584)); #174637=CARTESIAN_POINT('Ctrl Pts',(-0.393680940612793,-0.418918773779926, 0.389892036547357)); #174638=CARTESIAN_POINT('Ctrl Pts',(-0.403771276397391,-0.356689388750552, 0.379063987068677)); #174639=CARTESIAN_POINT('Ctrl Pts',(-0.412356542367547,-0.30758937165405, 0.369132431037708)); #174640=CARTESIAN_POINT('Ctrl Pts',(-0.417595476740651,-0.279271749783756, 0.362768930099931)); #174641=CARTESIAN_POINT('Ctrl Pts',(-0.419897110649579,-0.267019001844377, 0.359936444755192)); #174642=CARTESIAN_POINT('Ctrl Pts',(-0.422294060501531,-0.254371255208528, 0.356965657327468)); #174643=CARTESIAN_POINT('Ctrl Pts',(-0.428690111263839,-0.221424897512453, 0.348876969142409)); #174644=CARTESIAN_POINT('Ctrl Pts',(-0.43851886509149,-0.173430631403748, 0.335887729774627)); #174645=CARTESIAN_POINT('Ctrl Pts',(-0.447229973884396,-0.13386960918876, 0.323589582843815)); #174646=CARTESIAN_POINT('Ctrl Pts',(-0.453367193261794,-0.106910978913958, 0.314604183254461)); #174647=CARTESIAN_POINT('Ctrl Pts',(-0.455109054011187,-0.0993551097758675, 0.312020466383518)); #174648=CARTESIAN_POINT('Ctrl Pts',(-0.45686859080479,-0.0918183923829291, 0.30937233766772)); #174649=CARTESIAN_POINT('Ctrl Pts',(-0.302672264624203,-0.934962705608621, 0.439401418141106)); #174650=CARTESIAN_POINT('Ctrl Pts',(-0.304491259665108,-0.925994642595831, 0.438984775425252)); #174651=CARTESIAN_POINT('Ctrl Pts',(-0.310009537206992,-0.897781876804464, 0.437617445326255)); #174652=CARTESIAN_POINT('Ctrl Pts',(-0.319897618946298,-0.841494301709089, 0.434561938483769)); #174653=CARTESIAN_POINT('Ctrl Pts',(-0.327080337884899,-0.793886152387354, 0.431573391335452)); #174654=CARTESIAN_POINT('Ctrl Pts',(-0.331729215195173,-0.761175350589459, 0.429367672088933)); #174655=CARTESIAN_POINT('Ctrl Pts',(-0.33237658562056,-0.756573531461617, 0.429053839397944)); #174656=CARTESIAN_POINT('Ctrl Pts',(-0.336054401625338,-0.730193843027606, 0.427235487318378)); #174657=CARTESIAN_POINT('Ctrl Pts',(-0.342290011972506,-0.683395598902568, 0.423829847558911)); #174658=CARTESIAN_POINT('Ctrl Pts',(-0.34889946204002,-0.631462865335439, 0.419594512459179)); #174659=CARTESIAN_POINT('Ctrl Pts',(-0.352728778720626,-0.601528180391907, 0.41696069841757)); #174660=CARTESIAN_POINT('Ctrl Pts',(-0.355641344352877,-0.578791239657177, 0.41493441530718)); #174661=CARTESIAN_POINT('Ctrl Pts',(-0.36059820080653,-0.539693860123121, 0.411186276310372)); #174662=CARTESIAN_POINT('Ctrl Pts',(-0.368528531389881,-0.479793188540576, 0.404379665110822)); #174663=CARTESIAN_POINT('Ctrl Pts',(-0.377485666486865,-0.416670105685613, 0.395847264451992)); #174664=CARTESIAN_POINT('Ctrl Pts',(-0.387232168533253,-0.354003293668244, 0.385818044832932)); #174665=CARTESIAN_POINT('Ctrl Pts',(-0.395752476436864,-0.304499718683806, 0.376481802494406)); #174666=CARTESIAN_POINT('Ctrl Pts',(-0.401046018843009,-0.27593179223032, 0.370442512050297)); #174667=CARTESIAN_POINT('Ctrl Pts',(-0.403382870708653,-0.26356912792371, 0.367747175157932)); #174668=CARTESIAN_POINT('Ctrl Pts',(-0.405823272688168,-0.250807124398557, 0.364915988617894)); #174669=CARTESIAN_POINT('Ctrl Pts',(-0.412386264930178,-0.217558408345309, 0.357170926609266)); #174670=CARTESIAN_POINT('Ctrl Pts',(-0.422643089619444,-0.169115601858459, 0.344603721847963)); #174671=CARTESIAN_POINT('Ctrl Pts',(-0.431930220979105,-0.129195109388856, 0.332539256807361)); #174672=CARTESIAN_POINT('Ctrl Pts',(-0.438535462253158,-0.10200253438866, 0.32366819261)); #174673=CARTESIAN_POINT('Ctrl Pts',(-0.440417108659226,-0.0943826187958457, 0.321110260498653)); #174674=CARTESIAN_POINT('Ctrl Pts',(-0.442323979004613,-0.0867832776838688, 0.318483799170607)); #174675=CARTESIAN_POINT('Origin',(0.34346146315976,-0.944790293822009,0.000302401252951568)); #174676=CARTESIAN_POINT('',(0.34346146315976,-0.944790293822009,0.000302401252951568)); #174677=CARTESIAN_POINT('Origin',(0.914477181471395,-1.04547577136898,0.49641278)); #174678=CARTESIAN_POINT('',(1.15919166872332,-1.57112901798393,0.000302401252951558)); #174679=CARTESIAN_POINT('Origin',(1.36290445129721,-2.00870950918995,0.49641278)); #174680=CARTESIAN_POINT('',(1.57865760452916,-1.57693855030252,0.000302401252951585)); #174681=CARTESIAN_POINT('Origin',(1.81791599128695,-1.69649441740668,0.000302401252951541)); #174682=CARTESIAN_POINT('',(1.81791599128695,-1.69649441740668,0.000302401252951579)); #174683=CARTESIAN_POINT('Origin',(1.734026145,-1.86437701300098,0.49641278)); #174684=CARTESIAN_POINT('',(1.89071084714763,-1.96768227098155,0.000302401252951558)); #174685=CARTESIAN_POINT('Origin',(0.,-0.7211,0.49641278)); #174686=CARTESIAN_POINT('',(-1.89071084714763,-1.96768227098155,0.00030240125295159)); #174687=CARTESIAN_POINT('Origin',(-1.734026145,-1.86437701300098,0.49641278)); #174688=CARTESIAN_POINT('',(-1.81791599128695,-1.69649441740668,0.000302401252951579)); #174689=CARTESIAN_POINT('Origin',(-1.57865760452916,-1.57693855030252,0.00030240125295159)); #174690=CARTESIAN_POINT('',(-1.57865760452916,-1.57693855030252,0.00030240125295159)); #174691=CARTESIAN_POINT('Origin',(-1.36290445129721,-2.00870950918995,0.49641278)); #174692=CARTESIAN_POINT('',(-1.15919166872332,-1.57112901798393,0.000302401252951547)); #174693=CARTESIAN_POINT('Origin',(-0.914477181471395,-1.04547577136898, 0.49641278)); #174694=CARTESIAN_POINT('Origin',(0.205249375,0.149497927498345,-0.37141278)); #174695=CARTESIAN_POINT('',(0.205249375,0.149497927498345,-0.49641278)); #174696=CARTESIAN_POINT('Ctrl Pts',(-0.47802792000521,-2.15219173457392, 0.2110164612006)); #174697=CARTESIAN_POINT('Ctrl Pts',(-0.477931740656032,-2.15224684499238, 0.204665894037849)); #174698=CARTESIAN_POINT('Ctrl Pts',(-0.477835561306853,-2.15230195541083, 0.198315326875098)); #174699=CARTESIAN_POINT('Ctrl Pts',(-0.477739381957675,-2.15235706582928, 0.191964759712347)); #174700=CARTESIAN_POINT('Ctrl Pts',(-0.450260431538982,-2.10373158776298, 0.216203938867331)); #174701=CARTESIAN_POINT('Ctrl Pts',(-0.45016984389869,-2.10379646080537, 0.20985337170458)); #174702=CARTESIAN_POINT('Ctrl Pts',(-0.450079241610723,-2.1038612965606, 0.203502804541829)); #174703=CARTESIAN_POINT('Ctrl Pts',(-0.449988653970431,-2.10392616960299, 0.197152237379078)); #174704=CARTESIAN_POINT('Ctrl Pts',(-0.415059462839258,-2.05950613078735, 0.221803065619106)); #174705=CARTESIAN_POINT('Ctrl Pts',(-0.414975957316733,-2.0595798977685, 0.215452498456355)); #174706=CARTESIAN_POINT('Ctrl Pts',(-0.414892438127026,-2.05965364016859, 0.209101931293603)); #174707=CARTESIAN_POINT('Ctrl Pts',(-0.414808932604502,-2.05972740714975, 0.202751364130852)); #174708=CARTESIAN_POINT('Ctrl Pts',(-0.373983780363039,-2.02153534237578, 0.227321898887878)); #174709=CARTESIAN_POINT('Ctrl Pts',(-0.373908534723415,-2.02161674089633, 0.220971331725127)); #174710=CARTESIAN_POINT('Ctrl Pts',(-0.373833289083792,-2.02169813941689, 0.214620764562376)); #174711=CARTESIAN_POINT('Ctrl Pts',(-0.373758043444169,-2.02177953793744, 0.208270197399625)); #174712=CARTESIAN_POINT('',(-0.374865591077501,-2.02058142547212,0.301744791630314)); #174713=CARTESIAN_POINT('Ctrl Pts',(-0.374021674534893,-2.02149434957485, 0.230520083987238)); #174714=CARTESIAN_POINT('Ctrl Pts',(-0.373848429138702,-2.02168176135064, 0.215898552033278)); #174715=CARTESIAN_POINT('Ctrl Pts',(-0.373675183742511,-2.02186917312643, 0.201277020079317)); #174716=CARTESIAN_POINT('Ctrl Pts',(-0.373501938346319,-2.02205658490222, 0.186655488125357)); #174717=CARTESIAN_POINT('Ctrl Pts',(-0.346228474882676,-1.99580202653467, 0.234253935387052)); #174718=CARTESIAN_POINT('Ctrl Pts',(-0.346068213405201,-1.9960011742407, 0.219632403433091)); #174719=CARTESIAN_POINT('Ctrl Pts',(-0.345907621280029,-1.99620081591065, 0.205010871479131)); #174720=CARTESIAN_POINT('Ctrl Pts',(-0.345747359802555,-1.99639996361668, 0.190389339525171)); #174721=CARTESIAN_POINT('Ctrl Pts',(-0.252403950739286,-1.92426661321725, 0.244642669390185)); #174722=CARTESIAN_POINT('Ctrl Pts',(-0.252286999474828,-1.92449914573725, 0.230021137436225)); #174723=CARTESIAN_POINT('Ctrl Pts',(-0.252170164396087,-1.92473142256138, 0.215399605482264)); #174724=CARTESIAN_POINT('Ctrl Pts',(-0.252053213131629,-1.92496395508138, 0.200778073528304)); #174725=CARTESIAN_POINT('Ctrl Pts',(-0.0942739336950976,-1.87360168014364, 0.251988481657911)); #174726=CARTESIAN_POINT('Ctrl Pts',(-0.0942302130636631,-1.87385759326867, 0.237366949703951)); #174727=CARTESIAN_POINT('Ctrl Pts',(-0.0941866523597571,-1.87411351228715, 0.22274541774999)); #174728=CARTESIAN_POINT('Ctrl Pts',(-0.0941429317283226,-1.87436942541218, 0.20812388579603)); #174729=CARTESIAN_POINT('Ctrl Pts',(0.0564151319670836,-1.87411322077099, 0.251914625515636)); #174730=CARTESIAN_POINT('Ctrl Pts',(0.0563889438686819,-1.87436886198035, 0.237293093561676)); #174731=CARTESIAN_POINT('Ctrl Pts',(0.0563629262512688,-1.87462461399923, 0.222671561607715)); #174732=CARTESIAN_POINT('Ctrl Pts',(0.0563367381528671,-1.87488025520859, 0.208050029653755)); #174733=CARTESIAN_POINT('Ctrl Pts',(0.173700948783846,-1.89838709523382, 0.248394607892959)); #174734=CARTESIAN_POINT('Ctrl Pts',(0.173620494332828,-1.89863157236684, 0.233773075938999)); #174735=CARTESIAN_POINT('Ctrl Pts',(0.173540030407515,-1.8988759218435, 0.219151543985038)); #174736=CARTESIAN_POINT('Ctrl Pts',(0.173459575956497,-1.89912039897652, 0.204530012031078)); #174737=CARTESIAN_POINT('Ctrl Pts',(0.283288309632489,-1.94861798456946, 0.241106639400981)); #174738=CARTESIAN_POINT('Ctrl Pts',(0.283157082884886,-1.94883910688943, 0.22648510744702)); #174739=CARTESIAN_POINT('Ctrl Pts',(0.283025882224893,-1.94906036572993, 0.21186357549306)); #174740=CARTESIAN_POINT('Ctrl Pts',(0.282894655477289,-1.9492814880499, 0.1972420435391)); #174741=CARTESIAN_POINT('Ctrl Pts',(0.346228474882676,-1.99580202653467, 0.234253935387052)); #174742=CARTESIAN_POINT('Ctrl Pts',(0.346068077436842,-1.99600139320293, 0.219632403433091)); #174743=CARTESIAN_POINT('Ctrl Pts',(0.345907757248389,-1.99620059694842, 0.205010871479131)); #174744=CARTESIAN_POINT('Ctrl Pts',(0.345747359802555,-1.99639996361668, 0.19038933952517)); #174745=CARTESIAN_POINT('Ctrl Pts',(0.374021674534893,-2.02149434957485, 0.230520083987238)); #174746=CARTESIAN_POINT('Ctrl Pts',(0.373848429138702,-2.02168176135064, 0.215898552033278)); #174747=CARTESIAN_POINT('Ctrl Pts',(0.373675183742511,-2.02186917312643, 0.201277020079317)); #174748=CARTESIAN_POINT('Ctrl Pts',(0.37350193834632,-2.02205658490222, 0.186655488125357)); #174749=CARTESIAN_POINT('Origin',(0.,-1.0635,-9.09534846392466)); #174750=CARTESIAN_POINT('Origin',(-6.35384747455174E-17,-1.0635,0.391211172963641)); #174751=CARTESIAN_POINT('Origin',(6.65003044292321E-17,-0.4393379,-0.49641278)); #174752=CARTESIAN_POINT('Origin',(0.,0.,0.)); #174753=CARTESIAN_POINT('',(0.,0.095,1.16341445918999E-17)); #174754=CARTESIAN_POINT('Origin',(0.,0.,0.)); #174755=CARTESIAN_POINT('',(0.,0.095,1.16341445918999E-17)); #174756=CARTESIAN_POINT('Ctrl Pts',(-0.93759465713232,-1.48474259669998, 0.301744791630311)); #174757=CARTESIAN_POINT('Ctrl Pts',(-0.751897131450953,-1.89806501301189, 0.301744791630311)); #174758=CARTESIAN_POINT('Ctrl Pts',(-0.321512839588234,-2.03979839718066, 0.301744791630311)); #174759=CARTESIAN_POINT('Ctrl Pts',(-1.34374741005711,-1.66721893548604, 0.253041065333048)); #174760=CARTESIAN_POINT('Ctrl Pts',(-1.07760834101468,-2.25958678038867, 0.253041065333048)); #174761=CARTESIAN_POINT('Ctrl Pts',(-0.460787657235793,-2.4627170632317, 0.253041065333047)); #174762=CARTESIAN_POINT('Ctrl Pts',(-1.75223909948673,-1.85074611183748, 0.243983411786811)); #174763=CARTESIAN_POINT('Ctrl Pts',(-1.40519524348605,-2.62319046510545, 0.243983411786811)); #174764=CARTESIAN_POINT('Ctrl Pts',(-0.600864525227347,-2.8880712166689, 0.243983411786811)); #174765=CARTESIAN_POINT('Ctrl Pts',(-0.977619600283374,-1.41135402791794, 0.391211172964281)); #174766=CARTESIAN_POINT('Ctrl Pts',(-0.732200367194744,-2.10108774751873, 0.391211172964281)); #174767=CARTESIAN_POINT('Ctrl Pts',(-0.00010529249085172,-2.10116203385806, 0.391211172964281)); #174768=CARTESIAN_POINT('Ctrl Pts',(0.731989782213041,-2.10123632019739, 0.391211172964281)); #174769=CARTESIAN_POINT('Ctrl Pts',(0.977548986036177,-1.41155242061592, 0.391211172964281)); #174770=CARTESIAN_POINT('Ctrl Pts',(-1.4524648822298,-1.58031222384099, 0.336081509128439)); #174771=CARTESIAN_POINT('Ctrl Pts',(-1.08784165108582,-2.60506050581027, 0.336081509128439)); #174772=CARTESIAN_POINT('Ctrl Pts',(-0.000156434716816537,-2.60517087419801, 0.336081509128439)); #174773=CARTESIAN_POINT('Ctrl Pts',(1.08752878165219,-2.60528124258575, 0.336081509128438)); #174774=CARTESIAN_POINT('Ctrl Pts',(1.45235996952734,-1.58060697900611, 0.336081509128438)); #174775=CARTESIAN_POINT('Ctrl Pts',(-1.93012844474993,-1.75027321292519, 0.332211519323018)); #174776=CARTESIAN_POINT('Ctrl Pts',(-1.44559372128922,-3.11202442077616, 0.332211519323018)); #174777=CARTESIAN_POINT('Ctrl Pts',(-0.000207880479843662,-3.11217108536487, 0.332211519323018)); #174778=CARTESIAN_POINT('Ctrl Pts',(1.44517796032953,-3.11231774995359, 0.332211519323018)); #174779=CARTESIAN_POINT('Ctrl Pts',(1.92998903002555,-1.75066490248367, 0.332211519323018)); #174780=CARTESIAN_POINT('Ctrl Pts',(0.229550782918269,-1.06560748100039, 0.355003317888267)); #174781=CARTESIAN_POINT('Ctrl Pts',(0.229550681026538,-1.06487042062348, 0.355004216400683)); #174782=CARTESIAN_POINT('Ctrl Pts',(0.229543830623155,-1.01271637947687, 0.355066145996878)); #174783=CARTESIAN_POINT('Ctrl Pts',(0.229577788404525,-0.909165740478304, 0.354956731874749)); #174784=CARTESIAN_POINT('Ctrl Pts',(0.229728029067343,-0.80636970857417, 0.354376125611458)); #174785=CARTESIAN_POINT('Ctrl Pts',(0.229946439045002,-0.703588284646446, 0.353550097648482)); #174786=CARTESIAN_POINT('Ctrl Pts',(0.230078339512604,-0.65227443506744, 0.35300630814634)); #174787=CARTESIAN_POINT('Ctrl Pts',(0.230301102627704,-0.585513071859354, 0.35213423997509)); #174788=CARTESIAN_POINT('Ctrl Pts',(0.230363516150742,-0.570318021341057, 0.351877447577092)); #174789=CARTESIAN_POINT('Ctrl Pts',(0.230426429490796,-0.555020880333613, 0.351562969860269)); #174790=CARTESIAN_POINT('Ctrl Pts',(0.16397070756386,-1.06348463780354, 0.356546801068343)); #174791=CARTESIAN_POINT('Ctrl Pts',(0.163973016845992,-1.06275123423821, 0.35656495963003)); #174792=CARTESIAN_POINT('Ctrl Pts',(0.164136397395262,-1.01085570386585, 0.35784766869674)); #174793=CARTESIAN_POINT('Ctrl Pts',(0.164515847426251,-0.907804283731107, 0.360113848837399)); #174794=CARTESIAN_POINT('Ctrl Pts',(0.165005725802592,-0.805479617808738, 0.361804819008728)); #174795=CARTESIAN_POINT('Ctrl Pts',(0.165550115342185,-0.703157021735014, 0.363210514154379)); #174796=CARTESIAN_POINT('Ctrl Pts',(0.165840696069954,-0.651995309119788, 0.363768953418866)); #174797=CARTESIAN_POINT('Ctrl Pts',(0.166257187999102,-0.585453821926418, 0.364308760009722)); #174798=CARTESIAN_POINT('Ctrl Pts',(0.166360417558322,-0.570306421693634, 0.364373064259248)); #174799=CARTESIAN_POINT('Ctrl Pts',(0.166464496417963,-0.555054230969294, 0.364380637094838)); #174800=CARTESIAN_POINT('Ctrl Pts',(0.0109330749163181,-1.06048825803854, 0.358758293429535)); #174801=CARTESIAN_POINT('Ctrl Pts',(0.0109338679922927,-1.05976026756169, 0.358800437122627)); #174802=CARTESIAN_POINT('Ctrl Pts',(0.0109899169146575,-1.00824779004871, 0.361780628494285)); #174803=CARTESIAN_POINT('Ctrl Pts',(0.0111106852605993,-0.90593024158479, 0.367351870648855)); #174804=CARTESIAN_POINT('Ctrl Pts',(0.0112460562998063,-0.804280597615738, 0.372204586558118)); #174805=CARTESIAN_POINT('Ctrl Pts',(0.0113865593245416,-0.702608813889506, 0.376722344971959)); #174806=CARTESIAN_POINT('Ctrl Pts',(0.0114586356384204,-0.651672378120234, 0.378827001248472)); #174807=CARTESIAN_POINT('Ctrl Pts',(0.0115553934687658,-0.585432085611119, 0.381342820450112)); #174808=CARTESIAN_POINT('Ctrl Pts',(0.0115779962007589,-0.570351336234055, 0.381856705154007)); #174809=CARTESIAN_POINT('Ctrl Pts',(0.0116007827249255,-0.55516090411724, 0.382314831001458)); #174810=CARTESIAN_POINT('Ctrl Pts',(-0.142110682445723,-1.06277702340459, 0.357061295461701)); #174811=CARTESIAN_POINT('Ctrl Pts',(-0.142113798838808,-1.06204489256153, 0.357085304498926)); #174812=CARTESIAN_POINT('Ctrl Pts',(-0.142334090357899,-1.01023869067136, 0.358779994324155)); #174813=CARTESIAN_POINT('Ctrl Pts',(-0.142828521301322,-0.90735320924193, 0.361831495389809)); #174814=CARTESIAN_POINT('Ctrl Pts',(-0.143431623897576,-0.805185598601222, 0.364278453494092)); #174815=CARTESIAN_POINT('Ctrl Pts',(-0.144084708991102,-0.703015678568901, 0.366428851056124)); #174816=CARTESIAN_POINT('Ctrl Pts',(-0.144427942968779,-0.651902807318544, 0.367348985585676)); #174817=CARTESIAN_POINT('Ctrl Pts',(-0.144909124049118,-0.585433914355735, 0.368363802068851)); #174818=CARTESIAN_POINT('Ctrl Pts',(-0.14502598454569,-0.570302372909752, 0.368536154071523)); #174819=CARTESIAN_POINT('Ctrl Pts',(-0.145143852060352,-0.555065347847855, 0.368653192839694)); #174820=CARTESIAN_POINT('Ctrl Pts',(-0.229550782918267,-1.0656074810004, 0.355003317888267)); #174821=CARTESIAN_POINT('Ctrl Pts',(-0.229550681026537,-1.06487042062348, 0.355004216400683)); #174822=CARTESIAN_POINT('Ctrl Pts',(-0.229543830623153,-1.01271637947687, 0.355066145996878)); #174823=CARTESIAN_POINT('Ctrl Pts',(-0.229577788404524,-0.909165740478304, 0.354956731874749)); #174824=CARTESIAN_POINT('Ctrl Pts',(-0.229728029067342,-0.80636970857417, 0.354376125611458)); #174825=CARTESIAN_POINT('Ctrl Pts',(-0.229946439045002,-0.703588284646446, 0.353550097648482)); #174826=CARTESIAN_POINT('Ctrl Pts',(-0.230078339512604,-0.65227443506744, 0.35300630814634)); #174827=CARTESIAN_POINT('Ctrl Pts',(-0.230301102627703,-0.585513071859354, 0.35213423997509)); #174828=CARTESIAN_POINT('Ctrl Pts',(-0.230363516150742,-0.570318021341057, 0.351877447577091)); #174829=CARTESIAN_POINT('Ctrl Pts',(-0.230426429490796,-0.555020880333613, 0.351562969860269)); #174830=CARTESIAN_POINT('Origin',(0.,0.28966492,0.30842068)); #174831=CARTESIAN_POINT('',(0.,0.,0.)); #174832=CARTESIAN_POINT('Origin',(-8.2875349138352E-10,-0.118001602564103, 0.)); #174833=CARTESIAN_POINT('',(0.156499999171247,0.,0.)); #174834=CARTESIAN_POINT('Origin',(-8.2875349143044E-10,0.,0.)); #174835=CARTESIAN_POINT('Origin',(0.124499999585623,-1.68056966105643E-17, 0.)); #174836=CARTESIAN_POINT('',(-0.092500001657507,0.,-1.1327982993606E-17)); #174837=CARTESIAN_POINT('Origin',(-8.2875349143044E-10,0.,0.)); #174838=CARTESIAN_POINT('Origin',(-8.28753491685794E-10,-0.424500003250461, 0.)); #174839=CARTESIAN_POINT('',(-0.092500001657507,-0.849000006500921,-1.1327982993606E-17)); #174840=CARTESIAN_POINT('',(-8.28753503232423E-10,-0.849000006500921,0.0925000008287535)); #174841=CARTESIAN_POINT('Origin',(-8.28753491941148E-10,-0.849000006500921, 0.)); #174842=CARTESIAN_POINT('',(-0.092500001657507,-0.424500003250461,-1.1327982993606E-17)); #174843=CARTESIAN_POINT('Origin',(-8.28753491941148E-10,-0.849000006500921, 0.)); #174844=CARTESIAN_POINT('Origin',(-8.2875349190444E-10,-0.849,0.)); #174845=CARTESIAN_POINT('',(-8.2875350629404E-10,-0.849,0.117500000828753)); #174846=CARTESIAN_POINT('Origin',(-8.28753504763232E-10,-0.849,0.105000000828753)); #174847=CARTESIAN_POINT('Origin',(-8.28753491941148E-10,-0.849,0.)); #174848=CARTESIAN_POINT('Origin',(0.129375000414376,-0.849,0.)); #174849=CARTESIAN_POINT('',(-8.28753509202576E-10,-0.849,0.141250001657506)); #174850=CARTESIAN_POINT('Origin',(-8.28753491941148E-10,-0.849,0.)); #174851=CARTESIAN_POINT('Origin',(-8.2875349190444E-10,-0.849,0.)); #174852=CARTESIAN_POINT('',(-0.0687500008287535,-0.849,-8.41944674413805E-18)); #174853=CARTESIAN_POINT('',(-8.28753500323887E-10,-0.849,0.06875)); #174854=CARTESIAN_POINT('Origin',(-8.28753491941148E-10,-0.849,0.)); #174855=CARTESIAN_POINT('Origin',(-8.28753491941148E-10,-0.849,0.)); #174856=CARTESIAN_POINT('Origin',(-8.28753504763232E-10,-0.849,0.105000000828753)); #174857=CARTESIAN_POINT('Origin',(-8.2875349193032E-10,-0.831,0.)); #174858=CARTESIAN_POINT('',(-0.0687500008287535,-0.813,-8.41944674413805E-18)); #174859=CARTESIAN_POINT('',(-0.0687500008287535,-0.831,-8.41944674413805E-18)); #174860=CARTESIAN_POINT('Origin',(-8.28753491919493E-10,-0.813,0.)); #174861=CARTESIAN_POINT('Origin',(-8.28753491911966E-10,-0.800488523197099, 0.)); #174862=CARTESIAN_POINT('',(-8.2875349190444E-10,-0.787977046394198,0.)); #174863=CARTESIAN_POINT('',(-0.0343750008287535,-0.800488523197099,-4.20972337206903E-18)); #174864=CARTESIAN_POINT('',(0.,0.,0.)); #174865=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0295275590551182,0.)); #174866=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0295275590551182,0.27592502)); #174867=CARTESIAN_POINT('Ctrl Pts',(0.,0.0295275590551182,0.27592502)); #174868=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,0.0295275590551182,0.27592502)); #174869=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,0.0295275590551182,0.)); #174870=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,0.0295275590551182,-0.27592502)); #174871=CARTESIAN_POINT('Ctrl Pts',(0.,0.0295275590551182,-0.27592502)); #174872=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0295275590551182,-0.27592502)); #174873=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0295275590551182,0.)); #174874=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0590551181102363,0.)); #174875=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0590551181102363,0.27592502)); #174876=CARTESIAN_POINT('Ctrl Pts',(0.,0.0590551181102363,0.27592502)); #174877=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,0.0590551181102363,0.27592502)); #174878=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,0.0590551181102363,0.)); #174879=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,0.0590551181102363,-0.27592502)); #174880=CARTESIAN_POINT('Ctrl Pts',(0.,0.0590551181102363,-0.27592502)); #174881=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0590551181102363,-0.27592502)); #174882=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0590551181102363,0.)); #174883=CARTESIAN_POINT('Ctrl Pts',(0.24821251,0.0590551181102363,0.)); #174884=CARTESIAN_POINT('Ctrl Pts',(0.24821251,0.0590551181102363,0.24821251)); #174885=CARTESIAN_POINT('Ctrl Pts',(0.,0.0590551181102363,0.24821251)); #174886=CARTESIAN_POINT('Ctrl Pts',(-0.24821251,0.0590551181102363,0.24821251)); #174887=CARTESIAN_POINT('Ctrl Pts',(-0.24821251,0.0590551181102363,0.)); #174888=CARTESIAN_POINT('Ctrl Pts',(-0.24821251,0.0590551181102363,-0.24821251)); #174889=CARTESIAN_POINT('Ctrl Pts',(0.,0.0590551181102363,-0.24821251)); #174890=CARTESIAN_POINT('Ctrl Pts',(0.24821251,0.0590551181102363,-0.24821251)); #174891=CARTESIAN_POINT('Ctrl Pts',(0.24821251,0.0590551181102363,0.)); #174892=CARTESIAN_POINT('Ctrl Pts',(0.2205,0.0590551181102362,0.)); #174893=CARTESIAN_POINT('Ctrl Pts',(0.2205,0.0590551181102362,0.2205)); #174894=CARTESIAN_POINT('Ctrl Pts',(0.,0.0590551181102362,0.2205)); #174895=CARTESIAN_POINT('Ctrl Pts',(-0.2205,0.0590551181102362,0.2205)); #174896=CARTESIAN_POINT('Ctrl Pts',(-0.2205,0.0590551181102362,0.)); #174897=CARTESIAN_POINT('Ctrl Pts',(-0.2205,0.0590551181102362,-0.2205)); #174898=CARTESIAN_POINT('Ctrl Pts',(0.,0.0590551181102362,-0.2205)); #174899=CARTESIAN_POINT('Ctrl Pts',(0.2205,0.0590551181102362,-0.2205)); #174900=CARTESIAN_POINT('Ctrl Pts',(0.2205,0.0590551181102362,0.)); #174901=CARTESIAN_POINT('Ctrl Pts',(0.2205,0.0295275590551181,0.)); #174902=CARTESIAN_POINT('Ctrl Pts',(0.2205,0.0295275590551181,0.2205)); #174903=CARTESIAN_POINT('Ctrl Pts',(0.,0.0295275590551181,0.2205)); #174904=CARTESIAN_POINT('Ctrl Pts',(-0.2205,0.0295275590551181,0.2205)); #174905=CARTESIAN_POINT('Ctrl Pts',(-0.2205,0.0295275590551181,0.)); #174906=CARTESIAN_POINT('Ctrl Pts',(-0.2205,0.0295275590551181,-0.2205)); #174907=CARTESIAN_POINT('Ctrl Pts',(0.,0.0295275590551181,-0.2205)); #174908=CARTESIAN_POINT('Ctrl Pts',(0.2205,0.0295275590551181,-0.2205)); #174909=CARTESIAN_POINT('Ctrl Pts',(0.2205,0.0295275590551181,0.)); #174910=CARTESIAN_POINT('Ctrl Pts',(0.2205,2.21166347356845E-17,0.)); #174911=CARTESIAN_POINT('Ctrl Pts',(0.2205,2.21166347356845E-17,0.2205)); #174912=CARTESIAN_POINT('Ctrl Pts',(0.,2.18547839493141E-17,0.2205)); #174913=CARTESIAN_POINT('Ctrl Pts',(-0.2205,2.15929331629437E-17,0.2205)); #174914=CARTESIAN_POINT('Ctrl Pts',(-0.2205,2.15929331629437E-17,0.)); #174915=CARTESIAN_POINT('Ctrl Pts',(-0.2205,2.15929331629437E-17,-0.2205)); #174916=CARTESIAN_POINT('Ctrl Pts',(0.,2.18547839493141E-17,-0.2205)); #174917=CARTESIAN_POINT('Ctrl Pts',(0.2205,2.21166347356845E-17,-0.2205)); #174918=CARTESIAN_POINT('Ctrl Pts',(0.2205,2.21166347356845E-17,0.)); #174919=CARTESIAN_POINT('Ctrl Pts',(0.24821251,3.27821759239712E-17,0.)); #174920=CARTESIAN_POINT('Ctrl Pts',(0.24821251,3.27821759239712E-17,0.24821251)); #174921=CARTESIAN_POINT('Ctrl Pts',(0.,3.27821759239712E-17,0.24821251)); #174922=CARTESIAN_POINT('Ctrl Pts',(-0.24821251,3.27821759239712E-17,0.24821251)); #174923=CARTESIAN_POINT('Ctrl Pts',(-0.24821251,3.27821759239712E-17,0.)); #174924=CARTESIAN_POINT('Ctrl Pts',(-0.24821251,3.27821759239712E-17,-0.24821251)); #174925=CARTESIAN_POINT('Ctrl Pts',(0.,3.27821759239712E-17,-0.24821251)); #174926=CARTESIAN_POINT('Ctrl Pts',(0.24821251,3.27821759239712E-17,-0.24821251)); #174927=CARTESIAN_POINT('Ctrl Pts',(0.24821251,3.27821759239712E-17,0.)); #174928=CARTESIAN_POINT('Ctrl Pts',(0.27592502,4.34477171122578E-17,0.)); #174929=CARTESIAN_POINT('Ctrl Pts',(0.27592502,4.34477171122578E-17,0.27592502)); #174930=CARTESIAN_POINT('Ctrl Pts',(0.,4.37095678986282E-17,0.27592502)); #174931=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,4.39714186849986E-17,0.27592502)); #174932=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,4.39714186849986E-17,0.)); #174933=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,4.39714186849986E-17,-0.27592502)); #174934=CARTESIAN_POINT('Ctrl Pts',(0.,4.37095678986282E-17,-0.27592502)); #174935=CARTESIAN_POINT('Ctrl Pts',(0.27592502,4.34477171122578E-17,-0.27592502)); #174936=CARTESIAN_POINT('Ctrl Pts',(0.27592502,4.34477171122578E-17,0.)); #174937=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0295275590551182,0.)); #174938=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0295275590551182,0.27592502)); #174939=CARTESIAN_POINT('Ctrl Pts',(0.,0.0295275590551182,0.27592502)); #174940=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,0.0295275590551182,0.27592502)); #174941=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,0.0295275590551182,0.)); #174942=CARTESIAN_POINT('Ctrl Pts',(-0.27592502,0.0295275590551182,-0.27592502)); #174943=CARTESIAN_POINT('Ctrl Pts',(0.,0.0295275590551182,-0.27592502)); #174944=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0295275590551182,-0.27592502)); #174945=CARTESIAN_POINT('Ctrl Pts',(0.27592502,0.0295275590551182,0.)); #174946=CARTESIAN_POINT('',(0.27592502,0.0295275590551182,0.)); #174947=CARTESIAN_POINT('Origin',(0.24821251,0.0295275590551181,0.)); #174948=CARTESIAN_POINT('Origin',(0.,0.0295275590551182,0.)); #174949=CARTESIAN_POINT('',(0.,0.,0.)); #174950=CARTESIAN_POINT('Origin',(0.,0.,-0.06)); #174951=CARTESIAN_POINT('',(-0.33070866,4.0500130191931E-17,-0.066)); #174952=CARTESIAN_POINT('Origin',(0.,0.,-0.066)); #174953=CARTESIAN_POINT('',(-0.33070866,4.0500130191931E-17,-0.06)); #174954=CARTESIAN_POINT('',(-0.33070866,4.0500130191931E-17,-0.06)); #174955=CARTESIAN_POINT('Origin',(0.,0.,-0.06)); #174956=CARTESIAN_POINT('Origin',(2.93926109004912E-17,0.,-0.066)); #174957=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #174958=CARTESIAN_POINT('',(-0.405344785,4.96404193501322E-17,-0.111)); #174959=CARTESIAN_POINT('Origin',(0.,0.,-0.111)); #174960=CARTESIAN_POINT('',(-0.395344785,-4.84157725509849E-17,-0.101)); #174961=CARTESIAN_POINT('Origin',(-0.405344785,-4.96404193501322E-17,-0.101)); #174962=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #174963=CARTESIAN_POINT('Origin',(0.,0.,-0.111)); #174964=CARTESIAN_POINT('',(-0.395344785,4.84157725509849E-17,-0.07)); #174965=CARTESIAN_POINT('',(-0.395344785,-4.84157725509849E-17,-0.111)); #174966=CARTESIAN_POINT('Origin',(0.,0.,-0.07)); #174967=CARTESIAN_POINT('Origin',(1.73851550748353E-17,0.,-0.111)); #174968=CARTESIAN_POINT('',(0.4475,-5.4802944261844E-17,-0.111)); #174969=CARTESIAN_POINT('Origin',(0.,0.,-0.111)); #174970=CARTESIAN_POINT('Origin',(0.,0.,-0.07)); #174971=CARTESIAN_POINT('',(-0.385344785,-4.71911257518375E-17,-0.06)); #174972=CARTESIAN_POINT('Origin',(0.,0.,-0.06)); #174973=CARTESIAN_POINT('Origin',(-0.385344785,-4.71911257518375E-17,-0.07)); #174974=CARTESIAN_POINT('Origin',(1.85439165428872E-17,0.,-0.06)); #174975=CARTESIAN_POINT('Origin',(0.,0.,0.)); #174976=CARTESIAN_POINT('',(0.4475,5.4802944261844E-17,0.)); #174977=CARTESIAN_POINT('',(0.4475,-5.4802944261844E-17,0.)); #174978=CARTESIAN_POINT('Origin',(0.,0.,0.)); #174979=CARTESIAN_POINT('Origin',(-1.73851550748353E-17,0.,0.)); #174980=CARTESIAN_POINT('',(0.,0.,0.)); #174981=CARTESIAN_POINT('',(-1.03761790779828E-82,5.19862566238051E-17, 0.211999999999999)); #174982=CARTESIAN_POINT('',(-0.4245,-9.7054737354947E-33,0.212000000828753)); #174983=CARTESIAN_POINT('',(-2.88193740935942E-31,-1.54326511114114E-32, -0.37945753)); #174984=CARTESIAN_POINT('',(6.61754975377686E-17,-2.42609999999997,0.467087219999997)); #174985=CARTESIAN_POINT('',(0.,0.,0.)); #174986=CARTESIAN_POINT('',(0.,0.,0.)); #174987=CARTESIAN_POINT('',(0.,0.,0.)); #174988=CARTESIAN_POINT('',(0.,0.,0.)); #174989=CARTESIAN_POINT('Ctrl Pts',(0.321448148148278,-0.681062064804652, 0.1196)); #174990=CARTESIAN_POINT('Ctrl Pts',(0.321448148148278,-0.681062064804652, 0.1096)); #174991=CARTESIAN_POINT('Ctrl Pts',(0.318498453086009,-0.684080357426509, 0.1196)); #174992=CARTESIAN_POINT('Ctrl Pts',(0.318498453086009,-0.684080357426509, 0.1096)); #174993=CARTESIAN_POINT('Ctrl Pts',(0.308346014267035,-0.687373040286716, 0.1196)); #174994=CARTESIAN_POINT('Ctrl Pts',(0.308346014267035,-0.687373040286716, 0.1096)); #174995=CARTESIAN_POINT('Ctrl Pts',(0.29942833152064,-0.687373040286716, 0.1196)); #174996=CARTESIAN_POINT('Ctrl Pts',(0.29942833152064,-0.687373040286716, 0.1096)); #174997=CARTESIAN_POINT('',(0.29942833152064,-0.687373040286716,0.1196)); #174998=CARTESIAN_POINT('',(0.321448148148278,-0.681062064804652,0.1196)); #174999=CARTESIAN_POINT('Ctrl Pts',(0.29942833152064,-0.687373040286716, 0.1196)); #175000=CARTESIAN_POINT('Ctrl Pts',(0.308346014267035,-0.687373040286716, 0.1196)); #175001=CARTESIAN_POINT('Ctrl Pts',(0.318498453086009,-0.684080357426509, 0.1196)); #175002=CARTESIAN_POINT('Ctrl Pts',(0.321448148148278,-0.681062064804652, 0.1196)); #175003=CARTESIAN_POINT('',(0.29942833152064,-0.687373040286716,0.1096)); #175004=CARTESIAN_POINT('',(0.29942833152064,-0.687373040286716,0.1196)); #175005=CARTESIAN_POINT('',(0.321448148148278,-0.681062064804652,0.1096)); #175006=CARTESIAN_POINT('Ctrl Pts',(0.29942833152064,-0.687373040286716, 0.1096)); #175007=CARTESIAN_POINT('Ctrl Pts',(0.308346014267035,-0.687373040286716, 0.1096)); #175008=CARTESIAN_POINT('Ctrl Pts',(0.318498453086009,-0.684080357426509, 0.1096)); #175009=CARTESIAN_POINT('Ctrl Pts',(0.321448148148278,-0.681062064804652, 0.1096)); #175010=CARTESIAN_POINT('',(0.321448148148278,-0.681062064804652,0.1196)); #175011=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.652319687337423, 0.1196)); #175012=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.652319687337423, 0.1096)); #175013=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.662540723715984, 0.1196)); #175014=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.662540723715984, 0.1096)); #175015=CARTESIAN_POINT('Ctrl Pts',(0.325701196842713,-0.676809016110217, 0.1196)); #175016=CARTESIAN_POINT('Ctrl Pts',(0.325701196842713,-0.676809016110217, 0.1096)); #175017=CARTESIAN_POINT('Ctrl Pts',(0.321448148148278,-0.681062064804652, 0.1196)); #175018=CARTESIAN_POINT('Ctrl Pts',(0.321448148148278,-0.681062064804652, 0.1096)); #175019=CARTESIAN_POINT('',(0.330434428454261,-0.652319687337423,0.1196)); #175020=CARTESIAN_POINT('Ctrl Pts',(0.321448148148278,-0.681062064804652, 0.1196)); #175021=CARTESIAN_POINT('Ctrl Pts',(0.325701196842713,-0.676809016110217, 0.1196)); #175022=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.662540723715984, 0.1196)); #175023=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.652319687337423, 0.1196)); #175024=CARTESIAN_POINT('',(0.330434428454261,-0.652319687337423,0.1096)); #175025=CARTESIAN_POINT('Ctrl Pts',(0.321448148148278,-0.681062064804652, 0.1096)); #175026=CARTESIAN_POINT('Ctrl Pts',(0.325701196842713,-0.676809016110217, 0.1096)); #175027=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.662540723715984, 0.1096)); #175028=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.652319687337423, 0.1096)); #175029=CARTESIAN_POINT('',(0.330434428454261,-0.652319687337423,0.1196)); #175030=CARTESIAN_POINT('Ctrl Pts',(0.314519794629924,-0.620490419688749, 0.1196)); #175031=CARTESIAN_POINT('Ctrl Pts',(0.314519794629924,-0.620490419688749, 0.1096)); #175032=CARTESIAN_POINT('Ctrl Pts',(0.321173757909927,-0.623028529393493, 0.1196)); #175033=CARTESIAN_POINT('Ctrl Pts',(0.321173757909927,-0.623028529393493, 0.1096)); #175034=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.638188590062365, 0.1196)); #175035=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.638188590062365, 0.1096)); #175036=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.652319687337423, 0.1196)); #175037=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.652319687337423, 0.1096)); #175038=CARTESIAN_POINT('',(0.314519794629924,-0.620490419688749,0.1196)); #175039=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.652319687337423, 0.1196)); #175040=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.638188590062365, 0.1196)); #175041=CARTESIAN_POINT('Ctrl Pts',(0.321173757909927,-0.623028529393493, 0.1196)); #175042=CARTESIAN_POINT('Ctrl Pts',(0.314519794629924,-0.620490419688749, 0.1196)); #175043=CARTESIAN_POINT('',(0.314519794629924,-0.620490419688749,0.1096)); #175044=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.652319687337423, 0.1096)); #175045=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.638188590062365, 0.1096)); #175046=CARTESIAN_POINT('Ctrl Pts',(0.321173757909927,-0.623028529393493, 0.1096)); #175047=CARTESIAN_POINT('Ctrl Pts',(0.314519794629924,-0.620490419688749, 0.1096)); #175048=CARTESIAN_POINT('',(0.314519794629924,-0.620490419688749,0.1196)); #175049=CARTESIAN_POINT('Ctrl Pts',(0.299153941282289,-0.618638285579883, 0.1196)); #175050=CARTESIAN_POINT('Ctrl Pts',(0.299153941282289,-0.618638285579883, 0.1096)); #175051=CARTESIAN_POINT('Ctrl Pts',(0.309717965458788,-0.618638285579883, 0.1196)); #175052=CARTESIAN_POINT('Ctrl Pts',(0.309717965458788,-0.618638285579883, 0.1096)); #175053=CARTESIAN_POINT('Ctrl Pts',(0.314519794629924,-0.620490419688749, 0.1196)); #175054=CARTESIAN_POINT('Ctrl Pts',(0.314519794629924,-0.620490419688749, 0.1096)); #175055=CARTESIAN_POINT('',(0.299153941282289,-0.618638285579883,0.1196)); #175056=CARTESIAN_POINT('Ctrl Pts',(0.314519794629924,-0.620490419688749, 0.1196)); #175057=CARTESIAN_POINT('Ctrl Pts',(0.309717965458788,-0.618638285579883, 0.1196)); #175058=CARTESIAN_POINT('Ctrl Pts',(0.299153941282289,-0.618638285579883, 0.1196)); #175059=CARTESIAN_POINT('',(0.299153941282289,-0.618638285579883,0.1096)); #175060=CARTESIAN_POINT('Ctrl Pts',(0.314519794629924,-0.620490419688749, 0.1096)); #175061=CARTESIAN_POINT('Ctrl Pts',(0.309717965458788,-0.618638285579883, 0.1096)); #175062=CARTESIAN_POINT('Ctrl Pts',(0.299153941282289,-0.618638285579883, 0.1096)); #175063=CARTESIAN_POINT('',(0.299153941282289,-0.618638285579883,0.1196)); #175064=CARTESIAN_POINT('Origin',(0.280221014836095,-0.618638285579883, 0.1196)); #175065=CARTESIAN_POINT('',(0.280221014836095,-0.618638285579883,0.1196)); #175066=CARTESIAN_POINT('',(0.140110507418048,-0.618638285579883,0.1196)); #175067=CARTESIAN_POINT('',(0.280221014836095,-0.618638285579883,0.1096)); #175068=CARTESIAN_POINT('',(0.288513767565781,-0.618638285579883,0.1096)); #175069=CARTESIAN_POINT('',(0.280221014836095,-0.618638285579883,0.1196)); #175070=CARTESIAN_POINT('Origin',(0.280221014836095,-0.687373040286716, 0.1196)); #175071=CARTESIAN_POINT('',(0.280221014836095,-0.687373040286716,0.1196)); #175072=CARTESIAN_POINT('',(0.280221014836095,-0.341034231914928,0.1196)); #175073=CARTESIAN_POINT('',(0.280221014836095,-0.687373040286716,0.1096)); #175074=CARTESIAN_POINT('',(0.280221014836095,-0.670194889629267,0.1096)); #175075=CARTESIAN_POINT('',(0.280221014836095,-0.687373040286716,0.1196)); #175076=CARTESIAN_POINT('Origin',(0.29942833152064,-0.687373040286716,0.1196)); #175077=CARTESIAN_POINT('',(0.14971416576032,-0.687373040286716,0.1196)); #175078=CARTESIAN_POINT('',(0.298117425908053,-0.687373040286716,0.1096)); #175079=CARTESIAN_POINT('Origin',(0.300800282712393,-0.698005662022803, 0.1196)); #175080=CARTESIAN_POINT('',(0.300800282712393,-0.698005662022803,0.1196)); #175081=CARTESIAN_POINT('',(0.268285039467843,-0.698005662022803,0.1196)); #175082=CARTESIAN_POINT('',(0.150400141356196,-0.698005662022803,0.1196)); #175083=CARTESIAN_POINT('',(0.300800282712393,-0.698005662022803,0.1096)); #175084=CARTESIAN_POINT('',(0.300800282712393,-0.698005662022803,0.1196)); #175085=CARTESIAN_POINT('',(0.268285039467843,-0.698005662022803,0.1096)); #175086=CARTESIAN_POINT('',(0.300800282712393,-0.698005662022803,0.1096)); #175087=CARTESIAN_POINT('',(0.268285039467843,-0.698005662022803,0.1196)); #175088=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.652525480016186, 0.1196)); #175089=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.652525480016186, 0.1096)); #175090=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.662746516394747, 0.1196)); #175091=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.662746516394747, 0.1096)); #175092=CARTESIAN_POINT('Ctrl Pts',(0.337911562449316,-0.678592552659496, 0.1196)); #175093=CARTESIAN_POINT('Ctrl Pts',(0.337911562449316,-0.678592552659496, 0.1096)); #175094=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.688950784157232, 0.1196)); #175095=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.688950784157232, 0.1096)); #175096=CARTESIAN_POINT('Ctrl Pts',(0.321516745707865,-0.694918771841359, 0.1196)); #175097=CARTESIAN_POINT('Ctrl Pts',(0.321516745707865,-0.694918771841359, 0.1096)); #175098=CARTESIAN_POINT('Ctrl Pts',(0.308963392303324,-0.698005662022803, 0.1196)); #175099=CARTESIAN_POINT('Ctrl Pts',(0.308963392303324,-0.698005662022803, 0.1096)); #175100=CARTESIAN_POINT('Ctrl Pts',(0.300800282712393,-0.698005662022803, 0.1196)); #175101=CARTESIAN_POINT('Ctrl Pts',(0.300800282712393,-0.698005662022803, 0.1096)); #175102=CARTESIAN_POINT('',(0.342713391620452,-0.652525480016186,0.1196)); #175103=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.652525480016186, 0.1196)); #175104=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.662746516394747, 0.1196)); #175105=CARTESIAN_POINT('Ctrl Pts',(0.337911562449316,-0.678592552659496, 0.1196)); #175106=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.688950784157232, 0.1196)); #175107=CARTESIAN_POINT('Ctrl Pts',(0.321516745707865,-0.694918771841359, 0.1196)); #175108=CARTESIAN_POINT('Ctrl Pts',(0.308963392303324,-0.698005662022803, 0.1196)); #175109=CARTESIAN_POINT('Ctrl Pts',(0.300800282712393,-0.698005662022803, 0.1196)); #175110=CARTESIAN_POINT('',(0.342713391620452,-0.652525480016186,0.1096)); #175111=CARTESIAN_POINT('',(0.342713391620452,-0.652525480016186,0.1196)); #175112=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.652525480016186, 0.1096)); #175113=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.662746516394747, 0.1096)); #175114=CARTESIAN_POINT('Ctrl Pts',(0.337911562449316,-0.678592552659496, 0.1096)); #175115=CARTESIAN_POINT('Ctrl Pts',(0.330434428454261,-0.688950784157232, 0.1096)); #175116=CARTESIAN_POINT('Ctrl Pts',(0.321516745707865,-0.694918771841359, 0.1096)); #175117=CARTESIAN_POINT('Ctrl Pts',(0.308963392303324,-0.698005662022803, 0.1096)); #175118=CARTESIAN_POINT('Ctrl Pts',(0.300800282712393,-0.698005662022803, 0.1096)); #175119=CARTESIAN_POINT('Ctrl Pts',(0.328513696785807,-0.615757188077201, 0.1196)); #175120=CARTESIAN_POINT('Ctrl Pts',(0.328513696785807,-0.615757188077201, 0.1096)); #175121=CARTESIAN_POINT('Ctrl Pts',(0.335647842982923,-0.621725175761327, 0.1196)); #175122=CARTESIAN_POINT('Ctrl Pts',(0.335647842982923,-0.621725175761327, 0.1096)); #175123=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.640452309528758, 0.1196)); #175124=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.640452309528758, 0.1096)); #175125=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.652525480016186, 0.1196)); #175126=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.652525480016186, 0.1096)); #175127=CARTESIAN_POINT('',(0.328513696785807,-0.615757188077201,0.1196)); #175128=CARTESIAN_POINT('Ctrl Pts',(0.328513696785807,-0.615757188077201, 0.1196)); #175129=CARTESIAN_POINT('Ctrl Pts',(0.335647842982923,-0.621725175761327, 0.1196)); #175130=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.640452309528758, 0.1196)); #175131=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.652525480016186, 0.1196)); #175132=CARTESIAN_POINT('',(0.328513696785807,-0.615757188077201,0.1096)); #175133=CARTESIAN_POINT('',(0.328513696785807,-0.615757188077201,0.1196)); #175134=CARTESIAN_POINT('Ctrl Pts',(0.328513696785807,-0.615757188077201, 0.1096)); #175135=CARTESIAN_POINT('Ctrl Pts',(0.335647842982923,-0.621725175761327, 0.1096)); #175136=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.640452309528758, 0.1096)); #175137=CARTESIAN_POINT('Ctrl Pts',(0.342713391620452,-0.652525480016186, 0.1096)); #175138=CARTESIAN_POINT('Ctrl Pts',(0.315342965344976,-0.609309017475961, 0.1196)); #175139=CARTESIAN_POINT('Ctrl Pts',(0.315342965344976,-0.609309017475961, 0.1096)); #175140=CARTESIAN_POINT('Ctrl Pts',(0.323094489578382,-0.61109255402524, 0.1196)); #175141=CARTESIAN_POINT('Ctrl Pts',(0.323094489578382,-0.61109255402524, 0.1096)); #175142=CARTESIAN_POINT('Ctrl Pts',(0.328513696785807,-0.615757188077201, 0.1196)); #175143=CARTESIAN_POINT('Ctrl Pts',(0.328513696785807,-0.615757188077201, 0.1096)); #175144=CARTESIAN_POINT('',(0.315342965344976,-0.609309017475961,0.1196)); #175145=CARTESIAN_POINT('Ctrl Pts',(0.315342965344976,-0.609309017475961, 0.1196)); #175146=CARTESIAN_POINT('Ctrl Pts',(0.323094489578382,-0.61109255402524, 0.1196)); #175147=CARTESIAN_POINT('Ctrl Pts',(0.328513696785807,-0.615757188077201, 0.1196)); #175148=CARTESIAN_POINT('',(0.315342965344976,-0.609309017475961,0.1096)); #175149=CARTESIAN_POINT('',(0.315342965344976,-0.609309017475961,0.1196)); #175150=CARTESIAN_POINT('Ctrl Pts',(0.315342965344976,-0.609309017475961, 0.1096)); #175151=CARTESIAN_POINT('Ctrl Pts',(0.323094489578382,-0.61109255402524, 0.1096)); #175152=CARTESIAN_POINT('Ctrl Pts',(0.328513696785807,-0.615757188077201, 0.1096)); #175153=CARTESIAN_POINT('Ctrl Pts',(0.299291136401464,-0.608005663843796, 0.1196)); #175154=CARTESIAN_POINT('Ctrl Pts',(0.299291136401464,-0.608005663843796, 0.1096)); #175155=CARTESIAN_POINT('Ctrl Pts',(0.309786563018376,-0.608005663843796, 0.1196)); #175156=CARTESIAN_POINT('Ctrl Pts',(0.309786563018376,-0.608005663843796, 0.1096)); #175157=CARTESIAN_POINT('Ctrl Pts',(0.315342965344976,-0.609309017475961, 0.1196)); #175158=CARTESIAN_POINT('Ctrl Pts',(0.315342965344976,-0.609309017475961, 0.1096)); #175159=CARTESIAN_POINT('',(0.299291136401464,-0.608005663843796,0.1196)); #175160=CARTESIAN_POINT('Ctrl Pts',(0.299291136401464,-0.608005663843796, 0.1196)); #175161=CARTESIAN_POINT('Ctrl Pts',(0.309786563018376,-0.608005663843796, 0.1196)); #175162=CARTESIAN_POINT('Ctrl Pts',(0.315342965344976,-0.609309017475961, 0.1196)); #175163=CARTESIAN_POINT('',(0.299291136401464,-0.608005663843796,0.1096)); #175164=CARTESIAN_POINT('',(0.299291136401464,-0.608005663843796,0.1196)); #175165=CARTESIAN_POINT('Ctrl Pts',(0.299291136401464,-0.608005663843796, 0.1096)); #175166=CARTESIAN_POINT('Ctrl Pts',(0.309786563018376,-0.608005663843796, 0.1096)); #175167=CARTESIAN_POINT('Ctrl Pts',(0.315342965344976,-0.609309017475961, 0.1096)); #175168=CARTESIAN_POINT('Origin',(0.268285039467843,-0.608005663843796, 0.1196)); #175169=CARTESIAN_POINT('',(0.268285039467843,-0.608005663843796,0.1196)); #175170=CARTESIAN_POINT('',(0.134142519733921,-0.608005663843796,0.1196)); #175171=CARTESIAN_POINT('',(0.268285039467843,-0.608005663843796,0.1096)); #175172=CARTESIAN_POINT('',(0.268285039467843,-0.608005663843796,0.1196)); #175173=CARTESIAN_POINT('',(0.268285039467843,-0.608005663843796,0.1096)); #175174=CARTESIAN_POINT('Origin',(0.268285039467843,-0.698005662022803, 0.1196)); #175175=CARTESIAN_POINT('',(0.268285039467843,-0.346350542782972,0.1196)); #175176=CARTESIAN_POINT('',(0.268285039467843,-0.698005662022803,0.1096)); #175177=CARTESIAN_POINT('Origin',(0.296806520295467,-0.653016738971819, 0.1096)); #175178=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.632563590176177, 0.1196)); #175179=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.632563590176177, 0.1096)); #175180=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.6368852364302, 0.1196)); #175181=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.6368852364302, 0.1096)); #175182=CARTESIAN_POINT('Ctrl Pts',(0.232545710922673,-0.644362370425255, 0.1196)); #175183=CARTESIAN_POINT('Ctrl Pts',(0.232545710922673,-0.644362370425255, 0.1096)); #175184=CARTESIAN_POINT('Ctrl Pts',(0.223353637937926,-0.64772365084505, 0.1196)); #175185=CARTESIAN_POINT('Ctrl Pts',(0.223353637937926,-0.64772365084505, 0.1096)); #175186=CARTESIAN_POINT('Ctrl Pts',(0.215190528346995,-0.64772365084505, 0.1196)); #175187=CARTESIAN_POINT('Ctrl Pts',(0.215190528346995,-0.64772365084505, 0.1096)); #175188=CARTESIAN_POINT('',(0.215190528346995,-0.64772365084505,0.1196)); #175189=CARTESIAN_POINT('',(0.237347540093809,-0.632563590176177,0.1196)); #175190=CARTESIAN_POINT('Ctrl Pts',(0.215190528346995,-0.64772365084505, 0.1196)); #175191=CARTESIAN_POINT('Ctrl Pts',(0.223353637937926,-0.64772365084505, 0.1196)); #175192=CARTESIAN_POINT('Ctrl Pts',(0.232545710922673,-0.644362370425255, 0.1196)); #175193=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.6368852364302, 0.1196)); #175194=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.632563590176177, 0.1196)); #175195=CARTESIAN_POINT('',(0.215190528346995,-0.64772365084505,0.1096)); #175196=CARTESIAN_POINT('',(0.215190528346995,-0.64772365084505,0.1196)); #175197=CARTESIAN_POINT('',(0.237347540093809,-0.632563590176177,0.1096)); #175198=CARTESIAN_POINT('Ctrl Pts',(0.215190528346995,-0.64772365084505, 0.1096)); #175199=CARTESIAN_POINT('Ctrl Pts',(0.223353637937926,-0.64772365084505, 0.1096)); #175200=CARTESIAN_POINT('Ctrl Pts',(0.232545710922673,-0.644362370425255, 0.1096)); #175201=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.6368852364302, 0.1096)); #175202=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.632563590176177, 0.1096)); #175203=CARTESIAN_POINT('',(0.237347540093809,-0.632563590176177,0.1196)); #175204=CARTESIAN_POINT('Ctrl Pts',(0.218071625849677,-0.617952309984006, 0.1196)); #175205=CARTESIAN_POINT('Ctrl Pts',(0.218071625849677,-0.617952309984006, 0.1096)); #175206=CARTESIAN_POINT('Ctrl Pts',(0.228086869549475,-0.617952309984006, 0.1196)); #175207=CARTESIAN_POINT('Ctrl Pts',(0.228086869549475,-0.617952309984006, 0.1096)); #175208=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.626184017134525, 0.1196)); #175209=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.626184017134525, 0.1096)); #175210=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.632563590176177, 0.1196)); #175211=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.632563590176177, 0.1096)); #175212=CARTESIAN_POINT('',(0.218071625849677,-0.617952309984006,0.1196)); #175213=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.632563590176177, 0.1196)); #175214=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.626184017134525, 0.1196)); #175215=CARTESIAN_POINT('Ctrl Pts',(0.228086869549475,-0.617952309984006, 0.1196)); #175216=CARTESIAN_POINT('Ctrl Pts',(0.218071625849677,-0.617952309984006, 0.1196)); #175217=CARTESIAN_POINT('',(0.218071625849677,-0.617952309984006,0.1096)); #175218=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.632563590176177, 0.1096)); #175219=CARTESIAN_POINT('Ctrl Pts',(0.237347540093809,-0.626184017134525, 0.1096)); #175220=CARTESIAN_POINT('Ctrl Pts',(0.228086869549475,-0.617952309984006, 0.1096)); #175221=CARTESIAN_POINT('Ctrl Pts',(0.218071625849677,-0.617952309984006, 0.1096)); #175222=CARTESIAN_POINT('',(0.218071625849677,-0.617952309984006,0.1196)); #175223=CARTESIAN_POINT('Origin',(0.189603638620799,-0.617952309984006, 0.1196)); #175224=CARTESIAN_POINT('',(0.189603638620799,-0.617952309984006,0.1196)); #175225=CARTESIAN_POINT('',(0.0948018193103993,-0.617952309984006,0.1196)); #175226=CARTESIAN_POINT('',(0.189603638620799,-0.617952309984006,0.1096)); #175227=CARTESIAN_POINT('',(0.199916133927837,-0.617952309984006,0.1096)); #175228=CARTESIAN_POINT('',(0.189603638620799,-0.617952309984006,0.1196)); #175229=CARTESIAN_POINT('Origin',(0.189603638620799,-0.64772365084505,0.1196)); #175230=CARTESIAN_POINT('',(0.189603638620799,-0.64772365084505,0.1196)); #175231=CARTESIAN_POINT('',(0.189603638620799,-0.321209537194095,0.1196)); #175232=CARTESIAN_POINT('',(0.189603638620799,-0.64772365084505,0.1096)); #175233=CARTESIAN_POINT('',(0.189603638620799,-0.65216187467738,0.1096)); #175234=CARTESIAN_POINT('',(0.189603638620799,-0.64772365084505,0.1196)); #175235=CARTESIAN_POINT('Origin',(0.215190528346995,-0.64772365084505,0.1196)); #175236=CARTESIAN_POINT('',(0.107595264173498,-0.64772365084505,0.1196)); #175237=CARTESIAN_POINT('',(0.212709578790936,-0.64772365084505,0.1096)); #175238=CARTESIAN_POINT('Origin',(0.189603638620799,-0.698005662022803, 0.1196)); #175239=CARTESIAN_POINT('',(0.189603638620799,-0.698005662022803,0.1196)); #175240=CARTESIAN_POINT('',(0.177667663252546,-0.698005662022803,0.1196)); #175241=CARTESIAN_POINT('',(0.0948018193103993,-0.698005662022803,0.1196)); #175242=CARTESIAN_POINT('',(0.189603638620799,-0.698005662022803,0.1096)); #175243=CARTESIAN_POINT('',(0.189603638620799,-0.698005662022803,0.1196)); #175244=CARTESIAN_POINT('',(0.177667663252546,-0.698005662022803,0.1096)); #175245=CARTESIAN_POINT('',(0.189603638620799,-0.698005662022803,0.1096)); #175246=CARTESIAN_POINT('',(0.177667663252546,-0.698005662022803,0.1196)); #175247=CARTESIAN_POINT('Origin',(0.189603638620799,-0.658013284783199, 0.1196)); #175248=CARTESIAN_POINT('',(0.189603638620799,-0.658013284783199,0.1196)); #175249=CARTESIAN_POINT('',(0.189603638620799,-0.326354354163169,0.1196)); #175250=CARTESIAN_POINT('',(0.189603638620799,-0.658013284783199,0.1096)); #175251=CARTESIAN_POINT('',(0.189603638620799,-0.658013284783199,0.1196)); #175252=CARTESIAN_POINT('',(0.189603638620799,-0.658013284783199,0.1096)); #175253=CARTESIAN_POINT('Origin',(0.203391748097918,-0.658013284783199, 0.1196)); #175254=CARTESIAN_POINT('',(0.203391748097918,-0.658013284783199,0.1196)); #175255=CARTESIAN_POINT('',(0.101695874048959,-0.658013284783199,0.1196)); #175256=CARTESIAN_POINT('',(0.203391748097918,-0.658013284783199,0.1096)); #175257=CARTESIAN_POINT('',(0.203391748097918,-0.658013284783199,0.1196)); #175258=CARTESIAN_POINT('',(0.203391748097918,-0.658013284783199,0.1096)); #175259=CARTESIAN_POINT('Ctrl Pts',(0.210045711377921,-0.658424870140724, 0.1196)); #175260=CARTESIAN_POINT('Ctrl Pts',(0.210045711377921,-0.658424870140724, 0.1096)); #175261=CARTESIAN_POINT('Ctrl Pts',(0.207987784590291,-0.658013284783199, 0.1196)); #175262=CARTESIAN_POINT('Ctrl Pts',(0.207987784590291,-0.658013284783199, 0.1096)); #175263=CARTESIAN_POINT('Ctrl Pts',(0.203391748097918,-0.658013284783199, 0.1196)); #175264=CARTESIAN_POINT('Ctrl Pts',(0.203391748097918,-0.658013284783199, 0.1096)); #175265=CARTESIAN_POINT('',(0.210045711377921,-0.658424870140725,0.1196)); #175266=CARTESIAN_POINT('Ctrl Pts',(0.210045711377921,-0.658424870140724, 0.1196)); #175267=CARTESIAN_POINT('Ctrl Pts',(0.207987784590291,-0.658013284783199, 0.1196)); #175268=CARTESIAN_POINT('Ctrl Pts',(0.203391748097918,-0.658013284783199, 0.1196)); #175269=CARTESIAN_POINT('',(0.210045711377921,-0.658424870140725,0.1096)); #175270=CARTESIAN_POINT('',(0.210045711377921,-0.658424870140725,0.1196)); #175271=CARTESIAN_POINT('Ctrl Pts',(0.210045711377921,-0.658424870140724, 0.1096)); #175272=CARTESIAN_POINT('Ctrl Pts',(0.207987784590291,-0.658013284783199, 0.1096)); #175273=CARTESIAN_POINT('Ctrl Pts',(0.203391748097918,-0.658013284783199, 0.1096)); #175274=CARTESIAN_POINT('Ctrl Pts',(0.230076198777517,-0.679278528255373, 0.1196)); #175275=CARTESIAN_POINT('Ctrl Pts',(0.230076198777517,-0.679278528255373, 0.1096)); #175276=CARTESIAN_POINT('Ctrl Pts',(0.224862784248855,-0.671184016224029, 0.1196)); #175277=CARTESIAN_POINT('Ctrl Pts',(0.224862784248855,-0.671184016224029, 0.1096)); #175278=CARTESIAN_POINT('Ctrl Pts',(0.218140223409264,-0.662609321275572, 0.1196)); #175279=CARTESIAN_POINT('Ctrl Pts',(0.218140223409264,-0.662609321275572, 0.1096)); #175280=CARTESIAN_POINT('Ctrl Pts',(0.212789613761427,-0.659110845736601, 0.1196)); #175281=CARTESIAN_POINT('Ctrl Pts',(0.212789613761427,-0.659110845736601, 0.1096)); #175282=CARTESIAN_POINT('Ctrl Pts',(0.210045711377921,-0.658424870140724, 0.1196)); #175283=CARTESIAN_POINT('Ctrl Pts',(0.210045711377921,-0.658424870140724, 0.1096)); #175284=CARTESIAN_POINT('',(0.230076198777517,-0.679278528255373,0.1196)); #175285=CARTESIAN_POINT('Ctrl Pts',(0.230076198777517,-0.679278528255373, 0.1196)); #175286=CARTESIAN_POINT('Ctrl Pts',(0.224862784248855,-0.671184016224029, 0.1196)); #175287=CARTESIAN_POINT('Ctrl Pts',(0.218140223409264,-0.662609321275572, 0.1196)); #175288=CARTESIAN_POINT('Ctrl Pts',(0.212789613761427,-0.659110845736601, 0.1196)); #175289=CARTESIAN_POINT('Ctrl Pts',(0.210045711377921,-0.658424870140724, 0.1196)); #175290=CARTESIAN_POINT('',(0.230076198777517,-0.679278528255373,0.1096)); #175291=CARTESIAN_POINT('',(0.230076198777517,-0.679278528255373,0.1196)); #175292=CARTESIAN_POINT('Ctrl Pts',(0.230076198777517,-0.679278528255373, 0.1096)); #175293=CARTESIAN_POINT('Ctrl Pts',(0.224862784248855,-0.671184016224029, 0.1096)); #175294=CARTESIAN_POINT('Ctrl Pts',(0.218140223409264,-0.662609321275572, 0.1096)); #175295=CARTESIAN_POINT('Ctrl Pts',(0.212789613761427,-0.659110845736601, 0.1096)); #175296=CARTESIAN_POINT('Ctrl Pts',(0.210045711377921,-0.658424870140724, 0.1096)); #175297=CARTESIAN_POINT('Origin',(0.24201217414577,-0.698005662022803,0.1196)); #175298=CARTESIAN_POINT('',(0.24201217414577,-0.698005662022803,0.1196)); #175299=CARTESIAN_POINT('',(0.0476712384428057,-0.393091435316429,0.1196)); #175300=CARTESIAN_POINT('',(0.24201217414577,-0.698005662022803,0.1096)); #175301=CARTESIAN_POINT('',(0.24201217414577,-0.698005662022803,0.1196)); #175302=CARTESIAN_POINT('',(0.24201217414577,-0.698005662022803,0.1096)); #175303=CARTESIAN_POINT('Origin',(0.256897844576291,-0.698005662022803, 0.1196)); #175304=CARTESIAN_POINT('',(0.256897844576291,-0.698005662022803,0.1196)); #175305=CARTESIAN_POINT('',(0.128448922288146,-0.698005662022803,0.1196)); #175306=CARTESIAN_POINT('',(0.256897844576291,-0.698005662022803,0.1096)); #175307=CARTESIAN_POINT('',(0.256897844576291,-0.698005662022803,0.1196)); #175308=CARTESIAN_POINT('',(0.256897844576291,-0.698005662022803,0.1096)); #175309=CARTESIAN_POINT('Origin',(0.241326198549893,-0.673516333250009, 0.1196)); #175310=CARTESIAN_POINT('',(0.241326198549893,-0.673516333250009,0.1196)); #175311=CARTESIAN_POINT('',(0.0529056513686408,-0.377189745832797,0.1196)); #175312=CARTESIAN_POINT('',(0.241326198549893,-0.673516333250009,0.1096)); #175313=CARTESIAN_POINT('',(0.241326198549893,-0.673516333250009,0.1196)); #175314=CARTESIAN_POINT('',(0.241326198549893,-0.673516333250009,0.1096)); #175315=CARTESIAN_POINT('Ctrl Pts',(0.23144814996927,-0.661511760322169, 0.1196)); #175316=CARTESIAN_POINT('Ctrl Pts',(0.23144814996927,-0.661511760322169, 0.1096)); #175317=CARTESIAN_POINT('Ctrl Pts',(0.236661564497932,-0.666313589493305, 0.1196)); #175318=CARTESIAN_POINT('Ctrl Pts',(0.236661564497932,-0.666313589493305, 0.1096)); #175319=CARTESIAN_POINT('Ctrl Pts',(0.241326198549893,-0.673516333250009, 0.1196)); #175320=CARTESIAN_POINT('Ctrl Pts',(0.241326198549893,-0.673516333250009, 0.1096)); #175321=CARTESIAN_POINT('',(0.23144814996927,-0.661511760322169,0.1196)); #175322=CARTESIAN_POINT('Ctrl Pts',(0.23144814996927,-0.661511760322169, 0.1196)); #175323=CARTESIAN_POINT('Ctrl Pts',(0.236661564497932,-0.666313589493305, 0.1196)); #175324=CARTESIAN_POINT('Ctrl Pts',(0.241326198549893,-0.673516333250009, 0.1196)); #175325=CARTESIAN_POINT('',(0.23144814996927,-0.661511760322169,0.1096)); #175326=CARTESIAN_POINT('',(0.23144814996927,-0.661511760322169,0.1196)); #175327=CARTESIAN_POINT('Ctrl Pts',(0.23144814996927,-0.661511760322169, 0.1096)); #175328=CARTESIAN_POINT('Ctrl Pts',(0.236661564497932,-0.666313589493305, 0.1096)); #175329=CARTESIAN_POINT('Ctrl Pts',(0.241326198549893,-0.673516333250009, 0.1096)); #175330=CARTESIAN_POINT('Ctrl Pts',(0.224314003772154,-0.657052918948971, 0.1196)); #175331=CARTESIAN_POINT('Ctrl Pts',(0.224314003772154,-0.657052918948971, 0.1096)); #175332=CARTESIAN_POINT('Ctrl Pts',(0.228978637824114,-0.659316638415364, 0.1196)); #175333=CARTESIAN_POINT('Ctrl Pts',(0.228978637824114,-0.659316638415364, 0.1096)); #175334=CARTESIAN_POINT('Ctrl Pts',(0.23144814996927,-0.661511760322169, 0.1196)); #175335=CARTESIAN_POINT('Ctrl Pts',(0.23144814996927,-0.661511760322169, 0.1096)); #175336=CARTESIAN_POINT('',(0.224314003772154,-0.657052918948971,0.1196)); #175337=CARTESIAN_POINT('Ctrl Pts',(0.224314003772154,-0.657052918948971, 0.1196)); #175338=CARTESIAN_POINT('Ctrl Pts',(0.228978637824114,-0.659316638415364, 0.1196)); #175339=CARTESIAN_POINT('Ctrl Pts',(0.23144814996927,-0.661511760322169, 0.1196)); #175340=CARTESIAN_POINT('',(0.224314003772154,-0.657052918948971,0.1096)); #175341=CARTESIAN_POINT('',(0.224314003772154,-0.657052918948971,0.1196)); #175342=CARTESIAN_POINT('Ctrl Pts',(0.224314003772154,-0.657052918948971, 0.1096)); #175343=CARTESIAN_POINT('Ctrl Pts',(0.228978637824114,-0.659316638415364, 0.1096)); #175344=CARTESIAN_POINT('Ctrl Pts',(0.23144814996927,-0.661511760322169, 0.1096)); #175345=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.632563590176177,0.1196)); #175346=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.632563590176177,0.1096)); #175347=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.642167248518449,0.1196)); #175348=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.642167248518449,0.1096)); #175349=CARTESIAN_POINT('Ctrl Pts',(0.237210344974633,-0.655269382399692, 0.1196)); #175350=CARTESIAN_POINT('Ctrl Pts',(0.237210344974633,-0.655269382399692, 0.1096)); #175351=CARTESIAN_POINT('Ctrl Pts',(0.224314003772154,-0.657052918948971, 0.1196)); #175352=CARTESIAN_POINT('Ctrl Pts',(0.224314003772154,-0.657052918948971, 0.1096)); #175353=CARTESIAN_POINT('',(0.24962650326,-0.632563590176177,0.1196)); #175354=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.632563590176177,0.1196)); #175355=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.642167248518449,0.1196)); #175356=CARTESIAN_POINT('Ctrl Pts',(0.237210344974633,-0.655269382399692, 0.1196)); #175357=CARTESIAN_POINT('Ctrl Pts',(0.224314003772154,-0.657052918948971, 0.1196)); #175358=CARTESIAN_POINT('',(0.24962650326,-0.632563590176177,0.1096)); #175359=CARTESIAN_POINT('',(0.24962650326,-0.632563590176177,0.1196)); #175360=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.632563590176177,0.1096)); #175361=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.642167248518449,0.1096)); #175362=CARTESIAN_POINT('Ctrl Pts',(0.237210344974633,-0.655269382399692, 0.1096)); #175363=CARTESIAN_POINT('Ctrl Pts',(0.224314003772154,-0.657052918948971, 0.1096)); #175364=CARTESIAN_POINT('Ctrl Pts',(0.217591442932563,-0.608005663843796, 0.1196)); #175365=CARTESIAN_POINT('Ctrl Pts',(0.217591442932563,-0.608005663843796, 0.1096)); #175366=CARTESIAN_POINT('Ctrl Pts',(0.229596015860403,-0.608005663843796, 0.1196)); #175367=CARTESIAN_POINT('Ctrl Pts',(0.229596015860403,-0.608005663843796, 0.1096)); #175368=CARTESIAN_POINT('Ctrl Pts',(0.242149369264945,-0.612876090574519, 0.1196)); #175369=CARTESIAN_POINT('Ctrl Pts',(0.242149369264945,-0.612876090574519, 0.1096)); #175370=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.62515505374071,0.1196)); #175371=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.62515505374071,0.1096)); #175372=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.632563590176177,0.1196)); #175373=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.632563590176177,0.1096)); #175374=CARTESIAN_POINT('',(0.217591442932563,-0.608005663843796,0.1196)); #175375=CARTESIAN_POINT('Ctrl Pts',(0.217591442932563,-0.608005663843796, 0.1196)); #175376=CARTESIAN_POINT('Ctrl Pts',(0.229596015860403,-0.608005663843796, 0.1196)); #175377=CARTESIAN_POINT('Ctrl Pts',(0.242149369264945,-0.612876090574519, 0.1196)); #175378=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.62515505374071,0.1196)); #175379=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.632563590176177,0.1196)); #175380=CARTESIAN_POINT('',(0.217591442932563,-0.608005663843796,0.1096)); #175381=CARTESIAN_POINT('',(0.217591442932563,-0.608005663843796,0.1196)); #175382=CARTESIAN_POINT('Ctrl Pts',(0.217591442932563,-0.608005663843796, 0.1096)); #175383=CARTESIAN_POINT('Ctrl Pts',(0.229596015860403,-0.608005663843796, 0.1096)); #175384=CARTESIAN_POINT('Ctrl Pts',(0.242149369264945,-0.612876090574519, 0.1096)); #175385=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.62515505374071,0.1096)); #175386=CARTESIAN_POINT('Ctrl Pts',(0.24962650326,-0.632563590176177,0.1096)); #175387=CARTESIAN_POINT('Origin',(0.177667663252546,-0.608005663843796, 0.1196)); #175388=CARTESIAN_POINT('',(0.177667663252546,-0.608005663843796,0.1196)); #175389=CARTESIAN_POINT('',(0.088833831626273,-0.608005663843796,0.1196)); #175390=CARTESIAN_POINT('',(0.177667663252546,-0.608005663843796,0.1096)); #175391=CARTESIAN_POINT('',(0.177667663252546,-0.608005663843796,0.1196)); #175392=CARTESIAN_POINT('',(0.177667663252546,-0.608005663843796,0.1096)); #175393=CARTESIAN_POINT('Origin',(0.177667663252546,-0.698005662022803, 0.1196)); #175394=CARTESIAN_POINT('',(0.177667663252546,-0.346350542782972,0.1196)); #175395=CARTESIAN_POINT('',(0.177667663252546,-0.698005662022803,0.1096)); #175396=CARTESIAN_POINT('Origin',(0.210228629234876,-0.65660009850971,0.1096)); #175397=CARTESIAN_POINT('Origin',(0.130815530054175,-0.636130663274736, 0.1196)); #175398=CARTESIAN_POINT('',(0.140281993277272,-0.661100174964643,0.1196)); #175399=CARTESIAN_POINT('',(0.130815530054175,-0.636130663274736,0.1196)); #175400=CARTESIAN_POINT('',(0.0162852874893436,-0.334036400277643,0.1196)); #175401=CARTESIAN_POINT('',(0.140281993277272,-0.661100174964643,0.1096)); #175402=CARTESIAN_POINT('',(0.140281993277272,-0.661100174964643,0.1196)); #175403=CARTESIAN_POINT('',(0.130815530054175,-0.636130663274736,0.1096)); #175404=CARTESIAN_POINT('',(0.134836978645101,-0.646737962456598,0.1096)); #175405=CARTESIAN_POINT('',(0.130815530054175,-0.636130663274736,0.1196)); #175406=CARTESIAN_POINT('Ctrl Pts',(0.124504554572111,-0.617472127066892, 0.1196)); #175407=CARTESIAN_POINT('Ctrl Pts',(0.124504554572111,-0.617472127066892, 0.1096)); #175408=CARTESIAN_POINT('Ctrl Pts',(0.126562481359741,-0.624743468383184, 0.1196)); #175409=CARTESIAN_POINT('Ctrl Pts',(0.126562481359741,-0.624743468383184, 0.1096)); #175410=CARTESIAN_POINT('Ctrl Pts',(0.130815530054175,-0.636130663274736, 0.1196)); #175411=CARTESIAN_POINT('Ctrl Pts',(0.130815530054175,-0.636130663274736, 0.1096)); #175412=CARTESIAN_POINT('',(0.124504554572111,-0.617472127066893,0.1196)); #175413=CARTESIAN_POINT('Ctrl Pts',(0.130815530054175,-0.636130663274736, 0.1196)); #175414=CARTESIAN_POINT('Ctrl Pts',(0.126562481359741,-0.624743468383184, 0.1196)); #175415=CARTESIAN_POINT('Ctrl Pts',(0.124504554572111,-0.617472127066892, 0.1196)); #175416=CARTESIAN_POINT('',(0.124504554572111,-0.617472127066893,0.1096)); #175417=CARTESIAN_POINT('Ctrl Pts',(0.130815530054175,-0.636130663274736, 0.1096)); #175418=CARTESIAN_POINT('Ctrl Pts',(0.126562481359741,-0.624743468383184, 0.1096)); #175419=CARTESIAN_POINT('Ctrl Pts',(0.124504554572111,-0.617472127066892, 0.1096)); #175420=CARTESIAN_POINT('',(0.124504554572111,-0.617472127066893,0.1196)); #175421=CARTESIAN_POINT('Ctrl Pts',(0.119634127841387,-0.634690114523395, 0.1196)); #175422=CARTESIAN_POINT('Ctrl Pts',(0.119634127841387,-0.634690114523395, 0.1096)); #175423=CARTESIAN_POINT('Ctrl Pts',(0.122721018022832,-0.626115419574937, 0.1196)); #175424=CARTESIAN_POINT('Ctrl Pts',(0.122721018022832,-0.626115419574937, 0.1096)); #175425=CARTESIAN_POINT('Ctrl Pts',(0.124504554572111,-0.617472127066892, 0.1196)); #175426=CARTESIAN_POINT('Ctrl Pts',(0.124504554572111,-0.617472127066892, 0.1096)); #175427=CARTESIAN_POINT('',(0.119634127841387,-0.634690114523395,0.1196)); #175428=CARTESIAN_POINT('Ctrl Pts',(0.124504554572111,-0.617472127066892, 0.1196)); #175429=CARTESIAN_POINT('Ctrl Pts',(0.122721018022832,-0.626115419574937, 0.1196)); #175430=CARTESIAN_POINT('Ctrl Pts',(0.119634127841387,-0.634690114523395, 0.1196)); #175431=CARTESIAN_POINT('',(0.119634127841387,-0.634690114523395,0.1096)); #175432=CARTESIAN_POINT('Ctrl Pts',(0.124504554572111,-0.617472127066892, 0.1096)); #175433=CARTESIAN_POINT('Ctrl Pts',(0.122721018022832,-0.626115419574937, 0.1096)); #175434=CARTESIAN_POINT('Ctrl Pts',(0.119634127841387,-0.634690114523395, 0.1096)); #175435=CARTESIAN_POINT('',(0.119634127841387,-0.634690114523395,0.1196)); #175436=CARTESIAN_POINT('Origin',(0.109687481701177,-0.661100174964643, 0.1196)); #175437=CARTESIAN_POINT('',(0.109687481701177,-0.661100174964643,0.1196)); #175438=CARTESIAN_POINT('',(0.212777189072929,-0.387379227805162,0.1196)); #175439=CARTESIAN_POINT('',(0.109687481701177,-0.661100174964643,0.1096)); #175440=CARTESIAN_POINT('',(0.110450265212867,-0.659074853226706,0.1096)); #175441=CARTESIAN_POINT('',(0.109687481701177,-0.661100174964643,0.1196)); #175442=CARTESIAN_POINT('Origin',(0.140281993277272,-0.661100174964643, 0.1196)); #175443=CARTESIAN_POINT('',(0.0701409966386361,-0.661100174964643,0.1196)); #175444=CARTESIAN_POINT('',(0.132877360409338,-0.661100174964643,0.1096)); #175445=CARTESIAN_POINT('Origin',(0.0963795551411709,-0.698005662022803, 0.1196)); #175446=CARTESIAN_POINT('',(0.0963795551411709,-0.698005662022803,0.1196)); #175447=CARTESIAN_POINT('',(0.0837576041770418,-0.698005662022803,0.1196)); #175448=CARTESIAN_POINT('',(0.0481897775705855,-0.698005662022803,0.1196)); #175449=CARTESIAN_POINT('',(0.0963795551411709,-0.698005662022803,0.1096)); #175450=CARTESIAN_POINT('',(0.0963795551411709,-0.698005662022803,0.1196)); #175451=CARTESIAN_POINT('',(0.0837576041770418,-0.698005662022803,0.1096)); #175452=CARTESIAN_POINT('',(0.0963795551411709,-0.698005662022803,0.1096)); #175453=CARTESIAN_POINT('',(0.0837576041770418,-0.698005662022803,0.1196)); #175454=CARTESIAN_POINT('Origin',(0.106257603721794,-0.670772430866503, 0.1196)); #175455=CARTESIAN_POINT('',(0.106257603721794,-0.670772430866503,0.1196)); #175456=CARTESIAN_POINT('',(0.208437683577935,-0.389067627374226,0.1196)); #175457=CARTESIAN_POINT('',(0.106257603721794,-0.670772430866503,0.1096)); #175458=CARTESIAN_POINT('',(0.106257603721794,-0.670772430866503,0.1196)); #175459=CARTESIAN_POINT('',(0.106257603721794,-0.670772430866503,0.1096)); #175460=CARTESIAN_POINT('Origin',(0.143986261495006,-0.670772430866503, 0.1196)); #175461=CARTESIAN_POINT('',(0.143986261495006,-0.670772430866503,0.1196)); #175462=CARTESIAN_POINT('',(0.0719931307475029,-0.670772430866503,0.1196)); #175463=CARTESIAN_POINT('',(0.143986261495006,-0.670772430866503,0.1096)); #175464=CARTESIAN_POINT('',(0.143986261495006,-0.670772430866503,0.1196)); #175465=CARTESIAN_POINT('',(0.143986261495006,-0.670772430866503,0.1096)); #175466=CARTESIAN_POINT('Origin',(0.15441309055233,-0.698005662022803,0.1196)); #175467=CARTESIAN_POINT('',(0.15441309055233,-0.698005662022803,0.1196)); #175468=CARTESIAN_POINT('',(0.0271170103728798,-0.365528399975162,0.1196)); #175469=CARTESIAN_POINT('',(0.15441309055233,-0.698005662022803,0.1096)); #175470=CARTESIAN_POINT('',(0.15441309055233,-0.698005662022803,0.1196)); #175471=CARTESIAN_POINT('',(0.15441309055233,-0.698005662022803,0.1096)); #175472=CARTESIAN_POINT('Origin',(0.167995407350686,-0.698005662022803, 0.1196)); #175473=CARTESIAN_POINT('',(0.167995407350686,-0.698005662022803,0.1196)); #175474=CARTESIAN_POINT('',(0.0839977036753431,-0.698005662022803,0.1196)); #175475=CARTESIAN_POINT('',(0.167995407350686,-0.698005662022803,0.1096)); #175476=CARTESIAN_POINT('',(0.167995407350686,-0.698005662022803,0.1196)); #175477=CARTESIAN_POINT('',(0.167995407350686,-0.698005662022803,0.1096)); #175478=CARTESIAN_POINT('Origin',(0.131227115411701,-0.608005663843796, 0.1196)); #175479=CARTESIAN_POINT('',(0.131227115411701,-0.608005663843796,0.1196)); #175480=CARTESIAN_POINT('',(0.0144813540367335,-0.322239919582681,0.1196)); #175481=CARTESIAN_POINT('',(0.131227115411701,-0.608005663843796,0.1096)); #175482=CARTESIAN_POINT('',(0.131227115411701,-0.608005663843796,0.1196)); #175483=CARTESIAN_POINT('',(0.131227115411701,-0.608005663843796,0.1096)); #175484=CARTESIAN_POINT('Origin',(0.118330774209222,-0.608005663843796, 0.1196)); #175485=CARTESIAN_POINT('',(0.118330774209222,-0.608005663843796,0.1196)); #175486=CARTESIAN_POINT('',(0.0591653871046108,-0.608005663843796,0.1196)); #175487=CARTESIAN_POINT('',(0.118330774209222,-0.608005663843796,0.1096)); #175488=CARTESIAN_POINT('',(0.118330774209222,-0.608005663843796,0.1196)); #175489=CARTESIAN_POINT('',(0.118330774209222,-0.608005663843796,0.1096)); #175490=CARTESIAN_POINT('Origin',(0.0837576041770418,-0.698005662022803, 0.1196)); #175491=CARTESIAN_POINT('',(0.196088196320136,-0.405589517396336,0.1196)); #175492=CARTESIAN_POINT('',(0.0837576041770418,-0.698005662022803,0.1096)); #175493=CARTESIAN_POINT('Origin',(0.125472727541403,-0.662420059874334, 0.1096)); #175494=CARTESIAN_POINT('Origin',(-0.104474099331493,-0.698005662022803, 0.1196)); #175495=CARTESIAN_POINT('',(-0.104474099331493,-0.698005662022803,0.1196)); #175496=CARTESIAN_POINT('',(-0.171699707727398,-0.698005662022803,0.1196)); #175497=CARTESIAN_POINT('',(-0.0522370496657463,-0.698005662022803,0.1196)); #175498=CARTESIAN_POINT('',(-0.104474099331493,-0.698005662022803,0.1096)); #175499=CARTESIAN_POINT('',(-0.104474099331493,-0.698005662022803,0.1196)); #175500=CARTESIAN_POINT('',(-0.171699707727398,-0.698005662022803,0.1096)); #175501=CARTESIAN_POINT('',(-0.104474099331493,-0.698005662022803,0.1096)); #175502=CARTESIAN_POINT('',(-0.171699707727398,-0.698005662022803,0.1196)); #175503=CARTESIAN_POINT('Origin',(-0.104474099331493,-0.687373040286716, 0.1196)); #175504=CARTESIAN_POINT('',(-0.104474099331493,-0.687373040286716,0.1196)); #175505=CARTESIAN_POINT('',(-0.104474099331493,-0.341034231914928,0.1196)); #175506=CARTESIAN_POINT('',(-0.104474099331493,-0.687373040286716,0.1096)); #175507=CARTESIAN_POINT('',(-0.104474099331493,-0.687373040286716,0.1196)); #175508=CARTESIAN_POINT('',(-0.104474099331493,-0.687373040286716,0.1096)); #175509=CARTESIAN_POINT('Origin',(-0.159763732359145,-0.687373040286716, 0.1196)); #175510=CARTESIAN_POINT('',(-0.159763732359145,-0.687373040286716,0.1196)); #175511=CARTESIAN_POINT('',(-0.0798818661795726,-0.687373040286716,0.1196)); #175512=CARTESIAN_POINT('',(-0.159763732359145,-0.687373040286716,0.1096)); #175513=CARTESIAN_POINT('',(-0.159763732359145,-0.687373040286716,0.1196)); #175514=CARTESIAN_POINT('',(-0.159763732359145,-0.687373040286716,0.1096)); #175515=CARTESIAN_POINT('Origin',(-0.159763732359145,-0.656778528710621, 0.1196)); #175516=CARTESIAN_POINT('',(-0.159763732359145,-0.656778528710621,0.1196)); #175517=CARTESIAN_POINT('',(-0.159763732359145,-0.325736976126881,0.1196)); #175518=CARTESIAN_POINT('',(-0.159763732359145,-0.656778528710621,0.1096)); #175519=CARTESIAN_POINT('',(-0.159763732359145,-0.656778528710621,0.1196)); #175520=CARTESIAN_POINT('',(-0.159763732359145,-0.656778528710621,0.1096)); #175521=CARTESIAN_POINT('Origin',(-0.109893306538918,-0.656778528710621, 0.1196)); #175522=CARTESIAN_POINT('',(-0.109893306538918,-0.656778528710621,0.1196)); #175523=CARTESIAN_POINT('',(-0.0549466532694588,-0.656778528710621,0.1196)); #175524=CARTESIAN_POINT('',(-0.109893306538918,-0.656778528710621,0.1096)); #175525=CARTESIAN_POINT('',(-0.109893306538918,-0.656778528710621,0.1196)); #175526=CARTESIAN_POINT('',(-0.109893306538918,-0.656778528710621,0.1096)); #175527=CARTESIAN_POINT('Origin',(-0.109893306538918,-0.646145906974534, 0.1196)); #175528=CARTESIAN_POINT('',(-0.109893306538918,-0.646145906974534,0.1196)); #175529=CARTESIAN_POINT('',(-0.109893306538918,-0.320420665258837,0.1196)); #175530=CARTESIAN_POINT('',(-0.109893306538918,-0.646145906974534,0.1096)); #175531=CARTESIAN_POINT('',(-0.109893306538918,-0.646145906974534,0.1196)); #175532=CARTESIAN_POINT('',(-0.109893306538918,-0.646145906974534,0.1096)); #175533=CARTESIAN_POINT('Origin',(-0.159763732359145,-0.646145906974534, 0.1196)); #175534=CARTESIAN_POINT('',(-0.159763732359145,-0.646145906974534,0.1196)); #175535=CARTESIAN_POINT('',(-0.0798818661795726,-0.646145906974534,0.1196)); #175536=CARTESIAN_POINT('',(-0.159763732359145,-0.646145906974534,0.1096)); #175537=CARTESIAN_POINT('',(-0.159763732359145,-0.646145906974534,0.1196)); #175538=CARTESIAN_POINT('',(-0.159763732359145,-0.646145906974534,0.1096)); #175539=CARTESIAN_POINT('Origin',(-0.159763732359145,-0.618638285579883, 0.1196)); #175540=CARTESIAN_POINT('',(-0.159763732359145,-0.618638285579883,0.1196)); #175541=CARTESIAN_POINT('',(-0.159763732359145,-0.306666854561512,0.1196)); #175542=CARTESIAN_POINT('',(-0.159763732359145,-0.618638285579883,0.1096)); #175543=CARTESIAN_POINT('',(-0.159763732359145,-0.618638285579883,0.1196)); #175544=CARTESIAN_POINT('',(-0.159763732359145,-0.618638285579883,0.1096)); #175545=CARTESIAN_POINT('Origin',(-0.106532026119122,-0.618638285579883, 0.1196)); #175546=CARTESIAN_POINT('',(-0.106532026119122,-0.618638285579883,0.1196)); #175547=CARTESIAN_POINT('',(-0.0532660130595612,-0.618638285579883,0.1196)); #175548=CARTESIAN_POINT('',(-0.106532026119122,-0.618638285579883,0.1096)); #175549=CARTESIAN_POINT('',(-0.106532026119122,-0.618638285579883,0.1196)); #175550=CARTESIAN_POINT('',(-0.106532026119122,-0.618638285579883,0.1096)); #175551=CARTESIAN_POINT('Origin',(-0.106532026119122,-0.608005663843796, 0.1196)); #175552=CARTESIAN_POINT('',(-0.106532026119122,-0.608005663843796,0.1196)); #175553=CARTESIAN_POINT('',(-0.106532026119122,-0.301350543693468,0.1196)); #175554=CARTESIAN_POINT('',(-0.106532026119122,-0.608005663843796,0.1096)); #175555=CARTESIAN_POINT('',(-0.106532026119122,-0.608005663843796,0.1196)); #175556=CARTESIAN_POINT('',(-0.106532026119122,-0.608005663843796,0.1096)); #175557=CARTESIAN_POINT('Origin',(-0.171699707727398,-0.608005663843796, 0.1196)); #175558=CARTESIAN_POINT('',(-0.171699707727398,-0.608005663843796,0.1196)); #175559=CARTESIAN_POINT('',(-0.0858498538636989,-0.608005663843796,0.1196)); #175560=CARTESIAN_POINT('',(-0.171699707727398,-0.608005663843796,0.1096)); #175561=CARTESIAN_POINT('',(-0.171699707727398,-0.608005663843796,0.1196)); #175562=CARTESIAN_POINT('',(-0.171699707727398,-0.608005663843796,0.1096)); #175563=CARTESIAN_POINT('Origin',(-0.171699707727398,-0.698005662022803, 0.1196)); #175564=CARTESIAN_POINT('',(-0.171699707727398,-0.346350542782972,0.1196)); #175565=CARTESIAN_POINT('',(-0.171699707727398,-0.698005662022803,0.1096)); #175566=CARTESIAN_POINT('Origin',(-0.142775469905225,-0.653023693517144, 0.1096)); #175567=CARTESIAN_POINT('Origin',(-0.272263730082905,-0.698005662022803, 0.1196)); #175568=CARTESIAN_POINT('',(-0.272263730082905,-0.698005662022803,0.1196)); #175569=CARTESIAN_POINT('',(-0.33948933847881,-0.698005662022803,0.1196)); #175570=CARTESIAN_POINT('',(-0.136131865041452,-0.698005662022803,0.1196)); #175571=CARTESIAN_POINT('',(-0.272263730082905,-0.698005662022803,0.1096)); #175572=CARTESIAN_POINT('',(-0.272263730082905,-0.698005662022803,0.1196)); #175573=CARTESIAN_POINT('',(-0.33948933847881,-0.698005662022803,0.1096)); #175574=CARTESIAN_POINT('',(-0.272263730082905,-0.698005662022803,0.1096)); #175575=CARTESIAN_POINT('',(-0.33948933847881,-0.698005662022803,0.1196)); #175576=CARTESIAN_POINT('Origin',(-0.272263730082905,-0.687373040286716, 0.1196)); #175577=CARTESIAN_POINT('',(-0.272263730082905,-0.687373040286716,0.1196)); #175578=CARTESIAN_POINT('',(-0.272263730082905,-0.341034231914928,0.1196)); #175579=CARTESIAN_POINT('',(-0.272263730082905,-0.687373040286716,0.1096)); #175580=CARTESIAN_POINT('',(-0.272263730082905,-0.687373040286716,0.1196)); #175581=CARTESIAN_POINT('',(-0.272263730082905,-0.687373040286716,0.1096)); #175582=CARTESIAN_POINT('Origin',(-0.327553363110557,-0.687373040286716, 0.1196)); #175583=CARTESIAN_POINT('',(-0.327553363110557,-0.687373040286716,0.1196)); #175584=CARTESIAN_POINT('',(-0.163776681555279,-0.687373040286716,0.1196)); #175585=CARTESIAN_POINT('',(-0.327553363110557,-0.687373040286716,0.1096)); #175586=CARTESIAN_POINT('',(-0.327553363110557,-0.687373040286716,0.1196)); #175587=CARTESIAN_POINT('',(-0.327553363110557,-0.687373040286716,0.1096)); #175588=CARTESIAN_POINT('Origin',(-0.327553363110557,-0.656778528710621, 0.1196)); #175589=CARTESIAN_POINT('',(-0.327553363110557,-0.656778528710621,0.1196)); #175590=CARTESIAN_POINT('',(-0.327553363110557,-0.325736976126881,0.1196)); #175591=CARTESIAN_POINT('',(-0.327553363110557,-0.656778528710621,0.1096)); #175592=CARTESIAN_POINT('',(-0.327553363110557,-0.656778528710621,0.1196)); #175593=CARTESIAN_POINT('',(-0.327553363110557,-0.656778528710621,0.1096)); #175594=CARTESIAN_POINT('Origin',(-0.27768293729033,-0.656778528710621, 0.1196)); #175595=CARTESIAN_POINT('',(-0.27768293729033,-0.656778528710621,0.1196)); #175596=CARTESIAN_POINT('',(-0.138841468645165,-0.656778528710621,0.1196)); #175597=CARTESIAN_POINT('',(-0.27768293729033,-0.656778528710621,0.1096)); #175598=CARTESIAN_POINT('',(-0.27768293729033,-0.656778528710621,0.1196)); #175599=CARTESIAN_POINT('',(-0.27768293729033,-0.656778528710621,0.1096)); #175600=CARTESIAN_POINT('Origin',(-0.27768293729033,-0.646145906974534, 0.1196)); #175601=CARTESIAN_POINT('',(-0.27768293729033,-0.646145906974534,0.1196)); #175602=CARTESIAN_POINT('',(-0.27768293729033,-0.320420665258837,0.1196)); #175603=CARTESIAN_POINT('',(-0.27768293729033,-0.646145906974534,0.1096)); #175604=CARTESIAN_POINT('',(-0.27768293729033,-0.646145906974534,0.1196)); #175605=CARTESIAN_POINT('',(-0.27768293729033,-0.646145906974534,0.1096)); #175606=CARTESIAN_POINT('Origin',(-0.327553363110557,-0.646145906974534, 0.1196)); #175607=CARTESIAN_POINT('',(-0.327553363110557,-0.646145906974534,0.1196)); #175608=CARTESIAN_POINT('',(-0.163776681555279,-0.646145906974534,0.1196)); #175609=CARTESIAN_POINT('',(-0.327553363110557,-0.646145906974534,0.1096)); #175610=CARTESIAN_POINT('',(-0.327553363110557,-0.646145906974534,0.1196)); #175611=CARTESIAN_POINT('',(-0.327553363110557,-0.646145906974534,0.1096)); #175612=CARTESIAN_POINT('Origin',(-0.327553363110557,-0.618638285579883, 0.1196)); #175613=CARTESIAN_POINT('',(-0.327553363110557,-0.618638285579883,0.1196)); #175614=CARTESIAN_POINT('',(-0.327553363110557,-0.306666854561512,0.1196)); #175615=CARTESIAN_POINT('',(-0.327553363110557,-0.618638285579883,0.1096)); #175616=CARTESIAN_POINT('',(-0.327553363110557,-0.618638285579883,0.1196)); #175617=CARTESIAN_POINT('',(-0.327553363110557,-0.618638285579883,0.1096)); #175618=CARTESIAN_POINT('Origin',(-0.274321656870535,-0.618638285579883, 0.1196)); #175619=CARTESIAN_POINT('',(-0.274321656870535,-0.618638285579883,0.1196)); #175620=CARTESIAN_POINT('',(-0.137160828435267,-0.618638285579883,0.1196)); #175621=CARTESIAN_POINT('',(-0.274321656870535,-0.618638285579883,0.1096)); #175622=CARTESIAN_POINT('',(-0.274321656870535,-0.618638285579883,0.1196)); #175623=CARTESIAN_POINT('',(-0.274321656870535,-0.618638285579883,0.1096)); #175624=CARTESIAN_POINT('Origin',(-0.274321656870535,-0.608005663843796, 0.1196)); #175625=CARTESIAN_POINT('',(-0.274321656870535,-0.608005663843796,0.1196)); #175626=CARTESIAN_POINT('',(-0.274321656870535,-0.301350543693468,0.1196)); #175627=CARTESIAN_POINT('',(-0.274321656870535,-0.608005663843796,0.1096)); #175628=CARTESIAN_POINT('',(-0.274321656870535,-0.608005663843796,0.1196)); #175629=CARTESIAN_POINT('',(-0.274321656870535,-0.608005663843796,0.1096)); #175630=CARTESIAN_POINT('Origin',(-0.33948933847881,-0.608005663843796, 0.1196)); #175631=CARTESIAN_POINT('',(-0.33948933847881,-0.608005663843796,0.1196)); #175632=CARTESIAN_POINT('',(-0.169744669239405,-0.608005663843796,0.1196)); #175633=CARTESIAN_POINT('',(-0.33948933847881,-0.608005663843796,0.1096)); #175634=CARTESIAN_POINT('',(-0.33948933847881,-0.608005663843796,0.1196)); #175635=CARTESIAN_POINT('',(-0.33948933847881,-0.608005663843796,0.1096)); #175636=CARTESIAN_POINT('Origin',(-0.33948933847881,-0.698005662022803, 0.1196)); #175637=CARTESIAN_POINT('',(-0.33948933847881,-0.346350542782972,0.1196)); #175638=CARTESIAN_POINT('',(-0.33948933847881,-0.698005662022803,0.1096)); #175639=CARTESIAN_POINT('Origin',(-0.310565100656637,-0.653023693517144, 0.1096)); #175640=CARTESIAN_POINT('Ctrl Pts',(-0.213612816635457,-0.644773955782781, 0.1196)); #175641=CARTESIAN_POINT('Ctrl Pts',(-0.213612816635457,-0.644773955782781, 0.1096)); #175642=CARTESIAN_POINT('Ctrl Pts',(-0.21704269461484,-0.645802919176595, 0.1196)); #175643=CARTESIAN_POINT('Ctrl Pts',(-0.21704269461484,-0.645802919176595, 0.1096)); #175644=CARTESIAN_POINT('Ctrl Pts',(-0.225000011527008,-0.645802919176595, 0.1196)); #175645=CARTESIAN_POINT('Ctrl Pts',(-0.225000011527008,-0.645802919176595, 0.1096)); #175646=CARTESIAN_POINT('',(-0.225000011527008,-0.645802919176595,0.1196)); #175647=CARTESIAN_POINT('',(-0.213612816635457,-0.644773955782781,0.1196)); #175648=CARTESIAN_POINT('Ctrl Pts',(-0.225000011527008,-0.645802919176595, 0.1196)); #175649=CARTESIAN_POINT('Ctrl Pts',(-0.21704269461484,-0.645802919176595, 0.1196)); #175650=CARTESIAN_POINT('Ctrl Pts',(-0.213612816635457,-0.644773955782781, 0.1196)); #175651=CARTESIAN_POINT('',(-0.225000011527008,-0.645802919176595,0.1096)); #175652=CARTESIAN_POINT('',(-0.225000011527008,-0.645802919176595,0.1196)); #175653=CARTESIAN_POINT('',(-0.213612816635457,-0.644773955782781,0.1096)); #175654=CARTESIAN_POINT('Ctrl Pts',(-0.225000011527008,-0.645802919176595, 0.1096)); #175655=CARTESIAN_POINT('Ctrl Pts',(-0.21704269461484,-0.645802919176595, 0.1096)); #175656=CARTESIAN_POINT('Ctrl Pts',(-0.213612816635457,-0.644773955782781, 0.1096)); #175657=CARTESIAN_POINT('',(-0.213612816635457,-0.644773955782781,0.1196)); #175658=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.632426395057002, 0.1196)); #175659=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.632426395057002, 0.1096)); #175660=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.63715962666855, 0.1196)); #175661=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.63715962666855, 0.1096)); #175662=CARTESIAN_POINT('Ctrl Pts',(-0.209085377702672,-0.643402004591027, 0.1196)); #175663=CARTESIAN_POINT('Ctrl Pts',(-0.209085377702672,-0.643402004591027, 0.1096)); #175664=CARTESIAN_POINT('Ctrl Pts',(-0.213612816635457,-0.644773955782781, 0.1196)); #175665=CARTESIAN_POINT('Ctrl Pts',(-0.213612816635457,-0.644773955782781, 0.1096)); #175666=CARTESIAN_POINT('',(-0.204489341210298,-0.632426395057002,0.1196)); #175667=CARTESIAN_POINT('Ctrl Pts',(-0.213612816635457,-0.644773955782781, 0.1196)); #175668=CARTESIAN_POINT('Ctrl Pts',(-0.209085377702672,-0.643402004591027, 0.1196)); #175669=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.63715962666855, 0.1196)); #175670=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.632426395057002, 0.1196)); #175671=CARTESIAN_POINT('',(-0.204489341210298,-0.632426395057002,0.1096)); #175672=CARTESIAN_POINT('Ctrl Pts',(-0.213612816635457,-0.644773955782781, 0.1096)); #175673=CARTESIAN_POINT('Ctrl Pts',(-0.209085377702672,-0.643402004591027, 0.1096)); #175674=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.63715962666855, 0.1096)); #175675=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.632426395057002, 0.1096)); #175676=CARTESIAN_POINT('',(-0.204489341210298,-0.632426395057002,0.1196)); #175677=CARTESIAN_POINT('Ctrl Pts',(-0.226440560278349,-0.618638285579883, 0.1196)); #175678=CARTESIAN_POINT('Ctrl Pts',(-0.226440560278349,-0.618638285579883, 0.1096)); #175679=CARTESIAN_POINT('Ctrl Pts',(-0.216768304376489,-0.618638285579883, 0.1196)); #175680=CARTESIAN_POINT('Ctrl Pts',(-0.216768304376489,-0.618638285579883, 0.1096)); #175681=CARTESIAN_POINT('Ctrl Pts',(-0.208810987464321,-0.621176395284626, 0.1196)); #175682=CARTESIAN_POINT('Ctrl Pts',(-0.208810987464321,-0.621176395284626, 0.1096)); #175683=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.627967553683804, 0.1196)); #175684=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.627967553683804, 0.1096)); #175685=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.632426395057002, 0.1196)); #175686=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.632426395057002, 0.1096)); #175687=CARTESIAN_POINT('',(-0.226440560278349,-0.618638285579883,0.1196)); #175688=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.632426395057002, 0.1196)); #175689=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.627967553683804, 0.1196)); #175690=CARTESIAN_POINT('Ctrl Pts',(-0.208810987464321,-0.621176395284626, 0.1196)); #175691=CARTESIAN_POINT('Ctrl Pts',(-0.216768304376489,-0.618638285579883, 0.1196)); #175692=CARTESIAN_POINT('Ctrl Pts',(-0.226440560278349,-0.618638285579883, 0.1196)); #175693=CARTESIAN_POINT('',(-0.226440560278349,-0.618638285579883,0.1096)); #175694=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.632426395057002, 0.1096)); #175695=CARTESIAN_POINT('Ctrl Pts',(-0.204489341210298,-0.627967553683804, 0.1096)); #175696=CARTESIAN_POINT('Ctrl Pts',(-0.208810987464321,-0.621176395284626, 0.1096)); #175697=CARTESIAN_POINT('Ctrl Pts',(-0.216768304376489,-0.618638285579883, 0.1096)); #175698=CARTESIAN_POINT('Ctrl Pts',(-0.226440560278349,-0.618638285579883, 0.1096)); #175699=CARTESIAN_POINT('',(-0.226440560278349,-0.618638285579883,0.1196)); #175700=CARTESIAN_POINT('Origin',(-0.244413120890316,-0.618638285579883, 0.1196)); #175701=CARTESIAN_POINT('',(-0.244413120890316,-0.618638285579883,0.1196)); #175702=CARTESIAN_POINT('',(-0.122206560445158,-0.618638285579883,0.1196)); #175703=CARTESIAN_POINT('',(-0.244413120890316,-0.618638285579883,0.1096)); #175704=CARTESIAN_POINT('',(-0.236701609754025,-0.618638285579883,0.1096)); #175705=CARTESIAN_POINT('',(-0.244413120890316,-0.618638285579883,0.1196)); #175706=CARTESIAN_POINT('Origin',(-0.244413120890316,-0.645802919176595, 0.1196)); #175707=CARTESIAN_POINT('',(-0.244413120890316,-0.645802919176595,0.1196)); #175708=CARTESIAN_POINT('',(-0.244413120890316,-0.320249171359868,0.1196)); #175709=CARTESIAN_POINT('',(-0.244413120890316,-0.645802919176595,0.1096)); #175710=CARTESIAN_POINT('',(-0.244413120890316,-0.649581863034431,0.1096)); #175711=CARTESIAN_POINT('',(-0.244413120890316,-0.645802919176595,0.1196)); #175712=CARTESIAN_POINT('Origin',(-0.225000011527008,-0.645802919176595, 0.1196)); #175713=CARTESIAN_POINT('',(-0.112500005763504,-0.645802919176595,0.1196)); #175714=CARTESIAN_POINT('',(-0.226995055072371,-0.645802919176595,0.1096)); #175715=CARTESIAN_POINT('Ctrl Pts',(-0.213887206873808,-0.68696145492919, 0.1196)); #175716=CARTESIAN_POINT('Ctrl Pts',(-0.213887206873808,-0.68696145492919, 0.1096)); #175717=CARTESIAN_POINT('Ctrl Pts',(-0.216219523899788,-0.687373040286716, 0.1196)); #175718=CARTESIAN_POINT('Ctrl Pts',(-0.216219523899788,-0.687373040286716, 0.1096)); #175719=CARTESIAN_POINT('Ctrl Pts',(-0.221981718905151,-0.687373040286716, 0.1196)); #175720=CARTESIAN_POINT('Ctrl Pts',(-0.221981718905151,-0.687373040286716, 0.1096)); #175721=CARTESIAN_POINT('',(-0.221981718905151,-0.687373040286716,0.1196)); #175722=CARTESIAN_POINT('',(-0.213887206873808,-0.68696145492919,0.1196)); #175723=CARTESIAN_POINT('Ctrl Pts',(-0.221981718905151,-0.687373040286716, 0.1196)); #175724=CARTESIAN_POINT('Ctrl Pts',(-0.216219523899788,-0.687373040286716, 0.1196)); #175725=CARTESIAN_POINT('Ctrl Pts',(-0.213887206873808,-0.68696145492919, 0.1196)); #175726=CARTESIAN_POINT('',(-0.221981718905151,-0.687373040286716,0.1096)); #175727=CARTESIAN_POINT('',(-0.221981718905151,-0.687373040286716,0.1196)); #175728=CARTESIAN_POINT('',(-0.213887206873808,-0.68696145492919,0.1096)); #175729=CARTESIAN_POINT('Ctrl Pts',(-0.221981718905151,-0.687373040286716, 0.1096)); #175730=CARTESIAN_POINT('Ctrl Pts',(-0.216219523899788,-0.687373040286716, 0.1096)); #175731=CARTESIAN_POINT('Ctrl Pts',(-0.213887206873808,-0.68696145492919, 0.1096)); #175732=CARTESIAN_POINT('',(-0.213887206873808,-0.68696145492919,0.1196)); #175733=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.671869991819905, 0.1196)); #175734=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.671869991819905, 0.1096)); #175735=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.676191638073928, 0.1196)); #175736=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.676191638073928, 0.1096)); #175737=CARTESIAN_POINT('Ctrl Pts',(-0.204214950971948,-0.682777003794343, 0.1196)); #175738=CARTESIAN_POINT('Ctrl Pts',(-0.204214950971948,-0.682777003794343, 0.1096)); #175739=CARTESIAN_POINT('Ctrl Pts',(-0.209771353298548,-0.686206881773726, 0.1196)); #175740=CARTESIAN_POINT('Ctrl Pts',(-0.209771353298548,-0.686206881773726, 0.1096)); #175741=CARTESIAN_POINT('Ctrl Pts',(-0.213887206873808,-0.68696145492919, 0.1196)); #175742=CARTESIAN_POINT('Ctrl Pts',(-0.213887206873808,-0.68696145492919, 0.1096)); #175743=CARTESIAN_POINT('',(-0.20064787787339,-0.671869991819905,0.1196)); #175744=CARTESIAN_POINT('Ctrl Pts',(-0.213887206873808,-0.68696145492919, 0.1196)); #175745=CARTESIAN_POINT('Ctrl Pts',(-0.209771353298548,-0.686206881773726, 0.1196)); #175746=CARTESIAN_POINT('Ctrl Pts',(-0.204214950971948,-0.682777003794343, 0.1196)); #175747=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.676191638073928, 0.1196)); #175748=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.671869991819905, 0.1196)); #175749=CARTESIAN_POINT('',(-0.20064787787339,-0.671869991819905,0.1096)); #175750=CARTESIAN_POINT('Ctrl Pts',(-0.213887206873808,-0.68696145492919, 0.1096)); #175751=CARTESIAN_POINT('Ctrl Pts',(-0.209771353298548,-0.686206881773726, 0.1096)); #175752=CARTESIAN_POINT('Ctrl Pts',(-0.204214950971948,-0.682777003794343, 0.1096)); #175753=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.676191638073928, 0.1096)); #175754=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.671869991819905, 0.1096)); #175755=CARTESIAN_POINT('',(-0.20064787787339,-0.671869991819905,0.1196)); #175756=CARTESIAN_POINT('Ctrl Pts',(-0.223628060335255,-0.656435540912682, 0.1196)); #175757=CARTESIAN_POINT('Ctrl Pts',(-0.223628060335255,-0.656435540912682, 0.1096)); #175758=CARTESIAN_POINT('Ctrl Pts',(-0.215053365386798,-0.656435540912682, 0.1196)); #175759=CARTESIAN_POINT('Ctrl Pts',(-0.215053365386798,-0.656435540912682, 0.1096)); #175760=CARTESIAN_POINT('Ctrl Pts',(-0.205929889961639,-0.659453833534539, 0.1196)); #175761=CARTESIAN_POINT('Ctrl Pts',(-0.205929889961639,-0.659453833534539, 0.1096)); #175762=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.666862369970006, 0.1196)); #175763=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.666862369970006, 0.1096)); #175764=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.671869991819905, 0.1196)); #175765=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.671869991819905, 0.1096)); #175766=CARTESIAN_POINT('',(-0.223628060335255,-0.656435540912682,0.1196)); #175767=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.671869991819905, 0.1196)); #175768=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.666862369970006, 0.1196)); #175769=CARTESIAN_POINT('Ctrl Pts',(-0.205929889961639,-0.659453833534539, 0.1196)); #175770=CARTESIAN_POINT('Ctrl Pts',(-0.215053365386798,-0.656435540912682, 0.1196)); #175771=CARTESIAN_POINT('Ctrl Pts',(-0.223628060335255,-0.656435540912682, 0.1196)); #175772=CARTESIAN_POINT('',(-0.223628060335255,-0.656435540912682,0.1096)); #175773=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.671869991819905, 0.1096)); #175774=CARTESIAN_POINT('Ctrl Pts',(-0.20064787787339,-0.666862369970006, 0.1096)); #175775=CARTESIAN_POINT('Ctrl Pts',(-0.205929889961639,-0.659453833534539, 0.1096)); #175776=CARTESIAN_POINT('Ctrl Pts',(-0.215053365386798,-0.656435540912682, 0.1096)); #175777=CARTESIAN_POINT('Ctrl Pts',(-0.223628060335255,-0.656435540912682, 0.1096)); #175778=CARTESIAN_POINT('',(-0.223628060335255,-0.656435540912682,0.1196)); #175779=CARTESIAN_POINT('Origin',(-0.244413120890316,-0.656435540912682, 0.1196)); #175780=CARTESIAN_POINT('',(-0.244413120890316,-0.656435540912682,0.1196)); #175781=CARTESIAN_POINT('',(-0.122206560445158,-0.656435540912682,0.1196)); #175782=CARTESIAN_POINT('',(-0.244413120890316,-0.656435540912682,0.1096)); #175783=CARTESIAN_POINT('',(-0.236701609754025,-0.656435540912682,0.1096)); #175784=CARTESIAN_POINT('',(-0.244413120890316,-0.656435540912682,0.1196)); #175785=CARTESIAN_POINT('Origin',(-0.244413120890316,-0.687373040286716, 0.1196)); #175786=CARTESIAN_POINT('',(-0.244413120890316,-0.687373040286716,0.1196)); #175787=CARTESIAN_POINT('',(-0.244413120890316,-0.341034231914928,0.1196)); #175788=CARTESIAN_POINT('',(-0.244413120890316,-0.687373040286716,0.1096)); #175789=CARTESIAN_POINT('',(-0.244413120890316,-0.670366923589492,0.1096)); #175790=CARTESIAN_POINT('',(-0.244413120890316,-0.687373040286716,0.1196)); #175791=CARTESIAN_POINT('Origin',(-0.221981718905151,-0.687373040286716, 0.1196)); #175792=CARTESIAN_POINT('',(-0.110990859452576,-0.687373040286716,0.1196)); #175793=CARTESIAN_POINT('',(-0.225485908761443,-0.687373040286716,0.1096)); #175794=CARTESIAN_POINT('Origin',(-0.222050316464739,-0.698005662022803, 0.1196)); #175795=CARTESIAN_POINT('',(-0.222050316464739,-0.698005662022803,0.1196)); #175796=CARTESIAN_POINT('',(-0.256349096258568,-0.698005662022803,0.1196)); #175797=CARTESIAN_POINT('',(-0.111025158232369,-0.698005662022803,0.1196)); #175798=CARTESIAN_POINT('',(-0.222050316464739,-0.698005662022803,0.1096)); #175799=CARTESIAN_POINT('',(-0.222050316464739,-0.698005662022803,0.1196)); #175800=CARTESIAN_POINT('',(-0.256349096258568,-0.698005662022803,0.1096)); #175801=CARTESIAN_POINT('',(-0.222050316464739,-0.698005662022803,0.1096)); #175802=CARTESIAN_POINT('',(-0.256349096258568,-0.698005662022803,0.1196)); #175803=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.671938589379493, 0.1196)); #175804=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.671938589379493, 0.1096)); #175805=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.678249564861558, 0.1196)); #175806=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.678249564861558, 0.1096)); #175807=CARTESIAN_POINT('Ctrl Pts',(-0.193719524355036,-0.689087979276408, 0.1196)); #175808=CARTESIAN_POINT('Ctrl Pts',(-0.193719524355036,-0.689087979276408, 0.1096)); #175809=CARTESIAN_POINT('Ctrl Pts',(-0.201539646148029,-0.694987369400946, 0.1196)); #175810=CARTESIAN_POINT('Ctrl Pts',(-0.201539646148029,-0.694987369400946, 0.1096)); #175811=CARTESIAN_POINT('Ctrl Pts',(-0.213407023956694,-0.698005662022803, 0.1196)); #175812=CARTESIAN_POINT('Ctrl Pts',(-0.213407023956694,-0.698005662022803, 0.1096)); #175813=CARTESIAN_POINT('Ctrl Pts',(-0.222050316464739,-0.698005662022803, 0.1196)); #175814=CARTESIAN_POINT('Ctrl Pts',(-0.222050316464739,-0.698005662022803, 0.1096)); #175815=CARTESIAN_POINT('',(-0.188368914707199,-0.671938589379493,0.1196)); #175816=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.671938589379493, 0.1196)); #175817=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.678249564861558, 0.1196)); #175818=CARTESIAN_POINT('Ctrl Pts',(-0.193719524355036,-0.689087979276408, 0.1196)); #175819=CARTESIAN_POINT('Ctrl Pts',(-0.201539646148029,-0.694987369400946, 0.1196)); #175820=CARTESIAN_POINT('Ctrl Pts',(-0.213407023956694,-0.698005662022803, 0.1196)); #175821=CARTESIAN_POINT('Ctrl Pts',(-0.222050316464739,-0.698005662022803, 0.1196)); #175822=CARTESIAN_POINT('',(-0.188368914707199,-0.671938589379493,0.1096)); #175823=CARTESIAN_POINT('',(-0.188368914707199,-0.671938589379493,0.1196)); #175824=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.671938589379493, 0.1096)); #175825=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.678249564861558, 0.1096)); #175826=CARTESIAN_POINT('Ctrl Pts',(-0.193719524355036,-0.689087979276408, 0.1096)); #175827=CARTESIAN_POINT('Ctrl Pts',(-0.201539646148029,-0.694987369400946, 0.1096)); #175828=CARTESIAN_POINT('Ctrl Pts',(-0.213407023956694,-0.698005662022803, 0.1096)); #175829=CARTESIAN_POINT('Ctrl Pts',(-0.222050316464739,-0.698005662022803, 0.1096)); #175830=CARTESIAN_POINT('Ctrl Pts',(-0.205381109484938,-0.650124565430618, 0.1196)); #175831=CARTESIAN_POINT('Ctrl Pts',(-0.205381109484938,-0.650124565430618, 0.1096)); #175832=CARTESIAN_POINT('Ctrl Pts',(-0.197149402334419,-0.652525480016186, 0.1196)); #175833=CARTESIAN_POINT('Ctrl Pts',(-0.197149402334419,-0.652525480016186, 0.1096)); #175834=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.664049870026913, 0.1196)); #175835=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.664049870026913, 0.1096)); #175836=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.671938589379493, 0.1196)); #175837=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.671938589379493, 0.1096)); #175838=CARTESIAN_POINT('',(-0.205381109484938,-0.650124565430618,0.1196)); #175839=CARTESIAN_POINT('Ctrl Pts',(-0.205381109484938,-0.650124565430618, 0.1196)); #175840=CARTESIAN_POINT('Ctrl Pts',(-0.197149402334419,-0.652525480016186, 0.1196)); #175841=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.664049870026913, 0.1196)); #175842=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.671938589379493, 0.1196)); #175843=CARTESIAN_POINT('',(-0.205381109484938,-0.650124565430618,0.1096)); #175844=CARTESIAN_POINT('',(-0.205381109484938,-0.650124565430618,0.1196)); #175845=CARTESIAN_POINT('Ctrl Pts',(-0.205381109484938,-0.650124565430618, 0.1096)); #175846=CARTESIAN_POINT('Ctrl Pts',(-0.197149402334419,-0.652525480016186, 0.1096)); #175847=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.664049870026913, 0.1096)); #175848=CARTESIAN_POINT('Ctrl Pts',(-0.188368914707199,-0.671938589379493, 0.1096)); #175849=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.631054443865249, 0.1196)); #175850=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.631054443865249, 0.1096)); #175851=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.636816638870612, 0.1196)); #175852=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.636816638870612, 0.1096)); #175853=CARTESIAN_POINT('Ctrl Pts',(-0.199001536443286,-0.646969077689586, 0.1196)); #175854=CARTESIAN_POINT('Ctrl Pts',(-0.199001536443286,-0.646969077689586, 0.1096)); #175855=CARTESIAN_POINT('Ctrl Pts',(-0.205381109484938,-0.650124565430618, 0.1196)); #175856=CARTESIAN_POINT('Ctrl Pts',(-0.205381109484938,-0.650124565430618, 0.1096)); #175857=CARTESIAN_POINT('',(-0.192759158520809,-0.631054443865249,0.1196)); #175858=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.631054443865249, 0.1196)); #175859=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.636816638870612, 0.1196)); #175860=CARTESIAN_POINT('Ctrl Pts',(-0.199001536443286,-0.646969077689586, 0.1196)); #175861=CARTESIAN_POINT('Ctrl Pts',(-0.205381109484938,-0.650124565430618, 0.1196)); #175862=CARTESIAN_POINT('',(-0.192759158520809,-0.631054443865249,0.1096)); #175863=CARTESIAN_POINT('',(-0.192759158520809,-0.631054443865249,0.1196)); #175864=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.631054443865249, 0.1096)); #175865=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.636816638870612, 0.1096)); #175866=CARTESIAN_POINT('Ctrl Pts',(-0.199001536443286,-0.646969077689586, 0.1096)); #175867=CARTESIAN_POINT('Ctrl Pts',(-0.205381109484938,-0.650124565430618, 0.1096)); #175868=CARTESIAN_POINT('Ctrl Pts',(-0.22259909694144,-0.608005663843796, 0.1196)); #175869=CARTESIAN_POINT('Ctrl Pts',(-0.22259909694144,-0.608005663843796, 0.1096)); #175870=CARTESIAN_POINT('Ctrl Pts',(-0.212309463003291,-0.608005663843796, 0.1196)); #175871=CARTESIAN_POINT('Ctrl Pts',(-0.212309463003291,-0.608005663843796, 0.1096)); #175872=CARTESIAN_POINT('Ctrl Pts',(-0.199824707158338,-0.613493468610808, 0.1196)); #175873=CARTESIAN_POINT('Ctrl Pts',(-0.199824707158338,-0.613493468610808, 0.1096)); #175874=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.624812065942772, 0.1196)); #175875=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.624812065942772, 0.1096)); #175876=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.631054443865249, 0.1196)); #175877=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.631054443865249, 0.1096)); #175878=CARTESIAN_POINT('',(-0.22259909694144,-0.608005663843796,0.1196)); #175879=CARTESIAN_POINT('Ctrl Pts',(-0.22259909694144,-0.608005663843796, 0.1196)); #175880=CARTESIAN_POINT('Ctrl Pts',(-0.212309463003291,-0.608005663843796, 0.1196)); #175881=CARTESIAN_POINT('Ctrl Pts',(-0.199824707158338,-0.613493468610808, 0.1196)); #175882=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.624812065942772, 0.1196)); #175883=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.631054443865249, 0.1196)); #175884=CARTESIAN_POINT('',(-0.22259909694144,-0.608005663843796,0.1096)); #175885=CARTESIAN_POINT('',(-0.22259909694144,-0.608005663843796,0.1196)); #175886=CARTESIAN_POINT('Ctrl Pts',(-0.22259909694144,-0.608005663843796, 0.1096)); #175887=CARTESIAN_POINT('Ctrl Pts',(-0.212309463003291,-0.608005663843796, 0.1096)); #175888=CARTESIAN_POINT('Ctrl Pts',(-0.199824707158338,-0.613493468610808, 0.1096)); #175889=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.624812065942772, 0.1096)); #175890=CARTESIAN_POINT('Ctrl Pts',(-0.192759158520809,-0.631054443865249, 0.1096)); #175891=CARTESIAN_POINT('Origin',(-0.256349096258568,-0.608005663843796, 0.1196)); #175892=CARTESIAN_POINT('',(-0.256349096258568,-0.608005663843796,0.1196)); #175893=CARTESIAN_POINT('',(-0.128174548129284,-0.608005663843796,0.1196)); #175894=CARTESIAN_POINT('',(-0.256349096258568,-0.608005663843796,0.1096)); #175895=CARTESIAN_POINT('',(-0.256349096258568,-0.608005663843796,0.1196)); #175896=CARTESIAN_POINT('',(-0.256349096258568,-0.608005663843796,0.1096)); #175897=CARTESIAN_POINT('Origin',(-0.256349096258568,-0.698005662022803, 0.1196)); #175898=CARTESIAN_POINT('',(-0.256349096258568,-0.346350542782972,0.1196)); #175899=CARTESIAN_POINT('',(-0.256349096258568,-0.698005662022803,0.1096)); #175900=CARTESIAN_POINT('Origin',(-0.228990098617734,-0.653360806892267, 0.1096)); #175901=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.632563590176177, 0.1196)); #175902=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.632563590176177, 0.1096)); #175903=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.6368852364302, 0.1196)); #175904=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.6368852364302, 0.1096)); #175905=CARTESIAN_POINT('Ctrl Pts',(-0.0329954422411527,-0.644362370425255, 0.1196)); #175906=CARTESIAN_POINT('Ctrl Pts',(-0.0329954422411527,-0.644362370425255, 0.1096)); #175907=CARTESIAN_POINT('Ctrl Pts',(-0.0421875152258989,-0.64772365084505, 0.1196)); #175908=CARTESIAN_POINT('Ctrl Pts',(-0.0421875152258989,-0.64772365084505, 0.1096)); #175909=CARTESIAN_POINT('Ctrl Pts',(-0.0503506248168302,-0.64772365084505, 0.1196)); #175910=CARTESIAN_POINT('Ctrl Pts',(-0.0503506248168302,-0.64772365084505, 0.1096)); #175911=CARTESIAN_POINT('',(-0.0503506248168302,-0.64772365084505,0.1196)); #175912=CARTESIAN_POINT('',(-0.0281936130700166,-0.632563590176177,0.1196)); #175913=CARTESIAN_POINT('Ctrl Pts',(-0.0503506248168302,-0.64772365084505, 0.1196)); #175914=CARTESIAN_POINT('Ctrl Pts',(-0.0421875152258989,-0.64772365084505, 0.1196)); #175915=CARTESIAN_POINT('Ctrl Pts',(-0.0329954422411527,-0.644362370425255, 0.1196)); #175916=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.6368852364302, 0.1196)); #175917=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.632563590176177, 0.1196)); #175918=CARTESIAN_POINT('',(-0.0503506248168302,-0.64772365084505,0.1096)); #175919=CARTESIAN_POINT('',(-0.0503506248168302,-0.64772365084505,0.1196)); #175920=CARTESIAN_POINT('',(-0.0281936130700166,-0.632563590176177,0.1096)); #175921=CARTESIAN_POINT('Ctrl Pts',(-0.0503506248168302,-0.64772365084505, 0.1096)); #175922=CARTESIAN_POINT('Ctrl Pts',(-0.0421875152258989,-0.64772365084505, 0.1096)); #175923=CARTESIAN_POINT('Ctrl Pts',(-0.0329954422411527,-0.644362370425255, 0.1096)); #175924=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.6368852364302, 0.1096)); #175925=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.632563590176177, 0.1096)); #175926=CARTESIAN_POINT('',(-0.0281936130700166,-0.632563590176177,0.1196)); #175927=CARTESIAN_POINT('Ctrl Pts',(-0.0474695273141486,-0.617952309984006, 0.1196)); #175928=CARTESIAN_POINT('Ctrl Pts',(-0.0474695273141486,-0.617952309984006, 0.1096)); #175929=CARTESIAN_POINT('Ctrl Pts',(-0.0374542836143505,-0.617952309984006, 0.1196)); #175930=CARTESIAN_POINT('Ctrl Pts',(-0.0374542836143505,-0.617952309984006, 0.1096)); #175931=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.626184017134525, 0.1196)); #175932=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.626184017134525, 0.1096)); #175933=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.632563590176177, 0.1196)); #175934=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.632563590176177, 0.1096)); #175935=CARTESIAN_POINT('',(-0.0474695273141486,-0.617952309984006,0.1196)); #175936=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.632563590176177, 0.1196)); #175937=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.626184017134525, 0.1196)); #175938=CARTESIAN_POINT('Ctrl Pts',(-0.0374542836143505,-0.617952309984006, 0.1196)); #175939=CARTESIAN_POINT('Ctrl Pts',(-0.0474695273141486,-0.617952309984006, 0.1196)); #175940=CARTESIAN_POINT('',(-0.0474695273141486,-0.617952309984006,0.1096)); #175941=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.632563590176177, 0.1096)); #175942=CARTESIAN_POINT('Ctrl Pts',(-0.0281936130700166,-0.626184017134525, 0.1096)); #175943=CARTESIAN_POINT('Ctrl Pts',(-0.0374542836143505,-0.617952309984006, 0.1096)); #175944=CARTESIAN_POINT('Ctrl Pts',(-0.0474695273141486,-0.617952309984006, 0.1096)); #175945=CARTESIAN_POINT('',(-0.0474695273141486,-0.617952309984006,0.1196)); #175946=CARTESIAN_POINT('Origin',(-0.0759375145430268,-0.617952309984006, 0.1196)); #175947=CARTESIAN_POINT('',(-0.0759375145430268,-0.617952309984006,0.1196)); #175948=CARTESIAN_POINT('',(-0.0379687572715134,-0.617952309984006,0.1196)); #175949=CARTESIAN_POINT('',(-0.0759375145430268,-0.617952309984006,0.1096)); #175950=CARTESIAN_POINT('',(-0.0656250192359878,-0.617952309984006,0.1096)); #175951=CARTESIAN_POINT('',(-0.0759375145430268,-0.617952309984006,0.1196)); #175952=CARTESIAN_POINT('Origin',(-0.0759375145430268,-0.64772365084505, 0.1196)); #175953=CARTESIAN_POINT('',(-0.0759375145430268,-0.64772365084505,0.1196)); #175954=CARTESIAN_POINT('',(-0.0759375145430268,-0.321209537194095,0.1196)); #175955=CARTESIAN_POINT('',(-0.0759375145430268,-0.64772365084505,0.1096)); #175956=CARTESIAN_POINT('',(-0.0759375145430268,-0.65216187467738,0.1096)); #175957=CARTESIAN_POINT('',(-0.0759375145430268,-0.64772365084505,0.1196)); #175958=CARTESIAN_POINT('Origin',(-0.0503506248168302,-0.64772365084505, 0.1196)); #175959=CARTESIAN_POINT('',(-0.0251753124084151,-0.64772365084505,0.1196)); #175960=CARTESIAN_POINT('',(-0.0528315743728895,-0.64772365084505,0.1096)); #175961=CARTESIAN_POINT('Origin',(-0.0759375145430268,-0.698005662022803, 0.1196)); #175962=CARTESIAN_POINT('',(-0.0759375145430268,-0.698005662022803,0.1196)); #175963=CARTESIAN_POINT('',(-0.0878734899112793,-0.698005662022803,0.1196)); #175964=CARTESIAN_POINT('',(-0.0379687572715134,-0.698005662022803,0.1196)); #175965=CARTESIAN_POINT('',(-0.0759375145430268,-0.698005662022803,0.1096)); #175966=CARTESIAN_POINT('',(-0.0759375145430268,-0.698005662022803,0.1196)); #175967=CARTESIAN_POINT('',(-0.0878734899112793,-0.698005662022803,0.1096)); #175968=CARTESIAN_POINT('',(-0.0759375145430268,-0.698005662022803,0.1096)); #175969=CARTESIAN_POINT('',(-0.0878734899112793,-0.698005662022803,0.1196)); #175970=CARTESIAN_POINT('Origin',(-0.0759375145430268,-0.658013284783199, 0.1196)); #175971=CARTESIAN_POINT('',(-0.0759375145430268,-0.658013284783199,0.1196)); #175972=CARTESIAN_POINT('',(-0.0759375145430268,-0.326354354163169,0.1196)); #175973=CARTESIAN_POINT('',(-0.0759375145430268,-0.658013284783199,0.1096)); #175974=CARTESIAN_POINT('',(-0.0759375145430268,-0.658013284783199,0.1196)); #175975=CARTESIAN_POINT('',(-0.0759375145430268,-0.658013284783199,0.1096)); #175976=CARTESIAN_POINT('Origin',(-0.0621494050659074,-0.658013284783199, 0.1196)); #175977=CARTESIAN_POINT('',(-0.0621494050659074,-0.658013284783199,0.1196)); #175978=CARTESIAN_POINT('',(-0.0310747025329537,-0.658013284783199,0.1196)); #175979=CARTESIAN_POINT('',(-0.0621494050659074,-0.658013284783199,0.1096)); #175980=CARTESIAN_POINT('',(-0.0621494050659074,-0.658013284783199,0.1196)); #175981=CARTESIAN_POINT('',(-0.0621494050659074,-0.658013284783199,0.1096)); #175982=CARTESIAN_POINT('Ctrl Pts',(-0.0554954417859046,-0.658424870140724, 0.1196)); #175983=CARTESIAN_POINT('Ctrl Pts',(-0.0554954417859046,-0.658424870140724, 0.1096)); #175984=CARTESIAN_POINT('Ctrl Pts',(-0.0575533685735343,-0.658013284783199, 0.1196)); #175985=CARTESIAN_POINT('Ctrl Pts',(-0.0575533685735343,-0.658013284783199, 0.1096)); #175986=CARTESIAN_POINT('Ctrl Pts',(-0.0621494050659074,-0.658013284783199, 0.1196)); #175987=CARTESIAN_POINT('Ctrl Pts',(-0.0621494050659074,-0.658013284783199, 0.1096)); #175988=CARTESIAN_POINT('',(-0.0554954417859046,-0.658424870140725,0.1196)); #175989=CARTESIAN_POINT('Ctrl Pts',(-0.0554954417859046,-0.658424870140724, 0.1196)); #175990=CARTESIAN_POINT('Ctrl Pts',(-0.0575533685735343,-0.658013284783199, 0.1196)); #175991=CARTESIAN_POINT('Ctrl Pts',(-0.0621494050659074,-0.658013284783199, 0.1196)); #175992=CARTESIAN_POINT('',(-0.0554954417859046,-0.658424870140725,0.1096)); #175993=CARTESIAN_POINT('',(-0.0554954417859046,-0.658424870140725,0.1196)); #175994=CARTESIAN_POINT('Ctrl Pts',(-0.0554954417859046,-0.658424870140724, 0.1096)); #175995=CARTESIAN_POINT('Ctrl Pts',(-0.0575533685735343,-0.658013284783199, 0.1096)); #175996=CARTESIAN_POINT('Ctrl Pts',(-0.0621494050659074,-0.658013284783199, 0.1096)); #175997=CARTESIAN_POINT('Ctrl Pts',(-0.0354649543863084,-0.679278528255373, 0.1196)); #175998=CARTESIAN_POINT('Ctrl Pts',(-0.0354649543863084,-0.679278528255373, 0.1096)); #175999=CARTESIAN_POINT('Ctrl Pts',(-0.0406783689149704,-0.671184016224029, 0.1196)); #176000=CARTESIAN_POINT('Ctrl Pts',(-0.0406783689149704,-0.671184016224029, 0.1096)); #176001=CARTESIAN_POINT('Ctrl Pts',(-0.0474009297545609,-0.662609321275572, 0.1196)); #176002=CARTESIAN_POINT('Ctrl Pts',(-0.0474009297545609,-0.662609321275572, 0.1096)); #176003=CARTESIAN_POINT('Ctrl Pts',(-0.0527515394023983,-0.659110845736601, 0.1196)); #176004=CARTESIAN_POINT('Ctrl Pts',(-0.0527515394023983,-0.659110845736601, 0.1096)); #176005=CARTESIAN_POINT('Ctrl Pts',(-0.0554954417859046,-0.658424870140724, 0.1196)); #176006=CARTESIAN_POINT('Ctrl Pts',(-0.0554954417859046,-0.658424870140724, 0.1096)); #176007=CARTESIAN_POINT('',(-0.0354649543863084,-0.679278528255373,0.1196)); #176008=CARTESIAN_POINT('Ctrl Pts',(-0.0354649543863084,-0.679278528255373, 0.1196)); #176009=CARTESIAN_POINT('Ctrl Pts',(-0.0406783689149704,-0.671184016224029, 0.1196)); #176010=CARTESIAN_POINT('Ctrl Pts',(-0.0474009297545609,-0.662609321275572, 0.1196)); #176011=CARTESIAN_POINT('Ctrl Pts',(-0.0527515394023983,-0.659110845736601, 0.1196)); #176012=CARTESIAN_POINT('Ctrl Pts',(-0.0554954417859046,-0.658424870140724, 0.1196)); #176013=CARTESIAN_POINT('',(-0.0354649543863084,-0.679278528255373,0.1096)); #176014=CARTESIAN_POINT('',(-0.0354649543863084,-0.679278528255373,0.1196)); #176015=CARTESIAN_POINT('Ctrl Pts',(-0.0354649543863084,-0.679278528255373, 0.1096)); #176016=CARTESIAN_POINT('Ctrl Pts',(-0.0406783689149704,-0.671184016224029, 0.1096)); #176017=CARTESIAN_POINT('Ctrl Pts',(-0.0474009297545609,-0.662609321275572, 0.1096)); #176018=CARTESIAN_POINT('Ctrl Pts',(-0.0527515394023983,-0.659110845736601, 0.1096)); #176019=CARTESIAN_POINT('Ctrl Pts',(-0.0554954417859046,-0.658424870140724, 0.1096)); #176020=CARTESIAN_POINT('Origin',(-0.0235289790180558,-0.698005662022803, 0.1196)); #176021=CARTESIAN_POINT('',(-0.0235289790180558,-0.698005662022803,0.1196)); #176022=CARTESIAN_POINT('',(-0.179515237209508,-0.453268601756904,0.1196)); #176023=CARTESIAN_POINT('',(-0.0235289790180558,-0.698005662022803,0.1096)); #176024=CARTESIAN_POINT('',(-0.0235289790180558,-0.698005662022803,0.1196)); #176025=CARTESIAN_POINT('',(-0.0235289790180558,-0.698005662022803,0.1096)); #176026=CARTESIAN_POINT('Origin',(-0.00864330858753397,-0.698005662022803, 0.1196)); #176027=CARTESIAN_POINT('',(-0.00864330858753397,-0.698005662022803,0.1196)); #176028=CARTESIAN_POINT('',(-0.00432165429376698,-0.698005662022803,0.1196)); #176029=CARTESIAN_POINT('',(-0.00864330858753397,-0.698005662022803,0.1096)); #176030=CARTESIAN_POINT('',(-0.00864330858753397,-0.698005662022803,0.1196)); #176031=CARTESIAN_POINT('',(-0.00864330858753397,-0.698005662022803,0.1096)); #176032=CARTESIAN_POINT('Origin',(-0.0242149546139324,-0.673516333250009, 0.1196)); #176033=CARTESIAN_POINT('',(-0.0242149546139324,-0.673516333250009,0.1196)); #176034=CARTESIAN_POINT('',(-0.174409937532039,-0.437306602405234,0.1196)); #176035=CARTESIAN_POINT('',(-0.0242149546139324,-0.673516333250009,0.1096)); #176036=CARTESIAN_POINT('',(-0.0242149546139324,-0.673516333250009,0.1196)); #176037=CARTESIAN_POINT('',(-0.0242149546139324,-0.673516333250009,0.1096)); #176038=CARTESIAN_POINT('Ctrl Pts',(-0.0340930031945552,-0.661511760322169, 0.1196)); #176039=CARTESIAN_POINT('Ctrl Pts',(-0.0340930031945552,-0.661511760322169, 0.1096)); #176040=CARTESIAN_POINT('Ctrl Pts',(-0.0288795886658932,-0.666313589493305, 0.1196)); #176041=CARTESIAN_POINT('Ctrl Pts',(-0.0288795886658932,-0.666313589493305, 0.1096)); #176042=CARTESIAN_POINT('Ctrl Pts',(-0.0242149546139324,-0.673516333250009, 0.1196)); #176043=CARTESIAN_POINT('Ctrl Pts',(-0.0242149546139324,-0.673516333250009, 0.1096)); #176044=CARTESIAN_POINT('',(-0.0340930031945552,-0.661511760322169,0.1196)); #176045=CARTESIAN_POINT('Ctrl Pts',(-0.0340930031945552,-0.661511760322169, 0.1196)); #176046=CARTESIAN_POINT('Ctrl Pts',(-0.0288795886658932,-0.666313589493305, 0.1196)); #176047=CARTESIAN_POINT('Ctrl Pts',(-0.0242149546139324,-0.673516333250009, 0.1196)); #176048=CARTESIAN_POINT('',(-0.0340930031945552,-0.661511760322169,0.1096)); #176049=CARTESIAN_POINT('',(-0.0340930031945552,-0.661511760322169,0.1196)); #176050=CARTESIAN_POINT('Ctrl Pts',(-0.0340930031945552,-0.661511760322169, 0.1096)); #176051=CARTESIAN_POINT('Ctrl Pts',(-0.0288795886658932,-0.666313589493305, 0.1096)); #176052=CARTESIAN_POINT('Ctrl Pts',(-0.0242149546139324,-0.673516333250009, 0.1096)); #176053=CARTESIAN_POINT('Ctrl Pts',(-0.0412271493916717,-0.657052918948971, 0.1196)); #176054=CARTESIAN_POINT('Ctrl Pts',(-0.0412271493916717,-0.657052918948971, 0.1096)); #176055=CARTESIAN_POINT('Ctrl Pts',(-0.0365625153397109,-0.659316638415364, 0.1196)); #176056=CARTESIAN_POINT('Ctrl Pts',(-0.0365625153397109,-0.659316638415364, 0.1096)); #176057=CARTESIAN_POINT('Ctrl Pts',(-0.0340930031945552,-0.661511760322169, 0.1196)); #176058=CARTESIAN_POINT('Ctrl Pts',(-0.0340930031945552,-0.661511760322169, 0.1096)); #176059=CARTESIAN_POINT('',(-0.0412271493916717,-0.657052918948971,0.1196)); #176060=CARTESIAN_POINT('Ctrl Pts',(-0.0412271493916717,-0.657052918948971, 0.1196)); #176061=CARTESIAN_POINT('Ctrl Pts',(-0.0365625153397109,-0.659316638415364, 0.1196)); #176062=CARTESIAN_POINT('Ctrl Pts',(-0.0340930031945552,-0.661511760322169, 0.1196)); #176063=CARTESIAN_POINT('',(-0.0412271493916717,-0.657052918948971,0.1096)); #176064=CARTESIAN_POINT('',(-0.0412271493916717,-0.657052918948971,0.1196)); #176065=CARTESIAN_POINT('Ctrl Pts',(-0.0412271493916717,-0.657052918948971, 0.1096)); #176066=CARTESIAN_POINT('Ctrl Pts',(-0.0365625153397109,-0.659316638415364, 0.1096)); #176067=CARTESIAN_POINT('Ctrl Pts',(-0.0340930031945552,-0.661511760322169, 0.1096)); #176068=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.632563590176177, 0.1196)); #176069=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.632563590176177, 0.1096)); #176070=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.642167248518449, 0.1196)); #176071=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.642167248518449, 0.1096)); #176072=CARTESIAN_POINT('Ctrl Pts',(-0.0283308081891919,-0.655269382399692, 0.1196)); #176073=CARTESIAN_POINT('Ctrl Pts',(-0.0283308081891919,-0.655269382399692, 0.1096)); #176074=CARTESIAN_POINT('Ctrl Pts',(-0.0412271493916717,-0.657052918948971, 0.1196)); #176075=CARTESIAN_POINT('Ctrl Pts',(-0.0412271493916717,-0.657052918948971, 0.1096)); #176076=CARTESIAN_POINT('',(-0.0159146499038258,-0.632563590176177,0.1196)); #176077=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.632563590176177, 0.1196)); #176078=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.642167248518449, 0.1196)); #176079=CARTESIAN_POINT('Ctrl Pts',(-0.0283308081891919,-0.655269382399692, 0.1196)); #176080=CARTESIAN_POINT('Ctrl Pts',(-0.0412271493916717,-0.657052918948971, 0.1196)); #176081=CARTESIAN_POINT('',(-0.0159146499038258,-0.632563590176177,0.1096)); #176082=CARTESIAN_POINT('',(-0.0159146499038258,-0.632563590176177,0.1196)); #176083=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.632563590176177, 0.1096)); #176084=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.642167248518449, 0.1096)); #176085=CARTESIAN_POINT('Ctrl Pts',(-0.0283308081891919,-0.655269382399692, 0.1096)); #176086=CARTESIAN_POINT('Ctrl Pts',(-0.0412271493916717,-0.657052918948971, 0.1096)); #176087=CARTESIAN_POINT('Ctrl Pts',(-0.0479497102312622,-0.608005663843796, 0.1196)); #176088=CARTESIAN_POINT('Ctrl Pts',(-0.0479497102312622,-0.608005663843796, 0.1096)); #176089=CARTESIAN_POINT('Ctrl Pts',(-0.035945137303422,-0.608005663843796, 0.1196)); #176090=CARTESIAN_POINT('Ctrl Pts',(-0.035945137303422,-0.608005663843796, 0.1096)); #176091=CARTESIAN_POINT('Ctrl Pts',(-0.0233917838988805,-0.612876090574519, 0.1196)); #176092=CARTESIAN_POINT('Ctrl Pts',(-0.0233917838988805,-0.612876090574519, 0.1096)); #176093=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.62515505374071, 0.1196)); #176094=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.62515505374071, 0.1096)); #176095=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.632563590176177, 0.1196)); #176096=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.632563590176177, 0.1096)); #176097=CARTESIAN_POINT('',(-0.0479497102312622,-0.608005663843796,0.1196)); #176098=CARTESIAN_POINT('Ctrl Pts',(-0.0479497102312622,-0.608005663843796, 0.1196)); #176099=CARTESIAN_POINT('Ctrl Pts',(-0.035945137303422,-0.608005663843796, 0.1196)); #176100=CARTESIAN_POINT('Ctrl Pts',(-0.0233917838988805,-0.612876090574519, 0.1196)); #176101=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.62515505374071, 0.1196)); #176102=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.632563590176177, 0.1196)); #176103=CARTESIAN_POINT('',(-0.0479497102312622,-0.608005663843796,0.1096)); #176104=CARTESIAN_POINT('',(-0.0479497102312622,-0.608005663843796,0.1196)); #176105=CARTESIAN_POINT('Ctrl Pts',(-0.0479497102312622,-0.608005663843796, 0.1096)); #176106=CARTESIAN_POINT('Ctrl Pts',(-0.035945137303422,-0.608005663843796, 0.1096)); #176107=CARTESIAN_POINT('Ctrl Pts',(-0.0233917838988805,-0.612876090574519, 0.1096)); #176108=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.62515505374071, 0.1096)); #176109=CARTESIAN_POINT('Ctrl Pts',(-0.0159146499038258,-0.632563590176177, 0.1096)); #176110=CARTESIAN_POINT('Origin',(-0.0878734899112793,-0.608005663843796, 0.1196)); #176111=CARTESIAN_POINT('',(-0.0878734899112793,-0.608005663843796,0.1196)); #176112=CARTESIAN_POINT('',(-0.0439367449556397,-0.608005663843796,0.1196)); #176113=CARTESIAN_POINT('',(-0.0878734899112793,-0.608005663843796,0.1096)); #176114=CARTESIAN_POINT('',(-0.0878734899112793,-0.608005663843796,0.1196)); #176115=CARTESIAN_POINT('',(-0.0878734899112793,-0.608005663843796,0.1096)); #176116=CARTESIAN_POINT('Origin',(-0.0878734899112793,-0.698005662022803, 0.1196)); #176117=CARTESIAN_POINT('',(-0.0878734899112793,-0.346350542782972,0.1196)); #176118=CARTESIAN_POINT('',(-0.0878734899112793,-0.698005662022803,0.1096)); #176119=CARTESIAN_POINT('Origin',(-0.0553125239289488,-0.65660009850971, 0.1096)); #176120=CARTESIAN_POINT('Origin',(0.0151600445893835,-0.698005662022803, 0.1196)); #176121=CARTESIAN_POINT('',(0.0151600445893835,-0.698005662022803,0.1196)); #176122=CARTESIAN_POINT('',(0.00322406922113092,-0.698005662022803,0.1196)); #176123=CARTESIAN_POINT('',(0.00758002229469174,-0.698005662022803,0.1196)); #176124=CARTESIAN_POINT('',(0.0151600445893835,-0.698005662022803,0.1096)); #176125=CARTESIAN_POINT('',(0.0151600445893835,-0.698005662022803,0.1196)); #176126=CARTESIAN_POINT('',(0.00322406922113092,-0.698005662022803,0.1096)); #176127=CARTESIAN_POINT('',(0.0151600445893835,-0.698005662022803,0.1096)); #176128=CARTESIAN_POINT('',(0.00322406922113092,-0.698005662022803,0.1196)); #176129=CARTESIAN_POINT('Origin',(0.0151600445893835,-0.655612370197631, 0.1196)); #176130=CARTESIAN_POINT('',(0.0151600445893835,-0.655612370197631,0.1196)); #176131=CARTESIAN_POINT('',(0.0151600445893835,-0.325153896870385,0.1196)); #176132=CARTESIAN_POINT('',(0.0151600445893835,-0.655612370197631,0.1096)); #176133=CARTESIAN_POINT('',(0.0151600445893835,-0.655612370197631,0.1196)); #176134=CARTESIAN_POINT('',(0.0151600445893835,-0.655612370197631,0.1096)); #176135=CARTESIAN_POINT('Origin',(0.0618063851089911,-0.655612370197631, 0.1196)); #176136=CARTESIAN_POINT('',(0.0618063851089911,-0.655612370197631,0.1196)); #176137=CARTESIAN_POINT('',(0.0309031925544956,-0.655612370197631,0.1196)); #176138=CARTESIAN_POINT('',(0.0618063851089911,-0.655612370197631,0.1096)); #176139=CARTESIAN_POINT('',(0.0618063851089911,-0.655612370197631,0.1196)); #176140=CARTESIAN_POINT('',(0.0618063851089911,-0.655612370197631,0.1096)); #176141=CARTESIAN_POINT('Origin',(0.0618063851089911,-0.698005662022803, 0.1196)); #176142=CARTESIAN_POINT('',(0.0618063851089911,-0.698005662022803,0.1196)); #176143=CARTESIAN_POINT('',(0.0618063851089911,-0.346350542782972,0.1196)); #176144=CARTESIAN_POINT('',(0.0618063851089911,-0.698005662022803,0.1096)); #176145=CARTESIAN_POINT('',(0.0618063851089911,-0.698005662022803,0.1196)); #176146=CARTESIAN_POINT('',(0.0618063851089911,-0.698005662022803,0.1096)); #176147=CARTESIAN_POINT('Origin',(0.0737423604772437,-0.698005662022803, 0.1196)); #176148=CARTESIAN_POINT('',(0.0737423604772437,-0.698005662022803,0.1196)); #176149=CARTESIAN_POINT('',(0.0368711802386218,-0.698005662022803,0.1196)); #176150=CARTESIAN_POINT('',(0.0737423604772437,-0.698005662022803,0.1096)); #176151=CARTESIAN_POINT('',(0.0737423604772437,-0.698005662022803,0.1196)); #176152=CARTESIAN_POINT('',(0.0737423604772437,-0.698005662022803,0.1096)); #176153=CARTESIAN_POINT('Origin',(0.0737423604772437,-0.608005663843796, 0.1196)); #176154=CARTESIAN_POINT('',(0.0737423604772437,-0.608005663843796,0.1196)); #176155=CARTESIAN_POINT('',(0.0737423604772437,-0.301350543693468,0.1196)); #176156=CARTESIAN_POINT('',(0.0737423604772437,-0.608005663843796,0.1096)); #176157=CARTESIAN_POINT('',(0.0737423604772437,-0.608005663843796,0.1196)); #176158=CARTESIAN_POINT('',(0.0737423604772437,-0.608005663843796,0.1096)); #176159=CARTESIAN_POINT('Origin',(0.0618063851089911,-0.608005663843796, 0.1196)); #176160=CARTESIAN_POINT('',(0.0618063851089911,-0.608005663843796,0.1196)); #176161=CARTESIAN_POINT('',(0.0309031925544956,-0.608005663843796,0.1196)); #176162=CARTESIAN_POINT('',(0.0618063851089911,-0.608005663843796,0.1096)); #176163=CARTESIAN_POINT('',(0.0618063851089911,-0.608005663843796,0.1196)); #176164=CARTESIAN_POINT('',(0.0618063851089911,-0.608005663843796,0.1096)); #176165=CARTESIAN_POINT('Origin',(0.0618063851089911,-0.644979748461543, 0.1196)); #176166=CARTESIAN_POINT('',(0.0618063851089911,-0.644979748461543,0.1196)); #176167=CARTESIAN_POINT('',(0.0618063851089911,-0.319837586002342,0.1196)); #176168=CARTESIAN_POINT('',(0.0618063851089911,-0.644979748461543,0.1096)); #176169=CARTESIAN_POINT('',(0.0618063851089911,-0.644979748461543,0.1196)); #176170=CARTESIAN_POINT('',(0.0618063851089911,-0.644979748461543,0.1096)); #176171=CARTESIAN_POINT('Origin',(0.0151600445893835,-0.644979748461543, 0.1196)); #176172=CARTESIAN_POINT('',(0.0151600445893835,-0.644979748461543,0.1196)); #176173=CARTESIAN_POINT('',(0.00758002229469174,-0.644979748461543,0.1196)); #176174=CARTESIAN_POINT('',(0.0151600445893835,-0.644979748461543,0.1096)); #176175=CARTESIAN_POINT('',(0.0151600445893835,-0.644979748461543,0.1196)); #176176=CARTESIAN_POINT('',(0.0151600445893835,-0.644979748461543,0.1096)); #176177=CARTESIAN_POINT('Origin',(0.0151600445893835,-0.608005663843796, 0.1196)); #176178=CARTESIAN_POINT('',(0.0151600445893835,-0.608005663843796,0.1196)); #176179=CARTESIAN_POINT('',(0.0151600445893835,-0.301350543693468,0.1196)); #176180=CARTESIAN_POINT('',(0.0151600445893835,-0.608005663843796,0.1096)); #176181=CARTESIAN_POINT('',(0.0151600445893835,-0.608005663843796,0.1196)); #176182=CARTESIAN_POINT('',(0.0151600445893835,-0.608005663843796,0.1096)); #176183=CARTESIAN_POINT('Origin',(0.00322406922113092,-0.608005663843796, 0.1196)); #176184=CARTESIAN_POINT('',(0.00322406922113092,-0.608005663843796,0.1196)); #176185=CARTESIAN_POINT('',(0.00161203461056546,-0.608005663843796,0.1196)); #176186=CARTESIAN_POINT('',(0.00322406922113092,-0.608005663843796,0.1096)); #176187=CARTESIAN_POINT('',(0.00322406922113092,-0.608005663843796,0.1196)); #176188=CARTESIAN_POINT('',(0.00322406922113092,-0.608005663843796,0.1096)); #176189=CARTESIAN_POINT('Origin',(0.00322406922113092,-0.698005662022803, 0.1196)); #176190=CARTESIAN_POINT('',(0.00322406922113092,-0.346350542782972,0.1196)); #176191=CARTESIAN_POINT('',(0.00322406922113092,-0.698005662022803,0.1096)); #176192=CARTESIAN_POINT('Origin',(0.0384832148491873,-0.652598873716271, 0.1096)); #176193=CARTESIAN_POINT('Origin',(1.59118998995291E-18,0.00530457645685963, 0.1196)); #176194=CARTESIAN_POINT('',(-0.216593193242077,-1.089082498356,0.1196)); #176195=CARTESIAN_POINT('',(-0.204366651056326,-1.02760451324208,0.1196)); #176196=CARTESIAN_POINT('',(-0.11949259056332,-0.600837390675907,0.1196)); #176197=CARTESIAN_POINT('',(-0.0866716154881369,-0.93101132,0.1196)); #176198=CARTESIAN_POINT('Origin',(-0.0866716154881369,-1.05101132,0.1196)); #176199=CARTESIAN_POINT('',(0.0866716154881384,-0.93101132,0.1196)); #176200=CARTESIAN_POINT('',(0.0925782551127765,-0.93101132,0.1196)); #176201=CARTESIAN_POINT('',(0.204366651056328,-1.02760451324208,0.1196)); #176202=CARTESIAN_POINT('Origin',(0.0866716154881384,-1.05101132,0.1196)); #176203=CARTESIAN_POINT('',(0.216593193242078,-1.089082498356,0.1196)); #176204=CARTESIAN_POINT('',(0.131156982597688,-0.659488750067387,0.1196)); #176205=CARTESIAN_POINT('',(0.581867060252674,-0.945750508208721,0.1196)); #176206=CARTESIAN_POINT('Origin',(0.,0.,0.1196)); #176207=CARTESIAN_POINT('',(0.648199175242926,-0.839343838491944,0.1196)); #176208=CARTESIAN_POINT('Origin',(0.758218837236067,-0.981806724798922, 0.1196)); #176209=CARTESIAN_POINT('',(0.983155623347356,-0.397574232415121,0.1196)); #176210=CARTESIAN_POINT('Origin',(0.,0.,0.1196)); #176211=CARTESIAN_POINT('',(1.09733768541033,-0.290105799664465,0.1196)); #176212=CARTESIAN_POINT('Origin',(1.156980875,-0.467866706321613,0.1196)); #176213=CARTESIAN_POINT('',(1.,0.306,0.1196)); #176214=CARTESIAN_POINT('Origin',(1.,0.,0.1196)); #176215=CARTESIAN_POINT('',(0.71875,0.306,0.1196)); #176216=CARTESIAN_POINT('',(1.,0.306,0.1196)); #176217=CARTESIAN_POINT('',(0.53125,0.4935,0.1196)); #176218=CARTESIAN_POINT('Origin',(0.71875,0.4935,0.1196)); #176219=CARTESIAN_POINT('',(0.53125,0.57158288,0.1196)); #176220=CARTESIAN_POINT('',(0.53125,0.306,0.1196)); #176221=CARTESIAN_POINT('',(-0.53125,0.57158288,0.1196)); #176222=CARTESIAN_POINT('',(0.265625,0.57158288,0.1196)); #176223=CARTESIAN_POINT('',(-0.53125,0.4935,0.1196)); #176224=CARTESIAN_POINT('',(-0.53125,0.81118288,0.1196)); #176225=CARTESIAN_POINT('',(-0.71875,0.306,0.1196)); #176226=CARTESIAN_POINT('Origin',(-0.71875,0.4935,0.1196)); #176227=CARTESIAN_POINT('',(-1.,0.306,0.1196)); #176228=CARTESIAN_POINT('',(-0.53125,0.306,0.1196)); #176229=CARTESIAN_POINT('',(-1.09733768541033,-0.290105799664465,0.1196)); #176230=CARTESIAN_POINT('Origin',(-1.,0.,0.1196)); #176231=CARTESIAN_POINT('',(-0.983155623347356,-0.397574232415121,0.1196)); #176232=CARTESIAN_POINT('Origin',(-1.156980875,-0.467866706321613,0.1196)); #176233=CARTESIAN_POINT('',(-0.648199175242926,-0.839343838491944,0.1196)); #176234=CARTESIAN_POINT('Origin',(0.,0.,0.1196)); #176235=CARTESIAN_POINT('',(-0.581867060252674,-0.945750508208721,0.1196)); #176236=CARTESIAN_POINT('Origin',(-0.758218837236067,-0.981806724798922, 0.1196)); #176237=CARTESIAN_POINT('Origin',(0.,0.,0.1196)); #176238=CARTESIAN_POINT('',(-0.16875,-0.131196417634019,0.1196)); #176239=CARTESIAN_POINT('',(-0.16875,0.131196417634019,0.1196)); #176240=CARTESIAN_POINT('',(-0.16875,-0.0629459205885798,0.1196)); #176241=CARTESIAN_POINT('',(0.16875,0.131196417634019,0.1196)); #176242=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.1196)); #176243=CARTESIAN_POINT('',(0.16875,-0.131196417634019,0.1196)); #176244=CARTESIAN_POINT('',(0.16875,0.0682504970454394,0.1196)); #176245=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.1196)); #176246=CARTESIAN_POINT('',(-0.875,-1.53080849893419E-17,0.1196)); #176247=CARTESIAN_POINT('Origin',(-1.,0.,0.1196)); #176248=CARTESIAN_POINT('',(1.125,-1.53080849893419E-17,0.1196)); #176249=CARTESIAN_POINT('Origin',(1.,0.,0.1196)); #176250=CARTESIAN_POINT('Origin',(1.59118998995291E-18,0.00530457645685963, 0.1196)); #176251=CARTESIAN_POINT('Origin',(1.59118998995291E-18,0.00530457645685963, 0.1196)); #176252=CARTESIAN_POINT('Origin',(1.59118998995291E-18,0.00530457645685963, 0.1196)); #176253=CARTESIAN_POINT('Origin',(1.59118998995291E-18,0.00530457645685963, 0.1196)); #176254=CARTESIAN_POINT('Origin',(1.59118998995291E-18,0.00530457645685963, 0.1196)); #176255=CARTESIAN_POINT('Origin',(-0.0866716154881369,-1.05101132,-0.68621609)); #176256=CARTESIAN_POINT('',(-0.204366651056326,-1.02760451324208,0.)); #176257=CARTESIAN_POINT('',(-0.0866716154881369,-0.93101132,0.)); #176258=CARTESIAN_POINT('Origin',(-0.0866716154881369,-1.05101132,0.)); #176259=CARTESIAN_POINT('',(-0.0866716154881369,-0.93101132,-0.68621609)); #176260=CARTESIAN_POINT('',(-0.204366651056326,-1.02760451324208,-0.68621609)); #176261=CARTESIAN_POINT('Origin',(0.185156510225553,-0.93101132,-0.68621609)); #176262=CARTESIAN_POINT('',(0.0866716154881384,-0.93101132,0.)); #176263=CARTESIAN_POINT('',(0.0925782551127765,-0.93101132,0.)); #176264=CARTESIAN_POINT('',(0.0866716154881384,-0.93101132,-0.68621609)); #176265=CARTESIAN_POINT('Origin',(0.0866716154881384,-1.05101132,-0.68621609)); #176266=CARTESIAN_POINT('',(0.204366651056328,-1.02760451324208,4.37095678986282E-17)); #176267=CARTESIAN_POINT('Origin',(0.0866716154881384,-1.05101132,0.)); #176268=CARTESIAN_POINT('',(0.204366651056328,-1.02760451324208,-0.68621609)); #176269=CARTESIAN_POINT('Origin',(0.263328784068729,-1.32408025270715,-0.68621609)); #176270=CARTESIAN_POINT('',(0.216593193242078,-1.089082498356,0.)); #176271=CARTESIAN_POINT('',(0.131156982597688,-0.659488750067387,4.37095678986282E-17)); #176272=CARTESIAN_POINT('',(0.24,-1.20677753392419,-0.12)); #176273=CARTESIAN_POINT('Origin',(0.216593193242074,-1.089082498356,-0.12)); #176274=CARTESIAN_POINT('',(0.24,-1.20677753392419,-0.65621609)); #176275=CARTESIAN_POINT('',(0.24,-1.20677753392419,0.)); #176276=CARTESIAN_POINT('',(0.263328784068729,-1.32408025270715,-0.65621609)); #176277=CARTESIAN_POINT('',(0.248853165905267,-1.25129337441827,-0.65621609)); #176278=CARTESIAN_POINT('',(0.263328784068729,-1.32408025270715,-0.12)); #176279=CARTESIAN_POINT('',(0.263328784068729,-1.32408025270715,0.1196)); #176280=CARTESIAN_POINT('Origin',(0.216593193242074,-1.089082498356,-0.12)); #176281=CARTESIAN_POINT('Origin',(-0.266612532762949,-1.18679031333731, -0.65621609)); #176282=CARTESIAN_POINT('',(-0.263328784068729,-1.32408025270715,-0.65621609)); #176283=CARTESIAN_POINT('',(-0.2926875165695,-1.3179015827339,-0.68621609)); #176284=CARTESIAN_POINT('Ctrl Pts',(-0.263328784068729,-1.32408025270715, -0.65621609)); #176285=CARTESIAN_POINT('Ctrl Pts',(-0.263328784068729,-1.32408025270715, -0.659982969404107)); #176286=CARTESIAN_POINT('Ctrl Pts',(-0.264067267204605,-1.32393405647863, -0.664000926440575)); #176287=CARTESIAN_POINT('Ctrl Pts',(-0.267069068525857,-1.3233317876291, -0.671392309989677)); #176288=CARTESIAN_POINT('Ctrl Pts',(-0.269332211347485,-1.32287483115347, -0.674765735675135)); #176289=CARTESIAN_POINT('Ctrl Pts',(-0.274550501514871,-1.32180168047553, -0.68009311798677)); #176290=CARTESIAN_POINT('Ctrl Pts',(-0.277853498474196,-1.32111379478907, -0.682401993286155)); #176291=CARTESIAN_POINT('Ctrl Pts',(-0.285083275785861,-1.3195725638492, -0.685463473230141)); #176292=CARTESIAN_POINT('Ctrl Pts',(-0.289009863276256,-1.31871833811481, -0.68621609)); #176293=CARTESIAN_POINT('Ctrl Pts',(-0.2926875165695,-1.3179015827339,-0.68621609)); #176294=CARTESIAN_POINT('',(-0.269352409926761,-1.20056707253314,-0.68621609)); #176295=CARTESIAN_POINT('',(-0.266612532762949,-1.18679031333731,-0.68621609)); #176296=CARTESIAN_POINT('',(-0.24,-1.20677753392419,-0.65621609)); #176297=CARTESIAN_POINT('Ctrl Pts',(-0.26935240992676,-1.20056707253314, -0.68621609)); #176298=CARTESIAN_POINT('Ctrl Pts',(-0.265676452386584,-1.20139178948972, -0.68621609)); #176299=CARTESIAN_POINT('Ctrl Pts',(-0.261751260440504,-1.20225286689261, -0.685463512462855)); #176300=CARTESIAN_POINT('Ctrl Pts',(-0.254523375483505,-1.2038037753829, -0.682402058978213)); #176301=CARTESIAN_POINT('Ctrl Pts',(-0.251220895907813,-1.20449457219006, -0.680093168430554)); #176302=CARTESIAN_POINT('Ctrl Pts',(-0.246003217688514,-1.20557103820211, -0.674765739634477)); #176303=CARTESIAN_POINT('Ctrl Pts',(-0.243740201779492,-1.20602863722622, -0.67139231553456)); #176304=CARTESIAN_POINT('Ctrl Pts',(-0.240738496752362,-1.20663140020248, -0.664000931188353)); #176305=CARTESIAN_POINT('Ctrl Pts',(-0.24,-1.20677753392419,-0.65998297193786)); #176306=CARTESIAN_POINT('Ctrl Pts',(-0.24,-1.20677753392419,-0.65621609)); #176307=CARTESIAN_POINT('',(-0.237188773870902,-1.19264201502679,-0.65621609)); #176308=CARTESIAN_POINT('Origin',(-6.39244032915303E-17,-1.22511874227812, -0.68621609)); #176309=CARTESIAN_POINT('',(-0.554151054442237,-1.23103500071635,-0.68621609)); #176310=CARTESIAN_POINT('Origin',(0.,0.,-0.68621609)); #176311=CARTESIAN_POINT('',(-0.49530236305866,-1.12631593504338,-0.68621609)); #176312=CARTESIAN_POINT('',(-0.487695699002803,-1.11278015822168,-0.68621609)); #176313=CARTESIAN_POINT('Origin',(0.,0.,-0.68621609)); #176314=CARTESIAN_POINT('Origin',(0.,0.,0.1196)); #176315=CARTESIAN_POINT('',(-0.263328784068729,-1.32408025270715,-0.12)); #176316=CARTESIAN_POINT('',(-0.263328784068729,-1.32408025270715,0.1196)); #176317=CARTESIAN_POINT('',(-0.661381926830283,-1.17690463122141,-0.12)); #176318=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #176319=CARTESIAN_POINT('',(-0.661381926830283,-1.17690463122141,-0.56621609)); #176320=CARTESIAN_POINT('',(-0.661381926830283,-1.17690463122141,0.1196)); #176321=CARTESIAN_POINT('Ctrl Pts',(-0.554151054442237,-1.23103500071635, -0.68621609)); #176322=CARTESIAN_POINT('Ctrl Pts',(-0.567913460493964,-1.2248398463781, -0.68621609)); #176323=CARTESIAN_POINT('Ctrl Pts',(-0.58248045159956,-1.21797636515002, -0.683217203317976)); #176324=CARTESIAN_POINT('Ctrl Pts',(-0.609060273105719,-1.20490476232639, -0.670979106636555)); #176325=CARTESIAN_POINT('Ctrl Pts',(-0.621079197846597,-1.19870977497501, -0.661739108395485)); #176326=CARTESIAN_POINT('Ctrl Pts',(-0.639940330692154,-1.18874745091417, -0.640415838543876)); #176327=CARTESIAN_POINT('Ctrl Pts',(-0.648044392300742,-1.18431690395823, -0.626922602651274)); #176328=CARTESIAN_POINT('Ctrl Pts',(-0.658761649337877,-1.17838921954706, -0.597356833770066)); #176329=CARTESIAN_POINT('Ctrl Pts',(-0.661381926830283,-1.17690463122141, -0.581284353711886)); #176330=CARTESIAN_POINT('Ctrl Pts',(-0.661381926830283,-1.17690463122141, -0.56621609)); #176331=CARTESIAN_POINT('Origin',(0.278276924797314,-1.24544167272879,-0.65621609)); #176332=CARTESIAN_POINT('',(0.269352409926761,-1.20056707253314,-0.68621609)); #176333=CARTESIAN_POINT('Ctrl Pts',(0.24,-1.20677753392419,-0.65621609)); #176334=CARTESIAN_POINT('Ctrl Pts',(0.24,-1.20677753392419,-0.65998297193786)); #176335=CARTESIAN_POINT('Ctrl Pts',(0.240738496752362,-1.20663140020248, -0.664000931188353)); #176336=CARTESIAN_POINT('Ctrl Pts',(0.243740201779492,-1.20602863722622, -0.67139231553456)); #176337=CARTESIAN_POINT('Ctrl Pts',(0.246003217688514,-1.20557103820211, -0.674765739634477)); #176338=CARTESIAN_POINT('Ctrl Pts',(0.251220895907814,-1.20449457219006, -0.680093168430554)); #176339=CARTESIAN_POINT('Ctrl Pts',(0.254523375483506,-1.2038037753829, -0.682402058978213)); #176340=CARTESIAN_POINT('Ctrl Pts',(0.261751260440504,-1.20225286689261, -0.685463512462855)); #176341=CARTESIAN_POINT('Ctrl Pts',(0.265676452386585,-1.20139178948972, -0.68621609)); #176342=CARTESIAN_POINT('Ctrl Pts',(0.269352409926761,-1.20056707253314, -0.68621609)); #176343=CARTESIAN_POINT('',(0.2926875165695,-1.3179015827339,-0.68621609)); #176344=CARTESIAN_POINT('',(0.278276924797314,-1.24544167272879,-0.68621609)); #176345=CARTESIAN_POINT('Ctrl Pts',(0.2926875165695,-1.3179015827339,-0.68621609)); #176346=CARTESIAN_POINT('Ctrl Pts',(0.289009863276256,-1.31871833811481, -0.68621609)); #176347=CARTESIAN_POINT('Ctrl Pts',(0.28508327578586,-1.3195725638492,-0.685463473230141)); #176348=CARTESIAN_POINT('Ctrl Pts',(0.277853498474196,-1.32111379478907, -0.682401993286155)); #176349=CARTESIAN_POINT('Ctrl Pts',(0.274550501514871,-1.32180168047553, -0.68009311798677)); #176350=CARTESIAN_POINT('Ctrl Pts',(0.269332211347485,-1.32287483115347, -0.674765735675135)); #176351=CARTESIAN_POINT('Ctrl Pts',(0.267069068525857,-1.3233317876291, -0.671392309989677)); #176352=CARTESIAN_POINT('Ctrl Pts',(0.264067267204605,-1.32393405647863, -0.664000926440575)); #176353=CARTESIAN_POINT('Ctrl Pts',(0.263328784068729,-1.32408025270715, -0.659982969404107)); #176354=CARTESIAN_POINT('Ctrl Pts',(0.263328784068729,-1.32408025270715, -0.65621609)); #176355=CARTESIAN_POINT('Origin',(0.,0.,0.1196)); #176356=CARTESIAN_POINT('',(0.554151054442237,-1.23103500071635,-0.68621609)); #176357=CARTESIAN_POINT('Origin',(0.,0.,-0.68621609)); #176358=CARTESIAN_POINT('',(0.661381926830283,-1.17690463122141,-0.56621609)); #176359=CARTESIAN_POINT('Ctrl Pts',(0.661381926830283,-1.17690463122141, -0.56621609)); #176360=CARTESIAN_POINT('Ctrl Pts',(0.661381926830283,-1.17690463122141, -0.581284353711886)); #176361=CARTESIAN_POINT('Ctrl Pts',(0.658761649337877,-1.17838921954706, -0.597356833770065)); #176362=CARTESIAN_POINT('Ctrl Pts',(0.648044392300742,-1.18431690395822, -0.626922602651273)); #176363=CARTESIAN_POINT('Ctrl Pts',(0.639940330692154,-1.18874745091417, -0.640415838543876)); #176364=CARTESIAN_POINT('Ctrl Pts',(0.621079197846597,-1.19870977497501, -0.661739108395485)); #176365=CARTESIAN_POINT('Ctrl Pts',(0.609060273105719,-1.20490476232639, -0.670979106636555)); #176366=CARTESIAN_POINT('Ctrl Pts',(0.58248045159956,-1.21797636515002, -0.683217203317976)); #176367=CARTESIAN_POINT('Ctrl Pts',(0.567913460493964,-1.2248398463781, -0.68621609)); #176368=CARTESIAN_POINT('Ctrl Pts',(0.554151054442237,-1.23103500071635, -0.68621609)); #176369=CARTESIAN_POINT('',(0.661381926830283,-1.17690463122141,-0.12)); #176370=CARTESIAN_POINT('',(0.661381926830283,-1.17690463122141,0.)); #176371=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #176372=CARTESIAN_POINT('Origin',(-6.39244032915303E-17,-1.22511874227812, -0.68621609)); #176373=CARTESIAN_POINT('',(0.495302363058658,-1.12631593504338,-0.68621609)); #176374=CARTESIAN_POINT('Origin',(0.,0.,-0.68621609)); #176375=CARTESIAN_POINT('',(0.457654503356301,-1.05932296374823,-0.68621609)); #176376=CARTESIAN_POINT('Origin',(-0.487695699002803,-1.11278015822168, -0.56621609)); #176377=CARTESIAN_POINT('',(-0.602788878552065,-1.07264047298155,-0.56621609)); #176378=CARTESIAN_POINT('Ctrl Pts',(-0.602788878552065,-1.07264047298155, -0.56621609)); #176379=CARTESIAN_POINT('Ctrl Pts',(-0.602788878552065,-1.07264047298155, -0.581284516039226)); #176380=CARTESIAN_POINT('Ctrl Pts',(-0.600169037669058,-1.07412599026925, -0.597357137923983)); #176381=CARTESIAN_POINT('Ctrl Pts',(-0.589447724544189,-1.08004671046713, -0.626922957856558)); #176382=CARTESIAN_POINT('Ctrl Pts',(-0.581338480321876,-1.08446814741164, -0.640416092186123)); #176383=CARTESIAN_POINT('Ctrl Pts',(-0.562448220803008,-1.09438477288684, -0.661742365788903)); #176384=CARTESIAN_POINT('Ctrl Pts',(-0.550402547644287,-1.10054012014261, -0.670983344329566)); #176385=CARTESIAN_POINT('Ctrl Pts',(-0.523743082331028,-1.11347415965359, -0.683219730360979)); #176386=CARTESIAN_POINT('Ctrl Pts',(-0.509122707493153,-1.12023837807761, -0.68621609)); #176387=CARTESIAN_POINT('Ctrl Pts',(-0.49530236305866,-1.12631593504338, -0.68621609)); #176388=CARTESIAN_POINT('',(-0.592308566801993,-1.05399114657129,-0.56621609)); #176389=CARTESIAN_POINT('Origin',(-0.661381926830283,-1.17690463122141, 0.1196)); #176390=CARTESIAN_POINT('',(-0.601299535537283,-1.0699902422745,0.0891136738988304)); #176391=CARTESIAN_POINT('Origin',(-0.543999866901677,-0.968027605182362, -0.12)); #176392=CARTESIAN_POINT('',(-0.601299535537282,-1.0699902422745,-0.0931603164797643)); #176393=CARTESIAN_POINT('',(-0.601299535537283,-1.0699902422745,0.1196)); #176394=CARTESIAN_POINT('',(-0.602788878552065,-1.07264047298155,-0.12)); #176395=CARTESIAN_POINT('Origin',(-0.543999866901677,-0.968027605182363, -0.12)); #176396=CARTESIAN_POINT('',(-0.602788878552065,-1.07264047298155,0.)); #176397=CARTESIAN_POINT('Origin',(0.457654503356301,-1.05932296374823,-0.56621609)); #176398=CARTESIAN_POINT('',(0.602788878552064,-1.07264047298155,-0.56621609)); #176399=CARTESIAN_POINT('Ctrl Pts',(0.495302363058658,-1.12631593504338, -0.68621609)); #176400=CARTESIAN_POINT('Ctrl Pts',(0.509122707493152,-1.12023837807761, -0.68621609)); #176401=CARTESIAN_POINT('Ctrl Pts',(0.523743082331027,-1.11347415965359, -0.683219730360979)); #176402=CARTESIAN_POINT('Ctrl Pts',(0.550402547644286,-1.10054012014261, -0.670983344329566)); #176403=CARTESIAN_POINT('Ctrl Pts',(0.562448220803006,-1.09438477288684, -0.661742365788903)); #176404=CARTESIAN_POINT('Ctrl Pts',(0.581338480321875,-1.08446814741164, -0.640416092186123)); #176405=CARTESIAN_POINT('Ctrl Pts',(0.589447724544188,-1.08004671046713, -0.626922957856558)); #176406=CARTESIAN_POINT('Ctrl Pts',(0.600169037669057,-1.07412599026925, -0.597357137923983)); #176407=CARTESIAN_POINT('Ctrl Pts',(0.602788878552063,-1.07264047298155, -0.581284516039226)); #176408=CARTESIAN_POINT('Ctrl Pts',(0.602788878552063,-1.07264047298155, -0.56621609)); #176409=CARTESIAN_POINT('',(0.562267371155489,-1.00053395209784,-0.56621609)); #176410=CARTESIAN_POINT('Origin',(-0.24,-1.20677753392419,-0.68621609)); #176411=CARTESIAN_POINT('Origin',(-0.21659319324208,-1.089082498356,-0.12)); #176412=CARTESIAN_POINT('',(-0.24,-1.20677753392419,-0.12)); #176413=CARTESIAN_POINT('',(-0.24,-1.20677753392419,0.)); #176414=CARTESIAN_POINT('',(-0.216593193242077,-1.089082498356,0.)); #176415=CARTESIAN_POINT('Origin',(-0.21659319324208,-1.089082498356,-0.12)); #176416=CARTESIAN_POINT('',(-0.11949259056332,-0.600837390675907,0.)); #176417=CARTESIAN_POINT('Origin',(1.59118998995291E-18,0.00530457645685963, 0.1196)); #176418=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #176419=CARTESIAN_POINT('',(0.601299535537283,-1.0699902422745,0.0891136738988294)); #176420=CARTESIAN_POINT('Origin',(0.543999866901688,-0.968027605182357, -0.12)); #176421=CARTESIAN_POINT('Ctrl Pts',(0.601299535537284,-1.0699902422745, 0.0891136738988293)); #176422=CARTESIAN_POINT('Ctrl Pts',(0.597818126847196,-1.06379520451444, 0.0930882870158612)); #176423=CARTESIAN_POINT('Ctrl Pts',(0.594614276107749,-1.05723575379257, 0.0966886178249158)); #176424=CARTESIAN_POINT('Ctrl Pts',(0.588402494607736,-1.04214344137148, 0.103696617446958)); #176425=CARTESIAN_POINT('Ctrl Pts',(0.585568865677146,-1.03355045793021, 0.106912811516637)); #176426=CARTESIAN_POINT('Ctrl Pts',(0.579444221546621,-1.00851010779332, 0.114321028745009)); #176427=CARTESIAN_POINT('Ctrl Pts',(0.57767601800451,-0.991709377804094, 0.117128077627088)); #176428=CARTESIAN_POINT('Ctrl Pts',(0.578753234843434,-0.964887530935816, 0.11928674484535)); #176429=CARTESIAN_POINT('Ctrl Pts',(0.579925725797305,-0.955245619268613, 0.1196)); #176430=CARTESIAN_POINT('Ctrl Pts',(0.581867060252674,-0.945750508208721, 0.1196)); #176431=CARTESIAN_POINT('Origin',(0.,0.,0.)); #176432=CARTESIAN_POINT('',(0.602788878552064,-1.07264047298155,-0.12)); #176433=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #176434=CARTESIAN_POINT('',(0.602788878552064,-1.07264047298155,0.)); #176435=CARTESIAN_POINT('Origin',(0.,0.,0.)); #176436=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #176437=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #176438=CARTESIAN_POINT('',(0.581867060252674,-0.945750508208721,0.)); #176439=CARTESIAN_POINT('Origin',(0.,0.,0.)); #176440=CARTESIAN_POINT('',(0.601299535537295,-1.0699902422745,-0.093160316479772)); #176441=CARTESIAN_POINT('Ctrl Pts',(0.581867060252674,-0.945750508208722, 0.)); #176442=CARTESIAN_POINT('Ctrl Pts',(0.580081837003062,-0.954482075803829, -3.79311582233401E-17)); #176443=CARTESIAN_POINT('Ctrl Pts',(0.578968660775217,-0.963230228801595, -0.000527499259694079)); #176444=CARTESIAN_POINT('Ctrl Pts',(0.57769362727888,-0.986404864775282, -0.00389065000764619)); #176445=CARTESIAN_POINT('Ctrl Pts',(0.578695710626076,-1.00030629640945, -0.00796642956152458)); #176446=CARTESIAN_POINT('Ctrl Pts',(0.583061123978902,-1.02497305537481, -0.0208382146803271)); #176447=CARTESIAN_POINT('Ctrl Pts',(0.586107646717275,-1.0353107533885, -0.0289283988655978)); #176448=CARTESIAN_POINT('Ctrl Pts',(0.592678495663494,-1.05316982086362, -0.0493817606659627)); #176449=CARTESIAN_POINT('Ctrl Pts',(0.596092131367312,-1.06019296090738, -0.0616686644486268)); #176450=CARTESIAN_POINT('Ctrl Pts',(0.599694756393069,-1.06710450330214, -0.0809894863870176)); #176451=CARTESIAN_POINT('Ctrl Pts',(0.600609228015455,-1.06876186561882, -0.0870200460369799)); #176452=CARTESIAN_POINT('Ctrl Pts',(0.601299535537284,-1.0699902422745, -0.0931603164797731)); #176453=CARTESIAN_POINT('Origin',(0.543999866901688,-0.968027605182357, -0.12)); #176454=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #176455=CARTESIAN_POINT('',(-0.581867060252674,-0.945750508208721,0.)); #176456=CARTESIAN_POINT('Ctrl Pts',(-0.601299535537285,-1.0699902422745, -0.0931603164797784)); #176457=CARTESIAN_POINT('Ctrl Pts',(-0.599735427865299,-1.06720697063037, -0.0792476126428114)); #176458=CARTESIAN_POINT('Ctrl Pts',(-0.597003210608088,-1.06225478783054, -0.065994612743074)); #176459=CARTESIAN_POINT('Ctrl Pts',(-0.590491832903964,-1.04763507603208, -0.0417759881485699)); #176460=CARTESIAN_POINT('Ctrl Pts',(-0.586789082156345,-1.03801124131832, -0.031181133969278)); #176461=CARTESIAN_POINT('Ctrl Pts',(-0.58091180134166,-1.01494906453217, -0.014598948147455)); #176462=CARTESIAN_POINT('Ctrl Pts',(-0.578842656542093,-1.00220572826956, -0.00882265449644599)); #176463=CARTESIAN_POINT('Ctrl Pts',(-0.577828639035158,-0.974375848013589, -0.0014069064128502)); #176464=CARTESIAN_POINT('Ctrl Pts',(-0.578946476989001,-0.960035147505007, -1.40116830026345E-14)); #176465=CARTESIAN_POINT('Ctrl Pts',(-0.58186706025263,-0.945750508208933, -2.00620087034719E-18)); #176466=CARTESIAN_POINT('Origin',(0.,0.,0.)); #176467=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #176468=CARTESIAN_POINT('Ctrl Pts',(-0.581867060252674,-0.945750508208721, 0.1196)); #176469=CARTESIAN_POINT('Ctrl Pts',(-0.581291792729459,-0.948564154773828, 0.1196)); #176470=CARTESIAN_POINT('Ctrl Pts',(-0.580783374082434,-0.95139395010773, 0.119572525584327)); #176471=CARTESIAN_POINT('Ctrl Pts',(-0.57721770973829,-0.974399621968725, 0.119093501938916)); #176472=CARTESIAN_POINT('Ctrl Pts',(-0.577580446426089,-0.994641897466695, 0.117068852137271)); #176473=CARTESIAN_POINT('Ctrl Pts',(-0.58300246360086,-1.02428666078916, 0.109915641099203)); #176474=CARTESIAN_POINT('Ctrl Pts',(-0.585667436985298,-1.03398698433654, 0.106815346236417)); #176475=CARTESIAN_POINT('Ctrl Pts',(-0.591723254740047,-1.05066721071198, 0.0999383014609673)); #176476=CARTESIAN_POINT('Ctrl Pts',(-0.594842242494602,-1.05773248002718, 0.0964446153523247)); #176477=CARTESIAN_POINT('Ctrl Pts',(-0.59927248377074,-1.06630869444654, 0.0914229705801152)); #176478=CARTESIAN_POINT('Ctrl Pts',(-0.600274330690694,-1.06816592823907, 0.0902841175305983)); #176479=CARTESIAN_POINT('Ctrl Pts',(-0.601299535537283,-1.0699902422745, 0.0891136738988304)); #176480=CARTESIAN_POINT('Origin',(-0.758218837236067,-0.981806724798922, 0.1196)); #176481=CARTESIAN_POINT('',(-0.648199175242926,-0.839343838491944,0.)); #176482=CARTESIAN_POINT('',(-0.648199175242926,-0.839343838491944,0.1196)); #176483=CARTESIAN_POINT('Origin',(-0.758218837236067,-0.981806724798922, 0.)); #176484=CARTESIAN_POINT('Origin',(1.59118998995291E-18,0.00530457645685963, 0.)); #176485=CARTESIAN_POINT('',(-0.983155623347356,-0.397574232415121,0.)); #176486=CARTESIAN_POINT('Origin',(0.,0.,0.)); #176487=CARTESIAN_POINT('',(-1.09733768541033,-0.290105799664465,0.)); #176488=CARTESIAN_POINT('Origin',(-1.156980875,-0.467866706321613,0.)); #176489=CARTESIAN_POINT('',(-1.,0.306,0.)); #176490=CARTESIAN_POINT('Origin',(-1.,0.,0.)); #176491=CARTESIAN_POINT('',(-0.71875,0.306,0.)); #176492=CARTESIAN_POINT('',(-0.53125,0.306,0.)); #176493=CARTESIAN_POINT('',(-0.53125,0.4935,0.)); #176494=CARTESIAN_POINT('Origin',(-0.71875,0.4935,0.)); #176495=CARTESIAN_POINT('',(-0.53125,0.57158288,0.)); #176496=CARTESIAN_POINT('',(-0.53125,0.81118288,0.)); #176497=CARTESIAN_POINT('',(0.53125,0.57158288,0.)); #176498=CARTESIAN_POINT('',(0.265625,0.57158288,0.)); #176499=CARTESIAN_POINT('',(0.53125,0.4935,0.)); #176500=CARTESIAN_POINT('',(0.53125,0.306,0.)); #176501=CARTESIAN_POINT('',(0.71875,0.306,0.)); #176502=CARTESIAN_POINT('Origin',(0.71875,0.4935,0.)); #176503=CARTESIAN_POINT('',(1.,0.306,0.)); #176504=CARTESIAN_POINT('',(1.,0.306,0.)); #176505=CARTESIAN_POINT('',(1.09733768541033,-0.290105799664465,0.)); #176506=CARTESIAN_POINT('Origin',(1.,0.,0.)); #176507=CARTESIAN_POINT('',(0.983155623347356,-0.397574232415121,0.)); #176508=CARTESIAN_POINT('Origin',(1.156980875,-0.467866706321613,0.)); #176509=CARTESIAN_POINT('',(0.648199175242926,-0.839343838491944,0.)); #176510=CARTESIAN_POINT('Origin',(0.,0.,0.)); #176511=CARTESIAN_POINT('Origin',(0.758218837236067,-0.981806724798922, 0.)); #176512=CARTESIAN_POINT('',(-0.16875,0.131196417634019,0.)); #176513=CARTESIAN_POINT('',(-0.16875,-0.131196417634019,0.)); #176514=CARTESIAN_POINT('',(-0.16875,-0.0629459205885798,0.)); #176515=CARTESIAN_POINT('',(0.16875,-0.131196417634019,0.)); #176516=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.)); #176517=CARTESIAN_POINT('',(0.16875,0.131196417634019,0.)); #176518=CARTESIAN_POINT('',(0.16875,0.0682504970454394,0.)); #176519=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.)); #176520=CARTESIAN_POINT('',(-0.8125,-2.29621274840129E-17,-5.46369598732853E-18)); #176521=CARTESIAN_POINT('Origin',(-1.,0.,0.)); #176522=CARTESIAN_POINT('',(1.1875,-2.29621274840129E-17,-5.46369598732853E-18)); #176523=CARTESIAN_POINT('Origin',(1.,0.,0.)); #176524=CARTESIAN_POINT('Origin',(0.601299535537283,-1.0699902422745,0.1196)); #176525=CARTESIAN_POINT('',(0.601299535537283,-1.0699902422745,0.1196)); #176526=CARTESIAN_POINT('Origin',(0.758218837236067,-0.981806724798922, 0.1196)); #176527=CARTESIAN_POINT('',(0.648199175242926,-0.839343838491944,0.1196)); #176528=CARTESIAN_POINT('Origin',(0.,0.,0.)); #176529=CARTESIAN_POINT('',(-0.983155623347356,-0.397574232415121,0.)); #176530=CARTESIAN_POINT('Origin',(-0.281250000000001,1.11108288,1.03724979)); #176531=CARTESIAN_POINT('',(-0.53125,1.08118288,1.03724979)); #176532=CARTESIAN_POINT('',(-0.281250000000001,1.08118288,1.28724979)); #176533=CARTESIAN_POINT('Origin',(-0.281250000000001,1.08118288,1.03724979)); #176534=CARTESIAN_POINT('',(-0.281250000000001,1.20078288,1.28724979)); #176535=CARTESIAN_POINT('',(-0.281250000000001,1.11108288,1.28724979)); #176536=CARTESIAN_POINT('',(-0.53125,1.20078288,1.03724979)); #176537=CARTESIAN_POINT('Origin',(-0.281250000000001,1.20078288,1.03724979)); #176538=CARTESIAN_POINT('',(-0.531250000000001,1.11108288,1.03724979)); #176539=CARTESIAN_POINT('Origin',(-3.88218949419789E-32,1.14098288,1.28724979)); #176540=CARTESIAN_POINT('',(0.28125,1.08118288,1.28724979)); #176541=CARTESIAN_POINT('',(-0.53125,1.08118288,1.28724979)); #176542=CARTESIAN_POINT('',(0.28125,1.20078288,1.28724979)); #176543=CARTESIAN_POINT('',(0.28125,1.11108288,1.28724979)); #176544=CARTESIAN_POINT('',(0.53125,1.20078288,1.28724979)); #176545=CARTESIAN_POINT('Origin',(6.39370267053557E-17,1.08118288,-0.734773652558322)); #176546=CARTESIAN_POINT('',(-0.53125,1.08118288,-0.59939508)); #176547=CARTESIAN_POINT('',(-0.53125,1.08118288,-1.14170937023329)); #176548=CARTESIAN_POINT('',(0.53125,1.08118288,-0.59939508)); #176549=CARTESIAN_POINT('',(-4.77478208278324E-17,1.08118288,-0.59939508)); #176550=CARTESIAN_POINT('',(0.531249999999999,1.08118288,1.03724979)); #176551=CARTESIAN_POINT('',(0.53125,1.08118288,-1.14170937023329)); #176552=CARTESIAN_POINT('Origin',(0.28125,1.08118288,1.03724979)); #176553=CARTESIAN_POINT('',(-0.1285,1.08118288,-0.293398388424019)); #176554=CARTESIAN_POINT('',(-0.1285,1.08118288,0.90460654)); #176555=CARTESIAN_POINT('',(-0.1285,1.08118288,0.084916443720839)); #176556=CARTESIAN_POINT('',(0.1285,1.08118288,0.90460654)); #176557=CARTESIAN_POINT('Origin',(-5.82794238648352E-17,1.08118288,0.90460654)); #176558=CARTESIAN_POINT('',(0.1285,1.08118288,-0.293398388431484)); #176559=CARTESIAN_POINT('',(0.1285,1.08118288,-0.514086020494903)); #176560=CARTESIAN_POINT('Origin',(1.16558847729678E-16,1.08118288,-0.29339836)); #176561=CARTESIAN_POINT('Origin',(0.28125,1.11108288,1.03724979)); #176562=CARTESIAN_POINT('',(0.53125,1.20078288,1.03724979)); #176563=CARTESIAN_POINT('Origin',(0.28125,1.20078288,1.03724979)); #176564=CARTESIAN_POINT('',(0.53125,1.11108288,1.03724979)); #176565=CARTESIAN_POINT('Origin',(1.156980875,-0.467866706321613,0.)); #176566=CARTESIAN_POINT('',(1.09733768541033,-0.290105799664465,0.)); #176567=CARTESIAN_POINT('',(0.983155623347356,-0.397574232415121,0.)); #176568=CARTESIAN_POINT('Origin',(1.,0.,0.)); #176569=CARTESIAN_POINT('',(1.,0.306,0.)); #176570=CARTESIAN_POINT('Origin',(-1.156980875,-0.467866706321613,0.)); #176571=CARTESIAN_POINT('',(-1.09733768541033,-0.290105799664465,0.)); #176572=CARTESIAN_POINT('Origin',(-0.71875,0.4935,0.)); #176573=CARTESIAN_POINT('',(-0.71875,0.306,0.)); #176574=CARTESIAN_POINT('',(-0.53125,0.4935,0.)); #176575=CARTESIAN_POINT('Origin',(-0.53125,0.306,0.)); #176576=CARTESIAN_POINT('',(-1.,0.306,0.)); #176577=CARTESIAN_POINT('Origin',(0.71875,0.4935,0.)); #176578=CARTESIAN_POINT('',(0.53125,0.4935,0.)); #176579=CARTESIAN_POINT('',(0.71875,0.306,0.)); #176580=CARTESIAN_POINT('Origin',(0.53125,0.306,0.)); #176581=CARTESIAN_POINT('',(0.53125,0.57158288,0.)); #176582=CARTESIAN_POINT('Origin',(1.,0.,0.0359490127256565)); #176583=CARTESIAN_POINT('',(1.125,-1.53080849893419E-17,0.0718980254513129)); #176584=CARTESIAN_POINT('',(1.15625,-1.91351062366774E-17,0.0359490127256565)); #176585=CARTESIAN_POINT('Origin',(1.,0.,0.0718980254513127)); #176586=CARTESIAN_POINT('Origin',(1.,0.,4.07006873649942)); #176587=CARTESIAN_POINT('',(1.125,-1.53080849893419E-17,4.07006873649942)); #176588=CARTESIAN_POINT('Origin',(-1.,0.,0.0359490127256565)); #176589=CARTESIAN_POINT('',(-0.875,-1.53080849893419E-17,0.0718980254513129)); #176590=CARTESIAN_POINT('',(-0.84375,-1.91351062366774E-17,0.0359490127256565)); #176591=CARTESIAN_POINT('Origin',(-1.,0.,0.0718980254513127)); #176592=CARTESIAN_POINT('Origin',(-1.,0.,4.07006873649942)); #176593=CARTESIAN_POINT('',(-0.875,-1.53080849893419E-17,4.07006873649942)); #176594=CARTESIAN_POINT('Origin',(-0.16875,-0.131196417634019,0.1196)); #176595=CARTESIAN_POINT('',(-0.16875,0.131196417634019,0.1196)); #176596=CARTESIAN_POINT('',(-0.16875,-0.131196417634019,0.1196)); #176597=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.1196)); #176598=CARTESIAN_POINT('',(0.16875,-0.131196417634019,0.1196)); #176599=CARTESIAN_POINT('Origin',(0.16875,0.131196417634019,0.1196)); #176600=CARTESIAN_POINT('',(0.16875,0.131196417634019,0.1196)); #176601=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.1196)); #176602=CARTESIAN_POINT('Origin',(-0.1285,1.20078288,0.90460654)); #176603=CARTESIAN_POINT('',(-0.1285,1.20078288,-0.293398388424019)); #176604=CARTESIAN_POINT('',(-0.1285,1.20078288,-0.293398388424019)); #176605=CARTESIAN_POINT('',(-0.1285,1.20078288,0.90460654)); #176606=CARTESIAN_POINT('',(-0.1285,1.20078288,0.0849164437208392)); #176607=CARTESIAN_POINT('',(-0.1285,1.20078288,0.90460654)); #176608=CARTESIAN_POINT('Origin',(0.,1.20078288,0.90460654)); #176609=CARTESIAN_POINT('',(0.1285,1.20078288,0.90460654)); #176610=CARTESIAN_POINT('Origin',(-2.67356810285283E-31,1.20078288,0.90460654)); #176611=CARTESIAN_POINT('',(0.1285,1.20078288,0.90460654)); #176612=CARTESIAN_POINT('Origin',(0.1285,1.20078288,-0.293398388431484)); #176613=CARTESIAN_POINT('',(0.1285,1.20078288,-0.293398388431484)); #176614=CARTESIAN_POINT('',(0.1285,1.20078288,-0.514086020494903)); #176615=CARTESIAN_POINT('',(0.1285,1.20078288,-0.293398388431484)); #176616=CARTESIAN_POINT('Origin',(1.74838271594513E-16,1.20078288,-0.29339836)); #176617=CARTESIAN_POINT('Origin',(1.74838271594513E-16,1.20078288,-0.29339836)); #176618=CARTESIAN_POINT('Origin',(-1.30842004990837E-16,0.96118288,-0.59939508)); #176619=CARTESIAN_POINT('',(0.53125,1.20078288,-0.59939508)); #176620=CARTESIAN_POINT('',(-0.53125,1.20078288,-0.59939508)); #176621=CARTESIAN_POINT('',(-2.72946054655323E-17,1.20078288,-0.59939508)); #176622=CARTESIAN_POINT('',(0.53125,0.96118288,-0.83899508)); #176623=CARTESIAN_POINT('Origin',(0.53125,0.96118288,-0.59939508)); #176624=CARTESIAN_POINT('',(-0.53125,0.96118288,-0.83899508)); #176625=CARTESIAN_POINT('',(0.53125,0.96118288,-0.83899508)); #176626=CARTESIAN_POINT('Origin',(-0.53125,0.96118288,-0.599395080000001)); #176627=CARTESIAN_POINT('Origin',(-0.53125,1.08118288,-0.599395080000001)); #176628=CARTESIAN_POINT('',(-0.53125,1.20078288,-1.14170937023329)); #176629=CARTESIAN_POINT('',(-0.53125,1.10749488,-0.599395080000001)); #176630=CARTESIAN_POINT('Origin',(0.53125,1.08118288,-0.59939508)); #176631=CARTESIAN_POINT('',(0.53125,1.10749488,-0.59939508)); #176632=CARTESIAN_POINT('',(0.53125,0.96118288,-0.71939508)); #176633=CARTESIAN_POINT('Origin',(0.53125,0.96118288,-0.59939508)); #176634=CARTESIAN_POINT('',(0.53125,0.96118288,-0.71939508)); #176635=CARTESIAN_POINT('Origin',(-0.53125,1.20078288,-0.599395080000001)); #176636=CARTESIAN_POINT('',(-0.53125,0.96118288,-0.71939508)); #176637=CARTESIAN_POINT('',(-0.53125,0.96118288,-0.71939508)); #176638=CARTESIAN_POINT('Origin',(-0.53125,0.96118288,-0.599395080000001)); #176639=CARTESIAN_POINT('Origin',(0.53125,1.08118288,-0.599395080000001)); #176640=CARTESIAN_POINT('',(0.53125,1.20078288,-0.599395080000001)); #176641=CARTESIAN_POINT('Origin',(5.27823338181159E-17,1.20078288,-0.734773652558322)); #176642=CARTESIAN_POINT('Origin',(-1.30842004990837E-16,0.96118288,-0.59939508)); #176643=CARTESIAN_POINT('',(0.53125,0.96118288,-0.71939508)); #176644=CARTESIAN_POINT('Origin',(-1.464681080527E-16,0.93118288,-0.59939508)); #176645=CARTESIAN_POINT('',(0.53125,0.93118288,-0.83899508)); #176646=CARTESIAN_POINT('',(-0.53125,0.93118288,-0.83899508)); #176647=CARTESIAN_POINT('',(-9.97679903377963E-17,0.93118288,-0.83899508)); #176648=CARTESIAN_POINT('',(0.53125,0.69158288,-0.59939508)); #176649=CARTESIAN_POINT('Origin',(0.53125,0.93118288,-0.59939508)); #176650=CARTESIAN_POINT('',(-0.53125,0.69158288,-0.59939508)); #176651=CARTESIAN_POINT('',(-0.265625,0.69158288,-0.59939508)); #176652=CARTESIAN_POINT('Origin',(-0.53125,0.93118288,-0.59939508)); #176653=CARTESIAN_POINT('Origin',(-0.53125,0.93118288,-0.71939508)); #176654=CARTESIAN_POINT('',(-0.53125,0.93118288,-0.71939508)); #176655=CARTESIAN_POINT('',(-0.53125,0.93118288,-0.74570708)); #176656=CARTESIAN_POINT('',(-0.53125,0.388868589766716,-0.71939508)); #176657=CARTESIAN_POINT('',(-0.53125,0.388868589766716,-0.83899508)); #176658=CARTESIAN_POINT('Origin',(-1.51280770338469E-16,0.810604307441679, -0.71939508)); #176659=CARTESIAN_POINT('',(0.53125,0.93118288,-0.71939508)); #176660=CARTESIAN_POINT('',(-1.31381822422105E-16,0.93118288,-0.71939508)); #176661=CARTESIAN_POINT('',(0.53125,0.388868589766716,-0.71939508)); #176662=CARTESIAN_POINT('Origin',(0.53125,0.93118288,-0.71939508)); #176663=CARTESIAN_POINT('',(0.53125,0.93118288,-0.74570708)); #176664=CARTESIAN_POINT('',(0.53125,0.81118288,-0.59939508)); #176665=CARTESIAN_POINT('Origin',(0.53125,0.93118288,-0.59939508)); #176666=CARTESIAN_POINT('',(0.53125,0.75138288,-0.59939508)); #176667=CARTESIAN_POINT('Origin',(-0.53125,0.93118288,-0.83899508)); #176668=CARTESIAN_POINT('',(-0.53125,0.81118288,-0.59939508)); #176669=CARTESIAN_POINT('',(-0.53125,0.75138288,-0.59939508)); #176670=CARTESIAN_POINT('Origin',(-0.53125,0.93118288,-0.59939508)); #176671=CARTESIAN_POINT('Origin',(0.53125,0.93118288,-0.71939508)); #176672=CARTESIAN_POINT('',(0.53125,0.93118288,-0.83899508)); #176673=CARTESIAN_POINT('Origin',(-1.16725035075772E-16,0.810604307441679, -0.83899508)); #176674=CARTESIAN_POINT('Origin',(-1.464681080527E-16,0.93118288,-0.59939508)); #176675=CARTESIAN_POINT('',(-0.265625,0.81118288,-0.59939508)); #176676=CARTESIAN_POINT('Origin',(-0.53125,0.69158288,-0.12)); #176677=CARTESIAN_POINT('',(-0.53125,0.69158288,-0.12)); #176678=CARTESIAN_POINT('',(-0.53125,0.69158288,0.422314290233284)); #176679=CARTESIAN_POINT('',(-0.53125,0.81118288,-0.12)); #176680=CARTESIAN_POINT('',(-0.53125,0.71789488,-0.12)); #176681=CARTESIAN_POINT('',(-0.53125,0.81118288,-0.12)); #176682=CARTESIAN_POINT('Origin',(-6.17201498692572E-17,0.69158288,-0.343918967441679)); #176683=CARTESIAN_POINT('',(0.53125,0.69158288,-0.12)); #176684=CARTESIAN_POINT('',(0.53125,0.69158288,0.422314290233284)); #176685=CARTESIAN_POINT('',(-6.47018717472854E-17,0.69158288,-0.12)); #176686=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,0.57158288,-0.12)); #176687=CARTESIAN_POINT('',(0.53125,0.81118288,-0.12)); #176688=CARTESIAN_POINT('',(-4.50227392074554E-17,0.81118288,-0.12)); #176689=CARTESIAN_POINT('Origin',(-0.53125,0.57158288,-0.12)); #176690=CARTESIAN_POINT('Origin',(0.53125,0.57158288,-0.12)); #176691=CARTESIAN_POINT('Origin',(0.53125,0.69158288,-0.12)); #176692=CARTESIAN_POINT('',(0.53125,0.81118288,0.422314290233284)); #176693=CARTESIAN_POINT('',(0.53125,0.71789488,-0.12)); #176694=CARTESIAN_POINT('Origin',(-0.53125,0.69158288,-0.12)); #176695=CARTESIAN_POINT('Origin',(-0.53125,0.57158288,-0.12)); #176696=CARTESIAN_POINT('',(-0.53125,0.57158288,0.)); #176697=CARTESIAN_POINT('Origin',(0.53125,0.81118288,-0.12)); #176698=CARTESIAN_POINT('Origin',(0.53125,0.57158288,-0.12)); #176699=CARTESIAN_POINT('Origin',(-4.2039531250242E-17,0.81118288,-0.343918967441679)); #176700=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,0.57158288,-0.12)); #176701=CARTESIAN_POINT('Origin',(1.,0.306,0.)); #176702=CARTESIAN_POINT('Origin',(0.,0.,0.)); #176703=CARTESIAN_POINT('Origin',(-1.,0.,0.)); #176704=CARTESIAN_POINT('Origin',(-0.53125,0.81118288,0.)); #176705=CARTESIAN_POINT('',(0.,0.,0.)); #176706=CARTESIAN_POINT('Origin',(0.16875,0.131196417634019,0.157480315)); #176707=CARTESIAN_POINT('',(0.16875,-0.131196417634019,2.40402623442455E-16)); #176708=CARTESIAN_POINT('',(0.16875,0.131196417634019,2.40402623442455E-16)); #176709=CARTESIAN_POINT('',(0.16875,0.0655982088170096,2.40402623442455E-16)); #176710=CARTESIAN_POINT('',(0.16875,-0.131196417634019,0.157480315)); #176711=CARTESIAN_POINT('',(0.16875,-0.131196417634019,0.157480315)); #176712=CARTESIAN_POINT('',(0.16875,0.131196417634019,0.157480315)); #176713=CARTESIAN_POINT('',(0.16875,0.0655982088170096,0.157480315)); #176714=CARTESIAN_POINT('',(0.16875,0.131196417634019,0.157480315)); #176715=CARTESIAN_POINT('Origin',(1.52973932993737E-17,1.74718747897759E-16, 0.157480315)); #176716=CARTESIAN_POINT('',(-0.16875,0.131196417634019,2.40402623442455E-16)); #176717=CARTESIAN_POINT('Origin',(1.52973932993737E-17,1.74718747897759E-16, 2.40402623442455E-16)); #176718=CARTESIAN_POINT('',(-0.16875,0.131196417634019,0.157480315)); #176719=CARTESIAN_POINT('Origin',(1.52973932993737E-17,1.74718747897759E-16, 0.157480315)); #176720=CARTESIAN_POINT('',(-0.16875,0.131196417634019,0.157480315)); #176721=CARTESIAN_POINT('Origin',(-0.16875,-0.131196417634019,0.157480315)); #176722=CARTESIAN_POINT('',(-0.16875,-0.131196417634019,2.40402623442455E-16)); #176723=CARTESIAN_POINT('',(-0.16875,-0.0655982088170096,2.40402623442455E-16)); #176724=CARTESIAN_POINT('',(-0.16875,-0.131196417634019,0.157480315)); #176725=CARTESIAN_POINT('',(-0.16875,-0.0655982088170096,0.157480315)); #176726=CARTESIAN_POINT('',(-0.16875,-0.131196417634019,0.157480315)); #176727=CARTESIAN_POINT('Origin',(1.52973932993737E-17,1.74718747897759E-16, 0.157480315)); #176728=CARTESIAN_POINT('Origin',(1.52973932993737E-17,1.74718747897759E-16, 2.40402623442455E-16)); #176729=CARTESIAN_POINT('Origin',(1.52973932993737E-17,1.74718747897759E-16, 0.157480315)); #176730=CARTESIAN_POINT('Origin',(0.4,-7.35983316455944E-17,0.00999999999999818)); #176731=CARTESIAN_POINT('',(0.4,0.0469999999999998,0.00824561403508626)); #176732=CARTESIAN_POINT('Origin',(0.4,-7.35983316455944E-17,0.00824561403508626)); #176733=CARTESIAN_POINT('',(0.4,0.0568449037519986,-5.02660030834224E-16)); #176734=CARTESIAN_POINT('Origin',(0.4,0.0568449037519986,0.00999999999999818)); #176735=CARTESIAN_POINT('Origin',(0.4,-7.35983316455944E-17,-5.02660030834224E-16)); #176736=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0469999999999998,0.00824561403508625)); #176737=CARTESIAN_POINT('Ctrl Pts',(0.353,0.0469999999999998,0.00824561403508643)); #176738=CARTESIAN_POINT('Ctrl Pts',(0.353,-7.35983316455944E-17,0.00824561403508643)); #176739=CARTESIAN_POINT('Ctrl Pts',(0.353,-0.0469999999999999,0.00824561403508643)); #176740=CARTESIAN_POINT('Ctrl Pts',(0.4,-0.0469999999999999,0.00824561403508625)); #176741=CARTESIAN_POINT('Ctrl Pts',(0.447,-0.0469999999999999,0.00824561403508608)); #176742=CARTESIAN_POINT('Ctrl Pts',(0.447,-7.35983316455944E-17,0.00824561403508608)); #176743=CARTESIAN_POINT('Ctrl Pts',(0.447,0.0469999999999998,0.00824561403508608)); #176744=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0469999999999998,0.00824561403508625)); #176745=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0400938734874048,0.0470000000000005)); #176746=CARTESIAN_POINT('Ctrl Pts',(0.359906126512595,0.0400938734874048, 0.0470000000000006)); #176747=CARTESIAN_POINT('Ctrl Pts',(0.359906126512595,-7.35983316455945E-17, 0.0470000000000006)); #176748=CARTESIAN_POINT('Ctrl Pts',(0.359906126512595,-0.0400938734874049, 0.0470000000000006)); #176749=CARTESIAN_POINT('Ctrl Pts',(0.4,-0.0400938734874049,0.0470000000000005)); #176750=CARTESIAN_POINT('Ctrl Pts',(0.440093873487405,-0.0400938734874049, 0.0470000000000003)); #176751=CARTESIAN_POINT('Ctrl Pts',(0.440093873487405,-7.35983316455945E-17, 0.0470000000000003)); #176752=CARTESIAN_POINT('Ctrl Pts',(0.440093873487405,0.0400938734874048, 0.0470000000000003)); #176753=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0400938734874048,0.0470000000000005)); #176754=CARTESIAN_POINT('Ctrl Pts',(0.4,0.000728952365605177,0.0470000000000002)); #176755=CARTESIAN_POINT('Ctrl Pts',(0.399271047634395,0.000728952365605177, 0.0470000000000002)); #176756=CARTESIAN_POINT('Ctrl Pts',(0.399271047634395,-7.35983316455945E-17, 0.0470000000000002)); #176757=CARTESIAN_POINT('Ctrl Pts',(0.399271047634395,-0.000728952365605324, 0.0470000000000002)); #176758=CARTESIAN_POINT('Ctrl Pts',(0.4,-0.000728952365605324,0.0470000000000002)); #176759=CARTESIAN_POINT('Ctrl Pts',(0.400728952365605,-0.000728952365605324, 0.0470000000000002)); #176760=CARTESIAN_POINT('Ctrl Pts',(0.400728952365605,-7.35983316455945E-17, 0.0470000000000002)); #176761=CARTESIAN_POINT('Ctrl Pts',(0.400728952365605,0.000728952365605177, 0.0470000000000002)); #176762=CARTESIAN_POINT('Ctrl Pts',(0.4,0.000728952365605177,0.0470000000000002)); #176763=CARTESIAN_POINT('',(0.4,0.000728952365605173,0.0470000000000002)); #176764=CARTESIAN_POINT('Origin',(0.4,0.000728952365605435,2.40402623442455E-16)); #176765=CARTESIAN_POINT('Origin',(0.4,-7.35983316455945E-17,0.0470000000000002)); #176766=CARTESIAN_POINT('Origin',(0.4,-7.35983316455945E-17,0.0470000000000002)); #176767=CARTESIAN_POINT('Origin',(-3.36884786665204E-17,-0.4,0.00999999999999818)); #176768=CARTESIAN_POINT('',(0.0469999999999999,-0.4,0.00824561403508626)); #176769=CARTESIAN_POINT('Origin',(-3.36884786665204E-17,-0.4,0.00824561403508626)); #176770=CARTESIAN_POINT('',(0.0568449037519986,-0.4,-5.02660030834224E-16)); #176771=CARTESIAN_POINT('Origin',(0.0568449037519986,-0.4,0.00999999999999818)); #176772=CARTESIAN_POINT('Origin',(-3.36884786665204E-17,-0.4,-5.02660030834224E-16)); #176773=CARTESIAN_POINT('Ctrl Pts',(0.0469999999999998,-0.4,0.00824561403508625)); #176774=CARTESIAN_POINT('Ctrl Pts',(0.0469999999999998,-0.353,0.00824561403508643)); #176775=CARTESIAN_POINT('Ctrl Pts',(-3.36884786665204E-17,-0.353,0.00824561403508643)); #176776=CARTESIAN_POINT('Ctrl Pts',(-0.0469999999999999,-0.353,0.00824561403508643)); #176777=CARTESIAN_POINT('Ctrl Pts',(-0.0469999999999999,-0.4,0.00824561403508625)); #176778=CARTESIAN_POINT('Ctrl Pts',(-0.0469999999999999,-0.447,0.00824561403508608)); #176779=CARTESIAN_POINT('Ctrl Pts',(-3.36884786665204E-17,-0.447,0.00824561403508608)); #176780=CARTESIAN_POINT('Ctrl Pts',(0.0469999999999998,-0.447,0.00824561403508608)); #176781=CARTESIAN_POINT('Ctrl Pts',(0.0469999999999998,-0.4,0.00824561403508625)); #176782=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874048,-0.4,0.0470000000000005)); #176783=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874048,-0.359906126512595, 0.0470000000000006)); #176784=CARTESIAN_POINT('Ctrl Pts',(-3.36884786665204E-17,-0.359906126512595, 0.0470000000000006)); #176785=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874049,-0.359906126512595, 0.0470000000000006)); #176786=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874049,-0.4,0.0470000000000005)); #176787=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874049,-0.440093873487405, 0.0470000000000003)); #176788=CARTESIAN_POINT('Ctrl Pts',(-3.36884786665204E-17,-0.440093873487405, 0.0470000000000003)); #176789=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874048,-0.440093873487405, 0.0470000000000003)); #176790=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874048,-0.4,0.0470000000000005)); #176791=CARTESIAN_POINT('Ctrl Pts',(0.000728952365605217,-0.4,0.0470000000000002)); #176792=CARTESIAN_POINT('Ctrl Pts',(0.000728952365605217,-0.399271047634395, 0.0470000000000002)); #176793=CARTESIAN_POINT('Ctrl Pts',(-3.36884786665204E-17,-0.399271047634395, 0.0470000000000002)); #176794=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365605284,-0.399271047634395, 0.0470000000000002)); #176795=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365605284,-0.4,0.0470000000000002)); #176796=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365605284,-0.400728952365605, 0.0470000000000002)); #176797=CARTESIAN_POINT('Ctrl Pts',(-3.36884786665204E-17,-0.400728952365605, 0.0470000000000002)); #176798=CARTESIAN_POINT('Ctrl Pts',(0.000728952365605217,-0.400728952365605, 0.0470000000000002)); #176799=CARTESIAN_POINT('Ctrl Pts',(0.000728952365605217,-0.4,0.0470000000000002)); #176800=CARTESIAN_POINT('',(0.000728952365605213,-0.4,0.0470000000000002)); #176801=CARTESIAN_POINT('Origin',(0.000728952365605475,-0.4,2.40402623442455E-16)); #176802=CARTESIAN_POINT('Origin',(-3.36884786665204E-17,-0.4,0.0470000000000002)); #176803=CARTESIAN_POINT('Origin',(-3.36884786665204E-17,-0.4,0.0470000000000002)); #176804=CARTESIAN_POINT('Origin',(-0.4,2.43734122861938E-17,0.00999999999999818)); #176805=CARTESIAN_POINT('',(-0.4,-0.0469999999999999,0.00824561403508626)); #176806=CARTESIAN_POINT('Origin',(-0.4,2.43734122861938E-17,0.00824561403508626)); #176807=CARTESIAN_POINT('',(-0.4,-0.0568449037519986,-5.02660030834224E-16)); #176808=CARTESIAN_POINT('Origin',(-0.4,-0.0568449037519986,0.00999999999999818)); #176809=CARTESIAN_POINT('Origin',(-0.4,2.43734122861938E-17,-5.02660030834224E-16)); #176810=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.0469999999999998,0.00824561403508625)); #176811=CARTESIAN_POINT('Ctrl Pts',(-0.353,-0.0469999999999998,0.00824561403508643)); #176812=CARTESIAN_POINT('Ctrl Pts',(-0.353,2.43734122861938E-17,0.00824561403508643)); #176813=CARTESIAN_POINT('Ctrl Pts',(-0.353,0.0469999999999999,0.00824561403508643)); #176814=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.0469999999999999,0.00824561403508625)); #176815=CARTESIAN_POINT('Ctrl Pts',(-0.447,0.0469999999999999,0.00824561403508608)); #176816=CARTESIAN_POINT('Ctrl Pts',(-0.447,2.43734122861938E-17,0.00824561403508608)); #176817=CARTESIAN_POINT('Ctrl Pts',(-0.447,-0.0469999999999998,0.00824561403508608)); #176818=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.0469999999999998,0.00824561403508625)); #176819=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.0400938734874048,0.0470000000000005)); #176820=CARTESIAN_POINT('Ctrl Pts',(-0.359906126512595,-0.0400938734874048, 0.0470000000000006)); #176821=CARTESIAN_POINT('Ctrl Pts',(-0.359906126512595,2.43734122861938E-17, 0.0470000000000006)); #176822=CARTESIAN_POINT('Ctrl Pts',(-0.359906126512595,0.0400938734874049, 0.0470000000000006)); #176823=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.0400938734874049,0.0470000000000005)); #176824=CARTESIAN_POINT('Ctrl Pts',(-0.440093873487405,0.0400938734874049, 0.0470000000000003)); #176825=CARTESIAN_POINT('Ctrl Pts',(-0.440093873487405,2.43734122861938E-17, 0.0470000000000003)); #176826=CARTESIAN_POINT('Ctrl Pts',(-0.440093873487405,-0.0400938734874048, 0.0470000000000003)); #176827=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.0400938734874048,0.0470000000000005)); #176828=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.000728952365605226,0.0470000000000002)); #176829=CARTESIAN_POINT('Ctrl Pts',(-0.399271047634395,-0.000728952365605226, 0.0470000000000002)); #176830=CARTESIAN_POINT('Ctrl Pts',(-0.399271047634395,2.43734122861938E-17, 0.0470000000000002)); #176831=CARTESIAN_POINT('Ctrl Pts',(-0.399271047634395,0.000728952365605275, 0.0470000000000002)); #176832=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.000728952365605275,0.0470000000000002)); #176833=CARTESIAN_POINT('Ctrl Pts',(-0.400728952365605,0.000728952365605275, 0.0470000000000002)); #176834=CARTESIAN_POINT('Ctrl Pts',(-0.400728952365605,2.43734122861938E-17, 0.0470000000000002)); #176835=CARTESIAN_POINT('Ctrl Pts',(-0.400728952365605,-0.000728952365605226, 0.0470000000000002)); #176836=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.000728952365605226,0.0470000000000002)); #176837=CARTESIAN_POINT('',(-0.4,-0.000728952365605222,0.0470000000000002)); #176838=CARTESIAN_POINT('Origin',(-0.4,-0.000728952365605484,2.40402623442455E-16)); #176839=CARTESIAN_POINT('Origin',(-0.4,2.43734122861938E-17,0.0470000000000002)); #176840=CARTESIAN_POINT('Origin',(-0.4,2.43734122861938E-17,0.0470000000000002)); #176841=CARTESIAN_POINT('Origin',(1.52973932993737E-17,-1.19523696753237E-19, 2.40402623442455E-16)); #176842=CARTESIAN_POINT('',(0.531,6.49092213379712E-17,2.40402623442455E-16)); #176843=CARTESIAN_POINT('Origin',(1.52973932993737E-17,-1.19523696753237E-19, 2.40402623442455E-16)); #176844=CARTESIAN_POINT('',(-0.0568449037519986,0.4,-5.02660030834224E-16)); #176845=CARTESIAN_POINT('Origin',(1.52973932993737E-17,0.4,-5.02660030834224E-16)); #176846=CARTESIAN_POINT('Origin',(0.4,-1.01088625921461E-16,0.167480315)); #176847=CARTESIAN_POINT('',(0.4,0.0568449037519988,0.157480315000001)); #176848=CARTESIAN_POINT('Origin',(0.4,-1.01088625921461E-16,0.157480315000001)); #176849=CARTESIAN_POINT('',(0.4,0.047,0.165725929035088)); #176850=CARTESIAN_POINT('Origin',(0.4,0.0568449037519988,0.167480315)); #176851=CARTESIAN_POINT('Origin',(0.4,-1.01088625921461E-16,0.165725929035088)); #176852=CARTESIAN_POINT('Ctrl Pts',(0.4,0.047,0.165725929035088)); #176853=CARTESIAN_POINT('Ctrl Pts',(0.353,0.047,0.165725929035087)); #176854=CARTESIAN_POINT('Ctrl Pts',(0.353,-1.01088625921461E-16,0.165725929035087)); #176855=CARTESIAN_POINT('Ctrl Pts',(0.353,-0.0470000000000002,0.165725929035087)); #176856=CARTESIAN_POINT('Ctrl Pts',(0.4,-0.0470000000000002,0.165725929035088)); #176857=CARTESIAN_POINT('Ctrl Pts',(0.447,-0.0470000000000002,0.165725929035088)); #176858=CARTESIAN_POINT('Ctrl Pts',(0.447,-1.01088625921461E-16,0.165725929035088)); #176859=CARTESIAN_POINT('Ctrl Pts',(0.447,0.047,0.165725929035088)); #176860=CARTESIAN_POINT('Ctrl Pts',(0.4,0.047,0.165725929035088)); #176861=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0400938734874042,0.204480315)); #176862=CARTESIAN_POINT('Ctrl Pts',(0.359906126512595,0.0400938734874042, 0.204480315)); #176863=CARTESIAN_POINT('Ctrl Pts',(0.359906126512595,-1.01088625921461E-16, 0.204480315)); #176864=CARTESIAN_POINT('Ctrl Pts',(0.359906126512595,-0.0400938734874044, 0.204480315)); #176865=CARTESIAN_POINT('Ctrl Pts',(0.4,-0.0400938734874044,0.204480315)); #176866=CARTESIAN_POINT('Ctrl Pts',(0.440093873487404,-0.0400938734874044, 0.204480315)); #176867=CARTESIAN_POINT('Ctrl Pts',(0.440093873487404,-1.01088625921461E-16, 0.204480315)); #176868=CARTESIAN_POINT('Ctrl Pts',(0.440093873487404,0.0400938734874042, 0.204480315)); #176869=CARTESIAN_POINT('Ctrl Pts',(0.4,0.0400938734874042,0.204480315)); #176870=CARTESIAN_POINT('Ctrl Pts',(0.4,0.000728952365606102,0.204480315)); #176871=CARTESIAN_POINT('Ctrl Pts',(0.399271047634394,0.000728952365606102, 0.204480315)); #176872=CARTESIAN_POINT('Ctrl Pts',(0.399271047634394,-1.01088625921461E-16, 0.204480315)); #176873=CARTESIAN_POINT('Ctrl Pts',(0.399271047634394,-0.000728952365606304, 0.204480315)); #176874=CARTESIAN_POINT('Ctrl Pts',(0.4,-0.000728952365606304,0.204480315)); #176875=CARTESIAN_POINT('Ctrl Pts',(0.400728952365606,-0.000728952365606304, 0.204480315)); #176876=CARTESIAN_POINT('Ctrl Pts',(0.400728952365606,-1.01088625921461E-16, 0.204480315)); #176877=CARTESIAN_POINT('Ctrl Pts',(0.400728952365606,0.000728952365606102, 0.204480315)); #176878=CARTESIAN_POINT('Ctrl Pts',(0.4,0.000728952365606102,0.204480315)); #176879=CARTESIAN_POINT('',(0.4,0.000728952365606107,0.204480315)); #176880=CARTESIAN_POINT('Origin',(0.4,-1.01088625921461E-16,0.204480315)); #176881=CARTESIAN_POINT('Origin',(0.4,0.000728952365605932,0.157480315)); #176882=CARTESIAN_POINT('Origin',(0.400364476182803,-1.01180628003861E-16, 0.204480315)); #176883=CARTESIAN_POINT('Origin',(-6.11787729423871E-17,-0.4,0.167480315)); #176884=CARTESIAN_POINT('',(0.0568449037519988,-0.4,0.157480315000001)); #176885=CARTESIAN_POINT('Origin',(-6.11787729423871E-17,-0.4,0.157480315000001)); #176886=CARTESIAN_POINT('',(0.047,-0.4,0.165725929035088)); #176887=CARTESIAN_POINT('Origin',(0.0568449037519988,-0.4,0.167480315)); #176888=CARTESIAN_POINT('Origin',(-6.11787729423871E-17,-0.4,0.165725929035088)); #176889=CARTESIAN_POINT('Ctrl Pts',(0.0470000000000001,-0.4,0.165725929035088)); #176890=CARTESIAN_POINT('Ctrl Pts',(0.0470000000000001,-0.353,0.165725929035087)); #176891=CARTESIAN_POINT('Ctrl Pts',(-6.11787729423871E-17,-0.353,0.165725929035087)); #176892=CARTESIAN_POINT('Ctrl Pts',(-0.0470000000000002,-0.353,0.165725929035087)); #176893=CARTESIAN_POINT('Ctrl Pts',(-0.0470000000000002,-0.4,0.165725929035088)); #176894=CARTESIAN_POINT('Ctrl Pts',(-0.0470000000000002,-0.447,0.165725929035088)); #176895=CARTESIAN_POINT('Ctrl Pts',(-6.11787729423871E-17,-0.447,0.165725929035088)); #176896=CARTESIAN_POINT('Ctrl Pts',(0.0470000000000001,-0.447,0.165725929035088)); #176897=CARTESIAN_POINT('Ctrl Pts',(0.0470000000000001,-0.4,0.165725929035088)); #176898=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874042,-0.4,0.204480315)); #176899=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874042,-0.359906126512595, 0.204480315)); #176900=CARTESIAN_POINT('Ctrl Pts',(-6.11787729423871E-17,-0.359906126512595, 0.204480315)); #176901=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874043,-0.359906126512595, 0.204480315)); #176902=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874043,-0.4,0.204480315)); #176903=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874043,-0.440093873487404, 0.204480315)); #176904=CARTESIAN_POINT('Ctrl Pts',(-6.11787729423871E-17,-0.440093873487404, 0.204480315)); #176905=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874042,-0.440093873487404, 0.204480315)); #176906=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874042,-0.4,0.204480315)); #176907=CARTESIAN_POINT('Ctrl Pts',(0.000728952365606142,-0.4,0.204480315)); #176908=CARTESIAN_POINT('Ctrl Pts',(0.000728952365606142,-0.399271047634394, 0.204480315)); #176909=CARTESIAN_POINT('Ctrl Pts',(-6.11787729423871E-17,-0.399271047634394, 0.204480315)); #176910=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365606264,-0.399271047634394, 0.204480315)); #176911=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365606264,-0.4,0.204480315)); #176912=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365606264,-0.400728952365606, 0.204480315)); #176913=CARTESIAN_POINT('Ctrl Pts',(-6.11787729423871E-17,-0.400728952365606, 0.204480315)); #176914=CARTESIAN_POINT('Ctrl Pts',(0.000728952365606142,-0.400728952365606, 0.204480315)); #176915=CARTESIAN_POINT('Ctrl Pts',(0.000728952365606142,-0.4,0.204480315)); #176916=CARTESIAN_POINT('',(0.000728952365606147,-0.4,0.204480315)); #176917=CARTESIAN_POINT('Origin',(-6.11787729423871E-17,-0.4,0.204480315)); #176918=CARTESIAN_POINT('Origin',(0.000728952365605972,-0.4,0.157480315)); #176919=CARTESIAN_POINT('Origin',(-6.12484572952551E-17,-0.400364476182803, 0.204480315)); #176920=CARTESIAN_POINT('Origin',(-0.4,5.18637065620606E-17,0.167480315)); #176921=CARTESIAN_POINT('',(-0.4,-0.0568449037519988,0.157480315000001)); #176922=CARTESIAN_POINT('Origin',(-0.4,5.18637065620606E-17,0.157480315000001)); #176923=CARTESIAN_POINT('',(-0.4,-0.047,0.165725929035088)); #176924=CARTESIAN_POINT('Origin',(-0.4,-0.0568449037519988,0.167480315)); #176925=CARTESIAN_POINT('Origin',(-0.4,5.18637065620606E-17,0.165725929035088)); #176926=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.0470000000000001,0.165725929035088)); #176927=CARTESIAN_POINT('Ctrl Pts',(-0.353,-0.0470000000000001,0.165725929035087)); #176928=CARTESIAN_POINT('Ctrl Pts',(-0.353,5.18637065620606E-17,0.165725929035087)); #176929=CARTESIAN_POINT('Ctrl Pts',(-0.353,0.0470000000000002,0.165725929035087)); #176930=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.0470000000000002,0.165725929035088)); #176931=CARTESIAN_POINT('Ctrl Pts',(-0.447,0.0470000000000002,0.165725929035088)); #176932=CARTESIAN_POINT('Ctrl Pts',(-0.447,5.18637065620606E-17,0.165725929035088)); #176933=CARTESIAN_POINT('Ctrl Pts',(-0.447,-0.0470000000000001,0.165725929035088)); #176934=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.0470000000000001,0.165725929035088)); #176935=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.0400938734874042,0.204480315)); #176936=CARTESIAN_POINT('Ctrl Pts',(-0.359906126512595,-0.0400938734874042, 0.204480315)); #176937=CARTESIAN_POINT('Ctrl Pts',(-0.359906126512595,5.18637065620606E-17, 0.204480315)); #176938=CARTESIAN_POINT('Ctrl Pts',(-0.359906126512595,0.0400938734874043, 0.204480315)); #176939=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.0400938734874043,0.204480315)); #176940=CARTESIAN_POINT('Ctrl Pts',(-0.440093873487404,0.0400938734874043, 0.204480315)); #176941=CARTESIAN_POINT('Ctrl Pts',(-0.440093873487404,5.18637065620606E-17, 0.204480315)); #176942=CARTESIAN_POINT('Ctrl Pts',(-0.440093873487404,-0.0400938734874042, 0.204480315)); #176943=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.0400938734874042,0.204480315)); #176944=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.000728952365606151,0.204480315)); #176945=CARTESIAN_POINT('Ctrl Pts',(-0.399271047634394,-0.000728952365606151, 0.204480315)); #176946=CARTESIAN_POINT('Ctrl Pts',(-0.399271047634394,5.18637065620606E-17, 0.204480315)); #176947=CARTESIAN_POINT('Ctrl Pts',(-0.399271047634394,0.000728952365606255, 0.204480315)); #176948=CARTESIAN_POINT('Ctrl Pts',(-0.4,0.000728952365606255,0.204480315)); #176949=CARTESIAN_POINT('Ctrl Pts',(-0.400728952365606,0.000728952365606255, 0.204480315)); #176950=CARTESIAN_POINT('Ctrl Pts',(-0.400728952365606,5.18637065620606E-17, 0.204480315)); #176951=CARTESIAN_POINT('Ctrl Pts',(-0.400728952365606,-0.000728952365606151, 0.204480315)); #176952=CARTESIAN_POINT('Ctrl Pts',(-0.4,-0.000728952365606151,0.204480315)); #176953=CARTESIAN_POINT('',(-0.4,-0.000728952365606156,0.204480315)); #176954=CARTESIAN_POINT('Origin',(-0.4,5.18637065620606E-17,0.204480315)); #176955=CARTESIAN_POINT('Origin',(-0.4,-0.000728952365605981,0.157480315)); #176956=CARTESIAN_POINT('Origin',(-0.400364476182803,5.19110731853968E-17, 0.204480315)); #176957=CARTESIAN_POINT('Origin',(1.52973932993737E-17,-1.19523696753237E-19, 0.157480315)); #176958=CARTESIAN_POINT('',(0.531,6.49092213379712E-17,0.157480315)); #176959=CARTESIAN_POINT('Origin',(1.52973932993737E-17,-1.19523696753237E-19, 0.157480315)); #176960=CARTESIAN_POINT('',(-0.0568449037519988,0.4,0.157480315000001)); #176961=CARTESIAN_POINT('Origin',(4.27876875752405E-17,0.4,0.157480315000001)); #176962=CARTESIAN_POINT('Origin',(1.52973932993737E-17,0.4,0.00999999999999818)); #176963=CARTESIAN_POINT('',(-0.0469999999999999,0.4,0.00824561403508626)); #176964=CARTESIAN_POINT('Origin',(1.52973932993737E-17,0.4,0.00824561403508626)); #176965=CARTESIAN_POINT('Origin',(-0.0568449037519986,0.4,0.00999999999999818)); #176966=CARTESIAN_POINT('Ctrl Pts',(-0.0469999999999999,0.4,0.00824561403508625)); #176967=CARTESIAN_POINT('Ctrl Pts',(-0.0469999999999998,0.353,0.00824561403508643)); #176968=CARTESIAN_POINT('Ctrl Pts',(1.52973932993737E-17,0.353,0.00824561403508643)); #176969=CARTESIAN_POINT('Ctrl Pts',(0.0469999999999999,0.353,0.00824561403508643)); #176970=CARTESIAN_POINT('Ctrl Pts',(0.0469999999999999,0.4,0.00824561403508625)); #176971=CARTESIAN_POINT('Ctrl Pts',(0.0469999999999999,0.447,0.00824561403508608)); #176972=CARTESIAN_POINT('Ctrl Pts',(1.52973932993737E-17,0.447,0.00824561403508608)); #176973=CARTESIAN_POINT('Ctrl Pts',(-0.0469999999999998,0.447,0.00824561403508608)); #176974=CARTESIAN_POINT('Ctrl Pts',(-0.0469999999999999,0.4,0.00824561403508625)); #176975=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874049,0.4,0.0470000000000005)); #176976=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874048,0.359906126512595, 0.0470000000000006)); #176977=CARTESIAN_POINT('Ctrl Pts',(1.52973932993737E-17,0.359906126512595, 0.0470000000000006)); #176978=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874049,0.359906126512595, 0.0470000000000006)); #176979=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874049,0.4,0.0470000000000005)); #176980=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874049,0.440093873487405, 0.0470000000000003)); #176981=CARTESIAN_POINT('Ctrl Pts',(1.52973932993737E-17,0.440093873487405, 0.0470000000000003)); #176982=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874048,0.440093873487405, 0.0470000000000003)); #176983=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874049,0.4,0.0470000000000005)); #176984=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365605235,0.4,0.0470000000000002)); #176985=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365605235,0.399271047634395, 0.0470000000000002)); #176986=CARTESIAN_POINT('Ctrl Pts',(1.52973932993737E-17,0.399271047634395, 0.0470000000000002)); #176987=CARTESIAN_POINT('Ctrl Pts',(0.000728952365605266,0.399271047634395, 0.0470000000000002)); #176988=CARTESIAN_POINT('Ctrl Pts',(0.000728952365605266,0.4,0.0470000000000002)); #176989=CARTESIAN_POINT('Ctrl Pts',(0.000728952365605266,0.400728952365605, 0.0470000000000002)); #176990=CARTESIAN_POINT('Ctrl Pts',(1.52973932993737E-17,0.400728952365605, 0.0470000000000002)); #176991=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365605235,0.400728952365605, 0.0470000000000002)); #176992=CARTESIAN_POINT('Ctrl Pts',(-0.000728952365605235,0.4,0.0470000000000002)); #176993=CARTESIAN_POINT('',(-0.000728952365605231,0.4,0.0470000000000002)); #176994=CARTESIAN_POINT('Origin',(-0.000728952365605493,0.4,2.40402623442455E-16)); #176995=CARTESIAN_POINT('Origin',(1.52973932993737E-17,0.4,0.0470000000000002)); #176996=CARTESIAN_POINT('Origin',(1.52973932993737E-17,0.4,0.0470000000000002)); #176997=CARTESIAN_POINT('Origin',(4.27876875752405E-17,0.4,0.167480315)); #176998=CARTESIAN_POINT('',(-0.0470000000000001,0.4,0.165725929035088)); #176999=CARTESIAN_POINT('Origin',(-0.0568449037519988,0.4,0.167480315)); #177000=CARTESIAN_POINT('Origin',(4.27876875752405E-17,0.4,0.165725929035088)); #177001=CARTESIAN_POINT('Ctrl Pts',(-0.0470000000000001,0.4,0.165725929035088)); #177002=CARTESIAN_POINT('Ctrl Pts',(-0.0470000000000001,0.353,0.165725929035087)); #177003=CARTESIAN_POINT('Ctrl Pts',(4.27876875752405E-17,0.353,0.165725929035087)); #177004=CARTESIAN_POINT('Ctrl Pts',(0.0470000000000002,0.353,0.165725929035087)); #177005=CARTESIAN_POINT('Ctrl Pts',(0.0470000000000002,0.4,0.165725929035088)); #177006=CARTESIAN_POINT('Ctrl Pts',(0.0470000000000002,0.447,0.165725929035088)); #177007=CARTESIAN_POINT('Ctrl Pts',(4.27876875752405E-17,0.447,0.165725929035088)); #177008=CARTESIAN_POINT('Ctrl Pts',(-0.0470000000000001,0.447,0.165725929035088)); #177009=CARTESIAN_POINT('Ctrl Pts',(-0.0470000000000001,0.4,0.165725929035088)); #177010=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874042,0.4,0.204480315)); #177011=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874042,0.359906126512595, 0.204480315)); #177012=CARTESIAN_POINT('Ctrl Pts',(4.27876875752405E-17,0.359906126512595, 0.204480315)); #177013=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874043,0.359906126512595, 0.204480315)); #177014=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874043,0.4,0.204480315)); #177015=CARTESIAN_POINT('Ctrl Pts',(0.0400938734874043,0.440093873487404, 0.204480315)); #177016=CARTESIAN_POINT('Ctrl Pts',(4.27876875752405E-17,0.440093873487404, 0.204480315)); #177017=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874042,0.440093873487404, 0.204480315)); #177018=CARTESIAN_POINT('Ctrl Pts',(-0.0400938734874042,0.4,0.204480315)); #177019=CARTESIAN_POINT('Ctrl Pts',(-0.00072895236560616,0.4,0.204480315)); #177020=CARTESIAN_POINT('Ctrl Pts',(-0.00072895236560616,0.399271047634394, 0.204480315)); #177021=CARTESIAN_POINT('Ctrl Pts',(4.27876875752405E-17,0.399271047634394, 0.204480315)); #177022=CARTESIAN_POINT('Ctrl Pts',(0.000728952365606246,0.399271047634394, 0.204480315)); #177023=CARTESIAN_POINT('Ctrl Pts',(0.000728952365606246,0.4,0.204480315)); #177024=CARTESIAN_POINT('Ctrl Pts',(0.000728952365606246,0.400728952365606, 0.204480315)); #177025=CARTESIAN_POINT('Ctrl Pts',(4.27876875752405E-17,0.400728952365606, 0.204480315)); #177026=CARTESIAN_POINT('Ctrl Pts',(-0.00072895236560616,0.400728952365606, 0.204480315)); #177027=CARTESIAN_POINT('Ctrl Pts',(-0.00072895236560616,0.4,0.204480315)); #177028=CARTESIAN_POINT('',(-0.000728952365606165,0.4,0.204480315)); #177029=CARTESIAN_POINT('Origin',(4.27876875752405E-17,0.4,0.204480315)); #177030=CARTESIAN_POINT('Origin',(-0.000728952365605991,0.4,0.157480315)); #177031=CARTESIAN_POINT('Origin',(4.2812736469045E-17,0.400364476182803, 0.204480315)); #177032=CARTESIAN_POINT('Origin',(1.52973932993737E-17,-1.19523696753237E-19, 2.40402623442455E-16)); #177033=CARTESIAN_POINT('',(0.531,6.49092213379712E-17,2.40402623442455E-16)); #177034=CARTESIAN_POINT('',(0.,0.,0.)); #177035=CARTESIAN_POINT('',(3.94258350913214E-33,1.59734548217331E-31,-0.157480315)); #177036=CARTESIAN_POINT('',(0.,0.,0.)); #177037=CARTESIAN_POINT('Origin',(0.,0.,0.105666935157718)); #177038=CARTESIAN_POINT('',(-0.16929095546862,-2.07321626739243E-17,0.11063899487413)); #177039=CARTESIAN_POINT('Origin',(0.,0.,0.11063899487413)); #177040=CARTESIAN_POINT('',(-0.172536656633995,-2.11296464282408E-17,0.102386640012766)); #177041=CARTESIAN_POINT('Origin',(-0.168763108732881,-2.06675200923879E-17, 0.105666935157718)); #177042=CARTESIAN_POINT('',(2.11296464282408E-17,-0.172536656633995,0.102386640012766)); #177043=CARTESIAN_POINT('Origin',(2.64120580353009E-17,0.,0.102386640012766)); #177044=CARTESIAN_POINT('Origin',(2.64120580353009E-17,0.,0.102386640012766)); #177045=CARTESIAN_POINT('Origin',(0.,0.,0.0757750648774282)); #177046=CARTESIAN_POINT('',(1.46957615897682E-17,-0.12,0.04195013)); #177047=CARTESIAN_POINT('',(1.82966600470789E-17,-0.149403567296446,0.0757750648774282)); #177048=CARTESIAN_POINT('',(0.12,1.46957615897682E-17,0.04195013)); #177049=CARTESIAN_POINT('Origin',(0.,0.,0.04195013)); #177050=CARTESIAN_POINT('Origin',(0.,0.,0.04195013)); #177051=CARTESIAN_POINT('Origin',(0.,0.,-1.48399953)); #177052=CARTESIAN_POINT('',(-9.8192151576435E-17,-1.20250704129473E-32, 0.1196)); #177053=CARTESIAN_POINT('Origin',(0.,0.,-1.48399953)); #177054=CARTESIAN_POINT('Origin',(0.,0.,-0.708)); #177055=CARTESIAN_POINT('',(-0.107016645901467,-0.057,-0.708)); #177056=CARTESIAN_POINT('',(-0.12125,5.37553522458263E-18,-0.765)); #177057=CARTESIAN_POINT('Ctrl Pts',(-0.107016645901467,-0.057,-0.708)); #177058=CARTESIAN_POINT('Ctrl Pts',(-0.107016645901467,-0.057,-0.715291711923344)); #177059=CARTESIAN_POINT('Ctrl Pts',(-0.107841159523182,-0.0555191717309625, -0.722972046129963)); #177060=CARTESIAN_POINT('Ctrl Pts',(-0.110656501670957,-0.0496712368275866, -0.736970848031572)); #177061=CARTESIAN_POINT('Ctrl Pts',(-0.11259165299804,-0.0453080718603499, -0.743302101194917)); #177062=CARTESIAN_POINT('Ctrl Pts',(-0.116114680582471,-0.0353124162290788, -0.753297756826187)); #177063=CARTESIAN_POINT('Ctrl Pts',(-0.117951810308903,-0.0289775404256439, -0.757668577370071)); #177064=CARTESIAN_POINT('Ctrl Pts',(-0.120533896440455,-0.0149635300695008, -0.763522592999598)); #177065=CARTESIAN_POINT('Ctrl Pts',(-0.12125,-0.00727667804804936,-0.765)); #177066=CARTESIAN_POINT('Ctrl Pts',(-0.12125,-2.73184799366426E-18,-0.765)); #177067=CARTESIAN_POINT('',(-0.12125,1.35710792055754E-17,-0.651)); #177068=CARTESIAN_POINT('Ctrl Pts',(-0.12125,1.50251639651534E-17,-0.651)); #177069=CARTESIAN_POINT('Ctrl Pts',(-0.12125,-0.00727667804804936,-0.651)); #177070=CARTESIAN_POINT('Ctrl Pts',(-0.120533896440455,-0.0149635300695008, -0.652477407000402)); #177071=CARTESIAN_POINT('Ctrl Pts',(-0.117951810308903,-0.0289775404256439, -0.658331422629929)); #177072=CARTESIAN_POINT('Ctrl Pts',(-0.116114680582471,-0.0353124162290789, -0.662702243173812)); #177073=CARTESIAN_POINT('Ctrl Pts',(-0.11259165299804,-0.0453080718603499, -0.672697898805083)); #177074=CARTESIAN_POINT('Ctrl Pts',(-0.110656501670957,-0.0496712368275866, -0.679029151968428)); #177075=CARTESIAN_POINT('Ctrl Pts',(-0.107841159523182,-0.0555191717309625, -0.693027953870037)); #177076=CARTESIAN_POINT('Ctrl Pts',(-0.107016645901467,-0.057,-0.700708288076656)); #177077=CARTESIAN_POINT('Ctrl Pts',(-0.107016645901467,-0.057,-0.708)); #177078=CARTESIAN_POINT('',(-0.107016645901467,0.057,-0.708)); #177079=CARTESIAN_POINT('Ctrl Pts',(-0.107016645901467,0.057,-0.708)); #177080=CARTESIAN_POINT('Ctrl Pts',(-0.107016645901467,0.057,-0.700708288076656)); #177081=CARTESIAN_POINT('Ctrl Pts',(-0.107841159523182,0.0555191717309625, -0.693027953870037)); #177082=CARTESIAN_POINT('Ctrl Pts',(-0.110656501670957,0.0496712368275866, -0.679029151968428)); #177083=CARTESIAN_POINT('Ctrl Pts',(-0.11259165299804,0.0453080718603499, -0.672697898805083)); #177084=CARTESIAN_POINT('Ctrl Pts',(-0.116114680582471,0.0353124162290788, -0.662702243173812)); #177085=CARTESIAN_POINT('Ctrl Pts',(-0.117951810308903,0.0289775404256439, -0.658331422629929)); #177086=CARTESIAN_POINT('Ctrl Pts',(-0.120533896440455,0.0149635300695009, -0.652477407000402)); #177087=CARTESIAN_POINT('Ctrl Pts',(-0.12125,0.00727667804804938,-0.651)); #177088=CARTESIAN_POINT('Ctrl Pts',(-0.12125,5.46369598732853E-18,-0.651)); #177089=CARTESIAN_POINT('',(0.107016645901467,0.057,-0.708)); #177090=CARTESIAN_POINT('',(0.,0.057,-0.708)); #177091=CARTESIAN_POINT('',(0.107016645901467,-0.057,-0.708)); #177092=CARTESIAN_POINT('Ctrl Pts',(0.107016645901467,-0.057,-0.708)); #177093=CARTESIAN_POINT('Ctrl Pts',(0.107016645901467,-0.057,-0.700708288076656)); #177094=CARTESIAN_POINT('Ctrl Pts',(0.107841159523182,-0.0555191717309625, -0.693027953870037)); #177095=CARTESIAN_POINT('Ctrl Pts',(0.110656501670957,-0.0496712368275866, -0.679029151968428)); #177096=CARTESIAN_POINT('Ctrl Pts',(0.11259165299804,-0.0453080718603499, -0.672697898805083)); #177097=CARTESIAN_POINT('Ctrl Pts',(0.116114680582471,-0.0353124162290788, -0.662702243173812)); #177098=CARTESIAN_POINT('Ctrl Pts',(0.117951810308903,-0.0289775404256439, -0.658331422629929)); #177099=CARTESIAN_POINT('Ctrl Pts',(0.120533896440455,-0.0149635300695008, -0.652477407000402)); #177100=CARTESIAN_POINT('Ctrl Pts',(0.12125,-0.00727667804804936,-0.651)); #177101=CARTESIAN_POINT('Ctrl Pts',(0.12125,0.00727667804804938,-0.651)); #177102=CARTESIAN_POINT('Ctrl Pts',(0.120533896440455,0.0149635300695009, -0.652477407000402)); #177103=CARTESIAN_POINT('Ctrl Pts',(0.117951810308903,0.0289775404256439, -0.658331422629929)); #177104=CARTESIAN_POINT('Ctrl Pts',(0.116114680582471,0.0353124162290788, -0.662702243173812)); #177105=CARTESIAN_POINT('Ctrl Pts',(0.11259165299804,0.0453080718603499, -0.672697898805083)); #177106=CARTESIAN_POINT('Ctrl Pts',(0.110656501670957,0.0496712368275866, -0.679029151968428)); #177107=CARTESIAN_POINT('Ctrl Pts',(0.107841159523182,0.0555191717309625, -0.693027953870037)); #177108=CARTESIAN_POINT('Ctrl Pts',(0.107016645901467,0.057,-0.700708288076656)); #177109=CARTESIAN_POINT('Ctrl Pts',(0.107016645901467,0.057,-0.708)); #177110=CARTESIAN_POINT('Ctrl Pts',(0.107016645901467,0.057,-0.708)); #177111=CARTESIAN_POINT('Ctrl Pts',(0.107016645901467,0.057,-0.715291711923343)); #177112=CARTESIAN_POINT('Ctrl Pts',(0.107841159523182,0.0555191717309625, -0.722972046129963)); #177113=CARTESIAN_POINT('Ctrl Pts',(0.110656501670957,0.0496712368275866, -0.736970848031572)); #177114=CARTESIAN_POINT('Ctrl Pts',(0.11259165299804,0.0453080718603498, -0.743302101194917)); #177115=CARTESIAN_POINT('Ctrl Pts',(0.116114680582471,0.0353124162290788, -0.753297756826187)); #177116=CARTESIAN_POINT('Ctrl Pts',(0.117951810308903,0.0289775404256439, -0.757668577370071)); #177117=CARTESIAN_POINT('Ctrl Pts',(0.120533896440455,0.0149635300695008, -0.763522592999598)); #177118=CARTESIAN_POINT('Ctrl Pts',(0.12125,0.00727667804804935,-0.765)); #177119=CARTESIAN_POINT('Ctrl Pts',(0.12125,-0.00727667804804936,-0.765)); #177120=CARTESIAN_POINT('Ctrl Pts',(0.120533896440455,-0.0149635300695008, -0.763522592999598)); #177121=CARTESIAN_POINT('Ctrl Pts',(0.117951810308903,-0.0289775404256439, -0.757668577370071)); #177122=CARTESIAN_POINT('Ctrl Pts',(0.116114680582471,-0.0353124162290788, -0.753297756826187)); #177123=CARTESIAN_POINT('Ctrl Pts',(0.11259165299804,-0.0453080718603499, -0.743302101194917)); #177124=CARTESIAN_POINT('Ctrl Pts',(0.110656501670957,-0.0496712368275866, -0.736970848031572)); #177125=CARTESIAN_POINT('Ctrl Pts',(0.107841159523182,-0.0555191717309625, -0.722972046129963)); #177126=CARTESIAN_POINT('Ctrl Pts',(0.107016645901467,-0.057,-0.715291711923343)); #177127=CARTESIAN_POINT('Ctrl Pts',(0.107016645901467,-0.057,-0.708)); #177128=CARTESIAN_POINT('Ctrl Pts',(-0.12125,-6.82961998416066E-18,-0.765)); #177129=CARTESIAN_POINT('Ctrl Pts',(-0.12125,0.00727667804804935,-0.765)); #177130=CARTESIAN_POINT('Ctrl Pts',(-0.120533896440455,0.0149635300695008, -0.763522592999598)); #177131=CARTESIAN_POINT('Ctrl Pts',(-0.117951810308903,0.0289775404256439, -0.757668577370071)); #177132=CARTESIAN_POINT('Ctrl Pts',(-0.116114680582471,0.0353124162290788, -0.753297756826187)); #177133=CARTESIAN_POINT('Ctrl Pts',(-0.11259165299804,0.0453080718603498, -0.743302101194917)); #177134=CARTESIAN_POINT('Ctrl Pts',(-0.110656501670957,0.0496712368275866, -0.736970848031572)); #177135=CARTESIAN_POINT('Ctrl Pts',(-0.107841159523182,0.0555191717309625, -0.722972046129963)); #177136=CARTESIAN_POINT('Ctrl Pts',(-0.107016645901467,0.057,-0.715291711923344)); #177137=CARTESIAN_POINT('Ctrl Pts',(-0.107016645901467,0.057,-0.708)); #177138=CARTESIAN_POINT('Origin',(0.,0.,-0.793)); #177139=CARTESIAN_POINT('',(-0.12125,1.48488424396617E-17,-0.778)); #177140=CARTESIAN_POINT('Origin',(0.,0.,-0.778)); #177141=CARTESIAN_POINT('',(-0.09125,-1.11749020422196E-17,-0.808)); #177142=CARTESIAN_POINT('',(-0.10625,-1.30118722409406E-17,-0.793)); #177143=CARTESIAN_POINT('Origin',(0.,0.,-0.808)); #177144=CARTESIAN_POINT('Origin',(0.,0.,-0.308)); #177145=CARTESIAN_POINT('',(-0.12125,1.48488424396617E-17,-0.308)); #177146=CARTESIAN_POINT('',(-0.12125,-1.48488424396617E-17,-0.323)); #177147=CARTESIAN_POINT('',(-0.12125,1.48488424396617E-17,-0.308)); #177148=CARTESIAN_POINT('Origin',(0.,0.,-0.323)); #177149=CARTESIAN_POINT('Origin',(0.,0.,-0.808)); #177150=CARTESIAN_POINT('Origin',(0.,0.,0.015)); #177151=CARTESIAN_POINT('',(0.12,-1.46957615897682E-17,0.015)); #177152=CARTESIAN_POINT('Origin',(0.,0.,0.015)); #177153=CARTESIAN_POINT('',(0.135,1.65327317884893E-17,0.)); #177154=CARTESIAN_POINT('Origin',(0.135,-1.65327317884893E-17,0.015)); #177155=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177156=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177157=CARTESIAN_POINT('',(0.1775,-2.17374806848655E-17,0.)); #177158=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177159=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177160=CARTESIAN_POINT('',(0.12,1.46957615897682E-17,0.)); #177161=CARTESIAN_POINT('Origin',(0.,0.,-0.015)); #177162=CARTESIAN_POINT('',(0.1925,2.35744508835865E-17,-0.015)); #177163=CARTESIAN_POINT('Origin',(0.,0.,-0.015)); #177164=CARTESIAN_POINT('Origin',(0.1775,-2.17374806848655E-17,-0.015)); #177165=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177166=CARTESIAN_POINT('',(0.1925,-2.35744508835865E-17,-0.293)); #177167=CARTESIAN_POINT('',(-0.1925,-2.35744508835865E-17,-0.293)); #177168=CARTESIAN_POINT('Origin',(0.,0.,-0.293)); #177169=CARTESIAN_POINT('',(0.1925,-2.35744508835865E-17,0.)); #177170=CARTESIAN_POINT('Origin',(0.,0.,-0.293)); #177171=CARTESIAN_POINT('Origin',(0.,0.,-0.293)); #177172=CARTESIAN_POINT('',(-0.1775,-2.17374806848655E-17,-0.308)); #177173=CARTESIAN_POINT('Origin',(-0.1775,-2.17374806848655E-17,-0.293)); #177174=CARTESIAN_POINT('Origin',(0.,0.,-0.308)); #177175=CARTESIAN_POINT('Origin',(0.,0.,-0.308)); #177176=CARTESIAN_POINT('',(-0.13625,1.66858126383827E-17,-0.308)); #177177=CARTESIAN_POINT('Origin',(0.,0.,-0.308)); #177178=CARTESIAN_POINT('Origin',(0.,0.,-0.323)); #177179=CARTESIAN_POINT('Origin',(-0.13625,-1.66858126383827E-17,-0.323)); #177180=CARTESIAN_POINT('',(0.,0.,0.)); #177181=CARTESIAN_POINT('',(-1.,0.,0.1196)); #177182=CARTESIAN_POINT('',(1.,0.,0.1196)); #177183=CARTESIAN_POINT('',(0.,0.,0.)); #177184=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177185=CARTESIAN_POINT('',(0.125,1.53080849893419E-17,0.)); #177186=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177187=CARTESIAN_POINT('',(0.125,1.53080849893419E-17,0.058)); #177188=CARTESIAN_POINT('',(0.125,1.53080849893419E-17,0.)); #177189=CARTESIAN_POINT('Origin',(0.,0.,0.058)); #177190=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177191=CARTESIAN_POINT('',(0.3125,3.82702124733548E-17,0.058)); #177192=CARTESIAN_POINT('Origin',(0.,0.,0.058)); #177193=CARTESIAN_POINT('',(0.3125,3.82702124733548E-17,0.)); #177194=CARTESIAN_POINT('',(0.3125,3.82702124733548E-17,0.)); #177195=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177196=CARTESIAN_POINT('Origin',(0.,0.,0.058)); #177197=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177198=CARTESIAN_POINT('',(0.,0.,0.)); #177199=CARTESIAN_POINT('Origin',(0.,0.,0.00500000000000006)); #177200=CARTESIAN_POINT('',(0.34497663602064,0.,0.00502325556240745)); #177201=CARTESIAN_POINT('',(-0.34497663602064,-4.22474533083298E-17,0.00502325556240745)); #177202=CARTESIAN_POINT('Origin',(0.,2.11230290195573E-17,0.00502325556240745)); #177203=CARTESIAN_POINT('',(-0.339976690103051,-4.16351365319413E-17,0.)); #177204=CARTESIAN_POINT('Origin',(-0.339976690103051,-4.16351365319413E-17, 0.00500000000000006)); #177205=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177206=CARTESIAN_POINT('Origin',(0.,2.11230290195573E-17,0.00502325556240745)); #177207=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177208=CARTESIAN_POINT('',(0.164,2.0083544202687E-17,0.)); #177209=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177210=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177211=CARTESIAN_POINT('',(0.34,4.16366160299608E-17,1.075)); #177212=CARTESIAN_POINT('',(-4.163799117101E-17,0.34,1.075)); #177213=CARTESIAN_POINT('Origin',(0.,0.,1.075)); #177214=CARTESIAN_POINT('',(0.345,4.22503145705837E-17,0.)); #177215=CARTESIAN_POINT('Origin',(0.,0.,1.075)); #177216=CARTESIAN_POINT('Origin',(0.,0.,1.37)); #177217=CARTESIAN_POINT('',(0.2325,2.84720977263703E-17,1.375)); #177218=CARTESIAN_POINT('Origin',(0.,0.,1.375)); #177219=CARTESIAN_POINT('',(0.2275,-2.78597945494591E-17,1.37)); #177220=CARTESIAN_POINT('Origin',(0.2325,-2.8473038080176E-17,1.37)); #177221=CARTESIAN_POINT('Origin',(0.,0.,1.37)); #177222=CARTESIAN_POINT('Origin',(0.,-0.1485,1.375)); #177223=CARTESIAN_POINT('',(-0.292665566676456,-3.58411949450968E-17,1.375)); #177224=CARTESIAN_POINT('Origin',(0.,0.,1.375)); #177225=CARTESIAN_POINT('Origin',(0.,0.,1.375)); #177226=CARTESIAN_POINT('',(0.2275,2.78597945494591E-17,0.98)); #177227=CARTESIAN_POINT('',(0.2275,-2.78607146806023E-17,1.375)); #177228=CARTESIAN_POINT('Origin',(0.,0.,0.98)); #177229=CARTESIAN_POINT('Origin',(0.,0.,1.37)); #177230=CARTESIAN_POINT('',(-0.29761498364473,-3.64473237098811E-17,1.37070941643212)); #177231=CARTESIAN_POINT('Origin',(-0.292665566676456,-3.58411949450968E-17, 1.37)); #177232=CARTESIAN_POINT('',(-3.6447323709881E-17,0.29761498364473,1.37070941643212)); #177233=CARTESIAN_POINT('Origin',(-3.64461199964079E-17,0.,1.37070941643212)); #177234=CARTESIAN_POINT('Origin',(-3.64461199964079E-17,0.,1.37070941643212)); #177235=CARTESIAN_POINT('Origin',(0.,0.,1.225)); #177236=CARTESIAN_POINT('',(-3.90050005528432E-17,0.3185,1.225)); #177237=CARTESIAN_POINT('Origin',(0.,0.,0.98)); #177238=CARTESIAN_POINT('',(0.164,2.0083544202687E-17,0.98)); #177239=CARTESIAN_POINT('Origin',(0.,0.,0.98)); #177240=CARTESIAN_POINT('',(0.164,-2.00842075060166E-17,0.98)); #177241=CARTESIAN_POINT('Origin',(0.,0.,0.98)); #177242=CARTESIAN_POINT('',(0.,0.,0.)); #177243=CARTESIAN_POINT('Origin',(0.,0.,-1.36)); #177244=CARTESIAN_POINT('',(-0.1225,1.50019232895551E-17,-1.345)); #177245=CARTESIAN_POINT('Origin',(0.,0.,-1.345)); #177246=CARTESIAN_POINT('',(-0.0925,-1.1327982892113E-17,-1.375)); #177247=CARTESIAN_POINT('',(-0.1075,-1.3164953090834E-17,-1.36)); #177248=CARTESIAN_POINT('Origin',(0.,0.,-1.375)); #177249=CARTESIAN_POINT('Origin',(0.,0.,-1.056)); #177250=CARTESIAN_POINT('',(-0.1225,1.50019232895551E-17,-1.056)); #177251=CARTESIAN_POINT('',(-0.1225,1.50019232895551E-17,-1.056)); #177252=CARTESIAN_POINT('Origin',(0.,0.,-1.056)); #177253=CARTESIAN_POINT('Origin',(0.,0.,-1.375)); #177254=CARTESIAN_POINT('Origin',(0.,0.,-1.056)); #177255=CARTESIAN_POINT('',(-0.094,1.15116799119851E-17,-1.056)); #177256=CARTESIAN_POINT('Origin',(0.,0.,-1.056)); #177257=CARTESIAN_POINT('Origin',(0.,0.,-1.)); #177258=CARTESIAN_POINT('',(-0.094,1.15116799119851E-17,-1.)); #177259=CARTESIAN_POINT('',(-0.094,1.15116799119851E-17,-1.)); #177260=CARTESIAN_POINT('Origin',(0.,0.,-1.)); #177261=CARTESIAN_POINT('Origin',(0.,0.,0.18728125)); #177262=CARTESIAN_POINT('',(1.29812560709619E-17,0.106,0.20278125)); #177263=CARTESIAN_POINT('Origin',(0.,0.,0.20278125)); #177264=CARTESIAN_POINT('',(-3.82458719112997E-17,0.075,0.17178125)); #177265=CARTESIAN_POINT('',(1.10830535322835E-17,0.0905,0.18728125)); #177266=CARTESIAN_POINT('',(0.0433012701892219,0.075,0.183383790378444)); #177267=CARTESIAN_POINT('Ctrl Pts',(0.0433012701892219,0.075,0.183383790378444)); #177268=CARTESIAN_POINT('Ctrl Pts',(0.020096189432334,0.075,0.17178125)); #177269=CARTESIAN_POINT('Ctrl Pts',(-3.82458719112997E-17,0.075,0.17178125)); #177270=CARTESIAN_POINT('',(0.0649519052838329,0.0375,0.17178125)); #177271=CARTESIAN_POINT('Ctrl Pts',(0.0649519052838329,0.0375,0.17178125)); #177272=CARTESIAN_POINT('Ctrl Pts',(0.0549038105676658,0.0549038105676657, 0.17178125)); #177273=CARTESIAN_POINT('Ctrl Pts',(0.0433012701892219,0.075,0.183383790378444)); #177274=CARTESIAN_POINT('',(0.0866025403784439,4.79522521803442E-17,0.183383790378444)); #177275=CARTESIAN_POINT('Ctrl Pts',(0.0866025403784439,4.79522521803442E-17, 0.183383790378444)); #177276=CARTESIAN_POINT('Ctrl Pts',(0.075,0.0200961894323343,0.17178125)); #177277=CARTESIAN_POINT('Ctrl Pts',(0.0649519052838329,0.0375,0.17178125)); #177278=CARTESIAN_POINT('',(0.0649519052838329,-0.0375,0.17178125)); #177279=CARTESIAN_POINT('Ctrl Pts',(0.0649519052838329,-0.0375,0.17178125)); #177280=CARTESIAN_POINT('Ctrl Pts',(0.075,-0.0200961894323342,0.17178125)); #177281=CARTESIAN_POINT('Ctrl Pts',(0.0866025403784439,4.79522521803442E-17, 0.183383790378444)); #177282=CARTESIAN_POINT('',(0.043301270189222,-0.0749999999999999,0.183383790378444)); #177283=CARTESIAN_POINT('Ctrl Pts',(0.043301270189222,-0.0749999999999999, 0.183383790378444)); #177284=CARTESIAN_POINT('Ctrl Pts',(0.0549038105676659,-0.0549038105676657, 0.17178125)); #177285=CARTESIAN_POINT('Ctrl Pts',(0.0649519052838329,-0.0375,0.17178125)); #177286=CARTESIAN_POINT('',(0.,-0.075,0.17178125)); #177287=CARTESIAN_POINT('Ctrl Pts',(0.,-0.075,0.17178125)); #177288=CARTESIAN_POINT('Ctrl Pts',(0.0200961894323341,-0.075,0.17178125)); #177289=CARTESIAN_POINT('Ctrl Pts',(0.043301270189222,-0.0749999999999999, 0.183383790378444)); #177290=CARTESIAN_POINT('',(-0.0433012701892218,-0.075,0.183383790378444)); #177291=CARTESIAN_POINT('Ctrl Pts',(-0.0433012701892218,-0.075,0.183383790378444)); #177292=CARTESIAN_POINT('Ctrl Pts',(-0.020096189432334,-0.075,0.17178125)); #177293=CARTESIAN_POINT('Ctrl Pts',(0.,-0.075,0.17178125)); #177294=CARTESIAN_POINT('',(-0.0649519052838328,-0.0375,0.17178125)); #177295=CARTESIAN_POINT('Ctrl Pts',(-0.0649519052838328,-0.0375,0.17178125)); #177296=CARTESIAN_POINT('Ctrl Pts',(-0.0549038105676658,-0.0549038105676657, 0.17178125)); #177297=CARTESIAN_POINT('Ctrl Pts',(-0.0433012701892218,-0.075,0.183383790378444)); #177298=CARTESIAN_POINT('',(-0.0866025403784438,-5.75882466598857E-19,0.183383790378444)); #177299=CARTESIAN_POINT('Ctrl Pts',(-0.0866025403784438,-5.75882466598857E-19, 0.183383790378444)); #177300=CARTESIAN_POINT('Ctrl Pts',(-0.0749999999999999,-0.0200961894323343, 0.17178125)); #177301=CARTESIAN_POINT('Ctrl Pts',(-0.0649519052838328,-0.0375,0.17178125)); #177302=CARTESIAN_POINT('',(-0.0649519052838329,0.0375,0.17178125)); #177303=CARTESIAN_POINT('Ctrl Pts',(-0.0649519052838329,0.0375,0.17178125)); #177304=CARTESIAN_POINT('Ctrl Pts',(-0.0749999999999999,0.0200961894323342, 0.17178125)); #177305=CARTESIAN_POINT('Ctrl Pts',(-0.0866025403784438,-5.75882466598857E-19, 0.183383790378444)); #177306=CARTESIAN_POINT('',(-0.0433012701892219,0.075,0.183383790378444)); #177307=CARTESIAN_POINT('Ctrl Pts',(-0.0433012701892219,0.0749999999999999, 0.183383790378444)); #177308=CARTESIAN_POINT('Ctrl Pts',(-0.0549038105676658,0.0549038105676657, 0.17178125)); #177309=CARTESIAN_POINT('Ctrl Pts',(-0.0649519052838329,0.0375,0.17178125)); #177310=CARTESIAN_POINT('Ctrl Pts',(-3.82458719112997E-17,0.075,0.17178125)); #177311=CARTESIAN_POINT('Ctrl Pts',(-0.0200961894323341,0.075,0.17178125)); #177312=CARTESIAN_POINT('Ctrl Pts',(-0.0433012701892219,0.075,0.183383790378444)); #177313=CARTESIAN_POINT('Origin',(0.0866025403784439,4.79522521803442E-17, 0.20278125)); #177314=CARTESIAN_POINT('',(0.0433012701892219,0.075,0.08578125)); #177315=CARTESIAN_POINT('',(0.0433012701892219,0.075,0.20278125)); #177316=CARTESIAN_POINT('',(0.0866025403784439,4.79522521803442E-17,0.08578125)); #177317=CARTESIAN_POINT('',(0.0433012701892219,0.075,0.08578125)); #177318=CARTESIAN_POINT('',(0.0866025403784439,4.79522521803442E-17,0.20278125)); #177319=CARTESIAN_POINT('Origin',(0.0433012701892219,0.075,0.20278125)); #177320=CARTESIAN_POINT('',(-0.0433012701892219,0.075,0.08578125)); #177321=CARTESIAN_POINT('',(-0.0433012701892219,0.075,0.20278125)); #177322=CARTESIAN_POINT('',(-0.0433012701892219,0.075,0.08578125)); #177323=CARTESIAN_POINT('Origin',(-0.0433012701892219,0.075,0.20278125)); #177324=CARTESIAN_POINT('',(-0.0866025403784438,-5.75882466598857E-19,0.08578125)); #177325=CARTESIAN_POINT('',(-0.0866025403784438,-5.75882466598857E-19,0.20278125)); #177326=CARTESIAN_POINT('',(-0.0866025403784438,-5.75882466598857E-19,0.08578125)); #177327=CARTESIAN_POINT('Origin',(-0.0866025403784438,-5.75882466598857E-19, 0.20278125)); #177328=CARTESIAN_POINT('',(-0.0433012701892218,-0.075,0.08578125)); #177329=CARTESIAN_POINT('',(-0.0433012701892218,-0.075,0.20278125)); #177330=CARTESIAN_POINT('',(-0.0433012701892218,-0.075,0.08578125)); #177331=CARTESIAN_POINT('Origin',(-0.0433012701892218,-0.075,0.20278125)); #177332=CARTESIAN_POINT('',(0.043301270189222,-0.0749999999999999,0.08578125)); #177333=CARTESIAN_POINT('',(0.043301270189222,-0.0749999999999999,0.20278125)); #177334=CARTESIAN_POINT('',(0.043301270189222,-0.0749999999999999,0.08578125)); #177335=CARTESIAN_POINT('Origin',(0.043301270189222,-0.0749999999999999, 0.20278125)); #177336=CARTESIAN_POINT('',(0.0866025403784439,4.79522521803442E-17,0.08578125)); #177337=CARTESIAN_POINT('Origin',(2.32207079461462E-17,-5.46369598732853E-18, 0.08578125)); #177338=CARTESIAN_POINT('Origin',(0.,0.,0.18778125)); #177339=CARTESIAN_POINT('',(0.215,2.63299061816681E-17,0.17278125)); #177340=CARTESIAN_POINT('Origin',(0.,0.,0.17278125)); #177341=CARTESIAN_POINT('',(0.185,-2.2655965784226E-17,0.20278125)); #177342=CARTESIAN_POINT('',(0.2,-2.44929359829471E-17,0.18778125)); #177343=CARTESIAN_POINT('Origin',(0.,0.,0.20278125)); #177344=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177345=CARTESIAN_POINT('',(0.215,2.63299061816681E-17,0.)); #177346=CARTESIAN_POINT('',(0.215,2.63299061816681E-17,0.)); #177347=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177348=CARTESIAN_POINT('Origin',(0.,0.,0.20278125)); #177349=CARTESIAN_POINT('Origin',(0.,0.,-0.028)); #177350=CARTESIAN_POINT('',(1.83084696472529E-17,-0.1495,-0.056)); #177351=CARTESIAN_POINT('Origin',(0.,0.,-0.056)); #177352=CARTESIAN_POINT('',(1.83084696472529E-17,-0.1495,0.)); #177353=CARTESIAN_POINT('',(1.83084696472529E-17,-0.1495,-0.028)); #177354=CARTESIAN_POINT('Origin',(0.,0.,1.35698628494768E-18)); #177355=CARTESIAN_POINT('Origin',(0.,0.153370744337972,-0.056)); #177356=CARTESIAN_POINT('',(-0.1545,1.89207930468266E-17,-0.056)); #177357=CARTESIAN_POINT('Origin',(0.,0.,-0.056)); #177358=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177359=CARTESIAN_POINT('',(-0.1545,1.89207930468266E-17,-1.)); #177360=CARTESIAN_POINT('Origin',(0.,0.,-1.)); #177361=CARTESIAN_POINT('',(-0.1545,1.89207930468266E-17,0.)); #177362=CARTESIAN_POINT('Origin',(0.,0.,-1.)); #177363=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177364=CARTESIAN_POINT('',(0.,0.,0.)); #177365=CARTESIAN_POINT('Origin',(0.543,-0.15,0.)); #177366=CARTESIAN_POINT('',(0.543,0.3,-0.089)); #177367=CARTESIAN_POINT('',(0.632,0.3,0.)); #177368=CARTESIAN_POINT('Origin',(0.543,0.3,0.)); #177369=CARTESIAN_POINT('',(0.632,-0.3,0.)); #177370=CARTESIAN_POINT('',(0.632,-0.15,0.)); #177371=CARTESIAN_POINT('',(0.543,-0.3,-0.089)); #177372=CARTESIAN_POINT('Origin',(0.543,-0.3,0.)); #177373=CARTESIAN_POINT('',(0.543,-0.15,-0.089)); #177374=CARTESIAN_POINT('Origin',(0.632,-0.3,0.)); #177375=CARTESIAN_POINT('',(0.632,0.3,0.15)); #177376=CARTESIAN_POINT('',(0.632,0.3,0.)); #177377=CARTESIAN_POINT('',(0.632,-0.3,0.15)); #177378=CARTESIAN_POINT('',(0.632,-0.3,0.15)); #177379=CARTESIAN_POINT('',(0.632,-0.3,0.)); #177380=CARTESIAN_POINT('Origin',(0.602,0.3,0.)); #177381=CARTESIAN_POINT('',(-0.543,0.3,-0.089)); #177382=CARTESIAN_POINT('',(-0.602,0.3,-0.089)); #177383=CARTESIAN_POINT('',(-0.632,0.3,0.)); #177384=CARTESIAN_POINT('Origin',(-0.543,0.3,0.)); #177385=CARTESIAN_POINT('',(-0.632,0.3,0.15)); #177386=CARTESIAN_POINT('',(-0.632,0.3,0.)); #177387=CARTESIAN_POINT('',(-0.543,0.3,0.15)); #177388=CARTESIAN_POINT('',(0.602,0.3,0.15)); #177389=CARTESIAN_POINT('',(-0.543,0.3,0.)); #177390=CARTESIAN_POINT('',(-0.543,0.3,0.)); #177391=CARTESIAN_POINT('',(0.543,0.3,0.)); #177392=CARTESIAN_POINT('',(-0.602,0.3,0.)); #177393=CARTESIAN_POINT('',(0.543,0.3,0.15)); #177394=CARTESIAN_POINT('',(0.543,0.3,0.)); #177395=CARTESIAN_POINT('',(0.602,0.3,0.15)); #177396=CARTESIAN_POINT('Origin',(-0.602,-0.3,0.)); #177397=CARTESIAN_POINT('',(0.543,-0.3,0.15)); #177398=CARTESIAN_POINT('',(-0.602,-0.3,0.15)); #177399=CARTESIAN_POINT('',(0.543,-0.3,0.)); #177400=CARTESIAN_POINT('',(0.543,-0.3,0.)); #177401=CARTESIAN_POINT('',(-0.543,-0.3,0.)); #177402=CARTESIAN_POINT('',(0.602,-0.3,0.)); #177403=CARTESIAN_POINT('',(-0.543,-0.3,0.15)); #177404=CARTESIAN_POINT('',(-0.543,-0.3,0.)); #177405=CARTESIAN_POINT('',(-0.632,-0.3,0.15)); #177406=CARTESIAN_POINT('',(-0.602,-0.3,0.15)); #177407=CARTESIAN_POINT('',(-0.632,-0.3,0.)); #177408=CARTESIAN_POINT('',(-0.632,-0.3,0.)); #177409=CARTESIAN_POINT('',(-0.543,-0.3,-0.089)); #177410=CARTESIAN_POINT('Origin',(-0.543,-0.3,0.)); #177411=CARTESIAN_POINT('',(0.602,-0.3,-0.089)); #177412=CARTESIAN_POINT('Origin',(0.5725,1.43975059663332E-17,0.15)); #177413=CARTESIAN_POINT('',(0.543,0.3,0.15)); #177414=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,-3.9647864451487E-18, -0.089)); #177415=CARTESIAN_POINT('',(-0.543,0.15,-0.089)); #177416=CARTESIAN_POINT('',(-0.162,-1.98392781461871E-17,-0.089)); #177417=CARTESIAN_POINT('Origin',(0.,0.,-0.089)); #177418=CARTESIAN_POINT('Origin',(0.,0.0735,-0.214)); #177419=CARTESIAN_POINT('',(-1.80023079474661E-17,-0.147,-0.214)); #177420=CARTESIAN_POINT('Origin',(0.,0.,-0.214)); #177421=CARTESIAN_POINT('',(1.40834381901946E-17,-0.115,-0.214)); #177422=CARTESIAN_POINT('Origin',(0.,0.,-0.214)); #177423=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,6.60797740858116E-18, 0.)); #177424=CARTESIAN_POINT('',(-0.543,0.15,0.)); #177425=CARTESIAN_POINT('',(0.543,-0.15,0.)); #177426=CARTESIAN_POINT('',(0.189,-2.3145824503885E-17,0.)); #177427=CARTESIAN_POINT('Origin',(0.,0.,0.)); #177428=CARTESIAN_POINT('Origin',(0.,0.,-0.107)); #177429=CARTESIAN_POINT('',(1.40834381901946E-17,-0.115,-0.074)); #177430=CARTESIAN_POINT('',(1.40834381901946E-17,-0.115,-0.107)); #177431=CARTESIAN_POINT('',(0.115,-1.40834381901946E-17,-0.074)); #177432=CARTESIAN_POINT('Origin',(0.,0.,-0.074)); #177433=CARTESIAN_POINT('Origin',(0.,0.,-0.074)); #177434=CARTESIAN_POINT('Origin',(0.,0.,-0.074)); #177435=CARTESIAN_POINT('Origin',(0.189,-2.3145824503885E-17,-0.074)); #177436=CARTESIAN_POINT('Origin',(0.,0.,-0.1515)); #177437=CARTESIAN_POINT('',(-1.80023079474661E-17,-0.147,-0.104)); #177438=CARTESIAN_POINT('',(-1.80023079474661E-17,-0.147,-0.1515)); #177439=CARTESIAN_POINT('',(-0.147,-1.80023079474661E-17,-0.104)); #177440=CARTESIAN_POINT('Origin',(0.,0.,-0.104)); #177441=CARTESIAN_POINT('Origin',(0.,0.,-0.104)); #177442=CARTESIAN_POINT('Origin',(0.,0.,-0.104)); #177443=CARTESIAN_POINT('Origin',(-0.162,-1.98392781461871E-17,-0.104)); #177444=CARTESIAN_POINT('Origin',(-0.543,0.3,0.)); #177445=CARTESIAN_POINT('',(-0.543,-0.3,0.15)); #177446=CARTESIAN_POINT('Origin',(0.543,-0.3,0.)); #177447=CARTESIAN_POINT('Origin',(-0.632,0.3,0.)); #177448=CARTESIAN_POINT('',(-0.632,0.15,0.)); #177449=CARTESIAN_POINT('',(-0.632,0.3,0.15)); #177450=CARTESIAN_POINT('Origin',(-0.5725,-1.91229359556498E-17,0.15)); #177451=CARTESIAN_POINT('Origin',(-0.543,0.15,0.)); #177452=CARTESIAN_POINT('',(-4.90454462513686E-45,-2.80259692864963E-45, -1.77635683940025E-15)); #177453=CARTESIAN_POINT('',(-7.69042474164125E-15,1.20078287999998,0.910610000000005)); #177454=CARTESIAN_POINT('',(-8.12593135248802E-15,1.26878287999998,0.910610000000005)); #177455=CARTESIAN_POINT('',(-1.44663952456983E-14,2.25878287999998,0.910610000000012)); #177456=CARTESIAN_POINT('',(-6.92444546726959E-15,1.08118287999998,0.910610000000004)); #177457=CARTESIAN_POINT('',(0.,0.,0.)); #177458=CARTESIAN_POINT('Origin',(0.0679355824999997,0.164,1.28578373)); #177459=CARTESIAN_POINT('',(0.105871165,0.164,1.30078373)); #177460=CARTESIAN_POINT('',(0.105871165,0.179,1.28578373)); #177461=CARTESIAN_POINT('Origin',(0.105871165,0.164,1.28578373)); #177462=CARTESIAN_POINT('',(-0.105871165,0.179,1.28578373)); #177463=CARTESIAN_POINT('',(0.0679355824999997,0.179,1.28578373)); #177464=CARTESIAN_POINT('',(-0.105871165,0.164,1.30078373)); #177465=CARTESIAN_POINT('Origin',(-0.105871165,0.164,1.28578373)); #177466=CARTESIAN_POINT('',(0.0679355824999997,0.164,1.30078373)); #177467=CARTESIAN_POINT('Ctrl Pts',(-0.118435582208903,0.157193620701535, 1.30078373)); #177468=CARTESIAN_POINT('Ctrl Pts',(-0.11399694495298,0.164,1.30078373)); #177469=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.164,1.30078373)); #177470=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.16538724140307, 1.30078373)); #177471=CARTESIAN_POINT('Ctrl Pts',(-0.122122724905959,0.179,1.30078373)); #177472=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.179,1.30078373)); #177473=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.16538724140307, 1.28578373)); #177474=CARTESIAN_POINT('Ctrl Pts',(-0.122122724905959,0.179,1.28578373)); #177475=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.179,1.28578373)); #177476=CARTESIAN_POINT('',(-0.130999999417806,0.16538724140307,1.28578373)); #177477=CARTESIAN_POINT('',(-0.118435582208903,0.157193620701535,1.30078373)); #177478=CARTESIAN_POINT('Origin',(-0.118435582208903,0.157193620701535, 1.28578373)); #177479=CARTESIAN_POINT('Origin',(-0.105871165,0.149,1.30078373)); #177480=CARTESIAN_POINT('Origin',(-0.105871165,0.149,1.28578373)); #177481=CARTESIAN_POINT('Origin',(-0.20471124704337,0.213456482852075,1.28578373)); #177482=CARTESIAN_POINT('',(-0.199650230933958,0.125602136824458,1.28578373)); #177483=CARTESIAN_POINT('',(-0.19878755773349,0.110626964206115,1.30078373)); #177484=CARTESIAN_POINT('Origin',(-0.19878755773349,0.110626964206115,1.28578373)); #177485=CARTESIAN_POINT('Origin',(-0.20471124704337,0.213456482852075,1.30078373)); #177486=CARTESIAN_POINT('Origin',(-0.20471124704337,0.213456482852075,1.28578373)); #177487=CARTESIAN_POINT('Ctrl Pts',(-0.2035,0.10563524,1.30078373)); #177488=CARTESIAN_POINT('Ctrl Pts',(-0.2035,0.110355495038067,1.30078373)); #177489=CARTESIAN_POINT('Ctrl Pts',(-0.19878755773349,0.110626964206115, 1.30078373)); #177490=CARTESIAN_POINT('Ctrl Pts',(-0.2185,0.10563524,1.30078373)); #177491=CARTESIAN_POINT('Ctrl Pts',(-0.2185,0.124516260152268,1.30078373)); #177492=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.125602136824458, 1.30078373)); #177493=CARTESIAN_POINT('Ctrl Pts',(-0.2185,0.10563524,1.28578373)); #177494=CARTESIAN_POINT('Ctrl Pts',(-0.2185,0.124516260152268,1.28578373)); #177495=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.125602136824458, 1.28578373)); #177496=CARTESIAN_POINT('',(-0.2185,0.10563524,1.28578373)); #177497=CARTESIAN_POINT('',(-0.2035,0.10563524,1.30078373)); #177498=CARTESIAN_POINT('Origin',(-0.2035,0.10563524,1.28578373)); #177499=CARTESIAN_POINT('Origin',(-0.1985,0.10563524,1.30078373)); #177500=CARTESIAN_POINT('Origin',(-0.1985,0.10563524,1.28578373)); #177501=CARTESIAN_POINT('Origin',(-0.2035,0.103988506405151,1.28578373)); #177502=CARTESIAN_POINT('',(-0.218500000000001,0.0200000000000002,1.28578373)); #177503=CARTESIAN_POINT('',(-0.2035,0.0200000000000001,1.30078373)); #177504=CARTESIAN_POINT('Origin',(-0.2035,0.0200000000000001,1.28578373)); #177505=CARTESIAN_POINT('',(-0.2035,0.103988506405151,1.30078373)); #177506=CARTESIAN_POINT('',(-0.2185,0.103988506405151,1.28578373)); #177507=CARTESIAN_POINT('Ctrl Pts',(-0.198500000000001,0.0150000000000002, 1.30078373)); #177508=CARTESIAN_POINT('Ctrl Pts',(-0.2035,0.0150000000000001,1.30078373)); #177509=CARTESIAN_POINT('Ctrl Pts',(-0.2035,0.0200000000000001,1.30078373)); #177510=CARTESIAN_POINT('Ctrl Pts',(-0.198500000000001,9.83465277719135E-17, 1.30078373)); #177511=CARTESIAN_POINT('Ctrl Pts',(-0.218500000000001,7.10280478352708E-17, 1.30078373)); #177512=CARTESIAN_POINT('Ctrl Pts',(-0.218500000000001,0.0200000000000001, 1.30078373)); #177513=CARTESIAN_POINT('Ctrl Pts',(-0.198500000000001,9.83465277719135E-17, 1.28578373)); #177514=CARTESIAN_POINT('Ctrl Pts',(-0.218500000000001,7.10280478352708E-17, 1.28578373)); #177515=CARTESIAN_POINT('Ctrl Pts',(-0.218500000000001,0.0200000000000001, 1.28578373)); #177516=CARTESIAN_POINT('',(-0.198500000000001,1.25665007708556E-16,1.28578373)); #177517=CARTESIAN_POINT('',(-0.198500000000001,0.0150000000000001,1.30078373)); #177518=CARTESIAN_POINT('Origin',(-0.198500000000001,0.0150000000000001, 1.28578373)); #177519=CARTESIAN_POINT('Origin',(-0.198500000000001,0.0200000000000001, 1.30078373)); #177520=CARTESIAN_POINT('Origin',(-0.198500000000001,0.0200000000000001, 1.28578373)); #177521=CARTESIAN_POINT('Origin',(-0.109250000000001,0.0150000000000001, 1.28578373)); #177522=CARTESIAN_POINT('',(0.198499999999999,5.46369598732853E-18,1.28578373)); #177523=CARTESIAN_POINT('',(0.198499999999999,0.015,1.30078373)); #177524=CARTESIAN_POINT('Origin',(0.198499999999999,0.015,1.28578373)); #177525=CARTESIAN_POINT('',(-0.109250000000001,0.0150000000000001,1.30078373)); #177526=CARTESIAN_POINT('',(-0.109250000000001,9.83465277719135E-17,1.28578373)); #177527=CARTESIAN_POINT('Ctrl Pts',(0.203499999999999,0.02,1.30078373)); #177528=CARTESIAN_POINT('Ctrl Pts',(0.203499999999999,0.015,1.30078373)); #177529=CARTESIAN_POINT('Ctrl Pts',(0.198499999999999,0.015,1.30078373)); #177530=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.02,1.30078373)); #177531=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,-2.73184799366426E-18, 1.30078373)); #177532=CARTESIAN_POINT('Ctrl Pts',(0.198499999999999,2.73184799366426E-18, 1.30078373)); #177533=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.02,1.28578373)); #177534=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,-2.73184799366426E-18, 1.28578373)); #177535=CARTESIAN_POINT('Ctrl Pts',(0.198499999999999,2.73184799366426E-18, 1.28578373)); #177536=CARTESIAN_POINT('',(0.218499999999999,0.02,1.28578373)); #177537=CARTESIAN_POINT('',(0.203499999999999,0.02,1.30078373)); #177538=CARTESIAN_POINT('Origin',(0.203499999999999,0.02,1.28578373)); #177539=CARTESIAN_POINT('Origin',(0.198499999999999,0.02,1.30078373)); #177540=CARTESIAN_POINT('Origin',(0.198499999999999,0.02,1.28578373)); #177541=CARTESIAN_POINT('Origin',(0.203499999999999,0.0411708864051506, 1.28578373)); #177542=CARTESIAN_POINT('',(0.218499999999999,0.10563524,1.28578373)); #177543=CARTESIAN_POINT('',(0.203499999999999,0.10563524,1.30078373)); #177544=CARTESIAN_POINT('Origin',(0.203499999999999,0.10563524,1.28578373)); #177545=CARTESIAN_POINT('',(0.203499999999999,0.0411708864051506,1.30078373)); #177546=CARTESIAN_POINT('',(0.218499999999999,0.0411708864051506,1.28578373)); #177547=CARTESIAN_POINT('Ctrl Pts',(0.198787557733488,0.110626964206115, 1.30078373)); #177548=CARTESIAN_POINT('Ctrl Pts',(0.203499999999999,0.110355495038067, 1.30078373)); #177549=CARTESIAN_POINT('Ctrl Pts',(0.203499999999999,0.10563524,1.30078373)); #177550=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.125602136824458, 1.30078373)); #177551=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.124516260152268, 1.30078373)); #177552=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.10563524,1.30078373)); #177553=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.125602136824458, 1.28578373)); #177554=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.124516260152268, 1.28578373)); #177555=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.10563524,1.28578373)); #177556=CARTESIAN_POINT('',(0.199650230933957,0.125602136824458,1.28578373)); #177557=CARTESIAN_POINT('',(0.198787557733488,0.110626964206115,1.30078373)); #177558=CARTESIAN_POINT('Origin',(0.198787557733488,0.110626964206115,1.28578373)); #177559=CARTESIAN_POINT('Origin',(0.198499999999999,0.10563524,1.30078373)); #177560=CARTESIAN_POINT('Origin',(0.198499999999999,0.10563524,1.28578373)); #177561=CARTESIAN_POINT('Origin',(0.20471124704337,0.213456482852075,1.28578373)); #177562=CARTESIAN_POINT('',(0.130999999417806,0.16538724140307,1.28578373)); #177563=CARTESIAN_POINT('',(0.118435582208903,0.157193620701535,1.30078373)); #177564=CARTESIAN_POINT('Origin',(0.118435582208903,0.157193620701535,1.28578373)); #177565=CARTESIAN_POINT('Origin',(0.20471124704337,0.213456482852075,1.30078373)); #177566=CARTESIAN_POINT('Origin',(0.20471124704337,0.213456482852075,1.28578373)); #177567=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.164,1.30078373)); #177568=CARTESIAN_POINT('Ctrl Pts',(0.11399694495298,0.164,1.30078373)); #177569=CARTESIAN_POINT('Ctrl Pts',(0.118435582208903,0.157193620701535, 1.30078373)); #177570=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.179,1.30078373)); #177571=CARTESIAN_POINT('Ctrl Pts',(0.122122724905959,0.179,1.30078373)); #177572=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.16538724140307, 1.30078373)); #177573=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.179,1.28578373)); #177574=CARTESIAN_POINT('Ctrl Pts',(0.122122724905959,0.179,1.28578373)); #177575=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.16538724140307, 1.28578373)); #177576=CARTESIAN_POINT('Origin',(0.105871165,0.149,1.28578373)); #177577=CARTESIAN_POINT('Origin',(0.105871165,0.149,1.30078373)); #177578=CARTESIAN_POINT('Origin',(-0.198500000000001,0.0200000000000001, 0.)); #177579=CARTESIAN_POINT('',(-0.218500000000001,0.0199999999999995,0.0100000000000001)); #177580=CARTESIAN_POINT('',(-0.218500000000001,0.0200000000000002,0.)); #177581=CARTESIAN_POINT('',(-0.198500000000001,-4.35233533530751E-16,0.0100000000000001)); #177582=CARTESIAN_POINT('Origin',(-0.198500000000001,0.0199999999999995, 0.0100000000000001)); #177583=CARTESIAN_POINT('',(-0.198500000000001,1.25665007708556E-16,0.)); #177584=CARTESIAN_POINT('Origin',(-0.2185,0.12563524,0.)); #177585=CARTESIAN_POINT('',(-0.2185,0.10563524,-9.28289031612759E-18)); #177586=CARTESIAN_POINT('',(-0.2185,0.10563524,0.)); #177587=CARTESIAN_POINT('',(-0.218499999999999,0.44136476,-2.08100841145394E-17)); #177588=CARTESIAN_POINT('Origin',(-0.2185,0.2735,0.)); #177589=CARTESIAN_POINT('',(-0.218499999999999,0.44136476,1.60275028)); #177590=CARTESIAN_POINT('',(-0.218499999999999,0.44136476,-3.17315735106305E-17)); #177591=CARTESIAN_POINT('',(-0.218499999999999,0.527,1.60275028)); #177592=CARTESIAN_POINT('',(-0.2185,0.29514673359485,1.60275028)); #177593=CARTESIAN_POINT('',(-0.218499999999999,0.527,0.01)); #177594=CARTESIAN_POINT('',(-0.218499999999999,0.527,-4.25070295035227E-17)); #177595=CARTESIAN_POINT('Origin',(-0.2185,0.2735,0.0100000000000001)); #177596=CARTESIAN_POINT('Origin',(-0.1985,0.10563524,0.)); #177597=CARTESIAN_POINT('',(-0.199650230933958,0.125602136824458,4.4724135449946E-17)); #177598=CARTESIAN_POINT('',(-0.199650230933958,0.125602136824458,0.)); #177599=CARTESIAN_POINT('Origin',(-0.198500000000001,0.10563524,-9.28289031612759E-18)); #177600=CARTESIAN_POINT('Origin',(-0.20471124704337,0.213456482852075,0.)); #177601=CARTESIAN_POINT('',(-0.130999999417806,0.16538724140307,3.09691858569559E-17)); #177602=CARTESIAN_POINT('',(-0.130999999417806,0.16538724140307,0.)); #177603=CARTESIAN_POINT('Origin',(-0.20471124704337,0.213456482852075,3.07663823172375E-17)); #177604=CARTESIAN_POINT('Origin',(-0.105871165,0.149,0.)); #177605=CARTESIAN_POINT('',(-0.105871165,0.179,0.)); #177606=CARTESIAN_POINT('',(-0.105871165,0.179,0.)); #177607=CARTESIAN_POINT('Origin',(-0.105871165,0.149,-7.62342632469227E-18)); #177608=CARTESIAN_POINT('Origin',(0.135871165,0.179,0.)); #177609=CARTESIAN_POINT('',(0.105871165,0.179,0.508427155439286)); #177610=CARTESIAN_POINT('',(0.105871165,0.179,0.)); #177611=CARTESIAN_POINT('',(-0.000750000000000659,0.179,0.508427155439286)); #177612=CARTESIAN_POINT('',(0.0675605824999996,0.179,0.508427155439286)); #177613=CARTESIAN_POINT('',(-0.000750000000000659,0.179,0.350927155439286)); #177614=CARTESIAN_POINT('Origin',(-0.000750000000000659,0.179,0.429677155439286)); #177615=CARTESIAN_POINT('',(0.105871165,0.179,0.350927155439286)); #177616=CARTESIAN_POINT('',(0.1771855825,0.179,0.350927155439286)); #177617=CARTESIAN_POINT('',(0.105871165,0.179,0.)); #177618=CARTESIAN_POINT('',(0.105871165,0.179,0.)); #177619=CARTESIAN_POINT('',(0.130999999417806,0.179000000310092,-0.0525177940192933)); #177620=CARTESIAN_POINT('Ctrl Pts',(0.130999999739081,0.179,-0.0525177939175184)); #177621=CARTESIAN_POINT('Ctrl Pts',(0.125151519605729,0.179,-0.0340557403365327)); #177622=CARTESIAN_POINT('Ctrl Pts',(0.114877411511168,0.179,-0.0159845018719002)); #177623=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.179,1.41232496824732E-17)); #177624=CARTESIAN_POINT('',(0.143734415784951,0.179,-0.0794999999403314)); #177625=CARTESIAN_POINT('Ctrl Pts',(0.14373441581254,0.179,-0.0794999999218501)); #177626=CARTESIAN_POINT('Ctrl Pts',(0.139157387214587,0.179,-0.0726672443092811)); #177627=CARTESIAN_POINT('Ctrl Pts',(0.134609099787851,0.179,-0.0639107358668581)); #177628=CARTESIAN_POINT('Ctrl Pts',(0.130999999739081,0.179,-0.0525177939175184)); #177629=CARTESIAN_POINT('',(-0.143734415864887,0.179,-0.0794999999999973)); #177630=CARTESIAN_POINT('',(0.0679355825,0.179,-0.0794999999999992)); #177631=CARTESIAN_POINT('',(-0.130999999417806,0.179000000310092,-0.0525177940192937)); #177632=CARTESIAN_POINT('Ctrl Pts',(-0.130999999739082,0.179011223835006, -0.0525417108824316)); #177633=CARTESIAN_POINT('Ctrl Pts',(-0.132623276994641,0.179008787999865, -0.0576691029902007)); #177634=CARTESIAN_POINT('Ctrl Pts',(-0.134576488987422,0.179008683462104, -0.0626635363721835)); #177635=CARTESIAN_POINT('Ctrl Pts',(-0.136910457281123,0.179012417926611, -0.0674850529403969)); #177636=CARTESIAN_POINT('Ctrl Pts',(-0.137859605586635,0.179013936610762, -0.0694458054892093)); #177637=CARTESIAN_POINT('Ctrl Pts',(-0.138871502376203,0.179016146453754, -0.0713773684519968)); #177638=CARTESIAN_POINT('Ctrl Pts',(-0.139948449760182,0.179019256247796, -0.0732749408524835)); #177639=CARTESIAN_POINT('Ctrl Pts',(-0.141149142544627,0.179022723369146, -0.0753905516270892)); #177640=CARTESIAN_POINT('Ctrl Pts',(-0.142427533851425,0.179027125532466, -0.0774581019016514)); #177641=CARTESIAN_POINT('Ctrl Pts',(-0.143785192157095,0.17903225951303, -0.0794710835311172)); #177642=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.179,1.41232496824732E-17)); #177643=CARTESIAN_POINT('Ctrl Pts',(-0.114877411511168,0.179,-0.0159845018719003)); #177644=CARTESIAN_POINT('Ctrl Pts',(-0.125151519605729,0.179,-0.0340557403365329)); #177645=CARTESIAN_POINT('Ctrl Pts',(-0.130999999739081,0.179,-0.0525177939175187)); #177646=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,0.082341772810301, 1.30078373)); #177647=CARTESIAN_POINT('Origin',(-0.2035,0.29514673359485,1.60275028)); #177648=CARTESIAN_POINT('',(-0.203499999999999,0.527,1.61775028)); #177649=CARTESIAN_POINT('Origin',(-0.203499999999999,0.527,1.60275028)); #177650=CARTESIAN_POINT('',(-0.203499999999999,0.44136476,1.61775028)); #177651=CARTESIAN_POINT('Origin',(-0.203499999999999,0.44136476,1.60275028)); #177652=CARTESIAN_POINT('',(-0.2035,0.29514673359485,1.61775028)); #177653=CARTESIAN_POINT('Ctrl Pts',(-0.198787557733489,0.436373035793885, 1.61775028)); #177654=CARTESIAN_POINT('Ctrl Pts',(-0.203499999999999,0.436644504961933, 1.61775028)); #177655=CARTESIAN_POINT('Ctrl Pts',(-0.203499999999999,0.44136476,1.61775028)); #177656=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933957,0.421397863175542, 1.61775028)); #177657=CARTESIAN_POINT('Ctrl Pts',(-0.2185,0.422483739847732,1.61775028)); #177658=CARTESIAN_POINT('Ctrl Pts',(-0.218499999999999,0.44136476,1.61775028)); #177659=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933957,0.421397863175542, 1.60275028)); #177660=CARTESIAN_POINT('Ctrl Pts',(-0.2185,0.422483739847732,1.60275028)); #177661=CARTESIAN_POINT('Ctrl Pts',(-0.218499999999999,0.44136476,1.60275028)); #177662=CARTESIAN_POINT('',(-0.199650230933957,0.421397863175542,1.60275028)); #177663=CARTESIAN_POINT('',(-0.198787557733489,0.436373035793885,1.61775028)); #177664=CARTESIAN_POINT('Origin',(-0.198787557733489,0.436373035793885, 1.60275028)); #177665=CARTESIAN_POINT('Origin',(-0.198499999999999,0.44136476,1.61775028)); #177666=CARTESIAN_POINT('Origin',(-0.198499999999999,0.44136476,1.60275028)); #177667=CARTESIAN_POINT('Origin',(-0.20471124704337,0.333543517147925,1.60275028)); #177668=CARTESIAN_POINT('',(-0.130999999417806,0.38161275859693,1.60275028)); #177669=CARTESIAN_POINT('',(-0.118435582208903,0.389806379298465,1.61775028)); #177670=CARTESIAN_POINT('Origin',(-0.118435582208903,0.389806379298465, 1.60275028)); #177671=CARTESIAN_POINT('Origin',(-0.20471124704337,0.333543517147925,1.61775028)); #177672=CARTESIAN_POINT('Origin',(-0.20471124704337,0.333543517147925,1.60275028)); #177673=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.383,1.61775028)); #177674=CARTESIAN_POINT('Ctrl Pts',(-0.11399694495298,0.383,1.61775028)); #177675=CARTESIAN_POINT('Ctrl Pts',(-0.118435582208903,0.389806379298465, 1.61775028)); #177676=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.368,1.61775028)); #177677=CARTESIAN_POINT('Ctrl Pts',(-0.122122724905959,0.368,1.61775028)); #177678=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.38161275859693, 1.61775028)); #177679=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.368,1.60275028)); #177680=CARTESIAN_POINT('Ctrl Pts',(-0.122122724905959,0.368,1.60275028)); #177681=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.38161275859693, 1.60275028)); #177682=CARTESIAN_POINT('',(-0.105871165,0.368,1.60275028)); #177683=CARTESIAN_POINT('',(-0.105871165,0.383,1.61775028)); #177684=CARTESIAN_POINT('Origin',(-0.105871165,0.383,1.60275028)); #177685=CARTESIAN_POINT('Origin',(-0.105871165,0.398,1.61775028)); #177686=CARTESIAN_POINT('Origin',(-0.105871165,0.398,1.60275028)); #177687=CARTESIAN_POINT('Origin',(-0.0679355825000003,0.383,1.60275028)); #177688=CARTESIAN_POINT('',(0.105871165,0.368,1.60275028)); #177689=CARTESIAN_POINT('',(0.105871165,0.383,1.61775028)); #177690=CARTESIAN_POINT('Origin',(0.105871165,0.383,1.60275028)); #177691=CARTESIAN_POINT('',(-0.0679355825000003,0.383,1.61775028)); #177692=CARTESIAN_POINT('',(-0.0679355825000003,0.368,1.60275028)); #177693=CARTESIAN_POINT('Ctrl Pts',(0.118435582208903,0.389806379298465, 1.61775028)); #177694=CARTESIAN_POINT('Ctrl Pts',(0.11399694495298,0.383,1.61775028)); #177695=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.383,1.61775028)); #177696=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.38161275859693, 1.61775028)); #177697=CARTESIAN_POINT('Ctrl Pts',(0.122122724905959,0.368,1.61775028)); #177698=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.368,1.61775028)); #177699=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.38161275859693, 1.60275028)); #177700=CARTESIAN_POINT('Ctrl Pts',(0.122122724905959,0.368,1.60275028)); #177701=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.368,1.60275028)); #177702=CARTESIAN_POINT('',(0.130999999417806,0.38161275859693,1.60275028)); #177703=CARTESIAN_POINT('',(0.118435582208903,0.389806379298465,1.61775028)); #177704=CARTESIAN_POINT('Origin',(0.118435582208903,0.389806379298465,1.60275028)); #177705=CARTESIAN_POINT('Origin',(0.105871165,0.398,1.61775028)); #177706=CARTESIAN_POINT('Origin',(0.105871165,0.398,1.60275028)); #177707=CARTESIAN_POINT('Origin',(0.20471124704337,0.333543517147925,1.60275028)); #177708=CARTESIAN_POINT('',(0.199650230933956,0.421397863175542,1.60275028)); #177709=CARTESIAN_POINT('',(0.198787557733488,0.436373035793886,1.61775028)); #177710=CARTESIAN_POINT('Origin',(0.198787557733488,0.436373035793886,1.60275028)); #177711=CARTESIAN_POINT('Origin',(0.20471124704337,0.333543517147925,1.61775028)); #177712=CARTESIAN_POINT('Origin',(0.20471124704337,0.333543517147925,1.60275028)); #177713=CARTESIAN_POINT('Ctrl Pts',(0.203499999999999,0.44136476,1.61775028)); #177714=CARTESIAN_POINT('Ctrl Pts',(0.203499999999999,0.436644504961933, 1.61775028)); #177715=CARTESIAN_POINT('Ctrl Pts',(0.198787557733488,0.436373035793886, 1.61775028)); #177716=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.44136476,1.61775028)); #177717=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.422483739847732, 1.61775028)); #177718=CARTESIAN_POINT('Ctrl Pts',(0.199650230933956,0.421397863175542, 1.61775028)); #177719=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.44136476,1.60275028)); #177720=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.422483739847732, 1.60275028)); #177721=CARTESIAN_POINT('Ctrl Pts',(0.199650230933956,0.421397863175542, 1.60275028)); #177722=CARTESIAN_POINT('',(0.218499999999999,0.44136476,1.60275028)); #177723=CARTESIAN_POINT('',(0.203499999999999,0.44136476,1.61775028)); #177724=CARTESIAN_POINT('Origin',(0.203499999999999,0.44136476,1.60275028)); #177725=CARTESIAN_POINT('Origin',(0.198499999999999,0.44136476,1.61775028)); #177726=CARTESIAN_POINT('Origin',(0.198499999999999,0.44136476,1.60275028)); #177727=CARTESIAN_POINT('Origin',(0.203499999999999,0.23232911359485,1.60275028)); #177728=CARTESIAN_POINT('',(0.218499999999999,0.527,1.60275028)); #177729=CARTESIAN_POINT('',(0.203499999999999,0.527,1.61775028)); #177730=CARTESIAN_POINT('Origin',(0.203499999999999,0.527,1.60275028)); #177731=CARTESIAN_POINT('',(0.203499999999999,0.23232911359485,1.61775028)); #177732=CARTESIAN_POINT('',(0.218499999999999,0.23232911359485,1.60275028)); #177733=CARTESIAN_POINT('Ctrl Pts',(0.198499999999999,0.532,1.61775028)); #177734=CARTESIAN_POINT('Ctrl Pts',(0.203499999999999,0.532,1.61775028)); #177735=CARTESIAN_POINT('Ctrl Pts',(0.203499999999999,0.527,1.61775028)); #177736=CARTESIAN_POINT('Ctrl Pts',(0.198499999999999,0.547,1.61775028)); #177737=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.547,1.61775028)); #177738=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.527,1.61775028)); #177739=CARTESIAN_POINT('Ctrl Pts',(0.198499999999999,0.547,1.60275028)); #177740=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.547,1.60275028)); #177741=CARTESIAN_POINT('Ctrl Pts',(0.218499999999999,0.527,1.60275028)); #177742=CARTESIAN_POINT('',(0.198499999999999,0.547,1.60275028)); #177743=CARTESIAN_POINT('',(0.198499999999999,0.532,1.61775028)); #177744=CARTESIAN_POINT('Origin',(0.198499999999999,0.532,1.60275028)); #177745=CARTESIAN_POINT('Origin',(0.198499999999999,0.527,1.61775028)); #177746=CARTESIAN_POINT('Origin',(0.198499999999999,0.527,1.60275028)); #177747=CARTESIAN_POINT('Origin',(0.109249999999999,0.532,1.60275028)); #177748=CARTESIAN_POINT('',(-0.198499999999999,0.547,1.60275028)); #177749=CARTESIAN_POINT('',(-0.198499999999999,0.532,1.61775028)); #177750=CARTESIAN_POINT('Origin',(-0.198499999999999,0.532,1.60275028)); #177751=CARTESIAN_POINT('',(0.109249999999999,0.532,1.61775028)); #177752=CARTESIAN_POINT('',(0.109249999999999,0.547,1.60275028)); #177753=CARTESIAN_POINT('Ctrl Pts',(-0.203499999999999,0.527,1.61775028)); #177754=CARTESIAN_POINT('Ctrl Pts',(-0.203499999999999,0.532,1.61775028)); #177755=CARTESIAN_POINT('Ctrl Pts',(-0.198499999999999,0.532,1.61775028)); #177756=CARTESIAN_POINT('Ctrl Pts',(-0.218499999999999,0.527,1.61775028)); #177757=CARTESIAN_POINT('Ctrl Pts',(-0.218499999999999,0.547,1.61775028)); #177758=CARTESIAN_POINT('Ctrl Pts',(-0.198499999999999,0.547,1.61775028)); #177759=CARTESIAN_POINT('Ctrl Pts',(-0.218499999999999,0.527,1.60275028)); #177760=CARTESIAN_POINT('Ctrl Pts',(-0.218499999999999,0.547,1.60275028)); #177761=CARTESIAN_POINT('Ctrl Pts',(-0.198499999999999,0.547,1.60275028)); #177762=CARTESIAN_POINT('Origin',(-0.198499999999999,0.527,1.60275028)); #177763=CARTESIAN_POINT('Origin',(-0.198499999999999,0.527,1.61775028)); #177764=CARTESIAN_POINT('Origin',(0.105871165,0.398,-2.62102402704753E-17)); #177765=CARTESIAN_POINT('',(0.105871165,0.368,-1.15729122519425E-17)); #177766=CARTESIAN_POINT('',(0.105871165,0.368,-2.215742985477E-17)); #177767=CARTESIAN_POINT('',(0.130999999417806,0.38161275859693,-2.20188809355132E-17)); #177768=CARTESIAN_POINT('Origin',(0.105871165,0.398,-1.56257226676478E-17)); #177769=CARTESIAN_POINT('',(0.130999999417806,0.38161275859693,-3.21457192099711E-17)); #177770=CARTESIAN_POINT('Origin',(-0.135871165,0.368,-1.15729122519425E-17)); #177771=CARTESIAN_POINT('',(-0.105871165,0.368,-1.15729122519425E-17)); #177772=CARTESIAN_POINT('',(-0.105871165,0.368,-1.85528089354431E-17)); #177773=CARTESIAN_POINT('',(-0.130999999417806,0.367999999919185,-0.0525177931941737)); #177774=CARTESIAN_POINT('Ctrl Pts',(-0.130999999501535,0.368,-0.0525177931676496)); #177775=CARTESIAN_POINT('Ctrl Pts',(-0.125151519328978,0.368,-0.0340557398464092)); #177776=CARTESIAN_POINT('Ctrl Pts',(-0.114877411389278,0.368,-0.0159845016555656)); #177777=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.368,6.55643518479438E-17)); #177778=CARTESIAN_POINT('',(-0.143734415773513,0.368,-0.0794999999317928)); #177779=CARTESIAN_POINT('Ctrl Pts',(-0.14373441580505,0.368,-0.0794999999106676)); #177780=CARTESIAN_POINT('Ctrl Pts',(-0.139161705121506,0.368,-0.0726736902364028)); #177781=CARTESIAN_POINT('Ctrl Pts',(-0.134610884399606,0.368,-0.0639163697328514)); #177782=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.368,-0.0525177929033394)); #177783=CARTESIAN_POINT('',(0.143734415864885,0.368,-0.0794999999999946)); #177784=CARTESIAN_POINT('',(-0.0679355825,0.368,-0.0794999999999966)); #177785=CARTESIAN_POINT('',(0.130999999417806,0.367999999919185,-0.0525177931941741)); #177786=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.36805270815207, -0.0525986879688041)); #177787=CARTESIAN_POINT('Ctrl Pts',(0.132595133525832,0.368048171702306, -0.057614395936736)); #177788=CARTESIAN_POINT('Ctrl Pts',(0.134508804568447,0.368033737604669, -0.0625014958705391)); #177789=CARTESIAN_POINT('Ctrl Pts',(0.136789405253978,0.368012485824934, -0.0672260648195626)); #177790=CARTESIAN_POINT('Ctrl Pts',(0.137773415624952,0.368003316323323, -0.0692645737412319)); #177791=CARTESIAN_POINT('Ctrl Pts',(0.138825514841311,0.367992795006255, -0.0712721601016474)); #177792=CARTESIAN_POINT('Ctrl Pts',(0.139948330341702,0.367980973988281, -0.0732434906205493)); #177793=CARTESIAN_POINT('Ctrl Pts',(0.141149057728541,0.367968332712829, -0.0753516112705874)); #177794=CARTESIAN_POINT('Ctrl Pts',(0.1424274887746,0.367954405721856,-0.0774124839412017)); #177795=CARTESIAN_POINT('Ctrl Pts',(0.143785192157093,0.367939673235261, -0.0794196185903324)); #177796=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.368,6.55643518479437E-17)); #177797=CARTESIAN_POINT('Ctrl Pts',(0.114877411389278,0.368,-0.0159845016555657)); #177798=CARTESIAN_POINT('Ctrl Pts',(0.125151519328978,0.368,-0.0340557398464095)); #177799=CARTESIAN_POINT('Ctrl Pts',(0.130999999501535,0.368,-0.05251779316765)); #177800=CARTESIAN_POINT('Origin',(-0.105871165,0.398,-2.26056193511484E-17)); #177801=CARTESIAN_POINT('',(-0.130999999417806,0.38161275859693,-3.40218083205185E-17)); #177802=CARTESIAN_POINT('',(-0.130999999417806,0.38161275859693,-2.03918065963804E-17)); #177803=CARTESIAN_POINT('Origin',(-0.105871165,0.398,-1.56257226676478E-17)); #177804=CARTESIAN_POINT('Origin',(-0.20471124704337,0.333543517147924,-1.38979558490609E-17)); #177805=CARTESIAN_POINT('',(-0.199650230933957,0.421397863175542,-4.6424329983481E-17)); #177806=CARTESIAN_POINT('',(-0.199650230933957,0.421397863175541,-2.57665228039178E-17)); #177807=CARTESIAN_POINT('Origin',(-0.20471124704337,0.333543517147925,-2.50841447963585E-17)); #177808=CARTESIAN_POINT('Origin',(-0.198499999999999,0.44136476,-3.17315735106305E-17)); #177809=CARTESIAN_POINT('Origin',(-0.198499999999999,0.44136476,-2.08100841145394E-17)); #177810=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,0.464658227189699, 1.61775028)); #177811=CARTESIAN_POINT('Origin',(-0.0679355825,0.353,-0.0794999999999966)); #177812=CARTESIAN_POINT('',(-0.143734415864887,0.352999999999998,-0.0944999999999983)); #177813=CARTESIAN_POINT('Ctrl Pts',(-0.143785192096984,0.367939673235913, -0.0794196185014712)); #177814=CARTESIAN_POINT('Ctrl Pts',(-0.144408029123646,0.367932914815242, -0.0803403776211575)); #177815=CARTESIAN_POINT('Ctrl Pts',(-0.14500012263034,0.367840253707906, -0.0812807509234483)); #177816=CARTESIAN_POINT('Ctrl Pts',(-0.145539327063515,0.367650557366219, -0.0822282885515708)); #177817=CARTESIAN_POINT('Ctrl Pts',(-0.146408722682184,0.367344697180002, -0.0837560672783973)); #177818=CARTESIAN_POINT('Ctrl Pts',(-0.147145367882776,0.36678278654232, -0.0853126466515911)); #177819=CARTESIAN_POINT('Ctrl Pts',(-0.147619988856065,0.365922577032772, -0.0868023074339756)); #177820=CARTESIAN_POINT('Ctrl Pts',(-0.147769381152669,0.365651816401817, -0.0872711949420433)); #177821=CARTESIAN_POINT('Ctrl Pts',(-0.147891811311689,0.365353084690404, -0.0877305981988522)); #177822=CARTESIAN_POINT('Ctrl Pts',(-0.147983951237346,0.365025924102482, -0.0881775178315217)); #177823=CARTESIAN_POINT('Ctrl Pts',(-0.148061080569665,0.364752061523066, -0.0885516294082602)); #177824=CARTESIAN_POINT('Ctrl Pts',(-0.148116562432386,0.364459772176688, -0.0889149513628655)); #177825=CARTESIAN_POINT('Ctrl Pts',(-0.148149232078562,0.364149296561977, -0.0892660516858612)); #177826=CARTESIAN_POINT('Ctrl Pts',(-0.148171509300833,0.363937585230879, -0.0895054647450786)); #177827=CARTESIAN_POINT('Ctrl Pts',(-0.148183101975236,0.363718165173508, -0.0897383467693957)); #177828=CARTESIAN_POINT('Ctrl Pts',(-0.148183892201519,0.363491253984223, -0.0899643644325612)); #177829=CARTESIAN_POINT('Ctrl Pts',(-0.148184662116258,0.363270175195687, -0.0901845726616821)); #177830=CARTESIAN_POINT('Ctrl Pts',(-0.148175175587481,0.363042633865704, -0.0903976188637592)); #177831=CARTESIAN_POINT('Ctrl Pts',(-0.148155524325091,0.362808934125222, -0.0906033000381457)); #177832=CARTESIAN_POINT('Ctrl Pts',(-0.14812754401871,0.362476182457147, -0.0908961576755882)); #177833=CARTESIAN_POINT('Ctrl Pts',(-0.148079086979539,0.36213252967529, -0.0911726943294664)); #177834=CARTESIAN_POINT('Ctrl Pts',(-0.148011069797044,0.361779158275042, -0.0914326958821418)); #177835=CARTESIAN_POINT('Ctrl Pts',(-0.14785390474792,0.360962634693182, -0.0920334728520104)); #177836=CARTESIAN_POINT('Ctrl Pts',(-0.147594744004949,0.360107073972406, -0.0925366433352867)); #177837=CARTESIAN_POINT('Ctrl Pts',(-0.14725538326616,0.359230853060206, -0.0929467876785563)); #177838=CARTESIAN_POINT('Ctrl Pts',(-0.146697394705156,0.357790140596929, -0.0936211610687181)); #177839=CARTESIAN_POINT('Ctrl Pts',(-0.14593234769979,0.356315795536349, -0.0940338813335706)); #177840=CARTESIAN_POINT('Ctrl Pts',(-0.145067649437913,0.354879121884969, -0.0942290300982452)); #177841=CARTESIAN_POINT('Ctrl Pts',(-0.144661633436628,0.354204536868665, -0.0943206615083939)); #177842=CARTESIAN_POINT('Ctrl Pts',(-0.144231700294557,0.353535140919676, -0.0943648624947087)); #177843=CARTESIAN_POINT('Ctrl Pts',(-0.143785192157093,0.352874782983397, -0.0943653667372072)); #177844=CARTESIAN_POINT('',(0.143734415793123,0.352999999946433,-0.0944999999999983)); #177845=CARTESIAN_POINT('',(-0.0679355825,0.353,-0.0944999999999966)); #177846=CARTESIAN_POINT('Ctrl Pts',(0.143785192109883,0.352874782913577, -0.0943653667372598)); #177847=CARTESIAN_POINT('Ctrl Pts',(0.144406582171904,0.35379378040338, -0.0943646650045602)); #177848=CARTESIAN_POINT('Ctrl Pts',(0.144997360029078,0.354732332799856, -0.0942793321808377)); #177849=CARTESIAN_POINT('Ctrl Pts',(0.145535549870344,0.355678053571922, -0.0940984662841572)); #177850=CARTESIAN_POINT('Ctrl Pts',(0.146405700336818,0.357207103932721, -0.093806040578025)); #177851=CARTESIAN_POINT('Ctrl Pts',(0.147143171351623,0.358763662610863, -0.0932616248331818)); #177852=CARTESIAN_POINT('Ctrl Pts',(0.147618538000333,0.360257993709548, -0.0924171348117181)); #177853=CARTESIAN_POINT('Ctrl Pts',(0.147768036199167,0.360727946341524, -0.0921515508935264)); #177854=CARTESIAN_POINT('Ctrl Pts',(0.147890611043753,0.361188885345898, -0.0918578254303702)); #177855=CARTESIAN_POINT('Ctrl Pts',(0.147982934840871,0.361637841054366, -0.0915354208945639)); #177856=CARTESIAN_POINT('Ctrl Pts',(0.14806025973166,0.362013859504752, -0.0912653941376595)); #177857=CARTESIAN_POINT('Ctrl Pts',(0.148115941251103,0.36237942207312, -0.0909767205385733)); #177858=CARTESIAN_POINT('Ctrl Pts',(0.148148810537312,0.362733094036404, -0.0906696012636641)); #177859=CARTESIAN_POINT('Ctrl Pts',(0.148171222217755,0.3629742426568,-0.0904601942505841)); #177860=CARTESIAN_POINT('Ctrl Pts',(0.148182950489742,0.363209009157943, -0.0902429506633691)); #177861=CARTESIAN_POINT('Ctrl Pts',(0.148183876078078,0.363437054847959, -0.0900180790019428)); #177862=CARTESIAN_POINT('Ctrl Pts',(0.148184777836578,0.363659229362719, -0.0897989967984717)); #177863=CARTESIAN_POINT('Ctrl Pts',(0.148175423427508,0.363874372907524, -0.0895733167911663)); #177864=CARTESIAN_POINT('Ctrl Pts',(0.148155903286174,0.364082274344726, -0.08934133783878)); #177865=CARTESIAN_POINT('Ctrl Pts',(0.148128111556432,0.364378273254623, -0.0890110586548102)); #177866=CARTESIAN_POINT('Ctrl Pts',(0.14807984300957,0.364658186917289, -0.0886695838323028)); #177867=CARTESIAN_POINT('Ctrl Pts',(0.148012010731109,0.364921768819988, -0.088318092187112)); #177868=CARTESIAN_POINT('Ctrl Pts',(0.147855323066932,0.365530623978484, -0.0875061718725879)); #177869=CARTESIAN_POINT('Ctrl Pts',(0.147596681628512,0.366042877969917, -0.0866535869543002)); #177870=CARTESIAN_POINT('Ctrl Pts',(0.147257833494607,0.366462608292291, -0.0857788941093637)); #177871=CARTESIAN_POINT('Ctrl Pts',(0.1466998911865,0.367153730011478,-0.084338637853073)); #177872=CARTESIAN_POINT('Ctrl Pts',(0.145934297902997,0.367581840041738, -0.0828625295533017)); #177873=CARTESIAN_POINT('Ctrl Pts',(0.145068803625653,0.367788650833074, -0.0814250026321391)); #177874=CARTESIAN_POINT('Ctrl Pts',(0.144662448567056,0.367885749812734, -0.0807500746151951)); #177875=CARTESIAN_POINT('Ctrl Pts',(0.144232123520442,0.367934823567503, -0.0800803309644244)); #177876=CARTESIAN_POINT('Ctrl Pts',(0.143785192157093,0.367939673235261, -0.0794196185903323)); #177877=CARTESIAN_POINT('Origin',(6.55643518479422E-17,0.179,-0.0944999999999995)); #177878=CARTESIAN_POINT('',(-0.130999999417806,0.326017792903344,-0.0944999999999978)); #177879=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.326027520774314, -0.0944050298074535)); #177880=CARTESIAN_POINT('Ctrl Pts',(-0.132629518225875,0.331157043673889, -0.0943898339065063)); #177881=CARTESIAN_POINT('Ctrl Pts',(-0.134591527925319,0.3361539193398, -0.094381436149735)); #177882=CARTESIAN_POINT('Ctrl Pts',(-0.136937398332844,0.340977888967115, -0.0943760638736917)); #177883=CARTESIAN_POINT('Ctrl Pts',(-0.137878566333873,0.342913275438849, -0.0943739085055655)); #177884=CARTESIAN_POINT('Ctrl Pts',(-0.138881301930215,0.344820255235754, -0.094372176865701)); #177885=CARTESIAN_POINT('Ctrl Pts',(-0.139947837798346,0.346694216139471, -0.0943704838788234)); #177886=CARTESIAN_POINT('Ctrl Pts',(-0.141148707997715,0.348804209690836, -0.094368577653679)); #177887=CARTESIAN_POINT('Ctrl Pts',(-0.14242730296732,0.350866548803951, -0.0943669002040834)); #177888=CARTESIAN_POINT('Ctrl Pts',(-0.143785192157094,0.352874782983396, -0.094365366737209)); #177889=CARTESIAN_POINT('',(-0.105871165,0.2735,-0.0944999999999979)); #177890=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.273499999999999,-0.0944999999999979)); #177891=CARTESIAN_POINT('Ctrl Pts',(-0.114877411346315,0.289484501579314, -0.094499999999998)); #177892=CARTESIAN_POINT('Ctrl Pts',(-0.12515151923143,0.307555739673656, -0.0944999999999981)); #177893=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.326017792903344, -0.0944999999999982)); #177894=CARTESIAN_POINT('',(-0.130999999417806,0.220982207096512,-0.094499999999958)); #177895=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417848,0.220982207096525, -0.0944999999999988)); #177896=CARTESIAN_POINT('Ctrl Pts',(-0.125151519231479,0.239444260326259, -0.0944999999999984)); #177897=CARTESIAN_POINT('Ctrl Pts',(-0.114877411346336,0.257515498420649, -0.0944999999999981)); #177898=CARTESIAN_POINT('Ctrl Pts',(-0.105871165,0.273500000000002,-0.0944999999999979)); #177899=CARTESIAN_POINT('',(-0.143734415782132,0.194000000061772,-0.0944999999999992)); #177900=CARTESIAN_POINT('Ctrl Pts',(-0.143734415810695,0.194000000080904, -0.0944999999999992)); #177901=CARTESIAN_POINT('Ctrl Pts',(-0.139158502150655,0.200831091273933, -0.0944999999999991)); #177902=CARTESIAN_POINT('Ctrl Pts',(-0.134609561031507,0.209587807778486, -0.0944999999999989)); #177903=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.220982207096658, -0.0944999999999988)); #177904=CARTESIAN_POINT('',(0.143734415864887,0.194000000000002,-0.0944999999999992)); #177905=CARTESIAN_POINT('',(0.0679355825,0.194,-0.0944999999999992)); #177906=CARTESIAN_POINT('',(0.130999999417806,0.220982207096512,-0.094499999999958)); #177907=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.220965806234667, -0.0944753292001623)); #177908=CARTESIAN_POINT('Ctrl Pts',(0.132648079630421,0.215765524404345, -0.0944927301520533)); #177909=CARTESIAN_POINT('Ctrl Pts',(0.134636308693703,0.210699004010609, -0.0945097031243254)); #177910=CARTESIAN_POINT('Ctrl Pts',(0.137017708284138,0.205812393235059, -0.0945174125858854)); #177911=CARTESIAN_POINT('Ctrl Pts',(0.137935763076185,0.203928552968443, -0.0945203846650601)); #177912=CARTESIAN_POINT('Ctrl Pts',(0.138912032251847,0.202071981984777, -0.0945219954614502)); #177913=CARTESIAN_POINT('Ctrl Pts',(0.139948555433097,0.200247073094209, -0.0945218776028001)); #177914=CARTESIAN_POINT('Ctrl Pts',(0.140336445954024,0.199564150731245, -0.0945218334974158)); #177915=CARTESIAN_POINT('Ctrl Pts',(0.140732435283891,0.198886274552946, -0.094521563097003)); #177916=CARTESIAN_POINT('Ctrl Pts',(0.141136591094101,0.198213654858545, -0.0945210731003946)); #177917=CARTESIAN_POINT('Ctrl Pts',(0.141983444403626,0.196804272140628, -0.0945200463794149)); #177918=CARTESIAN_POINT('Ctrl Pts',(0.142866171137255,0.19541795329435, -0.0945180642730858)); #177919=CARTESIAN_POINT('Ctrl Pts',(0.143785192157095,0.194056678086846, -0.0945152975890879)); #177920=CARTESIAN_POINT('',(0.105871165,0.2735,-0.0944999999999979)); #177921=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.273500000000002,-0.0944999999999979)); #177922=CARTESIAN_POINT('Ctrl Pts',(0.114877411346336,0.257515498420649, -0.0944999999999981)); #177923=CARTESIAN_POINT('Ctrl Pts',(0.125151519231479,0.239444260326259, -0.0944999999999984)); #177924=CARTESIAN_POINT('Ctrl Pts',(0.130999999417848,0.220982207096525, -0.0944999999999988)); #177925=CARTESIAN_POINT('',(0.130999999417806,0.326017792903344,-0.0944999999999979)); #177926=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.326017792903344, -0.0944999999999982)); #177927=CARTESIAN_POINT('Ctrl Pts',(0.12515151923143,0.307555739673656, -0.0944999999999981)); #177928=CARTESIAN_POINT('Ctrl Pts',(0.114877411346315,0.289484501579314, -0.094499999999998)); #177929=CARTESIAN_POINT('Ctrl Pts',(0.105871165,0.273499999999999,-0.0944999999999979)); #177930=CARTESIAN_POINT('Ctrl Pts',(0.143734415817892,0.352999999929841, -0.0944999999999983)); #177931=CARTESIAN_POINT('Ctrl Pts',(0.139153940407453,0.346162098791146, -0.0944999999999983)); #177932=CARTESIAN_POINT('Ctrl Pts',(0.134607669456763,0.337406221037121, -0.0944999999999982)); #177933=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.326017792903343, -0.0944999999999982)); #177934=CARTESIAN_POINT('Origin',(0.0679355825,0.194,-0.0794999999999992)); #177935=CARTESIAN_POINT('Ctrl Pts',(0.143785192104508,0.179032259512831, -0.0794710834531477)); #177936=CARTESIAN_POINT('Ctrl Pts',(0.144405501506548,0.179034605206063, -0.0803908078202283)); #177937=CARTESIAN_POINT('Ctrl Pts',(0.144995295808165,0.179121663481722, -0.0813300452138751)); #177938=CARTESIAN_POINT('Ctrl Pts',(0.145532726233708,0.179304416236971, -0.0822764012080843)); #177939=CARTESIAN_POINT('Ctrl Pts',(0.146403296416449,0.179600452880919, -0.0838093797512736)); #177940=CARTESIAN_POINT('Ctrl Pts',(0.147141285908419,0.180149887670611, -0.0853698823251997)); #177941=CARTESIAN_POINT('Ctrl Pts',(0.147617219153294,0.181001437967875, -0.0868675986957786)); #177942=CARTESIAN_POINT('Ctrl Pts',(0.147767015166561,0.181269456310785, -0.0873389924127226)); #177943=CARTESIAN_POINT('Ctrl Pts',(0.147889849705104,0.181565852695455, -0.0878012998120289)); #177944=CARTESIAN_POINT('Ctrl Pts',(0.147982382845423,0.181891176707422, -0.0882515232312361)); #177945=CARTESIAN_POINT('Ctrl Pts',(0.14805993711374,0.182163838649155, -0.0886288663942962)); #177946=CARTESIAN_POINT('Ctrl Pts',(0.148115780813455,0.182455332406439, -0.0889956593791138)); #177947=CARTESIAN_POINT('Ctrl Pts',(0.148148737977376,0.182765456187321, -0.0893504507787482)); #177948=CARTESIAN_POINT('Ctrl Pts',(0.148171235085352,0.18297715183568, -0.0895926372862263)); #177949=CARTESIAN_POINT('Ctrl Pts',(0.148182989396331,0.183196777753765, -0.0898283696372623)); #177950=CARTESIAN_POINT('Ctrl Pts',(0.148183880707845,0.183424121961632, -0.0900573042661592)); #177951=CARTESIAN_POINT('Ctrl Pts',(0.14818474978598,0.183645795166879, -0.0902805282200736)); #177952=CARTESIAN_POINT('Ctrl Pts',(0.148175288561309,0.183874170236931, -0.0904966482197303)); #177953=CARTESIAN_POINT('Ctrl Pts',(0.14815558915537,0.18410888480189,-0.0907053935768438)); #177954=CARTESIAN_POINT('Ctrl Pts',(0.148127603086476,0.184442333333575, -0.0910019488112305)); #177955=CARTESIAN_POINT('Ctrl Pts',(0.148079084726447,0.184786750337465, -0.0912819778966499)); #177956=CARTESIAN_POINT('Ctrl Pts',(0.148010954264294,0.185140946764122, -0.0915452603440328)); #177957=CARTESIAN_POINT('Ctrl Pts',(0.147854112730434,0.185956334023933, -0.0921513566712992)); #177958=CARTESIAN_POINT('Ctrl Pts',(0.147595762895858,0.186810753789985, -0.0926593218971333)); #177959=CARTESIAN_POINT('Ctrl Pts',(0.147257539235575,0.187685912364364, -0.0930737534382887)); #177960=CARTESIAN_POINT('Ctrl Pts',(0.146699497975583,0.189129852132299, -0.0937575314425038)); #177961=CARTESIAN_POINT('Ctrl Pts',(0.145933808037239,0.190607924871635, -0.0941763680920963)); #177962=CARTESIAN_POINT('Ctrl Pts',(0.145068229045613,0.192048313238336, -0.0943752188654881)); #177963=CARTESIAN_POINT('Ctrl Pts',(0.144662042770407,0.192724237667165, -0.0944685326540011)); #177964=CARTESIAN_POINT('Ctrl Pts',(0.144231912825,0.193394985093139,-0.0945139527505079)); #177965=CARTESIAN_POINT('Ctrl Pts',(0.143785192157095,0.194056678086847, -0.094515297589088)); #177966=CARTESIAN_POINT('Ctrl Pts',(-0.143785192102654,0.194056678167485, -0.0945152975892518)); #177967=CARTESIAN_POINT('Ctrl Pts',(-0.144406397665876,0.19313653411178, -0.0945134274743496)); #177968=CARTESIAN_POINT('Ctrl Pts',(-0.144997007272123,0.192196831092795, -0.0944263223891415)); #177969=CARTESIAN_POINT('Ctrl Pts',(-0.145535067193011,0.191250007172829, -0.094242873391937)); #177970=CARTESIAN_POINT('Ctrl Pts',(-0.146404714472183,0.189719689054181, -0.0939463712458147)); #177971=CARTESIAN_POINT('Ctrl Pts',(-0.147141881271468,0.188161981766724, -0.0933958796886053)); #177972=CARTESIAN_POINT('Ctrl Pts',(-0.14761738179732,0.186666757004683, -0.0925426076410238)); #177973=CARTESIAN_POINT('Ctrl Pts',(-0.147767120056394,0.186195900842349, -0.0922739066323519)); #177974=CARTESIAN_POINT('Ctrl Pts',(-0.147889909182694,0.185734101830098, -0.091976735812896)); #177975=CARTESIAN_POINT('Ctrl Pts',(-0.147982412076659,0.185284343825893, -0.0916505493211686)); #177976=CARTESIAN_POINT('Ctrl Pts',(-0.148059975152461,0.184907224660452, -0.0913770440663686)); #177977=CARTESIAN_POINT('Ctrl Pts',(-0.148115819416204,0.18454063210608, -0.0910846321949956)); #177978=CARTESIAN_POINT('Ctrl Pts',(-0.14814876844858,0.18418601162836, -0.0907735208845697)); #177979=CARTESIAN_POINT('Ctrl Pts',(-0.148171277519129,0.183943753304655, -0.0905609857680579)); #177980=CARTESIAN_POINT('Ctrl Pts',(-0.148183022956771,0.183708011440707, -0.0903405428054396)); #177981=CARTESIAN_POINT('Ctrl Pts',(-0.148183884418686,0.183479264875868, -0.0901125486380617)); #177982=CARTESIAN_POINT('Ctrl Pts',(-0.148184722831361,0.183256638644709, -0.0898906546732468)); #177983=CARTESIAN_POINT('Ctrl Pts',(-0.148175249508606,0.183041256213187, -0.0896622243400353)); #177984=CARTESIAN_POINT('Ctrl Pts',(-0.148155556524347,0.182833324107665, -0.089427565894273)); #177985=CARTESIAN_POINT('Ctrl Pts',(-0.14812756175755,0.182537736058281, -0.0890939847376733)); #177986=CARTESIAN_POINT('Ctrl Pts',(-0.148079045720158,0.182258610610217, -0.0887494101188911)); #177987=CARTESIAN_POINT('Ctrl Pts',(-0.148010928070234,0.18199616335979, -0.0883950358141645)); #177988=CARTESIAN_POINT('Ctrl Pts',(-0.147853991709545,0.181391510727161, -0.0875785922966943)); #177989=CARTESIAN_POINT('Ctrl Pts',(-0.147595440818145,0.180884747771065, -0.0867229526676585)); #177990=CARTESIAN_POINT('Ctrl Pts',(-0.147256946841442,0.180471258648766, -0.0858465070084354)); #177991=CARTESIAN_POINT('Ctrl Pts',(-0.146698918561361,0.179789596227483, -0.0844016320698479)); #177992=CARTESIAN_POINT('Ctrl Pts',(-0.145933402952428,0.179371703280987, -0.0829225397516666)); #177993=CARTESIAN_POINT('Ctrl Pts',(-0.145068063428849,0.179172875144905, -0.0814811558027585)); #177994=CARTESIAN_POINT('Ctrl Pts',(-0.144661925806301,0.179079557338098, -0.080804658041779)); #177995=CARTESIAN_POINT('Ctrl Pts',(-0.144231852095927,0.179033948556168, -0.0801333401885779)); #177996=CARTESIAN_POINT('Ctrl Pts',(-0.143785192157095,0.17903225951303, -0.0794710835311172)); #177997=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.421397863175542, -3.27821759239712E-17)); #177998=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.421397863175542, -0.0220730819462576)); #177999=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.406586248900245, -0.0890985165395643)); #178000=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.330428520108207, -0.149483225845541)); #178001=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.239593378491815, -0.149023250580971)); #178002=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.178168011776343, -0.119693647056736)); #178003=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.13570874337582, -0.0663983596766761)); #178004=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.125602136824458, -0.0220248939752954)); #178005=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.125602136824458, -5.46369598732853E-17)); #178006=CARTESIAN_POINT('Ctrl Pts',(0.172257755096176,0.419819867689147, -3.38978113232536E-17)); #178007=CARTESIAN_POINT('Ctrl Pts',(0.172257755096176,0.419819867689147, -0.0218645575975438)); #178008=CARTESIAN_POINT('Ctrl Pts',(0.172257755096176,0.405204863826498, -0.0881838845564562)); #178009=CARTESIAN_POINT('Ctrl Pts',(0.172257755096176,0.329854606182756, -0.14797052524993)); #178010=CARTESIAN_POINT('Ctrl Pts',(0.172257755096176,0.239950222634484, -0.147496854554797)); #178011=CARTESIAN_POINT('Ctrl Pts',(0.172257755096176,0.179167347846904, -0.118486241048584)); #178012=CARTESIAN_POINT('Ctrl Pts',(0.172257755096176,0.137144953616602, -0.0657085472736775)); #178013=CARTESIAN_POINT('Ctrl Pts',(0.172257755096176,0.127180132310853, -0.0218168248582198)); #178014=CARTESIAN_POINT('Ctrl Pts',(0.172257755096176,0.127180132310853, -5.62122921923271E-17)); #178015=CARTESIAN_POINT('Ctrl Pts',(0.145987710265012,0.404595498334372, -3.16002983636506E-17)); #178016=CARTESIAN_POINT('Ctrl Pts',(0.145987710265012,0.404595498334372, -0.0195900901646217)); #178017=CARTESIAN_POINT('Ctrl Pts',(0.145987710265012,0.391501890584472, -0.0790091574383287)); #178018=CARTESIAN_POINT('Ctrl Pts',(0.145987710265012,0.323991621741368, -0.132575954965508)); #178019=CARTESIAN_POINT('Ctrl Pts',(0.145987710265012,0.243440935495369, -0.132151218816122)); #178020=CARTESIAN_POINT('Ctrl Pts',(0.145987710265012,0.188982187826195, -0.106159231478377)); #178021=CARTESIAN_POINT('Ctrl Pts',(0.145987710265012,0.151331858655166, -0.0588720191322317)); #178022=CARTESIAN_POINT('Ctrl Pts',(0.145987710265012,0.142404501665628, -0.019547322838414)); #178023=CARTESIAN_POINT('Ctrl Pts',(0.145987710265012,0.142404501665628, -5.33065082723024E-17)); #178024=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.38161275859693, -2.67781969585072E-17)); #178025=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.38161275859693, -0.0161353364322349)); #178026=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.370785526585637, -0.0651306665502888)); #178027=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.315114525183735, -0.1092716524981)); #178028=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.248714392505448, -0.108935412381635)); #178029=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.203812690068033, -0.0874955871030534)); #178030=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.172775131199859, -0.0485369408105401)); #178031=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.16538724140307, -0.0161001112142358)); #178032=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.16538724140307, -4.70434159669971E-17)); #178033=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.325979812666594, -0.0944318853019181)); #178034=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.317096343377271, -0.0994296125256593)); #178035=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.292395133770389, -0.109156604974749)); #178036=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.254834273937435, -0.108966402525215)); #178037=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.229926730554267, -0.0994316764034818)); #178038=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.220988822629928, -0.094488063342263)); #178039=CARTESIAN_POINT('',(0.130999999417806,0.16538724140307,-2.90454510680706E-17)); #178040=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.178998422969524, -0.0525186701254617)); #178041=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.177978161786111, -0.050681814738743)); #178042=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.169560331922617, -0.0344222342826307)); #178043=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.16538724140307, -0.0161001112142358)); #178044=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.16538724140307, -4.70434159669971E-17)); #178045=CARTESIAN_POINT('',(0.199650230933957,0.125602136824458,-3.73568832485472E-17)); #178046=CARTESIAN_POINT('Origin',(0.20471124704337,0.213456482852075,-2.78811540980626E-17)); #178047=CARTESIAN_POINT('',(0.199650230933957,0.421397863175542,-3.00043686844463E-17)); #178048=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.125602136824458, -5.55111512312578E-17)); #178049=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.125602136824458, -0.000647336900129736)); #178050=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.125606502145284, -0.00129429629100089)); #178051=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.125615198610265, -0.00194079727795861)); #178052=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.125743494489206, -0.0114783981932492)); #178053=CARTESIAN_POINT('Ctrl Pts',(0.199650230933956,0.126821492188629, -0.0210902985753811)); #178054=CARTESIAN_POINT('Ctrl Pts',(0.199650178489341,0.128812363802335, -0.0305102912186863)); #178055=CARTESIAN_POINT('Ctrl Pts',(0.199650126044727,0.13080323541604, -0.0399302838619914)); #178056=CARTESIAN_POINT('Ctrl Pts',(0.199650021155489,0.133706545566044, -0.0491564508323992)); #178057=CARTESIAN_POINT('Ctrl Pts',(0.199649811377108,0.137432139521983, -0.0579366212559744)); #178058=CARTESIAN_POINT('Ctrl Pts',(0.199649761182234,0.138323583712604, -0.0600375028897024)); #178059=CARTESIAN_POINT('Ctrl Pts',(0.199649721771341,0.139265908818316, -0.0621224704563315)); #178060=CARTESIAN_POINT('Ctrl Pts',(0.199649689845806,0.140258664104613, -0.0641875281962202)); #178061=CARTESIAN_POINT('Ctrl Pts',(0.199649565465472,0.144126390526391, -0.072232892943516)); #178062=CARTESIAN_POINT('Ctrl Pts',(0.199649554701167,0.148763427415674, -0.0799743510611496)); #178063=CARTESIAN_POINT('Ctrl Pts',(0.199649462490834,0.15405330036028, -0.0872246466929961)); #178064=CARTESIAN_POINT('Ctrl Pts',(0.199649380537016,0.158754783000029, -0.0936684950430656)); #178065=CARTESIAN_POINT('Ctrl Pts',(0.1996492342479,0.163970713532339,-0.0997239698910619)); #178066=CARTESIAN_POINT('Ctrl Pts',(0.199648886680225,0.169591890346391, -0.105280689994049)); #178067=CARTESIAN_POINT('Ctrl Pts',(0.199648657481068,0.173298705104396, -0.108944999579965)); #178068=CARTESIAN_POINT('Ctrl Pts',(0.199648653822544,0.177219722852475, -0.112430908822562)); #178069=CARTESIAN_POINT('Ctrl Pts',(0.19964876958346,0.181329079655245, -0.115699303756303)); #178070=CARTESIAN_POINT('Ctrl Pts',(0.199648963180688,0.188201520819595, -0.121165329940461)); #178071=CARTESIAN_POINT('Ctrl Pts',(0.199649490780414,0.195602104836044, -0.126020321041348)); #178072=CARTESIAN_POINT('Ctrl Pts',(0.199649856003669,0.203340145260875, -0.130171948765562)); #178073=CARTESIAN_POINT('Ctrl Pts',(0.199650071817706,0.207912629978796, -0.132625186701946)); #178074=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.21260229455891, -0.13483363665011)); #178075=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.217366376258562, -0.136786073588848)); #178076=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.224885509181621, -0.139867597750646)); #178077=CARTESIAN_POINT('Ctrl Pts',(0.199650230933922,0.232715146307441, -0.142361163803421)); #178078=CARTESIAN_POINT('Ctrl Pts',(0.199649967379306,0.240710213418941, -0.144192466076478)); #178079=CARTESIAN_POINT('Ctrl Pts',(0.199649672342148,0.249660319860472, -0.14624252394865)); #178080=CARTESIAN_POINT('Ctrl Pts',(0.199649047024138,0.25881663984992, -0.147461421221704)); #178081=CARTESIAN_POINT('Ctrl Pts',(0.199647721695577,0.267941834732994, -0.147834307154)); #178082=CARTESIAN_POINT('Ctrl Pts',(0.19964745233712,0.2697964302539,-0.147910092119549)); #178083=CARTESIAN_POINT('Ctrl Pts',(0.199647154063992,0.271649739832255, -0.147951103685134)); #178084=CARTESIAN_POINT('Ctrl Pts',(0.199646823772367,0.273499793892681, -0.14795748186956)); #178085=CARTESIAN_POINT('Ctrl Pts',(0.199645264011081,0.28223644501043, -0.147987602055391)); #178086=CARTESIAN_POINT('Ctrl Pts',(0.199643327314932,0.29102450382722, -0.147241705277692)); #178087=CARTESIAN_POINT('Ctrl Pts',(0.199643138202826,0.29964477166796, -0.145699228863528)); #178088=CARTESIAN_POINT('Ctrl Pts',(0.199643091915943,0.301754659479487, -0.145321693937011)); #178089=CARTESIAN_POINT('Ctrl Pts',(0.199643150321409,0.303854507736281, -0.144896681828839)); #178090=CARTESIAN_POINT('Ctrl Pts',(0.199643344570671,0.305941171664599, -0.144424369281247)); #178091=CARTESIAN_POINT('Ctrl Pts',(0.199643361810149,0.306126361551459, -0.144382451890495)); #178092=CARTESIAN_POINT('Ctrl Pts',(0.199643380210048,0.30631148325515, -0.144340154007035)); #178093=CARTESIAN_POINT('Ctrl Pts',(0.199643399726737,0.306496534371575, -0.144297475418937)); #178094=CARTESIAN_POINT('Ctrl Pts',(0.199644263406725,0.314685676695862, -0.142408802500416)); #178095=CARTESIAN_POINT('Ctrl Pts',(0.199647314175452,0.322735415189863, -0.139770237566609)); #178096=CARTESIAN_POINT('Ctrl Pts',(0.199648770989565,0.330476877556204, -0.136477427977774)); #178097=CARTESIAN_POINT('Ctrl Pts',(0.199649167679713,0.332584875979312, -0.135580796683532)); #178098=CARTESIAN_POINT('Ctrl Pts',(0.199649446182146,0.33467011274401, -0.134635940868493)); #178099=CARTESIAN_POINT('Ctrl Pts',(0.199649530155945,0.336729443147569, -0.133645394472162)); #178100=CARTESIAN_POINT('Ctrl Pts',(0.199649880544829,0.345322200475773, -0.129512242846139)); #178101=CARTESIAN_POINT('Ctrl Pts',(0.199650055739407,0.353592099220195, -0.124517053507689)); #178102=CARTESIAN_POINT('Ctrl Pts',(0.199650092755352,0.36132175347795, -0.118791517494332)); #178103=CARTESIAN_POINT('Ctrl Pts',(0.199650129771296,0.369051407735704, -0.113065981480975)); #178104=CARTESIAN_POINT('Ctrl Pts',(0.199650028608627,0.376239307024388, -0.10661126395218)); #178105=CARTESIAN_POINT('Ctrl Pts',(0.199649826283378,0.382702925217136, -0.0996048276145962)); #178106=CARTESIAN_POINT('Ctrl Pts',(0.199649648580623,0.388379936725828, -0.0934510576150003)); #178107=CARTESIAN_POINT('Ctrl Pts',(0.199647778550373,0.393597487965007, -0.086763438590444)); #178108=CARTESIAN_POINT('Ctrl Pts',(0.199646027115472,0.398194329936023, -0.0796619119351116)); #178109=CARTESIAN_POINT('Ctrl Pts',(0.199644812482343,0.401382274029115, -0.0747369503863898)); #178110=CARTESIAN_POINT('Ctrl Pts',(0.199643654887497,0.404272219413336, -0.0696138226293501)); #178111=CARTESIAN_POINT('Ctrl Pts',(0.199643158345635,0.406822349049622, -0.0643413254804376)); #178112=CARTESIAN_POINT('Ctrl Pts',(0.19964274048701,0.408968378906931, -0.059904321124604)); #178113=CARTESIAN_POINT('Ctrl Pts',(0.19964279077573,0.410873968287766, -0.0553617795218427)); #178114=CARTESIAN_POINT('Ctrl Pts',(0.199643669185613,0.412537322242322, -0.0507599840180741)); #178115=CARTESIAN_POINT('Ctrl Pts',(0.199645515667834,0.416033814671627, -0.0410866711936629)); #178116=CARTESIAN_POINT('Ctrl Pts',(0.199647507137497,0.418530414953815, -0.0309486067730412)); #178117=CARTESIAN_POINT('Ctrl Pts',(0.199648805753997,0.419961298931413, -0.0206706001522183)); #178118=CARTESIAN_POINT('Ctrl Pts',(0.199649672761168,0.420916613044534, -0.0138086009032648)); #178119=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.421397863175542, -0.00688506209256634)); #178120=CARTESIAN_POINT('Ctrl Pts',(0.199650230933957,0.421397863175542, -2.48841151371458E-16)); #178121=CARTESIAN_POINT('Origin',(0.20471124704337,0.333543517147925,-1.26754007742204E-17)); #178122=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.38161275859693, -2.67781969585072E-17)); #178123=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.38161275859693, -0.0161353364322349)); #178124=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.377539872871186, -0.0345659362806348)); #178125=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.369090823208775, -0.0507480911056971)); #178126=CARTESIAN_POINT('Ctrl Pts',(0.130999999417806,0.368074617637906, -0.0525596503358239)); #178127=CARTESIAN_POINT('Origin',(0.105871165,0.2735,0.)); #178128=CARTESIAN_POINT('Origin',(0.105871165,0.149,-7.62342632469227E-18)); #178129=CARTESIAN_POINT('Origin',(0.105871165,0.2735,0.)); #178130=CARTESIAN_POINT('Origin',(-0.105871165,0.2735,0.)); #178131=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.16538724140307, 7.37598958289351E-17)); #178132=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.16538724140307, -0.0161001112142359)); #178133=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.169560331923269, -0.0344222342854907)); #178134=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.177978161788739, -0.050681814743819)); #178135=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.178998422973685, -0.0525186701329532)); #178136=CARTESIAN_POINT('Origin',(-0.105871165,0.2735,0.)); #178137=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.220988822630326, -0.0944880633424835)); #178138=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.229926730554554, -0.0994316764035916)); #178139=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.254834273937591, -0.108966402525216)); #178140=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.292395133793566, -0.109156604974866)); #178141=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.317096343419551, -0.09942961250901)); #178142=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.325979812725527, -0.0944318852687628)); #178143=CARTESIAN_POINT('Origin',(-0.105871165,0.2735,0.)); #178144=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.368074709008346, -0.0525594874521351)); #178145=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.369090880882423, -0.05074798064535)); #178146=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.370053003563237, -0.0489052582434358)); #178147=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.370958379584942, -0.0470333797712492)); #178148=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.378003681259832, -0.0324671131382529)); #178149=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.38161275859693, -0.016135336432235)); #178150=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.38161275859693, -2.01647832547722E-16)); #178151=CARTESIAN_POINT('Origin',(0.198499999999999,0.527,-5.09932929910502E-17)); #178152=CARTESIAN_POINT('',(0.218499999999999,0.527,0.01)); #178153=CARTESIAN_POINT('',(0.218499999999999,0.527,-5.09932929910502E-17)); #178154=CARTESIAN_POINT('',(0.198499999999999,0.547,0.01)); #178155=CARTESIAN_POINT('Origin',(0.198499999999999,0.527,0.01)); #178156=CARTESIAN_POINT('',(0.198499999999999,0.547,-5.36951666015204E-17)); #178157=CARTESIAN_POINT('Origin',(0.198499999999999,0.2735,0.0100000000000001)); #178158=CARTESIAN_POINT('',(0.218499999999999,0.0199999999999999,0.01)); #178159=CARTESIAN_POINT('',(0.198499999999999,-2.08745100014749E-17,0.0100000000000001)); #178160=CARTESIAN_POINT('Origin',(0.198499999999999,0.0199999999999999, 0.01)); #178161=CARTESIAN_POINT('Origin',(0.198499999999999,0.2735,0.0100000000000001)); #178162=CARTESIAN_POINT('Origin',(0.218499999999999,0.2735,0.0100000000000001)); #178163=CARTESIAN_POINT('Origin',(0.198499999999999,0.02,0.)); #178164=CARTESIAN_POINT('',(0.218499999999999,0.02,0.350927155439286)); #178165=CARTESIAN_POINT('',(0.198499999999999,6.0013136702922E-18,0.350927155439286)); #178166=CARTESIAN_POINT('Origin',(0.198499999999999,0.02,0.350927155439286)); #178167=CARTESIAN_POINT('',(0.198499999999999,5.46369598732853E-18,0.)); #178168=CARTESIAN_POINT('',(0.218499999999999,0.02,0.)); #178169=CARTESIAN_POINT('Origin',(0.218499999999999,0.,0.)); #178170=CARTESIAN_POINT('',(0.218499999999999,0.44136476,-2.08100841145394E-17)); #178171=CARTESIAN_POINT('',(0.218499999999999,0.44136476,-4.02178369981578E-17)); #178172=CARTESIAN_POINT('',(0.218499999999999,0.10563524,1.34192177119662E-17)); #178173=CARTESIAN_POINT('Origin',(0.218499999999999,0.2735,0.)); #178174=CARTESIAN_POINT('',(0.218499999999999,0.10563524,0.350927155439286)); #178175=CARTESIAN_POINT('',(0.218499999999999,0.10563524,0.)); #178176=CARTESIAN_POINT('',(0.218499999999999,-5.53262643484977E-32,0.350927155439286)); #178177=CARTESIAN_POINT('Origin',(0.218499999999999,0.547,-3.34940899566801E-17)); #178178=CARTESIAN_POINT('',(-0.198499999999999,0.546999999999999,0.01)); #178179=CARTESIAN_POINT('',(0.218499999999999,0.547,0.01)); #178180=CARTESIAN_POINT('',(-0.198499999999999,0.547,-4.5208903113993E-17)); #178181=CARTESIAN_POINT('Origin',(0.198499999999999,0.02,0.)); #178182=CARTESIAN_POINT('',(0.198499999999999,6.00131367029222E-18,0.508427155439286)); #178183=CARTESIAN_POINT('',(0.198499999999999,5.46369598732853E-18,0.)); #178184=CARTESIAN_POINT('',(0.218499999999999,0.02,0.508427155439286)); #178185=CARTESIAN_POINT('Origin',(0.198499999999999,0.02,0.508427155439286)); #178186=CARTESIAN_POINT('',(0.218499999999999,0.02,0.)); #178187=CARTESIAN_POINT('Origin',(0.218499999999999,0.,0.)); #178188=CARTESIAN_POINT('',(0.218499999999999,0.10563524,0.508427155439286)); #178189=CARTESIAN_POINT('',(0.218499999999999,1.47313108500873E-16,0.508427155439286)); #178190=CARTESIAN_POINT('',(0.218499999999999,0.10563524,0.)); #178191=CARTESIAN_POINT('Origin',(-0.000750000000000717,6.57894011105783E-17, 0.508427155439286)); #178192=CARTESIAN_POINT('',(-0.000750000000000717,6.57894011105783E-17, 0.508427155439286)); #178193=CARTESIAN_POINT('',(-0.109625000000001,9.84590524032315E-17,0.508427155439286)); #178194=CARTESIAN_POINT('',(-0.000750000000000717,6.57894011105783E-17, 0.508427155439286)); #178195=CARTESIAN_POINT('',(0.130999999417806,0.16538724140307,0.508427155439286)); #178196=CARTESIAN_POINT('Origin',(0.105871165,0.149,0.508427155439286)); #178197=CARTESIAN_POINT('',(0.199650230933957,0.125602136824458,0.508427155439286)); #178198=CARTESIAN_POINT('Origin',(0.20471124704337,0.213456482852075,0.508427155439286)); #178199=CARTESIAN_POINT('Origin',(0.198499999999999,0.10563524,0.508427155439286)); #178200=CARTESIAN_POINT('Origin',(-0.198499999999999,0.527,-4.25070295035227E-17)); #178201=CARTESIAN_POINT('Origin',(-0.198499999999999,0.527,0.01)); #178202=CARTESIAN_POINT('Origin',(-0.1985,0.2735,0.0100000000000001)); #178203=CARTESIAN_POINT('Origin',(-0.1985,0.2735,0.0100000000000001)); #178204=CARTESIAN_POINT('Origin',(0.218499999999999,0.2735,0.0100000000000001)); #178205=CARTESIAN_POINT('',(0.218499999999999,0.,0.0100000000000001)); #178206=CARTESIAN_POINT('Origin',(0.20471124704337,0.213456482852075,0.)); #178207=CARTESIAN_POINT('',(0.199650230933957,0.125602136824458,0.)); #178208=CARTESIAN_POINT('',(0.130999999417806,0.16538724140307,0.)); #178209=CARTESIAN_POINT('Origin',(0.198499999999999,0.10563524,0.)); #178210=CARTESIAN_POINT('Origin',(0.20471124704337,0.213456482852075,0.)); #178211=CARTESIAN_POINT('',(0.199650230933957,0.125602136824458,0.350927155439286)); #178212=CARTESIAN_POINT('',(0.130999999417806,0.16538724140307,0.350927155439286)); #178213=CARTESIAN_POINT('Origin',(0.20471124704337,0.213456482852075,0.350927155439286)); #178214=CARTESIAN_POINT('',(0.199650230933957,0.125602136824458,0.)); #178215=CARTESIAN_POINT('',(0.130999999417806,0.16538724140307,0.)); #178216=CARTESIAN_POINT('Origin',(0.20471124704337,0.333543517147925,-2.56518684626516E-17)); #178217=CARTESIAN_POINT('',(0.199650230933956,0.421397863175542,-3.75204354175085E-17)); #178218=CARTESIAN_POINT('Origin',(0.198499999999999,0.10563524,0.)); #178219=CARTESIAN_POINT('Origin',(0.198499999999999,0.10563524,0.350927155439286)); #178220=CARTESIAN_POINT('Origin',(0.198499999999999,0.10563524,1.34192177119662E-17)); #178221=CARTESIAN_POINT('Origin',(0.105871165,0.149,0.)); #178222=CARTESIAN_POINT('Origin',(0.105871165,0.149,0.350927155439286)); #178223=CARTESIAN_POINT('Origin',(0.105871165,0.2735,0.)); #178224=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.38161275859693, -4.37095678986282E-17)); #178225=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.38161275859693, -0.0161353364322349)); #178226=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.370785526585637, -0.065130666550289)); #178227=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.315114525183734, -0.1092716524981)); #178228=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.248714392505449, -0.108935412381635)); #178229=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.203812690068033, -0.0874955871030535)); #178230=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.17277513119986, -0.0485369408105401)); #178231=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.16538724140307, -0.0161001112142359)); #178232=CARTESIAN_POINT('Ctrl Pts',(-0.130999999417806,0.16538724140307, 7.37598958289351E-17)); #178233=CARTESIAN_POINT('Ctrl Pts',(-0.145987710265012,0.404595498334372, -2.20648099349768E-17)); #178234=CARTESIAN_POINT('Ctrl Pts',(-0.145987710265012,0.404595498334372, -0.0195900901646211)); #178235=CARTESIAN_POINT('Ctrl Pts',(-0.145987710265012,0.391501890584472, -0.0790091574383306)); #178236=CARTESIAN_POINT('Ctrl Pts',(-0.145987710265012,0.323991621741368, -0.132575954965508)); #178237=CARTESIAN_POINT('Ctrl Pts',(-0.145987710265012,0.243440935495369, -0.132151218816123)); #178238=CARTESIAN_POINT('Ctrl Pts',(-0.145987710265012,0.188982187826195, -0.106159231478377)); #178239=CARTESIAN_POINT('Ctrl Pts',(-0.145987710265012,0.151331858655165, -0.0588720191322317)); #178240=CARTESIAN_POINT('Ctrl Pts',(-0.145987710265012,0.142404501665627, -0.0195473228384155)); #178241=CARTESIAN_POINT('Ctrl Pts',(-0.145987710265012,0.142404501665627, 1.1965146118275E-16)); #178242=CARTESIAN_POINT('Ctrl Pts',(-0.172257755096177,0.419819867689147, 3.56445852369234E-18)); #178243=CARTESIAN_POINT('Ctrl Pts',(-0.172257755096177,0.419819867689147, -0.0218645575975425)); #178244=CARTESIAN_POINT('Ctrl Pts',(-0.172257755096177,0.405204863826499, -0.0881838845564596)); #178245=CARTESIAN_POINT('Ctrl Pts',(-0.172257755096177,0.329854606182756, -0.147970525249931)); #178246=CARTESIAN_POINT('Ctrl Pts',(-0.172257755096177,0.239950222634484, -0.147496854554798)); #178247=CARTESIAN_POINT('Ctrl Pts',(-0.172257755096177,0.179167347846903, -0.118486241048584)); #178248=CARTESIAN_POINT('Ctrl Pts',(-0.172257755096177,0.137144953616601, -0.0657085472736772)); #178249=CARTESIAN_POINT('Ctrl Pts',(-0.172257755096177,0.127180132310852, -0.0218168248582226)); #178250=CARTESIAN_POINT('Ctrl Pts',(-0.172257755096177,0.127180132310853, 1.59244814744444E-16)); #178251=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933957,0.421397863175542, 2.32661538629872E-17)); #178252=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933957,0.421397863175542, -0.022073081946256)); #178253=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933957,0.406586248900245, -0.0890985165395685)); #178254=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.330428520108208, -0.149483225845542)); #178255=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.239593378491815, -0.149023250580972)); #178256=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.178168011776342, -0.119693647056737)); #178257=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.13570874337582, -0.0663983596766757)); #178258=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.125602136824458, -0.0220248939752988)); #178259=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.125602136824458, 1.77227282579895E-16)); #178260=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933957,0.421397863175542, 2.32661538629872E-17)); #178261=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933957,0.421397863175542, -0.022073081946256)); #178262=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933957,0.406586248900245, -0.0890985165395685)); #178263=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.330428520108208, -0.149483225845542)); #178264=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.239593378491815, -0.149023250580972)); #178265=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.178168011776342, -0.119693647056737)); #178266=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.13570874337582, -0.0663983596766757)); #178267=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.125602136824458, -0.0220248939752988)); #178268=CARTESIAN_POINT('Ctrl Pts',(-0.199650230933958,0.125602136824458, 1.77227282579895E-16)); #178269=CARTESIAN_POINT('Origin',(-0.1985,0.2735,0.)); #178270=CARTESIAN_POINT('Origin',(0.198499999999999,0.44136476,-4.02178369981578E-17)); #178271=CARTESIAN_POINT('Origin',(0.198499999999999,0.44136476,-2.08100841145394E-17)); #178272=CARTESIAN_POINT('Origin',(0.198499999999999,0.2735,0.)); #178273=CARTESIAN_POINT('Origin',(0.218499999999999,-1.94109474709895E-32, 0.350927155439286)); #178274=CARTESIAN_POINT('',(-0.000750000000000717,6.57894011105783E-17, 0.350927155439286)); #178275=CARTESIAN_POINT('',(-0.000750000000000717,6.57894011105783E-17, 0.350927155439286)); #178276=CARTESIAN_POINT('',(-6.33788734530109E-16,6.55643518479423E-17, 0.350927155439286)); #178277=CARTESIAN_POINT('Origin',(0.105871165,0.149,0.)); #178278=CARTESIAN_POINT('Origin',(-0.000750000000000717,6.57894011105783E-17, 0.429677155439286)); #178279=CARTESIAN_POINT('Origin',(-0.000750000000000717,6.02329241061173E-17, 0.429677155439286)); #178280=CARTESIAN_POINT('Origin',(-0.218500000000001,1.31128703695885E-16, 0.)); #178281=CARTESIAN_POINT('',(0.,0.,0.)); #178282=CARTESIAN_POINT('',(0.,0.,0.)); #178283=CARTESIAN_POINT('Origin',(-0.0937499999999995,0.1555,0.5062)); #178284=CARTESIAN_POINT('',(-0.0937499999999995,-0.225663177693082,0.5462)); #178285=CARTESIAN_POINT('',(-0.0937499999999995,-0.225663177693082,0.5062)); #178286=CARTESIAN_POINT('',(-0.0937499999999996,-0.225663177693082,0.2239)); #178287=CARTESIAN_POINT('',(-0.0937499999999995,0.1555,0.5462)); #178288=CARTESIAN_POINT('',(-0.0937499999999995,0.1555,0.5462)); #178289=CARTESIAN_POINT('',(-0.0937499999999995,0.1555,0.5062)); #178290=CARTESIAN_POINT('',(-0.0937499999999995,0.1555,0.5162)); #178291=CARTESIAN_POINT('',(-0.0937499999999995,0.1555,0.5062)); #178292=CARTESIAN_POINT('Origin',(0.0937500000000003,0.1555,0.5062)); #178293=CARTESIAN_POINT('',(0.0937500000000004,-0.225663177693082,0.5062)); #178294=CARTESIAN_POINT('',(0.0937500000000003,-0.225663177693082,0.5462)); #178295=CARTESIAN_POINT('',(0.0937500000000003,-0.225663177693082,0.2239)); #178296=CARTESIAN_POINT('',(0.0937500000000004,0.269992492970719,0.5062)); #178297=CARTESIAN_POINT('',(0.0937500000000004,0.1555,0.5062)); #178298=CARTESIAN_POINT('',(0.0937500000000003,0.269992492970719,0.5462)); #178299=CARTESIAN_POINT('',(0.0937500000000003,0.269992492970719,0.2239)); #178300=CARTESIAN_POINT('',(0.0937500000000003,0.1555,0.5462)); #178301=CARTESIAN_POINT('Origin',(-0.0406249999999996,0.1555,0.5262)); #178302=CARTESIAN_POINT('',(-0.175,0.1555,0.5462)); #178303=CARTESIAN_POINT('',(0.0937500000000003,0.1555,0.5462)); #178304=CARTESIAN_POINT('',(-0.175,0.1555,0.5062)); #178305=CARTESIAN_POINT('',(-0.175,0.1555,0.5462)); #178306=CARTESIAN_POINT('',(-0.175,0.1555,0.5062)); #178307=CARTESIAN_POINT('Origin',(-0.175,0.1555,0.5462)); #178308=CARTESIAN_POINT('',(-0.175,0.177785710713571,0.5462)); #178309=CARTESIAN_POINT('',(-0.175,0.177785710713571,0.5062)); #178310=CARTESIAN_POINT('',(-0.175,0.177785710713571,0.318)); #178311=CARTESIAN_POINT('',(-0.175,0.1555,0.5062)); #178312=CARTESIAN_POINT('',(-0.175,0.1555,0.5462)); #178313=CARTESIAN_POINT('Origin',(-0.0937499999999997,0.29265,0.318)); #178314=CARTESIAN_POINT('',(-0.0937499999999997,0.237652889034508,0.5462)); #178315=CARTESIAN_POINT('',(-0.0937499999999996,0.237652889034508,0.5062)); #178316=CARTESIAN_POINT('',(-0.0937499999999997,0.237652889034508,0.318)); #178317=CARTESIAN_POINT('',(-0.0937499999999997,0.269992492970719,0.5462)); #178318=CARTESIAN_POINT('',(-0.0937499999999997,0.224075,0.5462)); #178319=CARTESIAN_POINT('',(-0.0937499999999996,0.269992492970719,0.5062)); #178320=CARTESIAN_POINT('',(-0.0937499999999997,0.269992492970719,0.2239)); #178321=CARTESIAN_POINT('',(-0.0937499999999996,0.224075,0.5062)); #178322=CARTESIAN_POINT('Origin',(-0.0837499999999996,0.269992492970719, 0.2239)); #178323=CARTESIAN_POINT('',(-0.0867051870148195,0.279545862518028,0.5062)); #178324=CARTESIAN_POINT('Origin',(-0.0837499999999994,0.269992492970719, 0.5062)); #178325=CARTESIAN_POINT('',(-0.0867051870148195,0.279545862518028,0.5462)); #178326=CARTESIAN_POINT('Origin',(-0.0837499999999994,0.269992492970719, 0.5462)); #178327=CARTESIAN_POINT('',(-0.0867051870148197,0.279545862518028,0.2239)); #178328=CARTESIAN_POINT('Origin',(3.49676543189026E-16,-0.000750000000000018, 0.318)); #178329=CARTESIAN_POINT('',(-0.099759615384615,0.228483547148565,0.5062)); #178330=CARTESIAN_POINT('',(-0.099759615384615,0.228483547148565,0.5462)); #178331=CARTESIAN_POINT('',(-0.099759615384615,0.228483547148565,0.318)); #178332=CARTESIAN_POINT('Origin',(3.49676543189026E-16,-0.000750000000000018, 0.5062)); #178333=CARTESIAN_POINT('Origin',(3.49676543189026E-16,-0.000750000000000018, 0.5462)); #178334=CARTESIAN_POINT('Origin',(-0.10375,0.237652889034508,0.318)); #178335=CARTESIAN_POINT('Origin',(-0.10375,0.237652889034508,0.5062)); #178336=CARTESIAN_POINT('Origin',(-0.10375,0.237652889034508,0.5462)); #178337=CARTESIAN_POINT('Origin',(-0.175,0.1555,0.5062)); #178338=CARTESIAN_POINT('',(-0.0872395833333328,-0.235034560096961,0.5062)); #178339=CARTESIAN_POINT('Origin',(-0.0837499999999994,-0.225663177693082, 0.5062)); #178340=CARTESIAN_POINT('',(0.0867051870148206,0.279545862518028,0.5062)); #178341=CARTESIAN_POINT('Origin',(5.55184700426269E-16,-0.000750000000000018, 0.5062)); #178342=CARTESIAN_POINT('Origin',(0.0837500000000005,0.269992492970719, 0.5062)); #178343=CARTESIAN_POINT('',(0.0872395833333338,-0.235034560096961,0.5062)); #178344=CARTESIAN_POINT('Origin',(0.0837500000000005,-0.225663177693082, 0.5062)); #178345=CARTESIAN_POINT('Origin',(5.55588770721018E-16,-0.000750000000000018, 0.5062)); #178346=CARTESIAN_POINT('',(-0.0499999999999994,0.18465,0.5062)); #178347=CARTESIAN_POINT('',(-0.0499999999999994,-0.0993500000000001,0.5062)); #178348=CARTESIAN_POINT('',(-0.0499999999999994,0.0280749999999999,0.5062)); #178349=CARTESIAN_POINT('',(0.0500000000000005,-0.0993500000000001,0.5062)); #178350=CARTESIAN_POINT('',(-0.0624999999999996,-0.0993500000000001,0.5062)); #178351=CARTESIAN_POINT('',(0.0500000000000006,0.18465,0.5062)); #178352=CARTESIAN_POINT('',(0.0500000000000006,0.170075,0.5062)); #178353=CARTESIAN_POINT('',(-0.1125,0.18465,0.5062)); #178354=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178355=CARTESIAN_POINT('',(0.0867051870148206,0.279545862518028,0.5462)); #178356=CARTESIAN_POINT('',(0.0867051870148204,0.279545862518028,0.2239)); #178357=CARTESIAN_POINT('Origin',(5.81207140849638E-16,-0.000750000000000018, 0.5462)); #178358=CARTESIAN_POINT('Origin',(0.0837500000000003,0.269992492970719, 0.2239)); #178359=CARTESIAN_POINT('Origin',(0.0837500000000005,0.269992492970719, 0.5462)); #178360=CARTESIAN_POINT('Origin',(0.0837500000000003,-0.225663177693082, 0.2239)); #178361=CARTESIAN_POINT('',(0.0872395833333339,-0.235034560096961,0.5462)); #178362=CARTESIAN_POINT('Origin',(0.0837500000000005,-0.225663177693082, 0.5462)); #178363=CARTESIAN_POINT('',(0.0872395833333337,-0.235034560096961,0.2239)); #178364=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178365=CARTESIAN_POINT('',(-0.0872395833333327,-0.235034560096961,0.5462)); #178366=CARTESIAN_POINT('',(-0.087239583333333,-0.235034560096961,0.2239)); #178367=CARTESIAN_POINT('Origin',(5.81668465171273E-16,-0.000750000000000018, 0.5462)); #178368=CARTESIAN_POINT('Origin',(0.0937500000000003,0.1555,0.5462)); #178369=CARTESIAN_POINT('Origin',(-0.0837499999999994,-0.225663177693082, 0.5462)); #178370=CARTESIAN_POINT('',(-0.0499999999999994,-0.0993500000000001,0.5462)); #178371=CARTESIAN_POINT('',(-0.0499999999999994,0.18465,0.5462)); #178372=CARTESIAN_POINT('',(-0.0499999999999994,0.0280749999999999,0.5462)); #178373=CARTESIAN_POINT('',(0.0500000000000006,0.18465,0.5462)); #178374=CARTESIAN_POINT('',(0.0218750000000004,0.18465,0.5462)); #178375=CARTESIAN_POINT('',(0.0500000000000006,-0.0993500000000001,0.5462)); #178376=CARTESIAN_POINT('',(0.0500000000000006,0.170075,0.5462)); #178377=CARTESIAN_POINT('',(0.0718750000000004,-0.0993500000000001,0.5462)); #178378=CARTESIAN_POINT('Origin',(-0.0837499999999996,-0.225663177693082, 0.2239)); #178379=CARTESIAN_POINT('Origin',(-0.0499999999999996,0.18465,0.2239)); #178380=CARTESIAN_POINT('',(-0.0499999999999996,0.18465,0.2239)); #178381=CARTESIAN_POINT('',(0.0500000000000004,0.18465,0.2239)); #178382=CARTESIAN_POINT('Origin',(-0.0499999999999996,-0.0993500000000001, 0.2239)); #178383=CARTESIAN_POINT('',(-0.0499999999999996,-0.0993500000000001,0.2239)); #178384=CARTESIAN_POINT('Origin',(0.0500000000000003,-0.0993500000000001, 0.2239)); #178385=CARTESIAN_POINT('',(0.0500000000000003,-0.0993500000000001,0.2239)); #178386=CARTESIAN_POINT('Origin',(0.0500000000000004,0.18465,0.2239)); #178387=CARTESIAN_POINT('Origin',(0.0500000000000004,0.18465,0.2239)); #178388=CARTESIAN_POINT('',(0.0500000000000005,0.18465,0.358)); #178389=CARTESIAN_POINT('',(0.0500000000000004,-0.0993500000000001,0.358)); #178390=CARTESIAN_POINT('',(0.0500000000000005,0.170075,0.358)); #178391=CARTESIAN_POINT('',(0.0500000000000005,0.18465,0.318)); #178392=CARTESIAN_POINT('',(0.0500000000000004,0.18465,0.2239)); #178393=CARTESIAN_POINT('',(0.0500000000000004,-0.0993500000000001,0.318)); #178394=CARTESIAN_POINT('',(0.0500000000000004,0.170075,0.318)); #178395=CARTESIAN_POINT('',(0.0500000000000003,-0.0993500000000001,0.2239)); #178396=CARTESIAN_POINT('Origin',(-0.0499999999999996,0.18465,0.2239)); #178397=CARTESIAN_POINT('',(-0.0499999999999995,0.18465,0.358)); #178398=CARTESIAN_POINT('',(0.0218750000000004,0.18465,0.358)); #178399=CARTESIAN_POINT('',(-0.0499999999999996,0.18465,0.318)); #178400=CARTESIAN_POINT('',(-0.0499999999999996,0.18465,0.2239)); #178401=CARTESIAN_POINT('',(-0.1125,0.18465,0.318)); #178402=CARTESIAN_POINT('Origin',(0.0500000000000003,-0.0993500000000001, 0.2239)); #178403=CARTESIAN_POINT('',(-0.0499999999999995,-0.0993500000000001,0.358)); #178404=CARTESIAN_POINT('',(0.0718750000000003,-0.0993500000000001,0.358)); #178405=CARTESIAN_POINT('',(-0.0499999999999996,-0.0993500000000001,0.318)); #178406=CARTESIAN_POINT('',(-0.0624999999999996,-0.0993500000000001,0.318)); #178407=CARTESIAN_POINT('',(-0.0499999999999996,-0.0993500000000001,0.2239)); #178408=CARTESIAN_POINT('Origin',(-0.0499999999999996,-0.0993500000000001, 0.2239)); #178409=CARTESIAN_POINT('',(-0.0499999999999995,0.0280749999999999,0.358)); #178410=CARTESIAN_POINT('',(-0.0499999999999996,0.0280749999999999,0.318)); #178411=CARTESIAN_POINT('Origin',(-0.0837499999999997,-0.225663177693082, 0.2239)); #178412=CARTESIAN_POINT('',(-0.0872395833333329,-0.235034560096961,0.358)); #178413=CARTESIAN_POINT('',(-0.0937499999999996,-0.225663177693082,0.358)); #178414=CARTESIAN_POINT('Origin',(-0.0837499999999996,-0.225663177693082, 0.358)); #178415=CARTESIAN_POINT('',(-0.0937499999999996,-0.225663177693082,0.318)); #178416=CARTESIAN_POINT('',(-0.0937499999999997,-0.225663177693082,0.2239)); #178417=CARTESIAN_POINT('',(-0.087239583333333,-0.235034560096961,0.318)); #178418=CARTESIAN_POINT('Origin',(-0.0837499999999996,-0.225663177693082, 0.318)); #178419=CARTESIAN_POINT('',(-0.087239583333333,-0.235034560096961,0.2239)); #178420=CARTESIAN_POINT('Origin',(-0.0937499999999996,0.1555,0.318)); #178421=CARTESIAN_POINT('',(-0.0937499999999996,0.1555,0.358)); #178422=CARTESIAN_POINT('',(-0.0937499999999996,0.1555,0.358)); #178423=CARTESIAN_POINT('',(-0.0937499999999996,0.1555,0.318)); #178424=CARTESIAN_POINT('',(-0.0937499999999996,0.1555,0.328)); #178425=CARTESIAN_POINT('',(-0.0937499999999996,0.1555,0.318)); #178426=CARTESIAN_POINT('Origin',(0.0937500000000003,0.1555,0.358)); #178427=CARTESIAN_POINT('',(0.0872395833333337,-0.235034560096961,0.358)); #178428=CARTESIAN_POINT('Origin',(4.58963502782821E-16,-0.000750000000000018, 0.358)); #178429=CARTESIAN_POINT('',(0.0937500000000003,-0.225663177693082,0.358)); #178430=CARTESIAN_POINT('Origin',(0.0837500000000004,-0.225663177693082, 0.358)); #178431=CARTESIAN_POINT('',(0.0937500000000003,0.269992492970719,0.358)); #178432=CARTESIAN_POINT('',(0.0937500000000003,0.1555,0.358)); #178433=CARTESIAN_POINT('',(0.0867051870148205,0.279545862518028,0.358)); #178434=CARTESIAN_POINT('Origin',(0.0837500000000004,0.269992492970719, 0.358)); #178435=CARTESIAN_POINT('',(-0.0867051870148196,0.279545862518028,0.358)); #178436=CARTESIAN_POINT('Origin',(4.58771558657685E-16,-0.000750000000000018, 0.358)); #178437=CARTESIAN_POINT('',(-0.0937499999999997,0.269992492970719,0.358)); #178438=CARTESIAN_POINT('Origin',(-0.0837499999999995,0.269992492970719, 0.358)); #178439=CARTESIAN_POINT('',(-0.0937499999999997,0.237652889034508,0.358)); #178440=CARTESIAN_POINT('',(-0.0937499999999997,0.224075,0.358)); #178441=CARTESIAN_POINT('',(-0.099759615384615,0.228483547148565,0.358)); #178442=CARTESIAN_POINT('Origin',(-0.10375,0.237652889034508,0.358)); #178443=CARTESIAN_POINT('',(-0.175,0.177785710713571,0.358)); #178444=CARTESIAN_POINT('Origin',(3.49676543189026E-16,-0.000750000000000018, 0.358)); #178445=CARTESIAN_POINT('',(-0.175,0.1555,0.358)); #178446=CARTESIAN_POINT('',(-0.175,0.1555,0.358)); #178447=CARTESIAN_POINT('',(0.0937500000000003,0.1555,0.358)); #178448=CARTESIAN_POINT('Origin',(0.0837500000000003,-0.225663177693082, 0.2239)); #178449=CARTESIAN_POINT('',(0.0872395833333337,-0.235034560096961,0.318)); #178450=CARTESIAN_POINT('',(0.0937500000000004,-0.225663177693082,0.318)); #178451=CARTESIAN_POINT('Origin',(0.0837500000000004,-0.225663177693082, 0.318)); #178452=CARTESIAN_POINT('',(0.0937500000000003,-0.225663177693082,0.2239)); #178453=CARTESIAN_POINT('',(0.0872395833333336,-0.235034560096961,0.2239)); #178454=CARTESIAN_POINT('Origin',(0.0937500000000003,0.1555,0.318)); #178455=CARTESIAN_POINT('',(0.0937500000000004,0.269992492970719,0.318)); #178456=CARTESIAN_POINT('',(0.0937500000000004,0.1555,0.318)); #178457=CARTESIAN_POINT('',(0.0937500000000003,0.269992492970719,0.2239)); #178458=CARTESIAN_POINT('Origin',(-0.175,0.1555,0.318)); #178459=CARTESIAN_POINT('',(-0.175,0.1555,0.318)); #178460=CARTESIAN_POINT('',(-0.175,0.1555,0.318)); #178461=CARTESIAN_POINT('',(-0.174999999999999,0.177785710713571,0.318)); #178462=CARTESIAN_POINT('',(-0.175,0.1555,0.318)); #178463=CARTESIAN_POINT('',(-0.099759615384615,0.228483547148565,0.318)); #178464=CARTESIAN_POINT('Origin',(3.49676543189026E-16,-0.000750000000000018, 0.318)); #178465=CARTESIAN_POINT('',(-0.0937499999999997,0.237652889034508,0.318)); #178466=CARTESIAN_POINT('Origin',(-0.10375,0.237652889034508,0.318)); #178467=CARTESIAN_POINT('',(-0.0937499999999997,0.269992492970719,0.318)); #178468=CARTESIAN_POINT('',(-0.0937499999999997,0.224075,0.318)); #178469=CARTESIAN_POINT('',(-0.0867051870148196,0.279545862518028,0.318)); #178470=CARTESIAN_POINT('Origin',(-0.0837499999999996,0.269992492970719, 0.318)); #178471=CARTESIAN_POINT('',(0.0867051870148204,0.279545862518028,0.318)); #178472=CARTESIAN_POINT('Origin',(4.32749118234316E-16,-0.000750000000000018, 0.318)); #178473=CARTESIAN_POINT('Origin',(0.0837500000000004,0.269992492970719, 0.318)); #178474=CARTESIAN_POINT('Origin',(4.32883808332566E-16,-0.000750000000000018, 0.318)); #178475=CARTESIAN_POINT('Origin',(0.0837500000000003,0.269992492970719, 0.2239)); #178476=CARTESIAN_POINT('',(0.0867051870148204,0.279545862518028,0.2239)); #178477=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178478=CARTESIAN_POINT('',(-0.0867051870148197,0.279545862518028,0.2239)); #178479=CARTESIAN_POINT('Origin',(-0.0837499999999996,0.269992492970719, 0.2239)); #178480=CARTESIAN_POINT('',(-0.0937499999999997,0.269992492970719,0.2239)); #178481=CARTESIAN_POINT('Origin',(-0.0937499999999997,0.29265,0.318)); #178482=CARTESIAN_POINT('',(-0.0937499999999997,0.237652889034508,0.318)); #178483=CARTESIAN_POINT('Origin',(-0.10375,0.237652889034508,0.318)); #178484=CARTESIAN_POINT('',(-0.099759615384615,0.228483547148565,0.318)); #178485=CARTESIAN_POINT('Origin',(3.49676543189026E-16,-0.000750000000000018, 0.318)); #178486=CARTESIAN_POINT('',(-0.175,0.177785710713571,0.318)); #178487=CARTESIAN_POINT('Origin',(-0.0406249999999996,0.1555,0.338)); #178488=CARTESIAN_POINT('',(-0.175,0.1555,0.358)); #178489=CARTESIAN_POINT('Origin',(-0.175,0.1555,0.358)); #178490=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178491=CARTESIAN_POINT('Origin',(0.0406250000000003,0.1555,0.6203)); #178492=CARTESIAN_POINT('',(0.0937500000000005,0.1555,0.6403)); #178493=CARTESIAN_POINT('',(0.0937500000000005,0.1555,0.6003)); #178494=CARTESIAN_POINT('',(0.0937500000000005,0.1555,0.6303)); #178495=CARTESIAN_POINT('',(0.175,0.1555,0.6003)); #178496=CARTESIAN_POINT('',(-0.0937499999999998,0.1555,0.6003)); #178497=CARTESIAN_POINT('',(0.175,0.1555,0.6403)); #178498=CARTESIAN_POINT('',(0.175,0.1555,0.6003)); #178499=CARTESIAN_POINT('',(0.175,0.1555,0.6403)); #178500=CARTESIAN_POINT('Origin',(0.175,0.1555,0.6003)); #178501=CARTESIAN_POINT('',(0.175000000000001,0.177785710713571,0.6003)); #178502=CARTESIAN_POINT('',(0.175000000000001,0.177785710713571,0.6403)); #178503=CARTESIAN_POINT('',(0.175,0.177785710713571,0.2239)); #178504=CARTESIAN_POINT('',(0.175,0.1555,0.6403)); #178505=CARTESIAN_POINT('',(0.175,0.1555,0.6003)); #178506=CARTESIAN_POINT('Origin',(-0.0937499999999998,0.1555,0.6003)); #178507=CARTESIAN_POINT('',(0.0872395833333338,-0.235034560096961,0.6003)); #178508=CARTESIAN_POINT('',(0.0937500000000005,-0.225663177693082,0.6003)); #178509=CARTESIAN_POINT('Origin',(0.0837500000000005,-0.225663177693082, 0.6003)); #178510=CARTESIAN_POINT('',(-0.0872395833333329,-0.235034560096961,0.6003)); #178511=CARTESIAN_POINT('Origin',(6.16941251915244E-16,-0.000750000000000018, 0.6003)); #178512=CARTESIAN_POINT('',(-0.0937499999999995,-0.225663177693082,0.6003)); #178513=CARTESIAN_POINT('Origin',(-0.0837499999999995,-0.225663177693082, 0.6003)); #178514=CARTESIAN_POINT('',(-0.0937499999999995,0.269992492970719,0.6003)); #178515=CARTESIAN_POINT('',(-0.0937499999999995,0.1555,0.6003)); #178516=CARTESIAN_POINT('',(-0.0867051870148196,0.279545862518028,0.6003)); #178517=CARTESIAN_POINT('Origin',(-0.0837499999999995,0.269992492970719, 0.6003)); #178518=CARTESIAN_POINT('',(0.0867051870148208,0.279545862518028,0.6003)); #178519=CARTESIAN_POINT('Origin',(6.16402491522245E-16,-0.000750000000000018, 0.6003)); #178520=CARTESIAN_POINT('',(0.0937500000000007,0.269992492970719,0.6003)); #178521=CARTESIAN_POINT('Origin',(0.0837500000000007,0.269992492970719, 0.6003)); #178522=CARTESIAN_POINT('',(0.0937500000000008,0.237652889034508,0.6003)); #178523=CARTESIAN_POINT('',(0.0937500000000009,0.19325310136087,0.6003)); #178524=CARTESIAN_POINT('',(0.0997596153846162,0.228483547148565,0.6003)); #178525=CARTESIAN_POINT('Origin',(0.103750000000001,0.237652889034508,0.6003)); #178526=CARTESIAN_POINT('Origin',(6.09175391184526E-16,-0.000750000000000018, 0.6003)); #178527=CARTESIAN_POINT('',(0.0937500000000005,0.1555,0.6003)); #178528=CARTESIAN_POINT('',(-0.0499999999999994,0.18465,0.6003)); #178529=CARTESIAN_POINT('',(-0.0499999999999994,-0.0993500000000001,0.6003)); #178530=CARTESIAN_POINT('',(-0.0499999999999994,0.0280749999999999,0.6003)); #178531=CARTESIAN_POINT('',(0.0500000000000006,-0.0993500000000001,0.6003)); #178532=CARTESIAN_POINT('',(-0.0218749999999996,-0.0993500000000001,0.6003)); #178533=CARTESIAN_POINT('',(0.0500000000000006,0.18465,0.6003)); #178534=CARTESIAN_POINT('',(0.0500000000000006,0.170075,0.6003)); #178535=CARTESIAN_POINT('',(-0.0718749999999996,0.18465,0.6003)); #178536=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178537=CARTESIAN_POINT('',(0.0997596153846162,0.228483547148565,0.6403)); #178538=CARTESIAN_POINT('',(0.0997596153846159,0.228483547148565,0.2239)); #178539=CARTESIAN_POINT('Origin',(6.34429808192622E-16,-0.000750000000000018, 0.6403)); #178540=CARTESIAN_POINT('Origin',(0.0937500000000005,0.1555,0.6403)); #178541=CARTESIAN_POINT('',(0.0937500000000005,-0.225663177693082,0.6403)); #178542=CARTESIAN_POINT('',(0.0937500000000003,-0.225663177693082,0.2239)); #178543=CARTESIAN_POINT('',(0.0937500000000005,0.1555,0.6403)); #178544=CARTESIAN_POINT('Origin',(0.0837500000000004,0.269992492970719, 0.2239)); #178545=CARTESIAN_POINT('',(0.0867051870148208,0.279545862518028,0.6403)); #178546=CARTESIAN_POINT('',(0.0937500000000008,0.269992492970719,0.6403)); #178547=CARTESIAN_POINT('Origin',(0.0837500000000007,0.269992492970719, 0.6403)); #178548=CARTESIAN_POINT('',(0.0937500000000005,0.269992492970719,0.2239)); #178549=CARTESIAN_POINT('',(0.0867051870148205,0.279545862518028,0.2239)); #178550=CARTESIAN_POINT('Origin',(0.0937500000000006,0.231006202721739, 0.2239)); #178551=CARTESIAN_POINT('',(0.0937500000000008,0.237652889034508,0.6403)); #178552=CARTESIAN_POINT('',(0.0937500000000006,0.237652889034508,0.2239)); #178553=CARTESIAN_POINT('',(0.0937500000000009,0.19325310136087,0.6403)); #178554=CARTESIAN_POINT('Origin',(0.103750000000001,0.237652889034508,0.2239)); #178555=CARTESIAN_POINT('Origin',(0.103750000000001,0.237652889034508,0.6403)); #178556=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178557=CARTESIAN_POINT('',(-0.0867051870148195,0.279545862518028,0.6403)); #178558=CARTESIAN_POINT('',(-0.0867051870148198,0.279545862518028,0.2239)); #178559=CARTESIAN_POINT('Origin',(6.42424931945615E-16,-0.000750000000000018, 0.6403)); #178560=CARTESIAN_POINT('Origin',(-0.0837499999999998,0.269992492970719, 0.2239)); #178561=CARTESIAN_POINT('',(-0.0937499999999995,0.269992492970719,0.6403)); #178562=CARTESIAN_POINT('',(-0.0937499999999998,0.269992492970719,0.2239)); #178563=CARTESIAN_POINT('Origin',(-0.0837499999999995,0.269992492970719, 0.6403)); #178564=CARTESIAN_POINT('Origin',(-0.0937499999999998,0.1555,0.6403)); #178565=CARTESIAN_POINT('',(-0.0937499999999995,-0.225663177693082,0.6403)); #178566=CARTESIAN_POINT('',(-0.0937499999999998,-0.225663177693082,0.2239)); #178567=CARTESIAN_POINT('',(-0.0937499999999995,0.1555,0.6403)); #178568=CARTESIAN_POINT('Origin',(-0.0837499999999998,-0.225663177693082, 0.2239)); #178569=CARTESIAN_POINT('',(-0.0872395833333329,-0.235034560096961,0.6403)); #178570=CARTESIAN_POINT('Origin',(-0.0837499999999995,-0.225663177693082, 0.6403)); #178571=CARTESIAN_POINT('',(-0.0872395833333331,-0.235034560096961,0.2239)); #178572=CARTESIAN_POINT('Origin',(0.175,0.1555,0.6403)); #178573=CARTESIAN_POINT('',(0.0872395833333339,-0.235034560096961,0.6403)); #178574=CARTESIAN_POINT('Origin',(0.0837500000000005,-0.225663177693082, 0.6403)); #178575=CARTESIAN_POINT('Origin',(6.43020946365499E-16,-0.000750000000000018, 0.6403)); #178576=CARTESIAN_POINT('',(-0.0499999999999994,-0.0993500000000001,0.6403)); #178577=CARTESIAN_POINT('',(-0.0499999999999994,0.18465,0.6403)); #178578=CARTESIAN_POINT('',(-0.0499999999999994,0.0280749999999999,0.6403)); #178579=CARTESIAN_POINT('',(0.0500000000000007,0.18465,0.6403)); #178580=CARTESIAN_POINT('',(0.0625000000000004,0.18465,0.6403)); #178581=CARTESIAN_POINT('',(0.0500000000000006,-0.0993500000000001,0.6403)); #178582=CARTESIAN_POINT('',(0.0500000000000007,0.170075,0.6403)); #178583=CARTESIAN_POINT('',(0.1125,-0.0993500000000001,0.6403)); #178584=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178585=CARTESIAN_POINT('',(0.0872395833333336,-0.235034560096961,0.2239)); #178586=CARTESIAN_POINT('Origin',(0.0837500000000003,-0.225663177693082, 0.2239)); #178587=CARTESIAN_POINT('Origin',(-0.0499999999999996,-0.0993500000000001, 0.2239)); #178588=CARTESIAN_POINT('',(-0.0499999999999996,0.18465,0.2239)); #178589=CARTESIAN_POINT('',(-0.0499999999999996,-0.0993500000000001,0.2239)); #178590=CARTESIAN_POINT('Origin',(0.0500000000000003,-0.0993500000000001, 0.2239)); #178591=CARTESIAN_POINT('',(0.0500000000000003,-0.0993500000000001,0.2239)); #178592=CARTESIAN_POINT('Origin',(-0.0499999999999996,0.18465,0.2239)); #178593=CARTESIAN_POINT('',(0.0500000000000004,0.18465,0.2239)); #178594=CARTESIAN_POINT('Origin',(0.0500000000000004,0.18465,0.2239)); #178595=CARTESIAN_POINT('Origin',(0.0500000000000004,0.18465,0.2239)); #178596=CARTESIAN_POINT('',(0.0500000000000005,-0.0993500000000001,0.4121)); #178597=CARTESIAN_POINT('',(0.0500000000000005,0.18465,0.4121)); #178598=CARTESIAN_POINT('',(0.0500000000000005,0.170075,0.4121)); #178599=CARTESIAN_POINT('',(0.0500000000000005,-0.0993500000000001,0.4521)); #178600=CARTESIAN_POINT('',(0.0500000000000003,-0.0993500000000001,0.2239)); #178601=CARTESIAN_POINT('',(0.0500000000000005,0.18465,0.4521)); #178602=CARTESIAN_POINT('',(0.0500000000000006,0.170075,0.4521)); #178603=CARTESIAN_POINT('',(0.0500000000000004,0.18465,0.2239)); #178604=CARTESIAN_POINT('Origin',(-0.0499999999999996,0.18465,0.2239)); #178605=CARTESIAN_POINT('',(-0.0499999999999995,0.18465,0.4121)); #178606=CARTESIAN_POINT('',(-0.0718749999999996,0.18465,0.4121)); #178607=CARTESIAN_POINT('',(-0.0499999999999995,0.18465,0.4521)); #178608=CARTESIAN_POINT('',(0.0625000000000003,0.18465,0.4521)); #178609=CARTESIAN_POINT('',(-0.0499999999999996,0.18465,0.2239)); #178610=CARTESIAN_POINT('Origin',(0.0500000000000003,-0.0993500000000001, 0.2239)); #178611=CARTESIAN_POINT('',(-0.0499999999999995,-0.0993500000000001,0.4121)); #178612=CARTESIAN_POINT('',(-0.0218749999999997,-0.0993500000000001,0.4121)); #178613=CARTESIAN_POINT('',(-0.0499999999999995,-0.0993500000000001,0.4521)); #178614=CARTESIAN_POINT('',(-0.0499999999999996,-0.0993500000000001,0.2239)); #178615=CARTESIAN_POINT('',(0.1125,-0.0993500000000001,0.4521)); #178616=CARTESIAN_POINT('Origin',(-0.0499999999999996,-0.0993500000000001, 0.2239)); #178617=CARTESIAN_POINT('',(-0.0499999999999995,0.0280749999999999,0.4121)); #178618=CARTESIAN_POINT('',(-0.0499999999999995,0.0280749999999999,0.4521)); #178619=CARTESIAN_POINT('Origin',(0.0837500000000003,-0.225663177693082, 0.2239)); #178620=CARTESIAN_POINT('',(0.0872395833333338,-0.235034560096961,0.4121)); #178621=CARTESIAN_POINT('',(0.0937500000000004,-0.225663177693082,0.4121)); #178622=CARTESIAN_POINT('Origin',(0.0837500000000004,-0.225663177693082, 0.4121)); #178623=CARTESIAN_POINT('',(0.0937500000000004,-0.225663177693082,0.4521)); #178624=CARTESIAN_POINT('',(0.0937500000000003,-0.225663177693082,0.2239)); #178625=CARTESIAN_POINT('',(0.0872395833333338,-0.235034560096961,0.4521)); #178626=CARTESIAN_POINT('Origin',(0.0837500000000004,-0.225663177693082, 0.4521)); #178627=CARTESIAN_POINT('',(0.0872395833333336,-0.235034560096961,0.2239)); #178628=CARTESIAN_POINT('Origin',(0.0937500000000004,0.1555,0.4521)); #178629=CARTESIAN_POINT('',(0.0937500000000004,0.1555,0.4121)); #178630=CARTESIAN_POINT('',(0.0937500000000004,0.1555,0.4121)); #178631=CARTESIAN_POINT('',(0.0937500000000004,0.1555,0.4521)); #178632=CARTESIAN_POINT('',(0.0937500000000004,0.1555,0.4421)); #178633=CARTESIAN_POINT('',(0.0937500000000004,0.1555,0.4521)); #178634=CARTESIAN_POINT('Origin',(-0.0937499999999998,0.1555,0.4121)); #178635=CARTESIAN_POINT('',(-0.087239583333333,-0.235034560096961,0.4121)); #178636=CARTESIAN_POINT('Origin',(4.94236289526792E-16,-0.000750000000000018, 0.4121)); #178637=CARTESIAN_POINT('',(-0.0937499999999996,-0.225663177693082,0.4121)); #178638=CARTESIAN_POINT('Origin',(-0.0837499999999997,-0.225663177693082, 0.4121)); #178639=CARTESIAN_POINT('',(-0.0937499999999996,0.269992492970719,0.4121)); #178640=CARTESIAN_POINT('',(-0.0937499999999996,0.1555,0.4121)); #178641=CARTESIAN_POINT('',(-0.0867051870148197,0.279545862518028,0.4121)); #178642=CARTESIAN_POINT('Origin',(-0.0837499999999996,0.269992492970719, 0.4121)); #178643=CARTESIAN_POINT('',(0.0867051870148206,0.279545862518028,0.4121)); #178644=CARTESIAN_POINT('Origin',(4.93966909330292E-16,-0.000750000000000018, 0.4121)); #178645=CARTESIAN_POINT('',(0.0937500000000006,0.269992492970719,0.4121)); #178646=CARTESIAN_POINT('Origin',(0.0837500000000006,0.269992492970719, 0.4121)); #178647=CARTESIAN_POINT('',(0.0937500000000007,0.237652889034508,0.4121)); #178648=CARTESIAN_POINT('',(0.0937500000000007,0.19325310136087,0.4121)); #178649=CARTESIAN_POINT('',(0.0997596153846161,0.228483547148565,0.4121)); #178650=CARTESIAN_POINT('Origin',(0.103750000000001,0.237652889034508,0.4121)); #178651=CARTESIAN_POINT('',(0.175,0.177785710713571,0.4121)); #178652=CARTESIAN_POINT('Origin',(4.90353359161433E-16,-0.000750000000000018, 0.4121)); #178653=CARTESIAN_POINT('',(0.175,0.1555,0.4121)); #178654=CARTESIAN_POINT('',(0.175,0.1555,0.4121)); #178655=CARTESIAN_POINT('',(-0.0937499999999998,0.1555,0.4121)); #178656=CARTESIAN_POINT('Origin',(-0.0837499999999998,-0.225663177693082, 0.2239)); #178657=CARTESIAN_POINT('',(-0.087239583333333,-0.235034560096961,0.4521)); #178658=CARTESIAN_POINT('',(-0.0937499999999996,-0.225663177693082,0.4521)); #178659=CARTESIAN_POINT('Origin',(-0.0837499999999996,-0.225663177693082, 0.4521)); #178660=CARTESIAN_POINT('',(-0.0937499999999998,-0.225663177693082,0.2239)); #178661=CARTESIAN_POINT('',(-0.0872395833333331,-0.235034560096961,0.2239)); #178662=CARTESIAN_POINT('Origin',(-0.0937499999999998,0.1555,0.4521)); #178663=CARTESIAN_POINT('',(-0.0937499999999996,0.269992492970719,0.4521)); #178664=CARTESIAN_POINT('',(-0.0937499999999996,0.1555,0.4521)); #178665=CARTESIAN_POINT('',(-0.0937499999999998,0.269992492970719,0.2239)); #178666=CARTESIAN_POINT('Origin',(0.175,0.1555,0.4521)); #178667=CARTESIAN_POINT('',(0.175,0.1555,0.4521)); #178668=CARTESIAN_POINT('',(0.175,0.1555,0.4521)); #178669=CARTESIAN_POINT('',(0.175,0.177785710713571,0.4521)); #178670=CARTESIAN_POINT('',(0.175,0.1555,0.4521)); #178671=CARTESIAN_POINT('',(0.0997596153846161,0.228483547148565,0.4521)); #178672=CARTESIAN_POINT('Origin',(5.15607776169529E-16,-0.000750000000000018, 0.4521)); #178673=CARTESIAN_POINT('',(0.0937500000000007,0.237652889034508,0.4521)); #178674=CARTESIAN_POINT('Origin',(0.103750000000001,0.237652889034508,0.4521)); #178675=CARTESIAN_POINT('',(0.0937500000000006,0.269992492970719,0.4521)); #178676=CARTESIAN_POINT('',(0.0937500000000008,0.19325310136087,0.4521)); #178677=CARTESIAN_POINT('',(0.0867051870148207,0.279545862518028,0.4521)); #178678=CARTESIAN_POINT('Origin',(0.0837500000000006,0.269992492970719, 0.4521)); #178679=CARTESIAN_POINT('',(-0.0867051870148197,0.279545862518028,0.4521)); #178680=CARTESIAN_POINT('Origin',(5.19989349753662E-16,-0.000750000000000018, 0.4521)); #178681=CARTESIAN_POINT('Origin',(-0.0837499999999996,0.269992492970719, 0.4521)); #178682=CARTESIAN_POINT('Origin',(5.20315983977047E-16,-0.000750000000000018, 0.4521)); #178683=CARTESIAN_POINT('Origin',(0.103750000000001,0.237652889034508,0.2239)); #178684=CARTESIAN_POINT('',(0.0937500000000006,0.237652889034508,0.2239)); #178685=CARTESIAN_POINT('',(0.0997596153846159,0.228483547148565,0.2239)); #178686=CARTESIAN_POINT('Origin',(0.0937500000000006,0.231006202721739, 0.2239)); #178687=CARTESIAN_POINT('',(0.0937500000000005,0.269992492970719,0.2239)); #178688=CARTESIAN_POINT('Origin',(0.0837500000000004,0.269992492970719, 0.2239)); #178689=CARTESIAN_POINT('',(0.0867051870148205,0.279545862518028,0.2239)); #178690=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178691=CARTESIAN_POINT('',(-0.0867051870148198,0.279545862518028,0.2239)); #178692=CARTESIAN_POINT('Origin',(-0.0837499999999998,0.269992492970719, 0.2239)); #178693=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178694=CARTESIAN_POINT('Origin',(0.0406250000000003,0.1555,0.4321)); #178695=CARTESIAN_POINT('',(0.175,0.1555,0.4121)); #178696=CARTESIAN_POINT('Origin',(0.175,0.1555,0.4121)); #178697=CARTESIAN_POINT('',(0.175,0.177785710713571,0.2239)); #178698=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178699=CARTESIAN_POINT('Origin',(0.0500000000000004,0.18465,0.2239)); #178700=CARTESIAN_POINT('',(0.0500000000000004,0.18465,0.2639)); #178701=CARTESIAN_POINT('',(0.0500000000000004,-0.0993500000000001,0.2639)); #178702=CARTESIAN_POINT('',(0.0500000000000004,0.170075,0.2639)); #178703=CARTESIAN_POINT('',(0.0500000000000004,0.18465,0.2239)); #178704=CARTESIAN_POINT('',(0.0500000000000004,0.18465,0.2239)); #178705=CARTESIAN_POINT('',(0.0500000000000003,-0.0993500000000001,0.2239)); #178706=CARTESIAN_POINT('',(0.0500000000000004,0.170075,0.2239)); #178707=CARTESIAN_POINT('',(0.0500000000000003,-0.0993500000000001,0.2239)); #178708=CARTESIAN_POINT('Origin',(-0.0499999999999996,0.18465,0.2239)); #178709=CARTESIAN_POINT('',(-0.0499999999999996,0.18465,0.2639)); #178710=CARTESIAN_POINT('',(0.0625000000000003,0.18465,0.2639)); #178711=CARTESIAN_POINT('',(-0.0499999999999996,0.18465,0.2239)); #178712=CARTESIAN_POINT('',(-0.0499999999999996,0.18465,0.2239)); #178713=CARTESIAN_POINT('',(-0.0718749999999997,0.18465,0.2239)); #178714=CARTESIAN_POINT('Origin',(0.0500000000000003,-0.0993500000000001, 0.2239)); #178715=CARTESIAN_POINT('',(-0.0499999999999996,-0.0993500000000001,0.2639)); #178716=CARTESIAN_POINT('',(0.1125,-0.0993500000000001,0.2639)); #178717=CARTESIAN_POINT('',(-0.0499999999999996,-0.0993500000000001,0.2239)); #178718=CARTESIAN_POINT('',(-0.0218749999999997,-0.0993500000000001,0.2239)); #178719=CARTESIAN_POINT('',(-0.0499999999999996,-0.0993500000000001,0.2239)); #178720=CARTESIAN_POINT('Origin',(-0.0499999999999996,-0.0993500000000001, 0.2239)); #178721=CARTESIAN_POINT('',(-0.0499999999999996,0.0280749999999999,0.2639)); #178722=CARTESIAN_POINT('',(-0.0499999999999996,0.0280749999999999,0.2239)); #178723=CARTESIAN_POINT('Origin',(0.0837500000000003,-0.225663177693082, 0.2239)); #178724=CARTESIAN_POINT('',(0.0872395833333336,-0.235034560096961,0.2239)); #178725=CARTESIAN_POINT('',(0.0937500000000003,-0.225663177693082,0.2239)); #178726=CARTESIAN_POINT('Origin',(0.0837500000000003,-0.225663177693082, 0.2239)); #178727=CARTESIAN_POINT('',(0.0937500000000003,-0.225663177693082,0.2639)); #178728=CARTESIAN_POINT('',(0.0937500000000003,-0.225663177693082,0.2239)); #178729=CARTESIAN_POINT('',(0.0872395833333336,-0.235034560096961,0.2639)); #178730=CARTESIAN_POINT('Origin',(0.0837500000000003,-0.225663177693082, 0.2639)); #178731=CARTESIAN_POINT('',(0.0872395833333336,-0.235034560096961,0.2239)); #178732=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178733=CARTESIAN_POINT('',(-0.0872395833333331,-0.235034560096961,0.2639)); #178734=CARTESIAN_POINT('Origin',(3.97611021588595E-16,-0.000750000000000018, 0.2639)); #178735=CARTESIAN_POINT('',(-0.0872395833333332,-0.235034560096961,0.2239)); #178736=CARTESIAN_POINT('',(-0.0872395833333332,-0.235034560096961,0.2239)); #178737=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178738=CARTESIAN_POINT('Origin',(0.175,0.1555,0.2639)); #178739=CARTESIAN_POINT('',(0.0937500000000003,0.1555,0.2639)); #178740=CARTESIAN_POINT('',(0.0937500000000003,0.1555,0.2639)); #178741=CARTESIAN_POINT('',(0.175,0.1555,0.2639)); #178742=CARTESIAN_POINT('',(0.175,0.1555,0.2639)); #178743=CARTESIAN_POINT('',(0.175,0.177785710713571,0.2639)); #178744=CARTESIAN_POINT('',(0.175,0.1555,0.2639)); #178745=CARTESIAN_POINT('',(0.099759615384616,0.228483547148565,0.2639)); #178746=CARTESIAN_POINT('Origin',(3.96785744146436E-16,-0.000750000000000018, 0.2639)); #178747=CARTESIAN_POINT('',(0.0937500000000006,0.237652889034508,0.2639)); #178748=CARTESIAN_POINT('Origin',(0.103750000000001,0.237652889034508,0.2639)); #178749=CARTESIAN_POINT('',(0.0937500000000005,0.269992492970719,0.2639)); #178750=CARTESIAN_POINT('',(0.0937500000000007,0.19325310136087,0.2639)); #178751=CARTESIAN_POINT('',(0.0867051870148205,0.279545862518028,0.2639)); #178752=CARTESIAN_POINT('Origin',(0.0837500000000005,0.269992492970719, 0.2639)); #178753=CARTESIAN_POINT('',(-0.0867051870148198,0.279545862518028,0.2639)); #178754=CARTESIAN_POINT('Origin',(3.97553767561709E-16,-0.000750000000000018, 0.2639)); #178755=CARTESIAN_POINT('',(-0.0937499999999997,0.269992492970719,0.2639)); #178756=CARTESIAN_POINT('Origin',(-0.0837499999999997,0.269992492970719, 0.2639)); #178757=CARTESIAN_POINT('',(-0.0937499999999997,-0.225663177693082,0.2639)); #178758=CARTESIAN_POINT('',(-0.0937499999999997,0.1555,0.2639)); #178759=CARTESIAN_POINT('Origin',(-0.0837499999999998,-0.225663177693082, 0.2639)); #178760=CARTESIAN_POINT('Origin',(-0.0837499999999998,-0.225663177693082, 0.2239)); #178761=CARTESIAN_POINT('',(-0.0937499999999997,-0.225663177693082,0.2239)); #178762=CARTESIAN_POINT('',(-0.0937499999999998,-0.225663177693082,0.2239)); #178763=CARTESIAN_POINT('Origin',(-0.0837499999999998,-0.225663177693082, 0.2239)); #178764=CARTESIAN_POINT('Origin',(-0.0937499999999998,0.1555,0.2639)); #178765=CARTESIAN_POINT('',(-0.0937499999999997,0.269992492970719,0.2239)); #178766=CARTESIAN_POINT('',(-0.0937499999999998,0.269992492970719,0.2239)); #178767=CARTESIAN_POINT('',(-0.0937499999999997,0.1555,0.2239)); #178768=CARTESIAN_POINT('Origin',(0.103750000000001,0.237652889034508,0.2239)); #178769=CARTESIAN_POINT('',(0.0997596153846159,0.228483547148565,0.2239)); #178770=CARTESIAN_POINT('',(0.0937500000000006,0.237652889034508,0.2239)); #178771=CARTESIAN_POINT('Origin',(0.103750000000001,0.237652889034508,0.2239)); #178772=CARTESIAN_POINT('',(0.0937500000000006,0.237652889034508,0.2239)); #178773=CARTESIAN_POINT('',(0.0997596153846159,0.228483547148565,0.2239)); #178774=CARTESIAN_POINT('Origin',(0.0937500000000006,0.231006202721739, 0.2239)); #178775=CARTESIAN_POINT('',(0.0937500000000005,0.269992492970719,0.2239)); #178776=CARTESIAN_POINT('',(0.0937500000000006,0.19325310136087,0.2239)); #178777=CARTESIAN_POINT('',(0.0937500000000005,0.269992492970719,0.2239)); #178778=CARTESIAN_POINT('Origin',(0.0837500000000004,0.269992492970719, 0.2239)); #178779=CARTESIAN_POINT('',(0.0867051870148205,0.279545862518028,0.2239)); #178780=CARTESIAN_POINT('Origin',(0.0837500000000004,0.269992492970719, 0.2239)); #178781=CARTESIAN_POINT('',(0.0867051870148205,0.279545862518028,0.2239)); #178782=CARTESIAN_POINT('Origin',(-0.0837499999999998,0.269992492970719, 0.2239)); #178783=CARTESIAN_POINT('',(-0.0867051870148198,0.279545862518028,0.2239)); #178784=CARTESIAN_POINT('Origin',(-0.0837499999999998,0.269992492970719, 0.2239)); #178785=CARTESIAN_POINT('',(-0.0867051870148198,0.279545862518028,0.2239)); #178786=CARTESIAN_POINT('Origin',(0.0937500000000003,0.1555,0.2639)); #178787=CARTESIAN_POINT('',(0.0937500000000003,0.1555,0.2239)); #178788=CARTESIAN_POINT('',(0.0937500000000003,0.1555,0.2239)); #178789=CARTESIAN_POINT('',(0.0937500000000003,0.1555,0.2539)); #178790=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178791=CARTESIAN_POINT('',(0.175,0.177785710713571,0.2239)); #178792=CARTESIAN_POINT('',(0.175,0.177785710713571,0.2239)); #178793=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178794=CARTESIAN_POINT('Origin',(-0.0937499999999998,0.1555,0.2239)); #178795=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178796=CARTESIAN_POINT('',(0.175,0.1555,0.2239)); #178797=CARTESIAN_POINT('',(0.175,0.1555,0.2239)); #178798=CARTESIAN_POINT('',(-0.0937499999999998,0.1555,0.2239)); #178799=CARTESIAN_POINT('Origin',(0.175,0.1555,0.2239)); #178800=CARTESIAN_POINT('',(0.175,0.1555,0.2239)); #178801=CARTESIAN_POINT('Origin',(0.0406250000000003,0.1555,0.2439)); #178802=CARTESIAN_POINT('Origin',(3.7153132713834E-16,-0.000750000000000018, 0.2239)); #178803=CARTESIAN_POINT('Ctrl Pts',(0.312119768678627,-0.0400000000000008, 0.71475)); #178804=CARTESIAN_POINT('Ctrl Pts',(0.312119768678627,-0.0400000000000008, 0.714186769771126)); #178805=CARTESIAN_POINT('Ctrl Pts',(0.312211177764532,-0.0396684831605754, 0.713252483940421)); #178806=CARTESIAN_POINT('Ctrl Pts',(0.312486493278299,-0.0386165655086784, 0.712419984723362)); #178807=CARTESIAN_POINT('Ctrl Pts',(0.312670490306266,-0.0378728165619315, 0.712249999999999)); #178808=CARTESIAN_POINT('Ctrl Pts',(0.312759891929897,-0.0374999999999968, 0.71225)); #178809=CARTESIAN_POINT('Ctrl Pts',(0.311436214887093,-0.0400000000000009, 0.71475)); #178810=CARTESIAN_POINT('Ctrl Pts',(0.311436214887093,-0.0400000000000009, 0.714167006922139)); #178811=CARTESIAN_POINT('Ctrl Pts',(0.311520227262755,-0.0396382805109458, 0.713197361977189)); #178812=CARTESIAN_POINT('Ctrl Pts',(0.311770015110696,-0.0385366247487458, 0.712380921963336)); #178813=CARTESIAN_POINT('Ctrl Pts',(0.311936600320714,-0.0377818276663082, 0.712236314758676)); #178814=CARTESIAN_POINT('Ctrl Pts',(0.312017213034337,-0.0374109525891807, 0.71225)); #178815=CARTESIAN_POINT('Ctrl Pts',(0.31024882078876,-0.0405189212697388, 0.71475)); #178816=CARTESIAN_POINT('Ctrl Pts',(0.31024882078876,-0.0405189212697388, 0.714012096535312)); #178817=CARTESIAN_POINT('Ctrl Pts',(0.310318748077448,-0.0400630361685822, 0.7127925720577)); #178818=CARTESIAN_POINT('Ctrl Pts',(0.31052724302817,-0.0386679276639578, 0.711770644970456)); #178819=CARTESIAN_POINT('Ctrl Pts',(0.310664676234577,-0.0377213878791864, 0.711601200012592)); #178820=CARTESIAN_POINT('Ctrl Pts',(0.310731271655156,-0.0372567678520584, 0.711622302246997)); #178821=CARTESIAN_POINT('Ctrl Pts',(0.309711796791036,-0.0416547206715248, 0.71475)); #178822=CARTESIAN_POINT('Ctrl Pts',(0.309711796791036,-0.0416547206715248, 0.713739927931852)); #178823=CARTESIAN_POINT('Ctrl Pts',(0.309793271848218,-0.04105743986701, 0.712066010952805)); #178824=CARTESIAN_POINT('Ctrl Pts',(0.310036623154247,-0.0391771160786837, 0.710593540215359)); #178825=CARTESIAN_POINT('Ctrl Pts',(0.31019896113563,-0.0378597996888661, 0.710304713206284)); #178826=CARTESIAN_POINT('Ctrl Pts',(0.310277670565373,-0.0372023809523746, 0.710310998688141)); #178827=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,-0.0421626984126992, 0.71475)); #178828=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,-0.0421626984126992, 0.713623539542253)); #178829=CARTESIAN_POINT('Ctrl Pts',(0.309733311274338,-0.0415049269059028, 0.711754967880842)); #178830=CARTESIAN_POINT('Ctrl Pts',(0.310006441744344,-0.0394177887076944, 0.710089969446724)); #178831=CARTESIAN_POINT('Ctrl Pts',(0.310188978478438,-0.0379420963530379, 0.709749999999999)); #178832=CARTESIAN_POINT('Ctrl Pts',(0.310277670565373,-0.0372023809523737, 0.70975)); #178833=CARTESIAN_POINT('',(0.310277670565373,-0.0372023809523737,0.70975)); #178834=CARTESIAN_POINT('',(0.312759891929897,-0.0374999999999988,0.71225)); #178835=CARTESIAN_POINT('Origin',(0.312759891929897,-0.0374999999999927, 0.70975)); #178836=CARTESIAN_POINT('',(0.312119768678627,-0.0400000000000008,0.71475)); #178837=CARTESIAN_POINT('Ctrl Pts',(0.312759891929897,-0.0374999999999968, 0.71225)); #178838=CARTESIAN_POINT('Ctrl Pts',(0.312670490306266,-0.0378728165619315, 0.712249999999999)); #178839=CARTESIAN_POINT('Ctrl Pts',(0.312486493278299,-0.0386165655086784, 0.712419984723362)); #178840=CARTESIAN_POINT('Ctrl Pts',(0.312211177764532,-0.0396684831605754, 0.713252483940421)); #178841=CARTESIAN_POINT('Ctrl Pts',(0.312119768678627,-0.0400000000000008, 0.714186769771126)); #178842=CARTESIAN_POINT('Ctrl Pts',(0.312119768678627,-0.0400000000000008, 0.71475)); #178843=CARTESIAN_POINT('',(0.309642627657369,-0.0421626984126992,0.71475)); #178844=CARTESIAN_POINT('Origin',(0.312119768678627,-0.0425000000000008, 0.71475)); #178845=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,-0.0421626984126992, 0.71475)); #178846=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,-0.0421626984126992, 0.713623539542253)); #178847=CARTESIAN_POINT('Ctrl Pts',(0.309733311274338,-0.0415049269059028, 0.711754967880842)); #178848=CARTESIAN_POINT('Ctrl Pts',(0.310006441744344,-0.0394177887076944, 0.710089969446724)); #178849=CARTESIAN_POINT('Ctrl Pts',(0.310188978478438,-0.0379420963530379, 0.709749999999999)); #178850=CARTESIAN_POINT('Ctrl Pts',(0.310277670565373,-0.0372023809523737, 0.70975)); #178851=CARTESIAN_POINT('Origin',(0.,0.,0.70975)); #178852=CARTESIAN_POINT('',(0.310277670565373,0.0372023809523803,0.70975)); #178853=CARTESIAN_POINT('',(0.312759891929896,0.0374999999999993,0.71225)); #178854=CARTESIAN_POINT('Origin',(0.312759891929896,0.0374999999999993, 0.70975)); #178855=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #178856=CARTESIAN_POINT('',(0.3125,3.82702124733548E-17,0.70975)); #178857=CARTESIAN_POINT('Origin',(0.,0.,0.70975)); #178858=CARTESIAN_POINT('Origin',(0.,0.,0.70975)); #178859=CARTESIAN_POINT('Ctrl Pts',(0.312759891929896,0.0374999999999994, 0.71225)); #178860=CARTESIAN_POINT('Ctrl Pts',(0.312625789272344,0.0380592257691116, 0.71225)); #178861=CARTESIAN_POINT('Ctrl Pts',(0.312391484559172,0.0389876418652521, 0.712575243358811)); #178862=CARTESIAN_POINT('Ctrl Pts',(0.312166973259036,0.0398272430136983, 0.713625051015063)); #178863=CARTESIAN_POINT('Ctrl Pts',(0.312119768678628,0.0399999999999993, 0.714374512558855)); #178864=CARTESIAN_POINT('Ctrl Pts',(0.312119768678628,0.0399999999999993, 0.71475)); #178865=CARTESIAN_POINT('Ctrl Pts',(0.312017213034337,0.0374109525891833, 0.71225)); #178866=CARTESIAN_POINT('Ctrl Pts',(0.311896293763631,0.0379672661262613, 0.712229472104015)); #178867=CARTESIAN_POINT('Ctrl Pts',(0.311683754775651,0.038921104346303, 0.712524541014537)); #178868=CARTESIAN_POINT('Ctrl Pts',(0.31147964018296,0.039812262168988, 0.713582937794776)); #178869=CARTESIAN_POINT('Ctrl Pts',(0.311436214887093,0.0399999999999993, 0.714361337304375)); #178870=CARTESIAN_POINT('Ctrl Pts',(0.311436214887093,0.0399999999999993, 0.71475)); #178871=CARTESIAN_POINT('Ctrl Pts',(0.310731271655155,0.037256767852062, 0.711622302246997)); #178872=CARTESIAN_POINT('Ctrl Pts',(0.31063137835884,0.0379536990470369, 0.711590648842965)); #178873=CARTESIAN_POINT('Ctrl Pts',(0.310455817317836,0.0391519117928968, 0.711945012736746)); #178874=CARTESIAN_POINT('Ctrl Pts',(0.310284822552567,0.0402830805543384, 0.713277524043356)); #178875=CARTESIAN_POINT('Ctrl Pts',(0.31024882078876,0.0405189212697373, 0.714258063542112)); #178876=CARTESIAN_POINT('Ctrl Pts',(0.31024882078876,0.0405189212697373, 0.71475)); #178877=CARTESIAN_POINT('Ctrl Pts',(0.310277670565373,0.0372023809523803, 0.710310998688141)); #178878=CARTESIAN_POINT('Ctrl Pts',(0.310159606225214,0.0381885106903817, 0.710301570449742)); #178879=CARTESIAN_POINT('Ctrl Pts',(0.309952647498733,0.0398378875425216, 0.710863231957715)); #178880=CARTESIAN_POINT('Ctrl Pts',(0.309753887464319,0.04134401353476, 0.71273312267914)); #178881=CARTESIAN_POINT('Ctrl Pts',(0.309711796791036,0.0416547206715233, 0.714076617505954)); #178882=CARTESIAN_POINT('Ctrl Pts',(0.309711796791036,0.0416547206715233, 0.71475)); #178883=CARTESIAN_POINT('Ctrl Pts',(0.310277670565373,0.0372023809523803, 0.70975)); #178884=CARTESIAN_POINT('Ctrl Pts',(0.310144632214627,0.0383119558910951, 0.70975)); #178885=CARTESIAN_POINT('Ctrl Pts',(0.30991218706267,0.0401540513199453, 0.710400486717622)); #178886=CARTESIAN_POINT('Ctrl Pts',(0.30968945759825,0.0418199266144814, 0.712500102030125)); #178887=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,0.0421626984126977, 0.71399902511771)); #178888=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,0.0421626984126977, 0.71475)); #178889=CARTESIAN_POINT('',(0.309642627657369,0.0421626984126977,0.71475)); #178890=CARTESIAN_POINT('',(0.312119768678628,0.0399999999999993,0.71475)); #178891=CARTESIAN_POINT('Origin',(0.312119768678628,0.0424999999999993, 0.71475)); #178892=CARTESIAN_POINT('Ctrl Pts',(0.312119768678628,0.0399999999999993, 0.71475)); #178893=CARTESIAN_POINT('Ctrl Pts',(0.312119768678628,0.0399999999999993, 0.714374512558855)); #178894=CARTESIAN_POINT('Ctrl Pts',(0.312166973259036,0.0398272430136983, 0.713625051015063)); #178895=CARTESIAN_POINT('Ctrl Pts',(0.312391484559172,0.0389876418652521, 0.712575243358811)); #178896=CARTESIAN_POINT('Ctrl Pts',(0.312625789272344,0.0380592257691116, 0.71225)); #178897=CARTESIAN_POINT('Ctrl Pts',(0.312759891929896,0.0374999999999994, 0.71225)); #178898=CARTESIAN_POINT('Ctrl Pts',(0.310277670565373,0.0372023809523803, 0.70975)); #178899=CARTESIAN_POINT('Ctrl Pts',(0.310144632214627,0.0383119558910951, 0.70975)); #178900=CARTESIAN_POINT('Ctrl Pts',(0.30991218706267,0.0401540513199453, 0.710400486717622)); #178901=CARTESIAN_POINT('Ctrl Pts',(0.30968945759825,0.0418199266144814, 0.712500102030125)); #178902=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,0.0421626984126977, 0.71399902511771)); #178903=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,0.0421626984126977, 0.71475)); #178904=CARTESIAN_POINT('Origin',(0.312119768678628,0.0424999999999992, 0.71225)); #178905=CARTESIAN_POINT('',(0.309642627657369,0.0421626984126977,0.75975)); #178906=CARTESIAN_POINT('',(0.312119768678628,0.0399999999999993,0.75975)); #178907=CARTESIAN_POINT('Origin',(0.312119768678628,0.0424999999999992, 0.75975)); #178908=CARTESIAN_POINT('',(0.312119768678628,0.0399999999999993,0.71225)); #178909=CARTESIAN_POINT('',(0.309642627657369,0.0421626984126977,0.71225)); #178910=CARTESIAN_POINT('Ctrl Pts',(0.312119768678628,0.0399999999999993, 0.75975)); #178911=CARTESIAN_POINT('Ctrl Pts',(0.312119768678628,0.0399999999999993, 0.760313230228873)); #178912=CARTESIAN_POINT('Ctrl Pts',(0.312211177769791,0.0396684831447772, 0.761247516051789)); #178913=CARTESIAN_POINT('Ctrl Pts',(0.312486493280864,0.0386165655004644, 0.762080015271489)); #178914=CARTESIAN_POINT('Ctrl Pts',(0.312670490306266,0.03787281656193, 0.76225)); #178915=CARTESIAN_POINT('Ctrl Pts',(0.312759891929897,0.0374999999999953, 0.76225)); #178916=CARTESIAN_POINT('Ctrl Pts',(0.311436214887093,0.0399999999999993, 0.75975)); #178917=CARTESIAN_POINT('Ctrl Pts',(0.311436214887093,0.0399999999999993, 0.760332993077861)); #178918=CARTESIAN_POINT('Ctrl Pts',(0.311520227272467,0.0396382804634423, 0.761302638013479)); #178919=CARTESIAN_POINT('Ctrl Pts',(0.311770015116087,0.0385366247194582, 0.76211907802853)); #178920=CARTESIAN_POINT('Ctrl Pts',(0.311936600320714,0.0377818276663067, 0.762263685241324)); #178921=CARTESIAN_POINT('Ctrl Pts',(0.312017213034338,0.0374109525891792, 0.76225)); #178922=CARTESIAN_POINT('Ctrl Pts',(0.310248837334593,0.0405189373488182, 0.75975)); #178923=CARTESIAN_POINT('Ctrl Pts',(0.310248837334593,0.0405189373488182, 0.760487903464688)); #178924=CARTESIAN_POINT('Ctrl Pts',(0.310318769487969,0.0400630445236186, 0.761707440180969)); #178925=CARTESIAN_POINT('Ctrl Pts',(0.310527264805679,0.0386679354451578, 0.762729368201885)); #178926=CARTESIAN_POINT('Ctrl Pts',(0.310664701047124,0.0377213908542162, 0.762898820815076)); #178927=CARTESIAN_POINT('Ctrl Pts',(0.310731296467703,0.0372567708270883, 0.762877718580671)); #178928=CARTESIAN_POINT('Ctrl Pts',(0.309711796791036,0.0416547206715233, 0.75975)); #178929=CARTESIAN_POINT('Ctrl Pts',(0.309711796791036,0.0416547206715233, 0.760760072068147)); #178930=CARTESIAN_POINT('Ctrl Pts',(0.309793271855474,0.0410574398418427, 0.762433989032097)); #178931=CARTESIAN_POINT('Ctrl Pts',(0.310036623158096,0.0391771160665164, 0.763906459775187)); #178932=CARTESIAN_POINT('Ctrl Pts',(0.31019896113563,0.0378597996888646, 0.764195286793716)); #178933=CARTESIAN_POINT('Ctrl Pts',(0.310277670565374,0.0372023809523731, 0.764189001311859)); #178934=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,0.0421626984126977, 0.75975)); #178935=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,0.0421626984126977, 0.760876460457747)); #178936=CARTESIAN_POINT('Ctrl Pts',(0.309733311279555,0.0415049268745586, 0.762745032103579)); #178937=CARTESIAN_POINT('Ctrl Pts',(0.310006441746889,0.0394177886913982, 0.764410030542978)); #178938=CARTESIAN_POINT('Ctrl Pts',(0.310188978478438,0.0379420963530364, 0.764750000000001)); #178939=CARTESIAN_POINT('Ctrl Pts',(0.310277670565374,0.0372023809523722, 0.76475)); #178940=CARTESIAN_POINT('',(0.310277670565374,0.0372023809523722,0.76475)); #178941=CARTESIAN_POINT('',(0.312759891929897,0.0374999999999973,0.76225)); #178942=CARTESIAN_POINT('Origin',(0.312759891929897,0.0374999999999912, 0.76475)); #178943=CARTESIAN_POINT('Ctrl Pts',(0.312759891929897,0.0374999999999953, 0.76225)); #178944=CARTESIAN_POINT('Ctrl Pts',(0.312670490306266,0.03787281656193, 0.76225)); #178945=CARTESIAN_POINT('Ctrl Pts',(0.312486493280864,0.0386165655004644, 0.762080015271489)); #178946=CARTESIAN_POINT('Ctrl Pts',(0.312211177769791,0.0396684831447772, 0.761247516051789)); #178947=CARTESIAN_POINT('Ctrl Pts',(0.312119768678628,0.0399999999999993, 0.760313230228873)); #178948=CARTESIAN_POINT('Ctrl Pts',(0.312119768678628,0.0399999999999993, 0.75975)); #178949=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,0.0421626984126977, 0.75975)); #178950=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,0.0421626984126977, 0.760876460457747)); #178951=CARTESIAN_POINT('Ctrl Pts',(0.309733311279555,0.0415049268745586, 0.762745032103579)); #178952=CARTESIAN_POINT('Ctrl Pts',(0.310006441746889,0.0394177886913982, 0.764410030542978)); #178953=CARTESIAN_POINT('Ctrl Pts',(0.310188978478438,0.0379420963530364, 0.764750000000001)); #178954=CARTESIAN_POINT('Ctrl Pts',(0.310277670565374,0.0372023809523722, 0.76475)); #178955=CARTESIAN_POINT('Origin',(0.,0.,0.76475)); #178956=CARTESIAN_POINT('',(0.310277670565373,-0.0372023809523818,0.76475)); #178957=CARTESIAN_POINT('',(0.312759891929895,-0.0375000000000008,0.76225)); #178958=CARTESIAN_POINT('Origin',(0.312759891929895,-0.0375000000000008, 0.76475)); #178959=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #178960=CARTESIAN_POINT('',(0.3125,3.82702124733548E-17,0.76475)); #178961=CARTESIAN_POINT('Origin',(0.,0.,0.76475)); #178962=CARTESIAN_POINT('Origin',(0.,0.,0.76475)); #178963=CARTESIAN_POINT('Ctrl Pts',(0.312759891929895,-0.0375000000000009, 0.76225)); #178964=CARTESIAN_POINT('Ctrl Pts',(0.312625789272344,-0.0380592257691131, 0.76225)); #178965=CARTESIAN_POINT('Ctrl Pts',(0.312391484559225,-0.0389876418592779, 0.76192475662721)); #178966=CARTESIAN_POINT('Ctrl Pts',(0.312166973259575,-0.039827243009233, 0.760874948977576)); #178967=CARTESIAN_POINT('Ctrl Pts',(0.312119768678627,-0.0400000000000008, 0.760125487441145)); #178968=CARTESIAN_POINT('Ctrl Pts',(0.312119768678627,-0.0400000000000008, 0.75975)); #178969=CARTESIAN_POINT('Ctrl Pts',(0.312017213034336,-0.0374109525891848, 0.76225)); #178970=CARTESIAN_POINT('Ctrl Pts',(0.31189629376363,-0.0379672661262628, 0.762270527895985)); #178971=CARTESIAN_POINT('Ctrl Pts',(0.311683754778545,-0.038921104322874, 0.761975458957577)); #178972=CARTESIAN_POINT('Ctrl Pts',(0.311479640185729,-0.0398122621518347, 0.760917062190832)); #178973=CARTESIAN_POINT('Ctrl Pts',(0.311436214887093,-0.0400000000000009, 0.760138662695624)); #178974=CARTESIAN_POINT('Ctrl Pts',(0.311436214887093,-0.0400000000000009, 0.75975)); #178975=CARTESIAN_POINT('Ctrl Pts',(0.310731296467704,-0.0372567708270952, 0.762877718580673)); #178976=CARTESIAN_POINT('Ctrl Pts',(0.310631403171389,-0.0379537020220701, 0.762909371984705)); #178977=CARTESIAN_POINT('Ctrl Pts',(0.310455837265002,-0.0391519224827765, 0.762554995821227)); #178978=CARTESIAN_POINT('Ctrl Pts',(0.310284842132581,-0.0402830918237161, 0.761222483594999)); #178979=CARTESIAN_POINT('Ctrl Pts',(0.310248837334595,-0.0405189373488213, 0.760241936457888)); #178980=CARTESIAN_POINT('Ctrl Pts',(0.310248837334595,-0.0405189373488213, 0.75975)); #178981=CARTESIAN_POINT('Ctrl Pts',(0.310277670565372,-0.0372023809523818, 0.764189001311859)); #178982=CARTESIAN_POINT('Ctrl Pts',(0.310159606225214,-0.0381885106903832, 0.764198429550258)); #178983=CARTESIAN_POINT('Ctrl Pts',(0.309952647500179,-0.0398378875367823, 0.763636768018258)); #178984=CARTESIAN_POINT('Ctrl Pts',(0.309753887465966,-0.041344013529691, 0.761766877308166)); #178985=CARTESIAN_POINT('Ctrl Pts',(0.309711796791036,-0.0416547206715248, 0.760423382494046)); #178986=CARTESIAN_POINT('Ctrl Pts',(0.309711796791036,-0.0416547206715248, 0.75975)); #178987=CARTESIAN_POINT('Ctrl Pts',(0.310277670565372,-0.0372023809523818, 0.76475)); #178988=CARTESIAN_POINT('Ctrl Pts',(0.310144632214627,-0.0383119558910966, 0.76475)); #178989=CARTESIAN_POINT('Ctrl Pts',(0.309912187062724,-0.0401540513080903, 0.76409951325442)); #178990=CARTESIAN_POINT('Ctrl Pts',(0.309689457598785,-0.0418199266056201, 0.761999897955152)); #178991=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,-0.0421626984126992, 0.76050097488229)); #178992=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,-0.0421626984126992, 0.75975)); #178993=CARTESIAN_POINT('',(0.309642627657369,-0.0421626984126992,0.75975)); #178994=CARTESIAN_POINT('',(0.312119768678627,-0.0400000000000008,0.75975)); #178995=CARTESIAN_POINT('Origin',(0.312119768678627,-0.0425000000000008, 0.75975)); #178996=CARTESIAN_POINT('Ctrl Pts',(0.312119768678627,-0.0400000000000008, 0.75975)); #178997=CARTESIAN_POINT('Ctrl Pts',(0.312119768678627,-0.0400000000000008, 0.760125487441145)); #178998=CARTESIAN_POINT('Ctrl Pts',(0.312166973259575,-0.039827243009233, 0.760874948977576)); #178999=CARTESIAN_POINT('Ctrl Pts',(0.312391484559225,-0.0389876418592779, 0.76192475662721)); #179000=CARTESIAN_POINT('Ctrl Pts',(0.312625789272344,-0.0380592257691131, 0.76225)); #179001=CARTESIAN_POINT('Ctrl Pts',(0.312759891929895,-0.0375000000000009, 0.76225)); #179002=CARTESIAN_POINT('Ctrl Pts',(0.310277670565372,-0.0372023809523818, 0.76475)); #179003=CARTESIAN_POINT('Ctrl Pts',(0.310144632214627,-0.0383119558910966, 0.76475)); #179004=CARTESIAN_POINT('Ctrl Pts',(0.309912187062724,-0.0401540513080903, 0.76409951325442)); #179005=CARTESIAN_POINT('Ctrl Pts',(0.309689457598785,-0.0418199266056201, 0.761999897955152)); #179006=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,-0.0421626984126992, 0.76050097488229)); #179007=CARTESIAN_POINT('Ctrl Pts',(0.309642627657369,-0.0421626984126992, 0.75975)); #179008=CARTESIAN_POINT('Origin',(0.312119768678627,-0.0425000000000009, 0.71225)); #179009=CARTESIAN_POINT('',(0.309642627657368,-0.0421626984126993,0.71225)); #179010=CARTESIAN_POINT('',(0.312119768678627,-0.0400000000000008,0.71225)); #179011=CARTESIAN_POINT('Origin',(0.316413690818475,-7.39648848832743E-16, 0.76225)); #179012=CARTESIAN_POINT('',(0.317795138414671,-0.0375000000000008,0.76225)); #179013=CARTESIAN_POINT('',(0.313171559134654,-0.0375000000000008,0.76225)); #179014=CARTESIAN_POINT('',(0.317795138414671,0.0374999999999993,0.76225)); #179015=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #179016=CARTESIAN_POINT('',(0.318211728147234,0.0374999999999993,0.76225)); #179017=CARTESIAN_POINT('Origin',(0.313171559134654,-0.0375000000000008, 0.75975)); #179018=CARTESIAN_POINT('',(0.317795138414671,-0.0400000000000008,0.75975)); #179019=CARTESIAN_POINT('',(0.313171559134654,-0.0400000000000008,0.75975)); #179020=CARTESIAN_POINT('Origin',(0.317795138414671,-0.0375000000000008, 0.75975)); #179021=CARTESIAN_POINT('Origin',(0.309929427450831,-0.0400000000000009, 0.71225)); #179022=CARTESIAN_POINT('',(0.317795138414671,-0.0400000000000008,0.71475)); #179023=CARTESIAN_POINT('',(0.313171559134654,-0.0400000000000008,0.71475)); #179024=CARTESIAN_POINT('',(0.317795138414671,-0.0400000000000008,0.71225)); #179025=CARTESIAN_POINT('Origin',(0.313171559134654,-0.0375000000000008, 0.71475)); #179026=CARTESIAN_POINT('',(0.317795138414671,-0.0375000000000008,0.71225)); #179027=CARTESIAN_POINT('',(0.313171559134654,-0.0375000000000008,0.71225)); #179028=CARTESIAN_POINT('Origin',(0.317795138414671,-0.0375000000000008, 0.71475)); #179029=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179030=CARTESIAN_POINT('',(0.3125,3.82702124733548E-17,0.81125)); #179031=CARTESIAN_POINT('Origin',(0.,0.,0.81125)); #179032=CARTESIAN_POINT('',(0.3125,3.82702124733548E-17,0.71225)); #179033=CARTESIAN_POINT('',(0.3125,3.82702124733548E-17,0.66975)); #179034=CARTESIAN_POINT('',(0.3125,3.82702124733548E-17,0.71225)); #179035=CARTESIAN_POINT('',(0.306590853341644,0.0604838709677411,0.66975)); #179036=CARTESIAN_POINT('Origin',(0.,0.,0.66975)); #179037=CARTESIAN_POINT('',(0.306590853341644,0.0604838709677411,0.70975)); #179038=CARTESIAN_POINT('',(0.306590853341644,0.0604838709677411,0.71225)); #179039=CARTESIAN_POINT('',(0.305719741911237,0.0647432576082353,0.71225)); #179040=CARTESIAN_POINT('Ctrl Pts',(0.305719741911237,0.0647432576082353, 0.71225)); #179041=CARTESIAN_POINT('Ctrl Pts',(0.305799121054661,0.0643684267434162, 0.71225)); #179042=CARTESIAN_POINT('Ctrl Pts',(0.30587718754987,0.0639963385834303, 0.712184656808118)); #179043=CARTESIAN_POINT('Ctrl Pts',(0.306068120579942,0.0630783667541676, 0.711887270015794)); #179044=CARTESIAN_POINT('Ctrl Pts',(0.30616599500434,0.0626001893010057, 0.711600288038479)); #179045=CARTESIAN_POINT('Ctrl Pts',(0.30636979074918,0.0615971250785592, 0.710876858426567)); #179046=CARTESIAN_POINT('Ctrl Pts',(0.306478885942526,0.0610511367165818, 0.71032582698963)); #179047=CARTESIAN_POINT('Ctrl Pts',(0.306586780216266,0.0605045147681104, 0.709770957451873)); #179048=CARTESIAN_POINT('Ctrl Pts',(0.306588817046823,0.060494192882606, 0.709760478688644)); #179049=CARTESIAN_POINT('Ctrl Pts',(0.306590853341644,0.0604838709677411, 0.70975)); #179050=CARTESIAN_POINT('',(0.0475,0.30886890422961,0.71225)); #179051=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179052=CARTESIAN_POINT('',(0.0475,0.30886890422961,0.76225)); #179053=CARTESIAN_POINT('',(0.0475,0.30886890422961,0.71225)); #179054=CARTESIAN_POINT('',(0.045,0.309243027407248,0.76225)); #179055=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #179056=CARTESIAN_POINT('',(-0.045,0.309243027407248,0.76225)); #179057=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #179058=CARTESIAN_POINT('',(-0.0475,0.30886890422961,0.76225)); #179059=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #179060=CARTESIAN_POINT('',(-0.0475,0.30886890422961,0.71225)); #179061=CARTESIAN_POINT('',(-0.0475,0.30886890422961,0.71225)); #179062=CARTESIAN_POINT('',(-0.0475,-0.30886890422961,0.71225)); #179063=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179064=CARTESIAN_POINT('',(-0.0475,-0.30886890422961,0.76225)); #179065=CARTESIAN_POINT('',(-0.0475,-0.30886890422961,0.71225)); #179066=CARTESIAN_POINT('',(-0.0449999999999999,-0.309243027407248,0.76225)); #179067=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #179068=CARTESIAN_POINT('',(0.0450000000000001,-0.309243027407248,0.76225)); #179069=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #179070=CARTESIAN_POINT('',(0.0475,-0.30886890422961,0.76225)); #179071=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #179072=CARTESIAN_POINT('',(0.0475,-0.30886890422961,0.71225)); #179073=CARTESIAN_POINT('',(0.0475,-0.30886890422961,0.71225)); #179074=CARTESIAN_POINT('',(0.305719741911237,-0.0647432576082359,0.71225)); #179075=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179076=CARTESIAN_POINT('',(0.306590853341644,-0.0604838709677417,0.70975)); #179077=CARTESIAN_POINT('Ctrl Pts',(0.306590853341644,-0.0604838709677417, 0.70975)); #179078=CARTESIAN_POINT('Ctrl Pts',(0.306588817046822,-0.0604941928826118, 0.709760478688649)); #179079=CARTESIAN_POINT('Ctrl Pts',(0.306586780216267,-0.0605045147681058, 0.709770957451867)); #179080=CARTESIAN_POINT('Ctrl Pts',(0.306478885942518,-0.0610511367166195, 0.710325826989668)); #179081=CARTESIAN_POINT('Ctrl Pts',(0.306369790749175,-0.061597125078581, 0.710876858426583)); #179082=CARTESIAN_POINT('Ctrl Pts',(0.30616599500434,-0.0626001893010047, 0.711600288038478)); #179083=CARTESIAN_POINT('Ctrl Pts',(0.306068120579942,-0.0630783667541668, 0.711887270015794)); #179084=CARTESIAN_POINT('Ctrl Pts',(0.305877187549869,-0.0639963385834326, 0.712184656808118)); #179085=CARTESIAN_POINT('Ctrl Pts',(0.30579912105466,-0.0643684267434204, 0.71225)); #179086=CARTESIAN_POINT('Ctrl Pts',(0.305719741911236,-0.0647432576082414, 0.71225)); #179087=CARTESIAN_POINT('',(0.306590853341644,-0.0604838709677417,0.66975)); #179088=CARTESIAN_POINT('',(0.306590853341644,-0.0604838709677417,0.71225)); #179089=CARTESIAN_POINT('Origin',(0.,0.,0.66975)); #179090=CARTESIAN_POINT('Origin',(0.,0.,0.71475)); #179091=CARTESIAN_POINT('',(0.317795138414671,0.0374999999999993,0.71225)); #179092=CARTESIAN_POINT('',(0.320277912933536,0.0377929687499993,0.71475)); #179093=CARTESIAN_POINT('Origin',(0.317795138414671,0.0374999999999993, 0.71475)); #179094=CARTESIAN_POINT('',(0.320277912933536,-0.0377929687500008,0.71475)); #179095=CARTESIAN_POINT('Origin',(0.,0.,0.71475)); #179096=CARTESIAN_POINT('Origin',(0.317795138414671,-0.0375000000000008, 0.71475)); #179097=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179098=CARTESIAN_POINT('Origin',(0.317795138414671,-0.0375000000000007, 0.71225)); #179099=CARTESIAN_POINT('Origin',(0.317795138414671,-0.0375000000000007, 0.71475)); #179100=CARTESIAN_POINT('',(0.320277912933536,-0.0377929687500008,0.75975)); #179101=CARTESIAN_POINT('',(0.320277912933536,-0.0377929687500008,0.71225)); #179102=CARTESIAN_POINT('Origin',(0.317795138414671,-0.0375000000000007, 0.75975)); #179103=CARTESIAN_POINT('Origin',(0.317795138414671,-0.0375000000000008, 0.71475)); #179104=CARTESIAN_POINT('Origin',(0.,0.,0.75975)); #179105=CARTESIAN_POINT('Origin',(0.317795138414671,-0.0375000000000008, 0.75975)); #179106=CARTESIAN_POINT('',(0.320277912933536,0.0377929687499993,0.75975)); #179107=CARTESIAN_POINT('Origin',(0.,0.,0.75975)); #179108=CARTESIAN_POINT('Origin',(0.317795138414671,0.0374999999999993, 0.75975)); #179109=CARTESIAN_POINT('Origin',(0.317795138414671,-0.0375000000000008, 0.75975)); #179110=CARTESIAN_POINT('Origin',(0.317795138414671,0.0374999999999993, 0.71225)); #179111=CARTESIAN_POINT('',(0.317795138414671,0.0399999999999993,0.71475)); #179112=CARTESIAN_POINT('Origin',(0.317795138414671,0.0374999999999993, 0.71475)); #179113=CARTESIAN_POINT('',(0.317795138414671,0.0399999999999993,0.75975)); #179114=CARTESIAN_POINT('',(0.317795138414671,0.0399999999999993,0.71225)); #179115=CARTESIAN_POINT('Origin',(0.317795138414671,0.0374999999999993, 0.75975)); #179116=CARTESIAN_POINT('',(0.320277912933536,0.0377929687499993,0.71225)); #179117=CARTESIAN_POINT('Origin',(0.317795138414671,0.0374999999999993, 0.75975)); #179118=CARTESIAN_POINT('Origin',(0.317795138414671,0.0374999999999993, 0.75975)); #179119=CARTESIAN_POINT('Origin',(0.317795138414671,0.0374999999999993, 0.71475)); #179120=CARTESIAN_POINT('Origin',(0.317795138414671,0.0374999999999993, 0.71475)); #179121=CARTESIAN_POINT('Origin',(0.318211728147234,0.0374999999999993, 0.75975)); #179122=CARTESIAN_POINT('',(0.318211728147234,0.0399999999999993,0.75975)); #179123=CARTESIAN_POINT('Origin',(0.320009765475993,0.0399999999999993, 0.71225)); #179124=CARTESIAN_POINT('',(0.318211728147234,0.0399999999999993,0.71475)); #179125=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179126=CARTESIAN_POINT('Origin',(0.153093108923949,-0.0649999999999997, 0.70975)); #179127=CARTESIAN_POINT('',(0.241286784760376,-0.0624999999999998,0.70975)); #179128=CARTESIAN_POINT('',(0.240625357142592,-0.0649999999999998,0.71225)); #179129=CARTESIAN_POINT('Ctrl Pts',(0.241286784760376,-0.0624999999999998, 0.70975)); #179130=CARTESIAN_POINT('Ctrl Pts',(0.241286784760376,-0.0624999999999998, 0.710063306512513)); #179131=CARTESIAN_POINT('Ctrl Pts',(0.241270604906122,-0.062562586797491, 0.71039674763514)); #179132=CARTESIAN_POINT('Ctrl Pts',(0.241205101426344,-0.0628146167584344, 0.711008443500257)); #179133=CARTESIAN_POINT('Ctrl Pts',(0.241155747540418,-0.0630041108876904, 0.711286674001786)); #179134=CARTESIAN_POINT('Ctrl Pts',(0.241040052160649,-0.0634453289112958, 0.711733789628714)); #179135=CARTESIAN_POINT('Ctrl Pts',(0.24096517451243,-0.0637295266901321, 0.711929116811335)); #179136=CARTESIAN_POINT('Ctrl Pts',(0.240800270005245,-0.0643497711107568, 0.712187256587464)); #179137=CARTESIAN_POINT('Ctrl Pts',(0.240710220850535,-0.0646858406148877, 0.71225)); #179138=CARTESIAN_POINT('Ctrl Pts',(0.240625357142592,-0.0649999999999998, 0.71225)); #179139=CARTESIAN_POINT('',(0.304138126514911,-0.0624999999999998,0.70975)); #179140=CARTESIAN_POINT('',(0.153093108923949,-0.0624999999999997,0.70975)); #179141=CARTESIAN_POINT('',(0.304138126514911,-0.0649999999999998,0.71225)); #179142=CARTESIAN_POINT('Origin',(0.304138126514911,-0.0649999999999998, 0.70975)); #179143=CARTESIAN_POINT('',(0.153093108923949,-0.0649999999999997,0.71225)); #179144=CARTESIAN_POINT('Origin',(0.304138126514911,-0.0599999999999997, 0.70975)); #179145=CARTESIAN_POINT('Origin',(0.304138126514911,-0.0599999999999997, 0.71225)); #179146=CARTESIAN_POINT('Origin',(0.304138126514911,-0.0599999999999997, 0.70975)); #179147=CARTESIAN_POINT('Origin',(0.304138126514911,-0.0599999999999997, 0.71225)); #179148=CARTESIAN_POINT('',(0.304138126514911,-0.0624999999999998,0.66975)); #179149=CARTESIAN_POINT('',(0.304138126514911,-0.0624999999999998,0.71225)); #179150=CARTESIAN_POINT('Origin',(0.304138126514911,-0.0599999999999997, 0.66975)); #179151=CARTESIAN_POINT('Origin',(0.306186217847897,-0.0624999999999998, 0.71225)); #179152=CARTESIAN_POINT('',(0.241287196298446,-0.0624999999999998,0.668660337266735)); #179153=CARTESIAN_POINT('',(0.241286784760376,-0.0624999999999998,0.)); #179154=CARTESIAN_POINT('',(0.244495526543943,-0.0624999999999998,0.66975)); #179155=CARTESIAN_POINT('Ctrl Pts',(0.244495526543943,-0.0624999999999998, 0.66975)); #179156=CARTESIAN_POINT('Ctrl Pts',(0.243356575834472,-0.0624999999999998, 0.66975)); #179157=CARTESIAN_POINT('Ctrl Pts',(0.24220789546859,-0.0624999999999998, 0.669366715552129)); #179158=CARTESIAN_POINT('Ctrl Pts',(0.241295999050966,-0.0624999999999998, 0.668667056320711)); #179159=CARTESIAN_POINT('Ctrl Pts',(0.24128722949246,-0.0624999999999998, 0.668660281565268)); #179160=CARTESIAN_POINT('',(0.291352484742152,-0.0624999999999998,0.66975)); #179161=CARTESIAN_POINT('Origin',(0.120643392380188,0.0649999999999988, 0.70975)); #179162=CARTESIAN_POINT('',(0.240625357142592,0.0649999999999991,0.71225)); #179163=CARTESIAN_POINT('',(0.241286784760376,0.0624999999999991,0.70975)); #179164=CARTESIAN_POINT('Ctrl Pts',(0.240625357142592,0.064999999999999, 0.71225)); #179165=CARTESIAN_POINT('Ctrl Pts',(0.240710220850536,0.064685840614887, 0.71225)); #179166=CARTESIAN_POINT('Ctrl Pts',(0.240800270005246,0.064349771110756, 0.712187256587464)); #179167=CARTESIAN_POINT('Ctrl Pts',(0.24096517451243,0.0637295266901314, 0.711929116811335)); #179168=CARTESIAN_POINT('Ctrl Pts',(0.24104005216065,0.0634453289112951, 0.711733789628714)); #179169=CARTESIAN_POINT('Ctrl Pts',(0.241155747540418,0.0630041108876897, 0.711286674001786)); #179170=CARTESIAN_POINT('Ctrl Pts',(0.241205101426344,0.0628146167584337, 0.711008443500257)); #179171=CARTESIAN_POINT('Ctrl Pts',(0.241270604906122,0.0625625867974902, 0.71039674763514)); #179172=CARTESIAN_POINT('Ctrl Pts',(0.241286784760376,0.062499999999999, 0.710063306512513)); #179173=CARTESIAN_POINT('Ctrl Pts',(0.241286784760376,0.0624999999999991, 0.70975)); #179174=CARTESIAN_POINT('',(0.304138126514911,0.0649999999999992,0.71225)); #179175=CARTESIAN_POINT('',(0.120643392380188,0.0649999999999988,0.71225)); #179176=CARTESIAN_POINT('',(0.304138126514911,0.0624999999999992,0.70975)); #179177=CARTESIAN_POINT('Origin',(0.304138126514911,0.0649999999999992, 0.70975)); #179178=CARTESIAN_POINT('',(0.120643392380188,0.0624999999999988,0.70975)); #179179=CARTESIAN_POINT('Origin',(0.304138126514911,0.0599999999999992, 0.70975)); #179180=CARTESIAN_POINT('Origin',(0.304138126514911,0.0599999999999992, 0.70975)); #179181=CARTESIAN_POINT('Origin',(0.304138126514911,0.0599999999999992, 0.71225)); #179182=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179183=CARTESIAN_POINT('',(0.0475,0.244682064115864,0.71225)); #179184=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179185=CARTESIAN_POINT('',(0.0475,0.154434452114805,0.71225)); #179186=CARTESIAN_POINT('Origin',(0.318211728147234,0.0374999999999993, 0.71475)); #179187=CARTESIAN_POINT('',(0.318211728147234,0.0374999999999993,0.71225)); #179188=CARTESIAN_POINT('Origin',(0.291352484742152,-0.0599999999999998, 0.66975)); #179189=CARTESIAN_POINT('',(0.304138126514911,-0.0599999999999998,0.66725)); #179190=CARTESIAN_POINT('Origin',(0.304138126514911,-0.0599999999999998, 0.66975)); #179191=CARTESIAN_POINT('',(0.244495526543943,-0.0599999999999998,0.66725)); #179192=CARTESIAN_POINT('Origin',(0.244495526543943,-0.0599999999999998, 0.66975)); #179193=CARTESIAN_POINT('',(0.291352484742152,-0.0599999999999998,0.66725)); #179194=CARTESIAN_POINT('Ctrl Pts',(0.244495526543943,-0.0624999999999998, 0.66975)); #179195=CARTESIAN_POINT('Ctrl Pts',(0.243356575834472,-0.0624999999999998, 0.66975)); #179196=CARTESIAN_POINT('Ctrl Pts',(0.241460566247603,-0.0624999999999997, 0.669117351432786)); #179197=CARTESIAN_POINT('Ctrl Pts',(0.239709309209421,-0.0624999999999998, 0.667024906633173)); #179198=CARTESIAN_POINT('Ctrl Pts',(0.239344025410905,-0.0624999999999998, 0.665509300541949)); #179199=CARTESIAN_POINT('Ctrl Pts',(0.239344025411122,-0.0624999999999998, 0.664749999998614)); #179200=CARTESIAN_POINT('Ctrl Pts',(0.244495526543943,-0.0624999999999998, 0.669002001749145)); #179201=CARTESIAN_POINT('Ctrl Pts',(0.243517980477174,-0.0624989653898062, 0.669027653155163)); #179202=CARTESIAN_POINT('Ctrl Pts',(0.241859346816726,-0.0624997589582037, 0.668523004034661)); #179203=CARTESIAN_POINT('Ctrl Pts',(0.240302264353756,-0.0624987999547142, 0.666729072699683)); #179204=CARTESIAN_POINT('Ctrl Pts',(0.239975060121774,-0.0624999999999996, 0.665410289634172)); #179205=CARTESIAN_POINT('Ctrl Pts',(0.239975060121968,-0.0624999999999998, 0.664749999998794)); #179206=CARTESIAN_POINT('Ctrl Pts',(0.244495526543943,-0.0618723460821751, 0.667706797361294)); #179207=CARTESIAN_POINT('Ctrl Pts',(0.243795226139157,-0.0619173207137548, 0.667773281457355)); #179208=CARTESIAN_POINT('Ctrl Pts',(0.242550546183699,-0.0619874650413063, 0.667490995678868)); #179209=CARTESIAN_POINT('Ctrl Pts',(0.241332436143902,-0.062049058201224, 0.66621245272421)); #179210=CARTESIAN_POINT('Ctrl Pts',(0.241073477654096,-0.0620612064941865, 0.665238647488926)); #179211=CARTESIAN_POINT('Ctrl Pts',(0.241073477654249,-0.062061206494179, 0.664749999999108)); #179212=CARTESIAN_POINT('Ctrl Pts',(0.244495526543943,-0.0605609986881408, 0.66725)); #179213=CARTESIAN_POINT('Ctrl Pts',(0.243894140404316,-0.060674251517646, 0.667279858143447)); #179214=CARTESIAN_POINT('Ctrl Pts',(0.242855756754128,-0.0608605457542282, 0.66700465892558)); #179215=CARTESIAN_POINT('Ctrl Pts',(0.241863757574209,-0.0610316703458719, 0.665941270235063)); #179216=CARTESIAN_POINT('Ctrl Pts',(0.241653907889376,-0.0610669738233273, 0.665147513348248)); #179217=CARTESIAN_POINT('Ctrl Pts',(0.241653907889501,-0.0610669738233061, 0.664749999999274)); #179218=CARTESIAN_POINT('Ctrl Pts',(0.244495526543943,-0.0599999999999997, 0.66725)); #179219=CARTESIAN_POINT('Ctrl Pts',(0.243893703856453,-0.0601318129692364, 0.66725)); #179220=CARTESIAN_POINT('Ctrl Pts',(0.242890621560493,-0.0603544743062012, 0.666933675716393)); #179221=CARTESIAN_POINT('Ctrl Pts',(0.241962672371349,-0.0605638943807383, 0.665887453316586)); #179222=CARTESIAN_POINT('Ctrl Pts',(0.241768990207473,-0.0606079027355881, 0.665129650270975)); #179223=CARTESIAN_POINT('Ctrl Pts',(0.241768990207588,-0.060607902735562, 0.664749999999307)); #179224=CARTESIAN_POINT('',(0.241768990207588,-0.060607902735562,0.664749999999307)); #179225=CARTESIAN_POINT('Ctrl Pts',(0.241768990207588,-0.060607902735562, 0.66475)); #179226=CARTESIAN_POINT('Ctrl Pts',(0.241681361456247,-0.0609574597036978, 0.665108561397145)); #179227=CARTESIAN_POINT('Ctrl Pts',(0.241599818649685,-0.0612798310983282, 0.665477802941631)); #179228=CARTESIAN_POINT('Ctrl Pts',(0.24152773074932,-0.0615630670195215, 0.66588830454417)); #179229=CARTESIAN_POINT('Ctrl Pts',(0.241507550658892,-0.0616423553116394, 0.666003219244395)); #179230=CARTESIAN_POINT('Ctrl Pts',(0.241488387725885,-0.0617176233654368, 0.666120165992213)); #179231=CARTESIAN_POINT('Ctrl Pts',(0.24147023906349,-0.0617884292572579, 0.666239510177638)); #179232=CARTESIAN_POINT('Ctrl Pts',(0.241424635951877,-0.0619663469967147, 0.666539392675294)); #179233=CARTESIAN_POINT('Ctrl Pts',(0.2413851425037,-0.0621165940778293, 0.666855112069288)); #179234=CARTESIAN_POINT('Ctrl Pts',(0.241354810169017,-0.0622338624981846, 0.667186613159645)); #179235=CARTESIAN_POINT('Ctrl Pts',(0.241311393050171,-0.0624017182533293, 0.667661117426733)); #179236=CARTESIAN_POINT('Ctrl Pts',(0.241287537064198,-0.0624996371490772, 0.668157436166379)); #179237=CARTESIAN_POINT('Ctrl Pts',(0.241287229489697,-0.0624999999999998, 0.668660281563133)); #179238=CARTESIAN_POINT('Ctrl Pts',(0.241768990207588,-0.060607902735562, 0.664749999999307)); #179239=CARTESIAN_POINT('Ctrl Pts',(0.241768990207473,-0.0606079027355881, 0.665129593948949)); #179240=CARTESIAN_POINT('Ctrl Pts',(0.241865805941985,-0.0605860292934588, 0.665508574234447)); #179241=CARTESIAN_POINT('Ctrl Pts',(0.24204677574487,-0.0605451814265776, 0.66584748318766)); #179242=CARTESIAN_POINT('Ctrl Pts',(0.242174131123707,-0.0605164352187662, 0.666085986393386)); #179243=CARTESIAN_POINT('Ctrl Pts',(0.242343151537298,-0.060478297558006, 0.666304639186172)); #179244=CARTESIAN_POINT('Ctrl Pts',(0.242543382489375,-0.0604332308524275, 0.666493327564697)); #179245=CARTESIAN_POINT('Ctrl Pts',(0.242797041867052,-0.0603761388175927, 0.666732364417767)); #179246=CARTESIAN_POINT('Ctrl Pts',(0.243102191227139,-0.0603080761797811, 0.666921888342077)); #179247=CARTESIAN_POINT('Ctrl Pts',(0.243433255347224,-0.0602345175855629, 0.667050423341056)); #179248=CARTESIAN_POINT('Ctrl Pts',(0.243769422478139,-0.0601598251617322, 0.667180939574038)); #179249=CARTESIAN_POINT('Ctrl Pts',(0.244132375845082,-0.0600795383306281, 0.66725)); #179250=CARTESIAN_POINT('Ctrl Pts',(0.244495526543943,-0.0599999999999997, 0.66725)); #179251=CARTESIAN_POINT('Origin',(0.304138126514911,-0.0599999999999997, 0.66975)); #179252=CARTESIAN_POINT('Origin',(0.304138126514911,-0.0599999999999997, 0.66975)); #179253=CARTESIAN_POINT('Origin',(0.,0.,0.66975)); #179254=CARTESIAN_POINT('',(0.304138126514911,0.0599999999999992,0.66725)); #179255=CARTESIAN_POINT('Origin',(0.,0.,0.66725)); #179256=CARTESIAN_POINT('Origin',(0.304138126514911,0.0599999999999992, 0.66975)); #179257=CARTESIAN_POINT('Origin',(0.276518751636406,-3.21195647355071E-16, 0.66725)); #179258=CARTESIAN_POINT('',(0.244495526543965,0.059999999999986,0.66725)); #179259=CARTESIAN_POINT('Origin',(0.,0.,0.66725)); #179260=CARTESIAN_POINT('',(0.258902768198391,0.0599999999999991,0.66725)); #179261=CARTESIAN_POINT('Ctrl Pts',(0.239344025411123,0.0624999999999991, 0.66474999999896)); #179262=CARTESIAN_POINT('Ctrl Pts',(0.239344025410878,0.0624999999999991, 0.665888950512392)); #179263=CARTESIAN_POINT('Ctrl Pts',(0.240328995599083,0.062499999999999, 0.668538354054177)); #179264=CARTESIAN_POINT('Ctrl Pts',(0.24297692559584,0.0624999999999991, 0.669750000000013)); #179265=CARTESIAN_POINT('Ctrl Pts',(0.244495526543988,0.0624999999999991, 0.66975)); #179266=CARTESIAN_POINT('Ctrl Pts',(0.239975060121968,0.0624999999999991, 0.664749999999096)); #179267=CARTESIAN_POINT('Ctrl Pts',(0.23997506012175,0.0624999999999989, 0.665740434189915)); #179268=CARTESIAN_POINT('Ctrl Pts',(0.240859853127699,0.0624992024680566, 0.668044843136744)); #179269=CARTESIAN_POINT('Ctrl Pts',(0.243192131786414,0.062498620519739, 0.669036203623895)); #179270=CARTESIAN_POINT('Ctrl Pts',(0.244495526543982,0.0624999999999991, 0.669002001749144)); #179271=CARTESIAN_POINT('Ctrl Pts',(0.241073477654249,0.0620612064941783, 0.664749999999331)); #179272=CARTESIAN_POINT('Ctrl Pts',(0.241073477654077,0.0620612064941868, 0.665482971039981)); #179273=CARTESIAN_POINT('Ctrl Pts',(0.24178123361997,0.0620282428375895, 0.667185535546356)); #179274=CARTESIAN_POINT('Ctrl Pts',(0.243561792669579,0.0619323122576986, 0.667795442822842)); #179275=CARTESIAN_POINT('Ctrl Pts',(0.244495526543971,0.0618723460821727, 0.667706797361291)); #179276=CARTESIAN_POINT('Ctrl Pts',(0.241653907889501,0.0610669738233054, 0.664749999999455)); #179277=CARTESIAN_POINT('Ctrl Pts',(0.241653907889361,0.0610669738233292, 0.665346269865036)); #179278=CARTESIAN_POINT('Ctrl Pts',(0.242223693086124,0.0609714242374994, 0.666732809213318)); #179279=CARTESIAN_POINT('Ctrl Pts',(0.243693678356643,0.0607120024610267, 0.667289810857992)); #179280=CARTESIAN_POINT('Ctrl Pts',(0.244495526543967,0.0605609986881356, 0.667249999999999)); #179281=CARTESIAN_POINT('Ctrl Pts',(0.241768990207588,0.0606079027355614, 0.66474999999948)); #179282=CARTESIAN_POINT('Ctrl Pts',(0.241768990207458,0.0606079027355907, 0.665319475256196)); #179283=CARTESIAN_POINT('Ctrl Pts',(0.242291460373269,0.0604886776617806, 0.666644177027088)); #179284=CARTESIAN_POINT('Ctrl Pts',(0.243693096292825,0.0601757506258957, 0.667250000000006)); #179285=CARTESIAN_POINT('Ctrl Pts',(0.244495526543967,0.0599999999999939, 0.66725)); #179286=CARTESIAN_POINT('',(0.244495526543988,0.0624999999999991,0.66975)); #179287=CARTESIAN_POINT('Origin',(0.244495526543988,0.0599999999999991, 0.66975)); #179288=CARTESIAN_POINT('',(0.241768990207588,0.0606079027355614,0.66474999999948)); #179289=CARTESIAN_POINT('Ctrl Pts',(0.244495526543967,0.0599999999999939, 0.66725)); #179290=CARTESIAN_POINT('Ctrl Pts',(0.243693096292825,0.0601757506258957, 0.667250000000006)); #179291=CARTESIAN_POINT('Ctrl Pts',(0.242291460373269,0.0604886776617806, 0.666644177027088)); #179292=CARTESIAN_POINT('Ctrl Pts',(0.241768990207458,0.0606079027355907, 0.665319475256196)); #179293=CARTESIAN_POINT('Ctrl Pts',(0.241768990207588,0.0606079027355614, 0.66474999999948)); #179294=CARTESIAN_POINT('',(0.241288106937787,0.062499999999999,0.668656331738938)); #179295=CARTESIAN_POINT('Ctrl Pts',(0.24128831972209,0.062499999999999, 0.668655575957387)); #179296=CARTESIAN_POINT('Ctrl Pts',(0.24128828669697,0.0624995529538802, 0.668233275891095)); #179297=CARTESIAN_POINT('Ctrl Pts',(0.241304881856359,0.062429582788706, 0.667815815826513)); #179298=CARTESIAN_POINT('Ctrl Pts',(0.241336775056386,0.0623073820127721, 0.667411597048352)); #179299=CARTESIAN_POINT('Ctrl Pts',(0.241343041461892,0.0622833718910409, 0.667332175768123)); #179300=CARTESIAN_POINT('Ctrl Pts',(0.24134989466128,0.0622573750967291, 0.667253500033463)); #179301=CARTESIAN_POINT('Ctrl Pts',(0.241357292791335,0.0622295055023188, 0.667175581197543)); #179302=CARTESIAN_POINT('Ctrl Pts',(0.24138819503169,0.0621130932604895, 0.666850111575102)); #179303=CARTESIAN_POINT('Ctrl Pts',(0.241428612290918,0.0619645042452972, 0.666538162762027)); #179304=CARTESIAN_POINT('Ctrl Pts',(0.241474157855425,0.0617882849081114, 0.66624071343179)); #179305=CARTESIAN_POINT('Ctrl Pts',(0.241487406557522,0.061737024647592, 0.666154188704126)); #179306=CARTESIAN_POINT('Ctrl Pts',(0.241501087293967,0.0616833842849887, 0.66606885833942)); #179307=CARTESIAN_POINT('Ctrl Pts',(0.241515215380245,0.0616275313879084, 0.665984610199511)); #179308=CARTESIAN_POINT('Ctrl Pts',(0.241582489428897,0.0613615753028745, 0.665583443802907)); #179309=CARTESIAN_POINT('Ctrl Pts',(0.241656660543091,0.0610574361185558, 0.665221661100592)); #179310=CARTESIAN_POINT('Ctrl Pts',(0.241739270009212,0.0607266781021153, 0.66487340099533)); #179311=CARTESIAN_POINT('Ctrl Pts',(0.241747864050825,0.0606922686295645, 0.664837170742587)); #179312=CARTESIAN_POINT('Ctrl Pts',(0.241756551460185,0.0606575407621052, 0.664801050642404)); #179313=CARTESIAN_POINT('Ctrl Pts',(0.241765334843601,0.0606224874912561, 0.664764983453259)); #179314=CARTESIAN_POINT('Ctrl Pts',(0.241766552029356,0.0606176298709143, 0.664759985325911)); #179315=CARTESIAN_POINT('Ctrl Pts',(0.241767770487303,0.0606127682816103, 0.664754990880309)); #179316=CARTESIAN_POINT('Ctrl Pts',(0.241768990207588,0.0606079027355614, 0.66475)); #179317=CARTESIAN_POINT('Ctrl Pts',(0.241288319726655,0.062499999999999, 0.668655575960884)); #179318=CARTESIAN_POINT('Ctrl Pts',(0.242198602828601,0.0624999999999991, 0.669352922688367)); #179319=CARTESIAN_POINT('Ctrl Pts',(0.243345488093771,0.0624999999999991, 0.66975000000001)); #179320=CARTESIAN_POINT('Ctrl Pts',(0.244495526543988,0.0624999999999991, 0.66975)); #179321=CARTESIAN_POINT('Origin',(0.258902768198391,0.0599999999999991, 0.66975)); #179322=CARTESIAN_POINT('',(0.304138126514911,0.0624999999999992,0.66975)); #179323=CARTESIAN_POINT('Origin',(0.304138126514911,0.0599999999999992, 0.66975)); #179324=CARTESIAN_POINT('',(0.258902768198391,0.0624999999999991,0.66975)); #179325=CARTESIAN_POINT('Origin',(0.304138126514911,0.0599999999999992, 0.71225)); #179326=CARTESIAN_POINT('Origin',(0.304138126514911,0.0599999999999992, 0.66975)); #179327=CARTESIAN_POINT('',(0.304138126514911,0.0624999999999992,0.71225)); #179328=CARTESIAN_POINT('Origin',(0.304138126514911,0.0599999999999992, 0.66975)); #179329=CARTESIAN_POINT('Origin',(0.,0.,0.66475)); #179330=CARTESIAN_POINT('',(0.24925,3.05243214687478E-17,0.66475)); #179331=CARTESIAN_POINT('Origin',(0.,0.,0.66475)); #179332=CARTESIAN_POINT('Origin',(0.,0.,0.66475)); #179333=CARTESIAN_POINT('Origin',(0.316413690818475,-7.39648848832743E-16, 0.71225)); #179334=CARTESIAN_POINT('Origin',(0.241286784760376,0.0624999999999991, 0.71225)); #179335=CARTESIAN_POINT('',(0.241286784760376,0.0624999999999991,0.)); #179336=CARTESIAN_POINT('Origin',(0.3101011931612,-0.0425000000000004,0.71225)); #179337=CARTESIAN_POINT('',(0.3101011931612,-0.0425000000000004,0.71225)); #179338=CARTESIAN_POINT('',(0.322209171191634,-0.0425000000000003,0.71225)); #179339=CARTESIAN_POINT('',(0.1550505965806,-0.0425000000000004,0.71225)); #179340=CARTESIAN_POINT('',(0.3101011931612,-0.0425000000000004,0.78225)); #179341=CARTESIAN_POINT('',(0.3101011931612,-0.0425000000000004,0.76175)); #179342=CARTESIAN_POINT('',(0.322209171191634,-0.0425000000000003,0.78225)); #179343=CARTESIAN_POINT('',(0.322209171191634,-0.0425000000000003,0.78225)); #179344=CARTESIAN_POINT('',(0.322209171191634,-0.0425000000000003,0.76175)); #179345=CARTESIAN_POINT('Origin',(0.322209171191634,0.0425000000000009, 0.71225)); #179346=CARTESIAN_POINT('',(0.322209171191634,0.0425000000000009,0.71225)); #179347=CARTESIAN_POINT('',(0.3101011931612,0.0425000000000009,0.71225)); #179348=CARTESIAN_POINT('',(0.161104585595817,0.0425000000000013,0.71225)); #179349=CARTESIAN_POINT('',(0.322209171191634,0.0425000000000009,0.78225)); #179350=CARTESIAN_POINT('',(0.322209171191634,0.0425000000000009,0.76175)); #179351=CARTESIAN_POINT('',(0.3101011931612,0.0425000000000009,0.78225)); #179352=CARTESIAN_POINT('',(0.3101011931612,0.0425000000000009,0.78225)); #179353=CARTESIAN_POINT('',(0.3101011931612,0.0425000000000009,0.76175)); #179354=CARTESIAN_POINT('Origin',(0.317748725453091,2.78435034086583E-16, 0.78225)); #179355=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.78225)); #179356=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.78225)); #179357=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76175)); #179358=CARTESIAN_POINT('',(0.0475000000000001,-0.309374772727189,0.71225)); #179359=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.71225)); #179360=CARTESIAN_POINT('',(0.0475000000000001,-0.309374772727189,0.76225)); #179361=CARTESIAN_POINT('',(0.0475000000000001,-0.309374772727189,0.76175)); #179362=CARTESIAN_POINT('',(0.0450000000000001,-0.309748284902435,0.76225)); #179363=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #179364=CARTESIAN_POINT('',(-3.83314448133122E-17,-0.313,0.76225)); #179365=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #179366=CARTESIAN_POINT('',(-3.83314448133122E-17,-0.313,0.81125)); #179367=CARTESIAN_POINT('',(-3.83314448133122E-17,-0.313,0.76175)); #179368=CARTESIAN_POINT('',(0.313,-1.25750580610569E-16,0.81125)); #179369=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.81125)); #179370=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.81125)); #179371=CARTESIAN_POINT('',(-0.0449999999999999,-0.309748284902435,0.76225)); #179372=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #179373=CARTESIAN_POINT('',(-0.0474999999999999,-0.309374772727189,0.76225)); #179374=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #179375=CARTESIAN_POINT('',(-0.0474999999999999,-0.309374772727189,0.71225)); #179376=CARTESIAN_POINT('',(-0.0475,-0.309374772727189,0.76175)); #179377=CARTESIAN_POINT('',(-0.0475,0.309374772727189,0.71225)); #179378=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.71225)); #179379=CARTESIAN_POINT('',(-0.0475,0.309374772727189,0.76225)); #179380=CARTESIAN_POINT('',(-0.0475,0.309374772727189,0.76175)); #179381=CARTESIAN_POINT('',(-0.045,0.309748284902435,0.76225)); #179382=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #179383=CARTESIAN_POINT('',(0.045,0.309748284902435,0.76225)); #179384=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #179385=CARTESIAN_POINT('',(0.0475,0.309374772727189,0.76225)); #179386=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #179387=CARTESIAN_POINT('',(0.0475,0.309374772727189,0.71225)); #179388=CARTESIAN_POINT('',(0.0475,0.309374772727189,0.76175)); #179389=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.71225)); #179390=CARTESIAN_POINT('Origin',(0.,0.319,0.71225)); #179391=CARTESIAN_POINT('',(0.0475000000000001,-0.321510108705776,0.71225)); #179392=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.71225)); #179393=CARTESIAN_POINT('',(0.0475,0.00481261363640529,0.71225)); #179394=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179395=CARTESIAN_POINT('Ctrl Pts',(-4.04104235507577E-5,0.24925,-0.0217465455317123)); #179396=CARTESIAN_POINT('Ctrl Pts',(-8.07216352735554E-5,0.249250005608639, -0.0217430171115433)); #179397=CARTESIAN_POINT('Ctrl Pts',(-0.00853449181545584,0.249239563322133, -0.02104470920469)); #179398=CARTESIAN_POINT('Ctrl Pts',(-0.0166513894344298,0.248759239329453, -0.0201065678319636)); #179399=CARTESIAN_POINT('Ctrl Pts',(-0.0260123609281053,0.247564093170904, -0.0204791938210248)); #179400=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179401=CARTESIAN_POINT('Ctrl Pts',(-3.91526887332315E-5,0.249250058796239, -0.0217503872719415)); #179402=CARTESIAN_POINT('Ctrl Pts',(-7.95873777497971E-5,0.249249938594548, -0.021751317197166)); #179403=CARTESIAN_POINT('Ctrl Pts',(-0.00835423136473253,0.249238309934941, -0.021891277934615)); #179404=CARTESIAN_POINT('Ctrl Pts',(-0.0163530905037045,0.248345016268685, -0.0218165403087335)); #179405=CARTESIAN_POINT('Ctrl Pts',(-0.0250309403595496,0.246408388995429, -0.0226964095423622)); #179406=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179407=CARTESIAN_POINT('Ctrl Pts',(-3.95101635874902E-5,0.249249682170169, -0.0217547035973365)); #179408=CARTESIAN_POINT('Ctrl Pts',(-7.70097533509453E-5,0.249250270557095, -0.0217591824807378)); #179409=CARTESIAN_POINT('Ctrl Pts',(-0.00818908234531045,0.249232485615296, -0.0227447814296708)); #179410=CARTESIAN_POINT('Ctrl Pts',(-0.0162303964222445,0.248122228390406, -0.0235476294676456)); #179411=CARTESIAN_POINT('Ctrl Pts',(-0.0241180486814565,0.245893679924976, -0.0252736164225599)); #179412=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179413=CARTESIAN_POINT('Ctrl Pts',(-3.80362426608854E-5,0.24925,-0.021758695699054)); #179414=CARTESIAN_POINT('Ctrl Pts',(-7.60837066754088E-5,0.249249965142348, -0.0217673374540328)); #179415=CARTESIAN_POINT('Ctrl Pts',(-0.00800229329225497,0.24924274816385, -0.0235961060999809)); #179416=CARTESIAN_POINT('Ctrl Pts',(-0.0159509987861918,0.248139607270724, -0.0252459748237426)); #179417=CARTESIAN_POINT('Ctrl Pts',(-0.0233999494404621,0.246091156216047, -0.0278543567471738)); #179418=CARTESIAN_POINT('',(-0.0233999494404621,0.246091156216047,-0.0278543567471738)); #179419=CARTESIAN_POINT('',(-0.0260123609281069,0.247564093170903,-0.0204791938210248)); #179420=CARTESIAN_POINT('Origin',(-0.0273772779572545,0.260554242258435, -0.0278543567471738)); #179421=CARTESIAN_POINT('',(0.,0.24925,-0.02175)); #179422=CARTESIAN_POINT('Ctrl Pts',(-0.0260123609281053,0.247564093170904, -0.0204791938210248)); #179423=CARTESIAN_POINT('Ctrl Pts',(-0.0166513894344298,0.248759239329453, -0.0201065678319636)); #179424=CARTESIAN_POINT('Ctrl Pts',(-0.00853449181545584,0.249239563322133, -0.02104470920469)); #179425=CARTESIAN_POINT('Ctrl Pts',(-8.07216352735554E-5,0.249250005608639, -0.0217430171115433)); #179426=CARTESIAN_POINT('Ctrl Pts',(-4.04104235507577E-5,0.24925,-0.0217465455317123)); #179427=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179428=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179429=CARTESIAN_POINT('Ctrl Pts',(-3.80362426608854E-5,0.24925,-0.021758695699054)); #179430=CARTESIAN_POINT('Ctrl Pts',(-7.60837066754088E-5,0.249249965142348, -0.0217673374540328)); #179431=CARTESIAN_POINT('Ctrl Pts',(-0.00800229329225497,0.24924274816385, -0.0235961060999809)); #179432=CARTESIAN_POINT('Ctrl Pts',(-0.0159509987861918,0.248139607270724, -0.0252459748237426)); #179433=CARTESIAN_POINT('Ctrl Pts',(-0.0233999494404621,0.246091156216047, -0.0278543567471738)); #179434=CARTESIAN_POINT('Ctrl Pts',(0.0260123609281051,0.247564093170904, -0.0204791938210248)); #179435=CARTESIAN_POINT('Ctrl Pts',(0.0166513894347822,0.248759239329408, -0.0201065678319777)); #179436=CARTESIAN_POINT('Ctrl Pts',(0.00853449181587909,0.249239563322098, -0.0210447092047639)); #179437=CARTESIAN_POINT('Ctrl Pts',(8.07216359136429E-5,0.249250005608639, -0.0217430171114838)); #179438=CARTESIAN_POINT('Ctrl Pts',(4.04104240549141E-5,0.24925,-0.0217465455316692)); #179439=CARTESIAN_POINT('Ctrl Pts',(5.1605414940559E-18,0.24925,-0.02175)); #179440=CARTESIAN_POINT('Ctrl Pts',(0.0250309403595494,0.246408388995429, -0.0226964095423622)); #179441=CARTESIAN_POINT('Ctrl Pts',(0.0163918493869855,0.248349004304597, -0.0218344683859034)); #179442=CARTESIAN_POINT('Ctrl Pts',(0.00835999012966567,0.249236917945014, -0.021893732817206)); #179443=CARTESIAN_POINT('Ctrl Pts',(7.91658185989447E-5,0.249249992452935, -0.0217511287961327)); #179444=CARTESIAN_POINT('Ctrl Pts',(3.96190304224145E-5,0.24925,-0.0217505955874855)); #179445=CARTESIAN_POINT('Ctrl Pts',(5.1605414940559E-18,0.24925,-0.02175)); #179446=CARTESIAN_POINT('Ctrl Pts',(0.0241180486814563,0.245893679924976, -0.0252736164225599)); #179447=CARTESIAN_POINT('Ctrl Pts',(0.0161784269409122,0.248150130380608, -0.0235420943996688)); #179448=CARTESIAN_POINT('Ctrl Pts',(0.00818044463580773,0.249237317403078, -0.0227447798941543)); #179449=CARTESIAN_POINT('Ctrl Pts',(7.76271327775419E-5,0.249249981205892, -0.0217592336046816)); #179450=CARTESIAN_POINT('Ctrl Pts',(3.88276367899147E-5,0.24925,-0.0217546456433019)); #179451=CARTESIAN_POINT('Ctrl Pts',(5.1605414940559E-18,0.24925,-0.02175)); #179452=CARTESIAN_POINT('Ctrl Pts',(0.0233999494404619,0.246091156216047, -0.0278543567471738)); #179453=CARTESIAN_POINT('Ctrl Pts',(0.0159509987864721,0.248139607270647, -0.0252459748238409)); #179454=CARTESIAN_POINT('Ctrl Pts',(0.00800229329288091,0.249242748163776, -0.0235961060997945)); #179455=CARTESIAN_POINT('Ctrl Pts',(7.60837072841934E-5,0.249249965142348, -0.0217673374541321)); #179456=CARTESIAN_POINT('Ctrl Pts',(3.80362431574151E-5,0.24925,-0.0217586956991182)); #179457=CARTESIAN_POINT('Ctrl Pts',(5.1605414940559E-18,0.24925,-0.02175)); #179458=CARTESIAN_POINT('',(0.0233999494404619,0.246091156216048,-0.0278543567471738)); #179459=CARTESIAN_POINT('Ctrl Pts',(0.0233999494404619,0.246091156216047, -0.0278543567471738)); #179460=CARTESIAN_POINT('Ctrl Pts',(0.0159509987864721,0.248139607270647, -0.0252459748238409)); #179461=CARTESIAN_POINT('Ctrl Pts',(0.00800229329288091,0.249242748163776, -0.0235961060997945)); #179462=CARTESIAN_POINT('Ctrl Pts',(7.60837072841934E-5,0.249249965142348, -0.0217673374541321)); #179463=CARTESIAN_POINT('Ctrl Pts',(3.80362431574151E-5,0.24925,-0.0217586956991182)); #179464=CARTESIAN_POINT('Ctrl Pts',(5.1605414940559E-18,0.24925,-0.02175)); #179465=CARTESIAN_POINT('',(0.0260123609281068,0.247564093170903,-0.0204791938210248)); #179466=CARTESIAN_POINT('Ctrl Pts',(5.1605414940559E-18,0.24925,-0.02175)); #179467=CARTESIAN_POINT('Ctrl Pts',(4.04104240549141E-5,0.24925,-0.0217465455316692)); #179468=CARTESIAN_POINT('Ctrl Pts',(8.07216359136429E-5,0.249250005608639, -0.0217430171114838)); #179469=CARTESIAN_POINT('Ctrl Pts',(0.00853449181587909,0.249239563322098, -0.0210447092047639)); #179470=CARTESIAN_POINT('Ctrl Pts',(0.0166513894347822,0.248759239329408, -0.0201065678319777)); #179471=CARTESIAN_POINT('Ctrl Pts',(0.0260123609281051,0.247564093170904, -0.0204791938210248)); #179472=CARTESIAN_POINT('Origin',(0.0273772779572543,0.260554242258435, -0.0278543567471738)); #179473=CARTESIAN_POINT('Origin',(0.,0.161,-0.03675)); #179474=CARTESIAN_POINT('',(-0.0704527830259802,0.236478260869565,-0.02175)); #179475=CARTESIAN_POINT('',(-0.0602175118841913,0.225512896094326,-0.03675)); #179476=CARTESIAN_POINT('Origin',(-0.0704527830259802,0.236478260869565, -0.03675)); #179477=CARTESIAN_POINT('',(-0.0882499999999999,0.161,-0.03675)); #179478=CARTESIAN_POINT('Origin',(0.,0.161,-0.03675)); #179479=CARTESIAN_POINT('',(0.0602175118841913,0.225512896094326,-0.03675)); #179480=CARTESIAN_POINT('Origin',(0.,0.161,-0.03675)); #179481=CARTESIAN_POINT('',(0.0704527830259802,0.236478260869565,-0.02175)); #179482=CARTESIAN_POINT('Origin',(0.0704527830259802,0.236478260869565, -0.03675)); #179483=CARTESIAN_POINT('Origin',(0.,0.161,-0.02175)); #179484=CARTESIAN_POINT('Ctrl Pts',(-0.0260123609281062,0.247564093170904, -0.0204791938210248)); #179485=CARTESIAN_POINT('Ctrl Pts',(-0.0346535502601342,0.246438626919266, -0.0208622996532169)); #179486=CARTESIAN_POINT('Ctrl Pts',(-0.0497042287215171,0.243477089029814, -0.0214666144224339)); #179487=CARTESIAN_POINT('Ctrl Pts',(-0.0643595235360221,0.238775013539124, -0.02175)); #179488=CARTESIAN_POINT('Ctrl Pts',(-0.0704527830259802,0.236478260869565, -0.02175)); #179489=CARTESIAN_POINT('Ctrl Pts',(-0.0255216506438283,0.246986241083166, -0.0215878016816935)); #179490=CARTESIAN_POINT('Ctrl Pts',(-0.0339443904166486,0.245490739429889, -0.0222327556580044)); #179491=CARTESIAN_POINT('Ctrl Pts',(-0.0484678936846373,0.241586000725861, -0.0230357300959678)); #179492=CARTESIAN_POINT('Ctrl Pts',(-0.0621649989468447,0.236015072618542, -0.0224136040854656)); #179493=CARTESIAN_POINT('Ctrl Pts',(-0.0677731953071015,0.233607535084413, -0.02175)); #179494=CARTESIAN_POINT('Ctrl Pts',(-0.0245732803328526,0.246144794878215, -0.0239833367525549)); #179495=CARTESIAN_POINT('Ctrl Pts',(-0.0325897833951922,0.244093135743888, -0.0253301922599811)); #179496=CARTESIAN_POINT('Ctrl Pts',(-0.0461597449555854,0.238713926967366, -0.0270919034405229)); #179497=CARTESIAN_POINT('Ctrl Pts',(-0.0579419381439876,0.231084837172093, -0.0262094868948966)); #179498=CARTESIAN_POINT('Ctrl Pts',(-0.0624320598699999,0.227885410328709, -0.0249954655403807)); #179499=CARTESIAN_POINT('Ctrl Pts',(-0.0237589990609599,0.245992418070512, -0.0265639865848669)); #179500=CARTESIAN_POINT('Ctrl Pts',(-0.0315042326496645,0.24382714274438, -0.0288006034333311)); #179501=CARTESIAN_POINT('Ctrl Pts',(-0.0446227710999236,0.238160100008832, -0.0322166835752194)); #179502=CARTESIAN_POINT('Ctrl Pts',(-0.0559321696931446,0.229531337477011, -0.0332401930457512)); #179503=CARTESIAN_POINT('Ctrl Pts',(-0.0602175118841913,0.225512896094326, -0.0328230091830128)); #179504=CARTESIAN_POINT('Ctrl Pts',(-0.0233999494404626,0.246091156216047, -0.0278543567471739)); #179505=CARTESIAN_POINT('Ctrl Pts',(-0.0310583965781644,0.243985093920223, -0.0305360975725189)); #179506=CARTESIAN_POINT('Ctrl Pts',(-0.0440952538603871,0.238450338438541, -0.0347663009570374)); #179507=CARTESIAN_POINT('Ctrl Pts',(-0.0556850468168225,0.229743581516662, -0.03675)); #179508=CARTESIAN_POINT('Ctrl Pts',(-0.0602175118841913,0.225512896094326, -0.03675)); #179509=CARTESIAN_POINT('Ctrl Pts',(-0.0704527830259802,0.236478260869565, -0.02175)); #179510=CARTESIAN_POINT('Ctrl Pts',(-0.0643595235360221,0.238775013539124, -0.02175)); #179511=CARTESIAN_POINT('Ctrl Pts',(-0.0497042287215171,0.243477089029814, -0.0214666144224339)); #179512=CARTESIAN_POINT('Ctrl Pts',(-0.0346535502601342,0.246438626919266, -0.0208622996532169)); #179513=CARTESIAN_POINT('Ctrl Pts',(-0.0260123609281062,0.247564093170904, -0.0204791938210248)); #179514=CARTESIAN_POINT('Ctrl Pts',(-0.0233999494404626,0.246091156216047, -0.0278543567471739)); #179515=CARTESIAN_POINT('Ctrl Pts',(-0.0310583965781644,0.243985093920223, -0.0305360975725189)); #179516=CARTESIAN_POINT('Ctrl Pts',(-0.0440952538603871,0.238450338438541, -0.0347663009570374)); #179517=CARTESIAN_POINT('Ctrl Pts',(-0.0556850468168225,0.229743581516662, -0.03675)); #179518=CARTESIAN_POINT('Ctrl Pts',(-0.0602175118841913,0.225512896094326, -0.03675)); #179519=CARTESIAN_POINT('Ctrl Pts',(0.0704527830259801,0.236478260869565, -0.02175)); #179520=CARTESIAN_POINT('Ctrl Pts',(0.0643595235937931,0.238775013517348, -0.02175)); #179521=CARTESIAN_POINT('Ctrl Pts',(0.0497042277174649,0.2434770893115, -0.0214666143964117)); #179522=CARTESIAN_POINT('Ctrl Pts',(0.0346535501782062,0.246438626929936, -0.0208622996495846)); #179523=CARTESIAN_POINT('Ctrl Pts',(0.0260123609281068,0.247564093170903, -0.0204791938210249)); #179524=CARTESIAN_POINT('Ctrl Pts',(0.0677731953071014,0.233607535084413, -0.02175)); #179525=CARTESIAN_POINT('Ctrl Pts',(0.0621649990000167,0.236015072595716, -0.0224136040791739)); #179526=CARTESIAN_POINT('Ctrl Pts',(0.0484678927361847,0.241586001067276, -0.0230357301077927)); #179527=CARTESIAN_POINT('Ctrl Pts',(0.0339443903367914,0.245490739444068, -0.0222327556518894)); #179528=CARTESIAN_POINT('Ctrl Pts',(0.0255216506438288,0.246986241083166, -0.0215878016816936)); #179529=CARTESIAN_POINT('Ctrl Pts',(0.0624320598699998,0.227885410328709, -0.0249954655403807)); #179530=CARTESIAN_POINT('Ctrl Pts',(0.0579419381865589,0.231084837141759, -0.0262094868833863)); #179531=CARTESIAN_POINT('Ctrl Pts',(0.0461597441158957,0.238713927434,-0.027091903442285)); #179532=CARTESIAN_POINT('Ctrl Pts',(0.0325897833191854,0.244093135763341, -0.025330192247211)); #179533=CARTESIAN_POINT('Ctrl Pts',(0.0245732803328531,0.246144794878215, -0.023983336752555)); #179534=CARTESIAN_POINT('Ctrl Pts',(0.0602175118841912,0.225512896094326, -0.0328230091830128)); #179535=CARTESIAN_POINT('Ctrl Pts',(0.0559321697337743,0.229531337438912, -0.0332401930417958)); #179536=CARTESIAN_POINT('Ctrl Pts',(0.044622770292874,0.238160100530721, -0.0322166834548875)); #179537=CARTESIAN_POINT('Ctrl Pts',(0.0315042325762289,0.243827142764909, -0.0288006034121248)); #179538=CARTESIAN_POINT('Ctrl Pts',(0.0237589990609604,0.245992418070512, -0.0265639865848671)); #179539=CARTESIAN_POINT('Ctrl Pts',(0.0602175118841912,0.225512896094326, -0.03675)); #179540=CARTESIAN_POINT('Ctrl Pts',(0.0556850468597953,0.22974358147655, -0.03675)); #179541=CARTESIAN_POINT('Ctrl Pts',(0.0440952530412898,0.238450338961298, -0.0347663007748816)); #179542=CARTESIAN_POINT('Ctrl Pts',(0.0310583965055513,0.243985093940192, -0.0305360975470923)); #179543=CARTESIAN_POINT('Ctrl Pts',(0.0233999494404631,0.246091156216047, -0.027854356747174)); #179544=CARTESIAN_POINT('Ctrl Pts',(0.0260123609281068,0.247564093170903, -0.0204791938210249)); #179545=CARTESIAN_POINT('Ctrl Pts',(0.0346535501782062,0.246438626929936, -0.0208622996495846)); #179546=CARTESIAN_POINT('Ctrl Pts',(0.0497042277174649,0.2434770893115, -0.0214666143964117)); #179547=CARTESIAN_POINT('Ctrl Pts',(0.0643595235937931,0.238775013517348, -0.02175)); #179548=CARTESIAN_POINT('Ctrl Pts',(0.0704527830259801,0.236478260869565, -0.02175)); #179549=CARTESIAN_POINT('Ctrl Pts',(0.0602175118841912,0.225512896094326, -0.03675)); #179550=CARTESIAN_POINT('Ctrl Pts',(0.0556850468597953,0.22974358147655, -0.03675)); #179551=CARTESIAN_POINT('Ctrl Pts',(0.0440952530412898,0.238450338961298, -0.0347663007748816)); #179552=CARTESIAN_POINT('Ctrl Pts',(0.0310583965055513,0.243985093940192, -0.0305360975470923)); #179553=CARTESIAN_POINT('Ctrl Pts',(0.0233999494404631,0.246091156216047, -0.027854356747174)); #179554=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179555=CARTESIAN_POINT('Ctrl Pts',(-0.000106709272456402,0.24925,-0.0217408780021263)); #179556=CARTESIAN_POINT('Ctrl Pts',(-0.000213454592945943,0.249249933394625, -0.0217316337356922)); #179557=CARTESIAN_POINT('Ctrl Pts',(-0.00892249549495442,0.249233658201188, -0.0210009818726783)); #179558=CARTESIAN_POINT('Ctrl Pts',(-0.0176399775111485,0.248682618596641, -0.0201271622095918)); #179559=CARTESIAN_POINT('Ctrl Pts',(-0.0260033232342914,0.247478079891275, -0.0206211276970035)); #179560=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179561=CARTESIAN_POINT('Ctrl Pts',(-0.000106561471464747,0.249250106104477, -0.0217391614854399)); #179562=CARTESIAN_POINT('Ctrl Pts',(-0.000212862659140604,0.249249836481211, -0.0217280014101229)); #179563=CARTESIAN_POINT('Ctrl Pts',(-0.00890459443760845,0.249238599206264, -0.0208536591644384)); #179564=CARTESIAN_POINT('Ctrl Pts',(-0.0175931492570472,0.248755872881573, -0.0198285717189759)); #179565=CARTESIAN_POINT('Ctrl Pts',(-0.0260310484396545,0.247741945418232, -0.0202166168603139)); #179566=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179567=CARTESIAN_POINT('Ctrl Pts',(-0.000106070195652351,0.249250029804925, -0.0217373734552355)); #179568=CARTESIAN_POINT('Ctrl Pts',(-0.000212569255363776,0.249249901136403, -0.0217244471627634)); #179569=CARTESIAN_POINT('Ctrl Pts',(-0.00888340961101264,0.24923972747576, -0.0207038604193855)); #179570=CARTESIAN_POINT('Ctrl Pts',(-0.0175160385185074,0.248782380288897, -0.0195397661935735)); #179571=CARTESIAN_POINT('Ctrl Pts',(-0.0260461196882618,0.247885381071948, -0.0197337589485766)); #179572=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179573=CARTESIAN_POINT('Ctrl Pts',(-0.000105971746919533,0.24925,-0.0217355306379095)); #179574=CARTESIAN_POINT('Ctrl Pts',(-0.000211927034085768,0.24924993205679, -0.0217209441959536)); #179575=CARTESIAN_POINT('Ctrl Pts',(-0.00886679221371895,0.249238937724767, -0.0205530540561158)); #179576=CARTESIAN_POINT('Ctrl Pts',(-0.0174654953399521,0.248786975057884, -0.0192499999999999)); #179577=CARTESIAN_POINT('Ctrl Pts',(-0.0260461196882618,0.247885381071948, -0.01925)); #179578=CARTESIAN_POINT('',(-0.0260461196882613,0.247885381071948,-0.01925)); #179579=CARTESIAN_POINT('Origin',(-0.0257848747565837,0.245399068322981, -0.01925)); #179580=CARTESIAN_POINT('Ctrl Pts',(-0.0260461196882618,0.247885381071948, -0.01925)); #179581=CARTESIAN_POINT('Ctrl Pts',(-0.0174654953399521,0.248786975057884, -0.0192499999999999)); #179582=CARTESIAN_POINT('Ctrl Pts',(-0.00886679221371895,0.249238937724767, -0.0205530540561158)); #179583=CARTESIAN_POINT('Ctrl Pts',(-0.000211927034085768,0.24924993205679, -0.0217209441959536)); #179584=CARTESIAN_POINT('Ctrl Pts',(-0.000105971746919533,0.24925,-0.0217355306379095)); #179585=CARTESIAN_POINT('Ctrl Pts',(5.40375400123769E-18,0.24925,-0.02175)); #179586=CARTESIAN_POINT('Origin',(0.,0.,-0.01925)); #179587=CARTESIAN_POINT('Origin',(0.,0.,-0.02175)); #179588=CARTESIAN_POINT('',(0.0260461196882613,0.247885381071948,-0.01925)); #179589=CARTESIAN_POINT('Origin',(0.0257848747565837,0.245399068322981, -0.01925)); #179590=CARTESIAN_POINT('',(0.24925,3.05243214687478E-17,-0.01925)); #179591=CARTESIAN_POINT('Origin',(0.,0.,-0.01925)); #179592=CARTESIAN_POINT('Origin',(0.,0.,-0.01925)); #179593=CARTESIAN_POINT('Origin',(0.,0.,-0.02175)); #179594=CARTESIAN_POINT('Origin',(0.,0.161,-0.171250003435596)); #179595=CARTESIAN_POINT('',(-0.0783933982822018,0.161,-0.160643401717798)); #179596=CARTESIAN_POINT('',(0.0783933982822018,0.161,-0.160643401717798)); #179597=CARTESIAN_POINT('Origin',(0.,0.161,-0.160643401717798)); #179598=CARTESIAN_POINT('',(0.074,0.161,-0.171250003435596)); #179599=CARTESIAN_POINT('Origin',(0.089,0.161,-0.171250003435596)); #179600=CARTESIAN_POINT('',(-0.074,0.161,-0.171250003435596)); #179601=CARTESIAN_POINT('Origin',(0.,0.161,-0.171250003435596)); #179602=CARTESIAN_POINT('Origin',(0.,0.161,-0.171250003435596)); #179603=CARTESIAN_POINT('Origin',(0.,0.161,-0.160643401717798)); #179604=CARTESIAN_POINT('Origin',(0.,0.161,-0.1579118)); #179605=CARTESIAN_POINT('',(-0.0838566017177982,0.161,-0.155180198282202)); #179606=CARTESIAN_POINT('',(-0.0811249999999999,0.161,-0.1579118)); #179607=CARTESIAN_POINT('',(0.0838566017177982,0.161,-0.155180198282202)); #179608=CARTESIAN_POINT('Origin',(0.,0.161,-0.155180198282202)); #179609=CARTESIAN_POINT('Origin',(0.,0.161,-0.155180198282202)); #179610=CARTESIAN_POINT('Origin',(0.,0.161,-0.02175)); #179611=CARTESIAN_POINT('',(-0.074,0.161,-0.25875)); #179612=CARTESIAN_POINT('Origin',(0.,0.161,-0.25875)); #179613=CARTESIAN_POINT('',(-0.074,0.161,-0.02175)); #179614=CARTESIAN_POINT('Origin',(0.,0.161,-0.144573596564404)); #179615=CARTESIAN_POINT('',(0.0882499999999999,0.161,-0.144573596564404)); #179616=CARTESIAN_POINT('Origin',(0.0732499999999999,0.161,-0.144573596564404)); #179617=CARTESIAN_POINT('',(-0.0882499999999999,0.161,-0.144573596564404)); #179618=CARTESIAN_POINT('Origin',(0.,0.161,-0.144573596564404)); #179619=CARTESIAN_POINT('Origin',(0.,0.161,-0.144573596564404)); #179620=CARTESIAN_POINT('Origin',(0.,0.161,-0.02175)); #179621=CARTESIAN_POINT('',(-0.0882499999999999,0.161,-0.02175)); #179622=CARTESIAN_POINT('Origin',(0.,0.,0.065143701)); #179623=CARTESIAN_POINT('',(0.24925,-3.05243214687478E-17,0.065143701)); #179624=CARTESIAN_POINT('',(-0.24925,-3.05243214687478E-17,0.065143701)); #179625=CARTESIAN_POINT('Origin',(0.,0.,0.065143701)); #179626=CARTESIAN_POINT('',(-0.24675,-3.02181597689609E-17,0.062643701)); #179627=CARTESIAN_POINT('Origin',(-0.24675,-3.02181597689609E-17,0.065143701)); #179628=CARTESIAN_POINT('Origin',(0.,0.,0.062643701)); #179629=CARTESIAN_POINT('Origin',(0.,0.,0.065143701)); #179630=CARTESIAN_POINT('Origin',(0.,0.,0.062643701)); #179631=CARTESIAN_POINT('',(-0.223,-2.7309623620986E-17,0.062643701)); #179632=CARTESIAN_POINT('Origin',(0.,0.,0.062643701)); #179633=CARTESIAN_POINT('Origin',(0.,0.,0.)); #179634=CARTESIAN_POINT('',(0.0475,-0.244682064115864,0.71225)); #179635=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179636=CARTESIAN_POINT('',(0.0475,-0.244682064115864,0.76225)); #179637=CARTESIAN_POINT('',(0.0475,-0.244682064115864,0.71225)); #179638=CARTESIAN_POINT('',(-0.0475,-0.244682064115864,0.76225)); #179639=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #179640=CARTESIAN_POINT('',(-0.0475,-0.244682064115864,0.71225)); #179641=CARTESIAN_POINT('',(-0.0475,-0.244682064115864,0.71225)); #179642=CARTESIAN_POINT('',(-0.0475,0.244682064115864,0.71225)); #179643=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #179644=CARTESIAN_POINT('',(-0.0475,0.244682064115864,0.76225)); #179645=CARTESIAN_POINT('',(-0.0475,0.244682064115864,0.71225)); #179646=CARTESIAN_POINT('',(0.0475,0.244682064115864,0.76225)); #179647=CARTESIAN_POINT('Origin',(0.,0.,0.76225)); #179648=CARTESIAN_POINT('',(0.0475,0.244682064115864,0.71225)); #179649=CARTESIAN_POINT('',(0.24925,3.05243214687478E-17,0.165005906)); #179650=CARTESIAN_POINT('',(0.24925,3.05243214687478E-17,0.)); #179651=CARTESIAN_POINT('',(-0.1675,-0.184578743359034,0.165005906)); #179652=CARTESIAN_POINT('Origin',(0.,0.,0.165005906)); #179653=CARTESIAN_POINT('',(-0.1675,-0.184578743359034,0.125635827)); #179654=CARTESIAN_POINT('',(-0.1675,-0.184578743359034,0.)); #179655=CARTESIAN_POINT('',(0.24925,3.05243214687478E-17,0.125635827)); #179656=CARTESIAN_POINT('Origin',(0.,0.,0.125635827)); #179657=CARTESIAN_POINT('',(0.24925,3.05243214687478E-17,0.)); #179658=CARTESIAN_POINT('',(0.1675,-0.184578743359034,0.125635827)); #179659=CARTESIAN_POINT('Origin',(0.,0.,0.125635827)); #179660=CARTESIAN_POINT('',(0.1675,-0.184578743359034,0.165005906)); #179661=CARTESIAN_POINT('',(0.1675,-0.184578743359034,0.)); #179662=CARTESIAN_POINT('Origin',(0.,0.,0.165005906)); #179663=CARTESIAN_POINT('',(-0.0524999999999999,-0.243658187836978,0.26495)); #179664=CARTESIAN_POINT('',(-0.0524999999999999,-0.243658187836978,0.31695)); #179665=CARTESIAN_POINT('',(-0.0525,-0.243658187836978,0.)); #179666=CARTESIAN_POINT('',(-0.0999999999999999,-0.228310233016394,0.31695)); #179667=CARTESIAN_POINT('Origin',(0.,0.,0.31695)); #179668=CARTESIAN_POINT('',(-0.0999999999999999,-0.228310233016394,0.35905)); #179669=CARTESIAN_POINT('',(-0.0999999999999999,-0.228310233016394,0.)); #179670=CARTESIAN_POINT('',(-0.0524999999999999,-0.243658187836978,0.35905)); #179671=CARTESIAN_POINT('Origin',(0.,0.,0.35905)); #179672=CARTESIAN_POINT('',(-0.0524999999999999,-0.243658187836978,0.41105)); #179673=CARTESIAN_POINT('',(-0.0525,-0.243658187836978,0.)); #179674=CARTESIAN_POINT('',(-0.1,-0.228310233016394,0.41105)); #179675=CARTESIAN_POINT('Origin',(0.,0.,0.41105)); #179676=CARTESIAN_POINT('',(-0.1,-0.228310233016394,0.45315)); #179677=CARTESIAN_POINT('',(-0.1,-0.228310233016394,0.)); #179678=CARTESIAN_POINT('',(-0.0524999999999999,-0.243658187836978,0.45315)); #179679=CARTESIAN_POINT('Origin',(0.,0.,0.45315)); #179680=CARTESIAN_POINT('',(-0.0524999999999998,-0.243658187836978,0.50515)); #179681=CARTESIAN_POINT('',(-0.0525,-0.243658187836978,0.)); #179682=CARTESIAN_POINT('',(-0.0999999999999999,-0.228310233016394,0.50515)); #179683=CARTESIAN_POINT('Origin',(0.,0.,0.50515)); #179684=CARTESIAN_POINT('',(-0.0999999999999999,-0.228310233016394,0.54725)); #179685=CARTESIAN_POINT('',(-0.0999999999999999,-0.228310233016394,0.)); #179686=CARTESIAN_POINT('',(-0.0524999999999998,-0.243658187836978,0.54725)); #179687=CARTESIAN_POINT('Origin',(0.,0.,0.54725)); #179688=CARTESIAN_POINT('',(-0.0524999999999998,-0.243658187836978,0.59925)); #179689=CARTESIAN_POINT('',(-0.0525,-0.243658187836978,0.)); #179690=CARTESIAN_POINT('',(-0.0999999999999999,-0.228310233016394,0.59925)); #179691=CARTESIAN_POINT('Origin',(0.,0.,0.59925)); #179692=CARTESIAN_POINT('',(-0.0999999999999999,-0.228310233016394,0.64135)); #179693=CARTESIAN_POINT('',(-0.0999999999999999,-0.228310233016394,0.)); #179694=CARTESIAN_POINT('',(-0.0524999999999998,-0.243658187836978,0.64135)); #179695=CARTESIAN_POINT('Origin',(0.,0.,0.64135)); #179696=CARTESIAN_POINT('',(-0.0524999999999998,-0.243658187836978,0.64635)); #179697=CARTESIAN_POINT('',(-0.0525,-0.243658187836978,0.)); #179698=CARTESIAN_POINT('',(0.0525000000000002,-0.243658187836978,0.64635)); #179699=CARTESIAN_POINT('Origin',(0.,0.,0.64635)); #179700=CARTESIAN_POINT('',(0.0525000000000002,-0.243658187836978,0.64135)); #179701=CARTESIAN_POINT('',(0.0525,-0.243658187836978,0.)); #179702=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.64135)); #179703=CARTESIAN_POINT('Origin',(0.,0.,0.64135)); #179704=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.59925)); #179705=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.)); #179706=CARTESIAN_POINT('',(0.0525000000000002,-0.243658187836978,0.59925)); #179707=CARTESIAN_POINT('Origin',(0.,0.,0.59925)); #179708=CARTESIAN_POINT('',(0.0525000000000002,-0.243658187836978,0.54725)); #179709=CARTESIAN_POINT('',(0.0525,-0.243658187836978,0.)); #179710=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.54725)); #179711=CARTESIAN_POINT('Origin',(0.,0.,0.54725)); #179712=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.50515)); #179713=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.)); #179714=CARTESIAN_POINT('',(0.0525000000000002,-0.243658187836978,0.50515)); #179715=CARTESIAN_POINT('Origin',(0.,0.,0.50515)); #179716=CARTESIAN_POINT('',(0.0525000000000001,-0.243658187836978,0.45315)); #179717=CARTESIAN_POINT('',(0.0525,-0.243658187836978,0.)); #179718=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.45315)); #179719=CARTESIAN_POINT('Origin',(0.,0.,0.45315)); #179720=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.41105)); #179721=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.)); #179722=CARTESIAN_POINT('',(0.0525000000000001,-0.243658187836978,0.41105)); #179723=CARTESIAN_POINT('Origin',(0.,0.,0.41105)); #179724=CARTESIAN_POINT('',(0.0525000000000001,-0.243658187836978,0.35905)); #179725=CARTESIAN_POINT('',(0.0525,-0.243658187836978,0.)); #179726=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.35905)); #179727=CARTESIAN_POINT('Origin',(0.,0.,0.35905)); #179728=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.31695)); #179729=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.)); #179730=CARTESIAN_POINT('',(0.0525000000000001,-0.243658187836978,0.31695)); #179731=CARTESIAN_POINT('Origin',(0.,0.,0.31695)); #179732=CARTESIAN_POINT('',(0.0525000000000001,-0.243658187836978,0.26495)); #179733=CARTESIAN_POINT('',(0.0525,-0.243658187836978,0.)); #179734=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.26495)); #179735=CARTESIAN_POINT('Origin',(0.,0.,0.26495)); #179736=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.22285)); #179737=CARTESIAN_POINT('',(0.0999999999999998,-0.228310233016394,0.)); #179738=CARTESIAN_POINT('',(0.0440000000000001,-0.245335611968585,0.22285)); #179739=CARTESIAN_POINT('Origin',(0.,0.,0.22285)); #179740=CARTESIAN_POINT('',(0.044,-0.245335611968585,0.0682500000000001)); #179741=CARTESIAN_POINT('',(0.044,-0.245335611968585,0.)); #179742=CARTESIAN_POINT('',(-0.044,-0.245335611968585,0.0682500000000001)); #179743=CARTESIAN_POINT('Origin',(0.,0.,0.0682500000000001)); #179744=CARTESIAN_POINT('',(-0.0439999999999999,-0.245335611968585,0.22285)); #179745=CARTESIAN_POINT('',(-0.044,-0.245335611968585,0.)); #179746=CARTESIAN_POINT('',(-0.1,-0.228310233016394,0.22285)); #179747=CARTESIAN_POINT('Origin',(0.,0.,0.22285)); #179748=CARTESIAN_POINT('',(-0.1,-0.228310233016394,0.26495)); #179749=CARTESIAN_POINT('',(-0.1,-0.228310233016394,0.)); #179750=CARTESIAN_POINT('Origin',(0.,0.,0.26495)); #179751=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.26495)); #179752=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.31695)); #179753=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.)); #179754=CARTESIAN_POINT('',(0.0999999999999998,0.228310233016394,0.31695)); #179755=CARTESIAN_POINT('Origin',(0.,0.,0.31695)); #179756=CARTESIAN_POINT('',(0.0999999999999998,0.228310233016394,0.35905)); #179757=CARTESIAN_POINT('',(0.0999999999999998,0.228310233016394,0.)); #179758=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.35905)); #179759=CARTESIAN_POINT('Origin',(0.,0.,0.35905)); #179760=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.41105)); #179761=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.)); #179762=CARTESIAN_POINT('',(0.123078138746338,0.216742552957967,0.41105)); #179763=CARTESIAN_POINT('Origin',(0.,0.,0.41105)); #179764=CARTESIAN_POINT('',(0.123078138746338,0.216742552957967,0.45315)); #179765=CARTESIAN_POINT('Ctrl Pts',(0.123078138746338,0.216742552957967, 0.41105)); #179766=CARTESIAN_POINT('Ctrl Pts',(0.123948793953991,0.216248147863142, 0.409859958630196)); #179767=CARTESIAN_POINT('Ctrl Pts',(0.124873782222171,0.215715821932944, 0.408751676423619)); #179768=CARTESIAN_POINT('Ctrl Pts',(0.129284303181976,0.213136549437841, 0.404040625722257)); #179769=CARTESIAN_POINT('Ctrl Pts',(0.133611021446625,0.210484469089603, 0.401073027861402)); #179770=CARTESIAN_POINT('Ctrl Pts',(0.142736431462464,0.204406281916802, 0.397282244425291)); #179771=CARTESIAN_POINT('Ctrl Pts',(0.14752772683721,0.20097472721511,0.39645)); #179772=CARTESIAN_POINT('Ctrl Pts',(0.156691085683017,0.193934872749371, 0.39645)); #179773=CARTESIAN_POINT('Ctrl Pts',(0.161745138561548,0.1897434961456,0.397478992825099)); #179774=CARTESIAN_POINT('Ctrl Pts',(0.170988440929673,0.181458086662124, 0.401600033119479)); #179775=CARTESIAN_POINT('Ctrl Pts',(0.175185050287147,0.177366185451913, 0.404679845855283)); #179776=CARTESIAN_POINT('Ctrl Pts',(0.181013901210366,0.171383141250431, 0.411257844562031)); #179777=CARTESIAN_POINT('Ctrl Pts',(0.183344848337783,0.168867092677105, 0.414830495511168)); #179778=CARTESIAN_POINT('Ctrl Pts',(0.185779976575919,0.166171084396678, 0.420942544680838)); #179779=CARTESIAN_POINT('Ctrl Pts',(0.186421072040931,0.165448332457504, 0.423105392080878)); #179780=CARTESIAN_POINT('Ctrl Pts',(0.187281036372449,0.164474362924111, 0.427552272783828)); #179781=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.164223361614601,0.429836335129445)); #179782=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.164223361614601,0.4321)); #179783=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.164223361614601,0.434363664870555)); #179784=CARTESIAN_POINT('Ctrl Pts',(0.187281036372449,0.164474362924111, 0.436647727216171)); #179785=CARTESIAN_POINT('Ctrl Pts',(0.186421072040931,0.165448332457504, 0.441094607919122)); #179786=CARTESIAN_POINT('Ctrl Pts',(0.185779976575919,0.166171084396678, 0.443257455319162)); #179787=CARTESIAN_POINT('Ctrl Pts',(0.183344848337783,0.168867092677105, 0.449369504488832)); #179788=CARTESIAN_POINT('Ctrl Pts',(0.181013901210366,0.171383141250431, 0.452942155437969)); #179789=CARTESIAN_POINT('Ctrl Pts',(0.175185050287147,0.177366185451913, 0.459520154144716)); #179790=CARTESIAN_POINT('Ctrl Pts',(0.170988440929673,0.181458086662123, 0.462599966880521)); #179791=CARTESIAN_POINT('Ctrl Pts',(0.161745138561548,0.1897434961456,0.466721007174901)); #179792=CARTESIAN_POINT('Ctrl Pts',(0.156691085683017,0.193934872749371, 0.46775)); #179793=CARTESIAN_POINT('Ctrl Pts',(0.147527726837211,0.20097472721511, 0.46775)); #179794=CARTESIAN_POINT('Ctrl Pts',(0.142736431462464,0.204406281916802, 0.466917755574709)); #179795=CARTESIAN_POINT('Ctrl Pts',(0.133611021446625,0.210484469089603, 0.463126972138598)); #179796=CARTESIAN_POINT('Ctrl Pts',(0.129284303181976,0.213136549437841, 0.460159374277743)); #179797=CARTESIAN_POINT('Ctrl Pts',(0.124873782222171,0.215715821932944, 0.455448323576381)); #179798=CARTESIAN_POINT('Ctrl Pts',(0.123948793953991,0.216248147863142, 0.454340041369804)); #179799=CARTESIAN_POINT('Ctrl Pts',(0.123078138746338,0.216742552957967, 0.45315)); #179800=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.45315)); #179801=CARTESIAN_POINT('Origin',(0.,0.,0.45315)); #179802=CARTESIAN_POINT('',(0.0525000000000002,0.243658187836978,0.50515)); #179803=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.)); #179804=CARTESIAN_POINT('',(0.0999999999999998,0.228310233016394,0.50515)); #179805=CARTESIAN_POINT('Origin',(0.,0.,0.50515)); #179806=CARTESIAN_POINT('',(0.0999999999999998,0.228310233016394,0.54725)); #179807=CARTESIAN_POINT('',(0.0999999999999998,0.228310233016394,0.)); #179808=CARTESIAN_POINT('',(0.0525000000000002,0.243658187836978,0.54725)); #179809=CARTESIAN_POINT('Origin',(0.,0.,0.54725)); #179810=CARTESIAN_POINT('',(0.0525000000000002,0.243658187836978,0.59925)); #179811=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.)); #179812=CARTESIAN_POINT('',(0.123078138746338,0.216742552957967,0.59925)); #179813=CARTESIAN_POINT('Origin',(0.,0.,0.59925)); #179814=CARTESIAN_POINT('',(0.123078138746338,0.216742552957967,0.64135)); #179815=CARTESIAN_POINT('Ctrl Pts',(0.123078138746338,0.216742552957967, 0.59925)); #179816=CARTESIAN_POINT('Ctrl Pts',(0.123948793953991,0.216248147863142, 0.598059958630196)); #179817=CARTESIAN_POINT('Ctrl Pts',(0.124873782222171,0.215715821932944, 0.596951676423619)); #179818=CARTESIAN_POINT('Ctrl Pts',(0.129284303181976,0.213136549437841, 0.592240625722257)); #179819=CARTESIAN_POINT('Ctrl Pts',(0.133611021446625,0.210484469089603, 0.589273027861402)); #179820=CARTESIAN_POINT('Ctrl Pts',(0.142736431462464,0.204406281916802, 0.585482244425291)); #179821=CARTESIAN_POINT('Ctrl Pts',(0.14752772683721,0.20097472721511,0.58465)); #179822=CARTESIAN_POINT('Ctrl Pts',(0.156691085683017,0.193934872749371, 0.58465)); #179823=CARTESIAN_POINT('Ctrl Pts',(0.161745138561548,0.1897434961456,0.585678992825099)); #179824=CARTESIAN_POINT('Ctrl Pts',(0.170988440929673,0.181458086662124, 0.589800033119479)); #179825=CARTESIAN_POINT('Ctrl Pts',(0.175185050287147,0.177366185451913, 0.592879845855284)); #179826=CARTESIAN_POINT('Ctrl Pts',(0.181013901210366,0.171383141250431, 0.599457844562031)); #179827=CARTESIAN_POINT('Ctrl Pts',(0.183344848337783,0.168867092677105, 0.603030495511168)); #179828=CARTESIAN_POINT('Ctrl Pts',(0.185779976575919,0.166171084396678, 0.609142544680838)); #179829=CARTESIAN_POINT('Ctrl Pts',(0.186421072040931,0.165448332457504, 0.611305392080878)); #179830=CARTESIAN_POINT('Ctrl Pts',(0.187281036372449,0.164474362924111, 0.615752272783828)); #179831=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.164223361614601,0.618036335129445)); #179832=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.164223361614601,0.6203)); #179833=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.164223361614601,0.622787392804502)); #179834=CARTESIAN_POINT('Ctrl Pts',(0.187236338609225,0.164525762723949, 0.625275301915861)); #179835=CARTESIAN_POINT('Ctrl Pts',(0.186219507564771,0.165675663275618, 0.630083151718234)); #179836=CARTESIAN_POINT('Ctrl Pts',(0.185466084827306,0.166522914555888, 0.632403098230315)); #179837=CARTESIAN_POINT('Ctrl Pts',(0.182622976486298,0.169653646401096, 0.638910784165049)); #179838=CARTESIAN_POINT('Ctrl Pts',(0.179921667466111,0.172540737276183, 0.64264504603249)); #179839=CARTESIAN_POINT('Ctrl Pts',(0.17379426099225,0.178724997185302, 0.648772452506351)); #179840=CARTESIAN_POINT('Ctrl Pts',(0.169788802113118,0.18256864233771, 0.651466683486239)); #179841=CARTESIAN_POINT('Ctrl Pts',(0.16106780947623,0.190306876525643, 0.655059096349766)); #179842=CARTESIAN_POINT('Ctrl Pts',(0.156347171233153,0.194199088929971, 0.65595)); #179843=CARTESIAN_POINT('Ctrl Pts',(0.14752772683721,0.20097472721511,0.65595)); #179844=CARTESIAN_POINT('Ctrl Pts',(0.142736431462464,0.204406281916803, 0.655117755574709)); #179845=CARTESIAN_POINT('Ctrl Pts',(0.133611021446625,0.210484469089603, 0.651326972138598)); #179846=CARTESIAN_POINT('Ctrl Pts',(0.129284303181976,0.213136549437841, 0.648359374277743)); #179847=CARTESIAN_POINT('Ctrl Pts',(0.124873782222171,0.215715821932944, 0.643648323576381)); #179848=CARTESIAN_POINT('Ctrl Pts',(0.123948793953991,0.216248147863142, 0.642540041369804)); #179849=CARTESIAN_POINT('Ctrl Pts',(0.123078138746338,0.216742552957967, 0.64135)); #179850=CARTESIAN_POINT('',(0.0525000000000002,0.243658187836978,0.64135)); #179851=CARTESIAN_POINT('Origin',(0.,0.,0.64135)); #179852=CARTESIAN_POINT('',(0.0525000000000002,0.243658187836978,0.64635)); #179853=CARTESIAN_POINT('',(0.0525000000000001,0.243658187836978,0.)); #179854=CARTESIAN_POINT('',(-0.0524999999999998,0.243658187836978,0.64635)); #179855=CARTESIAN_POINT('Origin',(0.,0.,0.64635)); #179856=CARTESIAN_POINT('',(-0.0524999999999998,0.243658187836978,0.64135)); #179857=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.)); #179858=CARTESIAN_POINT('',(-0.0999999999999999,0.228310233016394,0.64135)); #179859=CARTESIAN_POINT('Origin',(0.,0.,0.64135)); #179860=CARTESIAN_POINT('',(-0.0999999999999999,0.228310233016394,0.59925)); #179861=CARTESIAN_POINT('',(-0.0999999999999999,0.228310233016394,0.)); #179862=CARTESIAN_POINT('',(-0.0524999999999998,0.243658187836978,0.59925)); #179863=CARTESIAN_POINT('Origin',(0.,0.,0.59925)); #179864=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.54725)); #179865=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.)); #179866=CARTESIAN_POINT('',(-0.123078138746338,0.216742552957967,0.54725)); #179867=CARTESIAN_POINT('Origin',(0.,0.,0.54725)); #179868=CARTESIAN_POINT('',(-0.123078138746338,0.216742552957967,0.50515)); #179869=CARTESIAN_POINT('Ctrl Pts',(-0.123078138746338,0.216742552957967, 0.54725)); #179870=CARTESIAN_POINT('Ctrl Pts',(-0.123948793953991,0.216248147863142, 0.548440041369804)); #179871=CARTESIAN_POINT('Ctrl Pts',(-0.124873782222171,0.215715821932944, 0.549548323576381)); #179872=CARTESIAN_POINT('Ctrl Pts',(-0.129284303181976,0.213136549437841, 0.554259374277743)); #179873=CARTESIAN_POINT('Ctrl Pts',(-0.133611021446625,0.210484469089603, 0.557226972138598)); #179874=CARTESIAN_POINT('Ctrl Pts',(-0.142736431462464,0.204406281916802, 0.561017755574709)); #179875=CARTESIAN_POINT('Ctrl Pts',(-0.147527726837211,0.20097472721511, 0.56185)); #179876=CARTESIAN_POINT('Ctrl Pts',(-0.156691085683017,0.193934872749371, 0.56185)); #179877=CARTESIAN_POINT('Ctrl Pts',(-0.161745138561548,0.1897434961456, 0.560821007174901)); #179878=CARTESIAN_POINT('Ctrl Pts',(-0.170988440929674,0.181458086662123, 0.556699966880521)); #179879=CARTESIAN_POINT('Ctrl Pts',(-0.175185050287148,0.177366185451913, 0.553620154144716)); #179880=CARTESIAN_POINT('Ctrl Pts',(-0.181013901210366,0.171383141250431, 0.547042155437969)); #179881=CARTESIAN_POINT('Ctrl Pts',(-0.183344848337783,0.168867092677105, 0.543469504488832)); #179882=CARTESIAN_POINT('Ctrl Pts',(-0.185779976575919,0.166171084396677, 0.537357455319162)); #179883=CARTESIAN_POINT('Ctrl Pts',(-0.186421072040932,0.165448332457504, 0.535194607919122)); #179884=CARTESIAN_POINT('Ctrl Pts',(-0.187281036372449,0.16447436292411, 0.530747727216171)); #179885=CARTESIAN_POINT('Ctrl Pts',(-0.1875,0.164223361614601,0.528463664870555)); #179886=CARTESIAN_POINT('Ctrl Pts',(-0.1875,0.164223361614601,0.523936335129445)); #179887=CARTESIAN_POINT('Ctrl Pts',(-0.187281036372449,0.16447436292411, 0.521652272783829)); #179888=CARTESIAN_POINT('Ctrl Pts',(-0.186421072040932,0.165448332457504, 0.517205392080878)); #179889=CARTESIAN_POINT('Ctrl Pts',(-0.185779976575919,0.166171084396677, 0.515042544680838)); #179890=CARTESIAN_POINT('Ctrl Pts',(-0.183344848337783,0.168867092677105, 0.508930495511168)); #179891=CARTESIAN_POINT('Ctrl Pts',(-0.181013901210366,0.171383141250431, 0.505357844562031)); #179892=CARTESIAN_POINT('Ctrl Pts',(-0.175185050287148,0.177366185451913, 0.498779845855284)); #179893=CARTESIAN_POINT('Ctrl Pts',(-0.170988440929674,0.181458086662123, 0.495700033119479)); #179894=CARTESIAN_POINT('Ctrl Pts',(-0.161745138561548,0.1897434961456, 0.491578992825099)); #179895=CARTESIAN_POINT('Ctrl Pts',(-0.156691085683017,0.193934872749371, 0.49055)); #179896=CARTESIAN_POINT('Ctrl Pts',(-0.147527726837211,0.20097472721511, 0.49055)); #179897=CARTESIAN_POINT('Ctrl Pts',(-0.142736431462464,0.204406281916802, 0.491382244425291)); #179898=CARTESIAN_POINT('Ctrl Pts',(-0.133611021446625,0.210484469089603, 0.495173027861402)); #179899=CARTESIAN_POINT('Ctrl Pts',(-0.129284303181976,0.213136549437841, 0.498140625722257)); #179900=CARTESIAN_POINT('Ctrl Pts',(-0.124873782222171,0.215715821932944, 0.502851676423619)); #179901=CARTESIAN_POINT('Ctrl Pts',(-0.123948793953991,0.216248147863142, 0.503959958630196)); #179902=CARTESIAN_POINT('Ctrl Pts',(-0.123078138746338,0.216742552957967, 0.50515)); #179903=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.50515)); #179904=CARTESIAN_POINT('Origin',(0.,0.,0.50515)); #179905=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.45315)); #179906=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.)); #179907=CARTESIAN_POINT('',(-0.1,0.228310233016394,0.45315)); #179908=CARTESIAN_POINT('Origin',(0.,0.,0.45315)); #179909=CARTESIAN_POINT('',(-0.1,0.228310233016394,0.41105)); #179910=CARTESIAN_POINT('',(-0.1,0.228310233016394,0.)); #179911=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.41105)); #179912=CARTESIAN_POINT('Origin',(0.,0.,0.41105)); #179913=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.35905)); #179914=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.)); #179915=CARTESIAN_POINT('',(-0.123078138746338,0.216742552957967,0.35905)); #179916=CARTESIAN_POINT('Origin',(0.,0.,0.35905)); #179917=CARTESIAN_POINT('',(-0.123078138746338,0.216742552957967,0.31695)); #179918=CARTESIAN_POINT('Ctrl Pts',(-0.123078138746338,0.216742552957967, 0.35905)); #179919=CARTESIAN_POINT('Ctrl Pts',(-0.123948793953991,0.216248147863142, 0.360240041369804)); #179920=CARTESIAN_POINT('Ctrl Pts',(-0.124873782222171,0.215715821932944, 0.361348323576381)); #179921=CARTESIAN_POINT('Ctrl Pts',(-0.129284303181976,0.213136549437841, 0.366059374277743)); #179922=CARTESIAN_POINT('Ctrl Pts',(-0.133611021446625,0.210484469089603, 0.369026972138598)); #179923=CARTESIAN_POINT('Ctrl Pts',(-0.142736431462464,0.204406281916802, 0.372817755574709)); #179924=CARTESIAN_POINT('Ctrl Pts',(-0.147527726837211,0.20097472721511, 0.37365)); #179925=CARTESIAN_POINT('Ctrl Pts',(-0.156691085683017,0.193934872749371, 0.37365)); #179926=CARTESIAN_POINT('Ctrl Pts',(-0.161745138561548,0.1897434961456, 0.372621007174901)); #179927=CARTESIAN_POINT('Ctrl Pts',(-0.170988440929674,0.181458086662123, 0.368499966880521)); #179928=CARTESIAN_POINT('Ctrl Pts',(-0.175185050287148,0.177366185451913, 0.365420154144716)); #179929=CARTESIAN_POINT('Ctrl Pts',(-0.181013901210366,0.171383141250431, 0.358842155437969)); #179930=CARTESIAN_POINT('Ctrl Pts',(-0.183344848337783,0.168867092677105, 0.355269504488832)); #179931=CARTESIAN_POINT('Ctrl Pts',(-0.185779976575919,0.166171084396677, 0.349157455319162)); #179932=CARTESIAN_POINT('Ctrl Pts',(-0.186421072040932,0.165448332457504, 0.346994607919122)); #179933=CARTESIAN_POINT('Ctrl Pts',(-0.187281036372449,0.16447436292411, 0.342547727216171)); #179934=CARTESIAN_POINT('Ctrl Pts',(-0.1875,0.164223361614601,0.340263664870555)); #179935=CARTESIAN_POINT('Ctrl Pts',(-0.1875,0.164223361614601,0.335736335129445)); #179936=CARTESIAN_POINT('Ctrl Pts',(-0.187281036372449,0.16447436292411, 0.333452272783829)); #179937=CARTESIAN_POINT('Ctrl Pts',(-0.186421072040932,0.165448332457504, 0.329005392080878)); #179938=CARTESIAN_POINT('Ctrl Pts',(-0.185779976575919,0.166171084396677, 0.326842544680838)); #179939=CARTESIAN_POINT('Ctrl Pts',(-0.183344848337783,0.168867092677105, 0.320730495511168)); #179940=CARTESIAN_POINT('Ctrl Pts',(-0.181013901210366,0.171383141250431, 0.317157844562031)); #179941=CARTESIAN_POINT('Ctrl Pts',(-0.175185050287148,0.177366185451913, 0.310579845855284)); #179942=CARTESIAN_POINT('Ctrl Pts',(-0.170988440929674,0.181458086662123, 0.307500033119479)); #179943=CARTESIAN_POINT('Ctrl Pts',(-0.161745138561548,0.1897434961456, 0.303378992825099)); #179944=CARTESIAN_POINT('Ctrl Pts',(-0.156691085683017,0.193934872749371, 0.30235)); #179945=CARTESIAN_POINT('Ctrl Pts',(-0.147527726837211,0.20097472721511, 0.30235)); #179946=CARTESIAN_POINT('Ctrl Pts',(-0.142736431462464,0.204406281916802, 0.303182244425291)); #179947=CARTESIAN_POINT('Ctrl Pts',(-0.133611021446625,0.210484469089603, 0.306973027861402)); #179948=CARTESIAN_POINT('Ctrl Pts',(-0.129284303181976,0.213136549437841, 0.309940625722257)); #179949=CARTESIAN_POINT('Ctrl Pts',(-0.124873782222171,0.215715821932944, 0.314651676423619)); #179950=CARTESIAN_POINT('Ctrl Pts',(-0.123948793953991,0.216248147863142, 0.315759958630196)); #179951=CARTESIAN_POINT('Ctrl Pts',(-0.123078138746338,0.216742552957967, 0.31695)); #179952=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.31695)); #179953=CARTESIAN_POINT('Origin',(0.,0.,0.31695)); #179954=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.26495)); #179955=CARTESIAN_POINT('',(-0.0524999999999999,0.243658187836978,0.)); #179956=CARTESIAN_POINT('',(-0.1,0.228310233016394,0.26495)); #179957=CARTESIAN_POINT('Origin',(0.,0.,0.26495)); #179958=CARTESIAN_POINT('',(-0.1,0.228310233016394,0.22285)); #179959=CARTESIAN_POINT('',(-0.1,0.228310233016394,0.)); #179960=CARTESIAN_POINT('',(0.123078138746338,0.216742552957967,0.22285)); #179961=CARTESIAN_POINT('Origin',(0.,0.,0.22285)); #179962=CARTESIAN_POINT('',(0.123078138746338,0.216742552957967,0.26495)); #179963=CARTESIAN_POINT('Ctrl Pts',(0.123078138746338,0.216742552957967, 0.22285)); #179964=CARTESIAN_POINT('Ctrl Pts',(0.123948793953991,0.216248147863142, 0.221659958630196)); #179965=CARTESIAN_POINT('Ctrl Pts',(0.124873782222171,0.215715821932944, 0.220551676423619)); #179966=CARTESIAN_POINT('Ctrl Pts',(0.129284303181976,0.213136549437841, 0.215840625722257)); #179967=CARTESIAN_POINT('Ctrl Pts',(0.133611021446625,0.210484469089603, 0.212873027861402)); #179968=CARTESIAN_POINT('Ctrl Pts',(0.142736431462464,0.204406281916803, 0.209082244425291)); #179969=CARTESIAN_POINT('Ctrl Pts',(0.14752772683721,0.20097472721511,0.20825)); #179970=CARTESIAN_POINT('Ctrl Pts',(0.156691085683017,0.193934872749371, 0.20825)); #179971=CARTESIAN_POINT('Ctrl Pts',(0.161745138561548,0.1897434961456,0.209278992825099)); #179972=CARTESIAN_POINT('Ctrl Pts',(0.170988440929673,0.181458086662124, 0.213400033119479)); #179973=CARTESIAN_POINT('Ctrl Pts',(0.175185050287147,0.177366185451913, 0.216479845855284)); #179974=CARTESIAN_POINT('Ctrl Pts',(0.181013901210366,0.171383141250431, 0.223057844562031)); #179975=CARTESIAN_POINT('Ctrl Pts',(0.183344848337783,0.168867092677105, 0.226630495511168)); #179976=CARTESIAN_POINT('Ctrl Pts',(0.185779976575919,0.166171084396678, 0.232742544680838)); #179977=CARTESIAN_POINT('Ctrl Pts',(0.186421072040931,0.165448332457504, 0.234905392080878)); #179978=CARTESIAN_POINT('Ctrl Pts',(0.187281036372449,0.164474362924111, 0.239352272783828)); #179979=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.164223361614601,0.241636335129445)); #179980=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.164223361614601,0.2439)); #179981=CARTESIAN_POINT('Ctrl Pts',(0.1875,0.164223361614601,0.246163664870555)); #179982=CARTESIAN_POINT('Ctrl Pts',(0.187281036372449,0.164474362924111, 0.248447727216171)); #179983=CARTESIAN_POINT('Ctrl Pts',(0.186421072040932,0.165448332457504, 0.252894607919122)); #179984=CARTESIAN_POINT('Ctrl Pts',(0.185779976575919,0.166171084396678, 0.255057455319162)); #179985=CARTESIAN_POINT('Ctrl Pts',(0.183344848337783,0.168867092677105, 0.261169504488832)); #179986=CARTESIAN_POINT('Ctrl Pts',(0.181013901210366,0.171383141250431, 0.264742155437969)); #179987=CARTESIAN_POINT('Ctrl Pts',(0.175185050287147,0.177366185451913, 0.271320154144716)); #179988=CARTESIAN_POINT('Ctrl Pts',(0.170988440929673,0.181458086662123, 0.274399966880521)); #179989=CARTESIAN_POINT('Ctrl Pts',(0.161745138561548,0.1897434961456,0.278521007174901)); #179990=CARTESIAN_POINT('Ctrl Pts',(0.156691085683017,0.193934872749371, 0.27955)); #179991=CARTESIAN_POINT('Ctrl Pts',(0.147527726837211,0.20097472721511, 0.27955)); #179992=CARTESIAN_POINT('Ctrl Pts',(0.142736431462464,0.204406281916803, 0.278717755574709)); #179993=CARTESIAN_POINT('Ctrl Pts',(0.133611021446625,0.210484469089603, 0.274926972138598)); #179994=CARTESIAN_POINT('Ctrl Pts',(0.129284303181976,0.213136549437841, 0.271959374277743)); #179995=CARTESIAN_POINT('Ctrl Pts',(0.124873782222171,0.215715821932944, 0.267248323576381)); #179996=CARTESIAN_POINT('Ctrl Pts',(0.123948793953991,0.216248147863142, 0.266140041369804)); #179997=CARTESIAN_POINT('Ctrl Pts',(0.123078138746338,0.216742552957967, 0.26495)); #179998=CARTESIAN_POINT('Origin',(0.,0.,0.26495)); #179999=CARTESIAN_POINT('Origin',(0.,0.,0.000143701)); #180000=CARTESIAN_POINT('',(0.24925,3.05243214687478E-17,0.000143701)); #180001=CARTESIAN_POINT('Origin',(0.,0.,0.000143701)); #180002=CARTESIAN_POINT('',(0.24675,-3.02181597689609E-17,0.002643701)); #180003=CARTESIAN_POINT('Origin',(0.24675,-3.02181597689609E-17,0.000143701)); #180004=CARTESIAN_POINT('Origin',(0.,0.,0.002643701)); #180005=CARTESIAN_POINT('Origin',(0.,0.,0.002643701)); #180006=CARTESIAN_POINT('',(-0.223,-2.7309623620986E-17,0.002643701)); #180007=CARTESIAN_POINT('Origin',(0.,0.,0.002643701)); #180008=CARTESIAN_POINT('Origin',(0.,0.,0.)); #180009=CARTESIAN_POINT('',(0.24925,3.05243214687478E-17,0.)); #180010=CARTESIAN_POINT('Ctrl Pts',(5.01796901421328E-18,0.24925,-0.02175)); #180011=CARTESIAN_POINT('Ctrl Pts',(0.000105971746919548,0.24925,-0.0217355306379095)); #180012=CARTESIAN_POINT('Ctrl Pts',(0.000211927034087125,0.24924993205679, -0.0217209441959534)); #180013=CARTESIAN_POINT('Ctrl Pts',(0.00886679221372234,0.249238937724768, -0.0205530540561154)); #180014=CARTESIAN_POINT('Ctrl Pts',(0.017465495339952,0.248786975057884, -0.01925)); #180015=CARTESIAN_POINT('Ctrl Pts',(0.0260461196882615,0.247885381071948, -0.01925)); #180016=CARTESIAN_POINT('Ctrl Pts',(0.0260033232342914,0.247478079891277, -0.0206211276969999)); #180017=CARTESIAN_POINT('Ctrl Pts',(0.0176399775111479,0.248682618596642, -0.0201271622095892)); #180018=CARTESIAN_POINT('Ctrl Pts',(0.00892249549495771,0.249233658201188, -0.0210009818726768)); #180019=CARTESIAN_POINT('Ctrl Pts',(0.000213454592947304,0.249249933394625, -0.0217316337356921)); #180020=CARTESIAN_POINT('Ctrl Pts',(0.000106709272456414,0.24925,-0.0217408780021262)); #180021=CARTESIAN_POINT('Ctrl Pts',(5.1605414940559E-18,0.24925,-0.02175)); #180022=CARTESIAN_POINT('Ctrl Pts',(0.0260310484396543,0.247741945418232, -0.0202166168603111)); #180023=CARTESIAN_POINT('Ctrl Pts',(0.0175872172330134,0.248748979108594, -0.0198240532649495)); #180024=CARTESIAN_POINT('Ctrl Pts',(0.00890334201722229,0.249237060445623, -0.0208528228677711)); #180025=CARTESIAN_POINT('Ctrl Pts',(0.000212950577302962,0.249249932633895, -0.0217280604624649)); #180026=CARTESIAN_POINT('Ctrl Pts',(0.000106463430610792,0.24925,-0.0217390955473873)); #180027=CARTESIAN_POINT('Ctrl Pts',(5.11301733410836E-18,0.24925,-0.02175)); #180028=CARTESIAN_POINT('Ctrl Pts',(0.0260461196882615,0.247885381071948, -0.0197337589485752)); #180029=CARTESIAN_POINT('Ctrl Pts',(0.017525096207547,0.248779398737745, -0.0195364338260393)); #180030=CARTESIAN_POINT('Ctrl Pts',(0.00888520080557041,0.249239259787123, -0.0207029875574684)); #180031=CARTESIAN_POINT('Ctrl Pts',(0.000212437633249305,0.24924992832991, -0.0217245018869443)); #180032=CARTESIAN_POINT('Ctrl Pts',(0.00010621758876517,0.24925,-0.0217373130926484)); #180033=CARTESIAN_POINT('Ctrl Pts',(5.06549317416082E-18,0.24925,-0.02175)); #180034=CARTESIAN_POINT('Ctrl Pts',(0.0260461196882615,0.247885381071948, -0.01925)); #180035=CARTESIAN_POINT('Ctrl Pts',(0.017465495339952,0.248786975057884, -0.01925)); #180036=CARTESIAN_POINT('Ctrl Pts',(0.00886679221372234,0.249238937724768, -0.0205530540561154)); #180037=CARTESIAN_POINT('Ctrl Pts',(0.000211927034087125,0.24924993205679, -0.0217209441959534)); #180038=CARTESIAN_POINT('Ctrl Pts',(0.000105971746919548,0.24925,-0.0217355306379095)); #180039=CARTESIAN_POINT('Ctrl Pts',(5.01796901421328E-18,0.24925,-0.02175)); #180040=CARTESIAN_POINT('Origin',(0.,0.161,-0.25875)); #180041=CARTESIAN_POINT('',(-0.0715,0.161,-0.26125)); #180042=CARTESIAN_POINT('Origin',(-0.0715,0.161,-0.25875)); #180043=CARTESIAN_POINT('Origin',(0.,0.161,-0.26125)); #180044=CARTESIAN_POINT('Origin',(0.,0.161,-0.26125)); #180045=CARTESIAN_POINT('Origin',(-0.1675,-0.03,0.165005906)); #180046=CARTESIAN_POINT('',(-0.1675,-0.03,0.165005906)); #180047=CARTESIAN_POINT('',(-0.1675,-0.184578743359034,0.165005906)); #180048=CARTESIAN_POINT('',(-0.1675,-0.03,0.125635827)); #180049=CARTESIAN_POINT('',(-0.1675,-0.03,0.165005906)); #180050=CARTESIAN_POINT('',(-0.1675,-0.184578743359034,0.125635827)); #180051=CARTESIAN_POINT('Origin',(-0.204188791318231,-0.03,0.165005906)); #180052=CARTESIAN_POINT('',(-0.204188791318231,-0.03,0.165005906)); #180053=CARTESIAN_POINT('',(-0.1675,-0.03,0.165005906)); #180054=CARTESIAN_POINT('',(-0.204188791318231,-0.03,0.125635827)); #180055=CARTESIAN_POINT('',(-0.204188791318231,-0.03,0.165005906)); #180056=CARTESIAN_POINT('',(-0.1675,-0.03,0.125635827)); #180057=CARTESIAN_POINT('Origin',(-0.204188791318231,-0.025,0.165005906)); #180058=CARTESIAN_POINT('',(-0.209187292941688,-0.0248776009791923,0.165005906)); #180059=CARTESIAN_POINT('Origin',(-0.204188791318231,-0.025,0.165005906)); #180060=CARTESIAN_POINT('',(-0.209187292941688,-0.0248776009791923,0.125635827)); #180061=CARTESIAN_POINT('',(-0.209187292941688,-0.0248776009791923,0.165005906)); #180062=CARTESIAN_POINT('Origin',(-0.204188791318231,-0.025,0.125635827)); #180063=CARTESIAN_POINT('Origin',(1.63910879619856E-17,-0.0300000000000001, 0.165005906)); #180064=CARTESIAN_POINT('',(0.209187292941688,-0.0248776009791921,0.165005906)); #180065=CARTESIAN_POINT('Origin',(1.63910879619856E-17,-0.0300000000000001, 0.165005906)); #180066=CARTESIAN_POINT('',(0.209187292941688,-0.0248776009791921,0.125635827)); #180067=CARTESIAN_POINT('',(0.209187292941688,-0.0248776009791921,0.165005906)); #180068=CARTESIAN_POINT('Origin',(1.63910879619856E-17,-0.0300000000000001, 0.125635827)); #180069=CARTESIAN_POINT('Origin',(0.204188791318231,-0.025,0.165005906)); #180070=CARTESIAN_POINT('',(0.204188791318231,-0.03,0.165005906)); #180071=CARTESIAN_POINT('Origin',(0.204188791318231,-0.025,0.165005906)); #180072=CARTESIAN_POINT('',(0.204188791318231,-0.03,0.125635827)); #180073=CARTESIAN_POINT('',(0.204188791318231,-0.03,0.165005906)); #180074=CARTESIAN_POINT('Origin',(0.204188791318231,-0.025,0.125635827)); #180075=CARTESIAN_POINT('Origin',(0.1675,-0.03,0.165005906)); #180076=CARTESIAN_POINT('',(0.1675,-0.03,0.165005906)); #180077=CARTESIAN_POINT('',(0.204188791318231,-0.03,0.165005906)); #180078=CARTESIAN_POINT('',(0.1675,-0.03,0.125635827)); #180079=CARTESIAN_POINT('',(0.1675,-0.03,0.165005906)); #180080=CARTESIAN_POINT('',(0.204188791318231,-0.03,0.125635827)); #180081=CARTESIAN_POINT('Origin',(0.1675,-0.184578743359034,0.165005906)); #180082=CARTESIAN_POINT('',(0.1675,-0.03,0.165005906)); #180083=CARTESIAN_POINT('',(0.1675,-0.03,0.125635827)); #180084=CARTESIAN_POINT('Origin',(5.44146057373292E-18,0.0438562159365691, 0.165005906)); #180085=CARTESIAN_POINT('Origin',(5.44146057373292E-18,0.0438562159365691, 0.125635827)); #180086=CARTESIAN_POINT('Origin',(0.078125,-0.0703696664643031,0.82825)); #180087=CARTESIAN_POINT('',(0.078125,-0.0703696664643031,0.82575)); #180088=CARTESIAN_POINT('',(0.078125,-0.0823170753821816,0.82575)); #180089=CARTESIAN_POINT('',(0.078125,-0.0823170753821816,0.82575)); #180090=CARTESIAN_POINT('',(0.078125,-0.0703696664643031,0.82825)); #180091=CARTESIAN_POINT('',(0.078125,-0.0703696664643031,0.82825)); #180092=CARTESIAN_POINT('',(0.078125,-0.0823170753821816,0.82825)); #180093=CARTESIAN_POINT('',(0.078125,0.0418151667678484,0.82825)); #180094=CARTESIAN_POINT('',(0.078125,-0.0823170753821816,0.82825)); #180095=CARTESIAN_POINT('Origin',(0.078125,-0.0823170753821816,0.82825)); #180096=CARTESIAN_POINT('',(0.104134909366673,-0.101295734524458,0.82575)); #180097=CARTESIAN_POINT('',(0.104134909366673,-0.101295734524458,0.82575)); #180098=CARTESIAN_POINT('',(0.104134909366673,-0.101295734524458,0.82825)); #180099=CARTESIAN_POINT('',(-0.00362763835698828,-0.0226646008008188,0.82825)); #180100=CARTESIAN_POINT('',(0.104134909366673,-0.101295734524458,0.82825)); #180101=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.104839941954642, 0.82825)); #180102=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.104839941954642, 0.82575)); #180103=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,-0.102381862607901, 0.82825)); #180104=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,-0.102381862607901, 0.82575)); #180105=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,-0.101295734524458, 0.82825)); #180106=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,-0.101295734524458, 0.82575)); #180107=CARTESIAN_POINT('',(0.10950838514792,-0.104839941954642,0.82575)); #180108=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,-0.101295734524458, 0.82575)); #180109=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,-0.102381862607901, 0.82575)); #180110=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.104839941954642, 0.82575)); #180111=CARTESIAN_POINT('',(0.10950838514792,-0.104839941954642,0.82825)); #180112=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.104839941954642, 0.82825)); #180113=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,-0.102381862607901, 0.82825)); #180114=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,-0.101295734524458, 0.82825)); #180115=CARTESIAN_POINT('',(0.10950838514792,-0.104839941954642,0.82825)); #180116=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,-0.107983996933031, 0.82825)); #180117=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,-0.107983996933031, 0.82575)); #180118=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,-0.106383387125851, 0.82825)); #180119=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,-0.106383387125851, 0.82575)); #180120=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.104839941954642, 0.82825)); #180121=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.104839941954642, 0.82575)); #180122=CARTESIAN_POINT('',(0.104878049634293,-0.107983996933031,0.82575)); #180123=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.104839941954642, 0.82575)); #180124=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,-0.106383387125851, 0.82575)); #180125=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,-0.107983996933031, 0.82575)); #180126=CARTESIAN_POINT('',(0.104878049634293,-0.107983996933031,0.82825)); #180127=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,-0.107983996933031, 0.82825)); #180128=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,-0.106383387125851, 0.82825)); #180129=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.104839941954642, 0.82825)); #180130=CARTESIAN_POINT('',(0.104878049634293,-0.107983996933031,0.82825)); #180131=CARTESIAN_POINT('Origin',(0.104878049634293,-0.107983996933031, 0.82825)); #180132=CARTESIAN_POINT('',(0.078125,-0.126962656075307,0.82575)); #180133=CARTESIAN_POINT('',(0.078125,-0.126962656075307,0.82575)); #180134=CARTESIAN_POINT('',(0.078125,-0.126962656075307,0.82825)); #180135=CARTESIAN_POINT('',(0.13181096276416,-0.0888777423195349,0.82825)); #180136=CARTESIAN_POINT('',(0.078125,-0.126962656075307,0.82825)); #180137=CARTESIAN_POINT('Origin',(0.078125,-0.126962656075307,0.82825)); #180138=CARTESIAN_POINT('',(0.078125,-0.139138723537068,0.82575)); #180139=CARTESIAN_POINT('',(0.078125,-0.139138723537068,0.82575)); #180140=CARTESIAN_POINT('',(0.078125,-0.139138723537068,0.82825)); #180141=CARTESIAN_POINT('',(0.078125,0.0135186719623466,0.82825)); #180142=CARTESIAN_POINT('',(0.078125,-0.139138723537068,0.82825)); #180143=CARTESIAN_POINT('Origin',(0.078125,-0.139138723537068,0.82825)); #180144=CARTESIAN_POINT('',(0.117454269547849,-0.111070887275449,0.82575)); #180145=CARTESIAN_POINT('',(0.117454269547849,-0.111070887275449,0.82575)); #180146=CARTESIAN_POINT('',(0.117454269547849,-0.111070887275449,0.82825)); #180147=CARTESIAN_POINT('',(0.121547756706869,-0.108149517805858,0.82825)); #180148=CARTESIAN_POINT('',(0.117454269547849,-0.111070887275449,0.82825)); #180149=CARTESIAN_POINT('Origin',(0.117454269547849,-0.111070887275449, 0.82825)); #180150=CARTESIAN_POINT('',(0.153125002393572,-0.137080796642122,0.82575)); #180151=CARTESIAN_POINT('',(0.153125002393572,-0.137080796642122,0.82575)); #180152=CARTESIAN_POINT('',(0.153125002393572,-0.137080796642122,0.82825)); #180153=CARTESIAN_POINT('',(0.0160184906216661,-0.0371072984751074,0.82825)); #180154=CARTESIAN_POINT('',(0.153125002393572,-0.137080796642122,0.82825)); #180155=CARTESIAN_POINT('Origin',(0.153125002393572,-0.137080796642122, 0.82825)); #180156=CARTESIAN_POINT('',(0.153125002393572,-0.126276680443658,0.82575)); #180157=CARTESIAN_POINT('',(0.153125002393572,-0.126276680443658,0.82575)); #180158=CARTESIAN_POINT('',(0.153125002393572,-0.126276680443658,0.82825)); #180159=CARTESIAN_POINT('',(0.153125002393572,0.00845960167893873,0.82825)); #180160=CARTESIAN_POINT('',(0.153125002393572,-0.126276680443658,0.82825)); #180161=CARTESIAN_POINT('Origin',(0.153125002393572,-0.126276680443658, 0.82825)); #180162=CARTESIAN_POINT('',(0.133174544439794,-0.111299545819332,0.82575)); #180163=CARTESIAN_POINT('',(0.133174544439794,-0.111299545819332,0.82575)); #180164=CARTESIAN_POINT('',(0.133174544439794,-0.111299545819332,0.82825)); #180165=CARTESIAN_POINT('',(0.0368743328486992,-0.0390054041664182,0.82825)); #180166=CARTESIAN_POINT('',(0.133174544439794,-0.111299545819332,0.82825)); #180167=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.105297259042407, 0.82825)); #180168=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.105297259042407, 0.82575)); #180169=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,-0.107812503025119, 0.82825)); #180170=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,-0.107812503025119, 0.82575)); #180171=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,-0.111299545819332, 0.82825)); #180172=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,-0.111299545819332, 0.82575)); #180173=CARTESIAN_POINT('',(0.124714178316129,-0.105297259042407,0.82575)); #180174=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,-0.111299545819332, 0.82575)); #180175=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,-0.107812503025119, 0.82575)); #180176=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.105297259042407, 0.82575)); #180177=CARTESIAN_POINT('',(0.124714178316129,-0.105297259042407,0.82825)); #180178=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.105297259042407, 0.82825)); #180179=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,-0.107812503025119, 0.82825)); #180180=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,-0.111299545819332, 0.82825)); #180181=CARTESIAN_POINT('',(0.124714178316129,-0.105297259042407,0.82825)); #180182=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,-0.0992949722654827, 0.82825)); #180183=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,-0.0992949722654827, 0.82575)); #180184=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,-0.103582319963286, 0.82825)); #180185=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,-0.103582319963286, 0.82575)); #180186=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.105297259042407, 0.82825)); #180187=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.105297259042407, 0.82575)); #180188=CARTESIAN_POINT('',(0.133917684707414,-0.0992949722654827,0.82575)); #180189=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.105297259042407, 0.82575)); #180190=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,-0.103582319963286, 0.82575)); #180191=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,-0.0992949722654827, 0.82575)); #180192=CARTESIAN_POINT('',(0.133917684707414,-0.0992949722654827,0.82825)); #180193=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,-0.0992949722654827, 0.82825)); #180194=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,-0.103582319963286, 0.82825)); #180195=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.105297259042407, 0.82825)); #180196=CARTESIAN_POINT('',(0.133917684707414,-0.0992949722654827,0.82825)); #180197=CARTESIAN_POINT('Origin',(0.133917684707414,-0.0992949722654827, 0.82825)); #180198=CARTESIAN_POINT('',(0.153125002393572,-0.0855754596325121,0.82575)); #180199=CARTESIAN_POINT('',(0.153125002393572,-0.0855754596325121,0.82575)); #180200=CARTESIAN_POINT('',(0.153125002393572,-0.0855754596325121,0.82825)); #180201=CARTESIAN_POINT('',(0.149480910914364,-0.0881783821176611,0.82825)); #180202=CARTESIAN_POINT('',(0.153125002393572,-0.0855754596325121,0.82825)); #180203=CARTESIAN_POINT('Origin',(0.153125002393572,-0.0855754596325121, 0.82825)); #180204=CARTESIAN_POINT('',(0.153125002393572,-0.0737995446225457,0.82575)); #180205=CARTESIAN_POINT('',(0.153125002393572,-0.0737995446225457,0.82575)); #180206=CARTESIAN_POINT('',(0.153125002393572,-0.0737995446225457,0.82825)); #180207=CARTESIAN_POINT('',(0.153125002393573,0.0342122701837436,0.82825)); #180208=CARTESIAN_POINT('',(0.153125002393572,-0.0737995446225457,0.82825)); #180209=CARTESIAN_POINT('Origin',(0.153125002393572,-0.0737995446225457, 0.82825)); #180210=CARTESIAN_POINT('',(0.116996952460083,-0.0993521369014534,0.82575)); #180211=CARTESIAN_POINT('',(0.116996952460083,-0.0993521369014534,0.82575)); #180212=CARTESIAN_POINT('',(0.116996952460083,-0.0993521369014534,0.82825)); #180213=CARTESIAN_POINT('',(0.155788808957962,-0.071915491561973,0.82825)); #180214=CARTESIAN_POINT('',(0.116996952460083,-0.0993521369014534,0.82825)); #180215=CARTESIAN_POINT('Origin',(0.116996952460083,-0.0993521369014534, 0.82825)); #180216=CARTESIAN_POINT('',(0.078125,-0.0703696664643031,0.82575)); #180217=CARTESIAN_POINT('',(0.0186959793989715,-0.0260600878691246,0.82825)); #180218=CARTESIAN_POINT('Origin',(0.115295919209605,-0.105116529980321, 0.82575)); #180219=CARTESIAN_POINT('Origin',(0.078125,-0.000457316672124033,0.82825)); #180220=CARTESIAN_POINT('',(0.078125,-0.000457316672124033,0.82575)); #180221=CARTESIAN_POINT('',(0.078125,-0.0124047255900025,0.82575)); #180222=CARTESIAN_POINT('',(0.078125,-0.0124047255900025,0.82575)); #180223=CARTESIAN_POINT('',(0.078125,-0.000457316672124033,0.82825)); #180224=CARTESIAN_POINT('',(0.078125,-0.000457316672124033,0.82825)); #180225=CARTESIAN_POINT('',(0.078125,-0.0124047255900025,0.82825)); #180226=CARTESIAN_POINT('',(0.078125,0.0767713416639379,0.82825)); #180227=CARTESIAN_POINT('',(0.078125,-0.0124047255900025,0.82825)); #180228=CARTESIAN_POINT('Origin',(0.078125,-0.0124047255900025,0.82825)); #180229=CARTESIAN_POINT('',(0.104134909366673,-0.0313833847322784,0.82575)); #180230=CARTESIAN_POINT('',(0.104134909366673,-0.0313833847322785,0.82575)); #180231=CARTESIAN_POINT('',(0.104134909366673,-0.0313833847322784,0.82825)); #180232=CARTESIAN_POINT('',(0.0130169524212436,0.0351026849509801,0.82825)); #180233=CARTESIAN_POINT('',(0.104134909366673,-0.0313833847322784,0.82825)); #180234=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.0349275921624625, 0.82825)); #180235=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.0349275921624625, 0.82575)); #180236=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,-0.032469512815722, 0.82825)); #180237=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,-0.032469512815722, 0.82575)); #180238=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,-0.0313833847322785, 0.82825)); #180239=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,-0.0313833847322785, 0.82575)); #180240=CARTESIAN_POINT('',(0.10950838514792,-0.0349275921624625,0.82575)); #180241=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,-0.0313833847322785, 0.82575)); #180242=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,-0.032469512815722, 0.82575)); #180243=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.0349275921624625, 0.82575)); #180244=CARTESIAN_POINT('',(0.10950838514792,-0.0349275921624625,0.82825)); #180245=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.0349275921624625, 0.82825)); #180246=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,-0.032469512815722, 0.82825)); #180247=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,-0.0313833847322785, 0.82825)); #180248=CARTESIAN_POINT('',(0.10950838514792,-0.0349275921624625,0.82825)); #180249=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,-0.0380716471408516, 0.82825)); #180250=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,-0.0380716471408516, 0.82575)); #180251=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,-0.0364710373336717, 0.82825)); #180252=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,-0.0364710373336717, 0.82575)); #180253=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.0349275921624625, 0.82825)); #180254=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.0349275921624625, 0.82575)); #180255=CARTESIAN_POINT('',(0.104878049634293,-0.0380716471408516,0.82575)); #180256=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.0349275921624625, 0.82575)); #180257=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,-0.0364710373336717, 0.82575)); #180258=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,-0.0380716471408516, 0.82575)); #180259=CARTESIAN_POINT('',(0.104878049634293,-0.0380716471408516,0.82825)); #180260=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,-0.0380716471408516, 0.82825)); #180261=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,-0.0364710373336717, 0.82825)); #180262=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,-0.0349275921624625, 0.82825)); #180263=CARTESIAN_POINT('',(0.104878049634293,-0.0380716471408516,0.82825)); #180264=CARTESIAN_POINT('Origin',(0.104878049634293,-0.0380716471408516, 0.82825)); #180265=CARTESIAN_POINT('',(0.078125,-0.0570503062831276,0.82575)); #180266=CARTESIAN_POINT('',(0.078125,-0.0570503062831276,0.82575)); #180267=CARTESIAN_POINT('',(0.078125,-0.0570503062831276,0.82825)); #180268=CARTESIAN_POINT('',(0.115314733081201,-0.03066784606313,0.82825)); #180269=CARTESIAN_POINT('',(0.078125,-0.0570503062831276,0.82825)); #180270=CARTESIAN_POINT('Origin',(0.078125,-0.0570503062831276,0.82825)); #180271=CARTESIAN_POINT('',(0.078125,-0.0692263737448889,0.82575)); #180272=CARTESIAN_POINT('',(0.078125,-0.0692263737448889,0.82575)); #180273=CARTESIAN_POINT('',(0.078125,-0.0692263737448889,0.82825)); #180274=CARTESIAN_POINT('',(0.078125,0.0484748468584362,0.82825)); #180275=CARTESIAN_POINT('',(0.078125,-0.0692263737448889,0.82825)); #180276=CARTESIAN_POINT('Origin',(0.078125,-0.0692263737448889,0.82825)); #180277=CARTESIAN_POINT('',(0.117454269547849,-0.04115853748327,0.82575)); #180278=CARTESIAN_POINT('',(0.117454269547849,-0.04115853748327,0.82575)); #180279=CARTESIAN_POINT('',(0.117454269547849,-0.04115853748327,0.82825)); #180280=CARTESIAN_POINT('',(0.105019114051169,-0.0500330452577898,0.82825)); #180281=CARTESIAN_POINT('',(0.117454269547849,-0.04115853748327,0.82825)); #180282=CARTESIAN_POINT('Origin',(0.117454269547849,-0.04115853748327,0.82825)); #180283=CARTESIAN_POINT('',(0.153125002393572,-0.0671684468499433,0.82575)); #180284=CARTESIAN_POINT('',(0.153125002393572,-0.0671684468499433,0.82575)); #180285=CARTESIAN_POINT('',(0.153125002393572,-0.0671684468499433,0.82825)); #180286=CARTESIAN_POINT('',(0.0326595713316209,0.0206709299660629,0.82825)); #180287=CARTESIAN_POINT('',(0.153125002393572,-0.0671684468499433,0.82825)); #180288=CARTESIAN_POINT('Origin',(0.153125002393572,-0.0671684468499433, 0.82825)); #180289=CARTESIAN_POINT('',(0.153125002393572,-0.056364330651479,0.82575)); #180290=CARTESIAN_POINT('',(0.153125002393572,-0.056364330651479,0.82575)); #180291=CARTESIAN_POINT('',(0.153125002393572,-0.056364330651479,0.82825)); #180292=CARTESIAN_POINT('',(0.153125002393572,0.0434157765750283,0.82825)); #180293=CARTESIAN_POINT('',(0.153125002393572,-0.056364330651479,0.82825)); #180294=CARTESIAN_POINT('Origin',(0.153125002393572,-0.056364330651479, 0.82825)); #180295=CARTESIAN_POINT('',(0.133174544439794,-0.0413871960271529,0.82575)); #180296=CARTESIAN_POINT('',(0.133174544439794,-0.0413871960271529,0.82575)); #180297=CARTESIAN_POINT('',(0.133174544439794,-0.0413871960271529,0.82825)); #180298=CARTESIAN_POINT('',(0.0536577754182003,0.0183073411753044,0.82825)); #180299=CARTESIAN_POINT('',(0.133174544439794,-0.0413871960271529,0.82825)); #180300=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.0353849092502282, 0.82825)); #180301=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.0353849092502282, 0.82575)); #180302=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,-0.0379001532329395, 0.82825)); #180303=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,-0.0379001532329395, 0.82575)); #180304=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,-0.0413871960271529, 0.82825)); #180305=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,-0.0413871960271529, 0.82575)); #180306=CARTESIAN_POINT('',(0.124714178316129,-0.0353849092502282,0.82575)); #180307=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,-0.0413871960271529, 0.82575)); #180308=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,-0.0379001532329395, 0.82575)); #180309=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.0353849092502282, 0.82575)); #180310=CARTESIAN_POINT('',(0.124714178316129,-0.0353849092502282,0.82825)); #180311=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.0353849092502282, 0.82825)); #180312=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,-0.0379001532329395, 0.82825)); #180313=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,-0.0413871960271529, 0.82825)); #180314=CARTESIAN_POINT('',(0.124714178316129,-0.0353849092502282,0.82825)); #180315=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,-0.0293826224733036, 0.82825)); #180316=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,-0.0293826224733036, 0.82575)); #180317=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,-0.0336699701711069, 0.82825)); #180318=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,-0.0336699701711069, 0.82575)); #180319=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.0353849092502282, 0.82825)); #180320=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.0353849092502282, 0.82575)); #180321=CARTESIAN_POINT('',(0.133917684707414,-0.0293826224733036,0.82575)); #180322=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.0353849092502282, 0.82575)); #180323=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,-0.0336699701711069, 0.82575)); #180324=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,-0.0293826224733036, 0.82575)); #180325=CARTESIAN_POINT('',(0.133917684707414,-0.0293826224733036,0.82825)); #180326=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,-0.0293826224733036, 0.82825)); #180327=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,-0.0336699701711069, 0.82825)); #180328=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,-0.0353849092502282, 0.82825)); #180329=CARTESIAN_POINT('',(0.133917684707414,-0.0293826224733036,0.82825)); #180330=CARTESIAN_POINT('Origin',(0.133917684707414,-0.0293826224733036, 0.82825)); #180331=CARTESIAN_POINT('',(0.153125002393572,-0.015663109840333,0.82575)); #180332=CARTESIAN_POINT('',(0.153125002393572,-0.015663109840333,0.82575)); #180333=CARTESIAN_POINT('',(0.153125002393572,-0.015663109840333,0.82825)); #180334=CARTESIAN_POINT('',(0.132947584949997,-0.0300755508714583,0.82825)); #180335=CARTESIAN_POINT('',(0.153125002393572,-0.015663109840333,0.82825)); #180336=CARTESIAN_POINT('Origin',(0.153125002393572,-0.015663109840333, 0.82825)); #180337=CARTESIAN_POINT('',(0.153125002393572,-0.00388719483036664,0.82575)); #180338=CARTESIAN_POINT('',(0.153125002393572,-0.00388719483036664,0.82575)); #180339=CARTESIAN_POINT('',(0.153125002393572,-0.00388719483036664,0.82825)); #180340=CARTESIAN_POINT('',(0.153125002393572,0.0691684450798334,0.82825)); #180341=CARTESIAN_POINT('',(0.153125002393572,-0.00388719483036664,0.82825)); #180342=CARTESIAN_POINT('Origin',(0.153125002393572,-0.00388719483036664, 0.82825)); #180343=CARTESIAN_POINT('',(0.116996952460083,-0.0294397871092743,0.82575)); #180344=CARTESIAN_POINT('',(0.116996952460083,-0.0294397871092743,0.82575)); #180345=CARTESIAN_POINT('',(0.116996952460083,-0.0294397871092743,0.82825)); #180346=CARTESIAN_POINT('',(0.139308976851177,-0.0136589723896241,0.82825)); #180347=CARTESIAN_POINT('',(0.116996952460083,-0.0294397871092743,0.82825)); #180348=CARTESIAN_POINT('Origin',(0.116996952460083,-0.0294397871092743, 0.82825)); #180349=CARTESIAN_POINT('',(0.078125,-0.000457316672124033,0.82575)); #180350=CARTESIAN_POINT('',(0.0354469803138047,0.0313629127115539,0.82825)); #180351=CARTESIAN_POINT('Origin',(0.115295919209605,-0.0352041801881423, 0.82575)); #180352=CARTESIAN_POINT('Origin',(0.078125,0.0694550331200551,0.82825)); #180353=CARTESIAN_POINT('',(0.078125,0.0694550331200551,0.82575)); #180354=CARTESIAN_POINT('',(0.078125,0.0575076242021766,0.82575)); #180355=CARTESIAN_POINT('',(0.078125,0.0575076242021766,0.82575)); #180356=CARTESIAN_POINT('',(0.078125,0.0694550331200551,0.82825)); #180357=CARTESIAN_POINT('',(0.078125,0.0694550331200551,0.82825)); #180358=CARTESIAN_POINT('',(0.078125,0.0575076242021766,0.82825)); #180359=CARTESIAN_POINT('',(0.078125,0.111727516560028,0.82825)); #180360=CARTESIAN_POINT('',(0.078125,0.0575076242021766,0.82825)); #180361=CARTESIAN_POINT('Origin',(0.078125,0.0575076242021766,0.82825)); #180362=CARTESIAN_POINT('',(0.104134909366673,0.0385289650599006,0.82575)); #180363=CARTESIAN_POINT('',(0.104134909366673,0.0385289650599006,0.82575)); #180364=CARTESIAN_POINT('',(0.104134909366673,0.0385289650599006,0.82825)); #180365=CARTESIAN_POINT('',(0.0296615431994755,0.0928699707027791,0.82825)); #180366=CARTESIAN_POINT('',(0.104134909366673,0.0385289650599006,0.82825)); #180367=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.0349847576297165, 0.82825)); #180368=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.0349847576297165, 0.82575)); #180369=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,0.0374428369764571, 0.82825)); #180370=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,0.0374428369764571, 0.82575)); #180371=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,0.0385289650599006, 0.82825)); #180372=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,0.0385289650599006, 0.82575)); #180373=CARTESIAN_POINT('',(0.10950838514792,0.0349847576297165,0.82575)); #180374=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,0.0385289650599006, 0.82575)); #180375=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,0.0374428369764571, 0.82575)); #180376=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.0349847576297165, 0.82575)); #180377=CARTESIAN_POINT('',(0.10950838514792,0.0349847576297165,0.82825)); #180378=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.0349847576297165, 0.82825)); #180379=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,0.0374428369764571, 0.82825)); #180380=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,0.0385289650599006, 0.82825)); #180381=CARTESIAN_POINT('',(0.10950838514792,0.0349847576297165,0.82825)); #180382=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,0.0318407026513275, 0.82825)); #180383=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,0.0318407026513275, 0.82575)); #180384=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,0.0334413124585074, 0.82825)); #180385=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,0.0334413124585074, 0.82575)); #180386=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.0349847576297165, 0.82825)); #180387=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.0349847576297165, 0.82575)); #180388=CARTESIAN_POINT('',(0.104878049634293,0.0318407026513275,0.82575)); #180389=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.0349847576297165, 0.82575)); #180390=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,0.0334413124585074, 0.82575)); #180391=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,0.0318407026513275, 0.82575)); #180392=CARTESIAN_POINT('',(0.104878049634293,0.0318407026513275,0.82825)); #180393=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,0.0318407026513275, 0.82825)); #180394=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,0.0334413124585074, 0.82825)); #180395=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.0349847576297165, 0.82825)); #180396=CARTESIAN_POINT('',(0.104878049634293,0.0318407026513275,0.82825)); #180397=CARTESIAN_POINT('Origin',(0.104878049634293,0.0318407026513275, 0.82825)); #180398=CARTESIAN_POINT('',(0.078125,0.0128620435090515,0.82575)); #180399=CARTESIAN_POINT('',(0.078125,0.0128620435090515,0.82575)); #180400=CARTESIAN_POINT('',(0.078125,0.0128620435090515,0.82825)); #180401=CARTESIAN_POINT('',(0.0988185033982426,0.0275420501932749,0.82825)); #180402=CARTESIAN_POINT('',(0.078125,0.0128620435090515,0.82825)); #180403=CARTESIAN_POINT('Origin',(0.078125,0.0128620435090515,0.82825)); #180404=CARTESIAN_POINT('',(0.078125,0.000685976047290198,0.82575)); #180405=CARTESIAN_POINT('',(0.078125,0.000685976047290198,0.82575)); #180406=CARTESIAN_POINT('',(0.078125,0.000685976047290198,0.82825)); #180407=CARTESIAN_POINT('',(0.078125,0.0834310217545257,0.82825)); #180408=CARTESIAN_POINT('',(0.078125,0.000685976047290198,0.82825)); #180409=CARTESIAN_POINT('Origin',(0.078125,0.000685976047290198,0.82825)); #180410=CARTESIAN_POINT('',(0.117454269547849,0.0287538123089091,0.82575)); #180411=CARTESIAN_POINT('',(0.117454269547849,0.0287538123089091,0.82575)); #180412=CARTESIAN_POINT('',(0.117454269547849,0.0287538123089091,0.82825)); #180413=CARTESIAN_POINT('',(0.0884904713954698,0.00808342729027804,0.82825)); #180414=CARTESIAN_POINT('',(0.117454269547849,0.0287538123089091,0.82825)); #180415=CARTESIAN_POINT('Origin',(0.117454269547849,0.0287538123089091, 0.82825)); #180416=CARTESIAN_POINT('',(0.153125002393572,0.00274390294223574,0.82575)); #180417=CARTESIAN_POINT('',(0.153125002393572,0.00274390294223574,0.82575)); #180418=CARTESIAN_POINT('',(0.153125002393572,0.00274390294223574,0.82825)); #180419=CARTESIAN_POINT('',(0.0493006520415757,0.0784491584072333,0.82825)); #180420=CARTESIAN_POINT('',(0.153125002393572,0.00274390294223574,0.82825)); #180421=CARTESIAN_POINT('Origin',(0.153125002393572,0.00274390294223574, 0.82825)); #180422=CARTESIAN_POINT('',(0.153125002393572,0.0135480191407001,0.82575)); #180423=CARTESIAN_POINT('',(0.153125002393572,0.0135480191407001,0.82575)); #180424=CARTESIAN_POINT('',(0.153125002393572,0.0135480191407001,0.82825)); #180425=CARTESIAN_POINT('',(0.153125002393572,0.0783719514711178,0.82825)); #180426=CARTESIAN_POINT('',(0.153125002393572,0.0135480191407001,0.82825)); #180427=CARTESIAN_POINT('Origin',(0.153125002393572,0.0135480191407001, 0.82825)); #180428=CARTESIAN_POINT('',(0.133174544439794,0.0285251537650263,0.82575)); #180429=CARTESIAN_POINT('',(0.133174544439794,0.0285251537650263,0.82575)); #180430=CARTESIAN_POINT('',(0.133174544439794,0.0285251537650263,0.82825)); #180431=CARTESIAN_POINT('',(0.0704412179877015,0.0756200865170272,0.82825)); #180432=CARTESIAN_POINT('',(0.133174544439794,0.0285251537650263,0.82825)); #180433=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.0345274405419509, 0.82825)); #180434=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.0345274405419509, 0.82575)); #180435=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,0.0320121965592396, 0.82825)); #180436=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,0.0320121965592396, 0.82575)); #180437=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,0.0285251537650263, 0.82825)); #180438=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,0.0285251537650263, 0.82575)); #180439=CARTESIAN_POINT('',(0.124714178316129,0.0345274405419509,0.82575)); #180440=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,0.0285251537650263, 0.82575)); #180441=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,0.0320121965592396, 0.82575)); #180442=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.0345274405419509, 0.82575)); #180443=CARTESIAN_POINT('',(0.124714178316129,0.0345274405419509,0.82825)); #180444=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.0345274405419509, 0.82825)); #180445=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,0.0320121965592396, 0.82825)); #180446=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,0.0285251537650263, 0.82825)); #180447=CARTESIAN_POINT('',(0.124714178316129,0.0345274405419509,0.82825)); #180448=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,0.0405297273188755, 0.82825)); #180449=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,0.0405297273188755, 0.82575)); #180450=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,0.0362423796210722, 0.82825)); #180451=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,0.0362423796210722, 0.82575)); #180452=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.0345274405419509, 0.82825)); #180453=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.0345274405419509, 0.82575)); #180454=CARTESIAN_POINT('',(0.133917684707414,0.0405297273188755,0.82575)); #180455=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.0345274405419509, 0.82575)); #180456=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,0.0362423796210722, 0.82575)); #180457=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,0.0405297273188755, 0.82575)); #180458=CARTESIAN_POINT('',(0.133917684707414,0.0405297273188755,0.82825)); #180459=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,0.0405297273188755, 0.82825)); #180460=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,0.0362423796210722, 0.82825)); #180461=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.0345274405419509, 0.82825)); #180462=CARTESIAN_POINT('',(0.133917684707414,0.0405297273188755,0.82825)); #180463=CARTESIAN_POINT('Origin',(0.133917684707414,0.0405297273188755, 0.82825)); #180464=CARTESIAN_POINT('',(0.153125002393572,0.0542492399518461,0.82575)); #180465=CARTESIAN_POINT('',(0.153125002393572,0.0542492399518461,0.82575)); #180466=CARTESIAN_POINT('',(0.153125002393572,0.0542492399518461,0.82825)); #180467=CARTESIAN_POINT('',(0.11641425898563,0.0280272803747446,0.82825)); #180468=CARTESIAN_POINT('',(0.153125002393572,0.0542492399518461,0.82825)); #180469=CARTESIAN_POINT('Origin',(0.153125002393572,0.0542492399518461, 0.82825)); #180470=CARTESIAN_POINT('',(0.153125002393572,0.0660251549618124,0.82575)); #180471=CARTESIAN_POINT('',(0.153125002393572,0.0660251549618124,0.82575)); #180472=CARTESIAN_POINT('',(0.153125002393572,0.0660251549618124,0.82825)); #180473=CARTESIAN_POINT('',(0.153125002393572,0.104124619975923,0.82825)); #180474=CARTESIAN_POINT('',(0.153125002393572,0.0660251549618124,0.82825)); #180475=CARTESIAN_POINT('Origin',(0.153125002393572,0.0660251549618124, 0.82825)); #180476=CARTESIAN_POINT('',(0.116996952460083,0.0404725626829048,0.82575)); #180477=CARTESIAN_POINT('',(0.116996952460083,0.0404725626829048,0.82575)); #180478=CARTESIAN_POINT('',(0.116996952460083,0.0404725626829048,0.82825)); #180479=CARTESIAN_POINT('',(0.122829144744392,0.0445975467827247,0.82825)); #180480=CARTESIAN_POINT('',(0.116996952460083,0.0404725626829048,0.82825)); #180481=CARTESIAN_POINT('Origin',(0.116996952460083,0.0404725626829048, 0.82825)); #180482=CARTESIAN_POINT('',(0.078125,0.0694550331200551,0.82575)); #180483=CARTESIAN_POINT('',(0.0521979812286379,0.0887859132922324,0.82825)); #180484=CARTESIAN_POINT('Origin',(0.115295919209605,0.0347081696040368, 0.82575)); #180485=CARTESIAN_POINT('Origin',(0.078125,0.139367382912234,0.82825)); #180486=CARTESIAN_POINT('',(0.078125,0.139367382912234,0.82575)); #180487=CARTESIAN_POINT('',(0.078125,0.127419973994356,0.82575)); #180488=CARTESIAN_POINT('',(0.078125,0.127419973994356,0.82575)); #180489=CARTESIAN_POINT('',(0.078125,0.139367382912234,0.82825)); #180490=CARTESIAN_POINT('',(0.078125,0.139367382912234,0.82825)); #180491=CARTESIAN_POINT('',(0.078125,0.127419973994356,0.82825)); #180492=CARTESIAN_POINT('',(0.078125,0.146683691456117,0.82825)); #180493=CARTESIAN_POINT('',(0.078125,0.127419973994356,0.82825)); #180494=CARTESIAN_POINT('Origin',(0.078125,0.127419973994356,0.82825)); #180495=CARTESIAN_POINT('',(0.104134909366673,0.10844131485208,0.82575)); #180496=CARTESIAN_POINT('',(0.104134909366673,0.10844131485208,0.82575)); #180497=CARTESIAN_POINT('',(0.104134909366673,0.10844131485208,0.82825)); #180498=CARTESIAN_POINT('',(0.0463061339777074,0.150637256454578,0.82825)); #180499=CARTESIAN_POINT('',(0.104134909366673,0.10844131485208,0.82825)); #180500=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.104897107421896, 0.82825)); #180501=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.104897107421896, 0.82575)); #180502=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,0.107355186768636, 0.82825)); #180503=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,0.107355186768636, 0.82575)); #180504=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,0.10844131485208, 0.82825)); #180505=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,0.10844131485208, 0.82575)); #180506=CARTESIAN_POINT('',(0.10950838514792,0.104897107421896,0.82575)); #180507=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,0.10844131485208, 0.82575)); #180508=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,0.107355186768636, 0.82575)); #180509=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.104897107421896, 0.82575)); #180510=CARTESIAN_POINT('',(0.10950838514792,0.104897107421896,0.82825)); #180511=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.104897107421896, 0.82825)); #180512=CARTESIAN_POINT('Ctrl Pts',(0.105678354537883,0.107355186768636, 0.82825)); #180513=CARTESIAN_POINT('Ctrl Pts',(0.104134909366673,0.10844131485208, 0.82825)); #180514=CARTESIAN_POINT('',(0.10950838514792,0.104897107421896,0.82825)); #180515=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,0.101753052443507, 0.82825)); #180516=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,0.101753052443507, 0.82575)); #180517=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,0.103353662250686, 0.82825)); #180518=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,0.103353662250686, 0.82575)); #180519=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.104897107421896, 0.82825)); #180520=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.104897107421896, 0.82575)); #180521=CARTESIAN_POINT('',(0.104878049634293,0.101753052443507,0.82575)); #180522=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.104897107421896, 0.82575)); #180523=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,0.103353662250686, 0.82575)); #180524=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,0.101753052443507, 0.82575)); #180525=CARTESIAN_POINT('',(0.104878049634293,0.101753052443507,0.82825)); #180526=CARTESIAN_POINT('Ctrl Pts',(0.104878049634293,0.101753052443507, 0.82825)); #180527=CARTESIAN_POINT('Ctrl Pts',(0.107164635073121,0.103353662250686, 0.82825)); #180528=CARTESIAN_POINT('Ctrl Pts',(0.10950838514792,0.104897107421896, 0.82825)); #180529=CARTESIAN_POINT('',(0.104878049634293,0.101753052443507,0.82825)); #180530=CARTESIAN_POINT('Origin',(0.104878049634293,0.101753052443507,0.82825)); #180531=CARTESIAN_POINT('',(0.078125,0.0827743933012307,0.82575)); #180532=CARTESIAN_POINT('',(0.078125,0.0827743933012307,0.82575)); #180533=CARTESIAN_POINT('',(0.078125,0.0827743933012307,0.82825)); #180534=CARTESIAN_POINT('',(0.0823222737152838,0.0857519464496798,0.82825)); #180535=CARTESIAN_POINT('',(0.078125,0.0827743933012307,0.82825)); #180536=CARTESIAN_POINT('Origin',(0.078125,0.0827743933012307,0.82825)); #180537=CARTESIAN_POINT('',(0.078125,0.0705983258394693,0.82575)); #180538=CARTESIAN_POINT('',(0.078125,0.0705983258394693,0.82575)); #180539=CARTESIAN_POINT('',(0.078125,0.0705983258394693,0.82825)); #180540=CARTESIAN_POINT('',(0.078125,0.118387196650615,0.82825)); #180541=CARTESIAN_POINT('',(0.078125,0.0705983258394693,0.82825)); #180542=CARTESIAN_POINT('Origin',(0.078125,0.0705983258394693,0.82825)); #180543=CARTESIAN_POINT('',(0.117454269547849,0.0986661621010882,0.82575)); #180544=CARTESIAN_POINT('',(0.117454269547849,0.0986661621010882,0.82575)); #180545=CARTESIAN_POINT('',(0.117454269547849,0.0986661621010882,0.82825)); #180546=CARTESIAN_POINT('',(0.0719618287397701,0.0661998998383459,0.82825)); #180547=CARTESIAN_POINT('',(0.117454269547849,0.0986661621010882,0.82825)); #180548=CARTESIAN_POINT('Origin',(0.117454269547849,0.0986661621010882, 0.82825)); #180549=CARTESIAN_POINT('',(0.153125002393572,0.0726562527344149,0.82575)); #180550=CARTESIAN_POINT('',(0.153125002393572,0.0726562527344149,0.82575)); #180551=CARTESIAN_POINT('',(0.153125002393572,0.0726562527344149,0.82825)); #180552=CARTESIAN_POINT('',(0.0659417327515305,0.136227386848404,0.82825)); #180553=CARTESIAN_POINT('',(0.153125002393572,0.0726562527344149,0.82825)); #180554=CARTESIAN_POINT('Origin',(0.153125002393572,0.0726562527344149, 0.82825)); #180555=CARTESIAN_POINT('',(0.153125002393572,0.0834603689328792,0.82575)); #180556=CARTESIAN_POINT('',(0.153125002393572,0.0834603689328792,0.82575)); #180557=CARTESIAN_POINT('',(0.153125002393572,0.0834603689328792,0.82825)); #180558=CARTESIAN_POINT('',(0.153125002393572,0.113328126367207,0.82825)); #180559=CARTESIAN_POINT('',(0.153125002393572,0.0834603689328792,0.82825)); #180560=CARTESIAN_POINT('Origin',(0.153125002393572,0.0834603689328792, 0.82825)); #180561=CARTESIAN_POINT('',(0.133174544439794,0.0984375035572053,0.82575)); #180562=CARTESIAN_POINT('',(0.133174544439794,0.0984375035572054,0.82575)); #180563=CARTESIAN_POINT('',(0.133174544439794,0.0984375035572053,0.82825)); #180564=CARTESIAN_POINT('',(0.0872246605572025,0.13293283185875,0.82825)); #180565=CARTESIAN_POINT('',(0.133174544439794,0.0984375035572053,0.82825)); #180566=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.10443979033413, 0.82825)); #180567=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.10443979033413, 0.82575)); #180568=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,0.101924546351419, 0.82825)); #180569=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,0.101924546351419, 0.82575)); #180570=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,0.0984375035572054, 0.82825)); #180571=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,0.0984375035572054, 0.82575)); #180572=CARTESIAN_POINT('',(0.124714178316129,0.10443979033413,0.82575)); #180573=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,0.0984375035572054, 0.82575)); #180574=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,0.101924546351419, 0.82575)); #180575=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.10443979033413, 0.82575)); #180576=CARTESIAN_POINT('',(0.124714178316129,0.10443979033413,0.82825)); #180577=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.10443979033413, 0.82825)); #180578=CARTESIAN_POINT('Ctrl Pts',(0.128715702834079,0.101924546351419, 0.82825)); #180579=CARTESIAN_POINT('Ctrl Pts',(0.133174544439794,0.0984375035572054, 0.82825)); #180580=CARTESIAN_POINT('',(0.124714178316129,0.10443979033413,0.82825)); #180581=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,0.110442077111055, 0.82825)); #180582=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,0.110442077111055, 0.82575)); #180583=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,0.106154729413251, 0.82825)); #180584=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,0.106154729413251, 0.82575)); #180585=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.10443979033413, 0.82825)); #180586=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.10443979033413, 0.82575)); #180587=CARTESIAN_POINT('',(0.133917684707414,0.110442077111055,0.82575)); #180588=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.10443979033413, 0.82575)); #180589=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,0.106154729413251, 0.82575)); #180590=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,0.110442077111055, 0.82575)); #180591=CARTESIAN_POINT('',(0.133917684707414,0.110442077111055,0.82825)); #180592=CARTESIAN_POINT('Ctrl Pts',(0.133917684707414,0.110442077111055, 0.82825)); #180593=CARTESIAN_POINT('Ctrl Pts',(0.12797256256646,0.106154729413251, 0.82825)); #180594=CARTESIAN_POINT('Ctrl Pts',(0.124714178316129,0.10443979033413, 0.82825)); #180595=CARTESIAN_POINT('',(0.133917684707414,0.110442077111055,0.82825)); #180596=CARTESIAN_POINT('Origin',(0.133917684707414,0.110442077111055,0.82825)); #180597=CARTESIAN_POINT('',(0.153125002393572,0.124161589744025,0.82575)); #180598=CARTESIAN_POINT('',(0.153125002393572,0.124161589744025,0.82575)); #180599=CARTESIAN_POINT('',(0.153125002393572,0.124161589744025,0.82825)); #180600=CARTESIAN_POINT('',(0.0998809330212637,0.0861301116209476,0.82825)); #180601=CARTESIAN_POINT('',(0.153125002393572,0.124161589744025,0.82825)); #180602=CARTESIAN_POINT('Origin',(0.153125002393572,0.124161589744025,0.82825)); #180603=CARTESIAN_POINT('',(0.153125002393572,0.135937504753992,0.82575)); #180604=CARTESIAN_POINT('',(0.153125002393572,0.135937504753992,0.82575)); #180605=CARTESIAN_POINT('',(0.153125002393572,0.135937504753992,0.82825)); #180606=CARTESIAN_POINT('',(0.153125002393572,0.139080794872013,0.82825)); #180607=CARTESIAN_POINT('',(0.153125002393572,0.135937504753992,0.82825)); #180608=CARTESIAN_POINT('Origin',(0.153125002393572,0.135937504753992,0.82825)); #180609=CARTESIAN_POINT('',(0.116996952460083,0.110384912475084,0.82575)); #180610=CARTESIAN_POINT('',(0.116996952460083,0.110384912475084,0.82575)); #180611=CARTESIAN_POINT('',(0.116996952460083,0.110384912475084,0.82825)); #180612=CARTESIAN_POINT('',(0.106349312637608,0.102854065955074,0.82825)); #180613=CARTESIAN_POINT('',(0.116996952460083,0.110384912475084,0.82825)); #180614=CARTESIAN_POINT('Origin',(0.116996952460083,0.110384912475084,0.82825)); #180615=CARTESIAN_POINT('',(0.078125,0.139367382912234,0.82575)); #180616=CARTESIAN_POINT('',(0.0689489821434711,0.146208913872911,0.82825)); #180617=CARTESIAN_POINT('Origin',(0.115295919209605,0.104620519396216,0.82575)); #180618=CARTESIAN_POINT('Origin',(0.,0.,0.032643701)); #180619=CARTESIAN_POINT('Origin',(-0.223,-2.7309623620986E-17,0.032643701)); #180620=CARTESIAN_POINT('Origin',(-0.1,0.24925,0.26495)); #180621=CARTESIAN_POINT('',(-0.0524999999999999,0.124625,0.26495)); #180622=CARTESIAN_POINT('',(-0.1,0.24925,0.26495)); #180623=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.31695)); #180624=CARTESIAN_POINT('',(-0.0524999999999999,0.124625,0.31695)); #180625=CARTESIAN_POINT('',(-0.123078138746338,-0.000750000000000105,0.31695)); #180626=CARTESIAN_POINT('',(-0.123078138746338,0.24925,0.31695)); #180627=CARTESIAN_POINT('',(-0.0999999999999999,-0.000750000000000062,0.31695)); #180628=CARTESIAN_POINT('',(-0.123078138746338,-0.000750000000000062,0.31695)); #180629=CARTESIAN_POINT('',(-0.0999999999999999,0.24925,0.31695)); #180630=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.31695)); #180631=CARTESIAN_POINT('',(0.0525000000000001,0.124625,0.31695)); #180632=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.31695)); #180633=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.50515)); #180634=CARTESIAN_POINT('',(0.0525000000000002,0.124625,0.50515)); #180635=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.50515)); #180636=CARTESIAN_POINT('Origin',(-0.1,0.24925,0.45315)); #180637=CARTESIAN_POINT('',(0.0525000000000001,0.124625,0.45315)); #180638=CARTESIAN_POINT('',(0.123078138746338,-0.000750000000000062,0.45315)); #180639=CARTESIAN_POINT('',(0.123078138746338,0.24925,0.45315)); #180640=CARTESIAN_POINT('',(0.0999999999999998,-0.000750000000000062,0.45315)); #180641=CARTESIAN_POINT('',(0.123078138746338,-0.000750000000000062,0.45315)); #180642=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.45315)); #180643=CARTESIAN_POINT('Origin',(-0.1,0.24925,0.45315)); #180644=CARTESIAN_POINT('',(-0.0524999999999999,0.124625,0.45315)); #180645=CARTESIAN_POINT('',(-0.1,0.24925,0.45315)); #180646=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.59925)); #180647=CARTESIAN_POINT('',(0.0525000000000002,0.124625,0.59925)); #180648=CARTESIAN_POINT('',(0.0999999999999998,-0.000750000000000062,0.59925)); #180649=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.59925)); #180650=CARTESIAN_POINT('',(0.123078138746338,-0.000750000000000062,0.59925)); #180651=CARTESIAN_POINT('',(0.123078138746338,-0.000750000000000062,0.59925)); #180652=CARTESIAN_POINT('',(0.123078138746338,0.24925,0.59925)); #180653=CARTESIAN_POINT('Origin',(-0.0999999999999999,0.24925,0.54725)); #180654=CARTESIAN_POINT('',(0.0525000000000002,0.124625,0.54725)); #180655=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.54725)); #180656=CARTESIAN_POINT('Origin',(-0.0999999999999999,0.24925,0.54725)); #180657=CARTESIAN_POINT('',(-0.0524999999999998,0.124625,0.54725)); #180658=CARTESIAN_POINT('',(-0.0999999999999999,-0.000750000000000062,0.54725)); #180659=CARTESIAN_POINT('',(-0.0999999999999999,0.24925,0.54725)); #180660=CARTESIAN_POINT('',(-0.123078138746338,-0.000750000000000105,0.54725)); #180661=CARTESIAN_POINT('',(-0.123078138746338,-0.000750000000000062,0.54725)); #180662=CARTESIAN_POINT('',(-0.123078138746338,0.24925,0.54725)); #180663=CARTESIAN_POINT('Origin',(-0.0999999999999999,0.24925,0.64135)); #180664=CARTESIAN_POINT('',(0.0525000000000002,0.124625,0.64135)); #180665=CARTESIAN_POINT('',(0.123078138746338,-0.000750000000000062,0.64135)); #180666=CARTESIAN_POINT('',(0.123078138746338,0.24925,0.64135)); #180667=CARTESIAN_POINT('',(0.0999999999999998,-0.000750000000000062,0.64135)); #180668=CARTESIAN_POINT('',(-0.0999999999999999,-0.000750000000000062,0.64135)); #180669=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.64135)); #180670=CARTESIAN_POINT('Origin',(-0.0999999999999999,0.24925,0.64135)); #180671=CARTESIAN_POINT('',(-0.0524999999999998,0.124625,0.64135)); #180672=CARTESIAN_POINT('',(-0.0999999999999999,0.24925,0.64135)); #180673=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.41105)); #180674=CARTESIAN_POINT('',(0.0525000000000001,0.124625,0.41105)); #180675=CARTESIAN_POINT('',(0.0999999999999998,-0.000750000000000062,0.41105)); #180676=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.41105)); #180677=CARTESIAN_POINT('',(0.123078138746338,-0.000750000000000062,0.41105)); #180678=CARTESIAN_POINT('',(-0.1,-0.000750000000000062,0.41105)); #180679=CARTESIAN_POINT('',(0.123078138746338,0.24925,0.41105)); #180680=CARTESIAN_POINT('Origin',(-0.0999999999999999,0.24925,0.35905)); #180681=CARTESIAN_POINT('',(0.0525000000000001,0.124625,0.35905)); #180682=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.35905)); #180683=CARTESIAN_POINT('Origin',(-0.0999999999999999,0.24925,0.35905)); #180684=CARTESIAN_POINT('',(-0.0524999999999999,0.124625,0.35905)); #180685=CARTESIAN_POINT('',(-0.0999999999999999,-0.000750000000000062,0.35905)); #180686=CARTESIAN_POINT('',(-0.0999999999999999,0.24925,0.35905)); #180687=CARTESIAN_POINT('',(-0.123078138746338,-0.000750000000000105,0.35905)); #180688=CARTESIAN_POINT('',(0.0999999999999996,-0.000750000000000062,0.35905)); #180689=CARTESIAN_POINT('',(-0.123078138746338,0.24925,0.35905)); #180690=CARTESIAN_POINT('Origin',(0.0525000000000002,0.,0.64635)); #180691=CARTESIAN_POINT('',(0.0525000000000002,0.,0.64635)); #180692=CARTESIAN_POINT('Origin',(0.0525000000000002,0.,0.64635)); #180693=CARTESIAN_POINT('Origin',(0.0525000000000002,0.,0.64635)); #180694=CARTESIAN_POINT('Origin',(0.0525000000000002,0.,0.64635)); #180695=CARTESIAN_POINT('Origin',(0.0525000000000002,0.,0.64635)); #180696=CARTESIAN_POINT('',(0.0525000000000001,0.124625,0.26495)); #180697=CARTESIAN_POINT('Origin',(-0.0524999999999999,0.,0.26495)); #180698=CARTESIAN_POINT('',(-0.0524999999999998,0.124625,0.59925)); #180699=CARTESIAN_POINT('Origin',(-0.0524999999999999,0.,0.26495)); #180700=CARTESIAN_POINT('',(-0.0524999999999999,0.124625,0.41105)); #180701=CARTESIAN_POINT('Origin',(-0.0524999999999999,0.,0.26495)); #180702=CARTESIAN_POINT('',(-0.0524999999999998,0.124625,0.50515)); #180703=CARTESIAN_POINT('Origin',(-0.0524999999999999,0.,0.26495)); #180704=CARTESIAN_POINT('',(-0.0524999999999998,0.,0.64635)); #180705=CARTESIAN_POINT('Origin',(-0.0524999999999999,0.,0.26495)); #180706=CARTESIAN_POINT('Origin',(-0.0524999999999998,0.,0.64635)); #180707=CARTESIAN_POINT('Origin',(0.0440000000000001,0.,0.22285)); #180708=CARTESIAN_POINT('',(0.0440000000000001,0.,0.22285)); #180709=CARTESIAN_POINT('',(0.0440000000000001,0.124625,0.22285)); #180710=CARTESIAN_POINT('',(0.044,0.,0.0682500000000001)); #180711=CARTESIAN_POINT('',(0.0440000000000001,0.,0.22285)); #180712=CARTESIAN_POINT('',(0.044,0.,0.0682500000000001)); #180713=CARTESIAN_POINT('Origin',(0.044,0.,0.0682500000000001)); #180714=CARTESIAN_POINT('',(-0.044,0.,0.0682500000000001)); #180715=CARTESIAN_POINT('',(0.044,0.,0.0682500000000001)); #180716=CARTESIAN_POINT('',(-0.044,0.,0.0682500000000001)); #180717=CARTESIAN_POINT('Origin',(-0.044,0.,0.0682500000000001)); #180718=CARTESIAN_POINT('',(-0.0439999999999999,0.,0.22285)); #180719=CARTESIAN_POINT('',(-0.044,0.,0.0682500000000001)); #180720=CARTESIAN_POINT('',(-0.0439999999999999,0.124625,0.22285)); #180721=CARTESIAN_POINT('Origin',(4.37095678986282E-17,0.,0.14555)); #180722=CARTESIAN_POINT('',(0.0499999999999999,0.,0.22285)); #180723=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.22285)); #180724=CARTESIAN_POINT('',(0.0999999999999998,-0.000750000000000062,0.22285)); #180725=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.22285)); #180726=CARTESIAN_POINT('',(0.123078138746338,-0.000750000000000062,0.22285)); #180727=CARTESIAN_POINT('',(-0.1,-0.000750000000000062,0.22285)); #180728=CARTESIAN_POINT('',(0.123078138746338,0.24925,0.22285)); #180729=CARTESIAN_POINT('',(-0.1,0.24925,0.22285)); #180730=CARTESIAN_POINT('Origin',(0.15185,0.24925,0.2439)); #180731=CARTESIAN_POINT('',(0.123078138746338,-0.000750000000000062,0.26495)); #180732=CARTESIAN_POINT('Origin',(0.15185,-0.000750000000000062,0.2439)); #180733=CARTESIAN_POINT('',(0.123078138746338,0.24925,0.26495)); #180734=CARTESIAN_POINT('Origin',(0.0434355118724233,-0.000750000000000062, 0.2439)); #180735=CARTESIAN_POINT('',(0.0999999999999998,-0.000750000000000062,0.26495)); #180736=CARTESIAN_POINT('',(0.0999999999999998,-0.000750000000000062,0.254425)); #180737=CARTESIAN_POINT('',(0.123078138746338,-0.000750000000000062,0.26495)); #180738=CARTESIAN_POINT('Origin',(-0.0434355118724235,-0.000750000000000105, 0.5262)); #180739=CARTESIAN_POINT('',(-0.0999999999999999,-0.000750000000000062,0.50515)); #180740=CARTESIAN_POINT('',(-0.0999999999999999,-0.000750000000000105,0.515675)); #180741=CARTESIAN_POINT('',(-0.123078138746338,-0.000750000000000105,0.50515)); #180742=CARTESIAN_POINT('',(0.0999999999999997,-0.000750000000000062,0.50515)); #180743=CARTESIAN_POINT('Origin',(-0.15185,-0.000750000000000062,0.5262)); #180744=CARTESIAN_POINT('Origin',(-0.15185,0.24925,0.5262)); #180745=CARTESIAN_POINT('',(-0.123078138746338,0.24925,0.50515)); #180746=CARTESIAN_POINT('Origin',(0.15185,0.24925,0.6203)); #180747=CARTESIAN_POINT('Origin',(0.15185,-0.000750000000000062,0.6203)); #180748=CARTESIAN_POINT('Origin',(0.0434355118724233,-0.000750000000000062, 0.6203)); #180749=CARTESIAN_POINT('',(0.0999999999999998,-0.000750000000000062,0.630825)); #180750=CARTESIAN_POINT('Origin',(0.15185,0.24925,0.4321)); #180751=CARTESIAN_POINT('Origin',(0.15185,-0.000750000000000062,0.4321)); #180752=CARTESIAN_POINT('Origin',(0.0434355118724233,-0.000750000000000062, 0.4321)); #180753=CARTESIAN_POINT('',(0.0999999999999998,-0.000750000000000062,0.442625)); #180754=CARTESIAN_POINT('Origin',(-0.0434355118724235,-0.000750000000000105, 0.338)); #180755=CARTESIAN_POINT('',(-0.0999999999999999,-0.000750000000000105,0.327475)); #180756=CARTESIAN_POINT('Origin',(-0.15185,-0.000750000000000062,0.338)); #180757=CARTESIAN_POINT('Origin',(-0.15185,0.24925,0.338)); #180758=CARTESIAN_POINT('Origin',(-0.1,0.24925,0.22285)); #180759=CARTESIAN_POINT('Origin',(-0.1,0.24925,0.26495)); #180760=CARTESIAN_POINT('',(0.0999999999999998,0.24925,0.26495)); #180761=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.50515)); #180762=CARTESIAN_POINT('',(-0.0999999999999999,0.24925,0.50515)); #180763=CARTESIAN_POINT('Origin',(-0.0999999999999999,0.24925,0.50515)); #180764=CARTESIAN_POINT('Origin',(-0.0999999999999999,0.24925,0.59925)); #180765=CARTESIAN_POINT('',(-0.0999999999999999,0.24925,0.59925)); #180766=CARTESIAN_POINT('Origin',(-0.1,0.24925,0.41105)); #180767=CARTESIAN_POINT('',(-0.1,0.24925,0.41105)); #180768=CARTESIAN_POINT('Origin',(-0.0999999999999999,0.24925,0.31695)); #180769=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.54725)); #180770=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.26495)); #180771=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.35905)); #180772=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.64135)); #180773=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.59925)); #180774=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.45315)); #180775=CARTESIAN_POINT('Origin',(0.0999999999999998,0.24925,0.41105)); #180776=CARTESIAN_POINT('Origin',(0.045,-0.21375,0.82825)); #180777=CARTESIAN_POINT('',(0.045,-0.21375,0.81725)); #180778=CARTESIAN_POINT('',(0.045,0.15625,0.81725)); #180779=CARTESIAN_POINT('',(0.045,-0.21375,0.81725)); #180780=CARTESIAN_POINT('',(0.045,-0.21375,0.82825)); #180781=CARTESIAN_POINT('',(0.045,-0.21375,0.82825)); #180782=CARTESIAN_POINT('',(0.045,0.15625,0.82825)); #180783=CARTESIAN_POINT('',(0.045,-0.0298750000000001,0.82825)); #180784=CARTESIAN_POINT('',(0.045,0.15625,0.82825)); #180785=CARTESIAN_POINT('Origin',(0.045,0.15625,0.82825)); #180786=CARTESIAN_POINT('',(-0.0450000000000001,0.15625,0.81725)); #180787=CARTESIAN_POINT('',(0.045,0.15625,0.81725)); #180788=CARTESIAN_POINT('',(-0.0450000000000001,0.15625,0.82825)); #180789=CARTESIAN_POINT('',(0.0225,0.15625,0.82825)); #180790=CARTESIAN_POINT('',(-0.0450000000000001,0.15625,0.82825)); #180791=CARTESIAN_POINT('Origin',(-0.0450000000000001,0.15625,0.82825)); #180792=CARTESIAN_POINT('',(-0.0449999999999999,-0.21375,0.81725)); #180793=CARTESIAN_POINT('',(-0.0450000000000001,0.15625,0.81725)); #180794=CARTESIAN_POINT('',(-0.0449999999999999,-0.21375,0.82825)); #180795=CARTESIAN_POINT('',(-0.0450000000000001,0.155125,0.82825)); #180796=CARTESIAN_POINT('',(-0.0449999999999999,-0.21375,0.82825)); #180797=CARTESIAN_POINT('Origin',(-0.0449999999999999,-0.21375,0.82825)); #180798=CARTESIAN_POINT('',(-0.0449999999999999,-0.21375,0.81725)); #180799=CARTESIAN_POINT('',(-0.0225,-0.21375,0.82825)); #180800=CARTESIAN_POINT('Origin',(0.,-0.0287500000000001,0.81725)); #180801=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #180802=CARTESIAN_POINT('',(0.0450000000000001,-0.309748284902435,0.75025)); #180803=CARTESIAN_POINT('',(0.0450000000000001,-0.321869538788622,0.76225)); #180804=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.309748284902435, 0.75025)); #180805=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.31124950049426, 0.75025)); #180806=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.313016867793831, 0.750598806985205)); #180807=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.315785412665775, 0.751738887329428)); #180808=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.317278396910945, 0.752729000334437)); #180809=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.319389341828654, 0.754827433628822)); #180810=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.320383435126185, 0.756304377238939)); #180811=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.321521572937257, 0.759036698758989)); #180812=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.321869538788622, 0.760773722171168)); #180813=CARTESIAN_POINT('Ctrl Pts',(0.0450000000000001,-0.321869538788622, 0.76225)); #180814=CARTESIAN_POINT('',(-3.9801020972289E-17,-0.325,0.76225)); #180815=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #180816=CARTESIAN_POINT('',(-0.0449999999999999,-0.321869538788622,0.76225)); #180817=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #180818=CARTESIAN_POINT('',(-0.0449999999999999,-0.309748284902435,0.75025)); #180819=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.321869538788622, 0.76225)); #180820=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.321869538788622, 0.760773722171168)); #180821=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.321521572937257, 0.759036698758989)); #180822=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.320383435126185, 0.756304377238939)); #180823=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.319389341828654, 0.754827433628822)); #180824=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.317278396910945, 0.752729000334437)); #180825=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.315785412665775, 0.751738887329428)); #180826=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.313016867793831, 0.750598806985205)); #180827=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.31124950049426, 0.75025)); #180828=CARTESIAN_POINT('Ctrl Pts',(-0.0449999999999999,-0.309748284902435, 0.75025)); #180829=CARTESIAN_POINT('Origin',(-9.28799259843746E-33,-8.74191357972617E-17, 0.75025)); #180830=CARTESIAN_POINT('Origin',(0.0450000000000001,-0.321869538788622, 0.71225)); #180831=CARTESIAN_POINT('',(0.0450000000000002,-0.303,0.75025)); #180832=CARTESIAN_POINT('',(0.0450000000000001,-0.319682648975343,0.75025)); #180833=CARTESIAN_POINT('',(0.0450000000000002,-0.303,0.76225)); #180834=CARTESIAN_POINT('',(0.0450000000000002,-0.303,0.75025)); #180835=CARTESIAN_POINT('',(0.0450000000000004,-0.290612109850034,0.76225)); #180836=CARTESIAN_POINT('',(0.0450000000000002,-0.303,0.76225)); #180837=CARTESIAN_POINT('',(0.0450000000000001,-0.318745847273264,0.76225)); #180838=CARTESIAN_POINT('Origin',(1.01373039375138E-16,-0.317495759162064, 0.75025)); #180839=CARTESIAN_POINT('',(-0.0449999999999999,-0.303,0.75025)); #180840=CARTESIAN_POINT('',(-0.0449999999999999,-0.309748284902435,0.75025)); #180841=CARTESIAN_POINT('',(-0.0449999999999999,-0.303,0.75025)); #180842=CARTESIAN_POINT('Origin',(-0.0449999999999999,-0.303,0.75025)); #180843=CARTESIAN_POINT('',(-0.0449999999999999,-0.303,0.76225)); #180844=CARTESIAN_POINT('',(-0.0225,-0.303,0.76225)); #180845=CARTESIAN_POINT('',(-0.0449999999999999,-0.303,0.75025)); #180846=CARTESIAN_POINT('Origin',(-3.51924109372645E-17,-0.307288444691503, 0.76225)); #180847=CARTESIAN_POINT('',(-0.0449999999999999,-0.309748284902435,0.76225)); #180848=CARTESIAN_POINT('Origin',(5.23118062472119E-17,-0.315622155757905, 0.76225)); #180849=CARTESIAN_POINT('',(-0.0449999999999999,-0.31268522033017,0.76225)); #180850=CARTESIAN_POINT('',(-0.0475,-0.321510108705776,0.76225)); #180851=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #180852=CARTESIAN_POINT('',(-0.0475,-0.318566132231841,0.76225)); #180853=CARTESIAN_POINT('Origin',(-0.0449999999999999,-0.309748284902435, 0.71225)); #180854=CARTESIAN_POINT('',(-0.0449999999999998,-0.293986252301251,0.76225)); #180855=CARTESIAN_POINT('Origin',(-0.0475,-0.321510108705776,0.71225)); #180856=CARTESIAN_POINT('',(-0.0475,-0.321510108705776,0.71225)); #180857=CARTESIAN_POINT('',(-0.0475,-0.321510108705776,0.76175)); #180858=CARTESIAN_POINT('',(-0.0474999999999998,-0.00125505435288816,0.71225)); #180859=CARTESIAN_POINT('Origin',(0.0475000000000001,-0.309374772727189, 0.71225)); #180860=CARTESIAN_POINT('',(0.0475000000000001,-0.321510108705776,0.76225)); #180861=CARTESIAN_POINT('',(0.0475000000000001,-0.312498464242547,0.76225)); #180862=CARTESIAN_POINT('',(0.0475000000000001,-0.321510108705776,0.76175)); #180863=CARTESIAN_POINT('Origin',(5.23118062472119E-17,-0.315622155757905, 0.76225)); #180864=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #180865=CARTESIAN_POINT('Origin',(0.,0.319,0.71225)); #180866=CARTESIAN_POINT('',(0.0475,0.321510108705776,0.71225)); #180867=CARTESIAN_POINT('',(0.0475,0.320255054352888,0.71225)); #180868=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.71225)); #180869=CARTESIAN_POINT('Origin',(0.,0.319,0.71225)); #180870=CARTESIAN_POINT('',(-0.0475,0.321510108705776,0.71225)); #180871=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.71225)); #180872=CARTESIAN_POINT('',(-0.0475,0.314187386363595,0.71225)); #180873=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76175)); #180874=CARTESIAN_POINT('',(0.0475,0.321510108705776,0.76225)); #180875=CARTESIAN_POINT('',(0.0475,0.321510108705776,0.76175)); #180876=CARTESIAN_POINT('',(0.045,0.321869538788622,0.76225)); #180877=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #180878=CARTESIAN_POINT('',(-0.045,0.321869538788622,0.76225)); #180879=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #180880=CARTESIAN_POINT('',(-0.0475,0.321510108705776,0.76225)); #180881=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #180882=CARTESIAN_POINT('',(-0.0475,0.321510108705776,0.76175)); #180883=CARTESIAN_POINT('',(-3.9801020972289E-17,-0.325,0.81125)); #180884=CARTESIAN_POINT('',(-3.9801020972289E-17,-0.325,0.76175)); #180885=CARTESIAN_POINT('',(0.325,-1.27220156769545E-16,0.81125)); #180886=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.81125)); #180887=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.81125)); #180888=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.76225)); #180889=CARTESIAN_POINT('',(-0.045,0.309748284902435,0.75025)); #180890=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.309748284902435,0.75025)); #180891=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.31124950049426,0.75025)); #180892=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.31301686779383,0.750598806985205)); #180893=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.315785412665775,0.751738887329428)); #180894=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.317278396910945,0.752729000334437)); #180895=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.319389341828654,0.754827433628822)); #180896=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.320383435126185,0.756304377238939)); #180897=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.321521572937256,0.759036698758989)); #180898=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.321869538788621,0.760773722171168)); #180899=CARTESIAN_POINT('Ctrl Pts',(-0.045,0.321869538788621,0.76225)); #180900=CARTESIAN_POINT('',(0.045,0.309748284902435,0.75025)); #180901=CARTESIAN_POINT('Ctrl Pts',(0.045,0.321869538788621,0.76225)); #180902=CARTESIAN_POINT('Ctrl Pts',(0.045,0.321869538788621,0.760773722171168)); #180903=CARTESIAN_POINT('Ctrl Pts',(0.045,0.321521572937256,0.759036698758989)); #180904=CARTESIAN_POINT('Ctrl Pts',(0.045,0.320383435126185,0.756304377238939)); #180905=CARTESIAN_POINT('Ctrl Pts',(0.045,0.319389341828654,0.754827433628822)); #180906=CARTESIAN_POINT('Ctrl Pts',(0.045,0.317278396910945,0.752729000334437)); #180907=CARTESIAN_POINT('Ctrl Pts',(0.045,0.315785412665775,0.751738887329428)); #180908=CARTESIAN_POINT('Ctrl Pts',(0.045,0.31301686779383,0.750598806985205)); #180909=CARTESIAN_POINT('Ctrl Pts',(0.045,0.31124950049426,0.75025)); #180910=CARTESIAN_POINT('Ctrl Pts',(0.045,0.309748284902435,0.75025)); #180911=CARTESIAN_POINT('Origin',(9.28799259843811E-33,-8.74191357972511E-17, 0.75025)); #180912=CARTESIAN_POINT('Origin',(-0.045,0.321869538788622,0.71225)); #180913=CARTESIAN_POINT('',(-0.0450000000000001,0.303,0.75025)); #180914=CARTESIAN_POINT('',(-0.045,0.319682648975343,0.75025)); #180915=CARTESIAN_POINT('',(-0.0450000000000001,0.303,0.76225)); #180916=CARTESIAN_POINT('',(-0.0450000000000001,0.303,0.75025)); #180917=CARTESIAN_POINT('',(-0.0450000000000003,0.290612109850034,0.76225)); #180918=CARTESIAN_POINT('',(-0.0450000000000001,0.303,0.76225)); #180919=CARTESIAN_POINT('',(-0.045,0.318745847273263,0.76225)); #180920=CARTESIAN_POINT('Origin',(-6.249102285507E-17,0.317495759162064, 0.75025)); #180921=CARTESIAN_POINT('',(0.0449999999999999,0.303,0.75025)); #180922=CARTESIAN_POINT('',(0.045,0.313622022032249,0.75025)); #180923=CARTESIAN_POINT('',(0.0449999999999999,0.303,0.75025)); #180924=CARTESIAN_POINT('Origin',(0.0449999999999999,0.303,0.75025)); #180925=CARTESIAN_POINT('',(0.0449999999999999,0.303,0.76225)); #180926=CARTESIAN_POINT('',(0.0225000000000001,0.303,0.76225)); #180927=CARTESIAN_POINT('',(0.0449999999999999,0.303,0.75025)); #180928=CARTESIAN_POINT('Origin',(7.28243919579063E-17,0.307288444691503, 0.76225)); #180929=CARTESIAN_POINT('',(0.045,0.309748284902435,0.76225)); #180930=CARTESIAN_POINT('Origin',(0.045,0.309748284902435,0.71225)); #180931=CARTESIAN_POINT('',(0.045,0.31268522033017,0.76225)); #180932=CARTESIAN_POINT('',(0.0449999999999999,0.293986252301251,0.76225)); #180933=CARTESIAN_POINT('Origin',(-0.0475,0.309374772727189,0.71225)); #180934=CARTESIAN_POINT('',(-0.0475,0.312498464242547,0.76225)); #180935=CARTESIAN_POINT('Origin',(-1.36592399683213E-17,0.315622155757905, 0.76225)); #180936=CARTESIAN_POINT('Origin',(-1.36592399683213E-17,0.315622155757905, 0.76225)); #180937=CARTESIAN_POINT('',(0.0475,0.318566132231841,0.76225)); #180938=CARTESIAN_POINT('Origin',(0.0475,0.321510108705776,0.71225)); #180939=CARTESIAN_POINT('Origin',(0.,0.154,0.81625)); #180940=CARTESIAN_POINT('',(0.308,-1.25138257210995E-16,0.81625)); #180941=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.81625)); #180942=CARTESIAN_POINT('',(0.3075,-3.76578890737811E-17,0.81625)); #180943=CARTESIAN_POINT('Origin',(0.,0.,0.81625)); #180944=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.81125)); #180945=CARTESIAN_POINT('Origin',(0.308,-1.25138257210995E-16,0.81125)); #180946=CARTESIAN_POINT('Origin',(0.,0.154,0.82825)); #180947=CARTESIAN_POINT('',(0.308,-1.25138257210995E-16,0.82825)); #180948=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.82825)); #180949=CARTESIAN_POINT('Origin',(0.,-8.74191357972564E-17,0.81125)); #180950=CARTESIAN_POINT('Origin',(0.308,-1.25138257210995E-16,0.81125)); #180951=CARTESIAN_POINT('Origin',(-0.0475,0.244682064115864,0.71225)); #180952=CARTESIAN_POINT('',(-0.0475,0.244682064115864,0.76225)); #180953=CARTESIAN_POINT('',(-0.0475,0.122341032057932,0.71225)); #180954=CARTESIAN_POINT('Origin',(0.0475,0.30886890422961,0.71225)); #180955=CARTESIAN_POINT('',(0.0475,0.30886890422961,0.76225)); #180956=CARTESIAN_POINT('Origin',(1.09647596169595E-17,0.278224219700067, 0.76225)); #180957=CARTESIAN_POINT('Origin',(-0.0475,-0.30886890422961,0.71225)); #180958=CARTESIAN_POINT('',(-0.0475,-0.30886890422961,0.76225)); #180959=CARTESIAN_POINT('',(-0.0475,-0.154434452114805,0.71225)); #180960=CARTESIAN_POINT('Origin',(0.0475,-0.244682064115864,0.71225)); #180961=CARTESIAN_POINT('',(0.0475,-0.244682064115864,0.76225)); #180962=CARTESIAN_POINT('',(0.0475,-0.122341032057932,0.71225)); #180963=CARTESIAN_POINT('Origin',(8.19554398099279E-18,-0.278224219700067, 0.76225)); #180964=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #180965=CARTESIAN_POINT('Origin',(0.,0.,0.71225)); #180966=CARTESIAN_POINT('Origin',(0.,0.,0.81125)); #180967=CARTESIAN_POINT('Origin',(0.3075,-3.76578890737811E-17,0.81125)); #180968=CARTESIAN_POINT('Origin',(2.79913246792279E-17,0.12635163665094, 0.126820866)); #180969=CARTESIAN_POINT('',(0.188251050420168,-0.0838310649021745,0.126820866)); #180970=CARTESIAN_POINT('',(0.175,-0.0790169794163647,0.126820866)); #180971=CARTESIAN_POINT('Origin',(0.1825,-0.0790169794163647,0.126820866)); #180972=CARTESIAN_POINT('',(0.175,-0.0309999999999998,0.126820866)); #180973=CARTESIAN_POINT('',(0.175,-0.0309999999999998,0.126820866)); #180974=CARTESIAN_POINT('',(0.20175,-0.031,0.126820866)); #180975=CARTESIAN_POINT('',(0.20175,-0.031,0.126820866)); #180976=CARTESIAN_POINT('',(0.21175,-0.021,0.126820866)); #180977=CARTESIAN_POINT('Origin',(0.20175,-0.021,0.126820866)); #180978=CARTESIAN_POINT('',(0.21175,0.0579655069847577,0.126820866)); #180979=CARTESIAN_POINT('',(0.21175,0.0579655069847577,0.126820866)); #180980=CARTESIAN_POINT('',(0.102170928402832,0.227347012052728,0.126820866)); #180981=CARTESIAN_POINT('Origin',(0.0260499999999999,0.0579655069847577, 0.126820866)); #180982=CARTESIAN_POINT('',(-0.102170928402832,0.227347012052728,0.126820866)); #180983=CARTESIAN_POINT('Origin',(0.,0.,0.126820866)); #180984=CARTESIAN_POINT('',(-0.21175,0.0579655069847577,0.126820866)); #180985=CARTESIAN_POINT('Origin',(-0.0260499999999999,0.0579655069847577, 0.126820866)); #180986=CARTESIAN_POINT('',(-0.21175,-0.0210000000000001,0.126820866)); #180987=CARTESIAN_POINT('',(-0.21175,-0.0210000000000001,0.126820866)); #180988=CARTESIAN_POINT('',(-0.20175,-0.0310000000000001,0.126820866)); #180989=CARTESIAN_POINT('Origin',(-0.20175,-0.0210000000000001,0.126820866)); #180990=CARTESIAN_POINT('',(-0.175,-0.0310000000000001,0.126820866)); #180991=CARTESIAN_POINT('',(-0.175,-0.0310000000000001,0.126820866)); #180992=CARTESIAN_POINT('',(-0.175,-0.0790169794163647,0.126820866)); #180993=CARTESIAN_POINT('',(-0.175,-0.0790169794163647,0.126820866)); #180994=CARTESIAN_POINT('',(-0.188251050420168,-0.0838310649021745,0.126820866)); #180995=CARTESIAN_POINT('Origin',(-0.1825,-0.0790169794163647,0.126820866)); #180996=CARTESIAN_POINT('Origin',(0.,0.07375,0.126820866)); #180997=CARTESIAN_POINT('Origin',(0.175,-0.0309999999999998,0.145320866)); #180998=CARTESIAN_POINT('',(0.175,-0.0790169794163647,0.163820866)); #180999=CARTESIAN_POINT('',(0.175,-0.0790169794163647,0.145320866)); #181000=CARTESIAN_POINT('',(0.175,-0.0309999999999998,0.163820866)); #181001=CARTESIAN_POINT('',(0.175,-0.0309999999999998,0.163820866)); #181002=CARTESIAN_POINT('',(0.175,-0.0309999999999998,0.145320866)); #181003=CARTESIAN_POINT('Origin',(0.20175,-0.031,0.145320866)); #181004=CARTESIAN_POINT('',(0.20175,-0.031,0.163820866)); #181005=CARTESIAN_POINT('',(0.20175,-0.031,0.163820866)); #181006=CARTESIAN_POINT('',(0.20175,-0.031,0.145320866)); #181007=CARTESIAN_POINT('Origin',(0.20175,-0.021,0.145320866)); #181008=CARTESIAN_POINT('',(0.21175,-0.021,0.163820866)); #181009=CARTESIAN_POINT('Origin',(0.20175,-0.021,0.163820866)); #181010=CARTESIAN_POINT('',(0.21175,-0.021,0.145320866)); #181011=CARTESIAN_POINT('Origin',(0.21175,0.0579655069847577,0.145320866)); #181012=CARTESIAN_POINT('',(0.21175,0.0579655069847577,0.163820866)); #181013=CARTESIAN_POINT('',(0.21175,0.0579655069847577,0.163820866)); #181014=CARTESIAN_POINT('',(0.21175,0.0579655069847577,0.145320866)); #181015=CARTESIAN_POINT('Origin',(0.0260499999999999,0.0579655069847577, 0.145320866)); #181016=CARTESIAN_POINT('',(0.102170928402832,0.227347012052728,0.163820866)); #181017=CARTESIAN_POINT('Origin',(0.0260499999999999,0.0579655069847577, 0.163820866)); #181018=CARTESIAN_POINT('',(0.102170928402832,0.227347012052728,0.145320866)); #181019=CARTESIAN_POINT('Origin',(0.,0.,0.145320866)); #181020=CARTESIAN_POINT('',(-0.102170928402832,0.227347012052728,0.163820866)); #181021=CARTESIAN_POINT('Origin',(0.,0.,0.163820866)); #181022=CARTESIAN_POINT('',(-0.102170928402832,0.227347012052728,0.145320866)); #181023=CARTESIAN_POINT('Origin',(-0.0260499999999999,0.0579655069847577, 0.145320866)); #181024=CARTESIAN_POINT('',(-0.21175,0.0579655069847577,0.163820866)); #181025=CARTESIAN_POINT('Origin',(-0.0260499999999999,0.0579655069847577, 0.163820866)); #181026=CARTESIAN_POINT('',(-0.21175,0.0579655069847577,0.145320866)); #181027=CARTESIAN_POINT('Origin',(-0.21175,-0.0210000000000001,0.145320866)); #181028=CARTESIAN_POINT('',(-0.21175,-0.0210000000000001,0.163820866)); #181029=CARTESIAN_POINT('',(-0.21175,-0.0210000000000001,0.163820866)); #181030=CARTESIAN_POINT('',(-0.21175,-0.0210000000000001,0.145320866)); #181031=CARTESIAN_POINT('Origin',(-0.20175,-0.0210000000000001,0.145320866)); #181032=CARTESIAN_POINT('',(-0.20175,-0.0310000000000001,0.163820866)); #181033=CARTESIAN_POINT('Origin',(-0.20175,-0.0210000000000001,0.163820866)); #181034=CARTESIAN_POINT('',(-0.20175,-0.0310000000000001,0.145320866)); #181035=CARTESIAN_POINT('Origin',(-0.175,-0.0310000000000001,0.145320866)); #181036=CARTESIAN_POINT('',(-0.175,-0.0310000000000001,0.163820866)); #181037=CARTESIAN_POINT('',(-0.175,-0.0310000000000001,0.163820866)); #181038=CARTESIAN_POINT('',(-0.175,-0.0310000000000001,0.145320866)); #181039=CARTESIAN_POINT('Origin',(-0.175,-0.0790169794163647,0.145320866)); #181040=CARTESIAN_POINT('',(-0.175,-0.0790169794163647,0.163820866)); #181041=CARTESIAN_POINT('',(-0.175,-0.0790169794163647,0.163820866)); #181042=CARTESIAN_POINT('',(-0.175,-0.0790169794163647,0.145320866)); #181043=CARTESIAN_POINT('Origin',(-0.1825,-0.0790169794163647,0.145320866)); #181044=CARTESIAN_POINT('',(-0.188251050420168,-0.0838310649021745,0.163820866)); #181045=CARTESIAN_POINT('Origin',(-0.1825,-0.0790169794163647,0.163820866)); #181046=CARTESIAN_POINT('',(-0.188251050420168,-0.0838310649021745,0.145320866)); #181047=CARTESIAN_POINT('Origin',(0.,0.07375,0.145320866)); #181048=CARTESIAN_POINT('',(0.188251050420168,-0.0838310649021745,0.163820866)); #181049=CARTESIAN_POINT('Origin',(0.,0.07375,0.163820866)); #181050=CARTESIAN_POINT('',(0.188251050420168,-0.0838310649021745,0.145320866)); #181051=CARTESIAN_POINT('Origin',(0.1825,-0.0790169794163647,0.145320866)); #181052=CARTESIAN_POINT('Origin',(0.1825,-0.0790169794163647,0.163820866)); #181053=CARTESIAN_POINT('Origin',(2.79913246792279E-17,0.12635163665094, 0.163820866)); #181054=CARTESIAN_POINT('',(0.,0.,0.)); #181055=CARTESIAN_POINT('Origin',(0.,0.0295,0.)); #181056=CARTESIAN_POINT('',(-2.36356832235439E-17,0.0295,-0.193)); #181057=CARTESIAN_POINT('Origin',(-2.72483912810286E-17,0.0295,-0.2225)); #181058=CARTESIAN_POINT('Origin',(0.,0.0295,0.)); #181059=CARTESIAN_POINT('',(0.,0.,0.)); #181060=CARTESIAN_POINT('',(-7.58240135585526E-35,4.20907828360975E-51, 0.00375)); #181061=CARTESIAN_POINT('',(0.,0.,0.)); #181062=CARTESIAN_POINT('Origin',(0.,0.,0.1926875)); #181063=CARTESIAN_POINT('',(0.113625,1.39150492553118E-17,0.2005)); #181064=CARTESIAN_POINT('Origin',(0.,0.,0.2005)); #181065=CARTESIAN_POINT('',(0.098,-1.20015386316441E-17,0.184875)); #181066=CARTESIAN_POINT('',(0.1058125,1.29582939434779E-17,0.1926875)); #181067=CARTESIAN_POINT('',(-0.098,-1.20015386316441E-17,0.184875)); #181068=CARTESIAN_POINT('Origin',(0.,0.,0.184875)); #181069=CARTESIAN_POINT('Origin',(0.,0.,0.184875)); #181070=CARTESIAN_POINT('Origin',(0.,0.,-0.2995)); #181071=CARTESIAN_POINT('',(-0.098,-1.20015386316441E-17,-0.0825)); #181072=CARTESIAN_POINT('',(-0.098,-1.20015386316441E-17,-0.2995)); #181073=CARTESIAN_POINT('Origin',(0.,0.,-0.0825)); #181074=CARTESIAN_POINT('Origin',(0.,0.,0.2005)); #181075=CARTESIAN_POINT('',(0.175313831966935,-2.14697523164564E-17,0.2005)); #181076=CARTESIAN_POINT('Origin',(0.,0.,0.2005)); #181077=CARTESIAN_POINT('Origin',(0.,0.,-0.0975)); #181078=CARTESIAN_POINT('',(-0.128,1.56754790290861E-17,-0.1125)); #181079=CARTESIAN_POINT('Origin',(0.,0.,-0.1125)); #181080=CARTESIAN_POINT('',(-0.113,1.38385088303651E-17,-0.0975)); #181081=CARTESIAN_POINT('Origin',(2.73184799366426E-17,-9.9339927042337E-19, -0.1125)); #181082=CARTESIAN_POINT('',(2.51436927138985E-17,0.205313831966935,-0.1125)); #181083=CARTESIAN_POINT('Origin',(0.,0.,-0.1125)); #181084=CARTESIAN_POINT('Origin',(0.,0.,0.1705)); #181085=CARTESIAN_POINT('',(0.205313831966935,2.51436927138985E-17,0.1705)); #181086=CARTESIAN_POINT('Origin',(0.,0.,0.1705)); #181087=CARTESIAN_POINT('Origin',(0.175313831966935,-2.14697523164564E-17, 0.1705)); #181088=CARTESIAN_POINT('Origin',(0.,0.,0.1125)); #181089=CARTESIAN_POINT('',(0.205313831966935,2.51436927138985E-17,0.1125)); #181090=CARTESIAN_POINT('',(0.205313831966935,2.51436927138985E-17,0.1125)); #181091=CARTESIAN_POINT('',(2.51436927138985E-17,0.205313831966935,0.1125)); #181092=CARTESIAN_POINT('Origin',(0.,0.,0.1125)); #181093=CARTESIAN_POINT('Origin',(0.,0.,0.1125)); #181094=CARTESIAN_POINT('Origin',(0.,0.,-0.10147663263513)); #181095=CARTESIAN_POINT('',(2.18547839493142E-17,0.250858691962892,-0.0841584154744954)); #181096=CARTESIAN_POINT('',(2.73130964157065E-17,0.223028357520903,-0.10147663263513)); #181097=CARTESIAN_POINT('',(0.21725,0.125429345981446,-0.0841584154744954)); #181098=CARTESIAN_POINT('Ctrl Pts',(0.21725,0.125429345981446,-0.0841584154744954)); #181099=CARTESIAN_POINT('Ctrl Pts',(0.108625,0.188144018972169,-0.123184404482119)); #181100=CARTESIAN_POINT('Ctrl Pts',(2.18547839493142E-17,0.250858691962892, -0.0841584154744954)); #181101=CARTESIAN_POINT('',(0.21725,-0.125429345981446,-0.0841584154744954)); #181102=CARTESIAN_POINT('Ctrl Pts',(0.21725,-0.125429345981446,-0.0841584154744954)); #181103=CARTESIAN_POINT('Ctrl Pts',(0.21725,1.36592399683213E-17,-0.123184404482119)); #181104=CARTESIAN_POINT('Ctrl Pts',(0.21725,0.125429345981446,-0.0841584154744954)); #181105=CARTESIAN_POINT('',(8.0163481243505E-17,-0.250858691962892,-0.0841584154744954)); #181106=CARTESIAN_POINT('Ctrl Pts',(8.0163481243505E-17,-0.250858691962892, -0.0841584154744954)); #181107=CARTESIAN_POINT('Ctrl Pts',(0.108625,-0.188144018972169,-0.123184404482119)); #181108=CARTESIAN_POINT('Ctrl Pts',(0.21725,-0.125429345981446,-0.0841584154744954)); #181109=CARTESIAN_POINT('',(-0.21725,-0.125429345981446,-0.0841584154744954)); #181110=CARTESIAN_POINT('Ctrl Pts',(-0.21725,-0.125429345981446,-0.0841584154744954)); #181111=CARTESIAN_POINT('Ctrl Pts',(-0.108625,-0.188144018972169,-0.123184404482119)); #181112=CARTESIAN_POINT('Ctrl Pts',(8.0163481243505E-17,-0.250858691962892, -0.0841584154744954)); #181113=CARTESIAN_POINT('',(-0.21725,0.125429345981446,-0.0841584154744955)); #181114=CARTESIAN_POINT('Ctrl Pts',(-0.21725,0.125429345981446,-0.0841584154744955)); #181115=CARTESIAN_POINT('Ctrl Pts',(-0.21725,-5.1905111879621E-17,-0.123184404482119)); #181116=CARTESIAN_POINT('Ctrl Pts',(-0.21725,-0.125429345981446,-0.0841584154744954)); #181117=CARTESIAN_POINT('Ctrl Pts',(2.18547839493142E-17,0.250858691962892, -0.0841584154744954)); #181118=CARTESIAN_POINT('Ctrl Pts',(-0.108625,0.188144018972169,-0.123184404482119)); #181119=CARTESIAN_POINT('Ctrl Pts',(-0.21725,0.125429345981446,-0.0841584154744955)); #181120=CARTESIAN_POINT('Origin',(2.18547839493142E-17,0.250858691962892, 0.)); #181121=CARTESIAN_POINT('',(2.18547839493142E-17,0.250858691962892,0.0841584154744954)); #181122=CARTESIAN_POINT('',(2.18547839493142E-17,0.250858691962892,0.)); #181123=CARTESIAN_POINT('',(0.21725,0.125429345981446,0.0841584154744954)); #181124=CARTESIAN_POINT('Ctrl Pts',(2.18547839493142E-17,0.250858691962892, 0.0841584154744954)); #181125=CARTESIAN_POINT('Ctrl Pts',(0.108625,0.188144018972169,0.123184404482119)); #181126=CARTESIAN_POINT('Ctrl Pts',(0.21725,0.125429345981446,0.0841584154744954)); #181127=CARTESIAN_POINT('',(0.21725,0.125429345981446,0.)); #181128=CARTESIAN_POINT('Origin',(-0.21725,0.125429345981446,0.)); #181129=CARTESIAN_POINT('',(-0.21725,0.125429345981446,0.0841584154744955)); #181130=CARTESIAN_POINT('',(-0.21725,0.125429345981446,0.)); #181131=CARTESIAN_POINT('Ctrl Pts',(-0.21725,0.125429345981446,0.0841584154744955)); #181132=CARTESIAN_POINT('Ctrl Pts',(-0.108625,0.188144018972169,0.123184404482119)); #181133=CARTESIAN_POINT('Ctrl Pts',(2.18547839493142E-17,0.250858691962892, 0.0841584154744954)); #181134=CARTESIAN_POINT('Origin',(-0.21725,-0.125429345981446,0.)); #181135=CARTESIAN_POINT('',(-0.21725,-0.125429345981446,0.0841584154744954)); #181136=CARTESIAN_POINT('',(-0.21725,-0.125429345981446,0.)); #181137=CARTESIAN_POINT('Ctrl Pts',(-0.21725,-0.125429345981446,0.0841584154744954)); #181138=CARTESIAN_POINT('Ctrl Pts',(-0.21725,-9.01509837909207E-17,0.123184404482119)); #181139=CARTESIAN_POINT('Ctrl Pts',(-0.21725,0.125429345981446,0.0841584154744955)); #181140=CARTESIAN_POINT('Origin',(0.,0.,0.10147663263513)); #181141=CARTESIAN_POINT('',(2.73130964157065E-17,0.223028357520903,0.10147663263513)); #181142=CARTESIAN_POINT('',(8.0163481243505E-17,-0.250858691962892,0.0841584154744954)); #181143=CARTESIAN_POINT('Ctrl Pts',(8.0163481243505E-17,-0.250858691962892, 0.0841584154744954)); #181144=CARTESIAN_POINT('Ctrl Pts',(-0.108625,-0.188144018972169,0.123184404482119)); #181145=CARTESIAN_POINT('Ctrl Pts',(-0.21725,-0.125429345981446,0.0841584154744954)); #181146=CARTESIAN_POINT('',(0.21725,-0.125429345981446,0.0841584154744954)); #181147=CARTESIAN_POINT('Ctrl Pts',(0.21725,-0.125429345981446,0.0841584154744954)); #181148=CARTESIAN_POINT('Ctrl Pts',(0.108625,-0.188144018972169,0.123184404482119)); #181149=CARTESIAN_POINT('Ctrl Pts',(8.0163481243505E-17,-0.250858691962892, 0.0841584154744954)); #181150=CARTESIAN_POINT('Ctrl Pts',(0.21725,0.125429345981446,0.0841584154744954)); #181151=CARTESIAN_POINT('Ctrl Pts',(0.21725,1.09273919746571E-17,0.123184404482119)); #181152=CARTESIAN_POINT('Ctrl Pts',(0.21725,-0.125429345981446,0.0841584154744954)); #181153=CARTESIAN_POINT('Origin',(8.0163481243505E-17,-0.250858691962892, 0.)); #181154=CARTESIAN_POINT('',(8.0163481243505E-17,-0.250858691962892,0.)); #181155=CARTESIAN_POINT('Origin',(0.21725,0.125429345981446,0.)); #181156=CARTESIAN_POINT('',(0.21725,-0.125429345981446,0.)); #181157=CARTESIAN_POINT('Origin',(0.21725,-0.125429345981446,0.)); #181158=CARTESIAN_POINT('',(0.,0.,0.)); #181159=CARTESIAN_POINT('Origin',(-0.186482447843017,0.787189482644257, 0.577499999999999)); #181160=CARTESIAN_POINT('',(-0.186482447843017,0.787189482644257,0.577499999999999)); #181161=CARTESIAN_POINT('',(-0.186482447843017,0.798576677535808,0.577499999999999)); #181162=CARTESIAN_POINT('',(-0.186482447843017,0.407613571322128,0.577499999999999)); #181163=CARTESIAN_POINT('',(-0.186482447843017,0.787189482644257,0.567499999999999)); #181164=CARTESIAN_POINT('',(-0.186482447843017,0.787189482644257,0.577499999999999)); #181165=CARTESIAN_POINT('',(-0.186482447843017,0.798576677535808,0.567499999999999)); #181166=CARTESIAN_POINT('',(-0.186482447843017,0.787189482644257,0.567499999999999)); #181167=CARTESIAN_POINT('',(-0.186482447843017,0.798576677535808,0.577499999999999)); #181168=CARTESIAN_POINT('Origin',(-0.115826961467729,0.787189482644257, 0.577499999999999)); #181169=CARTESIAN_POINT('',(-0.115826961467729,0.787189482644257,0.577499999999999)); #181170=CARTESIAN_POINT('',(0.144880390259906,0.787189482644256,0.577499999999999)); #181171=CARTESIAN_POINT('',(-0.115826961467729,0.787189482644257,0.567499999999999)); #181172=CARTESIAN_POINT('',(-0.115826961467729,0.787189482644257,0.577499999999999)); #181173=CARTESIAN_POINT('',(-0.115826961467729,0.787189482644257,0.567499999999999)); #181174=CARTESIAN_POINT('Origin',(-0.186482447843017,0.739857166528773, 0.577499999999999)); #181175=CARTESIAN_POINT('',(-0.186482447843017,0.739857166528773,0.577499999999999)); #181176=CARTESIAN_POINT('',(-0.146717600380023,0.766495753664176,0.577499999999999)); #181177=CARTESIAN_POINT('',(-0.186482447843017,0.739857166528773,0.567499999999999)); #181178=CARTESIAN_POINT('',(-0.186482447843017,0.739857166528773,0.577499999999999)); #181179=CARTESIAN_POINT('',(-0.186482447843017,0.739857166528773,0.567499999999999)); #181180=CARTESIAN_POINT('Origin',(-0.186482447843017,0.727646800922169, 0.577499999999999)); #181181=CARTESIAN_POINT('',(-0.186482447843017,0.727646800922169,0.577499999999999)); #181182=CARTESIAN_POINT('',(-0.186482447843017,0.377842230461084,0.577499999999999)); #181183=CARTESIAN_POINT('',(-0.186482447843017,0.727646800922169,0.567499999999999)); #181184=CARTESIAN_POINT('',(-0.186482447843017,0.727646800922169,0.577499999999999)); #181185=CARTESIAN_POINT('',(-0.186482447843017,0.727646800922169,0.567499999999999)); #181186=CARTESIAN_POINT('Origin',(-0.0964824496640097,0.727646800922169, 0.577499999999999)); #181187=CARTESIAN_POINT('',(-0.0964824496640097,0.727646800922169,0.577499999999999)); #181188=CARTESIAN_POINT('',(0.154552646161766,0.727646800922169,0.577499999999999)); #181189=CARTESIAN_POINT('',(-0.0964824496640097,0.727646800922169,0.567499999999999)); #181190=CARTESIAN_POINT('',(-0.0964824496640097,0.727646800922169,0.577499999999999)); #181191=CARTESIAN_POINT('',(-0.0964824496640097,0.727646800922169,0.567499999999999)); #181192=CARTESIAN_POINT('Origin',(-0.0964824496640097,0.739033995813721, 0.577499999999999)); #181193=CARTESIAN_POINT('',(-0.0964824496640097,0.739033995813721,0.577499999999999)); #181194=CARTESIAN_POINT('',(-0.0964824496640097,0.38353582790686,0.577499999999999)); #181195=CARTESIAN_POINT('',(-0.0964824496640097,0.739033995813721,0.567499999999999)); #181196=CARTESIAN_POINT('',(-0.0964824496640097,0.739033995813721,0.577499999999999)); #181197=CARTESIAN_POINT('',(-0.0964824496640097,0.739033995813721,0.567499999999999)); #181198=CARTESIAN_POINT('Origin',(-0.167137936039298,0.739033995813721, 0.577499999999999)); #181199=CARTESIAN_POINT('',(-0.167137936039298,0.739033995813721,0.577499999999999)); #181200=CARTESIAN_POINT('',(0.119224902974122,0.739033995813721,0.577499999999999)); #181201=CARTESIAN_POINT('',(-0.167137936039298,0.739033995813721,0.567499999999999)); #181202=CARTESIAN_POINT('',(-0.167137936039298,0.739033995813721,0.577499999999999)); #181203=CARTESIAN_POINT('',(-0.167137936039298,0.739033995813721,0.567499999999999)); #181204=CARTESIAN_POINT('Origin',(-0.0964824496640094,0.786366311929205, 0.577499999999999)); #181205=CARTESIAN_POINT('',(-0.0964824496640094,0.786366311929205,0.577499999999999)); #181206=CARTESIAN_POINT('',(-0.0985312156376443,0.784993837636187,0.577499999999999)); #181207=CARTESIAN_POINT('',(-0.0964824496640093,0.786366311929205,0.567499999999999)); #181208=CARTESIAN_POINT('',(-0.0964824496640094,0.786366311929205,0.577499999999999)); #181209=CARTESIAN_POINT('',(-0.0964824496640093,0.786366311929205,0.567499999999999)); #181210=CARTESIAN_POINT('Origin',(-0.0964824496640094,0.798576677535808, 0.577499999999999)); #181211=CARTESIAN_POINT('',(-0.0964824496640094,0.798576677535808,0.577499999999999)); #181212=CARTESIAN_POINT('',(-0.0964824496640094,0.413307168767904,0.577499999999999)); #181213=CARTESIAN_POINT('',(-0.0964824496640093,0.798576677535808,0.567499999999999)); #181214=CARTESIAN_POINT('',(-0.0964824496640094,0.798576677535808,0.577499999999999)); #181215=CARTESIAN_POINT('',(-0.0964824496640093,0.798576677535808,0.567499999999999)); #181216=CARTESIAN_POINT('Origin',(-0.186482447843017,0.798576677535808, 0.577499999999999)); #181217=CARTESIAN_POINT('',(0.109552647072262,0.798576677535808,0.577499999999999)); #181218=CARTESIAN_POINT('',(-0.186482447843017,0.798576677535808,0.567499999999999)); #181219=CARTESIAN_POINT('Origin',(-0.141482448753513,0.763111739228989, 0.567499999999999)); #181220=CARTESIAN_POINT('Origin',(-0.186482447843017,1.00375197826249,0.577499999999999)); #181221=CARTESIAN_POINT('',(-0.186482447843017,1.00375197826249,0.577499999999999)); #181222=CARTESIAN_POINT('',(-0.186482447843017,1.01513917315405,0.577499999999999)); #181223=CARTESIAN_POINT('',(-0.186482447843017,0.515894819131247,0.577499999999999)); #181224=CARTESIAN_POINT('',(-0.186482447843017,1.00375197826249,0.567499999999999)); #181225=CARTESIAN_POINT('',(-0.186482447843017,1.00375197826249,0.577499999999999)); #181226=CARTESIAN_POINT('',(-0.186482447843017,1.01513917315405,0.567499999999999)); #181227=CARTESIAN_POINT('',(-0.186482447843017,1.00375197826249,0.567499999999999)); #181228=CARTESIAN_POINT('',(-0.186482447843017,1.01513917315405,0.577499999999999)); #181229=CARTESIAN_POINT('Origin',(-0.115826961467729,1.00375197826249,0.577499999999999)); #181230=CARTESIAN_POINT('',(-0.115826961467729,1.00375197826249,0.577499999999999)); #181231=CARTESIAN_POINT('',(0.144880390259906,1.00375197826249,0.577499999999999)); #181232=CARTESIAN_POINT('',(-0.115826961467729,1.00375197826249,0.567499999999999)); #181233=CARTESIAN_POINT('',(-0.115826961467729,1.00375197826249,0.577499999999999)); #181234=CARTESIAN_POINT('',(-0.115826961467729,1.00375197826249,0.567499999999999)); #181235=CARTESIAN_POINT('Origin',(-0.186482447843017,0.95641966214701,0.577499999999999)); #181236=CARTESIAN_POINT('',(-0.186482447843017,0.95641966214701,0.577499999999999)); #181237=CARTESIAN_POINT('',(-0.196786229409263,0.949517128864767,0.577499999999999)); #181238=CARTESIAN_POINT('',(-0.186482447843017,0.95641966214701,0.567499999999999)); #181239=CARTESIAN_POINT('',(-0.186482447843017,0.95641966214701,0.577499999999999)); #181240=CARTESIAN_POINT('',(-0.186482447843017,0.95641966214701,0.567499999999999)); #181241=CARTESIAN_POINT('Origin',(-0.186482447843017,0.944209296540407, 0.577499999999999)); #181242=CARTESIAN_POINT('',(-0.186482447843017,0.944209296540407,0.577499999999999)); #181243=CARTESIAN_POINT('',(-0.186482447843017,0.486123478270203,0.577499999999999)); #181244=CARTESIAN_POINT('',(-0.186482447843017,0.944209296540407,0.567499999999999)); #181245=CARTESIAN_POINT('',(-0.186482447843017,0.944209296540407,0.577499999999999)); #181246=CARTESIAN_POINT('',(-0.186482447843017,0.944209296540407,0.567499999999999)); #181247=CARTESIAN_POINT('Origin',(-0.0964824496640094,0.944209296540407, 0.577499999999999)); #181248=CARTESIAN_POINT('',(-0.0964824496640094,0.944209296540407,0.577499999999999)); #181249=CARTESIAN_POINT('',(0.154552646161766,0.944209296540407,0.577499999999999)); #181250=CARTESIAN_POINT('',(-0.0964824496640093,0.944209296540407,0.567499999999999)); #181251=CARTESIAN_POINT('',(-0.0964824496640094,0.944209296540407,0.577499999999999)); #181252=CARTESIAN_POINT('',(-0.0964824496640093,0.944209296540407,0.567499999999999)); #181253=CARTESIAN_POINT('Origin',(-0.0964824496640094,0.955596491431958, 0.577499999999999)); #181254=CARTESIAN_POINT('',(-0.0964824496640094,0.955596491431958,0.577499999999999)); #181255=CARTESIAN_POINT('',(-0.0964824496640094,0.491817075715979,0.577499999999999)); #181256=CARTESIAN_POINT('',(-0.0964824496640093,0.955596491431958,0.567499999999999)); #181257=CARTESIAN_POINT('',(-0.0964824496640094,0.955596491431958,0.577499999999999)); #181258=CARTESIAN_POINT('',(-0.0964824496640093,0.955596491431958,0.567499999999999)); #181259=CARTESIAN_POINT('Origin',(-0.167137936039297,0.955596491431958, 0.577499999999999)); #181260=CARTESIAN_POINT('',(-0.167137936039297,0.955596491431958,0.577499999999999)); #181261=CARTESIAN_POINT('',(0.119224902974122,0.955596491431958,0.577499999999999)); #181262=CARTESIAN_POINT('',(-0.167137936039297,0.955596491431958,0.567499999999999)); #181263=CARTESIAN_POINT('',(-0.167137936039297,0.955596491431958,0.577499999999999)); #181264=CARTESIAN_POINT('',(-0.167137936039297,0.955596491431958,0.567499999999999)); #181265=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.00292880754744, 0.577499999999999)); #181266=CARTESIAN_POINT('',(-0.0964824496640094,1.00292880754744,0.577499999999999)); #181267=CARTESIAN_POINT('',(-0.148599844666884,0.968015212836778,0.577499999999999)); #181268=CARTESIAN_POINT('',(-0.0964824496640093,1.00292880754744,0.567499999999999)); #181269=CARTESIAN_POINT('',(-0.0964824496640094,1.00292880754744,0.577499999999999)); #181270=CARTESIAN_POINT('',(-0.0964824496640093,1.00292880754744,0.567499999999999)); #181271=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.01513917315405, 0.577499999999999)); #181272=CARTESIAN_POINT('',(-0.0964824496640094,1.01513917315405,0.577499999999999)); #181273=CARTESIAN_POINT('',(-0.0964824496640094,0.521588416577022,0.577499999999999)); #181274=CARTESIAN_POINT('',(-0.0964824496640093,1.01513917315405,0.567499999999999)); #181275=CARTESIAN_POINT('',(-0.0964824496640094,1.01513917315405,0.577499999999999)); #181276=CARTESIAN_POINT('',(-0.0964824496640093,1.01513917315405,0.567499999999999)); #181277=CARTESIAN_POINT('Origin',(-0.186482447843017,1.01513917315405,0.577499999999999)); #181278=CARTESIAN_POINT('',(0.109552647072262,1.01513917315405,0.577499999999999)); #181279=CARTESIAN_POINT('',(-0.186482447843017,1.01513917315405,0.567499999999999)); #181280=CARTESIAN_POINT('Origin',(-0.141482448753513,0.979674234847226, 0.567499999999999)); #181281=CARTESIAN_POINT('Origin',(-0.186482447843017,1.25975807064363,0.577499999999999)); #181282=CARTESIAN_POINT('',(-0.186482447843017,1.25975807064363,0.577499999999999)); #181283=CARTESIAN_POINT('',(-0.186482447843017,1.27169404601189,0.577499999999999)); #181284=CARTESIAN_POINT('',(-0.186482447843017,0.643897865321817,0.577499999999999)); #181285=CARTESIAN_POINT('',(-0.186482447843017,1.25975807064363,0.567499999999999)); #181286=CARTESIAN_POINT('',(-0.186482447843017,1.25975807064363,0.577499999999999)); #181287=CARTESIAN_POINT('',(-0.186482447843017,1.27169404601189,0.567499999999999)); #181288=CARTESIAN_POINT('',(-0.186482447843017,1.25975807064363,0.567499999999999)); #181289=CARTESIAN_POINT('',(-0.186482447843017,1.27169404601189,0.577499999999999)); #181290=CARTESIAN_POINT('Origin',(-0.107115071400096,1.25975807064363,0.577499999999999)); #181291=CARTESIAN_POINT('',(-0.107115071400096,1.25975807064363,0.577499999999999)); #181292=CARTESIAN_POINT('',(0.149236335293722,1.25975807064363,0.577499999999999)); #181293=CARTESIAN_POINT('',(-0.107115071400096,1.25975807064363,0.567499999999999)); #181294=CARTESIAN_POINT('',(-0.107115071400096,1.25975807064363,0.577499999999999)); #181295=CARTESIAN_POINT('',(-0.107115071400096,1.25975807064363,0.567499999999999)); #181296=CARTESIAN_POINT('Origin',(-0.107115071400096,1.22998672978259,0.577499999999999)); #181297=CARTESIAN_POINT('',(-0.107115071400096,1.22998672978259,0.577499999999999)); #181298=CARTESIAN_POINT('',(-0.107115071400096,0.629012194891295,0.577499999999999)); #181299=CARTESIAN_POINT('',(-0.107115071400096,1.22998672978259,0.567499999999999)); #181300=CARTESIAN_POINT('',(-0.107115071400096,1.22998672978259,0.577499999999999)); #181301=CARTESIAN_POINT('',(-0.107115071400096,1.22998672978259,0.567499999999999)); #181302=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.22998672978259, 0.577499999999999)); #181303=CARTESIAN_POINT('',(-0.0964824496640094,1.22998672978259,0.577499999999999)); #181304=CARTESIAN_POINT('',(0.154552646161766,1.22998672978259,0.577499999999999)); #181305=CARTESIAN_POINT('',(-0.0964824496640093,1.22998672978259,0.567499999999999)); #181306=CARTESIAN_POINT('',(-0.0964824496640094,1.22998672978259,0.577499999999999)); #181307=CARTESIAN_POINT('',(-0.0964824496640093,1.22998672978259,0.567499999999999)); #181308=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.30132819175376, 0.577499999999999)); #181309=CARTESIAN_POINT('',(-0.0964824496640094,1.30132819175376,0.577499999999999)); #181310=CARTESIAN_POINT('',(-0.0964824496640094,0.664682925876878,0.577499999999999)); #181311=CARTESIAN_POINT('',(-0.0964824496640093,1.30132819175376,0.567499999999999)); #181312=CARTESIAN_POINT('',(-0.0964824496640094,1.30132819175376,0.577499999999999)); #181313=CARTESIAN_POINT('',(-0.0964824496640093,1.30132819175376,0.567499999999999)); #181314=CARTESIAN_POINT('Origin',(-0.107115071400096,1.30132819175376,0.577499999999999)); #181315=CARTESIAN_POINT('',(-0.107115071400096,1.30132819175376,0.577499999999999)); #181316=CARTESIAN_POINT('',(0.149236335293722,1.30132819175376,0.577499999999999)); #181317=CARTESIAN_POINT('',(-0.107115071400096,1.30132819175376,0.567499999999999)); #181318=CARTESIAN_POINT('',(-0.107115071400096,1.30132819175376,0.577499999999999)); #181319=CARTESIAN_POINT('',(-0.107115071400096,1.30132819175376,0.567499999999999)); #181320=CARTESIAN_POINT('Origin',(-0.107115071400096,1.27169404601189,0.577499999999999)); #181321=CARTESIAN_POINT('',(-0.107115071400096,1.27169404601189,0.577499999999999)); #181322=CARTESIAN_POINT('',(-0.107115071400096,0.649865853005943,0.577499999999999)); #181323=CARTESIAN_POINT('',(-0.107115071400096,1.27169404601189,0.567499999999999)); #181324=CARTESIAN_POINT('',(-0.107115071400096,1.27169404601189,0.577499999999999)); #181325=CARTESIAN_POINT('',(-0.107115071400096,1.27169404601189,0.567499999999999)); #181326=CARTESIAN_POINT('Origin',(-0.186482447843017,1.27169404601189,0.577499999999999)); #181327=CARTESIAN_POINT('',(0.109552647072262,1.27169404601189,0.577499999999999)); #181328=CARTESIAN_POINT('',(-0.186482447843017,1.27169404601189,0.567499999999999)); #181329=CARTESIAN_POINT('Origin',(-0.126871022733197,1.26569120540101,0.567499999999999)); #181330=CARTESIAN_POINT('Origin',(-0.186482447843017,1.40182361654968,0.577499999999999)); #181331=CARTESIAN_POINT('',(-0.186482447843017,1.40182361654968,0.577499999999999)); #181332=CARTESIAN_POINT('',(-0.186482447843017,1.46904922494558,0.577499999999999)); #181333=CARTESIAN_POINT('',(-0.186482447843017,0.714930638274837,0.577499999999999)); #181334=CARTESIAN_POINT('',(-0.186482447843017,1.40182361654968,0.567499999999999)); #181335=CARTESIAN_POINT('',(-0.186482447843017,1.40182361654968,0.577499999999999)); #181336=CARTESIAN_POINT('',(-0.186482447843017,1.46904922494558,0.567499999999999)); #181337=CARTESIAN_POINT('',(-0.186482447843017,1.40182361654968,0.567499999999999)); #181338=CARTESIAN_POINT('',(-0.186482447843017,1.46904922494558,0.577499999999999)); #181339=CARTESIAN_POINT('Origin',(-0.17584982610693,1.40182361654968,0.577499999999999)); #181340=CARTESIAN_POINT('',(-0.17584982610693,1.40182361654968,0.577499999999999)); #181341=CARTESIAN_POINT('',(0.114868957940305,1.40182361654968,0.577499999999999)); #181342=CARTESIAN_POINT('',(-0.17584982610693,1.40182361654968,0.567499999999999)); #181343=CARTESIAN_POINT('',(-0.17584982610693,1.40182361654968,0.577499999999999)); #181344=CARTESIAN_POINT('',(-0.17584982610693,1.40182361654968,0.567499999999999)); #181345=CARTESIAN_POINT('Origin',(-0.17584982610693,1.45711324957733,0.577499999999999)); #181346=CARTESIAN_POINT('',(-0.17584982610693,1.45711324957733,0.577499999999999)); #181347=CARTESIAN_POINT('',(-0.17584982610693,0.742575454788664,0.577499999999999)); #181348=CARTESIAN_POINT('',(-0.17584982610693,1.45711324957733,0.567499999999999)); #181349=CARTESIAN_POINT('',(-0.17584982610693,1.45711324957733,0.577499999999999)); #181350=CARTESIAN_POINT('',(-0.17584982610693,1.45711324957733,0.567499999999999)); #181351=CARTESIAN_POINT('Origin',(-0.145255314530834,1.45711324957733,0.577499999999999)); #181352=CARTESIAN_POINT('',(-0.145255314530834,1.45711324957733,0.577499999999999)); #181353=CARTESIAN_POINT('',(0.130166213728353,1.45711324957733,0.577499999999999)); #181354=CARTESIAN_POINT('',(-0.145255314530834,1.45711324957733,0.567499999999999)); #181355=CARTESIAN_POINT('',(-0.145255314530834,1.45711324957733,0.577499999999999)); #181356=CARTESIAN_POINT('',(-0.145255314530834,1.45711324957733,0.567499999999999)); #181357=CARTESIAN_POINT('Origin',(-0.145255314530834,1.4072428237571,0.577499999999999)); #181358=CARTESIAN_POINT('',(-0.145255314530834,1.4072428237571,0.577499999999999)); #181359=CARTESIAN_POINT('',(-0.145255314530834,0.71764024187855,0.577499999999999)); #181360=CARTESIAN_POINT('',(-0.145255314530834,1.4072428237571,0.567499999999999)); #181361=CARTESIAN_POINT('',(-0.145255314530834,1.4072428237571,0.577499999999999)); #181362=CARTESIAN_POINT('',(-0.145255314530834,1.4072428237571,0.567499999999999)); #181363=CARTESIAN_POINT('Origin',(-0.134622692794748,1.4072428237571,0.577499999999999)); #181364=CARTESIAN_POINT('',(-0.134622692794748,1.4072428237571,0.577499999999999)); #181365=CARTESIAN_POINT('',(0.135482524596397,1.4072428237571,0.577499999999999)); #181366=CARTESIAN_POINT('',(-0.134622692794748,1.4072428237571,0.567499999999999)); #181367=CARTESIAN_POINT('',(-0.134622692794748,1.4072428237571,0.577499999999999)); #181368=CARTESIAN_POINT('',(-0.134622692794748,1.4072428237571,0.567499999999999)); #181369=CARTESIAN_POINT('Origin',(-0.134622692794748,1.45711324957733,0.577499999999999)); #181370=CARTESIAN_POINT('',(-0.134622692794748,1.45711324957733,0.577499999999999)); #181371=CARTESIAN_POINT('',(-0.134622692794748,0.742575454788664,0.577499999999999)); #181372=CARTESIAN_POINT('',(-0.134622692794748,1.45711324957733,0.567499999999999)); #181373=CARTESIAN_POINT('',(-0.134622692794748,1.45711324957733,0.577499999999999)); #181374=CARTESIAN_POINT('',(-0.134622692794748,1.45711324957733,0.567499999999999)); #181375=CARTESIAN_POINT('Origin',(-0.107115071400096,1.45711324957733,0.577499999999999)); #181376=CARTESIAN_POINT('',(-0.107115071400096,1.45711324957733,0.577499999999999)); #181377=CARTESIAN_POINT('',(0.149236335293722,1.45711324957733,0.577499999999999)); #181378=CARTESIAN_POINT('',(-0.107115071400096,1.45711324957733,0.567499999999999)); #181379=CARTESIAN_POINT('',(-0.107115071400096,1.45711324957733,0.577499999999999)); #181380=CARTESIAN_POINT('',(-0.107115071400096,1.45711324957733,0.567499999999999)); #181381=CARTESIAN_POINT('Origin',(-0.107115071400096,1.4038815433373,0.577499999999999)); #181382=CARTESIAN_POINT('',(-0.107115071400096,1.4038815433373,0.577499999999999)); #181383=CARTESIAN_POINT('',(-0.107115071400096,0.715959601668652,0.577499999999999)); #181384=CARTESIAN_POINT('',(-0.107115071400096,1.4038815433373,0.567499999999999)); #181385=CARTESIAN_POINT('',(-0.107115071400096,1.4038815433373,0.577499999999999)); #181386=CARTESIAN_POINT('',(-0.107115071400096,1.4038815433373,0.567499999999999)); #181387=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.4038815433373,0.577499999999999)); #181388=CARTESIAN_POINT('',(-0.0964824496640094,1.4038815433373,0.577499999999999)); #181389=CARTESIAN_POINT('',(0.154552646161766,1.4038815433373,0.577499999999999)); #181390=CARTESIAN_POINT('',(-0.0964824496640093,1.4038815433373,0.567499999999999)); #181391=CARTESIAN_POINT('',(-0.0964824496640094,1.4038815433373,0.577499999999999)); #181392=CARTESIAN_POINT('',(-0.0964824496640093,1.4038815433373,0.567499999999999)); #181393=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.46904922494558, 0.577499999999999)); #181394=CARTESIAN_POINT('',(-0.0964824496640094,1.46904922494558,0.577499999999999)); #181395=CARTESIAN_POINT('',(-0.0964824496640094,0.74854344247279,0.577499999999999)); #181396=CARTESIAN_POINT('',(-0.0964824496640093,1.46904922494558,0.567499999999999)); #181397=CARTESIAN_POINT('',(-0.0964824496640094,1.46904922494558,0.577499999999999)); #181398=CARTESIAN_POINT('',(-0.0964824496640093,1.46904922494558,0.567499999999999)); #181399=CARTESIAN_POINT('Origin',(-0.186482447843017,1.46904922494558,0.577499999999999)); #181400=CARTESIAN_POINT('',(0.109552647072262,1.46904922494558,0.577499999999999)); #181401=CARTESIAN_POINT('',(-0.186482447843017,1.46904922494558,0.567499999999999)); #181402=CARTESIAN_POINT('Origin',(-0.141500479337357,1.44012498712341,0.567499999999999)); #181403=CARTESIAN_POINT('Origin',(0.0629915960782058,1.15052027788664,0.577499999999999)); #181404=CARTESIAN_POINT('',(0.0629915960782058,1.15052027788664,0.577499999999999)); #181405=CARTESIAN_POINT('',(0.0629915960782058,1.1670980215401,0.577499999999999)); #181406=CARTESIAN_POINT('',(0.0629915960782058,0.589278968943319,0.577499999999999)); #181407=CARTESIAN_POINT('',(0.0629915960782058,1.15052027788664,0.567499999999999)); #181408=CARTESIAN_POINT('',(0.0629915960782058,1.15052027788664,0.577499999999999)); #181409=CARTESIAN_POINT('',(0.0629915960782058,1.1670980215401,0.567499999999999)); #181410=CARTESIAN_POINT('',(0.0629915960782058,1.15052027788664,0.567499999999999)); #181411=CARTESIAN_POINT('',(0.0629915960782058,1.1670980215401,0.577499999999999)); #181412=CARTESIAN_POINT('Origin',(0.121871168364655,1.15052027788664,0.577499999999999)); #181413=CARTESIAN_POINT('',(0.121871168364655,1.15052027788664,0.577499999999999)); #181414=CARTESIAN_POINT('',(0.263729455176098,1.15052027788664,0.5775)); #181415=CARTESIAN_POINT('',(0.121871168364655,1.15052027788664,0.567499999999999)); #181416=CARTESIAN_POINT('',(0.121871168364655,1.15052027788664,0.577499999999999)); #181417=CARTESIAN_POINT('',(0.121871168364655,1.15052027788664,0.567499999999999)); #181418=CARTESIAN_POINT('Origin',(0.121871168364655,1.08573369349378,0.577499999999999)); #181419=CARTESIAN_POINT('',(0.121871168364655,1.08573369349378,0.577499999999999)); #181420=CARTESIAN_POINT('',(0.121871168364655,0.55688567674689,0.577499999999999)); #181421=CARTESIAN_POINT('',(0.121871168364655,1.08573369349378,0.567499999999999)); #181422=CARTESIAN_POINT('',(0.121871168364655,1.08573369349378,0.577499999999999)); #181423=CARTESIAN_POINT('',(0.121871168364655,1.08573369349378,0.567499999999999)); #181424=CARTESIAN_POINT('Origin',(0.0629915960782058,1.08573369349378,0.577499999999999)); #181425=CARTESIAN_POINT('',(0.0629915960782058,1.08573369349378,0.577499999999999)); #181426=CARTESIAN_POINT('',(0.234289669032873,1.08573369349378,0.577499999999999)); #181427=CARTESIAN_POINT('',(0.0629915960782058,1.08573369349378,0.567499999999999)); #181428=CARTESIAN_POINT('',(0.0629915960782058,1.08573369349378,0.577499999999999)); #181429=CARTESIAN_POINT('',(0.0629915960782058,1.08573369349378,0.567499999999999)); #181430=CARTESIAN_POINT('Origin',(0.0629915960782058,1.06915594984031,0.577499999999999)); #181431=CARTESIAN_POINT('',(0.0629915960782058,1.06915594984031,0.577499999999999)); #181432=CARTESIAN_POINT('',(0.0629915960782058,0.548596804920157,0.577499999999999)); #181433=CARTESIAN_POINT('',(0.0629915960782058,1.06915594984031,0.567499999999999)); #181434=CARTESIAN_POINT('',(0.0629915960782058,1.06915594984031,0.577499999999999)); #181435=CARTESIAN_POINT('',(0.0629915960782058,1.06915594984031,0.567499999999999)); #181436=CARTESIAN_POINT('Origin',(0.187991594200894,1.06915594984031,0.577499999999999)); #181437=CARTESIAN_POINT('',(0.187991594200894,1.06915594984031,0.577499999999999)); #181438=CARTESIAN_POINT('',(0.296789668094218,1.06915594984031,0.5775)); #181439=CARTESIAN_POINT('',(0.187991594200894,1.06915594984031,0.567499999999999)); #181440=CARTESIAN_POINT('',(0.187991594200894,1.06915594984031,0.577499999999999)); #181441=CARTESIAN_POINT('',(0.187991594200894,1.06915594984031,0.567499999999999)); #181442=CARTESIAN_POINT('Origin',(0.187991594200894,1.08573369349378,0.577499999999999)); #181443=CARTESIAN_POINT('',(0.187991594200894,1.08573369349378,0.577499999999999)); #181444=CARTESIAN_POINT('',(0.187991594200894,0.55688567674689,0.577499999999999)); #181445=CARTESIAN_POINT('',(0.187991594200894,1.08573369349378,0.567499999999999)); #181446=CARTESIAN_POINT('',(0.187991594200894,1.08573369349378,0.577499999999999)); #181447=CARTESIAN_POINT('',(0.187991594200894,1.08573369349378,0.567499999999999)); #181448=CARTESIAN_POINT('Origin',(0.136638698630674,1.08573369349378,0.577499999999999)); #181449=CARTESIAN_POINT('',(0.136638698630674,1.08573369349378,0.577499999999999)); #181450=CARTESIAN_POINT('',(0.271113220309109,1.08573369349378,0.5775)); #181451=CARTESIAN_POINT('',(0.136638698630674,1.08573369349378,0.567499999999999)); #181452=CARTESIAN_POINT('',(0.136638698630674,1.08573369349378,0.577499999999999)); #181453=CARTESIAN_POINT('',(0.136638698630674,1.08573369349378,0.567499999999999)); #181454=CARTESIAN_POINT('Origin',(0.136638698630674,1.15052027788664,0.577499999999999)); #181455=CARTESIAN_POINT('',(0.136638698630674,1.15052027788664,0.577499999999999)); #181456=CARTESIAN_POINT('',(0.136638698630674,0.589278968943319,0.577499999999999)); #181457=CARTESIAN_POINT('',(0.136638698630674,1.15052027788664,0.567499999999999)); #181458=CARTESIAN_POINT('',(0.136638698630674,1.15052027788664,0.577499999999999)); #181459=CARTESIAN_POINT('',(0.136638698630674,1.15052027788664,0.567499999999999)); #181460=CARTESIAN_POINT('Origin',(0.187991594200894,1.15052027788664,0.577499999999999)); #181461=CARTESIAN_POINT('',(0.187991594200894,1.15052027788664,0.577499999999999)); #181462=CARTESIAN_POINT('',(0.296789668094218,1.15052027788664,0.5775)); #181463=CARTESIAN_POINT('',(0.187991594200894,1.15052027788664,0.567499999999999)); #181464=CARTESIAN_POINT('',(0.187991594200894,1.15052027788664,0.577499999999999)); #181465=CARTESIAN_POINT('',(0.187991594200894,1.15052027788664,0.567499999999999)); #181466=CARTESIAN_POINT('Origin',(0.187991594200894,1.1670980215401,0.577499999999999)); #181467=CARTESIAN_POINT('',(0.187991594200894,1.1670980215401,0.577499999999999)); #181468=CARTESIAN_POINT('',(0.187991594200894,0.597567840770052,0.577499999999999)); #181469=CARTESIAN_POINT('',(0.187991594200894,1.1670980215401,0.567499999999999)); #181470=CARTESIAN_POINT('',(0.187991594200894,1.1670980215401,0.577499999999999)); #181471=CARTESIAN_POINT('',(0.187991594200894,1.1670980215401,0.567499999999999)); #181472=CARTESIAN_POINT('Origin',(0.0629915960782058,1.1670980215401,0.577499999999999)); #181473=CARTESIAN_POINT('',(0.234289669032874,1.1670980215401,0.577499999999999)); #181474=CARTESIAN_POINT('',(0.0629915960782058,1.1670980215401,0.567499999999999)); #181475=CARTESIAN_POINT('Origin',(0.126056580166147,1.11812698569021,0.567499999999999)); #181476=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.21073369161647, 0.577499999999999)); #181477=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.21073369161647, 0.567499999999999)); #181478=CARTESIAN_POINT('Ctrl Pts',(0.147881076510611,1.21073369161647, 0.577499999999999)); #181479=CARTESIAN_POINT('Ctrl Pts',(0.147881076510611,1.21073369161647, 0.567499999999999)); #181480=CARTESIAN_POINT('Ctrl Pts',(0.137496168129991,1.21740289883338, 0.577499999999999)); #181481=CARTESIAN_POINT('Ctrl Pts',(0.137496168129991,1.21740289883338, 0.567499999999999)); #181482=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,1.23016966693433, 0.577499999999999)); #181483=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,1.23016966693433, 0.567499999999999)); #181484=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,1.24150731920308, 0.577499999999999)); #181485=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,1.24150731920308, 0.567499999999999)); #181486=CARTESIAN_POINT('',(0.132827723078153,1.24150731920308,0.577499999999999)); #181487=CARTESIAN_POINT('',(0.153883363005831,1.21073369161647,0.577499999999999)); #181488=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,1.24150731920308, 0.577499999999999)); #181489=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,1.23016966693433, 0.577499999999999)); #181490=CARTESIAN_POINT('Ctrl Pts',(0.137496168129991,1.21740289883338, 0.577499999999999)); #181491=CARTESIAN_POINT('Ctrl Pts',(0.147881076510611,1.21073369161647, 0.577499999999999)); #181492=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.21073369161647, 0.577499999999999)); #181493=CARTESIAN_POINT('',(0.132827723078153,1.24150731920308,0.567499999999999)); #181494=CARTESIAN_POINT('',(0.132827723078153,1.24150731920308,0.577499999999999)); #181495=CARTESIAN_POINT('',(0.153883363005831,1.21073369161647,0.567499999999999)); #181496=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,1.24150731920308, 0.567499999999999)); #181497=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,1.23016966693433, 0.567499999999999)); #181498=CARTESIAN_POINT('Ctrl Pts',(0.137496168129991,1.21740289883338, 0.567499999999999)); #181499=CARTESIAN_POINT('Ctrl Pts',(0.147881076510611,1.21073369161647, 0.567499999999999)); #181500=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.21073369161647, 0.567499999999999)); #181501=CARTESIAN_POINT('',(0.153883363005831,1.21073369161647,0.577499999999999)); #181502=CARTESIAN_POINT('Ctrl Pts',(0.174176807823006,1.23750579487293, 0.577499999999999)); #181503=CARTESIAN_POINT('Ctrl Pts',(0.174176807823006,1.23750579487293, 0.567499999999999)); #181504=CARTESIAN_POINT('Ctrl Pts',(0.174176807823006,1.22359573410623, 0.577499999999999)); #181505=CARTESIAN_POINT('Ctrl Pts',(0.174176807823006,1.22359573410623, 0.567499999999999)); #181506=CARTESIAN_POINT('Ctrl Pts',(0.162743881165442,1.21073369161647, 0.577499999999999)); #181507=CARTESIAN_POINT('Ctrl Pts',(0.162743881165442,1.21073369161647, 0.567499999999999)); #181508=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.21073369161647, 0.577499999999999)); #181509=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.21073369161647, 0.567499999999999)); #181510=CARTESIAN_POINT('',(0.174176807823006,1.23750579487293,0.577499999999999)); #181511=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.21073369161647, 0.577499999999999)); #181512=CARTESIAN_POINT('Ctrl Pts',(0.162743881165442,1.21073369161647, 0.577499999999999)); #181513=CARTESIAN_POINT('Ctrl Pts',(0.174176807823006,1.22359573410623, 0.577499999999999)); #181514=CARTESIAN_POINT('Ctrl Pts',(0.174176807823006,1.23750579487293, 0.577499999999999)); #181515=CARTESIAN_POINT('',(0.174176807823006,1.23750579487293,0.567499999999999)); #181516=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.21073369161647, 0.567499999999999)); #181517=CARTESIAN_POINT('Ctrl Pts',(0.162743881165442,1.21073369161647, 0.567499999999999)); #181518=CARTESIAN_POINT('Ctrl Pts',(0.174176807823006,1.22359573410623, 0.567499999999999)); #181519=CARTESIAN_POINT('Ctrl Pts',(0.174176807823006,1.23750579487293, 0.567499999999999)); #181520=CARTESIAN_POINT('',(0.174176807823006,1.23750579487293,0.577499999999999)); #181521=CARTESIAN_POINT('Origin',(0.174176807823006,1.27704466623033,0.577499999999999)); #181522=CARTESIAN_POINT('',(0.174176807823006,1.27704466623033,0.577499999999999)); #181523=CARTESIAN_POINT('',(0.174176807823006,0.652541163115167,0.577499999999999)); #181524=CARTESIAN_POINT('',(0.174176807823006,1.27704466623033,0.567499999999999)); #181525=CARTESIAN_POINT('',(0.174176807823006,1.26272175600698,0.567499999999999)); #181526=CARTESIAN_POINT('',(0.174176807823006,1.27704466623033,0.577499999999999)); #181527=CARTESIAN_POINT('Origin',(0.132827723078153,1.27704466623033,0.577499999999999)); #181528=CARTESIAN_POINT('',(0.132827723078153,1.27704466623033,0.577499999999999)); #181529=CARTESIAN_POINT('',(0.269207732532847,1.27704466623033,0.5775)); #181530=CARTESIAN_POINT('',(0.132827723078153,1.27704466623033,0.567499999999999)); #181531=CARTESIAN_POINT('',(0.126663523278883,1.27704466623033,0.567499999999999)); #181532=CARTESIAN_POINT('',(0.132827723078153,1.27704466623033,0.577499999999999)); #181533=CARTESIAN_POINT('Origin',(0.132827723078153,1.24150731920308,0.577499999999999)); #181534=CARTESIAN_POINT('',(0.132827723078153,0.634772489601538,0.577499999999999)); #181535=CARTESIAN_POINT('',(0.132827723078153,1.24495308249335,0.567499999999999)); #181536=CARTESIAN_POINT('Origin',(0.0629915960782058,1.27704466623033,0.577499999999999)); #181537=CARTESIAN_POINT('',(0.0629915960782058,1.27704466623033,0.577499999999999)); #181538=CARTESIAN_POINT('',(0.0629915960782058,1.2936224098838,0.577499999999999)); #181539=CARTESIAN_POINT('',(0.0629915960782058,0.652541163115167,0.577499999999999)); #181540=CARTESIAN_POINT('',(0.0629915960782058,1.27704466623033,0.567499999999999)); #181541=CARTESIAN_POINT('',(0.0629915960782058,1.27704466623033,0.577499999999999)); #181542=CARTESIAN_POINT('',(0.0629915960782058,1.2936224098838,0.567499999999999)); #181543=CARTESIAN_POINT('',(0.0629915960782058,1.27704466623033,0.567499999999999)); #181544=CARTESIAN_POINT('',(0.0629915960782058,1.2936224098838,0.577499999999999)); #181545=CARTESIAN_POINT('Origin',(0.118536564756199,1.27704466623033,0.577499999999999)); #181546=CARTESIAN_POINT('',(0.118536564756199,1.27704466623033,0.577499999999999)); #181547=CARTESIAN_POINT('',(0.26206215337187,1.27704466623033,0.5775)); #181548=CARTESIAN_POINT('',(0.118536564756199,1.27704466623033,0.567499999999999)); #181549=CARTESIAN_POINT('',(0.118536564756199,1.27704466623033,0.577499999999999)); #181550=CARTESIAN_POINT('',(0.118536564756199,1.27704466623033,0.567499999999999)); #181551=CARTESIAN_POINT('Origin',(0.118536564756199,1.25789451407892,0.577499999999999)); #181552=CARTESIAN_POINT('',(0.118536564756199,1.25789451407892,0.577499999999999)); #181553=CARTESIAN_POINT('',(0.118536564756199,0.642966087039458,0.577499999999999)); #181554=CARTESIAN_POINT('',(0.118536564756199,1.25789451407892,0.567499999999999)); #181555=CARTESIAN_POINT('',(0.118536564756199,1.25789451407892,0.577499999999999)); #181556=CARTESIAN_POINT('',(0.118536564756199,1.25789451407892,0.567499999999999)); #181557=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,1.24865289836405, 0.577499999999999)); #181558=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,1.24865289836405, 0.567499999999999)); #181559=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,1.25151113002844, 0.577499999999999)); #181560=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,1.25151113002844, 0.567499999999999)); #181561=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,1.25789451407892, 0.577499999999999)); #181562=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,1.25789451407892, 0.567499999999999)); #181563=CARTESIAN_POINT('',(0.117964918423321,1.24865289836405,0.577499999999999)); #181564=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,1.24865289836405, 0.577499999999999)); #181565=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,1.25151113002844, 0.577499999999999)); #181566=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,1.25789451407892, 0.577499999999999)); #181567=CARTESIAN_POINT('',(0.117964918423321,1.24865289836405,0.567499999999999)); #181568=CARTESIAN_POINT('',(0.117964918423321,1.24865289836405,0.577499999999999)); #181569=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,1.24865289836405, 0.567499999999999)); #181570=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,1.25151113002844, 0.567499999999999)); #181571=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,1.25789451407892, 0.567499999999999)); #181572=CARTESIAN_POINT('Ctrl Pts',(0.0890015042241616,1.22083277683065, 0.577499999999999)); #181573=CARTESIAN_POINT('Ctrl Pts',(0.0890015042241617,1.22083277683065, 0.567499999999999)); #181574=CARTESIAN_POINT('Ctrl Pts',(0.100243882104098,1.22807363038044, 0.577499999999999)); #181575=CARTESIAN_POINT('Ctrl Pts',(0.100243882104098,1.22807363038044, 0.567499999999999)); #181576=CARTESIAN_POINT('Ctrl Pts',(0.112153180705727,1.23741052048412, 0.577499999999999)); #181577=CARTESIAN_POINT('Ctrl Pts',(0.112153180705727,1.23741052048412, 0.567499999999999)); #181578=CARTESIAN_POINT('Ctrl Pts',(0.117012174535191,1.24484192281153, 0.577499999999999)); #181579=CARTESIAN_POINT('Ctrl Pts',(0.117012174535191,1.24484192281153, 0.567499999999999)); #181580=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,1.24865289836405, 0.577499999999999)); #181581=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,1.24865289836405, 0.567499999999999)); #181582=CARTESIAN_POINT('',(0.0890015042241616,1.22083277683065,0.577499999999999)); #181583=CARTESIAN_POINT('Ctrl Pts',(0.0890015042241616,1.22083277683065, 0.577499999999999)); #181584=CARTESIAN_POINT('Ctrl Pts',(0.100243882104098,1.22807363038044, 0.577499999999999)); #181585=CARTESIAN_POINT('Ctrl Pts',(0.112153180705727,1.23741052048412, 0.577499999999999)); #181586=CARTESIAN_POINT('Ctrl Pts',(0.117012174535191,1.24484192281153, 0.577499999999999)); #181587=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,1.24865289836405, 0.577499999999999)); #181588=CARTESIAN_POINT('',(0.0890015042241617,1.22083277683065,0.567499999999999)); #181589=CARTESIAN_POINT('',(0.0890015042241616,1.22083277683065,0.577499999999999)); #181590=CARTESIAN_POINT('Ctrl Pts',(0.0890015042241617,1.22083277683065, 0.567499999999999)); #181591=CARTESIAN_POINT('Ctrl Pts',(0.100243882104098,1.22807363038044, 0.567499999999999)); #181592=CARTESIAN_POINT('Ctrl Pts',(0.112153180705727,1.23741052048412, 0.567499999999999)); #181593=CARTESIAN_POINT('Ctrl Pts',(0.117012174535191,1.24484192281153, 0.567499999999999)); #181594=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,1.24865289836405, 0.567499999999999)); #181595=CARTESIAN_POINT('Origin',(0.0629915960782058,1.20425503317718,0.577499999999999)); #181596=CARTESIAN_POINT('',(0.0629915960782058,1.20425503317718,0.577499999999999)); #181597=CARTESIAN_POINT('',(-0.0817502077583726,1.11200201534728,0.577499999999999)); #181598=CARTESIAN_POINT('',(0.0629915960782058,1.20425503317718,0.567499999999999)); #181599=CARTESIAN_POINT('',(0.0629915960782058,1.20425503317718,0.577499999999999)); #181600=CARTESIAN_POINT('',(0.0629915960782058,1.20425503317718,0.567499999999999)); #181601=CARTESIAN_POINT('Origin',(0.0629915960782058,1.18358049080476,0.577499999999999)); #181602=CARTESIAN_POINT('',(0.0629915960782058,1.18358049080476,0.577499999999999)); #181603=CARTESIAN_POINT('',(0.0629915960782058,0.605809075402378,0.577499999999999)); #181604=CARTESIAN_POINT('',(0.0629915960782058,1.18358049080476,0.567499999999999)); #181605=CARTESIAN_POINT('',(0.0629915960782058,1.18358049080476,0.577499999999999)); #181606=CARTESIAN_POINT('',(0.0629915960782058,1.18358049080476,0.567499999999999)); #181607=CARTESIAN_POINT('Origin',(0.0970045528844555,1.20520777706531,0.577499999999999)); #181608=CARTESIAN_POINT('',(0.0970045528844555,1.20520777706531,0.577499999999999)); #181609=CARTESIAN_POINT('',(-0.059629419583827,1.10561138560789,0.577499999999999)); #181610=CARTESIAN_POINT('',(0.0970045528844556,1.20520777706531,0.567499999999999)); #181611=CARTESIAN_POINT('',(0.0970045528844555,1.20520777706531,0.577499999999999)); #181612=CARTESIAN_POINT('',(0.0970045528844556,1.20520777706531,0.567499999999999)); #181613=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,1.21892728905439, 0.577499999999999)); #181614=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,1.21892728905439, 0.567499999999999)); #181615=CARTESIAN_POINT('Ctrl Pts',(0.107008363709823,1.2116864355046,0.577499999999999)); #181616=CARTESIAN_POINT('Ctrl Pts',(0.107008363709823,1.2116864355046,0.567499999999999)); #181617=CARTESIAN_POINT('Ctrl Pts',(0.0970045528844555,1.20520777706531, 0.577499999999999)); #181618=CARTESIAN_POINT('Ctrl Pts',(0.0970045528844556,1.20520777706531, 0.567499999999999)); #181619=CARTESIAN_POINT('',(0.113677570926735,1.21892728905439,0.577499999999999)); #181620=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,1.21892728905439, 0.577499999999999)); #181621=CARTESIAN_POINT('Ctrl Pts',(0.107008363709823,1.2116864355046,0.577499999999999)); #181622=CARTESIAN_POINT('Ctrl Pts',(0.0970045528844555,1.20520777706531, 0.577499999999999)); #181623=CARTESIAN_POINT('',(0.113677570926735,1.21892728905439,0.567499999999999)); #181624=CARTESIAN_POINT('',(0.113677570926735,1.21892728905439,0.577499999999999)); #181625=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,1.21892728905439, 0.567499999999999)); #181626=CARTESIAN_POINT('Ctrl Pts',(0.107008363709823,1.2116864355046,0.567499999999999)); #181627=CARTESIAN_POINT('Ctrl Pts',(0.0970045528844556,1.20520777706531, 0.567499999999999)); #181628=CARTESIAN_POINT('Ctrl Pts',(0.119870406199582,1.22883582549094, 0.577499999999999)); #181629=CARTESIAN_POINT('Ctrl Pts',(0.119870406199582,1.22883582549094, 0.567499999999999)); #181630=CARTESIAN_POINT('Ctrl Pts',(0.116726351368752,1.22235716705166, 0.577499999999999)); #181631=CARTESIAN_POINT('Ctrl Pts',(0.116726351368752,1.22235716705166, 0.567499999999999)); #181632=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,1.21892728905439, 0.577499999999999)); #181633=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,1.21892728905439, 0.567499999999999)); #181634=CARTESIAN_POINT('',(0.119870406199582,1.22883582549094,0.577499999999999)); #181635=CARTESIAN_POINT('Ctrl Pts',(0.119870406199582,1.22883582549094, 0.577499999999999)); #181636=CARTESIAN_POINT('Ctrl Pts',(0.116726351368752,1.22235716705166, 0.577499999999999)); #181637=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,1.21892728905439, 0.577499999999999)); #181638=CARTESIAN_POINT('',(0.119870406199582,1.22883582549094,0.567499999999999)); #181639=CARTESIAN_POINT('',(0.119870406199582,1.22883582549094,0.577499999999999)); #181640=CARTESIAN_POINT('Ctrl Pts',(0.119870406199582,1.22883582549094, 0.567499999999999)); #181641=CARTESIAN_POINT('Ctrl Pts',(0.116726351368752,1.22235716705166, 0.567499999999999)); #181642=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,1.21892728905439, 0.567499999999999)); #181643=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.19367957601894, 0.577499999999999)); #181644=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.19367957601894, 0.567499999999999)); #181645=CARTESIAN_POINT('Ctrl Pts',(0.140544948572008,1.19367957601894, 0.577499999999999)); #181646=CARTESIAN_POINT('Ctrl Pts',(0.140544948572008,1.19367957601894, 0.567499999999999)); #181647=CARTESIAN_POINT('Ctrl Pts',(0.12234754030872,1.2109242403941,0.577499999999999)); #181648=CARTESIAN_POINT('Ctrl Pts',(0.12234754030872,1.2109242403941,0.567499999999999)); #181649=CARTESIAN_POINT('Ctrl Pts',(0.119870406199582,1.22883582549094, 0.577499999999999)); #181650=CARTESIAN_POINT('Ctrl Pts',(0.119870406199582,1.22883582549094, 0.567499999999999)); #181651=CARTESIAN_POINT('',(0.153883363005831,1.19367957601894,0.577499999999999)); #181652=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.19367957601894, 0.577499999999999)); #181653=CARTESIAN_POINT('Ctrl Pts',(0.140544948572008,1.19367957601894, 0.577499999999999)); #181654=CARTESIAN_POINT('Ctrl Pts',(0.12234754030872,1.2109242403941,0.577499999999999)); #181655=CARTESIAN_POINT('Ctrl Pts',(0.119870406199582,1.22883582549094, 0.577499999999999)); #181656=CARTESIAN_POINT('',(0.153883363005831,1.19367957601894,0.567499999999999)); #181657=CARTESIAN_POINT('',(0.153883363005831,1.19367957601894,0.577499999999999)); #181658=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.19367957601894, 0.567499999999999)); #181659=CARTESIAN_POINT('Ctrl Pts',(0.140544948572008,1.19367957601894, 0.567499999999999)); #181660=CARTESIAN_POINT('Ctrl Pts',(0.12234754030872,1.2109242403941,0.567499999999999)); #181661=CARTESIAN_POINT('Ctrl Pts',(0.119870406199582,1.22883582549094, 0.567499999999999)); #181662=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.23817271559462, 0.577499999999999)); #181663=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.23817271559462, 0.567499999999999)); #181664=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.22149969755234, 0.577499999999999)); #181665=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.22149969755234, 0.567499999999999)); #181666=CARTESIAN_POINT('Ctrl Pts',(0.181227112595169,1.20406448439956, 0.577499999999999)); #181667=CARTESIAN_POINT('Ctrl Pts',(0.181227112595169,1.20406448439956, 0.567499999999999)); #181668=CARTESIAN_POINT('Ctrl Pts',(0.164172996997638,1.19367957601894, 0.577499999999999)); #181669=CARTESIAN_POINT('Ctrl Pts',(0.164172996997638,1.19367957601894, 0.567499999999999)); #181670=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.19367957601894, 0.577499999999999)); #181671=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.19367957601894, 0.567499999999999)); #181672=CARTESIAN_POINT('',(0.187991594200894,1.23817271559462,0.577499999999999)); #181673=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.23817271559462, 0.577499999999999)); #181674=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.22149969755234, 0.577499999999999)); #181675=CARTESIAN_POINT('Ctrl Pts',(0.181227112595169,1.20406448439956, 0.577499999999999)); #181676=CARTESIAN_POINT('Ctrl Pts',(0.164172996997638,1.19367957601894, 0.577499999999999)); #181677=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.19367957601894, 0.577499999999999)); #181678=CARTESIAN_POINT('',(0.187991594200894,1.23817271559462,0.567499999999999)); #181679=CARTESIAN_POINT('',(0.187991594200894,1.23817271559462,0.577499999999999)); #181680=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.23817271559462, 0.567499999999999)); #181681=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.22149969755234, 0.567499999999999)); #181682=CARTESIAN_POINT('Ctrl Pts',(0.181227112595169,1.20406448439956, 0.567499999999999)); #181683=CARTESIAN_POINT('Ctrl Pts',(0.164172996997638,1.19367957601894, 0.567499999999999)); #181684=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,1.19367957601894, 0.567499999999999)); #181685=CARTESIAN_POINT('Origin',(0.187991594200894,1.2936224098838,0.577499999999999)); #181686=CARTESIAN_POINT('',(0.187991594200894,1.2936224098838,0.577499999999999)); #181687=CARTESIAN_POINT('',(0.187991594200894,0.6608300349419,0.577499999999999)); #181688=CARTESIAN_POINT('',(0.187991594200894,1.2936224098838,0.567499999999999)); #181689=CARTESIAN_POINT('',(0.187991594200894,1.2936224098838,0.577499999999999)); #181690=CARTESIAN_POINT('',(0.187991594200894,1.2936224098838,0.567499999999999)); #181691=CARTESIAN_POINT('Origin',(0.0629915960782058,1.2936224098838,0.577499999999999)); #181692=CARTESIAN_POINT('',(0.234289669032874,1.2936224098838,0.577499999999999)); #181693=CARTESIAN_POINT('',(0.0629915960782058,1.2936224098838,0.567499999999999)); #181694=CARTESIAN_POINT('Origin',(0.120499323479612,1.24839884578362,0.567499999999999)); #181695=CARTESIAN_POINT('Ctrl Pts',(0.136924521797113,1.46826036457807, 0.577499999999999)); #181696=CARTESIAN_POINT('Ctrl Pts',(0.136924521797113,1.46826036457807, 0.567499999999999)); #181697=CARTESIAN_POINT('Ctrl Pts',(0.135495405964918,1.47302408401873, 0.577499999999999)); #181698=CARTESIAN_POINT('Ctrl Pts',(0.135495405964918,1.47302408401873, 0.567499999999999)); #181699=CARTESIAN_POINT('Ctrl Pts',(0.135495405964918,1.48407591312104, 0.577499999999999)); #181700=CARTESIAN_POINT('Ctrl Pts',(0.135495405964918,1.48407591312104, 0.567499999999999)); #181701=CARTESIAN_POINT('',(0.135495405964918,1.48407591312104,0.577499999999999)); #181702=CARTESIAN_POINT('',(0.136924521797113,1.46826036457807,0.577499999999999)); #181703=CARTESIAN_POINT('Ctrl Pts',(0.135495405964918,1.48407591312104, 0.577499999999999)); #181704=CARTESIAN_POINT('Ctrl Pts',(0.135495405964918,1.47302408401873, 0.577499999999999)); #181705=CARTESIAN_POINT('Ctrl Pts',(0.136924521797113,1.46826036457807, 0.577499999999999)); #181706=CARTESIAN_POINT('',(0.135495405964918,1.48407591312104,0.567499999999999)); #181707=CARTESIAN_POINT('',(0.135495405964918,1.48407591312104,0.577499999999999)); #181708=CARTESIAN_POINT('',(0.136924521797113,1.46826036457807,0.567499999999999)); #181709=CARTESIAN_POINT('Ctrl Pts',(0.135495405964918,1.48407591312104, 0.567499999999999)); #181710=CARTESIAN_POINT('Ctrl Pts',(0.135495405964918,1.47302408401873, 0.567499999999999)); #181711=CARTESIAN_POINT('Ctrl Pts',(0.136924521797113,1.46826036457807, 0.567499999999999)); #181712=CARTESIAN_POINT('',(0.136924521797113,1.46826036457807,0.577499999999999)); #181713=CARTESIAN_POINT('Ctrl Pts',(0.154073911783457,1.45558887086594, 0.577499999999999)); #181714=CARTESIAN_POINT('Ctrl Pts',(0.154073911783457,1.45558887086594, 0.567499999999999)); #181715=CARTESIAN_POINT('Ctrl Pts',(0.147499978955359,1.45558887086594, 0.577499999999999)); #181716=CARTESIAN_POINT('Ctrl Pts',(0.147499978955359,1.45558887086594, 0.567499999999999)); #181717=CARTESIAN_POINT('Ctrl Pts',(0.138830009573373,1.46197225491642, 0.577499999999999)); #181718=CARTESIAN_POINT('Ctrl Pts',(0.138830009573373,1.46197225491642, 0.567499999999999)); #181719=CARTESIAN_POINT('Ctrl Pts',(0.136924521797113,1.46826036457807, 0.577499999999999)); #181720=CARTESIAN_POINT('Ctrl Pts',(0.136924521797113,1.46826036457807, 0.567499999999999)); #181721=CARTESIAN_POINT('',(0.154073911783457,1.45558887086594,0.577499999999999)); #181722=CARTESIAN_POINT('Ctrl Pts',(0.136924521797113,1.46826036457807, 0.577499999999999)); #181723=CARTESIAN_POINT('Ctrl Pts',(0.138830009573373,1.46197225491642, 0.577499999999999)); #181724=CARTESIAN_POINT('Ctrl Pts',(0.147499978955359,1.45558887086594, 0.577499999999999)); #181725=CARTESIAN_POINT('Ctrl Pts',(0.154073911783457,1.45558887086594, 0.577499999999999)); #181726=CARTESIAN_POINT('',(0.154073911783457,1.45558887086594,0.567499999999999)); #181727=CARTESIAN_POINT('Ctrl Pts',(0.136924521797113,1.46826036457807, 0.567499999999999)); #181728=CARTESIAN_POINT('Ctrl Pts',(0.138830009573373,1.46197225491642, 0.567499999999999)); #181729=CARTESIAN_POINT('Ctrl Pts',(0.147499978955359,1.45558887086594, 0.567499999999999)); #181730=CARTESIAN_POINT('Ctrl Pts',(0.154073911783457,1.45558887086594, 0.567499999999999)); #181731=CARTESIAN_POINT('',(0.154073911783457,1.45558887086594,0.577499999999999)); #181732=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,1.48607667528611, 0.577499999999999)); #181733=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,1.48607667528611, 0.567499999999999)); #181734=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,1.47264298646347, 0.577499999999999)); #181735=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,1.47264298646347, 0.567499999999999)); #181736=CARTESIAN_POINT('Ctrl Pts',(0.169698911548793,1.46159115736116, 0.577499999999999)); #181737=CARTESIAN_POINT('Ctrl Pts',(0.169698911548793,1.46159115736116, 0.567499999999999)); #181738=CARTESIAN_POINT('Ctrl Pts',(0.160266747056304,1.45558887086594, 0.577499999999999)); #181739=CARTESIAN_POINT('Ctrl Pts',(0.160266747056304,1.45558887086594, 0.567499999999999)); #181740=CARTESIAN_POINT('Ctrl Pts',(0.154073911783457,1.45558887086594, 0.577499999999999)); #181741=CARTESIAN_POINT('Ctrl Pts',(0.154073911783457,1.45558887086594, 0.567499999999999)); #181742=CARTESIAN_POINT('',(0.173224063934875,1.48607667528611,0.577499999999999)); #181743=CARTESIAN_POINT('Ctrl Pts',(0.154073911783457,1.45558887086594, 0.577499999999999)); #181744=CARTESIAN_POINT('Ctrl Pts',(0.160266747056304,1.45558887086594, 0.577499999999999)); #181745=CARTESIAN_POINT('Ctrl Pts',(0.169698911548793,1.46159115736116, 0.577499999999999)); #181746=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,1.47264298646347, 0.577499999999999)); #181747=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,1.48607667528611, 0.577499999999999)); #181748=CARTESIAN_POINT('',(0.173224063934875,1.48607667528611,0.567499999999999)); #181749=CARTESIAN_POINT('Ctrl Pts',(0.154073911783457,1.45558887086594, 0.567499999999999)); #181750=CARTESIAN_POINT('Ctrl Pts',(0.160266747056304,1.45558887086594, 0.567499999999999)); #181751=CARTESIAN_POINT('Ctrl Pts',(0.169698911548793,1.46159115736116, 0.567499999999999)); #181752=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,1.47264298646347, 0.567499999999999)); #181753=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,1.48607667528611, 0.567499999999999)); #181754=CARTESIAN_POINT('',(0.173224063934875,1.48607667528611,0.577499999999999)); #181755=CARTESIAN_POINT('Origin',(0.173224063934875,1.51103856515512,0.577499999999999)); #181756=CARTESIAN_POINT('',(0.173224063934875,1.51103856515512,0.577499999999999)); #181757=CARTESIAN_POINT('',(0.173224063934875,0.769538112577561,0.577499999999999)); #181758=CARTESIAN_POINT('',(0.173224063934875,1.51103856515512,0.567499999999999)); #181759=CARTESIAN_POINT('',(0.173224063934875,1.50032813296553,0.567499999999999)); #181760=CARTESIAN_POINT('',(0.173224063934875,1.51103856515512,0.577499999999999)); #181761=CARTESIAN_POINT('Origin',(0.135495405964918,1.51103856515512,0.577499999999999)); #181762=CARTESIAN_POINT('',(0.135495405964918,1.51103856515512,0.577499999999999)); #181763=CARTESIAN_POINT('',(0.270541573976229,1.51103856515512,0.5775)); #181764=CARTESIAN_POINT('',(0.135495405964918,1.51103856515512,0.567499999999999)); #181765=CARTESIAN_POINT('',(0.130246872801665,1.51103856515512,0.567499999999999)); #181766=CARTESIAN_POINT('',(0.135495405964918,1.51103856515512,0.577499999999999)); #181767=CARTESIAN_POINT('Origin',(0.135495405964918,1.48407591312104,0.577499999999999)); #181768=CARTESIAN_POINT('',(0.135495405964918,0.756056786560518,0.577499999999999)); #181769=CARTESIAN_POINT('',(0.135495405964918,1.48684680694849,0.567499999999999)); #181770=CARTESIAN_POINT('Ctrl Pts',(0.0783307726771026,1.46864146213333, 0.577499999999999)); #181771=CARTESIAN_POINT('Ctrl Pts',(0.0783307726771027,1.46864146213333, 0.567499999999999)); #181772=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442247,1.47188079135297, 0.577499999999999)); #181773=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442247,1.47188079135297, 0.567499999999999)); #181774=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442247,1.47988384001326, 0.577499999999999)); #181775=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442247,1.47988384001326, 0.567499999999999)); #181776=CARTESIAN_POINT('',(0.0777591263442247,1.47988384001326,0.577499999999999)); #181777=CARTESIAN_POINT('',(0.0783307726771026,1.46864146213333,0.577499999999999)); #181778=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442247,1.47988384001326, 0.577499999999999)); #181779=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442247,1.47188079135297, 0.577499999999999)); #181780=CARTESIAN_POINT('Ctrl Pts',(0.0783307726771026,1.46864146213333, 0.577499999999999)); #181781=CARTESIAN_POINT('',(0.0777591263442247,1.47988384001326,0.567499999999999)); #181782=CARTESIAN_POINT('',(0.0777591263442247,1.47988384001326,0.577499999999999)); #181783=CARTESIAN_POINT('',(0.0783307726771027,1.46864146213333,0.567499999999999)); #181784=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442247,1.47988384001326, 0.567499999999999)); #181785=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442247,1.47188079135297, 0.567499999999999)); #181786=CARTESIAN_POINT('Ctrl Pts',(0.0783307726771027,1.46864146213333, 0.567499999999999)); #181787=CARTESIAN_POINT('',(0.0783307726771026,1.46864146213333,0.577499999999999)); #181788=CARTESIAN_POINT('Ctrl Pts',(0.0992911382159682,1.45025350509241, 0.577499999999999)); #181789=CARTESIAN_POINT('Ctrl Pts',(0.0992911382159682,1.45025350509241, 0.567499999999999)); #181790=CARTESIAN_POINT('Ctrl Pts',(0.0932888517207478,1.45025350509241, 0.577499999999999)); #181791=CARTESIAN_POINT('Ctrl Pts',(0.0932888517207478,1.45025350509241, 0.567499999999999)); #181792=CARTESIAN_POINT('Ctrl Pts',(0.0841425103946972,1.45520777331069, 0.577499999999999)); #181793=CARTESIAN_POINT('Ctrl Pts',(0.0841425103946972,1.45520777331069, 0.567499999999999)); #181794=CARTESIAN_POINT('Ctrl Pts',(0.079378790954046,1.46292499880455, 0.577499999999999)); #181795=CARTESIAN_POINT('Ctrl Pts',(0.079378790954046,1.46292499880455, 0.567499999999999)); #181796=CARTESIAN_POINT('Ctrl Pts',(0.0783307726771026,1.46864146213333, 0.577499999999999)); #181797=CARTESIAN_POINT('Ctrl Pts',(0.0783307726771027,1.46864146213333, 0.567499999999999)); #181798=CARTESIAN_POINT('',(0.0992911382159682,1.45025350509241,0.577499999999999)); #181799=CARTESIAN_POINT('Ctrl Pts',(0.0783307726771026,1.46864146213333, 0.577499999999999)); #181800=CARTESIAN_POINT('Ctrl Pts',(0.079378790954046,1.46292499880455, 0.577499999999999)); #181801=CARTESIAN_POINT('Ctrl Pts',(0.0841425103946972,1.45520777331069, 0.577499999999999)); #181802=CARTESIAN_POINT('Ctrl Pts',(0.0932888517207478,1.45025350509241, 0.577499999999999)); #181803=CARTESIAN_POINT('Ctrl Pts',(0.0992911382159682,1.45025350509241, 0.577499999999999)); #181804=CARTESIAN_POINT('',(0.0992911382159682,1.45025350509241,0.567499999999999)); #181805=CARTESIAN_POINT('Ctrl Pts',(0.0783307726771027,1.46864146213333, 0.567499999999999)); #181806=CARTESIAN_POINT('Ctrl Pts',(0.079378790954046,1.46292499880455, 0.567499999999999)); #181807=CARTESIAN_POINT('Ctrl Pts',(0.0841425103946972,1.45520777331069, 0.567499999999999)); #181808=CARTESIAN_POINT('Ctrl Pts',(0.0932888517207478,1.45025350509241, 0.567499999999999)); #181809=CARTESIAN_POINT('Ctrl Pts',(0.0992911382159682,1.45025350509241, 0.567499999999999)); #181810=CARTESIAN_POINT('',(0.0992911382159682,1.45025350509241,0.577499999999999)); #181811=CARTESIAN_POINT('Ctrl Pts',(0.120727875698899,1.48217042534478, 0.577499999999999)); #181812=CARTESIAN_POINT('Ctrl Pts',(0.120727875698899,1.48217042534478, 0.567499999999999)); #181813=CARTESIAN_POINT('Ctrl Pts',(0.120727875698899,1.47026112674315, 0.577499999999999)); #181814=CARTESIAN_POINT('Ctrl Pts',(0.120727875698899,1.47026112674315, 0.567499999999999)); #181815=CARTESIAN_POINT('Ctrl Pts',(0.116535802591126,1.45758963303102, 0.577499999999999)); #181816=CARTESIAN_POINT('Ctrl Pts',(0.116535802591126,1.45758963303102, 0.567499999999999)); #181817=CARTESIAN_POINT('Ctrl Pts',(0.106246168599319,1.45025350509241, 0.577499999999999)); #181818=CARTESIAN_POINT('Ctrl Pts',(0.106246168599319,1.45025350509241, 0.567499999999999)); #181819=CARTESIAN_POINT('Ctrl Pts',(0.0992911382159682,1.45025350509241, 0.577499999999999)); #181820=CARTESIAN_POINT('Ctrl Pts',(0.0992911382159682,1.45025350509241, 0.567499999999999)); #181821=CARTESIAN_POINT('',(0.120727875698899,1.48217042534478,0.577499999999999)); #181822=CARTESIAN_POINT('Ctrl Pts',(0.0992911382159682,1.45025350509241, 0.577499999999999)); #181823=CARTESIAN_POINT('Ctrl Pts',(0.106246168599319,1.45025350509241, 0.577499999999999)); #181824=CARTESIAN_POINT('Ctrl Pts',(0.116535802591126,1.45758963303102, 0.577499999999999)); #181825=CARTESIAN_POINT('Ctrl Pts',(0.120727875698899,1.47026112674315, 0.577499999999999)); #181826=CARTESIAN_POINT('Ctrl Pts',(0.120727875698899,1.48217042534478, 0.577499999999999)); #181827=CARTESIAN_POINT('',(0.120727875698899,1.48217042534478,0.567499999999999)); #181828=CARTESIAN_POINT('Ctrl Pts',(0.0992911382159682,1.45025350509241, 0.567499999999999)); #181829=CARTESIAN_POINT('Ctrl Pts',(0.106246168599319,1.45025350509241, 0.567499999999999)); #181830=CARTESIAN_POINT('Ctrl Pts',(0.116535802591126,1.45758963303102, 0.567499999999999)); #181831=CARTESIAN_POINT('Ctrl Pts',(0.120727875698899,1.47026112674315, 0.567499999999999)); #181832=CARTESIAN_POINT('Ctrl Pts',(0.120727875698899,1.48217042534478, 0.567499999999999)); #181833=CARTESIAN_POINT('',(0.120727875698899,1.48217042534478,0.577499999999999)); #181834=CARTESIAN_POINT('Origin',(0.120727875698899,1.51103856515512,0.577499999999999)); #181835=CARTESIAN_POINT('',(0.120727875698899,1.51103856515512,0.577499999999999)); #181836=CARTESIAN_POINT('',(0.120727875698899,0.769538112577561,0.577499999999999)); #181837=CARTESIAN_POINT('',(0.120727875698899,1.51103856515512,0.567499999999999)); #181838=CARTESIAN_POINT('',(0.120727875698899,1.50032813296553,0.567499999999999)); #181839=CARTESIAN_POINT('',(0.120727875698899,1.51103856515512,0.577499999999999)); #181840=CARTESIAN_POINT('Origin',(0.0777591263442247,1.51103856515512,0.577499999999999)); #181841=CARTESIAN_POINT('',(0.0777591263442247,1.51103856515512,0.577499999999999)); #181842=CARTESIAN_POINT('',(0.241673434165883,1.51103856515512,0.5775)); #181843=CARTESIAN_POINT('',(0.0777591263442247,1.51103856515512,0.567499999999999)); #181844=CARTESIAN_POINT('',(0.101378732991318,1.51103856515512,0.567499999999999)); #181845=CARTESIAN_POINT('',(0.0777591263442247,1.51103856515512,0.577499999999999)); #181846=CARTESIAN_POINT('Origin',(0.0777591263442247,1.47988384001326,0.577499999999999)); #181847=CARTESIAN_POINT('',(0.0777591263442247,0.753960750006632,0.577499999999999)); #181848=CARTESIAN_POINT('',(0.0777591263442247,1.4847507703946,0.567499999999999)); #181849=CARTESIAN_POINT('Origin',(0.0629915960782058,1.47997911440208,0.577499999999999)); #181850=CARTESIAN_POINT('',(0.0629915960782058,1.47997911440208,0.577499999999999)); #181851=CARTESIAN_POINT('',(0.0629915960782058,1.52761630880859,0.577499999999999)); #181852=CARTESIAN_POINT('',(0.0629915960782058,0.754008387201038,0.577499999999999)); #181853=CARTESIAN_POINT('',(0.0629915960782058,1.47997911440208,0.567499999999999)); #181854=CARTESIAN_POINT('',(0.0629915960782058,1.47997911440208,0.577499999999999)); #181855=CARTESIAN_POINT('',(0.0629915960782058,1.52761630880859,0.567499999999999)); #181856=CARTESIAN_POINT('',(0.0629915960782058,1.47997911440208,0.567499999999999)); #181857=CARTESIAN_POINT('',(0.0629915960782058,1.52761630880859,0.577499999999999)); #181858=CARTESIAN_POINT('Ctrl Pts',(0.0991958638271552,1.43319938949488, 0.577499999999999)); #181859=CARTESIAN_POINT('Ctrl Pts',(0.0991958638271553,1.43319938949488, 0.567499999999999)); #181860=CARTESIAN_POINT('Ctrl Pts',(0.0904306200563568,1.43319938949488, 0.577499999999999)); #181861=CARTESIAN_POINT('Ctrl Pts',(0.0904306200563568,1.43319938949488, 0.567499999999999)); #181862=CARTESIAN_POINT('Ctrl Pts',(0.0753772666238991,1.4406307918223, 0.577499999999999)); #181863=CARTESIAN_POINT('Ctrl Pts',(0.0753772666238991,1.4406307918223, 0.567499999999999)); #181864=CARTESIAN_POINT('Ctrl Pts',(0.0671836691859789,1.45149207214698, 0.577499999999999)); #181865=CARTESIAN_POINT('Ctrl Pts',(0.067183669185979,1.45149207214698, 0.567499999999999)); #181866=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782058,1.46797454141164, 0.577499999999999)); #181867=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782058,1.46797454141164, 0.567499999999999)); #181868=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782058,1.47997911440208, 0.577499999999999)); #181869=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782058,1.47997911440208, 0.567499999999999)); #181870=CARTESIAN_POINT('',(0.0991958638271552,1.43319938949488,0.577499999999999)); #181871=CARTESIAN_POINT('Ctrl Pts',(0.0991958638271552,1.43319938949488, 0.577499999999999)); #181872=CARTESIAN_POINT('Ctrl Pts',(0.0904306200563568,1.43319938949488, 0.577499999999999)); #181873=CARTESIAN_POINT('Ctrl Pts',(0.0753772666238991,1.4406307918223, 0.577499999999999)); #181874=CARTESIAN_POINT('Ctrl Pts',(0.0671836691859789,1.45149207214698, 0.577499999999999)); #181875=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782058,1.46797454141164, 0.577499999999999)); #181876=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782058,1.47997911440208, 0.577499999999999)); #181877=CARTESIAN_POINT('',(0.0991958638271553,1.43319938949488,0.567499999999999)); #181878=CARTESIAN_POINT('',(0.0991958638271552,1.43319938949488,0.577499999999999)); #181879=CARTESIAN_POINT('Ctrl Pts',(0.0991958638271553,1.43319938949488, 0.567499999999999)); #181880=CARTESIAN_POINT('Ctrl Pts',(0.0904306200563568,1.43319938949488, 0.567499999999999)); #181881=CARTESIAN_POINT('Ctrl Pts',(0.0753772666238991,1.4406307918223, 0.567499999999999)); #181882=CARTESIAN_POINT('Ctrl Pts',(0.067183669185979,1.45149207214698, 0.567499999999999)); #181883=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782058,1.46797454141164, 0.567499999999999)); #181884=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782058,1.47997911440208, 0.567499999999999)); #181885=CARTESIAN_POINT('Ctrl Pts',(0.129493119469697,1.45682743792051, 0.577499999999999)); #181886=CARTESIAN_POINT('Ctrl Pts',(0.129493119469697,1.45682743792051, 0.567499999999999)); #181887=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,1.44539451126295, 0.577499999999999)); #181888=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,1.44539451126295, 0.567499999999999)); #181889=CARTESIAN_POINT('Ctrl Pts',(0.110152418540653,1.43319938949488, 0.577499999999999)); #181890=CARTESIAN_POINT('Ctrl Pts',(0.110152418540653,1.43319938949488, 0.567499999999999)); #181891=CARTESIAN_POINT('Ctrl Pts',(0.0991958638271552,1.43319938949488, 0.577499999999999)); #181892=CARTESIAN_POINT('Ctrl Pts',(0.0991958638271553,1.43319938949488, 0.567499999999999)); #181893=CARTESIAN_POINT('',(0.129493119469697,1.45682743792051,0.577499999999999)); #181894=CARTESIAN_POINT('Ctrl Pts',(0.129493119469697,1.45682743792051, 0.577499999999999)); #181895=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,1.44539451126295, 0.577499999999999)); #181896=CARTESIAN_POINT('Ctrl Pts',(0.110152418540653,1.43319938949488, 0.577499999999999)); #181897=CARTESIAN_POINT('Ctrl Pts',(0.0991958638271552,1.43319938949488, 0.577499999999999)); #181898=CARTESIAN_POINT('',(0.129493119469697,1.45682743792051,0.567499999999999)); #181899=CARTESIAN_POINT('',(0.129493119469697,1.45682743792051,0.577499999999999)); #181900=CARTESIAN_POINT('Ctrl Pts',(0.129493119469697,1.45682743792051, 0.567499999999999)); #181901=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,1.44539451126295, 0.567499999999999)); #181902=CARTESIAN_POINT('Ctrl Pts',(0.110152418540653,1.43319938949488, 0.567499999999999)); #181903=CARTESIAN_POINT('Ctrl Pts',(0.0991958638271553,1.43319938949488, 0.567499999999999)); #181904=CARTESIAN_POINT('Ctrl Pts',(0.155979399559718,1.43929695037892, 0.577499999999999)); #181905=CARTESIAN_POINT('Ctrl Pts',(0.155979399559718,1.43929695037892, 0.567499999999999)); #181906=CARTESIAN_POINT('Ctrl Pts',(0.147976350899424,1.43929695037892, 0.577499999999999)); #181907=CARTESIAN_POINT('Ctrl Pts',(0.147976350899424,1.43929695037892, 0.567499999999999)); #181908=CARTESIAN_POINT('Ctrl Pts',(0.133875741355096,1.4479669197609,0.577499999999999)); #181909=CARTESIAN_POINT('Ctrl Pts',(0.133875741355096,1.4479669197609,0.567499999999999)); #181910=CARTESIAN_POINT('Ctrl Pts',(0.129493119469697,1.45682743792051, 0.577499999999999)); #181911=CARTESIAN_POINT('Ctrl Pts',(0.129493119469697,1.45682743792051, 0.567499999999999)); #181912=CARTESIAN_POINT('',(0.155979399559718,1.43929695037892,0.577499999999999)); #181913=CARTESIAN_POINT('Ctrl Pts',(0.155979399559718,1.43929695037892, 0.577499999999999)); #181914=CARTESIAN_POINT('Ctrl Pts',(0.147976350899424,1.43929695037892, 0.577499999999999)); #181915=CARTESIAN_POINT('Ctrl Pts',(0.133875741355096,1.4479669197609,0.577499999999999)); #181916=CARTESIAN_POINT('Ctrl Pts',(0.129493119469697,1.45682743792051, 0.577499999999999)); #181917=CARTESIAN_POINT('',(0.155979399559718,1.43929695037892,0.567499999999999)); #181918=CARTESIAN_POINT('',(0.155979399559718,1.43929695037892,0.577499999999999)); #181919=CARTESIAN_POINT('Ctrl Pts',(0.155979399559718,1.43929695037892, 0.567499999999999)); #181920=CARTESIAN_POINT('Ctrl Pts',(0.147976350899424,1.43929695037892, 0.567499999999999)); #181921=CARTESIAN_POINT('Ctrl Pts',(0.133875741355096,1.4479669197609,0.567499999999999)); #181922=CARTESIAN_POINT('Ctrl Pts',(0.129493119469697,1.45682743792051, 0.567499999999999)); #181923=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.48074130951258, 0.577499999999999)); #181924=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.48074130951258, 0.567499999999999)); #181925=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.46645015119063, 0.577499999999999)); #181926=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.46645015119063, 0.567499999999999)); #181927=CARTESIAN_POINT('Ctrl Pts',(0.180369643095852,1.44911021242666, 0.577499999999999)); #181928=CARTESIAN_POINT('Ctrl Pts',(0.180369643095852,1.44911021242666, 0.567499999999999)); #181929=CARTESIAN_POINT('Ctrl Pts',(0.164649368941703,1.43929695037892, 0.577499999999999)); #181930=CARTESIAN_POINT('Ctrl Pts',(0.164649368941703,1.43929695037892, 0.567499999999999)); #181931=CARTESIAN_POINT('Ctrl Pts',(0.155979399559718,1.43929695037892, 0.577499999999999)); #181932=CARTESIAN_POINT('Ctrl Pts',(0.155979399559718,1.43929695037892, 0.567499999999999)); #181933=CARTESIAN_POINT('',(0.187991594200894,1.48074130951258,0.577499999999999)); #181934=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.48074130951258, 0.577499999999999)); #181935=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.46645015119063, 0.577499999999999)); #181936=CARTESIAN_POINT('Ctrl Pts',(0.180369643095852,1.44911021242666, 0.577499999999999)); #181937=CARTESIAN_POINT('Ctrl Pts',(0.164649368941703,1.43929695037892, 0.577499999999999)); #181938=CARTESIAN_POINT('Ctrl Pts',(0.155979399559718,1.43929695037892, 0.577499999999999)); #181939=CARTESIAN_POINT('',(0.187991594200894,1.48074130951258,0.567499999999999)); #181940=CARTESIAN_POINT('',(0.187991594200894,1.48074130951258,0.577499999999999)); #181941=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.48074130951258, 0.567499999999999)); #181942=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,1.46645015119063, 0.567499999999999)); #181943=CARTESIAN_POINT('Ctrl Pts',(0.180369643095852,1.44911021242666, 0.567499999999999)); #181944=CARTESIAN_POINT('Ctrl Pts',(0.164649368941703,1.43929695037892, 0.567499999999999)); #181945=CARTESIAN_POINT('Ctrl Pts',(0.155979399559718,1.43929695037892, 0.567499999999999)); #181946=CARTESIAN_POINT('Origin',(0.187991594200894,1.52761630880859,0.577499999999999)); #181947=CARTESIAN_POINT('',(0.187991594200894,1.52761630880859,0.577499999999999)); #181948=CARTESIAN_POINT('',(0.187991594200894,0.777826984404294,0.577499999999999)); #181949=CARTESIAN_POINT('',(0.187991594200894,1.52761630880859,0.567499999999999)); #181950=CARTESIAN_POINT('',(0.187991594200894,1.52761630880859,0.577499999999999)); #181951=CARTESIAN_POINT('',(0.187991594200894,1.52761630880859,0.567499999999999)); #181952=CARTESIAN_POINT('Origin',(0.0629915960782058,1.52761630880859,0.577499999999999)); #181953=CARTESIAN_POINT('',(0.234289669032873,1.52761630880859,0.5775)); #181954=CARTESIAN_POINT('',(0.0629915960782058,1.52761630880859,0.567499999999999)); #181955=CARTESIAN_POINT('Origin',(0.124998339638412,1.48961770077594,0.567499999999999)); #181956=CARTESIAN_POINT('Origin',(0.0629915960782058,1.54971996701321,0.577499999999999)); #181957=CARTESIAN_POINT('',(0.0629915960782058,1.54971996701321,0.577499999999999)); #181958=CARTESIAN_POINT('',(0.0629915960782058,1.64308886804998,0.577499999999999)); #181959=CARTESIAN_POINT('',(0.0629915960782058,0.788878813506605,0.577499999999999)); #181960=CARTESIAN_POINT('',(0.0629915960782058,1.54971996701321,0.567499999999999)); #181961=CARTESIAN_POINT('',(0.0629915960782058,1.54971996701321,0.577499999999999)); #181962=CARTESIAN_POINT('',(0.0629915960782058,1.64308886804998,0.567499999999999)); #181963=CARTESIAN_POINT('',(0.0629915960782058,1.54971996701321,0.567499999999999)); #181964=CARTESIAN_POINT('',(0.0629915960782058,1.64308886804998,0.577499999999999)); #181965=CARTESIAN_POINT('Origin',(0.0777591263442247,1.54971996701321,0.577499999999999)); #181966=CARTESIAN_POINT('',(0.0777591263442247,1.54971996701321,0.577499999999999)); #181967=CARTESIAN_POINT('',(0.241673434165883,1.54971996701321,0.5775)); #181968=CARTESIAN_POINT('',(0.0777591263442247,1.54971996701321,0.567499999999999)); #181969=CARTESIAN_POINT('',(0.0777591263442247,1.54971996701321,0.577499999999999)); #181970=CARTESIAN_POINT('',(0.0777591263442247,1.54971996701321,0.567499999999999)); #181971=CARTESIAN_POINT('Origin',(0.0777591263442247,1.62651112439651,0.577499999999999)); #181972=CARTESIAN_POINT('',(0.0777591263442247,1.62651112439651,0.577499999999999)); #181973=CARTESIAN_POINT('',(0.0777591263442247,0.827274392198254,0.577499999999999)); #181974=CARTESIAN_POINT('',(0.0777591263442247,1.62651112439651,0.567499999999999)); #181975=CARTESIAN_POINT('',(0.0777591263442247,1.62651112439651,0.577499999999999)); #181976=CARTESIAN_POINT('',(0.0777591263442247,1.62651112439651,0.567499999999999)); #181977=CARTESIAN_POINT('Origin',(0.120251503754834,1.62651112439651,0.577499999999999)); #181978=CARTESIAN_POINT('',(0.120251503754834,1.62651112439651,0.577499999999999)); #181979=CARTESIAN_POINT('',(0.262919622871187,1.62651112439651,0.5775)); #181980=CARTESIAN_POINT('',(0.120251503754834,1.62651112439651,0.567499999999999)); #181981=CARTESIAN_POINT('',(0.120251503754834,1.62651112439651,0.577499999999999)); #181982=CARTESIAN_POINT('',(0.120251503754834,1.62651112439651,0.567499999999999)); #181983=CARTESIAN_POINT('Origin',(0.120251503754834,1.55724664372944,0.577499999999999)); #181984=CARTESIAN_POINT('',(0.120251503754834,1.55724664372944,0.577499999999999)); #181985=CARTESIAN_POINT('',(0.120251503754834,0.79264215186472,0.577499999999999)); #181986=CARTESIAN_POINT('',(0.120251503754834,1.55724664372944,0.567499999999999)); #181987=CARTESIAN_POINT('',(0.120251503754834,1.55724664372944,0.577499999999999)); #181988=CARTESIAN_POINT('',(0.120251503754834,1.55724664372944,0.567499999999999)); #181989=CARTESIAN_POINT('Origin',(0.135019034020852,1.55724664372944,0.577499999999999)); #181990=CARTESIAN_POINT('',(0.135019034020852,1.55724664372944,0.577499999999999)); #181991=CARTESIAN_POINT('',(0.270303388004197,1.55724664372944,0.5775)); #181992=CARTESIAN_POINT('',(0.135019034020852,1.55724664372944,0.567499999999999)); #181993=CARTESIAN_POINT('',(0.135019034020852,1.55724664372944,0.577499999999999)); #181994=CARTESIAN_POINT('',(0.135019034020852,1.55724664372944,0.567499999999999)); #181995=CARTESIAN_POINT('Origin',(0.135019034020852,1.62651112439651,0.577499999999999)); #181996=CARTESIAN_POINT('',(0.135019034020852,1.62651112439651,0.577499999999999)); #181997=CARTESIAN_POINT('',(0.135019034020852,0.827274392198254,0.577499999999999)); #181998=CARTESIAN_POINT('',(0.135019034020852,1.62651112439651,0.567499999999999)); #181999=CARTESIAN_POINT('',(0.135019034020852,1.62651112439651,0.577499999999999)); #182000=CARTESIAN_POINT('',(0.135019034020852,1.62651112439651,0.567499999999999)); #182001=CARTESIAN_POINT('Origin',(0.173224063934875,1.62651112439651,0.577499999999999)); #182002=CARTESIAN_POINT('',(0.173224063934875,1.62651112439651,0.577499999999999)); #182003=CARTESIAN_POINT('',(0.289405902961208,1.62651112439651,0.5775)); #182004=CARTESIAN_POINT('',(0.173224063934875,1.62651112439651,0.567499999999999)); #182005=CARTESIAN_POINT('',(0.173224063934875,1.62651112439651,0.577499999999999)); #182006=CARTESIAN_POINT('',(0.173224063934875,1.62651112439651,0.567499999999999)); #182007=CARTESIAN_POINT('Origin',(0.173224063934875,1.5525781986776,0.577499999999999)); #182008=CARTESIAN_POINT('',(0.173224063934875,1.5525781986776,0.577499999999999)); #182009=CARTESIAN_POINT('',(0.173224063934875,0.790307929338801,0.577499999999999)); #182010=CARTESIAN_POINT('',(0.173224063934875,1.5525781986776,0.567499999999999)); #182011=CARTESIAN_POINT('',(0.173224063934875,1.5525781986776,0.577499999999999)); #182012=CARTESIAN_POINT('',(0.173224063934875,1.5525781986776,0.567499999999999)); #182013=CARTESIAN_POINT('Origin',(0.187991594200894,1.5525781986776,0.577499999999999)); #182014=CARTESIAN_POINT('',(0.187991594200894,1.5525781986776,0.577499999999999)); #182015=CARTESIAN_POINT('',(0.296789668094218,1.5525781986776,0.5775)); #182016=CARTESIAN_POINT('',(0.187991594200894,1.5525781986776,0.567499999999999)); #182017=CARTESIAN_POINT('',(0.187991594200894,1.5525781986776,0.577499999999999)); #182018=CARTESIAN_POINT('',(0.187991594200894,1.5525781986776,0.567499999999999)); #182019=CARTESIAN_POINT('Origin',(0.187991594200894,1.64308886804998,0.577499999999999)); #182020=CARTESIAN_POINT('',(0.187991594200894,1.64308886804998,0.577499999999999)); #182021=CARTESIAN_POINT('',(0.187991594200894,0.835563264024987,0.577499999999999)); #182022=CARTESIAN_POINT('',(0.187991594200894,1.64308886804998,0.567499999999999)); #182023=CARTESIAN_POINT('',(0.187991594200894,1.64308886804998,0.577499999999999)); #182024=CARTESIAN_POINT('',(0.187991594200894,1.64308886804998,0.567499999999999)); #182025=CARTESIAN_POINT('Origin',(0.0629915960782058,1.64308886804998,0.577499999999999)); #182026=CARTESIAN_POINT('',(0.234289669032873,1.64308886804998,0.5775)); #182027=CARTESIAN_POINT('',(0.0629915960782058,1.64308886804998,0.567499999999999)); #182028=CARTESIAN_POINT('Origin',(0.125466552661858,1.60291631530969,0.567499999999999)); #182029=CARTESIAN_POINT('Origin',(0.0629915960782058,1.31667881197655,0.577499999999999)); #182030=CARTESIAN_POINT('',(0.0629915960782058,1.31667881197655,0.577499999999999)); #182031=CARTESIAN_POINT('',(0.0629915960782058,1.41004771301332,0.577499999999999)); #182032=CARTESIAN_POINT('',(0.0629915960782058,0.672358235988276,0.577499999999999)); #182033=CARTESIAN_POINT('',(0.0629915960782058,1.31667881197655,0.567499999999999)); #182034=CARTESIAN_POINT('',(0.0629915960782058,1.31667881197655,0.577499999999999)); #182035=CARTESIAN_POINT('',(0.0629915960782058,1.41004771301332,0.567499999999999)); #182036=CARTESIAN_POINT('',(0.0629915960782058,1.31667881197655,0.567499999999999)); #182037=CARTESIAN_POINT('',(0.0629915960782058,1.41004771301332,0.577499999999999)); #182038=CARTESIAN_POINT('Origin',(0.0777591263442247,1.31667881197655,0.577499999999999)); #182039=CARTESIAN_POINT('',(0.0777591263442247,1.31667881197655,0.577499999999999)); #182040=CARTESIAN_POINT('',(0.241673434165883,1.31667881197655,0.577499999999999)); #182041=CARTESIAN_POINT('',(0.0777591263442247,1.31667881197655,0.567499999999999)); #182042=CARTESIAN_POINT('',(0.0777591263442247,1.31667881197655,0.577499999999999)); #182043=CARTESIAN_POINT('',(0.0777591263442247,1.31667881197655,0.567499999999999)); #182044=CARTESIAN_POINT('Origin',(0.0777591263442247,1.39346996935985,0.577499999999999)); #182045=CARTESIAN_POINT('',(0.0777591263442247,1.39346996935985,0.577499999999999)); #182046=CARTESIAN_POINT('',(0.0777591263442247,0.710753814679925,0.577499999999999)); #182047=CARTESIAN_POINT('',(0.0777591263442247,1.39346996935985,0.567499999999999)); #182048=CARTESIAN_POINT('',(0.0777591263442247,1.39346996935985,0.577499999999999)); #182049=CARTESIAN_POINT('',(0.0777591263442247,1.39346996935985,0.567499999999999)); #182050=CARTESIAN_POINT('Origin',(0.120251503754834,1.39346996935985,0.577499999999999)); #182051=CARTESIAN_POINT('',(0.120251503754834,1.39346996935985,0.577499999999999)); #182052=CARTESIAN_POINT('',(0.26291962287119,1.39346996935985,0.5775)); #182053=CARTESIAN_POINT('',(0.120251503754834,1.39346996935985,0.567499999999999)); #182054=CARTESIAN_POINT('',(0.120251503754834,1.39346996935985,0.577499999999999)); #182055=CARTESIAN_POINT('',(0.120251503754834,1.39346996935985,0.567499999999999)); #182056=CARTESIAN_POINT('Origin',(0.120251503754834,1.32420548869278,0.577499999999999)); #182057=CARTESIAN_POINT('',(0.120251503754834,1.32420548869278,0.577499999999999)); #182058=CARTESIAN_POINT('',(0.120251503754834,0.676121574346391,0.577499999999999)); #182059=CARTESIAN_POINT('',(0.120251503754834,1.32420548869278,0.567499999999999)); #182060=CARTESIAN_POINT('',(0.120251503754834,1.32420548869278,0.577499999999999)); #182061=CARTESIAN_POINT('',(0.120251503754834,1.32420548869278,0.567499999999999)); #182062=CARTESIAN_POINT('Origin',(0.135019034020852,1.32420548869278,0.577499999999999)); #182063=CARTESIAN_POINT('',(0.135019034020852,1.32420548869278,0.577499999999999)); #182064=CARTESIAN_POINT('',(0.270303388004197,1.32420548869278,0.5775)); #182065=CARTESIAN_POINT('',(0.135019034020852,1.32420548869278,0.567499999999999)); #182066=CARTESIAN_POINT('',(0.135019034020852,1.32420548869278,0.577499999999999)); #182067=CARTESIAN_POINT('',(0.135019034020852,1.32420548869278,0.567499999999999)); #182068=CARTESIAN_POINT('Origin',(0.135019034020852,1.39346996935985,0.577499999999999)); #182069=CARTESIAN_POINT('',(0.135019034020852,1.39346996935985,0.577499999999999)); #182070=CARTESIAN_POINT('',(0.135019034020852,0.710753814679925,0.577499999999999)); #182071=CARTESIAN_POINT('',(0.135019034020852,1.39346996935985,0.567499999999999)); #182072=CARTESIAN_POINT('',(0.135019034020852,1.39346996935985,0.577499999999999)); #182073=CARTESIAN_POINT('',(0.135019034020852,1.39346996935985,0.567499999999999)); #182074=CARTESIAN_POINT('Origin',(0.173224063934875,1.39346996935985,0.577499999999999)); #182075=CARTESIAN_POINT('',(0.173224063934875,1.39346996935985,0.577499999999999)); #182076=CARTESIAN_POINT('',(0.289405902961208,1.39346996935985,0.5775)); #182077=CARTESIAN_POINT('',(0.173224063934875,1.39346996935985,0.567499999999999)); #182078=CARTESIAN_POINT('',(0.173224063934875,1.39346996935985,0.577499999999999)); #182079=CARTESIAN_POINT('',(0.173224063934875,1.39346996935985,0.567499999999999)); #182080=CARTESIAN_POINT('Origin',(0.173224063934875,1.31953704364094,0.577499999999999)); #182081=CARTESIAN_POINT('',(0.173224063934875,1.31953704364094,0.577499999999999)); #182082=CARTESIAN_POINT('',(0.173224063934875,0.673787351820472,0.577499999999999)); #182083=CARTESIAN_POINT('',(0.173224063934875,1.31953704364094,0.567499999999999)); #182084=CARTESIAN_POINT('',(0.173224063934875,1.31953704364094,0.577499999999999)); #182085=CARTESIAN_POINT('',(0.173224063934875,1.31953704364094,0.567499999999999)); #182086=CARTESIAN_POINT('Origin',(0.187991594200894,1.31953704364094,0.577499999999999)); #182087=CARTESIAN_POINT('',(0.187991594200894,1.31953704364094,0.577499999999999)); #182088=CARTESIAN_POINT('',(0.296789668094218,1.31953704364094,0.5775)); #182089=CARTESIAN_POINT('',(0.187991594200894,1.31953704364094,0.567499999999999)); #182090=CARTESIAN_POINT('',(0.187991594200894,1.31953704364094,0.577499999999999)); #182091=CARTESIAN_POINT('',(0.187991594200894,1.31953704364094,0.567499999999999)); #182092=CARTESIAN_POINT('Origin',(0.187991594200894,1.41004771301332,0.577499999999999)); #182093=CARTESIAN_POINT('',(0.187991594200894,1.41004771301332,0.577499999999999)); #182094=CARTESIAN_POINT('',(0.187991594200894,0.719042686506658,0.577499999999999)); #182095=CARTESIAN_POINT('',(0.187991594200894,1.41004771301332,0.567499999999999)); #182096=CARTESIAN_POINT('',(0.187991594200894,1.41004771301332,0.577499999999999)); #182097=CARTESIAN_POINT('',(0.187991594200894,1.41004771301332,0.567499999999999)); #182098=CARTESIAN_POINT('Origin',(0.0629915960782058,1.41004771301332,0.577499999999999)); #182099=CARTESIAN_POINT('',(0.234289669032874,1.41004771301332,0.577499999999999)); #182100=CARTESIAN_POINT('',(0.0629915960782058,1.41004771301332,0.567499999999999)); #182101=CARTESIAN_POINT('Origin',(0.125466552661858,1.36987516027303,0.567499999999999)); #182102=CARTESIAN_POINT('Origin',(0.148929094787554,0.989887658347878,0.577499999999999)); #182103=CARTESIAN_POINT('',(0.114249217259613,0.976739792691681,0.577499999999999)); #182104=CARTESIAN_POINT('',(0.148929094787554,0.989887658347878,0.577499999999999)); #182105=CARTESIAN_POINT('',(0.101715801275605,0.971988113005436,0.577499999999999)); #182106=CARTESIAN_POINT('',(0.114249217259613,0.976739792691681,0.567499999999999)); #182107=CARTESIAN_POINT('',(0.114249217259613,0.976739792691681,0.577499999999999)); #182108=CARTESIAN_POINT('',(0.148929094787554,0.989887658347878,0.567499999999999)); #182109=CARTESIAN_POINT('',(0.13419673473592,0.984302313053577,0.567499999999999)); #182110=CARTESIAN_POINT('',(0.148929094787554,0.989887658347878,0.577499999999999)); #182111=CARTESIAN_POINT('Ctrl Pts',(0.174843728544697,0.998652902118677, 0.577499999999999)); #182112=CARTESIAN_POINT('Ctrl Pts',(0.174843728544697,0.998652902118677, 0.567499999999999)); #182113=CARTESIAN_POINT('Ctrl Pts',(0.164744643330516,0.995794670454286, 0.577499999999999)); #182114=CARTESIAN_POINT('Ctrl Pts',(0.164744643330516,0.995794670454286, 0.567499999999999)); #182115=CARTESIAN_POINT('Ctrl Pts',(0.148929094787554,0.989887658347878, 0.577499999999999)); #182116=CARTESIAN_POINT('Ctrl Pts',(0.148929094787554,0.989887658347878, 0.567499999999999)); #182117=CARTESIAN_POINT('',(0.174843728544697,0.998652902118677,0.577499999999999)); #182118=CARTESIAN_POINT('Ctrl Pts',(0.148929094787554,0.989887658347878, 0.577499999999999)); #182119=CARTESIAN_POINT('Ctrl Pts',(0.164744643330516,0.995794670454286, 0.577499999999999)); #182120=CARTESIAN_POINT('Ctrl Pts',(0.174843728544697,0.998652902118677, 0.577499999999999)); #182121=CARTESIAN_POINT('',(0.174843728544697,0.998652902118677,0.567499999999999)); #182122=CARTESIAN_POINT('Ctrl Pts',(0.148929094787554,0.989887658347878, 0.567499999999999)); #182123=CARTESIAN_POINT('Ctrl Pts',(0.164744643330516,0.995794670454286, 0.567499999999999)); #182124=CARTESIAN_POINT('Ctrl Pts',(0.174843728544697,0.998652902118677, 0.567499999999999)); #182125=CARTESIAN_POINT('',(0.174843728544697,0.998652902118677,0.577499999999999)); #182126=CARTESIAN_POINT('Ctrl Pts',(0.150929856952627,1.0054173837244,0.577499999999999)); #182127=CARTESIAN_POINT('Ctrl Pts',(0.150929856952628,1.0054173837244,0.567499999999999)); #182128=CARTESIAN_POINT('Ctrl Pts',(0.162839155554256,1.00113003622782, 0.577499999999999)); #182129=CARTESIAN_POINT('Ctrl Pts',(0.162839155554256,1.00113003622782, 0.567499999999999)); #182130=CARTESIAN_POINT('Ctrl Pts',(0.174843728544697,0.998652902118677, 0.577499999999999)); #182131=CARTESIAN_POINT('Ctrl Pts',(0.174843728544697,0.998652902118677, 0.567499999999999)); #182132=CARTESIAN_POINT('',(0.150929856952627,1.0054173837244,0.577499999999999)); #182133=CARTESIAN_POINT('Ctrl Pts',(0.174843728544697,0.998652902118677, 0.577499999999999)); #182134=CARTESIAN_POINT('Ctrl Pts',(0.162839155554256,1.00113003622782, 0.577499999999999)); #182135=CARTESIAN_POINT('Ctrl Pts',(0.150929856952627,1.0054173837244,0.577499999999999)); #182136=CARTESIAN_POINT('',(0.150929856952628,1.0054173837244,0.567499999999999)); #182137=CARTESIAN_POINT('Ctrl Pts',(0.174843728544697,0.998652902118677, 0.567499999999999)); #182138=CARTESIAN_POINT('Ctrl Pts',(0.162839155554256,1.00113003622782, 0.567499999999999)); #182139=CARTESIAN_POINT('Ctrl Pts',(0.150929856952628,1.0054173837244,0.567499999999999)); #182140=CARTESIAN_POINT('',(0.150929856952627,1.0054173837244,0.577499999999999)); #182141=CARTESIAN_POINT('Origin',(0.114249217259613,1.01923217010229,0.577499999999999)); #182142=CARTESIAN_POINT('',(0.114249217259613,1.01923217010229,0.577499999999999)); #182143=CARTESIAN_POINT('',(0.40528935419981,0.909619650994945,0.5775)); #182144=CARTESIAN_POINT('',(0.114249217259613,1.01923217010229,0.567499999999999)); #182145=CARTESIAN_POINT('',(0.117062164132528,1.01817274855275,0.567499999999999)); #182146=CARTESIAN_POINT('',(0.114249217259613,1.01923217010229,0.577499999999999)); #182147=CARTESIAN_POINT('Origin',(0.114249217259613,0.976739792691681,0.577499999999999)); #182148=CARTESIAN_POINT('',(0.114249217259613,0.50238872634584,0.577499999999999)); #182149=CARTESIAN_POINT('',(0.114249217259613,0.987024005061886,0.567499999999999)); #182150=CARTESIAN_POINT('Origin',(0.0629915960782058,1.03771540153202,0.577499999999999)); #182151=CARTESIAN_POINT('',(0.0629915960782058,1.03771540153202,0.577499999999999)); #182152=CARTESIAN_POINT('',(0.0629915960782058,1.05524588907361,0.577499999999999)); #182153=CARTESIAN_POINT('',(0.0629915960782058,0.532876530766008,0.577499999999999)); #182154=CARTESIAN_POINT('',(0.0629915960782058,1.03771540153202,0.567499999999999)); #182155=CARTESIAN_POINT('',(0.0629915960782058,1.03771540153202,0.577499999999999)); #182156=CARTESIAN_POINT('',(0.0629915960782058,1.05524588907361,0.567499999999999)); #182157=CARTESIAN_POINT('',(0.0629915960782058,1.03771540153202,0.567499999999999)); #182158=CARTESIAN_POINT('',(0.0629915960782058,1.05524588907361,0.577499999999999)); #182159=CARTESIAN_POINT('Origin',(0.100815528436977,1.02399588954294,0.577499999999999)); #182160=CARTESIAN_POINT('',(0.100815528436977,1.02399588954294,0.577499999999999)); #182161=CARTESIAN_POINT('',(0.395109688273254,0.917249393279909,0.5775)); #182162=CARTESIAN_POINT('',(0.100815528436977,1.02399588954294,0.567499999999999)); #182163=CARTESIAN_POINT('',(0.100815528436977,1.02399588954294,0.577499999999999)); #182164=CARTESIAN_POINT('',(0.100815528436977,1.02399588954294,0.567499999999999)); #182165=CARTESIAN_POINT('Origin',(0.100815528436977,0.971594975695777,0.577499999999999)); #182166=CARTESIAN_POINT('',(0.100815528436977,0.971594975695777,0.577499999999999)); #182167=CARTESIAN_POINT('',(0.100815528436977,0.499816317847888,0.577499999999999)); #182168=CARTESIAN_POINT('',(0.100815528436977,0.971594975695777,0.567499999999999)); #182169=CARTESIAN_POINT('',(0.100815528436977,0.971594975695777,0.577499999999999)); #182170=CARTESIAN_POINT('',(0.100815528436977,0.971594975695777,0.567499999999999)); #182171=CARTESIAN_POINT('Origin',(0.0629915960782058,0.957113268596198, 0.577499999999999)); #182172=CARTESIAN_POINT('',(0.0629915960782058,0.957113268596198,0.577499999999999)); #182173=CARTESIAN_POINT('',(0.0572700858257491,0.954922665174602,0.577499999999999)); #182174=CARTESIAN_POINT('',(0.0629915960782058,0.957113268596198,0.567499999999999)); #182175=CARTESIAN_POINT('',(0.0629915960782058,0.957113268596198,0.577499999999999)); #182176=CARTESIAN_POINT('',(0.0629915960782058,0.957113268596198,0.567499999999999)); #182177=CARTESIAN_POINT('Origin',(0.0629915960782058,0.938248939611219, 0.577499999999999)); #182178=CARTESIAN_POINT('',(0.0629915960782058,0.938248939611219,0.577499999999999)); #182179=CARTESIAN_POINT('',(0.0629915960782058,0.483143299805609,0.577499999999999)); #182180=CARTESIAN_POINT('',(0.0629915960782058,0.938248939611219,0.567499999999999)); #182181=CARTESIAN_POINT('',(0.0629915960782058,0.938248939611219,0.577499999999999)); #182182=CARTESIAN_POINT('',(0.0629915960782058,0.938248939611219,0.567499999999999)); #182183=CARTESIAN_POINT('Origin',(0.187991594200894,0.989316012015,0.577499999999999)); #182184=CARTESIAN_POINT('',(0.187991594200894,0.989316012015,0.577499999999999)); #182185=CARTESIAN_POINT('',(0.112954784561399,0.958660730028133,0.577499999999999)); #182186=CARTESIAN_POINT('',(0.187991594200894,0.989316012015,0.567499999999999)); #182187=CARTESIAN_POINT('',(0.187991594200894,0.989316012015,0.577499999999999)); #182188=CARTESIAN_POINT('',(0.187991594200894,0.989316012015,0.567499999999999)); #182189=CARTESIAN_POINT('Origin',(0.187991594200894,1.00722759711185,0.577499999999999)); #182190=CARTESIAN_POINT('',(0.187991594200894,1.00722759711185,0.577499999999999)); #182191=CARTESIAN_POINT('',(0.187991594200894,0.517632628555924,0.577499999999999)); #182192=CARTESIAN_POINT('',(0.187991594200894,1.00722759711185,0.567499999999999)); #182193=CARTESIAN_POINT('',(0.187991594200894,1.00722759711185,0.577499999999999)); #182194=CARTESIAN_POINT('',(0.187991594200894,1.00722759711185,0.567499999999999)); #182195=CARTESIAN_POINT('Origin',(0.0629915960782058,1.05524588907361,0.577499999999999)); #182196=CARTESIAN_POINT('',(0.384190063001356,0.931858673121427,0.5775)); #182197=CARTESIAN_POINT('',(0.0629915960782058,1.05524588907361,0.567499999999999)); #182198=CARTESIAN_POINT('Origin',(0.112416043764373,0.997308217432091,0.567499999999999)); #182199=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.841926532521251, 0.577499999999999)); #182200=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.841926532521251, 0.567499999999999)); #182201=CARTESIAN_POINT('Ctrl Pts',(0.14788107651061,0.841926532521251, 0.577499999999999)); #182202=CARTESIAN_POINT('Ctrl Pts',(0.14788107651061,0.841926532521251, 0.567499999999999)); #182203=CARTESIAN_POINT('Ctrl Pts',(0.137496168129991,0.848595739738162, 0.577499999999999)); #182204=CARTESIAN_POINT('Ctrl Pts',(0.137496168129991,0.848595739738162, 0.567499999999999)); #182205=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,0.861362507839108, 0.577499999999999)); #182206=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,0.861362507839108, 0.567499999999999)); #182207=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,0.872700160107858, 0.577499999999999)); #182208=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,0.872700160107858, 0.567499999999999)); #182209=CARTESIAN_POINT('',(0.132827723078153,0.872700160107858,0.577499999999999)); #182210=CARTESIAN_POINT('',(0.153883363005831,0.841926532521251,0.577499999999999)); #182211=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,0.872700160107858, 0.577499999999999)); #182212=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,0.861362507839108, 0.577499999999999)); #182213=CARTESIAN_POINT('Ctrl Pts',(0.137496168129991,0.848595739738162, 0.577499999999999)); #182214=CARTESIAN_POINT('Ctrl Pts',(0.14788107651061,0.841926532521251, 0.577499999999999)); #182215=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.841926532521251, 0.577499999999999)); #182216=CARTESIAN_POINT('',(0.132827723078153,0.872700160107858,0.567499999999999)); #182217=CARTESIAN_POINT('',(0.132827723078153,0.872700160107858,0.577499999999999)); #182218=CARTESIAN_POINT('',(0.153883363005831,0.841926532521251,0.567499999999999)); #182219=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,0.872700160107858, 0.567499999999999)); #182220=CARTESIAN_POINT('Ctrl Pts',(0.132827723078153,0.861362507839108, 0.567499999999999)); #182221=CARTESIAN_POINT('Ctrl Pts',(0.137496168129991,0.848595739738162, 0.567499999999999)); #182222=CARTESIAN_POINT('Ctrl Pts',(0.14788107651061,0.841926532521251, 0.567499999999999)); #182223=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.841926532521251, 0.567499999999999)); #182224=CARTESIAN_POINT('',(0.153883363005831,0.841926532521251,0.577499999999999)); #182225=CARTESIAN_POINT('Ctrl Pts',(0.174176807823005,0.868698635777711, 0.577499999999999)); #182226=CARTESIAN_POINT('Ctrl Pts',(0.174176807823005,0.868698635777711, 0.567499999999999)); #182227=CARTESIAN_POINT('Ctrl Pts',(0.174176807823005,0.854788575011009, 0.577499999999999)); #182228=CARTESIAN_POINT('Ctrl Pts',(0.174176807823005,0.854788575011009, 0.567499999999999)); #182229=CARTESIAN_POINT('Ctrl Pts',(0.162743881165442,0.841926532521251, 0.577499999999999)); #182230=CARTESIAN_POINT('Ctrl Pts',(0.162743881165442,0.841926532521251, 0.567499999999999)); #182231=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.841926532521251, 0.577499999999999)); #182232=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.841926532521251, 0.567499999999999)); #182233=CARTESIAN_POINT('',(0.174176807823005,0.868698635777711,0.577499999999999)); #182234=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.841926532521251, 0.577499999999999)); #182235=CARTESIAN_POINT('Ctrl Pts',(0.162743881165442,0.841926532521251, 0.577499999999999)); #182236=CARTESIAN_POINT('Ctrl Pts',(0.174176807823005,0.854788575011009, 0.577499999999999)); #182237=CARTESIAN_POINT('Ctrl Pts',(0.174176807823005,0.868698635777711, 0.577499999999999)); #182238=CARTESIAN_POINT('',(0.174176807823005,0.868698635777711,0.567499999999999)); #182239=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.841926532521251, 0.567499999999999)); #182240=CARTESIAN_POINT('Ctrl Pts',(0.162743881165442,0.841926532521251, 0.567499999999999)); #182241=CARTESIAN_POINT('Ctrl Pts',(0.174176807823005,0.854788575011009, 0.567499999999999)); #182242=CARTESIAN_POINT('Ctrl Pts',(0.174176807823005,0.868698635777711, 0.567499999999999)); #182243=CARTESIAN_POINT('',(0.174176807823005,0.868698635777711,0.577499999999999)); #182244=CARTESIAN_POINT('Origin',(0.174176807823005,0.908237507135116,0.577499999999999)); #182245=CARTESIAN_POINT('',(0.174176807823005,0.908237507135116,0.577499999999999)); #182246=CARTESIAN_POINT('',(0.174176807823005,0.468137583567558,0.577499999999999)); #182247=CARTESIAN_POINT('',(0.174176807823005,0.908237507135116,0.567499999999999)); #182248=CARTESIAN_POINT('',(0.174176807823005,0.89391459691176,0.567499999999999)); #182249=CARTESIAN_POINT('',(0.174176807823005,0.908237507135116,0.577499999999999)); #182250=CARTESIAN_POINT('Origin',(0.132827723078153,0.908237507135116,0.577499999999999)); #182251=CARTESIAN_POINT('',(0.132827723078153,0.908237507135116,0.577499999999999)); #182252=CARTESIAN_POINT('',(0.269207732532847,0.908237507135116,0.577499999999999)); #182253=CARTESIAN_POINT('',(0.132827723078153,0.908237507135116,0.567499999999999)); #182254=CARTESIAN_POINT('',(0.126663523278882,0.908237507135116,0.567499999999999)); #182255=CARTESIAN_POINT('',(0.132827723078153,0.908237507135116,0.577499999999999)); #182256=CARTESIAN_POINT('Origin',(0.132827723078153,0.872700160107858,0.577499999999999)); #182257=CARTESIAN_POINT('',(0.132827723078153,0.450368910053929,0.577499999999999)); #182258=CARTESIAN_POINT('',(0.132827723078153,0.876145923398131,0.567499999999999)); #182259=CARTESIAN_POINT('Origin',(0.0629915960782054,0.908237507135116, 0.577499999999999)); #182260=CARTESIAN_POINT('',(0.0629915960782054,0.908237507135116,0.577499999999999)); #182261=CARTESIAN_POINT('',(0.0629915960782054,0.924815250788582,0.577499999999999)); #182262=CARTESIAN_POINT('',(0.0629915960782054,0.468137583567558,0.577499999999999)); #182263=CARTESIAN_POINT('',(0.0629915960782054,0.908237507135116,0.567499999999999)); #182264=CARTESIAN_POINT('',(0.0629915960782054,0.908237507135116,0.577499999999999)); #182265=CARTESIAN_POINT('',(0.0629915960782054,0.924815250788582,0.567499999999999)); #182266=CARTESIAN_POINT('',(0.0629915960782054,0.908237507135116,0.567499999999999)); #182267=CARTESIAN_POINT('',(0.0629915960782054,0.924815250788582,0.577499999999999)); #182268=CARTESIAN_POINT('Origin',(0.118536564756199,0.908237507135116,0.577499999999999)); #182269=CARTESIAN_POINT('',(0.118536564756199,0.908237507135116,0.577499999999999)); #182270=CARTESIAN_POINT('',(0.26206215337187,0.908237507135116,0.577499999999999)); #182271=CARTESIAN_POINT('',(0.118536564756199,0.908237507135116,0.567499999999999)); #182272=CARTESIAN_POINT('',(0.118536564756199,0.908237507135116,0.577499999999999)); #182273=CARTESIAN_POINT('',(0.118536564756199,0.908237507135116,0.567499999999999)); #182274=CARTESIAN_POINT('Origin',(0.118536564756199,0.889087354983698,0.577499999999999)); #182275=CARTESIAN_POINT('',(0.118536564756199,0.889087354983698,0.577499999999999)); #182276=CARTESIAN_POINT('',(0.118536564756199,0.458562507491849,0.577499999999999)); #182277=CARTESIAN_POINT('',(0.118536564756199,0.889087354983698,0.567499999999999)); #182278=CARTESIAN_POINT('',(0.118536564756199,0.889087354983698,0.577499999999999)); #182279=CARTESIAN_POINT('',(0.118536564756199,0.889087354983698,0.567499999999999)); #182280=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,0.879845739268835, 0.577499999999999)); #182281=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,0.879845739268835, 0.567499999999999)); #182282=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,0.882703970933225, 0.577499999999999)); #182283=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,0.882703970933225, 0.567499999999999)); #182284=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,0.889087354983698, 0.577499999999999)); #182285=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,0.889087354983698, 0.567499999999999)); #182286=CARTESIAN_POINT('',(0.117964918423321,0.879845739268835,0.577499999999999)); #182287=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,0.879845739268835, 0.577499999999999)); #182288=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,0.882703970933225, 0.577499999999999)); #182289=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,0.889087354983698, 0.577499999999999)); #182290=CARTESIAN_POINT('',(0.117964918423321,0.879845739268835,0.567499999999999)); #182291=CARTESIAN_POINT('',(0.117964918423321,0.879845739268835,0.577499999999999)); #182292=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,0.879845739268835, 0.567499999999999)); #182293=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,0.882703970933225, 0.567499999999999)); #182294=CARTESIAN_POINT('Ctrl Pts',(0.118536564756199,0.889087354983698, 0.567499999999999)); #182295=CARTESIAN_POINT('Ctrl Pts',(0.0890015042241613,0.852025617735432, 0.577499999999999)); #182296=CARTESIAN_POINT('Ctrl Pts',(0.0890015042241613,0.852025617735432, 0.567499999999999)); #182297=CARTESIAN_POINT('Ctrl Pts',(0.100243882104098,0.859266471285221, 0.577499999999999)); #182298=CARTESIAN_POINT('Ctrl Pts',(0.100243882104098,0.859266471285221, 0.567499999999999)); #182299=CARTESIAN_POINT('Ctrl Pts',(0.112153180705726,0.868603361388898, 0.577499999999999)); #182300=CARTESIAN_POINT('Ctrl Pts',(0.112153180705726,0.868603361388898, 0.567499999999999)); #182301=CARTESIAN_POINT('Ctrl Pts',(0.11701217453519,0.876034763716314, 0.577499999999999)); #182302=CARTESIAN_POINT('Ctrl Pts',(0.11701217453519,0.876034763716314, 0.567499999999999)); #182303=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,0.879845739268835, 0.577499999999999)); #182304=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,0.879845739268835, 0.567499999999999)); #182305=CARTESIAN_POINT('',(0.0890015042241613,0.852025617735432,0.577499999999999)); #182306=CARTESIAN_POINT('Ctrl Pts',(0.0890015042241613,0.852025617735432, 0.577499999999999)); #182307=CARTESIAN_POINT('Ctrl Pts',(0.100243882104098,0.859266471285221, 0.577499999999999)); #182308=CARTESIAN_POINT('Ctrl Pts',(0.112153180705726,0.868603361388898, 0.577499999999999)); #182309=CARTESIAN_POINT('Ctrl Pts',(0.11701217453519,0.876034763716314, 0.577499999999999)); #182310=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,0.879845739268835, 0.577499999999999)); #182311=CARTESIAN_POINT('',(0.0890015042241613,0.852025617735432,0.567499999999999)); #182312=CARTESIAN_POINT('',(0.0890015042241613,0.852025617735432,0.577499999999999)); #182313=CARTESIAN_POINT('Ctrl Pts',(0.0890015042241613,0.852025617735432, 0.567499999999999)); #182314=CARTESIAN_POINT('Ctrl Pts',(0.100243882104098,0.859266471285221, 0.567499999999999)); #182315=CARTESIAN_POINT('Ctrl Pts',(0.112153180705726,0.868603361388898, 0.567499999999999)); #182316=CARTESIAN_POINT('Ctrl Pts',(0.11701217453519,0.876034763716314, 0.567499999999999)); #182317=CARTESIAN_POINT('Ctrl Pts',(0.117964918423321,0.879845739268835, 0.567499999999999)); #182318=CARTESIAN_POINT('Origin',(0.0629915960782054,0.835447874081965, 0.577499999999999)); #182319=CARTESIAN_POINT('',(0.0629915960782054,0.835447874081965,0.577499999999999)); #182320=CARTESIAN_POINT('',(0.00182919051146465,0.796465241962504,0.577499999999999)); #182321=CARTESIAN_POINT('',(0.0629915960782054,0.835447874081965,0.567499999999999)); #182322=CARTESIAN_POINT('',(0.0629915960782054,0.835447874081965,0.577499999999999)); #182323=CARTESIAN_POINT('',(0.0629915960782054,0.835447874081965,0.567499999999999)); #182324=CARTESIAN_POINT('Origin',(0.0629915960782054,0.814773331709539, 0.577499999999999)); #182325=CARTESIAN_POINT('',(0.0629915960782054,0.814773331709539,0.577499999999999)); #182326=CARTESIAN_POINT('',(0.0629915960782054,0.421405495854769,0.577499999999999)); #182327=CARTESIAN_POINT('',(0.0629915960782054,0.814773331709539,0.567499999999999)); #182328=CARTESIAN_POINT('',(0.0629915960782054,0.814773331709539,0.577499999999999)); #182329=CARTESIAN_POINT('',(0.0629915960782054,0.814773331709539,0.567499999999999)); #182330=CARTESIAN_POINT('Origin',(0.0970045528844552,0.836400617970095, 0.577499999999999)); #182331=CARTESIAN_POINT('',(0.0970045528844552,0.836400617970095,0.577499999999999)); #182332=CARTESIAN_POINT('',(0.0238662149799654,0.78989528826612,0.577499999999999)); #182333=CARTESIAN_POINT('',(0.0970045528844552,0.836400617970095,0.567499999999999)); #182334=CARTESIAN_POINT('',(0.0970045528844552,0.836400617970095,0.577499999999999)); #182335=CARTESIAN_POINT('',(0.0970045528844552,0.836400617970095,0.567499999999999)); #182336=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,0.850120129959171, 0.577499999999999)); #182337=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,0.850120129959171, 0.567499999999999)); #182338=CARTESIAN_POINT('Ctrl Pts',(0.107008363709823,0.842879276409381, 0.577499999999999)); #182339=CARTESIAN_POINT('Ctrl Pts',(0.107008363709823,0.842879276409381, 0.567499999999999)); #182340=CARTESIAN_POINT('Ctrl Pts',(0.0970045528844552,0.836400617970095, 0.577499999999999)); #182341=CARTESIAN_POINT('Ctrl Pts',(0.0970045528844552,0.836400617970095, 0.567499999999999)); #182342=CARTESIAN_POINT('',(0.113677570926735,0.850120129959171,0.577499999999999)); #182343=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,0.850120129959171, 0.577499999999999)); #182344=CARTESIAN_POINT('Ctrl Pts',(0.107008363709823,0.842879276409381, 0.577499999999999)); #182345=CARTESIAN_POINT('Ctrl Pts',(0.0970045528844552,0.836400617970095, 0.577499999999999)); #182346=CARTESIAN_POINT('',(0.113677570926735,0.850120129959171,0.567499999999999)); #182347=CARTESIAN_POINT('',(0.113677570926735,0.850120129959171,0.577499999999999)); #182348=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,0.850120129959171, 0.567499999999999)); #182349=CARTESIAN_POINT('Ctrl Pts',(0.107008363709823,0.842879276409381, 0.567499999999999)); #182350=CARTESIAN_POINT('Ctrl Pts',(0.0970045528844552,0.836400617970095, 0.567499999999999)); #182351=CARTESIAN_POINT('Ctrl Pts',(0.119870406199581,0.860028666395726, 0.577499999999999)); #182352=CARTESIAN_POINT('Ctrl Pts',(0.119870406199581,0.860028666395726, 0.567499999999999)); #182353=CARTESIAN_POINT('Ctrl Pts',(0.116726351368751,0.85355000795644, 0.577499999999999)); #182354=CARTESIAN_POINT('Ctrl Pts',(0.116726351368751,0.85355000795644, 0.567499999999999)); #182355=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,0.850120129959171, 0.577499999999999)); #182356=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,0.850120129959171, 0.567499999999999)); #182357=CARTESIAN_POINT('',(0.119870406199581,0.860028666395726,0.577499999999999)); #182358=CARTESIAN_POINT('Ctrl Pts',(0.119870406199581,0.860028666395726, 0.577499999999999)); #182359=CARTESIAN_POINT('Ctrl Pts',(0.116726351368751,0.85355000795644, 0.577499999999999)); #182360=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,0.850120129959171, 0.577499999999999)); #182361=CARTESIAN_POINT('',(0.119870406199581,0.860028666395726,0.567499999999999)); #182362=CARTESIAN_POINT('',(0.119870406199581,0.860028666395726,0.577499999999999)); #182363=CARTESIAN_POINT('Ctrl Pts',(0.119870406199581,0.860028666395726, 0.567499999999999)); #182364=CARTESIAN_POINT('Ctrl Pts',(0.116726351368751,0.85355000795644, 0.567499999999999)); #182365=CARTESIAN_POINT('Ctrl Pts',(0.113677570926735,0.850120129959171, 0.567499999999999)); #182366=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.824872416923719, 0.577499999999999)); #182367=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.824872416923719, 0.567499999999999)); #182368=CARTESIAN_POINT('Ctrl Pts',(0.140544948572008,0.824872416923719, 0.577499999999999)); #182369=CARTESIAN_POINT('Ctrl Pts',(0.140544948572008,0.824872416923719, 0.567499999999999)); #182370=CARTESIAN_POINT('Ctrl Pts',(0.12234754030872,0.842117081298877, 0.577499999999999)); #182371=CARTESIAN_POINT('Ctrl Pts',(0.12234754030872,0.842117081298877, 0.567499999999999)); #182372=CARTESIAN_POINT('Ctrl Pts',(0.119870406199581,0.860028666395726, 0.577499999999999)); #182373=CARTESIAN_POINT('Ctrl Pts',(0.119870406199581,0.860028666395726, 0.567499999999999)); #182374=CARTESIAN_POINT('',(0.153883363005831,0.824872416923719,0.577499999999999)); #182375=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.824872416923719, 0.577499999999999)); #182376=CARTESIAN_POINT('Ctrl Pts',(0.140544948572008,0.824872416923719, 0.577499999999999)); #182377=CARTESIAN_POINT('Ctrl Pts',(0.12234754030872,0.842117081298877, 0.577499999999999)); #182378=CARTESIAN_POINT('Ctrl Pts',(0.119870406199581,0.860028666395726, 0.577499999999999)); #182379=CARTESIAN_POINT('',(0.153883363005831,0.824872416923719,0.567499999999999)); #182380=CARTESIAN_POINT('',(0.153883363005831,0.824872416923719,0.577499999999999)); #182381=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.824872416923719, 0.567499999999999)); #182382=CARTESIAN_POINT('Ctrl Pts',(0.140544948572008,0.824872416923719, 0.567499999999999)); #182383=CARTESIAN_POINT('Ctrl Pts',(0.12234754030872,0.842117081298877, 0.567499999999999)); #182384=CARTESIAN_POINT('Ctrl Pts',(0.119870406199581,0.860028666395726, 0.567499999999999)); #182385=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.869365556499402, 0.577499999999999)); #182386=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.869365556499402, 0.567499999999999)); #182387=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.852692538457123, 0.577499999999999)); #182388=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.852692538457123, 0.567499999999999)); #182389=CARTESIAN_POINT('Ctrl Pts',(0.181227112595169,0.835257325304339, 0.577499999999999)); #182390=CARTESIAN_POINT('Ctrl Pts',(0.181227112595169,0.835257325304339, 0.567499999999999)); #182391=CARTESIAN_POINT('Ctrl Pts',(0.164172996997638,0.824872416923719, 0.577499999999999)); #182392=CARTESIAN_POINT('Ctrl Pts',(0.164172996997638,0.824872416923719, 0.567499999999999)); #182393=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.824872416923719, 0.577499999999999)); #182394=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.824872416923719, 0.567499999999999)); #182395=CARTESIAN_POINT('',(0.187991594200894,0.869365556499402,0.577499999999999)); #182396=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.869365556499402, 0.577499999999999)); #182397=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.852692538457123, 0.577499999999999)); #182398=CARTESIAN_POINT('Ctrl Pts',(0.181227112595169,0.835257325304339, 0.577499999999999)); #182399=CARTESIAN_POINT('Ctrl Pts',(0.164172996997638,0.824872416923719, 0.577499999999999)); #182400=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.824872416923719, 0.577499999999999)); #182401=CARTESIAN_POINT('',(0.187991594200894,0.869365556499402,0.567499999999999)); #182402=CARTESIAN_POINT('',(0.187991594200894,0.869365556499402,0.577499999999999)); #182403=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.869365556499402, 0.567499999999999)); #182404=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.852692538457123, 0.567499999999999)); #182405=CARTESIAN_POINT('Ctrl Pts',(0.181227112595169,0.835257325304339, 0.567499999999999)); #182406=CARTESIAN_POINT('Ctrl Pts',(0.164172996997638,0.824872416923719, 0.567499999999999)); #182407=CARTESIAN_POINT('Ctrl Pts',(0.153883363005831,0.824872416923719, 0.567499999999999)); #182408=CARTESIAN_POINT('Origin',(0.187991594200894,0.924815250788582,0.577499999999999)); #182409=CARTESIAN_POINT('',(0.187991594200894,0.924815250788582,0.577499999999999)); #182410=CARTESIAN_POINT('',(0.187991594200894,0.476426455394291,0.577499999999999)); #182411=CARTESIAN_POINT('',(0.187991594200894,0.924815250788582,0.567499999999999)); #182412=CARTESIAN_POINT('',(0.187991594200894,0.924815250788582,0.577499999999999)); #182413=CARTESIAN_POINT('',(0.187991594200894,0.924815250788582,0.567499999999999)); #182414=CARTESIAN_POINT('Origin',(0.0629915960782054,0.924815250788582, 0.577499999999999)); #182415=CARTESIAN_POINT('',(0.234289669032873,0.924815250788582,0.577499999999999)); #182416=CARTESIAN_POINT('',(0.0629915960782054,0.924815250788582,0.567499999999999)); #182417=CARTESIAN_POINT('Origin',(0.120499323479612,0.879591686688404,0.567499999999999)); #182418=CARTESIAN_POINT('Ctrl Pts',(0.0865243701150224,0.725120131836483, 0.577499999999999)); #182419=CARTESIAN_POINT('Ctrl Pts',(0.0865243701150224,0.725120131836483, 0.567499999999999)); #182420=CARTESIAN_POINT('Ctrl Pts',(0.0823322970072496,0.729216930555443, 0.577499999999999)); #182421=CARTESIAN_POINT('Ctrl Pts',(0.0823322970072496,0.729216930555443, 0.567499999999999)); #182422=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442243,0.74331754009977, 0.577499999999999)); #182423=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442243,0.74331754009977, 0.567499999999999)); #182424=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442243,0.755703210645464, 0.577499999999999)); #182425=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442243,0.755703210645464, 0.567499999999999)); #182426=CARTESIAN_POINT('',(0.0777591263442243,0.755703210645464,0.577499999999999)); #182427=CARTESIAN_POINT('',(0.0865243701150224,0.725120131836483,0.577499999999999)); #182428=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442243,0.755703210645464, 0.577499999999999)); #182429=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442243,0.74331754009977, 0.577499999999999)); #182430=CARTESIAN_POINT('Ctrl Pts',(0.0823322970072496,0.729216930555443, 0.577499999999999)); #182431=CARTESIAN_POINT('Ctrl Pts',(0.0865243701150224,0.725120131836483, 0.577499999999999)); #182432=CARTESIAN_POINT('',(0.0777591263442243,0.755703210645464,0.567499999999999)); #182433=CARTESIAN_POINT('',(0.0777591263442243,0.755703210645464,0.577499999999999)); #182434=CARTESIAN_POINT('',(0.0865243701150224,0.725120131836483,0.567499999999999)); #182435=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442243,0.755703210645464, 0.567499999999999)); #182436=CARTESIAN_POINT('Ctrl Pts',(0.0777591263442243,0.74331754009977, 0.567499999999999)); #182437=CARTESIAN_POINT('Ctrl Pts',(0.0823322970072496,0.729216930555443, 0.567499999999999)); #182438=CARTESIAN_POINT('Ctrl Pts',(0.0865243701150224,0.725120131836483, 0.567499999999999)); #182439=CARTESIAN_POINT('',(0.0865243701150224,0.725120131836483,0.577499999999999)); #182440=CARTESIAN_POINT('Ctrl Pts',(0.12644433902768,0.712639186901976, 0.577499999999999)); #182441=CARTESIAN_POINT('Ctrl Pts',(0.12644433902768,0.712639186901976, 0.567499999999999)); #182442=CARTESIAN_POINT('Ctrl Pts',(0.112248455094539,0.712639186901976, 0.577499999999999)); #182443=CARTESIAN_POINT('Ctrl Pts',(0.112248455094539,0.712639186901976, 0.567499999999999)); #182444=CARTESIAN_POINT('Ctrl Pts',(0.0924313822214299,0.719213119730075, 0.577499999999999)); #182445=CARTESIAN_POINT('Ctrl Pts',(0.0924313822214299,0.719213119730075, 0.567499999999999)); #182446=CARTESIAN_POINT('Ctrl Pts',(0.0865243701150224,0.725120131836483, 0.577499999999999)); #182447=CARTESIAN_POINT('Ctrl Pts',(0.0865243701150224,0.725120131836483, 0.567499999999999)); #182448=CARTESIAN_POINT('',(0.12644433902768,0.712639186901976,0.577499999999999)); #182449=CARTESIAN_POINT('Ctrl Pts',(0.0865243701150224,0.725120131836483, 0.577499999999999)); #182450=CARTESIAN_POINT('Ctrl Pts',(0.0924313822214299,0.719213119730075, 0.577499999999999)); #182451=CARTESIAN_POINT('Ctrl Pts',(0.112248455094539,0.712639186901976, 0.577499999999999)); #182452=CARTESIAN_POINT('Ctrl Pts',(0.12644433902768,0.712639186901976, 0.577499999999999)); #182453=CARTESIAN_POINT('',(0.12644433902768,0.712639186901976,0.567499999999999)); #182454=CARTESIAN_POINT('Ctrl Pts',(0.0865243701150224,0.725120131836483, 0.567499999999999)); #182455=CARTESIAN_POINT('Ctrl Pts',(0.0924313822214299,0.719213119730075, 0.567499999999999)); #182456=CARTESIAN_POINT('Ctrl Pts',(0.112248455094539,0.712639186901976, 0.567499999999999)); #182457=CARTESIAN_POINT('Ctrl Pts',(0.12644433902768,0.712639186901976, 0.567499999999999)); #182458=CARTESIAN_POINT('',(0.12644433902768,0.712639186901976,0.577499999999999)); #182459=CARTESIAN_POINT('Ctrl Pts',(0.170651655436923,0.734742845106598, 0.577499999999999)); #182460=CARTESIAN_POINT('Ctrl Pts',(0.170651655436923,0.734742845106598, 0.567499999999999)); #182461=CARTESIAN_POINT('Ctrl Pts',(0.167126503050842,0.725501229391735, 0.577499999999999)); #182462=CARTESIAN_POINT('Ctrl Pts',(0.167126503050842,0.725501229391735, 0.567499999999999)); #182463=CARTESIAN_POINT('Ctrl Pts',(0.146070863123163,0.712639186901976, 0.577499999999999)); #182464=CARTESIAN_POINT('Ctrl Pts',(0.146070863123163,0.712639186901976, 0.567499999999999)); #182465=CARTESIAN_POINT('Ctrl Pts',(0.12644433902768,0.712639186901976, 0.577499999999999)); #182466=CARTESIAN_POINT('Ctrl Pts',(0.12644433902768,0.712639186901976, 0.567499999999999)); #182467=CARTESIAN_POINT('',(0.170651655436923,0.734742845106598,0.577499999999999)); #182468=CARTESIAN_POINT('Ctrl Pts',(0.12644433902768,0.712639186901976, 0.577499999999999)); #182469=CARTESIAN_POINT('Ctrl Pts',(0.146070863123163,0.712639186901976, 0.577499999999999)); #182470=CARTESIAN_POINT('Ctrl Pts',(0.167126503050842,0.725501229391735, 0.577499999999999)); #182471=CARTESIAN_POINT('Ctrl Pts',(0.170651655436923,0.734742845106598, 0.577499999999999)); #182472=CARTESIAN_POINT('',(0.170651655436923,0.734742845106598,0.567499999999999)); #182473=CARTESIAN_POINT('Ctrl Pts',(0.12644433902768,0.712639186901976, 0.567499999999999)); #182474=CARTESIAN_POINT('Ctrl Pts',(0.146070863123163,0.712639186901976, 0.567499999999999)); #182475=CARTESIAN_POINT('Ctrl Pts',(0.167126503050842,0.725501229391735, 0.567499999999999)); #182476=CARTESIAN_POINT('Ctrl Pts',(0.170651655436923,0.734742845106598, 0.567499999999999)); #182477=CARTESIAN_POINT('',(0.170651655436923,0.734742845106598,0.577499999999999)); #182478=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,0.756084308200716, 0.577499999999999)); #182479=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,0.756084308200716, 0.567499999999999)); #182480=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,0.74141205232351, 0.577499999999999)); #182481=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,0.74141205232351, 0.567499999999999)); #182482=CARTESIAN_POINT('Ctrl Pts',(0.170651655436923,0.734742845106598, 0.577499999999999)); #182483=CARTESIAN_POINT('Ctrl Pts',(0.170651655436923,0.734742845106598, 0.567499999999999)); #182484=CARTESIAN_POINT('',(0.173224063934875,0.756084308200716,0.577499999999999)); #182485=CARTESIAN_POINT('Ctrl Pts',(0.170651655436923,0.734742845106598, 0.577499999999999)); #182486=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,0.74141205232351, 0.577499999999999)); #182487=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,0.756084308200716, 0.577499999999999)); #182488=CARTESIAN_POINT('',(0.173224063934875,0.756084308200716,0.567499999999999)); #182489=CARTESIAN_POINT('Ctrl Pts',(0.170651655436923,0.734742845106598, 0.567499999999999)); #182490=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,0.74141205232351, 0.567499999999999)); #182491=CARTESIAN_POINT('Ctrl Pts',(0.173224063934875,0.756084308200716, 0.567499999999999)); #182492=CARTESIAN_POINT('',(0.173224063934875,0.756084308200716,0.577499999999999)); #182493=CARTESIAN_POINT('Origin',(0.173224063934875,0.78238003951311,0.577499999999999)); #182494=CARTESIAN_POINT('',(0.173224063934875,0.78238003951311,0.577499999999999)); #182495=CARTESIAN_POINT('',(0.173224063934875,0.405208849756555,0.577499999999999)); #182496=CARTESIAN_POINT('',(0.173224063934875,0.78238003951311,0.567499999999999)); #182497=CARTESIAN_POINT('',(0.173224063934875,0.770862327328484,0.567499999999999)); #182498=CARTESIAN_POINT('',(0.173224063934875,0.78238003951311,0.577499999999999)); #182499=CARTESIAN_POINT('Origin',(0.0777591263442243,0.78238003951311,0.577499999999999)); #182500=CARTESIAN_POINT('',(0.0777591263442243,0.78238003951311,0.577499999999999)); #182501=CARTESIAN_POINT('',(0.241673434165883,0.78238003951311,0.577499999999999)); #182502=CARTESIAN_POINT('',(0.0777591263442243,0.78238003951311,0.567499999999999)); #182503=CARTESIAN_POINT('',(0.101617669048431,0.78238003951311,0.567499999999999)); #182504=CARTESIAN_POINT('',(0.0777591263442243,0.78238003951311,0.577499999999999)); #182505=CARTESIAN_POINT('Origin',(0.0777591263442243,0.755703210645464, 0.577499999999999)); #182506=CARTESIAN_POINT('',(0.0777591263442243,0.391870435322732,0.577499999999999)); #182507=CARTESIAN_POINT('',(0.0777591263442243,0.757523912894661,0.567499999999999)); #182508=CARTESIAN_POINT('Origin',(0.0629915960782054,0.753797722869203, 0.577499999999999)); #182509=CARTESIAN_POINT('',(0.0629915960782054,0.753797722869203,0.577499999999999)); #182510=CARTESIAN_POINT('',(0.0629915960782054,0.798957783166577,0.577499999999999)); #182511=CARTESIAN_POINT('',(0.0629915960782054,0.390917691434601,0.577499999999999)); #182512=CARTESIAN_POINT('',(0.0629915960782054,0.753797722869203,0.567499999999999)); #182513=CARTESIAN_POINT('',(0.0629915960782054,0.753797722869203,0.577499999999999)); #182514=CARTESIAN_POINT('',(0.0629915960782054,0.798957783166577,0.567499999999999)); #182515=CARTESIAN_POINT('',(0.0629915960782054,0.753797722869203,0.567499999999999)); #182516=CARTESIAN_POINT('',(0.0629915960782054,0.798957783166577,0.577499999999999)); #182517=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,0.695585071304445, 0.577499999999999)); #182518=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,0.695585071304445, 0.567499999999999)); #182519=CARTESIAN_POINT('Ctrl Pts',(0.1119626319281,0.695585071304445,0.577499999999999)); #182520=CARTESIAN_POINT('Ctrl Pts',(0.1119626319281,0.695585071304445,0.567499999999999)); #182521=CARTESIAN_POINT('Ctrl Pts',(0.0899542481122914,0.702254278521357, 0.577499999999999)); #182522=CARTESIAN_POINT('Ctrl Pts',(0.0899542481122914,0.702254278521357, 0.567499999999999)); #182523=CARTESIAN_POINT('Ctrl Pts',(0.0755678154015246,0.712639186901976, 0.577499999999999)); #182524=CARTESIAN_POINT('Ctrl Pts',(0.0755678154015246,0.712639186901976, 0.567499999999999)); #182525=CARTESIAN_POINT('Ctrl Pts',(0.0672789435747915,0.72502485744767, 0.577499999999999)); #182526=CARTESIAN_POINT('Ctrl Pts',(0.0672789435747916,0.72502485744767, 0.567499999999999)); #182527=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782054,0.742460070600453, 0.577499999999999)); #182528=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782054,0.742460070600453, 0.567499999999999)); #182529=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782054,0.753797722869203, 0.577499999999999)); #182530=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782054,0.753797722869203, 0.567499999999999)); #182531=CARTESIAN_POINT('',(0.126158515861241,0.695585071304445,0.577499999999999)); #182532=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,0.695585071304445, 0.577499999999999)); #182533=CARTESIAN_POINT('Ctrl Pts',(0.1119626319281,0.695585071304445,0.577499999999999)); #182534=CARTESIAN_POINT('Ctrl Pts',(0.0899542481122914,0.702254278521357, 0.577499999999999)); #182535=CARTESIAN_POINT('Ctrl Pts',(0.0755678154015246,0.712639186901976, 0.577499999999999)); #182536=CARTESIAN_POINT('Ctrl Pts',(0.0672789435747915,0.72502485744767, 0.577499999999999)); #182537=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782054,0.742460070600453, 0.577499999999999)); #182538=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782054,0.753797722869203, 0.577499999999999)); #182539=CARTESIAN_POINT('',(0.126158515861241,0.695585071304445,0.567499999999999)); #182540=CARTESIAN_POINT('',(0.126158515861241,0.695585071304445,0.577499999999999)); #182541=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,0.695585071304445, 0.567499999999999)); #182542=CARTESIAN_POINT('Ctrl Pts',(0.1119626319281,0.695585071304445,0.567499999999999)); #182543=CARTESIAN_POINT('Ctrl Pts',(0.0899542481122914,0.702254278521357, 0.567499999999999)); #182544=CARTESIAN_POINT('Ctrl Pts',(0.0755678154015246,0.712639186901976, 0.567499999999999)); #182545=CARTESIAN_POINT('Ctrl Pts',(0.0672789435747916,0.72502485744767, 0.567499999999999)); #182546=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782054,0.742460070600453, 0.567499999999999)); #182547=CARTESIAN_POINT('Ctrl Pts',(0.0629915960782054,0.753797722869203, 0.567499999999999)); #182548=CARTESIAN_POINT('Ctrl Pts',(0.177225588265022,0.715306869788741, 0.577499999999999)); #182549=CARTESIAN_POINT('Ctrl Pts',(0.177225588265022,0.715306869788741, 0.567499999999999)); #182550=CARTESIAN_POINT('Ctrl Pts',(0.168936716438289,0.705398333352186, 0.577499999999999)); #182551=CARTESIAN_POINT('Ctrl Pts',(0.168936716438289,0.705398333352186, 0.567499999999999)); #182552=CARTESIAN_POINT('Ctrl Pts',(0.142926808292333,0.695585071304445, 0.577499999999999)); #182553=CARTESIAN_POINT('Ctrl Pts',(0.142926808292333,0.695585071304445, 0.567499999999999)); #182554=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,0.695585071304445, 0.577499999999999)); #182555=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,0.695585071304445, 0.567499999999999)); #182556=CARTESIAN_POINT('',(0.177225588265022,0.715306869788741,0.577499999999999)); #182557=CARTESIAN_POINT('Ctrl Pts',(0.177225588265022,0.715306869788741, 0.577499999999999)); #182558=CARTESIAN_POINT('Ctrl Pts',(0.168936716438289,0.705398333352186, 0.577499999999999)); #182559=CARTESIAN_POINT('Ctrl Pts',(0.142926808292333,0.695585071304445, 0.577499999999999)); #182560=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,0.695585071304445, 0.577499999999999)); #182561=CARTESIAN_POINT('',(0.177225588265022,0.715306869788741,0.567499999999999)); #182562=CARTESIAN_POINT('',(0.177225588265022,0.715306869788741,0.577499999999999)); #182563=CARTESIAN_POINT('Ctrl Pts',(0.177225588265022,0.715306869788741, 0.567499999999999)); #182564=CARTESIAN_POINT('Ctrl Pts',(0.168936716438289,0.705398333352186, 0.567499999999999)); #182565=CARTESIAN_POINT('Ctrl Pts',(0.142926808292333,0.695585071304445, 0.567499999999999)); #182566=CARTESIAN_POINT('Ctrl Pts',(0.126158515861241,0.695585071304445, 0.567499999999999)); #182567=CARTESIAN_POINT('Ctrl Pts',(0.186181380813446,0.733599552440842, 0.577499999999999)); #182568=CARTESIAN_POINT('Ctrl Pts',(0.186181380813446,0.733599552440842, 0.567499999999999)); #182569=CARTESIAN_POINT('Ctrl Pts',(0.183704246704308,0.72283354650497, 0.577499999999999)); #182570=CARTESIAN_POINT('Ctrl Pts',(0.183704246704308,0.72283354650497, 0.567499999999999)); #182571=CARTESIAN_POINT('Ctrl Pts',(0.177225588265022,0.715306869788741, 0.577499999999999)); #182572=CARTESIAN_POINT('Ctrl Pts',(0.177225588265022,0.715306869788741, 0.567499999999999)); #182573=CARTESIAN_POINT('',(0.186181380813446,0.733599552440842,0.577499999999999)); #182574=CARTESIAN_POINT('Ctrl Pts',(0.186181380813446,0.733599552440842, 0.577499999999999)); #182575=CARTESIAN_POINT('Ctrl Pts',(0.183704246704308,0.72283354650497, 0.577499999999999)); #182576=CARTESIAN_POINT('Ctrl Pts',(0.177225588265022,0.715306869788741, 0.577499999999999)); #182577=CARTESIAN_POINT('',(0.186181380813446,0.733599552440842,0.567499999999999)); #182578=CARTESIAN_POINT('',(0.186181380813446,0.733599552440842,0.577499999999999)); #182579=CARTESIAN_POINT('Ctrl Pts',(0.186181380813446,0.733599552440842, 0.567499999999999)); #182580=CARTESIAN_POINT('Ctrl Pts',(0.183704246704308,0.72283354650497, 0.567499999999999)); #182581=CARTESIAN_POINT('Ctrl Pts',(0.177225588265022,0.715306869788741, 0.567499999999999)); #182582=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.75589375942309, 0.577499999999999)); #182583=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.75589375942309, 0.567499999999999)); #182584=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.741316777934697, 0.577499999999999)); #182585=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.741316777934697, 0.567499999999999)); #182586=CARTESIAN_POINT('Ctrl Pts',(0.186181380813446,0.733599552440842, 0.577499999999999)); #182587=CARTESIAN_POINT('Ctrl Pts',(0.186181380813446,0.733599552440842, 0.567499999999999)); #182588=CARTESIAN_POINT('',(0.187991594200894,0.75589375942309,0.577499999999999)); #182589=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.75589375942309, 0.577499999999999)); #182590=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.741316777934697, 0.577499999999999)); #182591=CARTESIAN_POINT('Ctrl Pts',(0.186181380813446,0.733599552440842, 0.577499999999999)); #182592=CARTESIAN_POINT('',(0.187991594200894,0.75589375942309,0.567499999999999)); #182593=CARTESIAN_POINT('',(0.187991594200894,0.75589375942309,0.577499999999999)); #182594=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.75589375942309, 0.567499999999999)); #182595=CARTESIAN_POINT('Ctrl Pts',(0.187991594200894,0.741316777934697, 0.567499999999999)); #182596=CARTESIAN_POINT('Ctrl Pts',(0.186181380813446,0.733599552440842, 0.567499999999999)); #182597=CARTESIAN_POINT('Origin',(0.187991594200894,0.798957783166577,0.577499999999999)); #182598=CARTESIAN_POINT('',(0.187991594200894,0.798957783166577,0.577499999999999)); #182599=CARTESIAN_POINT('',(0.187991594200894,0.413497721583288,0.577499999999999)); #182600=CARTESIAN_POINT('',(0.187991594200894,0.798957783166577,0.567499999999999)); #182601=CARTESIAN_POINT('',(0.187991594200894,0.798957783166577,0.577499999999999)); #182602=CARTESIAN_POINT('',(0.187991594200894,0.798957783166577,0.567499999999999)); #182603=CARTESIAN_POINT('Origin',(0.0629915960782054,0.798957783166577, 0.577499999999999)); #182604=CARTESIAN_POINT('',(0.234289669032873,0.798957783166577,0.577499999999999)); #182605=CARTESIAN_POINT('',(0.0629915960782054,0.798957783166577,0.567499999999999)); #182606=CARTESIAN_POINT('Origin',(0.125476211752637,0.759344615143858,0.567499999999999)); #182607=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.65748672113288, 0.577499999999999)); #182608=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.65748672113288, 0.567499999999999)); #182609=CARTESIAN_POINT('Ctrl Pts',(-0.130712631898251,1.65748672113288, 0.577499999999999)); #182610=CARTESIAN_POINT('Ctrl Pts',(-0.130712631898251,1.65748672113288, 0.567499999999999)); #182611=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.66715897703474, 0.577499999999999)); #182612=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.66715897703474, 0.567499999999999)); #182613=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.67875196460505, 0.577499999999999)); #182614=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.67875196460505, 0.567499999999999)); #182615=CARTESIAN_POINT('',(-0.139287326846708,1.67875196460505,0.577499999999999)); #182616=CARTESIAN_POINT('',(-0.122892510105258,1.65748672113288,0.577499999999999)); #182617=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.67875196460505, 0.577499999999999)); #182618=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.66715897703474, 0.577499999999999)); #182619=CARTESIAN_POINT('Ctrl Pts',(-0.130712631898251,1.65748672113288, 0.577499999999999)); #182620=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.65748672113288, 0.577499999999999)); #182621=CARTESIAN_POINT('',(-0.139287326846708,1.67875196460505,0.567499999999999)); #182622=CARTESIAN_POINT('',(-0.139287326846708,1.67875196460505,0.577499999999999)); #182623=CARTESIAN_POINT('',(-0.122892510105258,1.65748672113288,0.567499999999999)); #182624=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.67875196460505, 0.567499999999999)); #182625=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.66715897703474, 0.567499999999999)); #182626=CARTESIAN_POINT('Ctrl Pts',(-0.130712631898251,1.65748672113288, 0.567499999999999)); #182627=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.65748672113288, 0.567499999999999)); #182628=CARTESIAN_POINT('',(-0.122892510105258,1.65748672113288,0.577499999999999)); #182629=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.66784495263061, 0.577499999999999)); #182630=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.66784495263061, 0.567499999999999)); #182631=CARTESIAN_POINT('Ctrl Pts',(-0.109172998187726,1.66318031857865, 0.577499999999999)); #182632=CARTESIAN_POINT('Ctrl Pts',(-0.109172998187726,1.66318031857865, 0.567499999999999)); #182633=CARTESIAN_POINT('Ctrl Pts',(-0.11726751021907,1.65748672113288, 0.577499999999999)); #182634=CARTESIAN_POINT('Ctrl Pts',(-0.11726751021907,1.65748672113288, 0.567499999999999)); #182635=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.65748672113288, 0.577499999999999)); #182636=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.65748672113288, 0.567499999999999)); #182637=CARTESIAN_POINT('',(-0.107938242115148,1.66784495263061,0.577499999999999)); #182638=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.65748672113288, 0.577499999999999)); #182639=CARTESIAN_POINT('Ctrl Pts',(-0.11726751021907,1.65748672113288, 0.577499999999999)); #182640=CARTESIAN_POINT('Ctrl Pts',(-0.109172998187726,1.66318031857865, 0.577499999999999)); #182641=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.66784495263061, 0.577499999999999)); #182642=CARTESIAN_POINT('',(-0.107938242115148,1.66784495263061,0.567499999999999)); #182643=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.65748672113288, 0.567499999999999)); #182644=CARTESIAN_POINT('Ctrl Pts',(-0.11726751021907,1.65748672113288, 0.567499999999999)); #182645=CARTESIAN_POINT('Ctrl Pts',(-0.109172998187726,1.66318031857865, 0.567499999999999)); #182646=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.66784495263061, 0.567499999999999)); #182647=CARTESIAN_POINT('',(-0.107938242115148,1.66784495263061,0.577499999999999)); #182648=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.67895775728381, 0.577499999999999)); #182649=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.67895775728381, 0.567499999999999)); #182650=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.67086324525247, 0.577499999999999)); #182651=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.67086324525247, 0.567499999999999)); #182652=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.66784495263061, 0.577499999999999)); #182653=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.66784495263061, 0.567499999999999)); #182654=CARTESIAN_POINT('',(-0.107115071400096,1.67895775728381,0.577499999999999)); #182655=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.66784495263061, 0.577499999999999)); #182656=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.67086324525247, 0.577499999999999)); #182657=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.67895775728381, 0.577499999999999)); #182658=CARTESIAN_POINT('',(-0.107115071400096,1.67895775728381,0.567499999999999)); #182659=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.66784495263061, 0.567499999999999)); #182660=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.67086324525247, 0.567499999999999)); #182661=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.67895775728381, 0.567499999999999)); #182662=CARTESIAN_POINT('',(-0.107115071400096,1.67895775728381,0.577499999999999)); #182663=CARTESIAN_POINT('Origin',(-0.107115071400096,1.70200653730527,0.577499999999999)); #182664=CARTESIAN_POINT('',(-0.107115071400096,1.70200653730527,0.577499999999999)); #182665=CARTESIAN_POINT('',(-0.107115071400096,0.865022098652634,0.577499999999999)); #182666=CARTESIAN_POINT('',(-0.107115071400096,1.70200653730527,0.567499999999999)); #182667=CARTESIAN_POINT('',(-0.107115071400096,1.69722652474047,0.567499999999999)); #182668=CARTESIAN_POINT('',(-0.107115071400096,1.70200653730527,0.577499999999999)); #182669=CARTESIAN_POINT('Origin',(-0.139287326846708,1.70200653730527,0.577499999999999)); #182670=CARTESIAN_POINT('',(-0.139287326846708,1.70200653730527,0.577499999999999)); #182671=CARTESIAN_POINT('',(0.133150207570416,1.70200653730527,0.577499999999999)); #182672=CARTESIAN_POINT('',(-0.139287326846708,1.70200653730527,0.567499999999999)); #182673=CARTESIAN_POINT('',(-0.138130838504331,1.70200653730527,0.567499999999999)); #182674=CARTESIAN_POINT('',(-0.139287326846708,1.70200653730527,0.577499999999999)); #182675=CARTESIAN_POINT('Origin',(-0.139287326846708,1.67875196460505,0.577499999999999)); #182676=CARTESIAN_POINT('',(-0.139287326846708,0.853394812302526,0.577499999999999)); #182677=CARTESIAN_POINT('',(-0.139287326846708,1.68559923839037,0.567499999999999)); #182678=CARTESIAN_POINT('Origin',(-0.186482447843017,1.70200653730527,0.577499999999999)); #182679=CARTESIAN_POINT('',(-0.186482447843017,1.70200653730527,0.577499999999999)); #182680=CARTESIAN_POINT('',(-0.186482447843017,1.71394251267352,0.577499999999999)); #182681=CARTESIAN_POINT('',(-0.186482447843017,0.865022098652634,0.577499999999999)); #182682=CARTESIAN_POINT('',(-0.186482447843017,1.70200653730527,0.567499999999999)); #182683=CARTESIAN_POINT('',(-0.186482447843017,1.70200653730527,0.577499999999999)); #182684=CARTESIAN_POINT('',(-0.186482447843017,1.71394251267352,0.567499999999999)); #182685=CARTESIAN_POINT('',(-0.186482447843017,1.70200653730527,0.567499999999999)); #182686=CARTESIAN_POINT('',(-0.186482447843017,1.71394251267352,0.577499999999999)); #182687=CARTESIAN_POINT('Origin',(-0.149919948582795,1.70200653730527,0.577499999999999)); #182688=CARTESIAN_POINT('',(-0.149919948582795,1.70200653730527,0.577499999999999)); #182689=CARTESIAN_POINT('',(0.127833896702373,1.70200653730527,0.577499999999999)); #182690=CARTESIAN_POINT('',(-0.149919948582795,1.70200653730527,0.567499999999999)); #182691=CARTESIAN_POINT('',(-0.149919948582795,1.70200653730527,0.577499999999999)); #182692=CARTESIAN_POINT('',(-0.149919948582795,1.70200653730527,0.567499999999999)); #182693=CARTESIAN_POINT('Origin',(-0.149919948582795,1.67888915972423,0.577499999999999)); #182694=CARTESIAN_POINT('',(-0.149919948582795,1.67888915972423,0.577499999999999)); #182695=CARTESIAN_POINT('',(-0.149919948582795,0.853463409862113,0.577499999999999)); #182696=CARTESIAN_POINT('',(-0.149919948582795,1.67888915972423,0.567499999999999)); #182697=CARTESIAN_POINT('',(-0.149919948582795,1.67888915972423,0.577499999999999)); #182698=CARTESIAN_POINT('',(-0.149919948582795,1.67888915972423,0.567499999999999)); #182699=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.64520775796669, 0.577499999999999)); #182700=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.64520775796669, 0.567499999999999)); #182701=CARTESIAN_POINT('Ctrl Pts',(-0.134005314758459,1.64520775796669, 0.577499999999999)); #182702=CARTESIAN_POINT('Ctrl Pts',(-0.134005314758459,1.64520775796669, 0.567499999999999)); #182703=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.65981903815886, 0.577499999999999)); #182704=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.65981903815886, 0.567499999999999)); #182705=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.67888915972423, 0.577499999999999)); #182706=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.67888915972423, 0.567499999999999)); #182707=CARTESIAN_POINT('',(-0.12254952230732,1.64520775796669,0.577499999999999)); #182708=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.64520775796669, 0.577499999999999)); #182709=CARTESIAN_POINT('Ctrl Pts',(-0.134005314758459,1.64520775796669, 0.577499999999999)); #182710=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.65981903815886, 0.577499999999999)); #182711=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.67888915972423, 0.577499999999999)); #182712=CARTESIAN_POINT('',(-0.12254952230732,1.64520775796669,0.567499999999999)); #182713=CARTESIAN_POINT('',(-0.12254952230732,1.64520775796669,0.577499999999999)); #182714=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.64520775796669, 0.567499999999999)); #182715=CARTESIAN_POINT('Ctrl Pts',(-0.134005314758459,1.64520775796669, 0.567499999999999)); #182716=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.65981903815886, 0.567499999999999)); #182717=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.67888915972423, 0.567499999999999)); #182718=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.6662672087601, 0.577499999999999)); #182719=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.6662672087601, 0.567499999999999)); #182720=CARTESIAN_POINT('Ctrl Pts',(-0.0984717788920514,1.65961324548009, 0.577499999999999)); #182721=CARTESIAN_POINT('Ctrl Pts',(-0.0984717788920514,1.65961324548009, 0.567499999999999)); #182722=CARTESIAN_POINT('Ctrl Pts',(-0.104645559254941,1.6506955627337, 0.577499999999999)); #182723=CARTESIAN_POINT('Ctrl Pts',(-0.104645559254941,1.6506955627337, 0.567499999999999)); #182724=CARTESIAN_POINT('Ctrl Pts',(-0.115826961467729,1.64520775796669, 0.577499999999999)); #182725=CARTESIAN_POINT('Ctrl Pts',(-0.115826961467729,1.64520775796669, 0.567499999999999)); #182726=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.64520775796669, 0.577499999999999)); #182727=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.64520775796669, 0.567499999999999)); #182728=CARTESIAN_POINT('',(-0.0973742179386488,1.6662672087601,0.577499999999999)); #182729=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.6662672087601, 0.577499999999999)); #182730=CARTESIAN_POINT('Ctrl Pts',(-0.0984717788920514,1.65961324548009, 0.577499999999999)); #182731=CARTESIAN_POINT('Ctrl Pts',(-0.104645559254941,1.6506955627337, 0.577499999999999)); #182732=CARTESIAN_POINT('Ctrl Pts',(-0.115826961467729,1.64520775796669, 0.577499999999999)); #182733=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.64520775796669, 0.577499999999999)); #182734=CARTESIAN_POINT('',(-0.0973742179386488,1.6662672087601,0.567499999999999)); #182735=CARTESIAN_POINT('',(-0.0973742179386488,1.6662672087601,0.577499999999999)); #182736=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.6662672087601, 0.567499999999999)); #182737=CARTESIAN_POINT('Ctrl Pts',(-0.0984717788920514,1.65961324548009, 0.567499999999999)); #182738=CARTESIAN_POINT('Ctrl Pts',(-0.104645559254941,1.6506955627337, 0.567499999999999)); #182739=CARTESIAN_POINT('Ctrl Pts',(-0.115826961467729,1.64520775796669, 0.567499999999999)); #182740=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.64520775796669, 0.567499999999999)); #182741=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,1.67998672067763, 0.577499999999999)); #182742=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,1.67998672067763, 0.567499999999999)); #182743=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,1.67100044037165, 0.577499999999999)); #182744=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,1.67100044037165, 0.567499999999999)); #182745=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.6662672087601, 0.577499999999999)); #182746=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.6662672087601, 0.567499999999999)); #182747=CARTESIAN_POINT('',(-0.0964824496640094,1.67998672067763,0.577499999999999)); #182748=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,1.67998672067763, 0.577499999999999)); #182749=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,1.67100044037165, 0.577499999999999)); #182750=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.6662672087601, 0.577499999999999)); #182751=CARTESIAN_POINT('',(-0.0964824496640093,1.67998672067763,0.567499999999999)); #182752=CARTESIAN_POINT('',(-0.0964824496640094,1.67998672067763,0.577499999999999)); #182753=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,1.67998672067763, 0.567499999999999)); #182754=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,1.67100044037165, 0.567499999999999)); #182755=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.6662672087601, 0.567499999999999)); #182756=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.71394251267352, 0.577499999999999)); #182757=CARTESIAN_POINT('',(-0.0964824496640094,1.71394251267352,0.577499999999999)); #182758=CARTESIAN_POINT('',(-0.0964824496640094,0.87099008633676,0.577499999999999)); #182759=CARTESIAN_POINT('',(-0.0964824496640093,1.71394251267352,0.567499999999999)); #182760=CARTESIAN_POINT('',(-0.0964824496640094,1.71394251267352,0.577499999999999)); #182761=CARTESIAN_POINT('',(-0.0964824496640093,1.71394251267352,0.567499999999999)); #182762=CARTESIAN_POINT('Origin',(-0.186482447843017,1.71394251267352,0.577499999999999)); #182763=CARTESIAN_POINT('',(0.109552647072262,1.71394251267352,0.577499999999999)); #182764=CARTESIAN_POINT('',(-0.186482447843017,1.71394251267352,0.567499999999999)); #182765=CARTESIAN_POINT('Origin',(-0.136974350161953,1.69244651217568,0.567499999999999)); #182766=CARTESIAN_POINT('Origin',(-0.124607449094949,1.59279922244172,0.577499999999999)); #182767=CARTESIAN_POINT('',(-0.149576960784857,1.58333275921862,0.577499999999999)); #182768=CARTESIAN_POINT('',(-0.124607449094949,1.59279922244172,0.577499999999999)); #182769=CARTESIAN_POINT('',(-0.152165720069299,1.58235130652287,0.577499999999999)); #182770=CARTESIAN_POINT('',(-0.149576960784857,1.58333275921862,0.567499999999999)); #182771=CARTESIAN_POINT('',(-0.149576960784857,1.58333275921862,0.577499999999999)); #182772=CARTESIAN_POINT('',(-0.124607449094949,1.59279922244172,0.567499999999999)); #182773=CARTESIAN_POINT('',(-0.135214748276811,1.58877777385079,0.567499999999999)); #182774=CARTESIAN_POINT('',(-0.124607449094949,1.59279922244172,0.577499999999999)); #182775=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,1.59911019792378, 0.577499999999999)); #182776=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,1.59911019792378, 0.567499999999999)); #182777=CARTESIAN_POINT('Ctrl Pts',(-0.113220254203398,1.59705227113615, 0.577499999999999)); #182778=CARTESIAN_POINT('Ctrl Pts',(-0.113220254203398,1.59705227113615, 0.567499999999999)); #182779=CARTESIAN_POINT('Ctrl Pts',(-0.124607449094949,1.59279922244172, 0.577499999999999)); #182780=CARTESIAN_POINT('Ctrl Pts',(-0.124607449094949,1.59279922244172, 0.567499999999999)); #182781=CARTESIAN_POINT('',(-0.105948912887106,1.59911019792378,0.577499999999999)); #182782=CARTESIAN_POINT('Ctrl Pts',(-0.124607449094949,1.59279922244172, 0.577499999999999)); #182783=CARTESIAN_POINT('Ctrl Pts',(-0.113220254203398,1.59705227113615, 0.577499999999999)); #182784=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,1.59911019792378, 0.577499999999999)); #182785=CARTESIAN_POINT('',(-0.105948912887106,1.59911019792378,0.567499999999999)); #182786=CARTESIAN_POINT('Ctrl Pts',(-0.124607449094949,1.59279922244172, 0.567499999999999)); #182787=CARTESIAN_POINT('Ctrl Pts',(-0.113220254203398,1.59705227113615, 0.567499999999999)); #182788=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,1.59911019792378, 0.567499999999999)); #182789=CARTESIAN_POINT('',(-0.105948912887106,1.59911019792378,0.577499999999999)); #182790=CARTESIAN_POINT('Ctrl Pts',(-0.123166900343608,1.6039806246545, 0.577499999999999)); #182791=CARTESIAN_POINT('Ctrl Pts',(-0.123166900343608,1.6039806246545, 0.567499999999999)); #182792=CARTESIAN_POINT('Ctrl Pts',(-0.114592205395151,1.60089373447306, 0.577499999999999)); #182793=CARTESIAN_POINT('Ctrl Pts',(-0.114592205395151,1.60089373447306, 0.567499999999999)); #182794=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,1.59911019792378, 0.577499999999999)); #182795=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,1.59911019792378, 0.567499999999999)); #182796=CARTESIAN_POINT('',(-0.123166900343608,1.6039806246545,0.577499999999999)); #182797=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,1.59911019792378, 0.577499999999999)); #182798=CARTESIAN_POINT('Ctrl Pts',(-0.114592205395151,1.60089373447306, 0.577499999999999)); #182799=CARTESIAN_POINT('Ctrl Pts',(-0.123166900343608,1.6039806246545, 0.577499999999999)); #182800=CARTESIAN_POINT('',(-0.123166900343608,1.6039806246545,0.567499999999999)); #182801=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,1.59911019792378, 0.567499999999999)); #182802=CARTESIAN_POINT('Ctrl Pts',(-0.114592205395151,1.60089373447306, 0.567499999999999)); #182803=CARTESIAN_POINT('Ctrl Pts',(-0.123166900343608,1.6039806246545, 0.567499999999999)); #182804=CARTESIAN_POINT('',(-0.123166900343608,1.6039806246545,0.577499999999999)); #182805=CARTESIAN_POINT('Origin',(-0.149576960784857,1.61392727079471,0.577499999999999)); #182806=CARTESIAN_POINT('',(-0.149576960784857,1.61392727079471,0.577499999999999)); #182807=CARTESIAN_POINT('',(0.355065803147354,1.42386700905401,0.5775)); #182808=CARTESIAN_POINT('',(-0.149576960784857,1.61392727079471,0.567499999999999)); #182809=CARTESIAN_POINT('',(-0.147551639046919,1.61316448728302,0.567499999999999)); #182810=CARTESIAN_POINT('',(-0.149576960784857,1.61392727079471,0.577499999999999)); #182811=CARTESIAN_POINT('Origin',(-0.149576960784857,1.58333275921862,0.577499999999999)); #182812=CARTESIAN_POINT('',(-0.149576960784857,0.805685209609309,0.577499999999999)); #182813=CARTESIAN_POINT('',(-0.149576960784857,1.59073739208655,0.567499999999999)); #182814=CARTESIAN_POINT('Origin',(-0.186482447843017,1.62723519735472,0.577499999999999)); #182815=CARTESIAN_POINT('',(-0.186482447843017,1.62723519735472,0.577499999999999)); #182816=CARTESIAN_POINT('',(-0.186482447843017,1.63985714831885,0.577499999999999)); #182817=CARTESIAN_POINT('',(-0.186482447843017,0.82763642867736,0.577499999999999)); #182818=CARTESIAN_POINT('',(-0.186482447843017,1.62723519735472,0.567499999999999)); #182819=CARTESIAN_POINT('',(-0.186482447843017,1.62723519735472,0.577499999999999)); #182820=CARTESIAN_POINT('',(-0.186482447843017,1.63985714831885,0.567499999999999)); #182821=CARTESIAN_POINT('',(-0.186482447843017,1.62723519735472,0.567499999999999)); #182822=CARTESIAN_POINT('',(-0.186482447843017,1.63985714831885,0.577499999999999)); #182823=CARTESIAN_POINT('Origin',(-0.159249216686717,1.6173571487741,0.577499999999999)); #182824=CARTESIAN_POINT('',(-0.159249216686717,1.6173571487741,0.577499999999999)); #182825=CARTESIAN_POINT('',(0.345058739112238,1.43443436379916,0.5775)); #182826=CARTESIAN_POINT('',(-0.159249216686717,1.6173571487741,0.567499999999999)); #182827=CARTESIAN_POINT('',(-0.159249216686717,1.6173571487741,0.577499999999999)); #182828=CARTESIAN_POINT('',(-0.159249216686717,1.6173571487741,0.567499999999999)); #182829=CARTESIAN_POINT('Origin',(-0.159249216686717,1.57962849100089,0.577499999999999)); #182830=CARTESIAN_POINT('',(-0.159249216686717,1.57962849100089,0.577499999999999)); #182831=CARTESIAN_POINT('',(-0.159249216686717,0.803833075500443,0.577499999999999)); #182832=CARTESIAN_POINT('',(-0.159249216686717,1.57962849100089,0.567499999999999)); #182833=CARTESIAN_POINT('',(-0.159249216686717,1.57962849100089,0.577499999999999)); #182834=CARTESIAN_POINT('',(-0.159249216686717,1.57962849100089,0.567499999999999)); #182835=CARTESIAN_POINT('Origin',(-0.186482447843017,1.56920166194356,0.577499999999999)); #182836=CARTESIAN_POINT('',(-0.186482447843017,1.56920166194356,0.577499999999999)); #182837=CARTESIAN_POINT('',(-0.185609267674123,1.56953597777649,0.577499999999999)); #182838=CARTESIAN_POINT('',(-0.186482447843017,1.56920166194356,0.567499999999999)); #182839=CARTESIAN_POINT('',(-0.186482447843017,1.56920166194356,0.577499999999999)); #182840=CARTESIAN_POINT('',(-0.186482447843017,1.56920166194356,0.567499999999999)); #182841=CARTESIAN_POINT('Origin',(-0.186482447843017,1.55561934514521,0.577499999999999)); #182842=CARTESIAN_POINT('',(-0.186482447843017,1.55561934514521,0.577499999999999)); #182843=CARTESIAN_POINT('',(-0.186482447843017,0.791828502572602,0.577499999999999)); #182844=CARTESIAN_POINT('',(-0.186482447843017,1.55561934514521,0.567499999999999)); #182845=CARTESIAN_POINT('',(-0.186482447843017,1.55561934514521,0.577499999999999)); #182846=CARTESIAN_POINT('',(-0.186482447843017,1.55561934514521,0.567499999999999)); #182847=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.59238763708419, 0.577499999999999)); #182848=CARTESIAN_POINT('',(-0.0964824496640094,1.59238763708419,0.577499999999999)); #182849=CARTESIAN_POINT('',(-0.155195173473807,1.56840134138141,0.577499999999999)); #182850=CARTESIAN_POINT('',(-0.0964824496640093,1.59238763708419,0.567499999999999)); #182851=CARTESIAN_POINT('',(-0.0964824496640094,1.59238763708419,0.577499999999999)); #182852=CARTESIAN_POINT('',(-0.0964824496640093,1.59238763708419,0.567499999999999)); #182853=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.60528397828667, 0.577499999999999)); #182854=CARTESIAN_POINT('',(-0.0964824496640094,1.60528397828667,0.577499999999999)); #182855=CARTESIAN_POINT('',(-0.0964824496640094,0.816660819143335,0.577499999999999)); #182856=CARTESIAN_POINT('',(-0.0964824496640093,1.60528397828667,0.567499999999999)); #182857=CARTESIAN_POINT('',(-0.0964824496640094,1.60528397828667,0.577499999999999)); #182858=CARTESIAN_POINT('',(-0.0964824496640093,1.60528397828667,0.567499999999999)); #182859=CARTESIAN_POINT('Origin',(-0.186482447843017,1.63985714831885,0.577499999999999)); #182860=CARTESIAN_POINT('',(0.341261579031972,1.43712621116565,0.5775)); #182861=CARTESIAN_POINT('',(-0.186482447843017,1.63985714831885,0.567499999999999)); #182862=CARTESIAN_POINT('Origin',(-0.150896845694547,1.59814202495449,0.567499999999999)); #182863=CARTESIAN_POINT('Origin',(-0.186482447843017,1.51130532165158,0.577499999999999)); #182864=CARTESIAN_POINT('',(-0.186482447843017,1.51130532165158,0.577499999999999)); #182865=CARTESIAN_POINT('',(-0.186482447843017,1.52324129701983,0.577499999999999)); #182866=CARTESIAN_POINT('',(-0.186482447843017,0.769671490825789,0.577499999999999)); #182867=CARTESIAN_POINT('',(-0.186482447843017,1.51130532165158,0.567499999999999)); #182868=CARTESIAN_POINT('',(-0.186482447843017,1.51130532165158,0.577499999999999)); #182869=CARTESIAN_POINT('',(-0.186482447843017,1.52324129701983,0.567499999999999)); #182870=CARTESIAN_POINT('',(-0.186482447843017,1.51130532165158,0.567499999999999)); #182871=CARTESIAN_POINT('',(-0.186482447843017,1.52324129701983,0.577499999999999)); #182872=CARTESIAN_POINT('Origin',(-0.107115071400096,1.51130532165158,0.577499999999999)); #182873=CARTESIAN_POINT('',(-0.107115071400096,1.51130532165158,0.577499999999999)); #182874=CARTESIAN_POINT('',(0.149236335293722,1.51130532165158,0.577499999999999)); #182875=CARTESIAN_POINT('',(-0.107115071400096,1.51130532165158,0.567499999999999)); #182876=CARTESIAN_POINT('',(-0.107115071400096,1.51130532165158,0.577499999999999)); #182877=CARTESIAN_POINT('',(-0.107115071400096,1.51130532165158,0.567499999999999)); #182878=CARTESIAN_POINT('Origin',(-0.107115071400096,1.48153398079053,0.577499999999999)); #182879=CARTESIAN_POINT('',(-0.107115071400096,1.48153398079053,0.577499999999999)); #182880=CARTESIAN_POINT('',(-0.107115071400096,0.754785820395267,0.577499999999999)); #182881=CARTESIAN_POINT('',(-0.107115071400096,1.48153398079053,0.567499999999999)); #182882=CARTESIAN_POINT('',(-0.107115071400096,1.48153398079053,0.577499999999999)); #182883=CARTESIAN_POINT('',(-0.107115071400096,1.48153398079053,0.567499999999999)); #182884=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.48153398079053, 0.577499999999999)); #182885=CARTESIAN_POINT('',(-0.0964824496640094,1.48153398079053,0.577499999999999)); #182886=CARTESIAN_POINT('',(0.154552646161766,1.48153398079053,0.577499999999999)); #182887=CARTESIAN_POINT('',(-0.0964824496640093,1.48153398079053,0.567499999999999)); #182888=CARTESIAN_POINT('',(-0.0964824496640094,1.48153398079053,0.577499999999999)); #182889=CARTESIAN_POINT('',(-0.0964824496640093,1.48153398079053,0.567499999999999)); #182890=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.5528754427617,0.577499999999999)); #182891=CARTESIAN_POINT('',(-0.0964824496640094,1.5528754427617,0.577499999999999)); #182892=CARTESIAN_POINT('',(-0.0964824496640094,0.790456551380849,0.577499999999999)); #182893=CARTESIAN_POINT('',(-0.0964824496640093,1.5528754427617,0.567499999999999)); #182894=CARTESIAN_POINT('',(-0.0964824496640094,1.5528754427617,0.577499999999999)); #182895=CARTESIAN_POINT('',(-0.0964824496640093,1.5528754427617,0.567499999999999)); #182896=CARTESIAN_POINT('Origin',(-0.107115071400096,1.5528754427617,0.577499999999999)); #182897=CARTESIAN_POINT('',(-0.107115071400096,1.5528754427617,0.577499999999999)); #182898=CARTESIAN_POINT('',(0.149236335293722,1.5528754427617,0.577499999999999)); #182899=CARTESIAN_POINT('',(-0.107115071400096,1.5528754427617,0.567499999999999)); #182900=CARTESIAN_POINT('',(-0.107115071400096,1.5528754427617,0.577499999999999)); #182901=CARTESIAN_POINT('',(-0.107115071400096,1.5528754427617,0.567499999999999)); #182902=CARTESIAN_POINT('Origin',(-0.107115071400096,1.52324129701983,0.577499999999999)); #182903=CARTESIAN_POINT('',(-0.107115071400096,1.52324129701983,0.577499999999999)); #182904=CARTESIAN_POINT('',(-0.107115071400096,0.775639478509915,0.577499999999999)); #182905=CARTESIAN_POINT('',(-0.107115071400096,1.52324129701983,0.567499999999999)); #182906=CARTESIAN_POINT('',(-0.107115071400096,1.52324129701983,0.577499999999999)); #182907=CARTESIAN_POINT('',(-0.107115071400096,1.52324129701983,0.567499999999999)); #182908=CARTESIAN_POINT('Origin',(-0.186482447843017,1.52324129701983,0.577499999999999)); #182909=CARTESIAN_POINT('',(0.109552647072262,1.52324129701983,0.577499999999999)); #182910=CARTESIAN_POINT('',(-0.186482447843017,1.52324129701983,0.567499999999999)); #182911=CARTESIAN_POINT('Origin',(-0.126871022733197,1.51723845640896,0.567499999999999)); #182912=CARTESIAN_POINT('Origin',(-0.186482447843017,1.37411020247626,0.577499999999999)); #182913=CARTESIAN_POINT('',(-0.186482447843017,1.37411020247626,0.577499999999999)); #182914=CARTESIAN_POINT('',(-0.186482447843017,1.38549739736781,0.577499999999999)); #182915=CARTESIAN_POINT('',(-0.186482447843017,0.70107393123813,0.577499999999999)); #182916=CARTESIAN_POINT('',(-0.186482447843017,1.37411020247626,0.567499999999999)); #182917=CARTESIAN_POINT('',(-0.186482447843017,1.37411020247626,0.577499999999999)); #182918=CARTESIAN_POINT('',(-0.186482447843017,1.38549739736781,0.567499999999999)); #182919=CARTESIAN_POINT('',(-0.186482447843017,1.37411020247626,0.567499999999999)); #182920=CARTESIAN_POINT('',(-0.186482447843017,1.38549739736781,0.577499999999999)); #182921=CARTESIAN_POINT('Origin',(-0.115826961467729,1.37411020247626,0.577499999999999)); #182922=CARTESIAN_POINT('',(-0.115826961467729,1.37411020247626,0.577499999999999)); #182923=CARTESIAN_POINT('',(0.144880390259906,1.37411020247626,0.577499999999999)); #182924=CARTESIAN_POINT('',(-0.115826961467729,1.37411020247626,0.567499999999999)); #182925=CARTESIAN_POINT('',(-0.115826961467729,1.37411020247626,0.577499999999999)); #182926=CARTESIAN_POINT('',(-0.115826961467729,1.37411020247626,0.567499999999999)); #182927=CARTESIAN_POINT('Origin',(-0.186482447843017,1.32677788636078,0.577499999999999)); #182928=CARTESIAN_POINT('',(-0.186482447843017,1.32677788636078,0.577499999999999)); #182929=CARTESIAN_POINT('',(-0.282411990615745,1.26251440625089,0.577499999999999)); #182930=CARTESIAN_POINT('',(-0.186482447843017,1.32677788636078,0.567499999999999)); #182931=CARTESIAN_POINT('',(-0.186482447843017,1.32677788636078,0.577499999999999)); #182932=CARTESIAN_POINT('',(-0.186482447843017,1.32677788636078,0.567499999999999)); #182933=CARTESIAN_POINT('Origin',(-0.186482447843017,1.31456752075417,0.577499999999999)); #182934=CARTESIAN_POINT('',(-0.186482447843017,1.31456752075417,0.577499999999999)); #182935=CARTESIAN_POINT('',(-0.186482447843017,0.671302590377087,0.577499999999999)); #182936=CARTESIAN_POINT('',(-0.186482447843017,1.31456752075417,0.567499999999999)); #182937=CARTESIAN_POINT('',(-0.186482447843017,1.31456752075417,0.577499999999999)); #182938=CARTESIAN_POINT('',(-0.186482447843017,1.31456752075417,0.567499999999999)); #182939=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.31456752075417, 0.577499999999999)); #182940=CARTESIAN_POINT('',(-0.0964824496640094,1.31456752075417,0.577499999999999)); #182941=CARTESIAN_POINT('',(0.154552646161766,1.31456752075417,0.577499999999999)); #182942=CARTESIAN_POINT('',(-0.0964824496640093,1.31456752075417,0.567499999999999)); #182943=CARTESIAN_POINT('',(-0.0964824496640094,1.31456752075417,0.577499999999999)); #182944=CARTESIAN_POINT('',(-0.0964824496640093,1.31456752075417,0.567499999999999)); #182945=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.32595471564573, 0.577499999999999)); #182946=CARTESIAN_POINT('',(-0.0964824496640094,1.32595471564573,0.577499999999999)); #182947=CARTESIAN_POINT('',(-0.0964824496640094,0.676996187822862,0.577499999999999)); #182948=CARTESIAN_POINT('',(-0.0964824496640093,1.32595471564573,0.567499999999999)); #182949=CARTESIAN_POINT('',(-0.0964824496640094,1.32595471564573,0.577499999999999)); #182950=CARTESIAN_POINT('',(-0.0964824496640093,1.32595471564573,0.567499999999999)); #182951=CARTESIAN_POINT('Origin',(-0.167137936039297,1.32595471564573,0.577499999999999)); #182952=CARTESIAN_POINT('',(-0.167137936039297,1.32595471564573,0.577499999999999)); #182953=CARTESIAN_POINT('',(0.119224902974122,1.32595471564573,0.577499999999999)); #182954=CARTESIAN_POINT('',(-0.167137936039297,1.32595471564573,0.567499999999999)); #182955=CARTESIAN_POINT('',(-0.167137936039297,1.32595471564573,0.577499999999999)); #182956=CARTESIAN_POINT('',(-0.167137936039297,1.32595471564573,0.567499999999999)); #182957=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.37328703176121, 0.577499999999999)); #182958=CARTESIAN_POINT('',(-0.0964824496640094,1.37328703176121,0.577499999999999)); #182959=CARTESIAN_POINT('',(-0.234225605873366,1.2810124902229,0.577499999999999)); #182960=CARTESIAN_POINT('',(-0.0964824496640093,1.37328703176121,0.567499999999999)); #182961=CARTESIAN_POINT('',(-0.0964824496640094,1.37328703176121,0.577499999999999)); #182962=CARTESIAN_POINT('',(-0.0964824496640093,1.37328703176121,0.567499999999999)); #182963=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.38549739736781, 0.577499999999999)); #182964=CARTESIAN_POINT('',(-0.0964824496640094,1.38549739736781,0.577499999999999)); #182965=CARTESIAN_POINT('',(-0.0964824496640094,0.706767528683906,0.577499999999999)); #182966=CARTESIAN_POINT('',(-0.0964824496640093,1.38549739736781,0.567499999999999)); #182967=CARTESIAN_POINT('',(-0.0964824496640094,1.38549739736781,0.577499999999999)); #182968=CARTESIAN_POINT('',(-0.0964824496640093,1.38549739736781,0.567499999999999)); #182969=CARTESIAN_POINT('Origin',(-0.186482447843017,1.38549739736781,0.577499999999999)); #182970=CARTESIAN_POINT('',(0.109552647072262,1.38549739736781,0.577499999999999)); #182971=CARTESIAN_POINT('',(-0.186482447843017,1.38549739736781,0.567499999999999)); #182972=CARTESIAN_POINT('Origin',(-0.141482448753513,1.35003245906099,0.567499999999999)); #182973=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.12640441480523, 0.577499999999999)); #182974=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.12640441480523, 0.567499999999999)); #182975=CARTESIAN_POINT('Ctrl Pts',(-0.130712631898251,1.12640441480523, 0.577499999999999)); #182976=CARTESIAN_POINT('Ctrl Pts',(-0.130712631898251,1.12640441480523, 0.567499999999999)); #182977=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.13607667070709, 0.577499999999999)); #182978=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.13607667070709, 0.567499999999999)); #182979=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.1476696582774, 0.577499999999999)); #182980=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.1476696582774, 0.567499999999999)); #182981=CARTESIAN_POINT('',(-0.139287326846708,1.1476696582774,0.577499999999999)); #182982=CARTESIAN_POINT('',(-0.122892510105258,1.12640441480523,0.577499999999999)); #182983=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.1476696582774, 0.577499999999999)); #182984=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.13607667070709, 0.577499999999999)); #182985=CARTESIAN_POINT('Ctrl Pts',(-0.130712631898251,1.12640441480523, 0.577499999999999)); #182986=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.12640441480523, 0.577499999999999)); #182987=CARTESIAN_POINT('',(-0.139287326846708,1.1476696582774,0.567499999999999)); #182988=CARTESIAN_POINT('',(-0.139287326846708,1.1476696582774,0.577499999999999)); #182989=CARTESIAN_POINT('',(-0.122892510105258,1.12640441480523,0.567499999999999)); #182990=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.1476696582774, 0.567499999999999)); #182991=CARTESIAN_POINT('Ctrl Pts',(-0.139287326846708,1.13607667070709, 0.567499999999999)); #182992=CARTESIAN_POINT('Ctrl Pts',(-0.130712631898251,1.12640441480523, 0.567499999999999)); #182993=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.12640441480523, 0.567499999999999)); #182994=CARTESIAN_POINT('',(-0.122892510105258,1.12640441480523,0.577499999999999)); #182995=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.13676264630296, 0.577499999999999)); #182996=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.13676264630296, 0.567499999999999)); #182997=CARTESIAN_POINT('Ctrl Pts',(-0.109172998187726,1.132098012251,0.577499999999999)); #182998=CARTESIAN_POINT('Ctrl Pts',(-0.109172998187726,1.132098012251,0.567499999999999)); #182999=CARTESIAN_POINT('Ctrl Pts',(-0.11726751021907,1.12640441480523, 0.577499999999999)); #183000=CARTESIAN_POINT('Ctrl Pts',(-0.11726751021907,1.12640441480523, 0.567499999999999)); #183001=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.12640441480523, 0.577499999999999)); #183002=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.12640441480523, 0.567499999999999)); #183003=CARTESIAN_POINT('',(-0.107938242115148,1.13676264630296,0.577499999999999)); #183004=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.12640441480523, 0.577499999999999)); #183005=CARTESIAN_POINT('Ctrl Pts',(-0.11726751021907,1.12640441480523, 0.577499999999999)); #183006=CARTESIAN_POINT('Ctrl Pts',(-0.109172998187726,1.132098012251,0.577499999999999)); #183007=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.13676264630296, 0.577499999999999)); #183008=CARTESIAN_POINT('',(-0.107938242115148,1.13676264630296,0.567499999999999)); #183009=CARTESIAN_POINT('Ctrl Pts',(-0.122892510105258,1.12640441480523, 0.567499999999999)); #183010=CARTESIAN_POINT('Ctrl Pts',(-0.11726751021907,1.12640441480523, 0.567499999999999)); #183011=CARTESIAN_POINT('Ctrl Pts',(-0.109172998187726,1.132098012251,0.567499999999999)); #183012=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.13676264630296, 0.567499999999999)); #183013=CARTESIAN_POINT('',(-0.107938242115148,1.13676264630296,0.577499999999999)); #183014=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.14787545095616, 0.577499999999999)); #183015=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.14787545095616, 0.567499999999999)); #183016=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.13978093892482, 0.577499999999999)); #183017=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.13978093892482, 0.567499999999999)); #183018=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.13676264630296, 0.577499999999999)); #183019=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.13676264630296, 0.567499999999999)); #183020=CARTESIAN_POINT('',(-0.107115071400096,1.14787545095616,0.577499999999999)); #183021=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.13676264630296, 0.577499999999999)); #183022=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.13978093892482, 0.577499999999999)); #183023=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.14787545095616, 0.577499999999999)); #183024=CARTESIAN_POINT('',(-0.107115071400096,1.14787545095616,0.567499999999999)); #183025=CARTESIAN_POINT('Ctrl Pts',(-0.107938242115148,1.13676264630296, 0.567499999999999)); #183026=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.13978093892482, 0.567499999999999)); #183027=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,1.14787545095616, 0.567499999999999)); #183028=CARTESIAN_POINT('',(-0.107115071400096,1.14787545095616,0.577499999999999)); #183029=CARTESIAN_POINT('Origin',(-0.107115071400096,1.17092423097762,0.577499999999999)); #183030=CARTESIAN_POINT('',(-0.107115071400096,1.17092423097762,0.577499999999999)); #183031=CARTESIAN_POINT('',(-0.107115071400096,0.599480945488808,0.577499999999999)); #183032=CARTESIAN_POINT('',(-0.107115071400096,1.17092423097762,0.567499999999999)); #183033=CARTESIAN_POINT('',(-0.107115071400096,1.16614421841282,0.567499999999999)); #183034=CARTESIAN_POINT('',(-0.107115071400096,1.17092423097762,0.577499999999999)); #183035=CARTESIAN_POINT('Origin',(-0.139287326846708,1.17092423097762,0.577499999999999)); #183036=CARTESIAN_POINT('',(-0.139287326846708,1.17092423097762,0.577499999999999)); #183037=CARTESIAN_POINT('',(0.133150207570416,1.17092423097762,0.577499999999999)); #183038=CARTESIAN_POINT('',(-0.139287326846708,1.17092423097762,0.567499999999999)); #183039=CARTESIAN_POINT('',(-0.138130838504331,1.17092423097762,0.567499999999999)); #183040=CARTESIAN_POINT('',(-0.139287326846708,1.17092423097762,0.577499999999999)); #183041=CARTESIAN_POINT('Origin',(-0.139287326846708,1.1476696582774,0.577499999999999)); #183042=CARTESIAN_POINT('',(-0.139287326846708,0.5878536591387,0.577499999999999)); #183043=CARTESIAN_POINT('',(-0.139287326846708,1.15451693206272,0.567499999999999)); #183044=CARTESIAN_POINT('Origin',(-0.186482447843017,1.17092423097762,0.577499999999999)); #183045=CARTESIAN_POINT('',(-0.186482447843017,1.17092423097762,0.577499999999999)); #183046=CARTESIAN_POINT('',(-0.186482447843017,1.18286020634587,0.577499999999999)); #183047=CARTESIAN_POINT('',(-0.186482447843017,0.599480945488808,0.577499999999999)); #183048=CARTESIAN_POINT('',(-0.186482447843017,1.17092423097762,0.567499999999999)); #183049=CARTESIAN_POINT('',(-0.186482447843017,1.17092423097762,0.577499999999999)); #183050=CARTESIAN_POINT('',(-0.186482447843017,1.18286020634587,0.567499999999999)); #183051=CARTESIAN_POINT('',(-0.186482447843017,1.17092423097762,0.567499999999999)); #183052=CARTESIAN_POINT('',(-0.186482447843017,1.18286020634587,0.577499999999999)); #183053=CARTESIAN_POINT('Origin',(-0.149919948582795,1.17092423097762,0.577499999999999)); #183054=CARTESIAN_POINT('',(-0.149919948582795,1.17092423097762,0.577499999999999)); #183055=CARTESIAN_POINT('',(0.127833896702373,1.17092423097762,0.577499999999999)); #183056=CARTESIAN_POINT('',(-0.149919948582795,1.17092423097762,0.567499999999999)); #183057=CARTESIAN_POINT('',(-0.149919948582795,1.17092423097762,0.577499999999999)); #183058=CARTESIAN_POINT('',(-0.149919948582795,1.17092423097762,0.567499999999999)); #183059=CARTESIAN_POINT('Origin',(-0.149919948582795,1.14780685339658,0.577499999999999)); #183060=CARTESIAN_POINT('',(-0.149919948582795,1.14780685339658,0.577499999999999)); #183061=CARTESIAN_POINT('',(-0.149919948582795,0.587922256698288,0.577499999999999)); #183062=CARTESIAN_POINT('',(-0.149919948582795,1.14780685339658,0.567499999999999)); #183063=CARTESIAN_POINT('',(-0.149919948582795,1.14780685339658,0.577499999999999)); #183064=CARTESIAN_POINT('',(-0.149919948582795,1.14780685339658,0.567499999999999)); #183065=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.11412545163904, 0.577499999999999)); #183066=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.11412545163904, 0.567499999999999)); #183067=CARTESIAN_POINT('Ctrl Pts',(-0.134005314758459,1.11412545163904, 0.577499999999999)); #183068=CARTESIAN_POINT('Ctrl Pts',(-0.134005314758459,1.11412545163904, 0.567499999999999)); #183069=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.12873673183121, 0.577499999999999)); #183070=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.12873673183121, 0.567499999999999)); #183071=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.14780685339658, 0.577499999999999)); #183072=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.14780685339658, 0.567499999999999)); #183073=CARTESIAN_POINT('',(-0.12254952230732,1.11412545163904,0.577499999999999)); #183074=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.11412545163904, 0.577499999999999)); #183075=CARTESIAN_POINT('Ctrl Pts',(-0.134005314758459,1.11412545163904, 0.577499999999999)); #183076=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.12873673183121, 0.577499999999999)); #183077=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.14780685339658, 0.577499999999999)); #183078=CARTESIAN_POINT('',(-0.12254952230732,1.11412545163904,0.567499999999999)); #183079=CARTESIAN_POINT('',(-0.12254952230732,1.11412545163904,0.577499999999999)); #183080=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.11412545163904, 0.567499999999999)); #183081=CARTESIAN_POINT('Ctrl Pts',(-0.134005314758459,1.11412545163904, 0.567499999999999)); #183082=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.12873673183121, 0.567499999999999)); #183083=CARTESIAN_POINT('Ctrl Pts',(-0.149919948582795,1.14780685339658, 0.567499999999999)); #183084=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.13518490243245, 0.577499999999999)); #183085=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.13518490243245, 0.567499999999999)); #183086=CARTESIAN_POINT('Ctrl Pts',(-0.0984717788920514,1.12853093915244, 0.577499999999999)); #183087=CARTESIAN_POINT('Ctrl Pts',(-0.0984717788920514,1.12853093915244, 0.567499999999999)); #183088=CARTESIAN_POINT('Ctrl Pts',(-0.104645559254941,1.11961325640605, 0.577499999999999)); #183089=CARTESIAN_POINT('Ctrl Pts',(-0.104645559254941,1.11961325640605, 0.567499999999999)); #183090=CARTESIAN_POINT('Ctrl Pts',(-0.115826961467729,1.11412545163904, 0.577499999999999)); #183091=CARTESIAN_POINT('Ctrl Pts',(-0.115826961467729,1.11412545163904, 0.567499999999999)); #183092=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.11412545163904, 0.577499999999999)); #183093=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.11412545163904, 0.567499999999999)); #183094=CARTESIAN_POINT('',(-0.0973742179386488,1.13518490243245,0.577499999999999)); #183095=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.13518490243245, 0.577499999999999)); #183096=CARTESIAN_POINT('Ctrl Pts',(-0.0984717788920514,1.12853093915244, 0.577499999999999)); #183097=CARTESIAN_POINT('Ctrl Pts',(-0.104645559254941,1.11961325640605, 0.577499999999999)); #183098=CARTESIAN_POINT('Ctrl Pts',(-0.115826961467729,1.11412545163904, 0.577499999999999)); #183099=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.11412545163904, 0.577499999999999)); #183100=CARTESIAN_POINT('',(-0.0973742179386488,1.13518490243245,0.567499999999999)); #183101=CARTESIAN_POINT('',(-0.0973742179386488,1.13518490243245,0.577499999999999)); #183102=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.13518490243245, 0.567499999999999)); #183103=CARTESIAN_POINT('Ctrl Pts',(-0.0984717788920514,1.12853093915244, 0.567499999999999)); #183104=CARTESIAN_POINT('Ctrl Pts',(-0.104645559254941,1.11961325640605, 0.567499999999999)); #183105=CARTESIAN_POINT('Ctrl Pts',(-0.115826961467729,1.11412545163904, 0.567499999999999)); #183106=CARTESIAN_POINT('Ctrl Pts',(-0.12254952230732,1.11412545163904, 0.567499999999999)); #183107=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,1.14890441434998, 0.577499999999999)); #183108=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,1.14890441434998, 0.567499999999999)); #183109=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,1.139918134044, 0.577499999999999)); #183110=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,1.139918134044, 0.567499999999999)); #183111=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.13518490243245, 0.577499999999999)); #183112=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.13518490243245, 0.567499999999999)); #183113=CARTESIAN_POINT('',(-0.0964824496640094,1.14890441434998,0.577499999999999)); #183114=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,1.14890441434998, 0.577499999999999)); #183115=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,1.139918134044, 0.577499999999999)); #183116=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.13518490243245, 0.577499999999999)); #183117=CARTESIAN_POINT('',(-0.0964824496640093,1.14890441434998,0.567499999999999)); #183118=CARTESIAN_POINT('',(-0.0964824496640094,1.14890441434998,0.577499999999999)); #183119=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,1.14890441434998, 0.567499999999999)); #183120=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,1.139918134044, 0.567499999999999)); #183121=CARTESIAN_POINT('Ctrl Pts',(-0.0973742179386488,1.13518490243245, 0.567499999999999)); #183122=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.18286020634587, 0.577499999999999)); #183123=CARTESIAN_POINT('',(-0.0964824496640094,1.18286020634587,0.577499999999999)); #183124=CARTESIAN_POINT('',(-0.0964824496640094,0.605448933172935,0.577499999999999)); #183125=CARTESIAN_POINT('',(-0.0964824496640093,1.18286020634587,0.567499999999999)); #183126=CARTESIAN_POINT('',(-0.0964824496640094,1.18286020634587,0.577499999999999)); #183127=CARTESIAN_POINT('',(-0.0964824496640093,1.18286020634587,0.567499999999999)); #183128=CARTESIAN_POINT('Origin',(-0.186482447843017,1.18286020634587,0.577499999999999)); #183129=CARTESIAN_POINT('',(0.109552647072262,1.18286020634587,0.577499999999999)); #183130=CARTESIAN_POINT('',(-0.186482447843017,1.18286020634587,0.567499999999999)); #183131=CARTESIAN_POINT('Origin',(-0.136974350161953,1.16136420584803,0.567499999999999)); #183132=CARTESIAN_POINT('Origin',(-0.186482447843017,1.03146539233591,0.577499999999999)); #183133=CARTESIAN_POINT('',(-0.186482447843017,1.03146539233591,0.577499999999999)); #183134=CARTESIAN_POINT('',(-0.186482447843017,1.09869100073181,0.577499999999999)); #183135=CARTESIAN_POINT('',(-0.186482447843017,0.529751526167954,0.577499999999999)); #183136=CARTESIAN_POINT('',(-0.186482447843017,1.03146539233591,0.567499999999999)); #183137=CARTESIAN_POINT('',(-0.186482447843017,1.03146539233591,0.577499999999999)); #183138=CARTESIAN_POINT('',(-0.186482447843017,1.09869100073181,0.567499999999999)); #183139=CARTESIAN_POINT('',(-0.186482447843017,1.03146539233591,0.567499999999999)); #183140=CARTESIAN_POINT('',(-0.186482447843017,1.09869100073181,0.577499999999999)); #183141=CARTESIAN_POINT('Origin',(-0.17584982610693,1.03146539233591,0.577499999999999)); #183142=CARTESIAN_POINT('',(-0.17584982610693,1.03146539233591,0.577499999999999)); #183143=CARTESIAN_POINT('',(0.114868957940305,1.03146539233591,0.577499999999999)); #183144=CARTESIAN_POINT('',(-0.17584982610693,1.03146539233591,0.567499999999999)); #183145=CARTESIAN_POINT('',(-0.17584982610693,1.03146539233591,0.577499999999999)); #183146=CARTESIAN_POINT('',(-0.17584982610693,1.03146539233591,0.567499999999999)); #183147=CARTESIAN_POINT('Origin',(-0.17584982610693,1.08675502536356,0.577499999999999)); #183148=CARTESIAN_POINT('',(-0.17584982610693,1.08675502536356,0.577499999999999)); #183149=CARTESIAN_POINT('',(-0.17584982610693,0.55739634268178,0.577499999999999)); #183150=CARTESIAN_POINT('',(-0.17584982610693,1.08675502536356,0.567499999999999)); #183151=CARTESIAN_POINT('',(-0.17584982610693,1.08675502536356,0.577499999999999)); #183152=CARTESIAN_POINT('',(-0.17584982610693,1.08675502536356,0.567499999999999)); #183153=CARTESIAN_POINT('Origin',(-0.145255314530834,1.08675502536356,0.577499999999999)); #183154=CARTESIAN_POINT('',(-0.145255314530834,1.08675502536356,0.577499999999999)); #183155=CARTESIAN_POINT('',(0.130166213728353,1.08675502536356,0.577499999999999)); #183156=CARTESIAN_POINT('',(-0.145255314530834,1.08675502536356,0.567499999999999)); #183157=CARTESIAN_POINT('',(-0.145255314530834,1.08675502536356,0.577499999999999)); #183158=CARTESIAN_POINT('',(-0.145255314530834,1.08675502536356,0.567499999999999)); #183159=CARTESIAN_POINT('Origin',(-0.145255314530834,1.03688459954333,0.577499999999999)); #183160=CARTESIAN_POINT('',(-0.145255314530834,1.03688459954333,0.577499999999999)); #183161=CARTESIAN_POINT('',(-0.145255314530834,0.532461129771666,0.577499999999999)); #183162=CARTESIAN_POINT('',(-0.145255314530834,1.03688459954333,0.567499999999999)); #183163=CARTESIAN_POINT('',(-0.145255314530834,1.03688459954333,0.577499999999999)); #183164=CARTESIAN_POINT('',(-0.145255314530834,1.03688459954333,0.567499999999999)); #183165=CARTESIAN_POINT('Origin',(-0.134622692794748,1.03688459954333,0.577499999999999)); #183166=CARTESIAN_POINT('',(-0.134622692794748,1.03688459954333,0.577499999999999)); #183167=CARTESIAN_POINT('',(0.135482524596397,1.03688459954333,0.577499999999999)); #183168=CARTESIAN_POINT('',(-0.134622692794748,1.03688459954333,0.567499999999999)); #183169=CARTESIAN_POINT('',(-0.134622692794748,1.03688459954333,0.577499999999999)); #183170=CARTESIAN_POINT('',(-0.134622692794748,1.03688459954333,0.567499999999999)); #183171=CARTESIAN_POINT('Origin',(-0.134622692794748,1.08675502536356,0.577499999999999)); #183172=CARTESIAN_POINT('',(-0.134622692794748,1.08675502536356,0.577499999999999)); #183173=CARTESIAN_POINT('',(-0.134622692794748,0.55739634268178,0.577499999999999)); #183174=CARTESIAN_POINT('',(-0.134622692794748,1.08675502536356,0.567499999999999)); #183175=CARTESIAN_POINT('',(-0.134622692794748,1.08675502536356,0.577499999999999)); #183176=CARTESIAN_POINT('',(-0.134622692794748,1.08675502536356,0.567499999999999)); #183177=CARTESIAN_POINT('Origin',(-0.107115071400096,1.08675502536356,0.577499999999999)); #183178=CARTESIAN_POINT('',(-0.107115071400096,1.08675502536356,0.577499999999999)); #183179=CARTESIAN_POINT('',(0.149236335293722,1.08675502536356,0.577499999999999)); #183180=CARTESIAN_POINT('',(-0.107115071400096,1.08675502536356,0.567499999999999)); #183181=CARTESIAN_POINT('',(-0.107115071400096,1.08675502536356,0.577499999999999)); #183182=CARTESIAN_POINT('',(-0.107115071400096,1.08675502536356,0.567499999999999)); #183183=CARTESIAN_POINT('Origin',(-0.107115071400096,1.03352331912354,0.577499999999999)); #183184=CARTESIAN_POINT('',(-0.107115071400096,1.03352331912354,0.577499999999999)); #183185=CARTESIAN_POINT('',(-0.107115071400096,0.530780489561769,0.577499999999999)); #183186=CARTESIAN_POINT('',(-0.107115071400096,1.03352331912354,0.567499999999999)); #183187=CARTESIAN_POINT('',(-0.107115071400096,1.03352331912354,0.577499999999999)); #183188=CARTESIAN_POINT('',(-0.107115071400096,1.03352331912354,0.567499999999999)); #183189=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.03352331912354, 0.577499999999999)); #183190=CARTESIAN_POINT('',(-0.0964824496640094,1.03352331912354,0.577499999999999)); #183191=CARTESIAN_POINT('',(0.154552646161766,1.03352331912354,0.577499999999999)); #183192=CARTESIAN_POINT('',(-0.0964824496640093,1.03352331912354,0.567499999999999)); #183193=CARTESIAN_POINT('',(-0.0964824496640094,1.03352331912354,0.577499999999999)); #183194=CARTESIAN_POINT('',(-0.0964824496640093,1.03352331912354,0.567499999999999)); #183195=CARTESIAN_POINT('Origin',(-0.0964824496640094,1.09869100073181, 0.577499999999999)); #183196=CARTESIAN_POINT('',(-0.0964824496640094,1.09869100073181,0.577499999999999)); #183197=CARTESIAN_POINT('',(-0.0964824496640094,0.563364330365906,0.577499999999999)); #183198=CARTESIAN_POINT('',(-0.0964824496640093,1.09869100073181,0.567499999999999)); #183199=CARTESIAN_POINT('',(-0.0964824496640094,1.09869100073181,0.577499999999999)); #183200=CARTESIAN_POINT('',(-0.0964824496640093,1.09869100073181,0.567499999999999)); #183201=CARTESIAN_POINT('Origin',(-0.186482447843017,1.09869100073181,0.577499999999999)); #183202=CARTESIAN_POINT('',(0.109552647072262,1.09869100073181,0.577499999999999)); #183203=CARTESIAN_POINT('',(-0.186482447843017,1.09869100073181,0.567499999999999)); #183204=CARTESIAN_POINT('Origin',(-0.141500479337357,1.06976676290964,0.567499999999999)); #183205=CARTESIAN_POINT('Ctrl Pts',(-0.169538850624866,0.871084298019963, 0.577499999999999)); #183206=CARTESIAN_POINT('Ctrl Pts',(-0.169538850624866,0.871084298019963, 0.567499999999999)); #183207=CARTESIAN_POINT('Ctrl Pts',(-0.172557143246722,0.874033993082232, 0.577499999999999)); #183208=CARTESIAN_POINT('Ctrl Pts',(-0.172557143246722,0.874033993082232, 0.567499999999999)); #183209=CARTESIAN_POINT('Ctrl Pts',(-0.17584982610693,0.884186431901206, 0.577499999999999)); #183210=CARTESIAN_POINT('Ctrl Pts',(-0.17584982610693,0.884186431901206, 0.567499999999999)); #183211=CARTESIAN_POINT('Ctrl Pts',(-0.17584982610693,0.893104114647601, 0.577499999999999)); #183212=CARTESIAN_POINT('Ctrl Pts',(-0.17584982610693,0.893104114647601, 0.567499999999999)); #183213=CARTESIAN_POINT('',(-0.17584982610693,0.893104114647601,0.577499999999999)); #183214=CARTESIAN_POINT('',(-0.169538850624866,0.871084298019963,0.577499999999999)); #183215=CARTESIAN_POINT('Ctrl Pts',(-0.17584982610693,0.893104114647601, 0.577499999999999)); #183216=CARTESIAN_POINT('Ctrl Pts',(-0.17584982610693,0.884186431901206, 0.577499999999999)); #183217=CARTESIAN_POINT('Ctrl Pts',(-0.172557143246722,0.874033993082232, 0.577499999999999)); #183218=CARTESIAN_POINT('Ctrl Pts',(-0.169538850624866,0.871084298019963, 0.577499999999999)); #183219=CARTESIAN_POINT('',(-0.17584982610693,0.893104114647601,0.567499999999999)); #183220=CARTESIAN_POINT('',(-0.17584982610693,0.893104114647601,0.577499999999999)); #183221=CARTESIAN_POINT('',(-0.169538850624866,0.871084298019963,0.567499999999999)); #183222=CARTESIAN_POINT('Ctrl Pts',(-0.17584982610693,0.893104114647601, 0.567499999999999)); #183223=CARTESIAN_POINT('Ctrl Pts',(-0.17584982610693,0.884186431901206, 0.567499999999999)); #183224=CARTESIAN_POINT('Ctrl Pts',(-0.172557143246722,0.874033993082232, 0.567499999999999)); #183225=CARTESIAN_POINT('Ctrl Pts',(-0.169538850624866,0.871084298019963, 0.567499999999999)); #183226=CARTESIAN_POINT('',(-0.169538850624866,0.871084298019963,0.577499999999999)); #183227=CARTESIAN_POINT('Ctrl Pts',(-0.140796473157637,0.86209801771398, 0.577499999999999)); #183228=CARTESIAN_POINT('Ctrl Pts',(-0.140796473157637,0.86209801771398, 0.567499999999999)); #183229=CARTESIAN_POINT('Ctrl Pts',(-0.151017509536198,0.86209801771398, 0.577499999999999)); #183230=CARTESIAN_POINT('Ctrl Pts',(-0.151017509536198,0.86209801771398, 0.567499999999999)); #183231=CARTESIAN_POINT('Ctrl Pts',(-0.165285801930431,0.866831249325528, 0.577499999999999)); #183232=CARTESIAN_POINT('Ctrl Pts',(-0.165285801930431,0.866831249325528, 0.567499999999999)); #183233=CARTESIAN_POINT('Ctrl Pts',(-0.169538850624866,0.871084298019963, 0.577499999999999)); #183234=CARTESIAN_POINT('Ctrl Pts',(-0.169538850624866,0.871084298019963, 0.567499999999999)); #183235=CARTESIAN_POINT('',(-0.140796473157637,0.86209801771398,0.577499999999999)); #183236=CARTESIAN_POINT('Ctrl Pts',(-0.169538850624866,0.871084298019963, 0.577499999999999)); #183237=CARTESIAN_POINT('Ctrl Pts',(-0.165285801930431,0.866831249325528, 0.577499999999999)); #183238=CARTESIAN_POINT('Ctrl Pts',(-0.151017509536198,0.86209801771398, 0.577499999999999)); #183239=CARTESIAN_POINT('Ctrl Pts',(-0.140796473157637,0.86209801771398, 0.577499999999999)); #183240=CARTESIAN_POINT('',(-0.140796473157637,0.86209801771398,0.567499999999999)); #183241=CARTESIAN_POINT('Ctrl Pts',(-0.169538850624866,0.871084298019963, 0.567499999999999)); #183242=CARTESIAN_POINT('Ctrl Pts',(-0.165285801930431,0.866831249325528, 0.567499999999999)); #183243=CARTESIAN_POINT('Ctrl Pts',(-0.151017509536198,0.86209801771398, 0.567499999999999)); #183244=CARTESIAN_POINT('Ctrl Pts',(-0.140796473157637,0.86209801771398, 0.567499999999999)); #183245=CARTESIAN_POINT('',(-0.140796473157637,0.86209801771398,0.577499999999999)); #183246=CARTESIAN_POINT('Ctrl Pts',(-0.108967205508963,0.878012651538316, 0.577499999999999)); #183247=CARTESIAN_POINT('Ctrl Pts',(-0.108967205508963,0.878012651538316, 0.567499999999999)); #183248=CARTESIAN_POINT('Ctrl Pts',(-0.111505315213707,0.871358688258313, 0.577499999999999)); #183249=CARTESIAN_POINT('Ctrl Pts',(-0.111505315213707,0.871358688258313, 0.567499999999999)); #183250=CARTESIAN_POINT('Ctrl Pts',(-0.126665375882579,0.86209801771398, 0.577499999999999)); #183251=CARTESIAN_POINT('Ctrl Pts',(-0.126665375882579,0.86209801771398, 0.567499999999999)); #183252=CARTESIAN_POINT('Ctrl Pts',(-0.140796473157637,0.86209801771398, 0.577499999999999)); #183253=CARTESIAN_POINT('Ctrl Pts',(-0.140796473157637,0.86209801771398, 0.567499999999999)); #183254=CARTESIAN_POINT('',(-0.108967205508963,0.878012651538316,0.577499999999999)); #183255=CARTESIAN_POINT('Ctrl Pts',(-0.140796473157637,0.86209801771398, 0.577499999999999)); #183256=CARTESIAN_POINT('Ctrl Pts',(-0.126665375882579,0.86209801771398, 0.577499999999999)); #183257=CARTESIAN_POINT('Ctrl Pts',(-0.111505315213707,0.871358688258313, 0.577499999999999)); #183258=CARTESIAN_POINT('Ctrl Pts',(-0.108967205508963,0.878012651538316, 0.577499999999999)); #183259=CARTESIAN_POINT('',(-0.108967205508963,0.878012651538316,0.567499999999999)); #183260=CARTESIAN_POINT('Ctrl Pts',(-0.140796473157637,0.86209801771398, 0.567499999999999)); #183261=CARTESIAN_POINT('Ctrl Pts',(-0.126665375882579,0.86209801771398, 0.567499999999999)); #183262=CARTESIAN_POINT('Ctrl Pts',(-0.111505315213707,0.871358688258313, 0.567499999999999)); #183263=CARTESIAN_POINT('Ctrl Pts',(-0.108967205508963,0.878012651538316, 0.567499999999999)); #183264=CARTESIAN_POINT('',(-0.108967205508963,0.878012651538316,0.577499999999999)); #183265=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,0.893378504885952, 0.577499999999999)); #183266=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,0.893378504885952, 0.567499999999999)); #183267=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,0.882814480709452, 0.577499999999999)); #183268=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,0.882814480709452, 0.567499999999999)); #183269=CARTESIAN_POINT('Ctrl Pts',(-0.108967205508963,0.878012651538316, 0.577499999999999)); #183270=CARTESIAN_POINT('Ctrl Pts',(-0.108967205508963,0.878012651538316, 0.567499999999999)); #183271=CARTESIAN_POINT('',(-0.107115071400096,0.893378504885952,0.577499999999999)); #183272=CARTESIAN_POINT('Ctrl Pts',(-0.108967205508963,0.878012651538316, 0.577499999999999)); #183273=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,0.882814480709452, 0.577499999999999)); #183274=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,0.893378504885952, 0.577499999999999)); #183275=CARTESIAN_POINT('',(-0.107115071400096,0.893378504885952,0.567499999999999)); #183276=CARTESIAN_POINT('Ctrl Pts',(-0.108967205508963,0.878012651538316, 0.567499999999999)); #183277=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,0.882814480709452, 0.567499999999999)); #183278=CARTESIAN_POINT('Ctrl Pts',(-0.107115071400096,0.893378504885952, 0.567499999999999)); #183279=CARTESIAN_POINT('',(-0.107115071400096,0.893378504885952,0.577499999999999)); #183280=CARTESIAN_POINT('Origin',(-0.107115071400096,0.912311431332145, 0.577499999999999)); #183281=CARTESIAN_POINT('',(-0.107115071400096,0.912311431332145,0.577499999999999)); #183282=CARTESIAN_POINT('',(-0.107115071400096,0.470174545666072,0.577499999999999)); #183283=CARTESIAN_POINT('',(-0.107115071400096,0.912311431332145,0.567499999999999)); #183284=CARTESIAN_POINT('',(-0.107115071400096,0.904018678602459,0.567499999999999)); #183285=CARTESIAN_POINT('',(-0.107115071400096,0.912311431332145,0.577499999999999)); #183286=CARTESIAN_POINT('Origin',(-0.17584982610693,0.912311431332146,0.577499999999999)); #183287=CARTESIAN_POINT('',(-0.17584982610693,0.912311431332146,0.577499999999999)); #183288=CARTESIAN_POINT('',(0.114868957940307,0.912311431332145,0.577499999999999)); #183289=CARTESIAN_POINT('',(-0.17584982610693,0.912311431332146,0.567499999999999)); #183290=CARTESIAN_POINT('',(-0.158671675449481,0.912311431332146,0.567499999999999)); #183291=CARTESIAN_POINT('',(-0.17584982610693,0.912311431332146,0.577499999999999)); #183292=CARTESIAN_POINT('Origin',(-0.17584982610693,0.893104114647601,0.577499999999999)); #183293=CARTESIAN_POINT('',(-0.17584982610693,0.4605708873238,0.577499999999999)); #183294=CARTESIAN_POINT('',(-0.17584982610693,0.894415020260187,0.567499999999999)); #183295=CARTESIAN_POINT('Origin',(-0.186482447843017,0.891732163455848, 0.577499999999999)); #183296=CARTESIAN_POINT('',(-0.186482447843017,0.891732163455848,0.577499999999999)); #183297=CARTESIAN_POINT('',(-0.186482447843017,0.924247406700398,0.577499999999999)); #183298=CARTESIAN_POINT('',(-0.186482447843017,0.459884911727924,0.577499999999999)); #183299=CARTESIAN_POINT('',(-0.186482447843017,0.891732163455848,0.567499999999999)); #183300=CARTESIAN_POINT('',(-0.186482447843017,0.891732163455848,0.577499999999999)); #183301=CARTESIAN_POINT('',(-0.186482447843017,0.924247406700398,0.567499999999999)); #183302=CARTESIAN_POINT('',(-0.186482447843017,0.891732163455848,0.567499999999999)); #183303=CARTESIAN_POINT('',(-0.186482447843017,0.924247406700398,0.577499999999999)); #183304=CARTESIAN_POINT('Ctrl Pts',(-0.1410022658364,0.849819054547789, 0.577499999999999)); #183305=CARTESIAN_POINT('Ctrl Pts',(-0.1410022658364,0.849819054547789, 0.567499999999999)); #183306=CARTESIAN_POINT('Ctrl Pts',(-0.151223302214961,0.849819054547789, 0.577499999999999)); #183307=CARTESIAN_POINT('Ctrl Pts',(-0.151223302214961,0.849819054547789, 0.567499999999999)); #183308=CARTESIAN_POINT('Ctrl Pts',(-0.16706933847971,0.854620883718925, 0.577499999999999)); #183309=CARTESIAN_POINT('Ctrl Pts',(-0.16706933847971,0.854620883718925, 0.567499999999999)); #183310=CARTESIAN_POINT('Ctrl Pts',(-0.177427569977446,0.86209801771398, 0.577499999999999)); #183311=CARTESIAN_POINT('Ctrl Pts',(-0.177427569977446,0.86209801771398, 0.567499999999999)); #183312=CARTESIAN_POINT('Ctrl Pts',(-0.183395557661572,0.871015700460375, 0.577499999999999)); #183313=CARTESIAN_POINT('Ctrl Pts',(-0.183395557661572,0.871015700460375, 0.567499999999999)); #183314=CARTESIAN_POINT('Ctrl Pts',(-0.186482447843017,0.883569053864917, 0.577499999999999)); #183315=CARTESIAN_POINT('Ctrl Pts',(-0.186482447843017,0.883569053864917, 0.567499999999999)); #183316=CARTESIAN_POINT('Ctrl Pts',(-0.186482447843017,0.891732163455848, 0.577499999999999)); #183317=CARTESIAN_POINT('Ctrl Pts',(-0.186482447843017,0.891732163455848, 0.567499999999999)); #183318=CARTESIAN_POINT('',(-0.1410022658364,0.849819054547789,0.577499999999999)); #183319=CARTESIAN_POINT('Ctrl Pts',(-0.1410022658364,0.849819054547789, 0.577499999999999)); #183320=CARTESIAN_POINT('Ctrl Pts',(-0.151223302214961,0.849819054547789, 0.577499999999999)); #183321=CARTESIAN_POINT('Ctrl Pts',(-0.16706933847971,0.854620883718925, 0.577499999999999)); #183322=CARTESIAN_POINT('Ctrl Pts',(-0.177427569977446,0.86209801771398, 0.577499999999999)); #183323=CARTESIAN_POINT('Ctrl Pts',(-0.183395557661572,0.871015700460375, 0.577499999999999)); #183324=CARTESIAN_POINT('Ctrl Pts',(-0.186482447843017,0.883569053864917, 0.577499999999999)); #183325=CARTESIAN_POINT('Ctrl Pts',(-0.186482447843017,0.891732163455848, 0.577499999999999)); #183326=CARTESIAN_POINT('',(-0.1410022658364,0.849819054547789,0.567499999999999)); #183327=CARTESIAN_POINT('',(-0.1410022658364,0.849819054547789,0.577499999999999)); #183328=CARTESIAN_POINT('Ctrl Pts',(-0.1410022658364,0.849819054547789, 0.567499999999999)); #183329=CARTESIAN_POINT('Ctrl Pts',(-0.151223302214961,0.849819054547789, 0.567499999999999)); #183330=CARTESIAN_POINT('Ctrl Pts',(-0.16706933847971,0.854620883718925, 0.567499999999999)); #183331=CARTESIAN_POINT('Ctrl Pts',(-0.177427569977446,0.86209801771398, 0.567499999999999)); #183332=CARTESIAN_POINT('Ctrl Pts',(-0.183395557661572,0.871015700460375, 0.567499999999999)); #183333=CARTESIAN_POINT('Ctrl Pts',(-0.186482447843017,0.883569053864917, 0.567499999999999)); #183334=CARTESIAN_POINT('Ctrl Pts',(-0.186482447843017,0.891732163455848, 0.567499999999999)); #183335=CARTESIAN_POINT('Ctrl Pts',(-0.104233973897415,0.864018749382434, 0.577499999999999)); #183336=CARTESIAN_POINT('Ctrl Pts',(-0.104233973897415,0.864018749382434, 0.567499999999999)); #183337=CARTESIAN_POINT('Ctrl Pts',(-0.110201961581541,0.856884603185318, 0.577499999999999)); #183338=CARTESIAN_POINT('Ctrl Pts',(-0.110201961581541,0.856884603185318, 0.567499999999999)); #183339=CARTESIAN_POINT('Ctrl Pts',(-0.128929095348972,0.849819054547789, 0.577499999999999)); #183340=CARTESIAN_POINT('Ctrl Pts',(-0.128929095348972,0.849819054547789, 0.567499999999999)); #183341=CARTESIAN_POINT('Ctrl Pts',(-0.1410022658364,0.849819054547789, 0.577499999999999)); #183342=CARTESIAN_POINT('Ctrl Pts',(-0.1410022658364,0.849819054547789, 0.567499999999999)); #183343=CARTESIAN_POINT('',(-0.104233973897415,0.864018749382434,0.577499999999999)); #183344=CARTESIAN_POINT('Ctrl Pts',(-0.104233973897415,0.864018749382434, 0.577499999999999)); #183345=CARTESIAN_POINT('Ctrl Pts',(-0.110201961581541,0.856884603185318, 0.577499999999999)); #183346=CARTESIAN_POINT('Ctrl Pts',(-0.128929095348972,0.849819054547789, 0.577499999999999)); #183347=CARTESIAN_POINT('Ctrl Pts',(-0.1410022658364,0.849819054547789, 0.577499999999999)); #183348=CARTESIAN_POINT('',(-0.104233973897415,0.864018749382434,0.567499999999999)); #183349=CARTESIAN_POINT('',(-0.104233973897415,0.864018749382434,0.577499999999999)); #183350=CARTESIAN_POINT('Ctrl Pts',(-0.104233973897415,0.864018749382434, 0.567499999999999)); #183351=CARTESIAN_POINT('Ctrl Pts',(-0.110201961581541,0.856884603185318, 0.567499999999999)); #183352=CARTESIAN_POINT('Ctrl Pts',(-0.128929095348972,0.849819054547789, 0.567499999999999)); #183353=CARTESIAN_POINT('Ctrl Pts',(-0.1410022658364,0.849819054547789, 0.567499999999999)); #183354=CARTESIAN_POINT('Ctrl Pts',(-0.0977858032961749,0.877189480823265, 0.577499999999999)); #183355=CARTESIAN_POINT('Ctrl Pts',(-0.0977858032961749,0.877189480823265, 0.567499999999999)); #183356=CARTESIAN_POINT('Ctrl Pts',(-0.0995693398454541,0.869437956589859, 0.577499999999999)); #183357=CARTESIAN_POINT('Ctrl Pts',(-0.0995693398454541,0.869437956589859, 0.567499999999999)); #183358=CARTESIAN_POINT('Ctrl Pts',(-0.104233973897415,0.864018749382434, 0.577499999999999)); #183359=CARTESIAN_POINT('Ctrl Pts',(-0.104233973897415,0.864018749382434, 0.567499999999999)); #183360=CARTESIAN_POINT('',(-0.0977858032961749,0.877189480823264,0.577499999999999)); #183361=CARTESIAN_POINT('Ctrl Pts',(-0.0977858032961749,0.877189480823265, 0.577499999999999)); #183362=CARTESIAN_POINT('Ctrl Pts',(-0.0995693398454541,0.869437956589859, 0.577499999999999)); #183363=CARTESIAN_POINT('Ctrl Pts',(-0.104233973897415,0.864018749382434, 0.577499999999999)); #183364=CARTESIAN_POINT('',(-0.0977858032961749,0.877189480823264,0.567499999999999)); #183365=CARTESIAN_POINT('',(-0.0977858032961749,0.877189480823264,0.577499999999999)); #183366=CARTESIAN_POINT('Ctrl Pts',(-0.0977858032961749,0.877189480823265, 0.567499999999999)); #183367=CARTESIAN_POINT('Ctrl Pts',(-0.0995693398454541,0.869437956589859, 0.567499999999999)); #183368=CARTESIAN_POINT('Ctrl Pts',(-0.104233973897415,0.864018749382434, 0.567499999999999)); #183369=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,0.893241309766777, 0.577499999999999)); #183370=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,0.893241309766777, 0.567499999999999)); #183371=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,0.882745883149865, 0.577499999999999)); #183372=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,0.882745883149865, 0.567499999999999)); #183373=CARTESIAN_POINT('Ctrl Pts',(-0.0977858032961749,0.877189480823265, 0.577499999999999)); #183374=CARTESIAN_POINT('Ctrl Pts',(-0.0977858032961749,0.877189480823265, 0.567499999999999)); #183375=CARTESIAN_POINT('',(-0.0964824496640094,0.893241309766777,0.577499999999999)); #183376=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,0.893241309766777, 0.577499999999999)); #183377=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640094,0.882745883149865, 0.577499999999999)); #183378=CARTESIAN_POINT('Ctrl Pts',(-0.0977858032961749,0.877189480823265, 0.577499999999999)); #183379=CARTESIAN_POINT('',(-0.0964824496640093,0.893241309766777,0.567499999999999)); #183380=CARTESIAN_POINT('',(-0.0964824496640094,0.893241309766777,0.577499999999999)); #183381=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,0.893241309766777, 0.567499999999999)); #183382=CARTESIAN_POINT('Ctrl Pts',(-0.0964824496640093,0.882745883149865, 0.567499999999999)); #183383=CARTESIAN_POINT('Ctrl Pts',(-0.0977858032961749,0.877189480823265, 0.567499999999999)); #183384=CARTESIAN_POINT('Origin',(-0.0964824496640094,0.924247406700398, 0.577499999999999)); #183385=CARTESIAN_POINT('',(-0.0964824496640094,0.924247406700398,0.577499999999999)); #183386=CARTESIAN_POINT('',(-0.0964824496640094,0.476142533350199,0.577499999999999)); #183387=CARTESIAN_POINT('',(-0.0964824496640093,0.924247406700398,0.567499999999999)); #183388=CARTESIAN_POINT('',(-0.0964824496640094,0.924247406700398,0.577499999999999)); #183389=CARTESIAN_POINT('',(-0.0964824496640093,0.924247406700398,0.567499999999999)); #183390=CARTESIAN_POINT('Origin',(-0.186482447843017,0.924247406700398, 0.577499999999999)); #183391=CARTESIAN_POINT('',(0.109552647072263,0.924247406700398,0.577499999999999)); #183392=CARTESIAN_POINT('',(-0.186482447843017,0.924247406700398,0.567499999999999)); #183393=CARTESIAN_POINT('Origin',(-0.141493524792032,0.895725925872774, 0.567499999999999)); #183394=CARTESIAN_POINT('Origin',(-0.186482447843017,0.819430335650456, 0.577499999999999)); #183395=CARTESIAN_POINT('',(-0.186482447843017,0.819430335650456,0.577499999999999)); #183396=CARTESIAN_POINT('',(-0.186482447843017,0.831366311018709,0.577499999999999)); #183397=CARTESIAN_POINT('',(-0.186482447843017,0.423733997825228,0.577499999999999)); #183398=CARTESIAN_POINT('',(-0.186482447843017,0.819430335650456,0.567499999999999)); #183399=CARTESIAN_POINT('',(-0.186482447843017,0.819430335650456,0.577499999999999)); #183400=CARTESIAN_POINT('',(-0.186482447843017,0.831366311018709,0.567499999999999)); #183401=CARTESIAN_POINT('',(-0.186482447843017,0.819430335650456,0.567499999999999)); #183402=CARTESIAN_POINT('',(-0.186482447843017,0.831366311018709,0.577499999999999)); #183403=CARTESIAN_POINT('Origin',(-0.0964824496640094,0.819430335650456, 0.577499999999999)); #183404=CARTESIAN_POINT('',(-0.0964824496640094,0.819430335650456,0.577499999999999)); #183405=CARTESIAN_POINT('',(0.154552646161766,0.819430335650456,0.577499999999999)); #183406=CARTESIAN_POINT('',(-0.0964824496640093,0.819430335650456,0.567499999999999)); #183407=CARTESIAN_POINT('',(-0.0964824496640094,0.819430335650456,0.577499999999999)); #183408=CARTESIAN_POINT('',(-0.0964824496640093,0.819430335650456,0.567499999999999)); #183409=CARTESIAN_POINT('Origin',(-0.0964824496640094,0.831366311018709, 0.577499999999999)); #183410=CARTESIAN_POINT('',(-0.0964824496640094,0.831366311018709,0.577499999999999)); #183411=CARTESIAN_POINT('',(-0.0964824496640094,0.429701985509354,0.577499999999999)); #183412=CARTESIAN_POINT('',(-0.0964824496640093,0.831366311018709,0.567499999999999)); #183413=CARTESIAN_POINT('',(-0.0964824496640094,0.831366311018709,0.577499999999999)); #183414=CARTESIAN_POINT('',(-0.0964824496640093,0.831366311018709,0.567499999999999)); #183415=CARTESIAN_POINT('Origin',(-0.186482447843017,0.831366311018709, 0.577499999999999)); #183416=CARTESIAN_POINT('',(0.109552647072262,0.831366311018709,0.577499999999999)); #183417=CARTESIAN_POINT('',(-0.186482447843017,0.831366311018709,0.567499999999999)); #183418=CARTESIAN_POINT('Origin',(-0.141482448753513,0.825398323334583, 0.567499999999999)); #183419=CARTESIAN_POINT('Origin',(-0.151223302214961,0.639087351494503, 0.577499999999999)); #183420=CARTESIAN_POINT('',(-0.151223302214961,0.639087351494503,0.577499999999999)); #183421=CARTESIAN_POINT('',(-0.151223302214961,0.665497411935751,0.577499999999999)); #183422=CARTESIAN_POINT('',(-0.151223302214961,0.333562505747251,0.577499999999999)); #183423=CARTESIAN_POINT('',(-0.151223302214961,0.639087351494503,0.567499999999999)); #183424=CARTESIAN_POINT('',(-0.151223302214961,0.639087351494503,0.577499999999999)); #183425=CARTESIAN_POINT('',(-0.151223302214961,0.665497411935751,0.567499999999999)); #183426=CARTESIAN_POINT('',(-0.151223302214961,0.639087351494503,0.567499999999999)); #183427=CARTESIAN_POINT('',(-0.151223302214961,0.665497411935751,0.577499999999999)); #183428=CARTESIAN_POINT('Origin',(-0.167892509194762,0.639087351494503, 0.577499999999999)); #183429=CARTESIAN_POINT('',(-0.167892509194762,0.639087351494503,0.577499999999999)); #183430=CARTESIAN_POINT('',(0.118847616396389,0.639087351494503,0.577499999999999)); #183431=CARTESIAN_POINT('',(-0.167892509194762,0.639087351494503,0.567499999999999)); #183432=CARTESIAN_POINT('',(-0.167892509194762,0.639087351494503,0.577499999999999)); #183433=CARTESIAN_POINT('',(-0.167892509194762,0.639087351494503,0.567499999999999)); #183434=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298684,0.665360216816576, 0.577499999999999)); #183435=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298683,0.665360216816576, 0.567499999999999)); #183436=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298684,0.65760869258317, 0.577499999999999)); #183437=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298683,0.65760869258317, 0.567499999999999)); #183438=CARTESIAN_POINT('Ctrl Pts',(-0.171253789614557,0.642860217271824, 0.577499999999999)); #183439=CARTESIAN_POINT('Ctrl Pts',(-0.171253789614557,0.642860217271824, 0.567499999999999)); #183440=CARTESIAN_POINT('Ctrl Pts',(-0.167892509194762,0.639087351494503, 0.577499999999999)); #183441=CARTESIAN_POINT('Ctrl Pts',(-0.167892509194762,0.639087351494503, 0.567499999999999)); #183442=CARTESIAN_POINT('',(-0.177221777298684,0.665360216816576,0.577499999999999)); #183443=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298684,0.665360216816576, 0.577499999999999)); #183444=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298684,0.65760869258317, 0.577499999999999)); #183445=CARTESIAN_POINT('Ctrl Pts',(-0.171253789614557,0.642860217271824, 0.577499999999999)); #183446=CARTESIAN_POINT('Ctrl Pts',(-0.167892509194762,0.639087351494503, 0.577499999999999)); #183447=CARTESIAN_POINT('',(-0.177221777298683,0.665360216816576,0.567499999999999)); #183448=CARTESIAN_POINT('',(-0.177221777298684,0.665360216816576,0.577499999999999)); #183449=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298683,0.665360216816576, 0.567499999999999)); #183450=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298683,0.65760869258317, 0.567499999999999)); #183451=CARTESIAN_POINT('Ctrl Pts',(-0.171253789614557,0.642860217271824, 0.567499999999999)); #183452=CARTESIAN_POINT('Ctrl Pts',(-0.167892509194762,0.639087351494503, 0.567499999999999)); #183453=CARTESIAN_POINT('Ctrl Pts',(-0.141139460955575,0.698355642978239, 0.577499999999999)); #183454=CARTESIAN_POINT('Ctrl Pts',(-0.141139460955575,0.698355642978239, 0.567499999999999)); #183455=CARTESIAN_POINT('Ctrl Pts',(-0.153144033883415,0.698355642978239, 0.577499999999999)); #183456=CARTESIAN_POINT('Ctrl Pts',(-0.153144033883415,0.698355642978239, 0.567499999999999)); #183457=CARTESIAN_POINT('Ctrl Pts',(-0.169401655505691,0.690055338268133, 0.577499999999999)); #183458=CARTESIAN_POINT('Ctrl Pts',(-0.169401655505691,0.690055338268133, 0.567499999999999)); #183459=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298684,0.674209302003384, 0.577499999999999)); #183460=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298683,0.674209302003384, 0.567499999999999)); #183461=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298684,0.665360216816576, 0.577499999999999)); #183462=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298683,0.665360216816576, 0.567499999999999)); #183463=CARTESIAN_POINT('',(-0.141139460955575,0.698355642978239,0.577499999999999)); #183464=CARTESIAN_POINT('Ctrl Pts',(-0.141139460955575,0.698355642978239, 0.577499999999999)); #183465=CARTESIAN_POINT('Ctrl Pts',(-0.153144033883415,0.698355642978239, 0.577499999999999)); #183466=CARTESIAN_POINT('Ctrl Pts',(-0.169401655505691,0.690055338268133, 0.577499999999999)); #183467=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298684,0.674209302003384, 0.577499999999999)); #183468=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298684,0.665360216816576, 0.577499999999999)); #183469=CARTESIAN_POINT('',(-0.141139460955575,0.698355642978239,0.567499999999999)); #183470=CARTESIAN_POINT('',(-0.141139460955575,0.698355642978239,0.577499999999999)); #183471=CARTESIAN_POINT('Ctrl Pts',(-0.141139460955575,0.698355642978239, 0.567499999999999)); #183472=CARTESIAN_POINT('Ctrl Pts',(-0.153144033883415,0.698355642978239, 0.567499999999999)); #183473=CARTESIAN_POINT('Ctrl Pts',(-0.169401655505691,0.690055338268133, 0.567499999999999)); #183474=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298683,0.674209302003384, 0.567499999999999)); #183475=CARTESIAN_POINT('Ctrl Pts',(-0.177221777298683,0.665360216816576, 0.567499999999999)); #183476=CARTESIAN_POINT('Ctrl Pts',(-0.123029705224433,0.694925764998856, 0.577499999999999)); #183477=CARTESIAN_POINT('Ctrl Pts',(-0.123029705224433,0.694925764998856, 0.567499999999999)); #183478=CARTESIAN_POINT('Ctrl Pts',(-0.131398607494128,0.698355642978239, 0.577499999999999)); #183479=CARTESIAN_POINT('Ctrl Pts',(-0.131398607494128,0.698355642978239, 0.567499999999999)); #183480=CARTESIAN_POINT('Ctrl Pts',(-0.141139460955575,0.698355642978239, 0.577499999999999)); #183481=CARTESIAN_POINT('Ctrl Pts',(-0.141139460955575,0.698355642978239, 0.567499999999999)); #183482=CARTESIAN_POINT('',(-0.123029705224433,0.694925764998856,0.577499999999999)); #183483=CARTESIAN_POINT('Ctrl Pts',(-0.123029705224433,0.694925764998856, 0.577499999999999)); #183484=CARTESIAN_POINT('Ctrl Pts',(-0.131398607494128,0.698355642978239, 0.577499999999999)); #183485=CARTESIAN_POINT('Ctrl Pts',(-0.141139460955575,0.698355642978239, 0.577499999999999)); #183486=CARTESIAN_POINT('',(-0.123029705224433,0.694925764998856,0.567499999999999)); #183487=CARTESIAN_POINT('',(-0.123029705224433,0.694925764998856,0.577499999999999)); #183488=CARTESIAN_POINT('Ctrl Pts',(-0.123029705224433,0.694925764998856, 0.567499999999999)); #183489=CARTESIAN_POINT('Ctrl Pts',(-0.131398607494128,0.698355642978239, 0.567499999999999)); #183490=CARTESIAN_POINT('Ctrl Pts',(-0.141139460955575,0.698355642978239, 0.567499999999999)); #183491=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.665497411935751, 0.577499999999999)); #183492=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.665497411935751, 0.567499999999999)); #183493=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.673660521526682, 0.577499999999999)); #183494=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.673660521526682, 0.567499999999999)); #183495=CARTESIAN_POINT('Ctrl Pts',(-0.110064766462366,0.685596496894935, 0.577499999999999)); #183496=CARTESIAN_POINT('Ctrl Pts',(-0.110064766462366,0.685596496894935, 0.567499999999999)); #183497=CARTESIAN_POINT('Ctrl Pts',(-0.118227876053297,0.692867838211227, 0.577499999999999)); #183498=CARTESIAN_POINT('Ctrl Pts',(-0.118227876053297,0.692867838211227, 0.567499999999999)); #183499=CARTESIAN_POINT('Ctrl Pts',(-0.123029705224433,0.694925764998856, 0.577499999999999)); #183500=CARTESIAN_POINT('Ctrl Pts',(-0.123029705224433,0.694925764998856, 0.567499999999999)); #183501=CARTESIAN_POINT('',(-0.105125742172055,0.665497411935751,0.577499999999999)); #183502=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.665497411935751, 0.577499999999999)); #183503=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.673660521526682, 0.577499999999999)); #183504=CARTESIAN_POINT('Ctrl Pts',(-0.110064766462366,0.685596496894935, 0.577499999999999)); #183505=CARTESIAN_POINT('Ctrl Pts',(-0.118227876053297,0.692867838211227, 0.577499999999999)); #183506=CARTESIAN_POINT('Ctrl Pts',(-0.123029705224433,0.694925764998856, 0.577499999999999)); #183507=CARTESIAN_POINT('',(-0.105125742172055,0.665497411935751,0.567499999999999)); #183508=CARTESIAN_POINT('',(-0.105125742172055,0.665497411935751,0.577499999999999)); #183509=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.665497411935751, 0.567499999999999)); #183510=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.673660521526682, 0.567499999999999)); #183511=CARTESIAN_POINT('Ctrl Pts',(-0.110064766462366,0.685596496894935, 0.567499999999999)); #183512=CARTESIAN_POINT('Ctrl Pts',(-0.118227876053297,0.692867838211227, 0.567499999999999)); #183513=CARTESIAN_POINT('Ctrl Pts',(-0.123029705224433,0.694925764998856, 0.567499999999999)); #183514=CARTESIAN_POINT('Ctrl Pts',(-0.12460744909495,0.639498936852029, 0.577499999999999)); #183515=CARTESIAN_POINT('Ctrl Pts',(-0.12460744909495,0.639498936852029, 0.567499999999999)); #183516=CARTESIAN_POINT('Ctrl Pts',(-0.117747693136184,0.641556863639658, 0.577499999999999)); #183517=CARTESIAN_POINT('Ctrl Pts',(-0.117747693136184,0.641556863639658, 0.567499999999999)); #183518=CARTESIAN_POINT('Ctrl Pts',(-0.109858973783603,0.647524851323785, 0.577499999999999)); #183519=CARTESIAN_POINT('Ctrl Pts',(-0.109858973783603,0.647524851323785, 0.567499999999999)); #183520=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.658706253536573, 0.577499999999999)); #183521=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.658706253536573, 0.567499999999999)); #183522=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.665497411935751, 0.577499999999999)); #183523=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.665497411935751, 0.567499999999999)); #183524=CARTESIAN_POINT('',(-0.12460744909495,0.639498936852029,0.577499999999999)); #183525=CARTESIAN_POINT('Ctrl Pts',(-0.12460744909495,0.639498936852029, 0.577499999999999)); #183526=CARTESIAN_POINT('Ctrl Pts',(-0.117747693136184,0.641556863639658, 0.577499999999999)); #183527=CARTESIAN_POINT('Ctrl Pts',(-0.109858973783603,0.647524851323785, 0.577499999999999)); #183528=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.658706253536573, 0.577499999999999)); #183529=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.665497411935751, 0.577499999999999)); #183530=CARTESIAN_POINT('',(-0.12460744909495,0.639498936852029,0.567499999999999)); #183531=CARTESIAN_POINT('',(-0.12460744909495,0.639498936852029,0.577499999999999)); #183532=CARTESIAN_POINT('Ctrl Pts',(-0.12460744909495,0.639498936852029, 0.567499999999999)); #183533=CARTESIAN_POINT('Ctrl Pts',(-0.117747693136184,0.641556863639658, 0.567499999999999)); #183534=CARTESIAN_POINT('Ctrl Pts',(-0.109858973783603,0.647524851323785, 0.567499999999999)); #183535=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.658706253536573, 0.567499999999999)); #183536=CARTESIAN_POINT('Ctrl Pts',(-0.105125742172055,0.665497411935751, 0.567499999999999)); #183537=CARTESIAN_POINT('Origin',(-0.12165775403268,0.628797717556354,0.577499999999999)); #183538=CARTESIAN_POINT('',(-0.12165775403268,0.628797717556354,0.577499999999999)); #183539=CARTESIAN_POINT('',(-0.0260920601577621,0.282094270010142,0.577499999999999)); #183540=CARTESIAN_POINT('',(-0.12165775403268,0.628797717556354,0.567499999999999)); #183541=CARTESIAN_POINT('',(-0.12165775403268,0.628797717556354,0.577499999999999)); #183542=CARTESIAN_POINT('',(-0.12165775403268,0.628797717556354,0.567499999999999)); #183543=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.665566009495339, 0.577499999999999)); #183544=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.665566009495339, 0.567499999999999)); #183545=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.655825156033891, 0.577499999999999)); #183546=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.655825156033891, 0.567499999999999)); #183547=CARTESIAN_POINT('Ctrl Pts',(-0.101215681275558,0.64004771732873, 0.577499999999999)); #183548=CARTESIAN_POINT('Ctrl Pts',(-0.101215681275558,0.64004771732873, 0.567499999999999)); #183549=CARTESIAN_POINT('Ctrl Pts',(-0.112534278607522,0.631130034582334, 0.577499999999999)); #183550=CARTESIAN_POINT('Ctrl Pts',(-0.112534278607522,0.631130034582334, 0.567499999999999)); #183551=CARTESIAN_POINT('Ctrl Pts',(-0.12165775403268,0.628797717556354, 0.577499999999999)); #183552=CARTESIAN_POINT('Ctrl Pts',(-0.12165775403268,0.628797717556354, 0.567499999999999)); #183553=CARTESIAN_POINT('',(-0.0949047057934934,0.665566009495339,0.577499999999999)); #183554=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.665566009495339, 0.577499999999999)); #183555=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.655825156033891, 0.577499999999999)); #183556=CARTESIAN_POINT('Ctrl Pts',(-0.101215681275558,0.64004771732873, 0.577499999999999)); #183557=CARTESIAN_POINT('Ctrl Pts',(-0.112534278607522,0.631130034582334, 0.577499999999999)); #183558=CARTESIAN_POINT('Ctrl Pts',(-0.12165775403268,0.628797717556354, 0.577499999999999)); #183559=CARTESIAN_POINT('',(-0.0949047057934934,0.665566009495339,0.567499999999999)); #183560=CARTESIAN_POINT('',(-0.0949047057934934,0.665566009495339,0.577499999999999)); #183561=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.665566009495339, 0.567499999999999)); #183562=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.655825156033891, 0.567499999999999)); #183563=CARTESIAN_POINT('Ctrl Pts',(-0.101215681275558,0.64004771732873, 0.567499999999999)); #183564=CARTESIAN_POINT('Ctrl Pts',(-0.112534278607522,0.631130034582334, 0.567499999999999)); #183565=CARTESIAN_POINT('Ctrl Pts',(-0.12165775403268,0.628797717556354, 0.567499999999999)); #183566=CARTESIAN_POINT('Ctrl Pts',(-0.141894034111039,0.71063460614443, 0.577499999999999)); #183567=CARTESIAN_POINT('Ctrl Pts',(-0.141894034111039,0.71063460614443, 0.567499999999999)); #183568=CARTESIAN_POINT('Ctrl Pts',(-0.128723302670209,0.71063460614443, 0.577499999999999)); #183569=CARTESIAN_POINT('Ctrl Pts',(-0.128723302670209,0.71063460614443, 0.567499999999999)); #183570=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,0.699658996610405, 0.577499999999999)); #183571=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,0.699658996610405, 0.567499999999999)); #183572=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.67901113117452, 0.577499999999999)); #183573=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.67901113117452, 0.567499999999999)); #183574=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.665566009495339, 0.577499999999999)); #183575=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.665566009495339, 0.567499999999999)); #183576=CARTESIAN_POINT('',(-0.141894034111039,0.71063460614443,0.577499999999999)); #183577=CARTESIAN_POINT('Ctrl Pts',(-0.141894034111039,0.71063460614443, 0.577499999999999)); #183578=CARTESIAN_POINT('Ctrl Pts',(-0.128723302670209,0.71063460614443, 0.577499999999999)); #183579=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,0.699658996610405, 0.577499999999999)); #183580=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.67901113117452, 0.577499999999999)); #183581=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.665566009495339, 0.577499999999999)); #183582=CARTESIAN_POINT('',(-0.141894034111039,0.71063460614443,0.567499999999999)); #183583=CARTESIAN_POINT('',(-0.141894034111039,0.71063460614443,0.577499999999999)); #183584=CARTESIAN_POINT('Ctrl Pts',(-0.141894034111039,0.71063460614443, 0.567499999999999)); #183585=CARTESIAN_POINT('Ctrl Pts',(-0.128723302670209,0.71063460614443, 0.567499999999999)); #183586=CARTESIAN_POINT('Ctrl Pts',(-0.105948912887106,0.699658996610405, 0.567499999999999)); #183587=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.67901113117452, 0.567499999999999)); #183588=CARTESIAN_POINT('Ctrl Pts',(-0.0949047057934934,0.665566009495339, 0.567499999999999)); #183589=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.664674241220699, 0.577499999999999)); #183590=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.664674241220699, 0.567499999999999)); #183591=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.677913570221117, 0.577499999999999)); #183592=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.677913570221117, 0.567499999999999)); #183593=CARTESIAN_POINT('Ctrl Pts',(-0.176604399262394,0.699590399050817, 0.577499999999999)); #183594=CARTESIAN_POINT('Ctrl Pts',(-0.176604399262394,0.699590399050817, 0.567499999999999)); #183595=CARTESIAN_POINT('Ctrl Pts',(-0.155133363111458,0.71063460614443, 0.577499999999999)); #183596=CARTESIAN_POINT('Ctrl Pts',(-0.155133363111458,0.71063460614443, 0.567499999999999)); #183597=CARTESIAN_POINT('Ctrl Pts',(-0.141894034111039,0.71063460614443, 0.577499999999999)); #183598=CARTESIAN_POINT('Ctrl Pts',(-0.141894034111039,0.71063460614443, 0.567499999999999)); #183599=CARTESIAN_POINT('',(-0.187991594153946,0.664674241220699,0.577499999999999)); #183600=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.664674241220699, 0.577499999999999)); #183601=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.677913570221117, 0.577499999999999)); #183602=CARTESIAN_POINT('Ctrl Pts',(-0.176604399262394,0.699590399050817, 0.577499999999999)); #183603=CARTESIAN_POINT('Ctrl Pts',(-0.155133363111458,0.71063460614443, 0.577499999999999)); #183604=CARTESIAN_POINT('Ctrl Pts',(-0.141894034111039,0.71063460614443, 0.577499999999999)); #183605=CARTESIAN_POINT('',(-0.187991594153946,0.664674241220699,0.567499999999999)); #183606=CARTESIAN_POINT('',(-0.187991594153946,0.664674241220699,0.577499999999999)); #183607=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.664674241220699, 0.567499999999999)); #183608=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.677913570221117, 0.567499999999999)); #183609=CARTESIAN_POINT('Ctrl Pts',(-0.176604399262394,0.699590399050817, 0.567499999999999)); #183610=CARTESIAN_POINT('Ctrl Pts',(-0.155133363111458,0.71063460614443, 0.567499999999999)); #183611=CARTESIAN_POINT('Ctrl Pts',(-0.141894034111039,0.71063460614443, 0.567499999999999)); #183612=CARTESIAN_POINT('Ctrl Pts',(-0.173929094438476,0.627425766364601, 0.577499999999999)); #183613=CARTESIAN_POINT('Ctrl Pts',(-0.173929094438476,0.627425766364601, 0.567499999999999)); #183614=CARTESIAN_POINT('Ctrl Pts',(-0.180926045516417,0.636206253991821, 0.577499999999999)); #183615=CARTESIAN_POINT('Ctrl Pts',(-0.180926045516417,0.636206253991821, 0.567499999999999)); #183616=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.654864790199664, 0.577499999999999)); #183617=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.654864790199664, 0.567499999999999)); #183618=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.664674241220699, 0.577499999999999)); #183619=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.664674241220699, 0.567499999999999)); #183620=CARTESIAN_POINT('',(-0.173929094438476,0.627425766364601,0.577499999999999)); #183621=CARTESIAN_POINT('Ctrl Pts',(-0.173929094438476,0.627425766364601, 0.577499999999999)); #183622=CARTESIAN_POINT('Ctrl Pts',(-0.180926045516417,0.636206253991821, 0.577499999999999)); #183623=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.654864790199664, 0.577499999999999)); #183624=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.664674241220699, 0.577499999999999)); #183625=CARTESIAN_POINT('',(-0.173929094438476,0.627425766364601,0.567499999999999)); #183626=CARTESIAN_POINT('',(-0.173929094438476,0.627425766364601,0.577499999999999)); #183627=CARTESIAN_POINT('Ctrl Pts',(-0.173929094438476,0.627425766364601, 0.567499999999999)); #183628=CARTESIAN_POINT('Ctrl Pts',(-0.180926045516417,0.636206253991821, 0.567499999999999)); #183629=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.654864790199664, 0.567499999999999)); #183630=CARTESIAN_POINT('Ctrl Pts',(-0.187991594153946,0.664674241220699, 0.567499999999999)); #183631=CARTESIAN_POINT('Origin',(-0.140590680478874,0.627425766364601, 0.577499999999999)); #183632=CARTESIAN_POINT('',(-0.140590680478874,0.627425766364601,0.577499999999999)); #183633=CARTESIAN_POINT('',(0.132498530754333,0.627425766364601,0.577499999999999)); #183634=CARTESIAN_POINT('',(-0.140590680478874,0.627425766364601,0.567499999999999)); #183635=CARTESIAN_POINT('',(-0.140590680478874,0.627425766364601,0.577499999999999)); #183636=CARTESIAN_POINT('',(-0.140590680478874,0.627425766364601,0.567499999999999)); #183637=CARTESIAN_POINT('Origin',(-0.140590680478874,0.665497411935751, 0.577499999999999)); #183638=CARTESIAN_POINT('',(-0.140590680478874,0.665497411935751,0.577499999999999)); #183639=CARTESIAN_POINT('',(-0.140590680478874,0.346767535967875,0.577499999999999)); #183640=CARTESIAN_POINT('',(-0.140590680478874,0.665497411935751,0.567499999999999)); #183641=CARTESIAN_POINT('',(-0.140590680478874,0.665497411935751,0.577499999999999)); #183642=CARTESIAN_POINT('',(-0.140590680478874,0.665497411935751,0.567499999999999)); #183643=CARTESIAN_POINT('Origin',(-0.151223302214961,0.665497411935751, 0.577499999999999)); #183644=CARTESIAN_POINT('',(0.12718221988629,0.665497411935751,0.577499999999999)); #183645=CARTESIAN_POINT('',(-0.151223302214961,0.665497411935751,0.567499999999999)); #183646=CARTESIAN_POINT('Origin',(-0.142924260559513,0.664959978800338, 0.567499999999999)); #183647=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183648=CARTESIAN_POINT('',(-0.345599935,0.435261069999999,0.577499999999999)); #183649=CARTESIAN_POINT('',(0.345599934999999,0.43526107,0.5775)); #183650=CARTESIAN_POINT('',(0.0163967188479714,0.43526107,0.577499999999999)); #183651=CARTESIAN_POINT('',(0.345599934999999,1.94510265,0.5775)); #183652=CARTESIAN_POINT('',(0.345599934999999,0.312710260181312,0.5775)); #183653=CARTESIAN_POINT('',(-0.345599935000001,1.94510265,0.577499999999999)); #183654=CARTESIAN_POINT('',(1.8954,1.94510265,0.577500000000002)); #183655=CARTESIAN_POINT('',(-0.345599935,1.40097185339377,0.577499999999999)); #183656=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183657=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183658=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183659=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183660=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183661=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183662=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183663=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183664=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #183665=CARTESIAN_POINT('Origin',(-2.125,-0.418920536424918,-0.222099999999996)); #183666=CARTESIAN_POINT('',(-2.125,-0.418920536424918,-0.409599999999997)); #183667=CARTESIAN_POINT('',(-2.125,-0.231570596472966,-0.229599999999998)); #183668=CARTESIAN_POINT('Origin',(-2.125,-0.418920536424918,-0.222099999999996)); #183669=CARTESIAN_POINT('',(-2.0204,-0.418920536424918,-0.409599999999997)); #183670=CARTESIAN_POINT('',(-2.125,-0.418920536424918,-0.409599999999996)); #183671=CARTESIAN_POINT('',(-2.0204,-0.231570596472966,-0.229599999999998)); #183672=CARTESIAN_POINT('Origin',(-2.0204,-0.418920536424918,-0.222099999999997)); #183673=CARTESIAN_POINT('',(-2.02017479713468,-0.231420536424918,-0.222099999999997)); #183674=CARTESIAN_POINT('Ctrl Pts',(-2.0204,-0.231570596472966,-0.229599999999998)); #183675=CARTESIAN_POINT('Ctrl Pts',(-2.0204,-0.23147120684014,-0.227117247767751)); #183676=CARTESIAN_POINT('Ctrl Pts',(-2.02032591993032,-0.231420536424919, -0.224614175489265)); #183677=CARTESIAN_POINT('Ctrl Pts',(-2.02017479713468,-0.231420536424918, -0.222100000000052)); #183678=CARTESIAN_POINT('',(-2.12487747166116,-0.231420536424918,-0.222099999999996)); #183679=CARTESIAN_POINT('',(-2.125,-0.231420536424918,-0.222099999999996)); #183680=CARTESIAN_POINT('Ctrl Pts',(-2.12487747166116,-0.231420536424918, -0.222099999999996)); #183681=CARTESIAN_POINT('Ctrl Pts',(-2.12495888042069,-0.231420536424918, -0.224590863507809)); #183682=CARTESIAN_POINT('Ctrl Pts',(-2.125,-0.231470202589244,-0.227092161588417)); #183683=CARTESIAN_POINT('Ctrl Pts',(-2.125,-0.231570596472966,-0.229599999999996)); #183684=CARTESIAN_POINT('Origin',(-2.125,-0.231420536424918,-0.229599999999998)); #183685=CARTESIAN_POINT('',(-1.8954,-0.231420536424918,-0.104599999999999)); #183686=CARTESIAN_POINT('Origin',(-1.8954,-0.231420536424918,-0.229599999999998)); #183687=CARTESIAN_POINT('',(-1.8954,-0.231420536424918,1.3987061727561E-15)); #183688=CARTESIAN_POINT('',(-1.8954,-0.231420536424918,1.04902962956708E-15)); #183689=CARTESIAN_POINT('Origin',(-1.8954,-0.231420536424918,-0.229599999999998)); #183690=CARTESIAN_POINT('Origin',(2.0204,-0.418920536424918,-0.2221)); #183691=CARTESIAN_POINT('',(2.0204,-0.231570596472966,-0.2296)); #183692=CARTESIAN_POINT('',(2.0204,-0.418920536424918,-0.4096)); #183693=CARTESIAN_POINT('Origin',(2.0204,-0.418920536424918,-0.2221)); #183694=CARTESIAN_POINT('',(2.125,-0.418920536424918,-0.4096)); #183695=CARTESIAN_POINT('',(2.0204,-0.418920536424918,-0.4096)); #183696=CARTESIAN_POINT('',(2.125,-0.231570596472966,-0.229600000000001)); #183697=CARTESIAN_POINT('Origin',(2.125,-0.418920536424918,-0.2221)); #183698=CARTESIAN_POINT('',(2.12487747166116,-0.231420536424918,-0.2221)); #183699=CARTESIAN_POINT('Ctrl Pts',(2.125,-0.231570596472966,-0.229599999999998)); #183700=CARTESIAN_POINT('Ctrl Pts',(2.125,-0.231470202589244,-0.22709216158842)); #183701=CARTESIAN_POINT('Ctrl Pts',(2.12495888042069,-0.231420536424918, -0.224590863507812)); #183702=CARTESIAN_POINT('Ctrl Pts',(2.12487747166116,-0.231420536424918, -0.2221)); #183703=CARTESIAN_POINT('',(2.02017479713468,-0.231420536424918,-0.2221)); #183704=CARTESIAN_POINT('',(2.0204,-0.231420536424918,-0.2221)); #183705=CARTESIAN_POINT('Ctrl Pts',(2.02017479713468,-0.231420536424918, -0.222100000000055)); #183706=CARTESIAN_POINT('Ctrl Pts',(2.02032591993032,-0.231420536424918, -0.224614175489268)); #183707=CARTESIAN_POINT('Ctrl Pts',(2.0204,-0.231471206840139,-0.227117247767753)); #183708=CARTESIAN_POINT('Ctrl Pts',(2.0204,-0.231570596472966,-0.2296)); #183709=CARTESIAN_POINT('Origin',(2.0204,-0.231420536424918,-0.2296)); #183710=CARTESIAN_POINT('',(1.8954,-0.231420536424917,0.)); #183711=CARTESIAN_POINT('Origin',(1.8954,-0.231420536424918,-0.2296)); #183712=CARTESIAN_POINT('',(1.8954,-0.231420536424918,-0.1046)); #183713=CARTESIAN_POINT('',(1.8954,-0.231420536424918,0.)); #183714=CARTESIAN_POINT('Origin',(1.8954,-0.231420536424918,-0.2296)); #183715=CARTESIAN_POINT('Origin',(-1.0102,-1.76402733,-0.5346)); #183716=CARTESIAN_POINT('',(-2.125,-1.76402733,-0.409599999999997)); #183717=CARTESIAN_POINT('',(-2.125,-1.88902733,-0.534599999999996)); #183718=CARTESIAN_POINT('Origin',(-2.125,-1.76402733,-0.534599999999996)); #183719=CARTESIAN_POINT('',(-2.0204,-1.88902733,-0.534599999999997)); #183720=CARTESIAN_POINT('',(-1.0102,-1.88902733,-0.5346)); #183721=CARTESIAN_POINT('',(-2.0204,-1.76402733,-0.409599999999997)); #183722=CARTESIAN_POINT('Origin',(-2.0204,-1.76402733,-0.534599999999997)); #183723=CARTESIAN_POINT('',(-1.0102,-1.76402733,-0.4096)); #183724=CARTESIAN_POINT('Origin',(-6.99353086378051E-16,-1.12272393321246, -0.409600000000003)); #183725=CARTESIAN_POINT('',(-2.125,-0.54734313660623,-0.409599999999997)); #183726=CARTESIAN_POINT('',(-2.0204,-0.54734313660623,-0.409599999999997)); #183727=CARTESIAN_POINT('Origin',(-2.02039999999999,0.0280376599999984, 2.74561935496885)); #183728=CARTESIAN_POINT('',(-2.0204,-1.88902733,-0.909599999999996)); #183729=CARTESIAN_POINT('',(-2.0204,-1.88902733,0.307687741987542)); #183730=CARTESIAN_POINT('',(-2.0204,-1.76402733,-1.0346)); #183731=CARTESIAN_POINT('Origin',(-2.0204,-1.76402733,-0.909599999999996)); #183732=CARTESIAN_POINT('',(-2.0204,1.82010265,-1.0346)); #183733=CARTESIAN_POINT('',(-2.0204,-1.88902733,-1.0346)); #183734=CARTESIAN_POINT('',(-2.0204,1.94510265,-0.909599999999997)); #183735=CARTESIAN_POINT('Origin',(-2.0204,1.82010265,-0.909599999999997)); #183736=CARTESIAN_POINT('',(-2.0204,1.94510265,-0.229599999999998)); #183737=CARTESIAN_POINT('',(-2.0204,1.94510265,0.307687741987542)); #183738=CARTESIAN_POINT('',(-2.02039999999999,0.0280376599999992,-0.229599999999998)); #183739=CARTESIAN_POINT('Origin',(1.0102,-1.76402733,-0.534599999999999)); #183740=CARTESIAN_POINT('',(2.0204,-1.76402733,-0.4096)); #183741=CARTESIAN_POINT('',(2.0204,-1.88902733,-0.5346)); #183742=CARTESIAN_POINT('Origin',(2.0204,-1.76402733,-0.5346)); #183743=CARTESIAN_POINT('',(2.125,-1.88902733,-0.5346)); #183744=CARTESIAN_POINT('',(1.0102,-1.88902733,-0.534599999999999)); #183745=CARTESIAN_POINT('',(2.125,-1.76402733,-0.4096)); #183746=CARTESIAN_POINT('Origin',(2.125,-1.76402733,-0.5346)); #183747=CARTESIAN_POINT('',(1.0102,-1.76402733,-0.409599999999999)); #183748=CARTESIAN_POINT('Origin',(2.0204,-1.88902733,-0.2296)); #183749=CARTESIAN_POINT('',(2.0204,-1.88902733,-0.9096)); #183750=CARTESIAN_POINT('',(2.0204,-1.88902733,0.30768774198754)); #183751=CARTESIAN_POINT('',(2.125,-1.88902733,-0.909600000000001)); #183752=CARTESIAN_POINT('',(2.0204,-1.88902733,-0.9096)); #183753=CARTESIAN_POINT('',(2.125,-1.88902733,0.30768774198754)); #183754=CARTESIAN_POINT('Origin',(2.0204,0.0280376599999997,1.93444387099377)); #183755=CARTESIAN_POINT('',(2.0204,-0.54734313660623,-0.4096)); #183756=CARTESIAN_POINT('',(2.0204,1.94510265,-0.2296)); #183757=CARTESIAN_POINT('',(2.0204,0.0280376599999999,-0.2296)); #183758=CARTESIAN_POINT('',(2.0204,1.94510265,-0.9096)); #183759=CARTESIAN_POINT('',(2.0204,1.94510265,0.30768774198754)); #183760=CARTESIAN_POINT('',(2.0204,1.82010265,-1.0346)); #183761=CARTESIAN_POINT('Origin',(2.02039999999999,1.82010265,-0.9096)); #183762=CARTESIAN_POINT('',(2.0204,-1.76402733,-1.0346)); #183763=CARTESIAN_POINT('',(2.0204,1.94510265,-1.0346)); #183764=CARTESIAN_POINT('Origin',(2.02039999999999,-1.76402733,-0.9096)); #183765=CARTESIAN_POINT('Origin',(2.0204,1.82010265,-0.9096)); #183766=CARTESIAN_POINT('',(2.125,1.94510265,-0.909600000000001)); #183767=CARTESIAN_POINT('',(2.125,1.82010265,-1.0346)); #183768=CARTESIAN_POINT('Origin',(2.12499999999999,1.82010265,-0.909600000000001)); #183769=CARTESIAN_POINT('',(2.0204,1.82010265,-1.0346)); #183770=CARTESIAN_POINT('',(2.0204,1.94510265,-0.9096)); #183771=CARTESIAN_POINT('Origin',(2.0204,1.94510265,-0.2296)); #183772=CARTESIAN_POINT('',(2.125,1.94510265,-0.2296)); #183773=CARTESIAN_POINT('',(2.043412,1.94510265,-0.2296)); #183774=CARTESIAN_POINT('',(2.125,1.94510265,-0.2296)); #183775=CARTESIAN_POINT('Origin',(-2.0204,1.82010265,-0.909599999999997)); #183776=CARTESIAN_POINT('',(-2.125,1.82010265,-1.0346)); #183777=CARTESIAN_POINT('',(-2.125,1.94510265,-0.909599999999996)); #183778=CARTESIAN_POINT('Origin',(-2.125,1.82010265,-0.909599999999996)); #183779=CARTESIAN_POINT('',(-2.0204,1.94510265,-0.909599999999997)); #183780=CARTESIAN_POINT('',(-2.0204,1.82010265,-1.0346)); #183781=CARTESIAN_POINT('Origin',(-2.0204,-1.88902733,-1.0346)); #183782=CARTESIAN_POINT('',(-2.125,-1.76402733,-1.0346)); #183783=CARTESIAN_POINT('',(-2.0204,-1.76402733,-1.0346)); #183784=CARTESIAN_POINT('',(-2.125,-1.88902733,-1.0346)); #183785=CARTESIAN_POINT('Origin',(-2.0204,-1.76402733,-0.909599999999996)); #183786=CARTESIAN_POINT('',(-2.125,-1.88902733,-0.909599999999996)); #183787=CARTESIAN_POINT('Origin',(-2.125,-1.76402733,-0.909599999999996)); #183788=CARTESIAN_POINT('',(-2.0204,-1.88902733,-0.909599999999996)); #183789=CARTESIAN_POINT('Origin',(-2.0204,-1.88902733,-0.229599999999998)); #183790=CARTESIAN_POINT('',(-2.125,-1.88902733,-0.229599999999998)); #183791=CARTESIAN_POINT('Origin',(2.0204,-1.76402733,-0.9096)); #183792=CARTESIAN_POINT('',(2.125,-1.76402733,-1.0346)); #183793=CARTESIAN_POINT('Origin',(2.12499999999999,-1.76402733,-0.909600000000001)); #183794=CARTESIAN_POINT('',(2.0204,-1.76402733,-1.0346)); #183795=CARTESIAN_POINT('Origin',(2.125,0.0280376599999997,1.93444387099377)); #183796=CARTESIAN_POINT('',(2.125,1.94510265,-1.0346)); #183797=CARTESIAN_POINT('',(2.125,0.0280376599999997,-0.2296)); #183798=CARTESIAN_POINT('',(2.125,-0.54734313660623,-0.4096)); #183799=CARTESIAN_POINT('Ctrl Pts',(-0.700199935,0.233975305523319,-1.46427052460405E-15)); #183800=CARTESIAN_POINT('Ctrl Pts',(-0.673587909734399,0.255603414700975, -1.61611056816346E-15)); #183801=CARTESIAN_POINT('Ctrl Pts',(-0.627963158367426,0.28896232581218, 0.0148425785755163)); #183802=CARTESIAN_POINT('Ctrl Pts',(-0.584520035557075,0.329090033587537, 0.066940763850019)); #183803=CARTESIAN_POINT('Ctrl Pts',(-0.575199934999999,0.348935673550527, 0.105474492704122)); #183804=CARTESIAN_POINT('Ctrl Pts',(-0.575199935,0.358069909587311,0.124999999999999)); #183805=CARTESIAN_POINT('Ctrl Pts',(-0.700199935,0.233975305523319,-0.0348666666666688)); #183806=CARTESIAN_POINT('Ctrl Pts',(-0.666164928820314,0.255603414700975, -0.034866666666669)); #183807=CARTESIAN_POINT('Ctrl Pts',(-0.607813913472046,0.28896232581218, -0.0158839981738198)); #183808=CARTESIAN_POINT('Ctrl Pts',(-0.552253055605795,0.329090033587537, 0.0507461075799177)); #183809=CARTESIAN_POINT('Ctrl Pts',(-0.540333268333332,0.348935673550527, 0.100028177869059)); #183810=CARTESIAN_POINT('Ctrl Pts',(-0.540333268333332,0.358069909587311, 0.124999999999999)); #183811=CARTESIAN_POINT('Ctrl Pts',(-0.700199935,0.233975305523319,-0.0697333333333361)); #183812=CARTESIAN_POINT('Ctrl Pts',(-0.658741947906229,0.255603414700976, -0.0697333333333364)); #183813=CARTESIAN_POINT('Ctrl Pts',(-0.587664668576667,0.28896232581218, -0.0466105749231562)); #183814=CARTESIAN_POINT('Ctrl Pts',(-0.519986075654514,0.329090033587537, 0.0345514513098165)); #183815=CARTESIAN_POINT('Ctrl Pts',(-0.505466601666665,0.348935673550527, 0.0945818630339962)); #183816=CARTESIAN_POINT('Ctrl Pts',(-0.505466601666665,0.358069909587311, 0.124999999999999)); #183817=CARTESIAN_POINT('Ctrl Pts',(-0.700199935000001,0.233975305523319, -0.104600000000003)); #183818=CARTESIAN_POINT('Ctrl Pts',(-0.651318966992144,0.255603414700976, -0.104600000000004)); #183819=CARTESIAN_POINT('Ctrl Pts',(-0.567515423681288,0.28896232581218, -0.0773371516724922)); #183820=CARTESIAN_POINT('Ctrl Pts',(-0.487719095703234,0.329090033587537, 0.0183567950397153)); #183821=CARTESIAN_POINT('Ctrl Pts',(-0.470599934999997,0.348935673550527, 0.0891355481989332)); #183822=CARTESIAN_POINT('Ctrl Pts',(-0.470599934999997,0.358069909587311, 0.124999999999999)); #183823=CARTESIAN_POINT('',(-0.700199935,0.233975305523319,-0.104600000000001)); #183824=CARTESIAN_POINT('',(-0.700199934999999,0.233975305523319,-0.0460240000000014)); #183825=CARTESIAN_POINT('',(-0.700199934999999,0.233975305523319,-3.49676543189026E-15)); #183826=CARTESIAN_POINT('',(-0.470599935,0.358069909587311,0.124999999999999)); #183827=CARTESIAN_POINT('Ctrl Pts',(-0.470599934999997,0.358069909587311, 0.124999999999999)); #183828=CARTESIAN_POINT('Ctrl Pts',(-0.470599934999997,0.348935673550526, 0.0891355481989328)); #183829=CARTESIAN_POINT('Ctrl Pts',(-0.479159515351616,0.339012853569032, 0.0537461716193241)); #183830=CARTESIAN_POINT('Ctrl Pts',(-0.494838780952861,0.32831891389609, 0.0223809195134902)); #183831=CARTESIAN_POINT('Ctrl Pts',(-0.510518046554106,0.317624974223149, -0.00898433259234398)); #183832=CARTESIAN_POINT('Ctrl Pts',(-0.533316997404979,0.306159914858761, -0.0363254602244034)); #183833=CARTESIAN_POINT('Ctrl Pts',(-0.560404934845239,0.293943453462336, -0.0570921925360408)); #183834=CARTESIAN_POINT('Ctrl Pts',(-0.60103684100563,0.275618761367698, -0.0882422910034969)); #183835=CARTESIAN_POINT('Ctrl Pts',(-0.651318966992144,0.255603414700976, -0.104600000000004)); #183836=CARTESIAN_POINT('Ctrl Pts',(-0.700199935000001,0.233975305523319, -0.104600000000003)); #183837=CARTESIAN_POINT('',(-0.529175934999999,0.358069909587311,0.124999999999999)); #183838=CARTESIAN_POINT('',(-0.575199934999998,0.358069909587311,0.124999999999999)); #183839=CARTESIAN_POINT('',(-0.575199934999999,0.358069909587311,0.124999999999998)); #183840=CARTESIAN_POINT('',(-0.575199934999998,0.358069909587311,0.124999999999999)); #183841=CARTESIAN_POINT('',(-0.700199934999999,0.23397530552332,-1.48612530855336E-15)); #183842=CARTESIAN_POINT('Ctrl Pts',(-0.700199935,0.233975305523319,-1.46427052460405E-15)); #183843=CARTESIAN_POINT('Ctrl Pts',(-0.673587909734399,0.255603414700975, -1.61611056816346E-15)); #183844=CARTESIAN_POINT('Ctrl Pts',(-0.627963158367426,0.28896232581218, 0.0148425785755163)); #183845=CARTESIAN_POINT('Ctrl Pts',(-0.584520035557075,0.329090033587537, 0.066940763850019)); #183846=CARTESIAN_POINT('Ctrl Pts',(-0.575199934999999,0.348935673550527, 0.105474492704122)); #183847=CARTESIAN_POINT('Ctrl Pts',(-0.575199935,0.358069909587311,0.124999999999999)); #183848=CARTESIAN_POINT('',(-0.700199934999999,0.233975305523319,-3.49676543189026E-15)); #183849=CARTESIAN_POINT('Origin',(-0.575199934999999,-1.,0.589349501702172)); #183850=CARTESIAN_POINT('',(-0.470599935,0.414806162407174,0.347899999999999)); #183851=CARTESIAN_POINT('Origin',(-0.470599934999999,-1.,0.589349501702172)); #183852=CARTESIAN_POINT('',(-0.516623935,0.414806162407174,0.347899999999999)); #183853=CARTESIAN_POINT('',(-0.575199934999999,0.414806162407174,0.347899999999999)); #183854=CARTESIAN_POINT('',(-0.575199934999999,0.414806162407174,0.347899999999998)); #183855=CARTESIAN_POINT('',(-0.575199934999999,0.414806162407174,0.347899999999999)); #183856=CARTESIAN_POINT('Origin',(-0.575199934999999,-1.,0.589349501702172)); #183857=CARTESIAN_POINT('Ctrl Pts',(-0.575199934999999,0.414806162407174, 0.347899999999998)); #183858=CARTESIAN_POINT('Ctrl Pts',(-0.575199935,0.420636500219788,0.393764764939311)); #183859=CARTESIAN_POINT('Ctrl Pts',(-0.542119765860531,0.431352271948089, 0.501690022422017)); #183860=CARTESIAN_POINT('Ctrl Pts',(-0.44320930413269,0.43526107,0.564780565343834)); #183861=CARTESIAN_POINT('Ctrl Pts',(-0.381954768593726,0.43526107,0.574603520207279)); #183862=CARTESIAN_POINT('Ctrl Pts',(-0.540333268333332,0.414806162407174, 0.347899999999998)); #183863=CARTESIAN_POINT('Ctrl Pts',(-0.540333268333333,0.420636500219788, 0.386799819508631)); #183864=CARTESIAN_POINT('Ctrl Pts',(-0.512276597585253,0.431352271948089, 0.478335730399161)); #183865=CARTESIAN_POINT('Ctrl Pts',(-0.428386510852668,0.43526107,0.531845450455673)); #183866=CARTESIAN_POINT('Ctrl Pts',(-0.376433985211171,0.43526107,0.540176708050366)); #183867=CARTESIAN_POINT('Ctrl Pts',(-0.505466601666666,0.414806162407174, 0.347899999999998)); #183868=CARTESIAN_POINT('Ctrl Pts',(-0.505466601666666,0.420636500219788, 0.379834874077952)); #183869=CARTESIAN_POINT('Ctrl Pts',(-0.482433429309974,0.431352271948089, 0.454981438376305)); #183870=CARTESIAN_POINT('Ctrl Pts',(-0.413563717572645,0.43526107,0.498910335567512)); #183871=CARTESIAN_POINT('Ctrl Pts',(-0.370913201828616,0.43526107,0.505749895893453)); #183872=CARTESIAN_POINT('Ctrl Pts',(-0.470599934999999,0.414806162407174, 0.347899999999999)); #183873=CARTESIAN_POINT('Ctrl Pts',(-0.470599934999999,0.420636500219788, 0.372869928647272)); #183874=CARTESIAN_POINT('Ctrl Pts',(-0.452590261034696,0.431352271948089, 0.431627146353449)); #183875=CARTESIAN_POINT('Ctrl Pts',(-0.398740924292623,0.43526107,0.465975220679351)); #183876=CARTESIAN_POINT('Ctrl Pts',(-0.365392418446061,0.43526107,0.47132308373654)); #183877=CARTESIAN_POINT('',(-0.365392418446061,0.435261069999999,0.47132308373654)); #183878=CARTESIAN_POINT('Ctrl Pts',(-0.365392418446061,0.43526107,0.47132308373654)); #183879=CARTESIAN_POINT('Ctrl Pts',(-0.398740924292623,0.43526107,0.465975220679351)); #183880=CARTESIAN_POINT('Ctrl Pts',(-0.429511973859522,0.433027471113193, 0.446347749635979)); #183881=CARTESIAN_POINT('Ctrl Pts',(-0.448580276397271,0.428571186944301, 0.418749946060271)); #183882=CARTESIAN_POINT('Ctrl Pts',(-0.462881503300583,0.425228973817631, 0.398051593378491)); #183883=CARTESIAN_POINT('Ctrl Pts',(-0.470599934999999,0.420636500219788, 0.372869928647272)); #183884=CARTESIAN_POINT('Ctrl Pts',(-0.470599934999999,0.414806162407174, 0.347899999999999)); #183885=CARTESIAN_POINT('',(-0.381954768593726,0.435261069999999,0.574603520207278)); #183886=CARTESIAN_POINT('',(-0.381954768593726,0.435261069999999,0.574603520207278)); #183887=CARTESIAN_POINT('Ctrl Pts',(-0.575199934999999,0.414806162407174, 0.347899999999998)); #183888=CARTESIAN_POINT('Ctrl Pts',(-0.575199935,0.420636500219788,0.393764764939311)); #183889=CARTESIAN_POINT('Ctrl Pts',(-0.542119765860531,0.431352271948089, 0.501690022422017)); #183890=CARTESIAN_POINT('Ctrl Pts',(-0.44320930413269,0.43526107,0.564780565343834)); #183891=CARTESIAN_POINT('Ctrl Pts',(-0.381954768593726,0.43526107,0.574603520207279)); #183892=CARTESIAN_POINT('Origin',(-0.372794304291598,0.435261069999999, 0.577499999999998)); #183893=CARTESIAN_POINT('',(-0.345599935,0.435261069999999,0.472899999999999)); #183894=CARTESIAN_POINT('',(-0.345599935,0.435261069999999,0.577499999999999)); #183895=CARTESIAN_POINT('',(0.345599935,0.43526107,0.4729)); #183896=CARTESIAN_POINT('',(0.0163967188479716,0.43526107,0.472899999999999)); #183897=CARTESIAN_POINT('',(0.345599934999999,0.43526107,0.577499999999999)); #183898=CARTESIAN_POINT('Ctrl Pts',(0.575199934999999,0.358069909587311, 0.125)); #183899=CARTESIAN_POINT('Ctrl Pts',(0.575199934999999,0.344368555532135, 0.0957117390561855)); #183900=CARTESIAN_POINT('Ctrl Pts',(0.593089050253822,0.318574787201464, 0.0480681130409338)); #183901=CARTESIAN_POINT('Ctrl Pts',(0.646367875504719,0.275798438164068, 0.00772706870320336)); #183902=CARTESIAN_POINT('Ctrl Pts',(0.682458584822932,0.248394044975091, -3.53931829572045E-17)); #183903=CARTESIAN_POINT('Ctrl Pts',(0.700199935,0.233975305523319,4.37095678986282E-17)); #183904=CARTESIAN_POINT('Ctrl Pts',(0.540333268333333,0.358069909587311, 0.125)); #183905=CARTESIAN_POINT('Ctrl Pts',(0.540333268333333,0.344368555532135, 0.0875422668035909)); #183906=CARTESIAN_POINT('Ctrl Pts',(0.563212254135288,0.318574787201464, 0.0266092453718183)); #183907=CARTESIAN_POINT('Ctrl Pts',(0.631352319709502,0.275798438164068, -0.0249842609331831)); #183908=CARTESIAN_POINT('Ctrl Pts',(0.677509930880209,0.248394044975091, -0.0348666666666667)); #183909=CARTESIAN_POINT('Ctrl Pts',(0.700199935,0.233975305523319,-0.0348666666666666)); #183910=CARTESIAN_POINT('Ctrl Pts',(0.505466601666666,0.358069909587311, 0.125)); #183911=CARTESIAN_POINT('Ctrl Pts',(0.505466601666666,0.344368555532135, 0.0793727945509962)); #183912=CARTESIAN_POINT('Ctrl Pts',(0.533335458016754,0.318574787201464, 0.00515037770270284)); #183913=CARTESIAN_POINT('Ctrl Pts',(0.616336763914285,0.275798438164068, -0.0576955905695696)); #183914=CARTESIAN_POINT('Ctrl Pts',(0.672561276937486,0.248394044975091, -0.0697333333333334)); #183915=CARTESIAN_POINT('Ctrl Pts',(0.700199935,0.233975305523319,-0.0697333333333333)); #183916=CARTESIAN_POINT('Ctrl Pts',(0.470599934999999,0.358069909587311, 0.125)); #183917=CARTESIAN_POINT('Ctrl Pts',(0.470599934999999,0.344368555532135, 0.0712033222984016)); #183918=CARTESIAN_POINT('Ctrl Pts',(0.503458661898221,0.318574787201464, -0.0163084899664127)); #183919=CARTESIAN_POINT('Ctrl Pts',(0.601321208119068,0.275798438164068, -0.090406920205956)); #183920=CARTESIAN_POINT('Ctrl Pts',(0.667612622994763,0.248394044975091, -0.1046)); #183921=CARTESIAN_POINT('Ctrl Pts',(0.700199935,0.233975305523319,-0.1046)); #183922=CARTESIAN_POINT('',(0.470599935,0.358069909587311,0.125)); #183923=CARTESIAN_POINT('',(0.529175934999999,0.358069909587311,0.125)); #183924=CARTESIAN_POINT('',(0.575199934999999,0.358069909587311,0.125)); #183925=CARTESIAN_POINT('',(0.700199935,0.233975305523319,-0.1046)); #183926=CARTESIAN_POINT('Ctrl Pts',(0.700199935,0.233975305523319,-0.1046)); #183927=CARTESIAN_POINT('Ctrl Pts',(0.667612622994763,0.248394044975091, -0.1046)); #183928=CARTESIAN_POINT('Ctrl Pts',(0.634466915556915,0.262096241569579, -0.097503460102978)); #183929=CARTESIAN_POINT('Ctrl Pts',(0.603913698092156,0.275058246872166, -0.0833697001202466)); #183930=CARTESIAN_POINT('Ctrl Pts',(0.573360480627397,0.288020252174753, -0.0692359401375151)); #183931=CARTESIAN_POINT('Ctrl Pts',(0.545399753135727,0.300242066185437, -0.0480649600690741)); #183932=CARTESIAN_POINT('Ctrl Pts',(0.523365920337009,0.311702157524755, -0.0213604820656393)); #183933=CARTESIAN_POINT('Ctrl Pts',(0.490315171138932,0.328892294533732, 0.018696234939513)); #183934=CARTESIAN_POINT('Ctrl Pts',(0.470599934999999,0.344368555532135, 0.0712033222984016)); #183935=CARTESIAN_POINT('Ctrl Pts',(0.470599934999999,0.358069909587311, 0.125)); #183936=CARTESIAN_POINT('',(0.700199934999999,0.23397530552332,-0.046024)); #183937=CARTESIAN_POINT('',(0.700199934999999,0.23397530552332,0.)); #183938=CARTESIAN_POINT('',(0.700199934999999,0.23397530552332,0.)); #183939=CARTESIAN_POINT('',(0.700199934999999,0.23397530552332,0.)); #183940=CARTESIAN_POINT('',(0.575199934999999,0.358069909587311,0.125)); #183941=CARTESIAN_POINT('Ctrl Pts',(0.575199934999999,0.358069909587311, 0.125)); #183942=CARTESIAN_POINT('Ctrl Pts',(0.575199934999999,0.344368555532135, 0.0957117390561855)); #183943=CARTESIAN_POINT('Ctrl Pts',(0.593089050253822,0.318574787201464, 0.0480681130409338)); #183944=CARTESIAN_POINT('Ctrl Pts',(0.646367875504719,0.275798438164068, 0.00772706870320336)); #183945=CARTESIAN_POINT('Ctrl Pts',(0.682458584822932,0.248394044975091, -3.53931829572045E-17)); #183946=CARTESIAN_POINT('Ctrl Pts',(0.700199935,0.233975305523319,4.37095678986282E-17)); #183947=CARTESIAN_POINT('',(0.575199934999999,0.358069909587311,0.125)); #183948=CARTESIAN_POINT('Origin',(-0.032793437695944,-1.,0.)); #183949=CARTESIAN_POINT('',(1.0775707558404,-0.0905684760412583,-0.1046)); #183950=CARTESIAN_POINT('Origin',(-0.032793437695944,-1.,-0.1046)); #183951=CARTESIAN_POINT('',(1.0775707558404,-0.0905684760412583,0.)); #183952=CARTESIAN_POINT('',(1.0775707558404,-0.0905684760412583,0.)); #183953=CARTESIAN_POINT('Origin',(-0.032793437695944,-1.,0.)); #183954=CARTESIAN_POINT('Origin',(0.345599934999999,0.43526107,0.4729)); #183955=CARTESIAN_POINT('',(0.36539241844606,0.43526107,0.471323083736542)); #183956=CARTESIAN_POINT('Origin',(0.345599935,0.43526107,0.3479)); #183957=CARTESIAN_POINT('',(0.381954768593724,0.43526107,0.57460352020728)); #183958=CARTESIAN_POINT('',(0.381954768593724,0.43526107,0.57460352020728)); #183959=CARTESIAN_POINT('Origin',(0.345599935,0.43526107,0.3479)); #183960=CARTESIAN_POINT('Origin',(0.575199934999999,-1.,0.589349501702172)); #183961=CARTESIAN_POINT('',(0.575199934999999,0.414806162407174,0.3479)); #183962=CARTESIAN_POINT('Origin',(0.575199934999999,-1.,0.589349501702172)); #183963=CARTESIAN_POINT('',(0.516623935,0.414806162407174,0.3479)); #183964=CARTESIAN_POINT('',(0.575199934999999,0.414806162407174,0.3479)); #183965=CARTESIAN_POINT('',(0.470599935,0.414806162407174,0.3479)); #183966=CARTESIAN_POINT('',(0.575199934999999,0.414806162407174,0.3479)); #183967=CARTESIAN_POINT('Origin',(0.470599934999999,-1.,0.589349501702172)); #183968=CARTESIAN_POINT('Origin',(-0.345599935,0.435261069999999,0.472899999999999)); #183969=CARTESIAN_POINT('Origin',(-0.345599935,0.435261069999999,0.347899999999999)); #183970=CARTESIAN_POINT('Origin',(-0.345599935,0.435261069999999,0.347899999999999)); #183971=CARTESIAN_POINT('Origin',(0.032793437695945,-1.,-2.79741234551221E-15)); #183972=CARTESIAN_POINT('',(-1.0775707558404,-0.0905684760412588,-3.58418456768751E-15)); #183973=CARTESIAN_POINT('Origin',(0.032793437695945,-1.,-2.79741234551221E-15)); #183974=CARTESIAN_POINT('',(-1.0775707558404,-0.0905684760412589,-0.104600000000003)); #183975=CARTESIAN_POINT('',(-1.0775707558404,-0.0905684760412588,-3.58418456768751E-15)); #183976=CARTESIAN_POINT('Origin',(0.0327934376959449,-1.,-0.104600000000003)); #183977=CARTESIAN_POINT('Origin',(-1.375,0.15303766,-3.75902283928203E-15)); #183978=CARTESIAN_POINT('',(-1.375,-0.231420536424918,-0.1046)); #183979=CARTESIAN_POINT('Origin',(-1.375,0.15303766,-0.104600000000004)); #183980=CARTESIAN_POINT('',(-1.375,-0.231420536424918,-3.67160370348477E-15)); #183981=CARTESIAN_POINT('Origin',(-1.375,0.15303766,-3.75902283928203E-15)); #183982=CARTESIAN_POINT('',(-1.375,-0.231420536424918,-3.67160370348477E-15)); #183983=CARTESIAN_POINT('Origin',(1.375,0.15303766,0.)); #183984=CARTESIAN_POINT('',(1.375,-0.231420536424917,-0.1046)); #183985=CARTESIAN_POINT('Origin',(1.375,0.15303766,-0.1046)); #183986=CARTESIAN_POINT('',(1.375,-0.231420536424917,0.)); #183987=CARTESIAN_POINT('',(1.375,-0.231420536424918,0.)); #183988=CARTESIAN_POINT('Origin',(1.375,0.15303766,0.)); #183989=CARTESIAN_POINT('Ctrl Pts',(0.381954768593724,0.435261069999999, 0.57460352020728)); #183990=CARTESIAN_POINT('Ctrl Pts',(0.443209304132688,0.435261069999999, 0.564780565343836)); #183991=CARTESIAN_POINT('Ctrl Pts',(0.54211976586053,0.431352271948088, 0.501690022422019)); #183992=CARTESIAN_POINT('Ctrl Pts',(0.575199934999999,0.420636500219788, 0.393764764939312)); #183993=CARTESIAN_POINT('Ctrl Pts',(0.575199934999999,0.414806162407174, 0.3479)); #183994=CARTESIAN_POINT('Ctrl Pts',(0.376433985211169,0.435261069999999, 0.540176708050367)); #183995=CARTESIAN_POINT('Ctrl Pts',(0.428386510852666,0.435261069999999, 0.531845450455675)); #183996=CARTESIAN_POINT('Ctrl Pts',(0.512276597585252,0.431352271948088, 0.478335730399163)); #183997=CARTESIAN_POINT('Ctrl Pts',(0.540333268333333,0.420636500219788, 0.386799819508633)); #183998=CARTESIAN_POINT('Ctrl Pts',(0.540333268333333,0.414806162407174, 0.3479)); #183999=CARTESIAN_POINT('Ctrl Pts',(0.370913201828615,0.435261069999999, 0.505749895893454)); #184000=CARTESIAN_POINT('Ctrl Pts',(0.413563717572644,0.435261069999999, 0.498910335567514)); #184001=CARTESIAN_POINT('Ctrl Pts',(0.482433429309974,0.431352271948088, 0.454981438376307)); #184002=CARTESIAN_POINT('Ctrl Pts',(0.505466601666666,0.420636500219788, 0.379834874077953)); #184003=CARTESIAN_POINT('Ctrl Pts',(0.505466601666666,0.414806162407174, 0.3479)); #184004=CARTESIAN_POINT('Ctrl Pts',(0.36539241844606,0.435261069999999, 0.471323083736542)); #184005=CARTESIAN_POINT('Ctrl Pts',(0.398740924292622,0.435261069999999, 0.465975220679353)); #184006=CARTESIAN_POINT('Ctrl Pts',(0.452590261034696,0.431352271948088, 0.431627146353451)); #184007=CARTESIAN_POINT('Ctrl Pts',(0.470599934999999,0.420636500219788, 0.372869928647274)); #184008=CARTESIAN_POINT('Ctrl Pts',(0.470599934999999,0.414806162407174, 0.3479)); #184009=CARTESIAN_POINT('Ctrl Pts',(0.381954768593724,0.435261069999999, 0.57460352020728)); #184010=CARTESIAN_POINT('Ctrl Pts',(0.443209304132688,0.435261069999999, 0.564780565343836)); #184011=CARTESIAN_POINT('Ctrl Pts',(0.54211976586053,0.431352271948088, 0.501690022422019)); #184012=CARTESIAN_POINT('Ctrl Pts',(0.575199934999999,0.420636500219788, 0.393764764939312)); #184013=CARTESIAN_POINT('Ctrl Pts',(0.575199934999999,0.414806162407174, 0.3479)); #184014=CARTESIAN_POINT('Ctrl Pts',(0.470599934999999,0.414806162407174, 0.3479)); #184015=CARTESIAN_POINT('Ctrl Pts',(0.470599934999999,0.420636500219788, 0.372869928647274)); #184016=CARTESIAN_POINT('Ctrl Pts',(0.462881503300584,0.425228973817631, 0.398051593378493)); #184017=CARTESIAN_POINT('Ctrl Pts',(0.448580276397271,0.4285711869443,0.418749946060273)); #184018=CARTESIAN_POINT('Ctrl Pts',(0.429511973859521,0.433027471113193, 0.446347749635981)); #184019=CARTESIAN_POINT('Ctrl Pts',(0.398740924292622,0.435261069999999, 0.465975220679353)); #184020=CARTESIAN_POINT('Ctrl Pts',(0.36539241844606,0.435261069999999, 0.471323083736542)); #184021=CARTESIAN_POINT('Origin',(0.470599934999999,0.0280376599999997, 0.556556064006229)); #184022=CARTESIAN_POINT('',(0.470599935,1.94510265,0.3479)); #184023=CARTESIAN_POINT('',(0.470599935,1.94510265,0.3479)); #184024=CARTESIAN_POINT('',(0.470599935,1.94510265,0.125)); #184025=CARTESIAN_POINT('',(0.470599935,1.94510265,0.0624999999999998)); #184026=CARTESIAN_POINT('',(0.470599935,1.94510265,0.125)); #184027=CARTESIAN_POINT('Origin',(0.345599935,1.94510265,0.3479)); #184028=CARTESIAN_POINT('',(0.345599934999999,1.94510265,0.4729)); #184029=CARTESIAN_POINT('',(0.345599934999999,1.94510265,0.4729)); #184030=CARTESIAN_POINT('Origin',(0.345599935,1.94510265,0.3479)); #184031=CARTESIAN_POINT('Origin',(0.700199934999999,-0.101691438212459, 0.125)); #184032=CARTESIAN_POINT('',(0.700199935,1.94510265,0.)); #184033=CARTESIAN_POINT('',(0.700199934999999,-0.101691438212459,0.)); #184034=CARTESIAN_POINT('',(0.575199935,1.94510265,0.125)); #184035=CARTESIAN_POINT('Origin',(0.700199935,1.94510265,0.125)); #184036=CARTESIAN_POINT('',(0.575199934999999,-0.101691438212459,0.125)); #184037=CARTESIAN_POINT('Origin',(8.74191357972564E-17,0.0280376599999999, 0.)); #184038=CARTESIAN_POINT('',(-1.0625,-0.231420536424918,0.)); #184039=CARTESIAN_POINT('',(1.8954,1.94510265,0.)); #184040=CARTESIAN_POINT('',(1.8954,-0.930494835,0.)); #184041=CARTESIAN_POINT('',(-2.125,1.94510265,0.)); #184042=CARTESIAN_POINT('',(1.5155,0.15303766,0.)); #184043=CARTESIAN_POINT('Origin',(1.375,0.15303766,0.)); #184044=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.4729)); #184045=CARTESIAN_POINT('',(-0.345599935,1.94510265,0.472899999999999)); #184046=CARTESIAN_POINT('',(-0.345599935,1.94510265,0.472899999999999)); #184047=CARTESIAN_POINT('',(-0.8897000325,1.94510265,0.472899999999998)); #184048=CARTESIAN_POINT('Origin',(-0.345599935,1.94510265,0.347899999999999)); #184049=CARTESIAN_POINT('',(-0.470599935,1.94510265,0.347899999999999)); #184050=CARTESIAN_POINT('',(-0.470599935,1.94510265,0.347899999999999)); #184051=CARTESIAN_POINT('Origin',(-0.345599935,1.94510265,0.347899999999999)); #184052=CARTESIAN_POINT('Origin',(-0.470599935000003,0.0280376599999995, 1.36773154798131)); #184053=CARTESIAN_POINT('',(-0.470599935,1.94510265,0.124999999999999)); #184054=CARTESIAN_POINT('',(-0.470599935,1.94510265,0.124999999999999)); #184055=CARTESIAN_POINT('',(-0.470599935,1.94510265,0.173949999999999)); #184056=CARTESIAN_POINT('Origin',(-0.700199934999999,0.986570155,0.124999999999998)); #184057=CARTESIAN_POINT('',(-0.700199935000001,1.94510265,-0.104600000000002)); #184058=CARTESIAN_POINT('',(-0.700199935000001,1.94510265,-0.104600000000002)); #184059=CARTESIAN_POINT('Origin',(-0.700199935,1.94510265,0.124999999999998)); #184060=CARTESIAN_POINT('Origin',(0.811175483975083,0.02803766,-0.104600000000003)); #184061=CARTESIAN_POINT('',(-1.0625,-0.231420536424918,-0.104600000000001)); #184062=CARTESIAN_POINT('',(-1.8954,1.94510265,-0.104599999999999)); #184063=CARTESIAN_POINT('',(-1.8954,0.986570154999999,-0.104599999999999)); #184064=CARTESIAN_POINT('',(-2.125,1.94510265,-0.104599999999998)); #184065=CARTESIAN_POINT('',(-1.2345,0.15303766,-0.1046)); #184066=CARTESIAN_POINT('Origin',(-1.375,0.15303766,-0.1046)); #184067=CARTESIAN_POINT('Origin',(-2.125,-0.231420536424918,1.92322098753964E-15)); #184068=CARTESIAN_POINT('',(-1.0625,-0.231420536424918,-6.11933950580795E-16)); #184069=CARTESIAN_POINT('Origin',(-2.125,1.94510265,1.83580185174238E-15)); #184070=CARTESIAN_POINT('',(-0.700199935000001,1.94510265,-1.57354444435062E-15)); #184071=CARTESIAN_POINT('',(-0.700199935,1.94510265,-1.57354444435062E-15)); #184072=CARTESIAN_POINT('',(-1.8954,1.94510265,1.31128703695885E-15)); #184073=CARTESIAN_POINT('',(-1.8954,1.94510265,-0.0522999999999986)); #184074=CARTESIAN_POINT('',(-2.125,1.94510265,1.83580185174238E-15)); #184075=CARTESIAN_POINT('Origin',(-2.125,1.94510265,-4.72063333305185E-15)); #184076=CARTESIAN_POINT('',(-0.575199935,1.94510265,0.124999999999998)); #184077=CARTESIAN_POINT('',(-1.0625,1.94510265,0.124999999999998)); #184078=CARTESIAN_POINT('',(-0.575199935,1.94510265,0.347899999999998)); #184079=CARTESIAN_POINT('',(-0.575199935,1.94510265,-1.57354444435062E-15)); #184080=CARTESIAN_POINT('',(-1.0625,1.94510265,0.347899999999998)); #184081=CARTESIAN_POINT('Origin',(-0.470599935,1.94510265,0.347899999999999)); #184082=CARTESIAN_POINT('Origin',(-0.345599935,1.94510265,0.347899999999999)); #184083=CARTESIAN_POINT('',(-0.345599935,1.94510265,-1.13644876536433E-15)); #184084=CARTESIAN_POINT('Origin',(-2.125,1.94510265,-3.409346296093E-15)); #184085=CARTESIAN_POINT('',(0.345599935,1.94510265,-8.74191357972564E-17)); #184086=CARTESIAN_POINT('Origin',(0.345599934999999,1.94510265,0.4729)); #184087=CARTESIAN_POINT('',(0.575199935,1.94510265,0.3479)); #184088=CARTESIAN_POINT('Origin',(0.345599935,1.94510265,0.3479)); #184089=CARTESIAN_POINT('',(-1.0625,1.94510265,0.347899999999998)); #184090=CARTESIAN_POINT('Origin',(-2.125,1.94510265,-2.27289753072867E-15)); #184091=CARTESIAN_POINT('',(0.575199935,1.94510265,-8.74191357972564E-17)); #184092=CARTESIAN_POINT('',(-1.0625,1.94510265,0.124999999999999)); #184093=CARTESIAN_POINT('Origin',(0.470599935,1.94510265,0.125)); #184094=CARTESIAN_POINT('',(0.700199935,1.94510265,-0.1046)); #184095=CARTESIAN_POINT('',(0.700199935,1.94510265,-1.43378548155431E-16)); #184096=CARTESIAN_POINT('Origin',(0.700199935,1.94510265,0.125)); #184097=CARTESIAN_POINT('Origin',(-0.700199935000001,1.94510265,-0.104600000000002)); #184098=CARTESIAN_POINT('Origin',(-0.700199935,1.94510265,0.124999999999998)); #184099=CARTESIAN_POINT('Origin',(0.700199934999999,-0.101691438212459, 0.125)); #184100=CARTESIAN_POINT('',(0.700199935,1.94510265,-0.1046)); #184101=CARTESIAN_POINT('Origin',(0.,0.0280376599999999,-0.1046)); #184102=CARTESIAN_POINT('',(1.8954,1.94510265,-0.1046)); #184103=CARTESIAN_POINT('',(-2.125,1.94510265,-0.1046)); #184104=CARTESIAN_POINT('',(1.8954,-0.930494835,-0.1046)); #184105=CARTESIAN_POINT('',(-1.0625,-0.231420536424918,-0.1046)); #184106=CARTESIAN_POINT('',(1.5155,0.15303766,-0.1046)); #184107=CARTESIAN_POINT('Origin',(1.375,0.15303766,-0.1046)); #184108=CARTESIAN_POINT('Origin',(-2.125,-0.231420536424918,0.)); #184109=CARTESIAN_POINT('Origin',(0.345599935,1.94510265,0.3479)); #184110=CARTESIAN_POINT('',(0.575199934999999,0.312710260181312,0.3479)); #184111=CARTESIAN_POINT('Origin',(0.405587741987541,0.0280376599999996, 0.5775)); #184112=CARTESIAN_POINT('Origin',(-2.125,1.94510265,0.)); #184113=CARTESIAN_POINT('',(1.8954,1.94510265,-0.0523)); #184114=CARTESIAN_POINT('Origin',(-0.345599935,1.94510265,0.347899999999999)); #184115=CARTESIAN_POINT('',(-0.575199935,1.40097185339377,0.347899999999998)); #184116=CARTESIAN_POINT('Origin',(0.575199934999999,0.0280376599999997, 0.556556064006229)); #184117=CARTESIAN_POINT('Origin',(-0.700199934999999,0.986570155,0.124999999999998)); #184118=CARTESIAN_POINT('',(-0.575199934999999,0.986570155,0.124999999999998)); #184119=CARTESIAN_POINT('',(-0.700199934999999,0.986570154999999,-1.3987061727561E-15)); #184120=CARTESIAN_POINT('Origin',(-0.575199935000003,0.0280376599999995, 1.36773154798131)); #184121=CARTESIAN_POINT('Origin',(0.811175483975083,0.02803766,-2.70999320971495E-15)); #184122=CARTESIAN_POINT('',(-1.8954,0.986570154999999,1.3987061727561E-15)); #184123=CARTESIAN_POINT('',(-1.2345,0.15303766,-8.74191357972564E-17)); #184124=CARTESIAN_POINT('Origin',(-1.375,0.15303766,1.74838271594513E-16)); #184125=CARTESIAN_POINT('Origin',(-3.49676543189026E-16,-1.12272393321246, -0.409599999999997)); #184126=CARTESIAN_POINT('Origin',(-1.8954,0.0280376599999993,-0.229599999999998)); #184127=CARTESIAN_POINT('',(-2.125,1.94510265,-0.229599999999998)); #184128=CARTESIAN_POINT('Origin',(-1.8954,1.94510265,-0.229599999999999)); #184129=CARTESIAN_POINT('',(-2.125,0.0280376599999992,-0.229599999999998)); #184130=CARTESIAN_POINT('Origin',(1.8954,0.0280376599999999,-0.2296)); #184131=CARTESIAN_POINT('Origin',(1.8954,1.94510265,-0.2296)); #184132=CARTESIAN_POINT('Origin',(1.375,0.15303766,0.)); #184133=CARTESIAN_POINT('',(1.5155,0.15303766,0.)); #184134=CARTESIAN_POINT('Origin',(-1.375,0.15303766,1.74838271594513E-16)); #184135=CARTESIAN_POINT('',(-1.2345,0.15303766,-1.54268330393579E-16)); #184136=CARTESIAN_POINT('Origin',(-2.0204,1.94510265,-0.229599999999998)); #184137=CARTESIAN_POINT('',(-2.125,1.94510265,0.307687741987543)); #184138=CARTESIAN_POINT('',(-2.043412,1.94510265,-0.229599999999998)); #184139=CARTESIAN_POINT('Origin',(-2.125,1.94510265,-0.229599999999998)); #184140=CARTESIAN_POINT('Origin',(-1.8954,1.94510265,-0.229599999999999)); #184141=CARTESIAN_POINT('Origin',(-2.12499999999999,0.0280376599999983, 2.74561935496886)); #184142=CARTESIAN_POINT('Origin',(-1.8954,0.0280376599999993,-0.229599999999998)); #184143=CARTESIAN_POINT('Origin',(2.0204,1.94510265,-0.2296)); #184144=CARTESIAN_POINT('Origin',(1.8954,1.94510265,-0.2296)); #184145=CARTESIAN_POINT('Origin',(2.0204,1.94510265,-1.0346)); #184146=CARTESIAN_POINT('Origin',(1.8954,0.0280376599999999,-0.2296)); #184147=CARTESIAN_POINT('',(0.,0.,0.)); #184148=CARTESIAN_POINT('Origin',(1.02947669307153,0.,0.)); #184149=CARTESIAN_POINT('',(-0.4595,0.,0.)); #184150=CARTESIAN_POINT('',(-0.3825,4.71489017671731E-18,0.)); #184151=CARTESIAN_POINT('Ctrl Pts',(-0.4595,-9.42978035343462E-18,0.)); #184152=CARTESIAN_POINT('Ctrl Pts',(-0.4595,-9.42978035343462E-18,0.0506691162521112)); #184153=CARTESIAN_POINT('Ctrl Pts',(-0.451119066348955,-9.42978035343462E-18, 0.10099107543846)); #184154=CARTESIAN_POINT('Ctrl Pts',(-0.418275076141813,-9.42978035343462E-18, 0.196859264502158)); #184155=CARTESIAN_POINT('Ctrl Pts',(-0.394037048639143,-9.42978035343462E-18, 0.241748657993221)); #184156=CARTESIAN_POINT('Ctrl Pts',(-0.326274898835163,-9.42978035343462E-18, 0.329036492600301)); #184157=CARTESIAN_POINT('Ctrl Pts',(-0.280703048849575,-9.42978035343462E-18, 0.368681598997234)); #184158=CARTESIAN_POINT('Ctrl Pts',(-0.176927884875334,-9.42978035343462E-18, 0.428271930700745)); #184159=CARTESIAN_POINT('Ctrl Pts',(-0.119716718016111,-9.42978035343462E-18, 0.447647439956999)); #184160=CARTESIAN_POINT('Ctrl Pts',(-0.001877026124531,-9.42978035343462E-18, 0.463273300486049)); #184161=CARTESIAN_POINT('Ctrl Pts',(0.0575990183968622,-2.45544024977883E-17, 0.459682507881973)); #184162=CARTESIAN_POINT('Ctrl Pts',(0.161291476073961,-2.46128662275986E-17, 0.432936068403507)); #184163=CARTESIAN_POINT('Ctrl Pts',(0.20566554008659,1.69267161552627E-15, 0.413704068306864)); #184164=CARTESIAN_POINT('Ctrl Pts',(0.296493184766104,1.69240051487207E-15, 0.355993529599496)); #184165=CARTESIAN_POINT('Ctrl Pts',(0.340084315038537,2.52695939413944E-17, 0.314519876007936)); #184166=CARTESIAN_POINT('Ctrl Pts',(0.40760092406475,2.52695939413944E-17, 0.21851588135758)); #184167=CARTESIAN_POINT('Ctrl Pts',(0.431449565099731,2.43406242650393E-17, 0.16526143286844)); #184168=CARTESIAN_POINT('Ctrl Pts',(0.457261865261687,2.2100614047749E-17, 0.0525551724408905)); #184169=CARTESIAN_POINT('Ctrl Pts',(0.458953046548031,-2.027744759988E-13, -0.00573696777944146)); #184170=CARTESIAN_POINT('Ctrl Pts',(0.439860651427427,-2.02773834003381E-13, -0.117431679022546)); #184171=CARTESIAN_POINT('Ctrl Pts',(0.41998827118315,-3.45868347085858E-13, -0.170090346432812)); #184172=CARTESIAN_POINT('Ctrl Pts',(0.359639274713025,-3.45868347085858E-13, -0.265724668347771)); #184173=CARTESIAN_POINT('Ctrl Pts',(0.323758721596279,-1.80301967581841E-16, -0.29963821434597)); #184174=CARTESIAN_POINT('Ctrl Pts',(0.279862327023207,-1.80301967581841E-16, -0.33061958654334)); #184175=CARTESIAN_POINT('Ctrl Pts',(0.267181074596895,-1.09273919746571E-17, -0.337411129088951)); #184176=CARTESIAN_POINT('Ctrl Pts',(0.256229063690783,-1.09273919746571E-17, -0.341548464773202)); #184177=CARTESIAN_POINT('Ctrl Pts',(0.25299953801034,5.46369598732853E-18, -0.342636465302907)); #184178=CARTESIAN_POINT('Ctrl Pts',(0.249420176524908,5.46369598732853E-18, -0.343064357424827)); #184179=CARTESIAN_POINT('Ctrl Pts',(0.248464854760012,-5.47054917446519E-18, -0.343110463505111)); #184180=CARTESIAN_POINT('Ctrl Pts',(0.247211230203017,-5.46041008618947E-18, -0.342910115367014)); #184181=CARTESIAN_POINT('Ctrl Pts',(0.246798562294509,-2.07077029876367E-21, -0.342798581702297)); #184182=CARTESIAN_POINT('Ctrl Pts',(0.246180427011333,1.42333752359463E-21, -0.342481298697299)); #184183=CARTESIAN_POINT('Ctrl Pts',(0.245951806318968,-5.46349752702209E-18, -0.34231661827189)); #184184=CARTESIAN_POINT('Ctrl Pts',(0.245572462990758,-5.4638924401203E-18, -0.341900240238647)); #184185=CARTESIAN_POINT('Ctrl Pts',(0.245433293893151,-1.09266315487248E-17, -0.341662979389288)); #184186=CARTESIAN_POINT('Ctrl Pts',(0.245212843377728,-1.09284102343385E-17, -0.341045715103255)); #184187=CARTESIAN_POINT('Ctrl Pts',(0.245174620916292,-5.46201863292898E-18, -0.340665163587911)); #184188=CARTESIAN_POINT('Ctrl Pts',(0.245208341036541,-5.46654038276442E-18, -0.339654897493244)); #184189=CARTESIAN_POINT('Ctrl Pts',(0.245377743530769,-2.18547839493141E-17, -0.338984617218683)); #184190=CARTESIAN_POINT('Ctrl Pts',(0.247004361215977,-2.18547839493141E-17, -0.334202795756241)); #184191=CARTESIAN_POINT('Ctrl Pts',(0.252120107265112,1.25665007708556E-16, -0.327538009976271)); #184192=CARTESIAN_POINT('Ctrl Pts',(0.26702200951599,1.25665007708556E-16, -0.309472589065537)); #184193=CARTESIAN_POINT('Ctrl Pts',(0.277350401487691,1.56808074836329E-15, -0.297541400426427)); #184194=CARTESIAN_POINT('Ctrl Pts',(0.302596433575951,1.56808074836329E-15, -0.265128845359341)); #184195=CARTESIAN_POINT('Ctrl Pts',(0.3147661957869,6.75634987484319E-13, -0.247599168677282)); #184196=CARTESIAN_POINT('Ctrl Pts',(0.35726793157008,6.75635723675428E-13, -0.176031766324248)); #184197=CARTESIAN_POINT('Ctrl Pts',(0.371350222629146,-4.9699809806168E-18, -0.129124711034636)); #184198=CARTESIAN_POINT('Ctrl Pts',(0.388423098351901,-6.14376561336961E-18, -0.0454452635032732)); #184199=CARTESIAN_POINT('Ctrl Pts',(0.389542281826908,-6.99743359297275E-18, 0.00422576867867077)); #184200=CARTESIAN_POINT('Ctrl Pts',(0.374360534259993,-9.29615969956922E-18, 0.0978418637599195)); #184201=CARTESIAN_POINT('Ctrl Pts',(0.359583737624023,1.36592399683213E-18, 0.141157686238367)); #184202=CARTESIAN_POINT('Ctrl Pts',(0.312757078300412,1.36592399683213E-18, 0.2267455938131)); #184203=CARTESIAN_POINT('Ctrl Pts',(0.278935664023367,2.00107865535907E-16, 0.267050228447268)); #184204=CARTESIAN_POINT('Ctrl Pts',(0.207476887489759,2.00107865535907E-16, 0.323718376145513)); #184205=CARTESIAN_POINT('Ctrl Pts',(0.173376919860437,-4.60999348930844E-18, 0.343185650816471)); #184206=CARTESIAN_POINT('Ctrl Pts',(0.0916182546767423,-4.60999348930844E-18, 0.374516555475733)); #184207=CARTESIAN_POINT('Ctrl Pts',(0.0434641577940397,4.71489017671731E-18, 0.383103348953729)); #184208=CARTESIAN_POINT('Ctrl Pts',(-0.0548222689192467,4.71489017671731E-18, 0.381813466301937)); #184209=CARTESIAN_POINT('Ctrl Pts',(-0.104021345602201,4.71489017671731E-18, 0.371438506186505)); #184210=CARTESIAN_POINT('Ctrl Pts',(-0.195673351891436,4.71489017671731E-18, 0.332413813760086)); #184211=CARTESIAN_POINT('Ctrl Pts',(-0.237250038363387,4.71489017671731E-18, 0.304137178778797)); #184212=CARTESIAN_POINT('Ctrl Pts',(-0.307224323940342,4.71489017671731E-18, 0.233238545267189)); #184213=CARTESIAN_POINT('Ctrl Pts',(-0.334952930736296,4.71489017671731E-18, 0.191294376315982)); #184214=CARTESIAN_POINT('Ctrl Pts',(-0.372771552082531,4.71489017671731E-18, 0.09913815701805)); #184215=CARTESIAN_POINT('Ctrl Pts',(-0.3825,4.71489017671731E-18,0.0498071703568332)); #184216=CARTESIAN_POINT('Ctrl Pts',(-0.3825,4.71489017671731E-18,0.)); #184217=CARTESIAN_POINT('',(0.304238346535767,0.,0.)); #184218=CARTESIAN_POINT('Origin',(-0.421,0.,0.)); #184219=CARTESIAN_POINT('Origin',(-0.421,0.,0.)); #184220=CARTESIAN_POINT('Origin',(0.654215880604862,0.38433594,-1.)); #184221=CARTESIAN_POINT('',(0.4595,0.38433594,-4.88816185798223E-16)); #184222=CARTESIAN_POINT('',(3.74978680632585E-16,0.38433594,0.3825)); #184223=CARTESIAN_POINT('Ctrl Pts',(0.4595,0.38433594,-1.2457226851109E-15)); #184224=CARTESIAN_POINT('Ctrl Pts',(0.4595,0.38433594,0.0432574187598356)); #184225=CARTESIAN_POINT('Ctrl Pts',(0.453391607363121,0.38433594,0.0862989261257003)); #184226=CARTESIAN_POINT('Ctrl Pts',(0.429320287526976,0.38433594,0.169397594420695)); #184227=CARTESIAN_POINT('Ctrl Pts',(0.411477507862389,0.38433594,0.209039983737852)); #184228=CARTESIAN_POINT('Ctrl Pts',(0.356375925256934,0.38433594,0.296169753637787)); #184229=CARTESIAN_POINT('Ctrl Pts',(0.314823517608501,0.38433594,0.34000952315077)); #184230=CARTESIAN_POINT('Ctrl Pts',(0.21725311836027,0.38433594,0.409293788903939)); #184231=CARTESIAN_POINT('Ctrl Pts',(0.162167952957263,0.38433594,0.434075889800683)); #184232=CARTESIAN_POINT('Ctrl Pts',(0.0456752017810083,0.38433594,0.461112486560597)); #184233=CARTESIAN_POINT('Ctrl Pts',(-0.0146129282335786,0.3843359399999, 0.463138583081638)); #184234=CARTESIAN_POINT('Ctrl Pts',(-0.125900344484928,0.3843359399999, 0.445080966773083)); #184235=CARTESIAN_POINT('Ctrl Pts',(-0.176358191326926,0.384335939999987, 0.427607566124951)); #184236=CARTESIAN_POINT('Ctrl Pts',(-0.269132149314534,0.384335939999987, 0.376166960242954)); #184237=CARTESIAN_POINT('Ctrl Pts',(-0.310770012995572,0.38433594,0.342526683222401)); #184238=CARTESIAN_POINT('Ctrl Pts',(-0.383546796057301,0.38433594,0.25888273425591)); #184239=CARTESIAN_POINT('Ctrl Pts',(-0.412794205969521,0.38433594,0.208424971764129)); #184240=CARTESIAN_POINT('Ctrl Pts',(-0.450353858787556,0.38433594,0.0990100437165973)); #184241=CARTESIAN_POINT('Ctrl Pts',(-0.458221127734348,0.384335940000064, 0.0411628118125644)); #184242=CARTESIAN_POINT('Ctrl Pts',(-0.451048640281271,0.384335940000064, -0.073007426697217)); #184243=CARTESIAN_POINT('Ctrl Pts',(-0.4365712180003,0.384335940001922, -0.128315405959513)); #184244=CARTESIAN_POINT('Ctrl Pts',(-0.386363386428149,0.384335940001922, -0.230377005147025)); #184245=CARTESIAN_POINT('Ctrl Pts',(-0.353375915834679,0.384335940000001, -0.270679284953467)); #184246=CARTESIAN_POINT('Ctrl Pts',(-0.304341485033468,0.384335940000001, -0.313936976911071)); #184247=CARTESIAN_POINT('Ctrl Pts',(-0.289094464953087,0.38433594,-0.324578366394133)); #184248=CARTESIAN_POINT('Ctrl Pts',(-0.26777316650378,0.38433594,-0.336913125067297)); #184249=CARTESIAN_POINT('Ctrl Pts',(-0.260138577863291,0.38433594,-0.340374876964047)); #184250=CARTESIAN_POINT('Ctrl Pts',(-0.252969526587136,0.38433594,-0.34249646525924)); #184251=CARTESIAN_POINT('Ctrl Pts',(-0.250914434730693,0.38433594,-0.342989730611711)); #184252=CARTESIAN_POINT('Ctrl Pts',(-0.248496742184609,0.38433594,-0.343075643718749)); #184253=CARTESIAN_POINT('Ctrl Pts',(-0.24778710382598,0.38433594,-0.343043401276517)); #184254=CARTESIAN_POINT('Ctrl Pts',(-0.246813447969676,0.38433594,-0.342790686086597)); #184255=CARTESIAN_POINT('Ctrl Pts',(-0.24647866301558,0.38433594,-0.342660032677383)); #184256=CARTESIAN_POINT('Ctrl Pts',(-0.245957170327495,0.38433594,-0.342316740248609)); #184257=CARTESIAN_POINT('Ctrl Pts',(-0.245760306903814,0.38433594,-0.342133268094908)); #184258=CARTESIAN_POINT('Ctrl Pts',(-0.245432926472137,0.38433594,-0.341670013204994)); #184259=CARTESIAN_POINT('Ctrl Pts',(-0.245321468723142,0.38433594,-0.341401260639688)); #184260=CARTESIAN_POINT('Ctrl Pts',(-0.245160360454751,0.38433594,-0.340685989061958)); #184261=CARTESIAN_POINT('Ctrl Pts',(-0.245170573834253,0.38433594,-0.340229473397732)); #184262=CARTESIAN_POINT('Ctrl Pts',(-0.245357793252286,0.38433594,-0.338881552296146)); #184263=CARTESIAN_POINT('Ctrl Pts',(-0.24573864692276,0.384335940000006, -0.337835186513015)); #184264=CARTESIAN_POINT('Ctrl Pts',(-0.247306730849418,0.384335940000006, -0.334579114259265)); #184265=CARTESIAN_POINT('Ctrl Pts',(-0.248963436359304,0.384335939999868, -0.332098955070334)); #184266=CARTESIAN_POINT('Ctrl Pts',(-0.263734446299317,0.384335939999868, -0.311867114801161)); #184267=CARTESIAN_POINT('Ctrl Pts',(-0.29597051553169,0.384335939999324, -0.279248652787433)); #184268=CARTESIAN_POINT('Ctrl Pts',(-0.357267931570071,0.384335939999324, -0.176031766324264)); #184269=CARTESIAN_POINT('Ctrl Pts',(-0.371350222629142,0.38433594,-0.129124711034657)); #184270=CARTESIAN_POINT('Ctrl Pts',(-0.388423098351901,0.38433594,-0.0454452635032708)); #184271=CARTESIAN_POINT('Ctrl Pts',(-0.389542281826908,0.38433594,0.00422576867867267)); #184272=CARTESIAN_POINT('Ctrl Pts',(-0.374360534259993,0.38433594,0.0978418637599209)); #184273=CARTESIAN_POINT('Ctrl Pts',(-0.359583737624023,0.38433594,0.141157686238369)); #184274=CARTESIAN_POINT('Ctrl Pts',(-0.31275707830041,0.38433594,0.226745593813102)); #184275=CARTESIAN_POINT('Ctrl Pts',(-0.278935664023365,0.38433594,0.26705022844727)); #184276=CARTESIAN_POINT('Ctrl Pts',(-0.207476887489757,0.38433594,0.323718376145514)); #184277=CARTESIAN_POINT('Ctrl Pts',(-0.173376919860435,0.38433594,0.343185650816472)); #184278=CARTESIAN_POINT('Ctrl Pts',(-0.0931813759009613,0.38433594,0.373917548606713)); #184279=CARTESIAN_POINT('Ctrl Pts',(-0.0467851073837103,0.38433594,0.3825)); #184280=CARTESIAN_POINT('Ctrl Pts',(4.58950462935596E-16,0.38433594,0.3825)); #184281=CARTESIAN_POINT('',(0.3825,0.38433594,-2.83167572281982E-16)); #184282=CARTESIAN_POINT('',(0.537607940302432,0.38433594,-6.99353086378051E-16)); #184283=CARTESIAN_POINT('Ctrl Pts',(3.59915340898687E-7,0.384338608276543, 0.382511346852306)); #184284=CARTESIAN_POINT('Ctrl Pts',(0.0667297406683272,0.384356192242973, 0.382512175925879)); #184285=CARTESIAN_POINT('Ctrl Pts',(0.200186525566168,0.38431949683511, 0.346746663774443)); #184286=CARTESIAN_POINT('Ctrl Pts',(0.346784152627992,0.384345446196079, 0.200195139812426)); #184287=CARTESIAN_POINT('Ctrl Pts',(0.382471690454261,0.384335485770156, 0.0667541853240473)); #184288=CARTESIAN_POINT('Ctrl Pts',(0.38247269067073,0.384335486196669, -1.03094019043971E-15)); #184289=CARTESIAN_POINT('Origin',(0.421,0.38433594,-3.8694281767878E-16)); #184290=CARTESIAN_POINT('Origin',(0.421,0.38433594,-3.8694281767878E-16)); #184291=CARTESIAN_POINT('Ctrl Pts',(-0.38247269067073,-4.53803328776714E-7, 0.)); #184292=CARTESIAN_POINT('Ctrl Pts',(-0.382471552392704,-4.54229842282354E-7, 0.0667541646961862)); #184293=CARTESIAN_POINT('Ctrl Pts',(-0.346784240244095,-1.04131905949225E-5, 0.20019515120252)); #184294=CARTESIAN_POINT('Ctrl Pts',(-0.200186490239936,1.55352534525919E-5, 0.346746661523841)); #184295=CARTESIAN_POINT('Ctrl Pts',(-1.36403151592076E-6,-3.95073861355107E-5, 0.400394925229971)); #184296=CARTESIAN_POINT('Ctrl Pts',(0.200189767999335,0.000121039128943445, 0.346741710243475)); #184297=CARTESIAN_POINT('Ctrl Pts',(0.346778644457313,0.00596906289613845, 0.200207831967854)); #184298=CARTESIAN_POINT('Ctrl Pts',(0.382479180639678,0.0135767528514653, 0.0667296557061133)); #184299=CARTESIAN_POINT('Ctrl Pts',(0.382633893878018,0.0223247468408585, -0.0834026757628375)); #184300=CARTESIAN_POINT('Ctrl Pts',(0.325933482060275,0.0320260957888862, -0.25009960492607)); #184301=CARTESIAN_POINT('Ctrl Pts',(0.106364264631511,0.0465863716105007, -0.39689780440154)); #184302=CARTESIAN_POINT('Ctrl Pts',(-0.15724819513636,0.0611435756019583, -0.379597503340223)); #184303=CARTESIAN_POINT('Ctrl Pts',(-0.355829495459961,0.0757022469614792, -0.205446518716678)); #184304=CARTESIAN_POINT('Ctrl Pts',(-0.407370267255464,0.0902601744070247, 0.0536353293415866)); #184305=CARTESIAN_POINT('Ctrl Pts',(-0.290536202611452,0.104818483628918, 0.290532409636928)); #184306=CARTESIAN_POINT('Ctrl Pts',(-0.0536321601545922,0.11937659636335, 0.407376570952282)); #184307=CARTESIAN_POINT('Ctrl Pts',(0.205442725150954,0.133934810462834, 0.355817805719877)); #184308=CARTESIAN_POINT('Ctrl Pts',(0.379603859580838,0.148492971887704, 0.157270662570187)); #184309=CARTESIAN_POINT('Ctrl Pts',(0.396885853959508,0.163051161425408, -0.106409404479779)); #184310=CARTESIAN_POINT('Ctrl Pts',(0.274584798202638,0.175182972278254, -0.289260698823011)); #184311=CARTESIAN_POINT('Ctrl Pts',(0.125055466040294,0.184888427662193, -0.368586634378109)); #184312=CARTESIAN_POINT('Ctrl Pts',(-0.0138239076517981,0.192976304588648, -0.391753236561809)); #184313=CARTESIAN_POINT('Ctrl Pts',(-0.16696500155164,0.20187296908235, -0.35784011213192)); #184314=CARTESIAN_POINT('Ctrl Pts',(-0.302313296112675,0.211578424309533, -0.253765886898928)); #184315=CARTESIAN_POINT('Ctrl Pts',(-0.394850156326229,0.222901447985032, -0.0769668237885763)); #184316=CARTESIAN_POINT('Ctrl Pts',(-0.379668249443761,0.235842062272374, 0.157189607898155)); #184317=CARTESIAN_POINT('Ctrl Pts',(-0.205410197585464,0.250400222935498, 0.355858775303974)); #184318=CARTESIAN_POINT('Ctrl Pts',(0.0536155629226338,0.264958437433575, 0.407355576297662)); #184319=CARTESIAN_POINT('Ctrl Pts',(0.290544801034311,0.279516549945155, 0.290543166720188)); #184320=CARTESIAN_POINT('Ctrl Pts',(0.407365862363379,0.294074859314624, 0.0536297180247758)); #184321=CARTESIAN_POINT('Ctrl Pts',(0.355831753970278,0.308632786624185, -0.205443685911659)); #184322=CARTESIAN_POINT('Ctrl Pts',(0.15724694369125,0.32319145816374,-0.379599036692193)); #184323=CARTESIAN_POINT('Ctrl Pts',(-0.106363638247951,0.337748661855721, -0.396896946290234)); #184324=CARTESIAN_POINT('Ctrl Pts',(-0.325933979062588,0.352308938257609, -0.250100135845229)); #184325=CARTESIAN_POINT('Ctrl Pts',(-0.382633694935175,0.362010286257449, -0.0834023852627859)); #184326=CARTESIAN_POINT('Ctrl Pts',(-0.382479245934738,0.370758281245881, 0.0667296288840654)); #184327=CARTESIAN_POINT('Ctrl Pts',(-0.346778587355997,0.378365970227242, 0.20020791123927)); #184328=CARTESIAN_POINT('Ctrl Pts',(-0.20018975895175,0.384213993036529, 0.346741689333)); #184329=CARTESIAN_POINT('Ctrl Pts',(1.34821940684397E-6,0.384374539946904, 0.400394932001598)); #184330=CARTESIAN_POINT('Ctrl Pts',(0.200186525566168,0.38431949683511, 0.346746663774443)); #184331=CARTESIAN_POINT('Ctrl Pts',(0.346784152627992,0.384345446196079, 0.200195139812426)); #184332=CARTESIAN_POINT('Ctrl Pts',(0.382471690454261,0.384335485770156, 0.0667541853240473)); #184333=CARTESIAN_POINT('Ctrl Pts',(0.38247269067073,0.384335486196669, -1.03094019043971E-15)); #184334=CARTESIAN_POINT('Ctrl Pts',(-0.382472204659626,0.0114883021459171, 0.)); #184335=CARTESIAN_POINT('Ctrl Pts',(-0.382472130578438,0.0114883087180621, 0.0667542544975917)); #184336=CARTESIAN_POINT('Ctrl Pts',(-0.346773593592181,0.0114782832509508, 0.200193422693617)); #184337=CARTESIAN_POINT('Ctrl Pts',(-0.200201858345047,0.0115043803382989, 0.346748459700284)); #184338=CARTESIAN_POINT('Ctrl Pts',(3.67395361926158E-5,0.0114490448165209, 0.400389036892469)); #184339=CARTESIAN_POINT('Ctrl Pts',(0.200056235334747,0.011610323940428, 0.346758018273456)); #184340=CARTESIAN_POINT('Ctrl Pts',(0.346467546781662,0.0174496410301041, 0.200609553438724)); #184341=CARTESIAN_POINT('Ctrl Pts',(0.382375437063293,0.0250493903119071, 0.0673370375468855)); #184342=CARTESIAN_POINT('Ctrl Pts',(0.38276453429038,0.0337975422145112, -0.0827965798009848)); #184343=CARTESIAN_POINT('Ctrl Pts',(0.32633003569386,0.0434988265530912, -0.249582494904233)); #184344=CARTESIAN_POINT('Ctrl Pts',(0.106992871157827,0.0580591365001505, -0.396728840725234)); #184345=CARTESIAN_POINT('Ctrl Pts',(-0.15664625736432,0.0726163243921249, -0.37984617758804)); #184346=CARTESIAN_POINT('Ctrl Pts',(-0.355503516742212,0.0871750039498251, -0.206010216651947)); #184347=CARTESIAN_POINT('Ctrl Pts',(-0.407454715285244,0.101732927149714, 0.0529896619814755)); #184348=CARTESIAN_POINT('Ctrl Pts',(-0.290996298161218,0.116291238579279, 0.290071581243097)); #184349=CARTESIAN_POINT('Ctrl Pts',(-0.0542777060991831,0.130849350163097, 0.407291059504708)); #184350=CARTESIAN_POINT('Ctrl Pts',(0.20487854729209,0.145407564865423, 0.356142943021008)); #184351=CARTESIAN_POINT('Ctrl Pts',(0.379354131536886,0.159965725968799, 0.157872075064108)); #184352=CARTESIAN_POINT('Ctrl Pts',(0.397053990291421,0.174523915688823, -0.105780277552848)); #184353=CARTESIAN_POINT('Ctrl Pts',(0.275042877977251,0.186655726436516, -0.288825150216815)); #184354=CARTESIAN_POINT('Ctrl Pts',(0.125639458641767,0.196361181875163, -0.36838798563037)); #184355=CARTESIAN_POINT('Ctrl Pts',(-0.0132030310776525,0.20444905876578, -0.391774635722927)); #184356=CARTESIAN_POINT('Ctrl Pts',(-0.166397670350694,0.213345723299872, -0.358104277043916)); #184357=CARTESIAN_POINT('Ctrl Pts',(-0.301910736526408,0.223051178474187, -0.254244676262671)); #184358=CARTESIAN_POINT('Ctrl Pts',(-0.39472767308647,0.234374202244313, -0.077592500274458)); #184359=CARTESIAN_POINT('Ctrl Pts',(-0.379916885666789,0.247314816344062, 0.156587698075049)); #184360=CARTESIAN_POINT('Ctrl Pts',(-0.205973918002327,0.261872977342924, 0.355532782981885)); #184361=CARTESIAN_POINT('Ctrl Pts',(0.0529699141205147,0.276431191230805, 0.40744002732548)); #184362=CARTESIAN_POINT('Ctrl Pts',(0.290083949935722,0.290989304896829, 0.291003269340966)); #184363=CARTESIAN_POINT('Ctrl Pts',(0.40728038757427,0.305547612056625, 0.054275243289589)); #184364=CARTESIAN_POINT('Ctrl Pts',(0.356156824604878,0.320105543612893, -0.204879464301103)); #184365=CARTESIAN_POINT('Ctrl Pts',(0.157848482784919,0.334664206953712, -0.379349395604646)); #184366=CARTESIAN_POINT('Ctrl Pts',(-0.105734760142925,0.349221426745483, -0.39706490846202)); #184367=CARTESIAN_POINT('Ctrl Pts',(-0.325536603165475,0.363781669021733, -0.250616593366995)); #184368=CARTESIAN_POINT('Ctrl Pts',(-0.382502056816234,0.373483081631142, -0.0840082900515613)); #184369=CARTESIAN_POINT('Ctrl Pts',(-0.382582056958042,0.382230918706307, 0.0661220879374502)); #184370=CARTESIAN_POINT('Ctrl Pts',(-0.347088778820411,0.389846548361217, 0.199805686739058)); #184371=CARTESIAN_POINT('Ctrl Pts',(-0.200322799913527,0.395703277848009, 0.34672449477941)); #184372=CARTESIAN_POINT('Ctrl Pts',(3.94801760199032E-5,0.395863092149563, 0.400399802659234)); #184373=CARTESIAN_POINT('Ctrl Pts',(0.200170595819825,0.395808341919955, 0.34674397811484)); #184374=CARTESIAN_POINT('Ctrl Pts',(0.346794017436412,0.395834142637625, 0.200196366970954)); #184375=CARTESIAN_POINT('Ctrl Pts',(0.382470004335628,0.39582424871806, 0.0667539382642206)); #184376=CARTESIAN_POINT('Ctrl Pts',(0.382472204659626,0.395824242145915, -1.03093888041577E-15)); #184377=CARTESIAN_POINT('Ctrl Pts',(-0.393521061257511,0.0344946117426971, 0.)); #184378=CARTESIAN_POINT('Ctrl Pts',(-0.393524532846437,0.0344946461621114, 0.068683401353808)); #184379=CARTESIAN_POINT('Ctrl Pts',(-0.356770181062102,0.0344844638263964, 0.20597351705224)); #184380=CARTESIAN_POINT('Ctrl Pts',(-0.206016423606658,0.0345108732612826, 0.356769814898085)); #184381=CARTESIAN_POINT('Ctrl Pts',(0.000113170809942082,0.0344549439528476, 0.411944815894272)); #184382=CARTESIAN_POINT('Ctrl Pts',(0.20557229334865,0.0346176956088217, 0.356808140563696)); #184383=CARTESIAN_POINT('Ctrl Pts',(0.355863042321408,0.0404395731305984, 0.207198242194656)); #184384=CARTESIAN_POINT('Ctrl Pts',(0.393218152901225,0.0480234155484509, 0.0704812553442127)); #184385=CARTESIAN_POINT('Ctrl Pts',(0.39408026427529,0.056771899648524, -0.0839926060530142)); #184386=CARTESIAN_POINT('Ctrl Pts',(0.336540714006317,0.0664730393870401, -0.255772327170916)); #184387=CARTESIAN_POINT('Ctrl Pts',(0.11132448893346,0.0810334268984616, -0.407857141704779)); #184388=CARTESIAN_POINT('Ctrl Pts',(-0.15998380418242,0.0955905779512006, -0.391310877615226)); #184389=CARTESIAN_POINT('Ctrl Pts',(-0.36513086311985,0.110149276392582, -0.213074498209543)); #184390=CARTESIAN_POINT('Ctrl Pts',(-0.419392942215886,0.124707189741977, 0.0532462688052526)); #184391=CARTESIAN_POINT('Ctrl Pts',(-0.300311387679741,0.13926550633269, 0.297542392644866)); #184392=CARTESIAN_POINT('Ctrl Pts',(-0.0571198766174661,0.153823615205341, 0.418889142166642)); #184393=CARTESIAN_POINT('Ctrl Pts',(0.209684126843819,0.168381831339967, 0.367073780737887)); #184394=CARTESIAN_POINT('Ctrl Pts',(0.389820982580811,0.182939991672359, 0.163620059928795)); #184395=CARTESIAN_POINT('Ctrl Pts',(0.408856949204471,0.197498181834703, -0.107594720995683)); #184396=CARTESIAN_POINT('Ctrl Pts',(0.283893025822136,0.209629992323337, -0.296309757740536)); #184397=CARTESIAN_POINT('Ctrl Pts',(0.13042190017961,0.219335447900041, -0.378638952244315)); #184398=CARTESIAN_POINT('Ctrl Pts',(-0.0123591912022381,0.227423324697882, -0.403135334669017)); #184399=CARTESIAN_POINT('Ctrl Pts',(-0.170085234242212,0.23631998933592, -0.368971525990109)); #184400=CARTESIAN_POINT('Ctrl Pts',(-0.309838627810618,0.246025444376839, -0.262534840100206)); #184401=CARTESIAN_POINT('Ctrl Pts',(-0.40588977409264,0.257348468380892, -0.0810690540185505)); #184402=CARTESIAN_POINT('Ctrl Pts',(-0.391383621141522,0.270289082024179, 0.159923668621875)); #184403=CARTESIAN_POINT('Ctrl Pts',(-0.213037166528832,0.284847243829611, 0.365160911454522)); #184404=CARTESIAN_POINT('Ctrl Pts',(0.0532259778216657,0.299405456266681, 0.419377858097647)); #184405=CARTESIAN_POINT('Ctrl Pts',(0.297555073779038,0.313963572653594, 0.300318561626723)); #184406=CARTESIAN_POINT('Ctrl Pts',(0.41887823912244,0.328521874647121, 0.0571173076065513)); #184407=CARTESIAN_POINT('Ctrl Pts',(0.367087924361347,0.343079816056592, -0.209684984664101)); #184408=CARTESIAN_POINT('Ctrl Pts',(0.163596043792479,0.357638460512278, -0.389816284963583)); #184409=CARTESIAN_POINT('Ctrl Pts',(-0.107548384399201,0.372195717144096, -0.408867830901646)); #184410=CARTESIAN_POINT('Ctrl Pts',(-0.334157141579996,0.386755881855464, -0.258876272744314)); #184411=CARTESIAN_POINT('Ctrl Pts',(-0.39329299761424,0.396457439065272, -0.0876312099687376)); #184412=CARTESIAN_POINT('Ctrl Pts',(-0.393837972239691,0.405204943942803, 0.0668333814172271)); #184413=CARTESIAN_POINT('Ctrl Pts',(-0.357728397161694,0.412836480461747, 0.204784270991866)); #184414=CARTESIAN_POINT('Ctrl Pts',(-0.206373124042888,0.418710649516391, 0.356707622528212)); #184415=CARTESIAN_POINT('Ctrl Pts',(0.000116377843149416,0.418868991285901, 0.411977209025358)); #184416=CARTESIAN_POINT('Ctrl Pts',(0.205921268642336,0.418814834842937, 0.356756146472755)); #184417=CARTESIAN_POINT('Ctrl Pts',(0.356834566236497,0.418840323213078, 0.205982833569879)); #184418=CARTESIAN_POINT('Ctrl Pts',(0.39351339710844,0.41883058616211,0.0686817448318852)); #184419=CARTESIAN_POINT('Ctrl Pts',(0.393521061257511,0.418830551742698, -1.06072064157939E-15)); #184420=CARTESIAN_POINT('Ctrl Pts',(-0.430790818118591,0.0429333132325772, 0.)); #184421=CARTESIAN_POINT('Ctrl Pts',(-0.43079163883014,0.0429333177400447, 0.075187281770669)); #184422=CARTESIAN_POINT('Ctrl Pts',(-0.390556747107258,0.0429231465847426, 0.225480742541298)); #184423=CARTESIAN_POINT('Ctrl Pts',(-0.225534286837964,0.042949627979238, 0.390559765492624)); #184424=CARTESIAN_POINT('Ctrl Pts',(0.000140671847571278,0.0428935020122852, 0.450957145765361)); #184425=CARTESIAN_POINT('Ctrl Pts',(0.224982010298826,0.0430567779009598, 0.390608633224826)); #184426=CARTESIAN_POINT('Ctrl Pts',(0.389426851605892,0.0488722713301753, 0.227002250467891)); #184427=CARTESIAN_POINT('Ctrl Pts',(0.430411470835511,0.0564502954014148, 0.0774296510470165)); #184428=CARTESIAN_POINT('Ctrl Pts',(0.431463033586095,0.0651988550089596, -0.091674063269396)); #184429=CARTESIAN_POINT('Ctrl Pts',(0.368592126635028,0.0748999858575437, -0.279763931413513)); #184430=CARTESIAN_POINT('Ctrl Pts',(0.122151129823123,0.0894603750503024, -0.446408599812756)); #184431=CARTESIAN_POINT('Ctrl Pts',(-0.17486486946339,0.104017525934278, -0.42848357649604)); #184432=CARTESIAN_POINT('Ctrl Pts',(-0.399565217499494,0.118576224146345, -0.233508266280723)); #184433=CARTESIAN_POINT('Ctrl Pts',(-0.459151270904553,0.133134137794546, 0.0579984908319307)); #184434=CARTESIAN_POINT('Ctrl Pts',(-0.328960669271946,0.147692454130918, 0.325514895008538)); #184435=CARTESIAN_POINT('Ctrl Pts',(-0.0628202390044976,0.162250563189938, 0.458523196173551)); #184436=CARTESIAN_POINT('Ctrl Pts',(0.22928910790723,0.176808779196932, 0.401985351445872)); #184437=CARTESIAN_POINT('Ctrl Pts',(0.42662815576204,0.191366939615483, 0.179387010088609)); #184438=CARTESIAN_POINT('Ctrl Pts',(0.447654962093446,0.205925129716061, -0.117501538422156)); #184439=CARTESIAN_POINT('Ctrl Pts',(0.310986619501905,0.218056940250334, -0.324177161605126)); #184440=CARTESIAN_POINT('Ctrl Pts',(0.143036678267272,0.227762395794987, -0.414409709206243)); #184441=CARTESIAN_POINT('Ctrl Pts',(-0.0132499460380532,0.235850272619751, -0.441325811559232)); #184442=CARTESIAN_POINT('Ctrl Pts',(-0.185938611915556,0.244746937229013, -0.404035130481311)); #184443=CARTESIAN_POINT('Ctrl Pts',(-0.339001800800826,0.254452392300854, -0.287614898943636)); #184444=CARTESIAN_POINT('Ctrl Pts',(-0.444276183590043,0.265775416261807, -0.0890286104996063)); #184445=CARTESIAN_POINT('Ctrl Pts',(-0.428562990914103,0.278716029972068, 0.174798884177955)); #184446=CARTESIAN_POINT('Ctrl Pts',(-0.23346749456656,0.293274191683899, 0.399598208084838)); #184447=CARTESIAN_POINT('Ctrl Pts',(0.0579763145401651,0.307832404252798, 0.459134696076237)); #184448=CARTESIAN_POINT('Ctrl Pts',(0.325528765338609,0.322390520450959, 0.328968562516116)); #184449=CARTESIAN_POINT('Ctrl Pts',(0.458511266625806,0.336948822700179, 0.0628173995338586)); #184450=CARTESIAN_POINT('Ctrl Pts',(0.40200081867391,0.351506763810075, -0.229290023012691)); #184451=CARTESIAN_POINT('Ctrl Pts',(0.179360768385093,0.36606540849552, -0.426623046551409)); #184452=CARTESIAN_POINT('Ctrl Pts',(-0.117450941104981,0.380622665295828, -0.447666811441107)); #184453=CARTESIAN_POINT('Ctrl Pts',(-0.365626213611076,0.395182828326059, -0.283626842880859)); #184454=CARTESIAN_POINT('Ctrl Pts',(-0.430482006905091,0.404884394425646, -0.0962032306479527)); #184455=CARTESIAN_POINT('Ctrl Pts',(-0.431184102227991,0.413631823795801, 0.072889465397521)); #184456=CARTESIAN_POINT('Ctrl Pts',(-0.391748581284322,0.421269178661298, 0.223998273841135)); #184457=CARTESIAN_POINT('Ctrl Pts',(-0.225977504896133,0.427149731808539, 0.390483263733874)); #184458=CARTESIAN_POINT('Ctrl Pts',(0.000143184840237568,0.427307549345331, 0.45099723449927)); #184459=CARTESIAN_POINT('Ctrl Pts',(0.225419229652988,0.427253589560895, 0.390543329730365)); #184460=CARTESIAN_POINT('Ctrl Pts',(0.390628882813931,0.42727900597142, 0.225491081355455)); #184461=CARTESIAN_POINT('Ctrl Pts',(0.430790180502064,0.427269257740044, 0.0751870648036231)); #184462=CARTESIAN_POINT('Ctrl Pts',(0.430790818118591,0.427269253232577, -1.16117981467387E-15)); #184463=CARTESIAN_POINT('Ctrl Pts',(-0.460747893284749,0.0191399067087001, 0.)); #184464=CARTESIAN_POINT('Ctrl Pts',(-0.460754121475268,0.0191399274396385, 0.0804159145377154)); #184465=CARTESIAN_POINT('Ctrl Pts',(-0.417734465737932,0.0191298414132514, 0.241163376033239)); #184466=CARTESIAN_POINT('Ctrl Pts',(-0.241183903033274,0.019156047583578, 0.417714328649649)); #184467=CARTESIAN_POINT('Ctrl Pts',(6.29632475569911E-5,0.019100511995695, 0.482329027162262)); #184468=CARTESIAN_POINT('Ctrl Pts',(0.240936999223906,0.0192622828043685, 0.417732402991945)); #184469=CARTESIAN_POINT('Ctrl Pts',(0.417232090747329,0.0250957981628739, 0.24185108390895)); #184470=CARTESIAN_POINT('Ctrl Pts',(0.460582582089464,0.032690255037877, 0.0813979875092782)); #184471=CARTESIAN_POINT('Ctrl Pts',(0.461161209729849,0.0414385230808905, -0.0994613048054027)); #184472=CARTESIAN_POINT('Ctrl Pts',(0.393298850364153,0.051139753939212, -0.300423434631946)); #184473=CARTESIAN_POINT('Ctrl Pts',(0.12917984352643,0.0657000929501918, -0.477844295274293)); #184474=CARTESIAN_POINT('Ctrl Pts',(-0.188427447606209,0.0802572669613802, -0.457699493023007)); #184475=CARTESIAN_POINT('Ctrl Pts',(-0.428109668334167,0.0948159536728734, -0.248431825857678)); #184476=CARTESIAN_POINT('Ctrl Pts',(-0.490882461267533,0.109373873119185, 0.0635365021535673)); #184477=CARTESIAN_POINT('Ctrl Pts',(-0.350763227384838,0.123932186527364, 0.349224391305221)); #184478=CARTESIAN_POINT('Ctrl Pts',(-0.065683869884495,0.13849029706537, 0.490606877995868)); #184479=CARTESIAN_POINT('Ctrl Pts',(0.246548202939697,0.153048512323756, 0.429180413150086)); #184480=CARTESIAN_POINT('Ctrl Pts',(0.456876785322918,0.167606673125683, 0.190459177747018)); #184481=CARTESIAN_POINT('Ctrl Pts',(0.478391557775059,0.182164863020157, -0.127138508604102)); #184482=CARTESIAN_POINT('Ctrl Pts',(0.331544212096523,0.194296673664522, -0.347734988682915)); #184483=CARTESIAN_POINT('Ctrl Pts',(0.151621529813404,0.204002129159178, -0.443689256516744)); #184484=CARTESIAN_POINT('Ctrl Pts',(-0.0156181598350766,0.2120900060115, -0.471964752286974)); #184485=CARTESIAN_POINT('Ctrl Pts',(-0.20019082867949,0.220986670588328, -0.431514598918402)); #184486=CARTESIAN_POINT('Ctrl Pts',(-0.363513184341823,0.230692125708532, -0.306498926320816)); #184487=CARTESIAN_POINT('Ctrl Pts',(-0.47545551638364,0.242015149572191, -0.0937609729716016)); #184488=CARTESIAN_POINT('Ctrl Pts',(-0.45778436199911,0.254955763491519, 0.188356836940663)); #184489=CARTESIAN_POINT('Ctrl Pts',(-0.248388233511069,0.269513924806166, 0.428144986229561)); #184490=CARTESIAN_POINT('Ctrl Pts',(0.0635127701339257,0.28407213813049, 0.490864712351251)); #184491=CARTESIAN_POINT('Ctrl Pts',(0.349239254820922,0.298630252846286, 0.350771671767353)); #184492=CARTESIAN_POINT('Ctrl Pts',(0.490594075632686,0.31318855802537, 0.0656808587814764)); #184493=CARTESIAN_POINT('Ctrl Pts',(0.429197022754838,0.327746493336332, -0.246549252137666)); #184494=CARTESIAN_POINT('Ctrl Pts',(0.190431001099627,0.342305149522756, -0.456871171157754)); #184495=CARTESIAN_POINT('Ctrl Pts',(-0.127084195827688,0.356862383195653, -0.478404538943362)); #184496=CARTESIAN_POINT('Ctrl Pts',(-0.391976545524845,0.371422596407761, -0.302146098995677)); #184497=CARTESIAN_POINT('Ctrl Pts',(-0.460724302290005,0.381124062497574, -0.101480061741457)); #184498=CARTESIAN_POINT('Ctrl Pts',(-0.460926490967636,0.389871783432256, 0.0793738460072844)); #184499=CARTESIAN_POINT('Ctrl Pts',(-0.418267088312126,0.397492705494004, 0.240511659524849)); #184500=CARTESIAN_POINT('Ctrl Pts',(-0.241381417896035,0.403355236711945, 0.417676625508987)); #184501=CARTESIAN_POINT('Ctrl Pts',(6.44900559274534E-5,0.403514559328744, 0.482347018127946)); #184502=CARTESIAN_POINT('Ctrl Pts',(0.241130958274264,0.403460009165234, 0.417706716534164)); #184503=CARTESIAN_POINT('Ctrl Pts',(0.417770533510065,0.40348570079993, 0.241168601349332)); #184504=CARTESIAN_POINT('Ctrl Pts',(0.460747414110342,0.403475867439637, 0.0804149164277242)); #184505=CARTESIAN_POINT('Ctrl Pts',(0.460747893284749,0.4034758467087,-1.2419279400437E-15)); #184506=CARTESIAN_POINT('Ctrl Pts',(-0.460675186443042,-0.0191082463601885, 0.)); #184507=CARTESIAN_POINT('Ctrl Pts',(-0.460674592889368,-0.0191082507344293, 0.0804028721896735)); #184508=CARTESIAN_POINT('Ctrl Pts',(-0.417704895651167,-0.0191181122572224, 0.241130420108548)); #184509=CARTESIAN_POINT('Ctrl Pts',(-0.241092596060261,-0.0190924028645036, 0.417640808795158)); #184510=CARTESIAN_POINT('Ctrl Pts',(-6.45583983196899E-5,-0.0191469624432044, 0.482270825836545)); #184511=CARTESIAN_POINT('Ctrl Pts',(0.241343057212728,-0.0189876313372274, 0.417610618761396)); #184512=CARTESIAN_POINT('Ctrl Pts',(0.418199851728068,-0.0131251292967209, 0.240474888483568)); #184513=CARTESIAN_POINT('Ctrl Pts',(0.460854481736675,-0.00550423574623229, 0.0793631646311659)); #184514=CARTESIAN_POINT('Ctrl Pts',(0.460651388775677,0.00324350449000001, -0.101463353036741)); #184515=CARTESIAN_POINT('Ctrl Pts',(0.391915450808928,0.0129449524312738, -0.302096009287667)); #184516=CARTESIAN_POINT('Ctrl Pts',(0.127066378005472,0.0275051766280472, -0.478329852736356)); #184517=CARTESIAN_POINT('Ctrl Pts',(-0.190400819737521,0.0420624048377065, -0.456797762407826)); #184518=CARTESIAN_POINT('Ctrl Pts',(-0.429125782183703,0.0566210639340158, -0.246515287909739)); #184519=CARTESIAN_POINT('Ctrl Pts',(-0.490522146260444,0.0711789976908973, 0.0656756402830059)); #184520=CARTESIAN_POINT('Ctrl Pts',(-0.349175026515119,0.0857373036527191, 0.350702105859236)); #184521=CARTESIAN_POINT('Ctrl Pts',(-0.0635243202327345,0.100295418074455, 0.490812407565455)); #184522=CARTESIAN_POINT('Ctrl Pts',(0.248386560940606,0.114853631296488, 0.42802879809984)); #184523=CARTESIAN_POINT('Ctrl Pts',(0.457634343825242,0.129411793185332, 0.188426483680553)); #184524=CARTESIAN_POINT('Ctrl Pts',(0.477754808674852,0.143969982462748, -0.129212499550915)); #184525=CARTESIAN_POINT('Ctrl Pts',(0.329965593705719,0.156101793463516, -0.349128469445414)); #184526=CARTESIAN_POINT('Ctrl Pts',(0.14965332387429,0.165807248772319, -0.444279352977286)); #184527=CARTESIAN_POINT('Ctrl Pts',(-0.0176828506021161,0.173895125746693, -0.471817019555346)); #184528=CARTESIAN_POINT('Ctrl Pts',(-0.202046907186153,0.182791790186044, -0.430565874444684)); #184529=CARTESIAN_POINT('Ctrl Pts',(-0.364794775871414,0.192497245485848, -0.304855491876908)); #184530=CARTESIAN_POINT('Ctrl Pts',(-0.475786372713328,0.203820269028677, -0.0916630946798072)); #184531=CARTESIAN_POINT('Ctrl Pts',(-0.456882952798732,0.216760883583085, 0.190330197336423)); #184532=CARTESIAN_POINT('Ctrl Pts',(-0.246471538887706,0.231319043762477, 0.429161084436944)); #184533=CARTESIAN_POINT('Ctrl Pts',(0.0656518161535923,0.245877259148127, 0.490504433274785)); #184534=CARTESIAN_POINT('Ctrl Pts',(0.350717059215738,0.260435369967172, 0.349183414368122)); #184535=CARTESIAN_POINT('Ctrl Pts',(0.490799463220604,0.274993682599423, 0.0635214024956934)); #184536=CARTESIAN_POINT('Ctrl Pts',(0.428045677264145,0.28955160359624, -0.248387778429334)); #184537=CARTESIAN_POINT('Ctrl Pts',(0.18839777376688,0.304110287399746, -0.457628409604477)); #184538=CARTESIAN_POINT('Ctrl Pts',(-0.129157109144202,0.318667466873123, -0.47776842331896)); #184539=CARTESIAN_POINT('Ctrl Pts',(-0.393236316838776,0.333227794900101, -0.300377718309756)); #184540=CARTESIAN_POINT('Ctrl Pts',(-0.461087601871086,0.342929043906546, -0.0994471640426287)); #184541=CARTESIAN_POINT('Ctrl Pts',(-0.460510786101806,0.351677292648202, 0.0813837297906266)); #184542=CARTESIAN_POINT('Ctrl Pts',(-0.417166468622741,0.359271778034374, 0.241811968986415)); #184543=CARTESIAN_POINT('Ctrl Pts',(-0.240899944112213,0.365105322570366, 0.417666366569825)); #184544=CARTESIAN_POINT('Ctrl Pts',(-6.18777333112711E-5,0.365267084889835, 0.482252986639289)); #184545=CARTESIAN_POINT('Ctrl Pts',(0.241144006826016,0.365211558717157, 0.417648151748548)); #184546=CARTESIAN_POINT('Ctrl Pts',(0.417672299960423,0.365237747129453, 0.241125745868389)); #184547=CARTESIAN_POINT('Ctrl Pts',(0.460676008100564,0.365227689265571, 0.0804030827251663)); #184548=CARTESIAN_POINT('Ctrl Pts',(0.460675186443042,0.365227693639812, -1.24173196159331E-15)); #184549=CARTESIAN_POINT('Ctrl Pts',(-0.430821416635722,-0.0430065812929127, 0.)); #184550=CARTESIAN_POINT('Ctrl Pts',(-0.430816349003459,-0.0430066199893468, 0.0751914821471539)); #184551=CARTESIAN_POINT('Ctrl Pts',(-0.390659446520068,-0.0430163093215184, 0.225507603080048)); #184552=CARTESIAN_POINT('Ctrl Pts',(-0.225434073933483,-0.0429909301160621, 0.390570854956633)); #184553=CARTESIAN_POINT('Ctrl Pts',(-0.000144011831163576,-0.0430448700425029, 0.451029392273755)); #184554=CARTESIAN_POINT('Ctrl Pts',(0.225994770162717,-0.0428870706998501, 0.390511079350328)); #184555=CARTESIAN_POINT('Ctrl Pts',(0.391778530450658,-0.0370064511940167, 0.224011388192838)); #184556=CARTESIAN_POINT('Ctrl Pts',(0.431214441001,-0.0293690318323424, 0.0728908924764922)); #184557=CARTESIAN_POINT('Ctrl Pts',(0.430512742496746,-0.0206216429048791, -0.0962137065691665)); #184558=CARTESIAN_POINT('Ctrl Pts',(0.365648813552181,-0.010920038820841, -0.283649766517401)); #184559=CARTESIAN_POINT('Ctrl Pts',(0.117456396101147,0.00364010120489365, -0.447700468761775)); #184560=CARTESIAN_POINT('Ctrl Pts',(-0.179378640404668,0.0181973694763118, -0.426650174452263)); #184561=CARTESIAN_POINT('Ctrl Pts',(-0.402028746187838,0.0327560079946204, -0.229306089859845)); #184562=CARTESIAN_POINT('Ctrl Pts',(-0.458548282882429,0.0473139525099146, 0.0628320878414247)); #184563=CARTESIAN_POINT('Ctrl Pts',(-0.325539480221216,0.0618722528217385, 0.328982533510667)); #184564=CARTESIAN_POINT('Ctrl Pts',(-0.057995106769045,0.0764303702185306, 0.459191569016093)); #184565=CARTESIAN_POINT('Ctrl Pts',(0.233523830109453,0.09098858186493, 0.399578300077832)); #184566=CARTESIAN_POINT('Ctrl Pts',(0.428522983483575,0.105546744604258, 0.17489930751233)); #184567=CARTESIAN_POINT('Ctrl Pts',(0.446425193970276,0.120104933392086, -0.122215091975133)); #184568=CARTESIAN_POINT('Ctrl Pts',(0.307579429644108,0.132236744680869, -0.327455671282478)); #184569=CARTESIAN_POINT('Ctrl Pts',(0.138676959886,0.141942199835145,-0.415921582056408)); #184570=CARTESIAN_POINT('Ctrl Pts',(-0.0178952168239078,0.150030076914087, -0.441193423642527)); #184571=CARTESIAN_POINT('Ctrl Pts',(-0.190194264767572,0.158926741236468, -0.402084260928331)); #184572=CARTESIAN_POINT('Ctrl Pts',(-0.342034368102394,0.168632196685577, -0.284051304525379)); #184573=CARTESIAN_POINT('Ctrl Pts',(-0.445220273793085,0.179955219968077, -0.0843537465313107)); #184574=CARTESIAN_POINT('Ctrl Pts',(-0.426729844537449,0.192895835028161, 0.179312453726385)); #184575=CARTESIAN_POINT('Ctrl Pts',(-0.229265161887064,0.207453994317347, 0.402061838104694)); #184576=CARTESIAN_POINT('Ctrl Pts',(0.0628097780124498,0.222012211299336, 0.458531682711293)); #184577=CARTESIAN_POINT('Ctrl Pts',(0.328996568485594,0.236570319132428, 0.325547324759133)); #184578=CARTESIAN_POINT('Ctrl Pts',(0.45917937542492,0.251128637420431, 0.0579924166741631)); #184579=CARTESIAN_POINT('Ctrl Pts',(0.399594244246129,0.265686547655784, -0.233525064730607)); #184580=CARTESIAN_POINT('Ctrl Pts',(0.174872163989147,0.280245252038929, -0.428517237002207)); #184581=CARTESIAN_POINT('Ctrl Pts',(-0.122162726285014,0.294802391449629, -0.44643832170349)); #184582=CARTESIAN_POINT('Ctrl Pts',(-0.368621570346776,0.309362803648237, -0.279781304947326)); #184583=CARTESIAN_POINT('Ctrl Pts',(-0.431493934148032,0.319063896511534, -0.0916765923169817)); #184584=CARTESIAN_POINT('Ctrl Pts',(-0.430441689187337,0.32781249656215, 0.0774387301064424)); #184585=CARTESIAN_POINT('Ctrl Pts',(-0.389452792468055,0.33539045613704, 0.227021077093442)); #184586=CARTESIAN_POINT('Ctrl Pts',(-0.224996499369301,0.341205883207761, 0.390636383233455)); #184587=CARTESIAN_POINT('Ctrl Pts',(-0.000141958688343901,0.341369177290527, 0.45098905458162)); #184588=CARTESIAN_POINT('Ctrl Pts',(0.225552329779269,0.341313031465603, 0.390587822251733)); #184589=CARTESIAN_POINT('Ctrl Pts',(0.39058005883245,0.341339550065152, 0.225496132508626)); #184590=CARTESIAN_POINT('Ctrl Pts',(0.430828868564586,0.341329320010656, 0.0751933446187356)); #184591=CARTESIAN_POINT('Ctrl Pts',(0.430821416635722,0.341329358707086, -1.16126229178099E-15)); #184592=CARTESIAN_POINT('Ctrl Pts',(-0.393523977324137,-0.0344379239527783, 0.)); #184593=CARTESIAN_POINT('Ctrl Pts',(-0.393521063732032,-0.0344379315253147, 0.0686828625453749)); #184594=CARTESIAN_POINT('Ctrl Pts',(-0.356834060973586,-0.0344477148228779, 0.205983888105659)); #184595=CARTESIAN_POINT('Ctrl Pts',(-0.205924214975991,-0.0344221972477952, 0.356759025839426)); #184596=CARTESIAN_POINT('Ctrl Pts',(-0.000115450596309137,-0.0344763692630909, 0.411980145919285)); #184597=CARTESIAN_POINT('Ctrl Pts',(0.206373489336178,-0.0343180132600856, 0.356710292383808)); #184598=CARTESIAN_POINT('Ctrl Pts',(0.357729628375315,-0.0284438956731953, 0.20478791336944)); #184599=CARTESIAN_POINT('Ctrl Pts',(0.393840614359529,-0.0208124092141998, 0.0668369642995794)); #184600=CARTESIAN_POINT('Ctrl Pts',(0.393296421801122,-0.0120648725933924, -0.0876291818241301)); #184601=CARTESIAN_POINT('Ctrl Pts',(0.334161392204806,-0.00236334519819004, -0.258874987301938)); #184602=CARTESIAN_POINT('Ctrl Pts',(0.107552849775561,0.0121968375605627, -0.408870923762619)); #184603=CARTESIAN_POINT('Ctrl Pts',(-0.163595430814661,0.0267540852102011, -0.389818832128324)); #184604=CARTESIAN_POINT('Ctrl Pts',(-0.367086735426343,0.0413127344625062, -0.209692310597929)); #184605=CARTESIAN_POINT('Ctrl Pts',(-0.418886254037205,0.055870673285842, 0.0571202729659194)); #184606=CARTESIAN_POINT('Ctrl Pts',(-0.297550814381145,0.0704289766305274, 0.300307363007857)); #184607=CARTESIAN_POINT('Ctrl Pts',(-0.0532466009499204,0.0849870924068601, 0.419402210852088)); #184608=CARTESIAN_POINT('Ctrl Pts',(0.213069193997001,0.0995453049244302, 0.3651229569311)); #184609=CARTESIAN_POINT('Ctrl Pts',(0.391319350844522,0.114103467185794, 0.160011584965268)); #184610=CARTESIAN_POINT('Ctrl Pts',(0.407848131212997,0.128661656254213, -0.111369482557267)); #184611=CARTESIAN_POINT('Ctrl Pts',(0.281144547174455,0.140793467373765, -0.298923049377716)); #184612=CARTESIAN_POINT('Ctrl Pts',(0.126917944570773,0.150498922622224, -0.379830844730746)); #184613=CARTESIAN_POINT('Ctrl Pts',(-0.0160844506471118,0.158586799635089, -0.403006939702311)); #184614=CARTESIAN_POINT('Ctrl Pts',(-0.173489221447885,0.167483464030784, -0.367386536518131)); #184615=CARTESIAN_POINT('Ctrl Pts',(-0.312253985328221,0.177188919388913, -0.259662103917746)); #184616=CARTESIAN_POINT('Ctrl Pts',(-0.406624673531193,0.188511942825204, -0.0773149951032608)); #184617=CARTESIAN_POINT('Ctrl Pts',(-0.389891803803359,0.201452557594054, 0.16353512756051)); #184618=CARTESIAN_POINT('Ctrl Pts',(-0.209654844027651,0.216010717385057, 0.367116865387058)); #184619=CARTESIAN_POINT('Ctrl Pts',(0.0570998706343801,0.2305689334833, 0.418871151930741)); #184620=CARTESIAN_POINT('Ctrl Pts',(0.300320180370571,0.245127042943548, 0.297557945902053)); #184621=CARTESIAN_POINT('Ctrl Pts',(0.419391087857097,0.259685358195111, 0.0532441560176717)); #184622=CARTESIAN_POINT('Ctrl Pts',(0.365137500553746,0.274243274124344, -0.213070314327438)); #184623=CARTESIAN_POINT('Ctrl Pts',(0.159986809230466,0.288801967772447, -0.391314131488862)); #184624=CARTESIAN_POINT('Ctrl Pts',(-0.111321653029356,0.303359127805523, -0.40786005787093)); #184625=CARTESIAN_POINT('Ctrl Pts',(-0.336541396962675,0.317919497270718, -0.255777527613717)); #184626=CARTESIAN_POINT('Ctrl Pts',(-0.394082826327888,0.327620666823117, -0.0839957812360854)); #184627=CARTESIAN_POINT('Ctrl Pts',(-0.393221106099867,0.336369119180248, 0.0704786270969183)); #184628=CARTESIAN_POINT('Ctrl Pts',(-0.355867248375209,0.343953011657893, 0.207197617993138)); #184629=CARTESIAN_POINT('Ctrl Pts',(-0.205574878272228,0.349774940647512, 0.356810789849753)); #184630=CARTESIAN_POINT('Ctrl Pts',(-0.000112413896528939,0.349937678069948, 0.411947985079538)); #184631=CARTESIAN_POINT('Ctrl Pts',(0.206016847120393,0.349881764333867, 0.356772260430374)); #184632=CARTESIAN_POINT('Ctrl Pts',(0.356775377385976,0.349908144563798, 0.205975470618708)); #184633=CARTESIAN_POINT('Ctrl Pts',(0.393523513820236,0.349898008474686, 0.0686832271908454)); #184634=CARTESIAN_POINT('Ctrl Pts',(0.393523977324137,0.349898016047223, -1.06072850172302E-15)); #184635=CARTESIAN_POINT('Ctrl Pts',(-0.382473176681835,-0.0114892097525747, 0.)); #184636=CARTESIAN_POINT('Ctrl Pts',(-0.38247097420697,-0.0114892171777466, 0.0667540748947807)); #184637=CARTESIAN_POINT('Ctrl Pts',(-0.346794886896009,-0.0114991096321406, 0.200196879711424)); #184638=CARTESIAN_POINT('Ctrl Pts',(-0.200171122134825,-0.0114733098313937, 0.346744863347398)); #184639=CARTESIAN_POINT('Ctrl Pts',(-3.94675992244573E-5,-0.0115280595887919, 0.400400813567473)); #184640=CARTESIAN_POINT('Ctrl Pts',(0.200323300663923,-0.0113682456825411, 0.346725402213493)); #184641=CARTESIAN_POINT('Ctrl Pts',(0.347089742132965,-0.00551151523782717, 0.199806110496985)); #184642=CARTESIAN_POINT('Ctrl Pts',(0.382582924216062,0.00210411539102349, 0.066122273865341)); #184643=CARTESIAN_POINT('Ctrl Pts',(0.382503253465657,0.0108519514672058, -0.0840087717246902)); #184644=CARTESIAN_POINT('Ctrl Pts',(0.325536928426689,0.0205533650246811, -0.250616714947907)); #184645=CARTESIAN_POINT('Ctrl Pts',(0.105735658105194,0.0351136067208509, -0.397066768077847)); #184646=CARTESIAN_POINT('Ctrl Pts',(-0.1578501329084,0.0496708268117917, -0.379348829092406)); #184647=CARTESIAN_POINT('Ctrl Pts',(-0.35615547417771,0.0642294899731332, -0.204882820781409)); #184648=CARTESIAN_POINT('Ctrl Pts',(-0.407285819225683,0.0787874216643356, 0.0542809967016978)); #184649=CARTESIAN_POINT('Ctrl Pts',(-0.290076107061686,0.0933457286785579, 0.29099323803076)); #184650=CARTESIAN_POINT('Ctrl Pts',(-0.0529866142100012,0.107903842563603, 0.407462082399856)); #184651=CARTESIAN_POINT('Ctrl Pts',(0.206006903009818,0.122462056060244, 0.355492668418746)); #184652=CARTESIAN_POINT('Ctrl Pts',(0.37985358762479,0.13702021780661,0.156669250076266)); #184653=CARTESIAN_POINT('Ctrl Pts',(0.396717717627596,0.151578407161993, -0.10703853140671)); #184654=CARTESIAN_POINT('Ctrl Pts',(0.274126718428024,0.163710218119992, -0.289696247429208)); #184655=CARTESIAN_POINT('Ctrl Pts',(0.124471473438821,0.173415673449224, -0.368785283125847)); #184656=CARTESIAN_POINT('Ctrl Pts',(-0.0144447842259437,0.181503550411516, -0.391731837400692)); #184657=CARTESIAN_POINT('Ctrl Pts',(-0.167532332752585,0.190400214864827, -0.357575947219924)); #184658=CARTESIAN_POINT('Ctrl Pts',(-0.302715855698942,0.200105670144879, -0.253287097535185)); #184659=CARTESIAN_POINT('Ctrl Pts',(-0.394972639565988,0.21142869372575, -0.0763411473026947)); #184660=CARTESIAN_POINT('Ctrl Pts',(-0.379419613220734,0.224369308200687, 0.15779151772126)); #184661=CARTESIAN_POINT('Ctrl Pts',(-0.2048464771686,0.238927468528073, 0.356184767626064)); #184662=CARTESIAN_POINT('Ctrl Pts',(0.0542612117247528,0.253485683636344, 0.407271125269845)); #184663=CARTESIAN_POINT('Ctrl Pts',(0.2910056521329,0.268043794993481,0.290083064099409)); #184664=CARTESIAN_POINT('Ctrl Pts',(0.407451337152488,0.282602106572622, 0.0529841927599625)); #184665=CARTESIAN_POINT('Ctrl Pts',(0.355506683335678,0.297160029635477, -0.206007907522216)); #184666=CARTESIAN_POINT('Ctrl Pts',(0.156645404597581,0.311718709373768, -0.379848677779739)); #184667=CARTESIAN_POINT('Ctrl Pts',(-0.106992516352976,0.326275896965959, -0.396728984118448)); #184668=CARTESIAN_POINT('Ctrl Pts',(-0.326331354959702,0.340836207493485, -0.249583678323462)); #184669=CARTESIAN_POINT('Ctrl Pts',(-0.382765333054116,0.350537490883757, -0.0827964804740105)); #184670=CARTESIAN_POINT('Ctrl Pts',(-0.382376434911434,0.359285643785455, 0.0673371698306806)); #184671=CARTESIAN_POINT('Ctrl Pts',(-0.346468395891582,0.366885392093266, 0.200610135739482)); #184672=CARTESIAN_POINT('Ctrl Pts',(-0.200056717989974,0.37272470822505, 0.34675888388659)); #184673=CARTESIAN_POINT('Ctrl Pts',(-3.67837372062153E-5,0.372885987744245, 0.400390061343961)); #184674=CARTESIAN_POINT('Ctrl Pts',(0.200202455312511,0.372830651750265, 0.346749349434046)); #184675=CARTESIAN_POINT('Ctrl Pts',(0.346774287819572,0.372856749754532, 0.200193912653897)); #184676=CARTESIAN_POINT('Ctrl Pts',(0.382473376572894,0.372846722822252, 0.0667544323838739)); #184677=CARTESIAN_POINT('Ctrl Pts',(0.382473176681835,0.372846730247423, -1.03094150046364E-15)); #184678=CARTESIAN_POINT('Ctrl Pts',(-0.38247269067073,-4.53803328776714E-7, 0.)); #184679=CARTESIAN_POINT('Ctrl Pts',(-0.382471552392704,-4.54229842282354E-7, 0.0667541646961862)); #184680=CARTESIAN_POINT('Ctrl Pts',(-0.346784240244095,-1.04131905949225E-5, 0.20019515120252)); #184681=CARTESIAN_POINT('Ctrl Pts',(-0.200186490239936,1.55352534525919E-5, 0.346746661523841)); #184682=CARTESIAN_POINT('Ctrl Pts',(-1.36403151592076E-6,-3.95073861355107E-5, 0.400394925229971)); #184683=CARTESIAN_POINT('Ctrl Pts',(0.200189767999335,0.000121039128943445, 0.346741710243475)); #184684=CARTESIAN_POINT('Ctrl Pts',(0.346778644457313,0.00596906289613845, 0.200207831967854)); #184685=CARTESIAN_POINT('Ctrl Pts',(0.382479180639678,0.0135767528514653, 0.0667296557061133)); #184686=CARTESIAN_POINT('Ctrl Pts',(0.382633893878018,0.0223247468408585, -0.0834026757628375)); #184687=CARTESIAN_POINT('Ctrl Pts',(0.325933482060275,0.0320260957888862, -0.25009960492607)); #184688=CARTESIAN_POINT('Ctrl Pts',(0.106364264631511,0.0465863716105007, -0.39689780440154)); #184689=CARTESIAN_POINT('Ctrl Pts',(-0.15724819513636,0.0611435756019583, -0.379597503340223)); #184690=CARTESIAN_POINT('Ctrl Pts',(-0.355829495459961,0.0757022469614792, -0.205446518716678)); #184691=CARTESIAN_POINT('Ctrl Pts',(-0.407370267255464,0.0902601744070247, 0.0536353293415866)); #184692=CARTESIAN_POINT('Ctrl Pts',(-0.290536202611452,0.104818483628918, 0.290532409636928)); #184693=CARTESIAN_POINT('Ctrl Pts',(-0.0536321601545922,0.11937659636335, 0.407376570952282)); #184694=CARTESIAN_POINT('Ctrl Pts',(0.205442725150954,0.133934810462834, 0.355817805719877)); #184695=CARTESIAN_POINT('Ctrl Pts',(0.379603859580838,0.148492971887704, 0.157270662570187)); #184696=CARTESIAN_POINT('Ctrl Pts',(0.396885853959508,0.163051161425408, -0.106409404479779)); #184697=CARTESIAN_POINT('Ctrl Pts',(0.274584798202638,0.175182972278254, -0.289260698823011)); #184698=CARTESIAN_POINT('Ctrl Pts',(0.125055466040294,0.184888427662193, -0.368586634378109)); #184699=CARTESIAN_POINT('Ctrl Pts',(-0.0138239076517981,0.192976304588648, -0.391753236561809)); #184700=CARTESIAN_POINT('Ctrl Pts',(-0.16696500155164,0.20187296908235, -0.35784011213192)); #184701=CARTESIAN_POINT('Ctrl Pts',(-0.302313296112675,0.211578424309533, -0.253765886898928)); #184702=CARTESIAN_POINT('Ctrl Pts',(-0.394850156326229,0.222901447985032, -0.0769668237885763)); #184703=CARTESIAN_POINT('Ctrl Pts',(-0.379668249443761,0.235842062272374, 0.157189607898155)); #184704=CARTESIAN_POINT('Ctrl Pts',(-0.205410197585464,0.250400222935498, 0.355858775303974)); #184705=CARTESIAN_POINT('Ctrl Pts',(0.0536155629226338,0.264958437433575, 0.407355576297662)); #184706=CARTESIAN_POINT('Ctrl Pts',(0.290544801034311,0.279516549945155, 0.290543166720188)); #184707=CARTESIAN_POINT('Ctrl Pts',(0.407365862363379,0.294074859314624, 0.0536297180247758)); #184708=CARTESIAN_POINT('Ctrl Pts',(0.355831753970278,0.308632786624185, -0.205443685911659)); #184709=CARTESIAN_POINT('Ctrl Pts',(0.15724694369125,0.32319145816374,-0.379599036692193)); #184710=CARTESIAN_POINT('Ctrl Pts',(-0.106363638247951,0.337748661855721, -0.396896946290234)); #184711=CARTESIAN_POINT('Ctrl Pts',(-0.325933979062588,0.352308938257609, -0.250100135845229)); #184712=CARTESIAN_POINT('Ctrl Pts',(-0.382633694935175,0.362010286257449, -0.0834023852627859)); #184713=CARTESIAN_POINT('Ctrl Pts',(-0.382479245934738,0.370758281245881, 0.0667296288840654)); #184714=CARTESIAN_POINT('Ctrl Pts',(-0.346778587355997,0.378365970227242, 0.20020791123927)); #184715=CARTESIAN_POINT('Ctrl Pts',(-0.20018975895175,0.384213993036529, 0.346741689333)); #184716=CARTESIAN_POINT('Ctrl Pts',(1.34821940684397E-6,0.384374539946904, 0.400394932001598)); #184717=CARTESIAN_POINT('Ctrl Pts',(0.200186525566168,0.38431949683511, 0.346746663774443)); #184718=CARTESIAN_POINT('Ctrl Pts',(0.346784152627992,0.384345446196079, 0.200195139812426)); #184719=CARTESIAN_POINT('Ctrl Pts',(0.382471690454261,0.384335485770156, 0.0667541853240473)); #184720=CARTESIAN_POINT('Ctrl Pts',(0.38247269067073,0.384335486196669, -1.03094019043971E-15)); #184721=CARTESIAN_POINT('Ctrl Pts',(-0.38247269067073,-4.53803328776714E-7, 0.)); #184722=CARTESIAN_POINT('Ctrl Pts',(-0.382471552392704,-4.54229842282354E-7, 0.0667541646961862)); #184723=CARTESIAN_POINT('Ctrl Pts',(-0.346784240244095,-1.04131905949225E-5, 0.20019515120252)); #184724=CARTESIAN_POINT('Ctrl Pts',(-0.200186490239936,1.55352534525919E-5, 0.346746661523841)); #184725=CARTESIAN_POINT('Ctrl Pts',(-1.36403151592076E-6,-3.95073861355107E-5, 0.400394925229971)); #184726=CARTESIAN_POINT('Ctrl Pts',(0.200189767999335,0.000121039128943445, 0.346741710243475)); #184727=CARTESIAN_POINT('Ctrl Pts',(0.346778644457313,0.00596906289613845, 0.200207831967854)); #184728=CARTESIAN_POINT('Ctrl Pts',(0.382479180639678,0.0135767528514653, 0.0667296557061133)); #184729=CARTESIAN_POINT('Ctrl Pts',(0.382633893878018,0.0223247468408585, -0.0834026757628375)); #184730=CARTESIAN_POINT('Ctrl Pts',(0.325933482060275,0.0320260957888862, -0.25009960492607)); #184731=CARTESIAN_POINT('Ctrl Pts',(0.106364264631511,0.0465863716105007, -0.39689780440154)); #184732=CARTESIAN_POINT('Ctrl Pts',(-0.15724819513636,0.0611435756019583, -0.379597503340223)); #184733=CARTESIAN_POINT('Ctrl Pts',(-0.355829495459961,0.0757022469614792, -0.205446518716678)); #184734=CARTESIAN_POINT('Ctrl Pts',(-0.407370267255464,0.0902601744070247, 0.0536353293415866)); #184735=CARTESIAN_POINT('Ctrl Pts',(-0.290536202611452,0.104818483628918, 0.290532409636928)); #184736=CARTESIAN_POINT('Ctrl Pts',(-0.0536321601545922,0.11937659636335, 0.407376570952282)); #184737=CARTESIAN_POINT('Ctrl Pts',(0.205442725150954,0.133934810462834, 0.355817805719877)); #184738=CARTESIAN_POINT('Ctrl Pts',(0.379603859580838,0.148492971887704, 0.157270662570187)); #184739=CARTESIAN_POINT('Ctrl Pts',(0.396885853959508,0.163051161425408, -0.106409404479779)); #184740=CARTESIAN_POINT('Ctrl Pts',(0.274584798202638,0.175182972278254, -0.289260698823011)); #184741=CARTESIAN_POINT('Ctrl Pts',(0.125055466040294,0.184888427662193, -0.368586634378109)); #184742=CARTESIAN_POINT('Ctrl Pts',(-0.0138239076517981,0.192976304588648, -0.391753236561809)); #184743=CARTESIAN_POINT('Ctrl Pts',(-0.16696500155164,0.20187296908235, -0.35784011213192)); #184744=CARTESIAN_POINT('Ctrl Pts',(-0.302313296112675,0.211578424309533, -0.253765886898928)); #184745=CARTESIAN_POINT('Ctrl Pts',(-0.394850156326229,0.222901447985032, -0.0769668237885763)); #184746=CARTESIAN_POINT('Ctrl Pts',(-0.379668249443761,0.235842062272374, 0.157189607898155)); #184747=CARTESIAN_POINT('Ctrl Pts',(-0.205410197585464,0.250400222935498, 0.355858775303974)); #184748=CARTESIAN_POINT('Ctrl Pts',(0.0536155629226338,0.264958437433575, 0.407355576297662)); #184749=CARTESIAN_POINT('Ctrl Pts',(0.290544801034311,0.279516549945155, 0.290543166720188)); #184750=CARTESIAN_POINT('Ctrl Pts',(0.407365862363379,0.294074859314624, 0.0536297180247758)); #184751=CARTESIAN_POINT('Ctrl Pts',(0.355831753970278,0.308632786624185, -0.205443685911659)); #184752=CARTESIAN_POINT('Ctrl Pts',(0.15724694369125,0.32319145816374,-0.379599036692193)); #184753=CARTESIAN_POINT('Ctrl Pts',(-0.106363638247951,0.337748661855721, -0.396896946290234)); #184754=CARTESIAN_POINT('Ctrl Pts',(-0.325933979062588,0.352308938257609, -0.250100135845229)); #184755=CARTESIAN_POINT('Ctrl Pts',(-0.382633694935175,0.362010286257449, -0.0834023852627859)); #184756=CARTESIAN_POINT('Ctrl Pts',(-0.382479245934738,0.370758281245881, 0.0667296288840654)); #184757=CARTESIAN_POINT('Ctrl Pts',(-0.346778587355997,0.378365970227242, 0.20020791123927)); #184758=CARTESIAN_POINT('Ctrl Pts',(-0.20018975895175,0.384213993036529, 0.346741689333)); #184759=CARTESIAN_POINT('Ctrl Pts',(-0.0667290208376454,0.384321024310113, 0.382510517778732)); #184760=CARTESIAN_POINT('Ctrl Pts',(3.59915340903331E-7,0.384338608276543, 0.382511346852306)); #184761=CARTESIAN_POINT('',(0.,0.,0.)); #184762=CARTESIAN_POINT('Ctrl Pts',(0.181390258290562,-0.128647548692678, 0.)); #184763=CARTESIAN_POINT('Ctrl Pts',(0.181390258290562,-0.133133796267385, 0.)); #184764=CARTESIAN_POINT('Ctrl Pts',(0.179826135114513,-0.137605365432986, 0.)); #184765=CARTESIAN_POINT('Ctrl Pts',(0.177029769488761,-0.141113464147201, 0.)); #184766=CARTESIAN_POINT('Ctrl Pts',(0.178786808823004,-0.128647548692678, 0.)); #184767=CARTESIAN_POINT('Ctrl Pts',(0.178787959884663,-0.132548863249911, 3.66228933622965E-8)); #184768=CARTESIAN_POINT('Ctrl Pts',(0.177426622805046,-0.136440700541095, 3.66228602966798E-8)); #184769=CARTESIAN_POINT('Ctrl Pts',(0.174993957247557,-0.139490683309044, 0.)); #184770=CARTESIAN_POINT('Ctrl Pts',(0.173598938455673,-0.128647548692678, 0.00214038966611433)); #184771=CARTESIAN_POINT('Ctrl Pts',(0.173602294271725,-0.131388573828832, 0.00213840094562412)); #184772=CARTESIAN_POINT('Ctrl Pts',(0.172648371054075,-0.134115682344417, 0.00213840094741967)); #184773=CARTESIAN_POINT('Ctrl Pts',(0.17093721248212,-0.136256982510217, 0.00214038966611433)); #184774=CARTESIAN_POINT('Ctrl Pts',(0.171413358154117,-0.128647548692678, 0.00730938430883637)); #184775=CARTESIAN_POINT('Ctrl Pts',(0.171415229964149,-0.130891218101799, 0.00730369048142404)); #184776=CARTESIAN_POINT('Ctrl Pts',(0.170628146849202,-0.133141358393732, 0.00730369048656481)); #184777=CARTESIAN_POINT('Ctrl Pts',(0.169228160246811,-0.13489466767453, 0.00730938430883637)); #184778=CARTESIAN_POINT('Ctrl Pts',(0.17139063905992,-0.128647548692678, 0.00991273464501627)); #184779=CARTESIAN_POINT('Ctrl Pts',(0.171390698810746,-0.130890693380446, 0.00990588787854167)); #184780=CARTESIAN_POINT('Ctrl Pts',(0.170608637222668,-0.133126477963228, 0.00990588788472339)); #184781=CARTESIAN_POINT('Ctrl Pts',(0.16921039465902,-0.134880506419939, 0.00991273464501627)); #184782=CARTESIAN_POINT('',(0.177029769488761,-0.141113464147201,0.)); #184783=CARTESIAN_POINT('',(0.16921039465902,-0.134880506419939,0.00991273464501627)); #184784=CARTESIAN_POINT('Origin',(0.177029769488761,-0.141113464147201, 0.01)); #184785=CARTESIAN_POINT('',(0.17139063905992,-0.128647548692678,0.00991273464501627)); #184786=CARTESIAN_POINT('Ctrl Pts',(0.16921039465902,-0.134880506419939, 0.00991273464501627)); #184787=CARTESIAN_POINT('Ctrl Pts',(0.170608637222668,-0.133126477963228, 0.00990588788472339)); #184788=CARTESIAN_POINT('Ctrl Pts',(0.171390698810746,-0.130890693380446, 0.00990588787854167)); #184789=CARTESIAN_POINT('Ctrl Pts',(0.17139063905992,-0.128647548692678, 0.00991273464501627)); #184790=CARTESIAN_POINT('',(0.181390258290562,-0.128647548692678,0.)); #184791=CARTESIAN_POINT('Origin',(0.181390258290562,-0.128647548692678, 0.01)); #184792=CARTESIAN_POINT('Ctrl Pts',(0.181390258290562,-0.128647548692678, 0.)); #184793=CARTESIAN_POINT('Ctrl Pts',(0.181390258290562,-0.133133796267385, 0.)); #184794=CARTESIAN_POINT('Ctrl Pts',(0.179826135114513,-0.137605365432986, 0.)); #184795=CARTESIAN_POINT('Ctrl Pts',(0.177029769488761,-0.141113464147201, 0.)); #184796=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.00999999999999999)); #184797=CARTESIAN_POINT('',(-0.177029769488761,-0.141113464147201,0.)); #184798=CARTESIAN_POINT('',(-0.16921039465902,-0.134880506419939,0.0099127346450162)); #184799=CARTESIAN_POINT('Origin',(-0.177029769488761,-0.141113464147201, 0.00999999999999999)); #184800=CARTESIAN_POINT('Origin',(-1.10623875211896E-17,1.74838271594513E-16, 0.00991273464501623)); #184801=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.)); #184802=CARTESIAN_POINT('Ctrl Pts',(-0.177029769488761,-0.141113464147201, 0.)); #184803=CARTESIAN_POINT('Ctrl Pts',(-0.179826135114513,-0.137605365432986, 0.)); #184804=CARTESIAN_POINT('Ctrl Pts',(-0.181390258290562,-0.133133796267385, 0.)); #184805=CARTESIAN_POINT('Ctrl Pts',(-0.181390258290562,-0.128647548692678, 0.)); #184806=CARTESIAN_POINT('Ctrl Pts',(-0.174993957247557,-0.139490683309044, 0.)); #184807=CARTESIAN_POINT('Ctrl Pts',(-0.177426622805046,-0.136440700541095, 3.66228602966822E-8)); #184808=CARTESIAN_POINT('Ctrl Pts',(-0.178787959884663,-0.132548863249911, 3.66228933622891E-8)); #184809=CARTESIAN_POINT('Ctrl Pts',(-0.178786808823004,-0.128647548692678, 0.)); #184810=CARTESIAN_POINT('Ctrl Pts',(-0.17093721248212,-0.136256982510217, 0.00214038966611432)); #184811=CARTESIAN_POINT('Ctrl Pts',(-0.172648371054075,-0.134115682344418, 0.00213840094741967)); #184812=CARTESIAN_POINT('Ctrl Pts',(-0.173602294271725,-0.131388573828833, 0.00213840094562412)); #184813=CARTESIAN_POINT('Ctrl Pts',(-0.173598938455673,-0.128647548692678, 0.00214038966611432)); #184814=CARTESIAN_POINT('Ctrl Pts',(-0.169228160246811,-0.13489466767453, 0.00730938430883636)); #184815=CARTESIAN_POINT('Ctrl Pts',(-0.170628146849202,-0.133141358393732, 0.0073036904865648)); #184816=CARTESIAN_POINT('Ctrl Pts',(-0.171415229964148,-0.130891218101799, 0.00730369048142403)); #184817=CARTESIAN_POINT('Ctrl Pts',(-0.171413358154117,-0.128647548692678, 0.00730938430883636)); #184818=CARTESIAN_POINT('Ctrl Pts',(-0.16921039465902,-0.134880506419939, 0.00991273464501626)); #184819=CARTESIAN_POINT('Ctrl Pts',(-0.170608637222668,-0.133126477963228, 0.00990588788472338)); #184820=CARTESIAN_POINT('Ctrl Pts',(-0.171390698810746,-0.130890693380446, 0.00990588787854165)); #184821=CARTESIAN_POINT('Ctrl Pts',(-0.17139063905992,-0.128647548692678, 0.00991273464501625)); #184822=CARTESIAN_POINT('',(-0.181390258290562,-0.128647548692678,0.)); #184823=CARTESIAN_POINT('',(-0.17139063905992,-0.128647548692678,0.00991273464501625)); #184824=CARTESIAN_POINT('Origin',(-0.181390258290562,-0.128647548692678, 0.00999999999999999)); #184825=CARTESIAN_POINT('Ctrl Pts',(-0.17139063905992,-0.128647548692678, 0.00991273464501625)); #184826=CARTESIAN_POINT('Ctrl Pts',(-0.171390698810746,-0.130890693380446, 0.00990588787854165)); #184827=CARTESIAN_POINT('Ctrl Pts',(-0.170608637222668,-0.133126477963228, 0.00990588788472338)); #184828=CARTESIAN_POINT('Ctrl Pts',(-0.16921039465902,-0.134880506419939, 0.00991273464501626)); #184829=CARTESIAN_POINT('Ctrl Pts',(-0.177029769488761,-0.141113464147201, 0.)); #184830=CARTESIAN_POINT('Ctrl Pts',(-0.179826135114513,-0.137605365432986, 0.)); #184831=CARTESIAN_POINT('Ctrl Pts',(-0.181390258290562,-0.133133796267385, 0.)); #184832=CARTESIAN_POINT('Ctrl Pts',(-0.181390258290562,-0.128647548692678, 0.)); #184833=CARTESIAN_POINT('Origin',(-0.181390258290562,0.0655982088170096, 0.01)); #184834=CARTESIAN_POINT('',(-0.181390258290562,0.128647548692679,0.)); #184835=CARTESIAN_POINT('',(-0.17139063905992,0.128647548692679,0.00991273464501625)); #184836=CARTESIAN_POINT('Origin',(-0.181390258290562,0.128647548692679, 0.01)); #184837=CARTESIAN_POINT('',(-0.17139063905992,0.0655982088170096,0.00991273464501626)); #184838=CARTESIAN_POINT('',(-0.181390258290562,0.0655982088170096,0.)); #184839=CARTESIAN_POINT('Ctrl Pts',(-0.181390258290562,0.128647548692679, 0.)); #184840=CARTESIAN_POINT('Ctrl Pts',(-0.181390258290562,0.133133796267385, 0.)); #184841=CARTESIAN_POINT('Ctrl Pts',(-0.179826135114513,0.137605365432987, 0.)); #184842=CARTESIAN_POINT('Ctrl Pts',(-0.177029769488761,0.141113464147201, 0.)); #184843=CARTESIAN_POINT('Ctrl Pts',(-0.178786808823004,0.128647548692679, 0.)); #184844=CARTESIAN_POINT('Ctrl Pts',(-0.178787959884663,0.132548863249912, 3.66228933622957E-8)); #184845=CARTESIAN_POINT('Ctrl Pts',(-0.177426622805046,0.136440700541095, 3.66228602966811E-8)); #184846=CARTESIAN_POINT('Ctrl Pts',(-0.174993957247557,0.139490683309044, 0.)); #184847=CARTESIAN_POINT('Ctrl Pts',(-0.173598938455673,0.128647548692678, 0.00214038966611433)); #184848=CARTESIAN_POINT('Ctrl Pts',(-0.173602294271725,0.131388573828833, 0.00213840094562412)); #184849=CARTESIAN_POINT('Ctrl Pts',(-0.172648371054075,0.134115682344418, 0.00213840094741967)); #184850=CARTESIAN_POINT('Ctrl Pts',(-0.17093721248212,0.136256982510218, 0.00214038966611433)); #184851=CARTESIAN_POINT('Ctrl Pts',(-0.171413358154117,0.128647548692679, 0.00730938430883637)); #184852=CARTESIAN_POINT('Ctrl Pts',(-0.171415229964148,0.1308912181018, 0.00730369048142404)); #184853=CARTESIAN_POINT('Ctrl Pts',(-0.170628146849202,0.133141358393732, 0.00730369048656481)); #184854=CARTESIAN_POINT('Ctrl Pts',(-0.169228160246811,0.13489466767453, 0.00730938430883637)); #184855=CARTESIAN_POINT('Ctrl Pts',(-0.17139063905992,0.128647548692679, 0.00991273464501627)); #184856=CARTESIAN_POINT('Ctrl Pts',(-0.171390698810746,0.130890693380447, 0.00990588787854167)); #184857=CARTESIAN_POINT('Ctrl Pts',(-0.170608637222668,0.133126477963229, 0.00990588788472339)); #184858=CARTESIAN_POINT('Ctrl Pts',(-0.16921039465902,0.13488050641994, 0.00991273464501627)); #184859=CARTESIAN_POINT('',(-0.177029769488761,0.141113464147201,0.)); #184860=CARTESIAN_POINT('',(-0.16921039465902,0.13488050641994,0.00991273464501627)); #184861=CARTESIAN_POINT('Origin',(-0.177029769488761,0.141113464147201, 0.01)); #184862=CARTESIAN_POINT('Ctrl Pts',(-0.16921039465902,0.13488050641994, 0.00991273464501627)); #184863=CARTESIAN_POINT('Ctrl Pts',(-0.170608637222668,0.133126477963229, 0.00990588788472339)); #184864=CARTESIAN_POINT('Ctrl Pts',(-0.171390698810746,0.130890693380447, 0.00990588787854167)); #184865=CARTESIAN_POINT('Ctrl Pts',(-0.17139063905992,0.128647548692679, 0.00991273464501627)); #184866=CARTESIAN_POINT('Ctrl Pts',(-0.181390258290562,0.128647548692679, 0.)); #184867=CARTESIAN_POINT('Ctrl Pts',(-0.181390258290562,0.133133796267385, 0.)); #184868=CARTESIAN_POINT('Ctrl Pts',(-0.179826135114513,0.137605365432987, 0.)); #184869=CARTESIAN_POINT('Ctrl Pts',(-0.177029769488761,0.141113464147201, 0.)); #184870=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.00999999999999999)); #184871=CARTESIAN_POINT('',(0.177029769488761,0.141113464147201,0.)); #184872=CARTESIAN_POINT('',(0.16921039465902,0.13488050641994,0.0099127346450162)); #184873=CARTESIAN_POINT('Origin',(0.177029769488761,0.141113464147201,0.00999999999999999)); #184874=CARTESIAN_POINT('Origin',(-1.10623875211896E-17,1.74838271594513E-16, 0.00991273464501623)); #184875=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.)); #184876=CARTESIAN_POINT('Ctrl Pts',(0.177029769488761,0.141113464147201, 0.)); #184877=CARTESIAN_POINT('Ctrl Pts',(0.179826135114513,0.137605365432987, 0.)); #184878=CARTESIAN_POINT('Ctrl Pts',(0.181390258290562,0.133133796267385, 0.)); #184879=CARTESIAN_POINT('Ctrl Pts',(0.181390258290562,0.128647548692679, 0.)); #184880=CARTESIAN_POINT('Ctrl Pts',(0.174993957247556,0.139490683309044, 0.)); #184881=CARTESIAN_POINT('Ctrl Pts',(0.177426622805046,0.136440700541095, 3.66228602966756E-8)); #184882=CARTESIAN_POINT('Ctrl Pts',(0.178787959884663,0.132548863249912, 3.66228933622984E-8)); #184883=CARTESIAN_POINT('Ctrl Pts',(0.178786808823004,0.128647548692679, 0.)); #184884=CARTESIAN_POINT('Ctrl Pts',(0.17093721248212,0.136256982510218, 0.00214038966611432)); #184885=CARTESIAN_POINT('Ctrl Pts',(0.172648371054075,0.134115682344418, 0.00213840094741967)); #184886=CARTESIAN_POINT('Ctrl Pts',(0.173602294271725,0.131388573828833, 0.00213840094562412)); #184887=CARTESIAN_POINT('Ctrl Pts',(0.173598938455673,0.128647548692679, 0.00214038966611432)); #184888=CARTESIAN_POINT('Ctrl Pts',(0.169228160246811,0.13489466767453, 0.00730938430883636)); #184889=CARTESIAN_POINT('Ctrl Pts',(0.170628146849202,0.133141358393732, 0.0073036904865648)); #184890=CARTESIAN_POINT('Ctrl Pts',(0.171415229964148,0.1308912181018,0.00730369048142403)); #184891=CARTESIAN_POINT('Ctrl Pts',(0.171413358154117,0.128647548692679, 0.00730938430883636)); #184892=CARTESIAN_POINT('Ctrl Pts',(0.16921039465902,0.13488050641994,0.00991273464501626)); #184893=CARTESIAN_POINT('Ctrl Pts',(0.170608637222668,0.133126477963229, 0.00990588788472338)); #184894=CARTESIAN_POINT('Ctrl Pts',(0.171390698810746,0.130890693380447, 0.00990588787854165)); #184895=CARTESIAN_POINT('Ctrl Pts',(0.17139063905992,0.128647548692679, 0.00991273464501625)); #184896=CARTESIAN_POINT('',(0.181390258290562,0.128647548692679,0.)); #184897=CARTESIAN_POINT('',(0.17139063905992,0.128647548692679,0.00991273464501626)); #184898=CARTESIAN_POINT('Origin',(0.181390258290562,0.128647548692679,0.00999999999999999)); #184899=CARTESIAN_POINT('Ctrl Pts',(0.17139063905992,0.128647548692679, 0.00991273464501625)); #184900=CARTESIAN_POINT('Ctrl Pts',(0.171390698810746,0.130890693380447, 0.00990588787854165)); #184901=CARTESIAN_POINT('Ctrl Pts',(0.170608637222668,0.133126477963229, 0.00990588788472338)); #184902=CARTESIAN_POINT('Ctrl Pts',(0.16921039465902,0.13488050641994,0.00991273464501626)); #184903=CARTESIAN_POINT('Ctrl Pts',(0.177029769488761,0.141113464147201, 0.)); #184904=CARTESIAN_POINT('Ctrl Pts',(0.179826135114513,0.137605365432987, 0.)); #184905=CARTESIAN_POINT('Ctrl Pts',(0.181390258290562,0.133133796267385, 0.)); #184906=CARTESIAN_POINT('Ctrl Pts',(0.181390258290562,0.128647548692679, 0.)); #184907=CARTESIAN_POINT('Origin',(0.181390258290562,-0.0655982088170096, 0.01)); #184908=CARTESIAN_POINT('',(0.181390258290562,-0.0655982088170096,0.)); #184909=CARTESIAN_POINT('',(0.17139063905992,-0.0655982088170096,0.00991273464501626)); #184910=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3125)); #184911=CARTESIAN_POINT('',(0.166630483266985,0.13401860027376,0.302412734645016)); #184912=CARTESIAN_POINT('Ctrl Pts',(0.166630483266985,0.13401860027376, 0.302412734645016)); #184913=CARTESIAN_POINT('Ctrl Pts',(0.167490567670003,0.134306858735662, 0.204912737856898)); #184914=CARTESIAN_POINT('Ctrl Pts',(0.168350536724757,0.134594154261248, 0.107412737827106)); #184915=CARTESIAN_POINT('Ctrl Pts',(0.16921039465902,0.13488050641994,0.00991273464501618)); #184916=CARTESIAN_POINT('',(-0.166630483266985,0.13401860027376,0.302412734645016)); #184917=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.302412734645016)); #184918=CARTESIAN_POINT('Ctrl Pts',(-0.16921039465902,0.13488050641994, 0.00991273464501628)); #184919=CARTESIAN_POINT('Ctrl Pts',(-0.168350536724757,0.134594154261248, 0.107412737827106)); #184920=CARTESIAN_POINT('Ctrl Pts',(-0.167490567670003,0.134306858735662, 0.204912737856897)); #184921=CARTESIAN_POINT('Ctrl Pts',(-0.166630483266985,0.13401860027376, 0.302412734645016)); #184922=CARTESIAN_POINT('Ctrl Pts',(0.169210486099031,0.13488053687153, 0.00990236618635585)); #184923=CARTESIAN_POINT('Ctrl Pts',(0.168350597692643,0.13459417462919, 0.107405825521556)); #184924=CARTESIAN_POINT('Ctrl Pts',(0.167490598158034,0.134306868953767, 0.204909281704238)); #184925=CARTESIAN_POINT('Ctrl Pts',(0.166630483266985,0.13401860027376, 0.302412734645016)); #184926=CARTESIAN_POINT('Ctrl Pts',(0.170608650105764,0.133126414693373, 0.00990921315297392)); #184927=CARTESIAN_POINT('Ctrl Pts',(0.169754333331842,0.132838334259822, 0.107412703493974)); #184928=CARTESIAN_POINT('Ctrl Pts',(0.168899830747446,0.132549237696188, 0.20491618959262)); #184929=CARTESIAN_POINT('Ctrl Pts',(0.168045422058455,0.132259255789868, 0.30241967439276)); #184930=CARTESIAN_POINT('Ctrl Pts',(0.171390669791516,0.130890749352198, 0.00990921315297392)); #184931=CARTESIAN_POINT('Ctrl Pts',(0.170539818501612,0.13059793550846, 0.107412703493974)); #184932=CARTESIAN_POINT('Ctrl Pts',(0.169688819026371,0.130304080263471, 0.20491618959262)); #184933=CARTESIAN_POINT('Ctrl Pts',(0.168837969668862,0.130009273991879, 0.30241967439276)); #184934=CARTESIAN_POINT('Ctrl Pts',(0.171390729544088,0.128647580343395, 0.00990236618635585)); #184935=CARTESIAN_POINT('Ctrl Pts',(0.170539829745328,0.128349941702684, 0.107405825521556)); #184936=CARTESIAN_POINT('Ctrl Pts',(0.16968892997408,0.128051270211277, 0.204909281704238)); #184937=CARTESIAN_POINT('Ctrl Pts',(0.168838030231123,0.127751543983012, 0.302412734645016)); #184938=CARTESIAN_POINT('',(0.168838030231123,0.127751543983012,0.302412734645016)); #184939=CARTESIAN_POINT('Ctrl Pts',(0.17139063905992,0.128647548692679, 0.00991273464501624)); #184940=CARTESIAN_POINT('Ctrl Pts',(0.170539769422552,0.128349920528986, 0.107412737827106)); #184941=CARTESIAN_POINT('Ctrl Pts',(0.169688899812693,0.128051259587042, 0.204912737856898)); #184942=CARTESIAN_POINT('Ctrl Pts',(0.168838030231123,0.127751543983012, 0.302412734645016)); #184943=CARTESIAN_POINT('Ctrl Pts',(0.166630483266985,0.13401860027376, 0.302412734645016)); #184944=CARTESIAN_POINT('Ctrl Pts',(0.168045500101813,0.132259352016963, 0.302405795114984)); #184945=CARTESIAN_POINT('Ctrl Pts',(0.16883809079154,0.13000924792027,0.302405795108551)); #184946=CARTESIAN_POINT('Ctrl Pts',(0.168838030231123,0.127751543983012, 0.302412734645016)); #184947=CARTESIAN_POINT('Origin',(0.171477042343242,-0.131196417634019, 1.18990424464661E-5)); #184948=CARTESIAN_POINT('',(0.168838030231123,-0.127751543983011,0.302412734645016)); #184949=CARTESIAN_POINT('Ctrl Pts',(0.168838030231123,-0.127751543983011, 0.302412734645016)); #184950=CARTESIAN_POINT('Ctrl Pts',(0.169688899812693,-0.128051259587041, 0.204912737856898)); #184951=CARTESIAN_POINT('Ctrl Pts',(0.170539769422552,-0.128349920528985, 0.107412737827106)); #184952=CARTESIAN_POINT('Ctrl Pts',(0.17139063905992,-0.128647548692678, 0.00991273464501626)); #184953=CARTESIAN_POINT('',(0.168838030231123,-0.0655982088170096,0.302412734645016)); #184954=CARTESIAN_POINT('Ctrl Pts',(0.171390729544088,-0.128647580343394, 0.00990236618635585)); #184955=CARTESIAN_POINT('Ctrl Pts',(0.170539829745328,-0.128349941702683, 0.107405825521556)); #184956=CARTESIAN_POINT('Ctrl Pts',(0.16968892997408,-0.128051270211276, 0.204909281704237)); #184957=CARTESIAN_POINT('Ctrl Pts',(0.168838030231123,-0.127751543983011, 0.302412734645016)); #184958=CARTESIAN_POINT('Ctrl Pts',(0.171390669791516,-0.130890749352198, 0.00990921315297392)); #184959=CARTESIAN_POINT('Ctrl Pts',(0.170539818501612,-0.13059793550846, 0.107412703493974)); #184960=CARTESIAN_POINT('Ctrl Pts',(0.169688819026371,-0.130304080263471, 0.20491618959262)); #184961=CARTESIAN_POINT('Ctrl Pts',(0.168837969668862,-0.130009273991879, 0.30241967439276)); #184962=CARTESIAN_POINT('Ctrl Pts',(0.170608650105764,-0.133126414693372, 0.00990921315297391)); #184963=CARTESIAN_POINT('Ctrl Pts',(0.169754333331842,-0.132838334259821, 0.107412703493974)); #184964=CARTESIAN_POINT('Ctrl Pts',(0.168899830747446,-0.132549237696188, 0.20491618959262)); #184965=CARTESIAN_POINT('Ctrl Pts',(0.168045422058455,-0.132259255789868, 0.30241967439276)); #184966=CARTESIAN_POINT('Ctrl Pts',(0.169210486099031,-0.13488053687153, 0.00990236618635585)); #184967=CARTESIAN_POINT('Ctrl Pts',(0.168350597692643,-0.134594174629189, 0.107405825521556)); #184968=CARTESIAN_POINT('Ctrl Pts',(0.167490598158034,-0.134306868953767, 0.204909281704237)); #184969=CARTESIAN_POINT('Ctrl Pts',(0.166630483266985,-0.13401860027376, 0.302412734645016)); #184970=CARTESIAN_POINT('',(0.166630483266985,-0.13401860027376,0.302412734645016)); #184971=CARTESIAN_POINT('Ctrl Pts',(0.16921039465902,-0.134880506419939, 0.00991273464501626)); #184972=CARTESIAN_POINT('Ctrl Pts',(0.168350536724757,-0.134594154261247, 0.107412737827106)); #184973=CARTESIAN_POINT('Ctrl Pts',(0.167490567670003,-0.134306858735661, 0.204912737856898)); #184974=CARTESIAN_POINT('Ctrl Pts',(0.166630483266985,-0.13401860027376, 0.302412734645016)); #184975=CARTESIAN_POINT('Ctrl Pts',(0.168838030231123,-0.127751543983011, 0.302412734645016)); #184976=CARTESIAN_POINT('Ctrl Pts',(0.168838090791541,-0.13000924792027, 0.302405795108551)); #184977=CARTESIAN_POINT('Ctrl Pts',(0.168045500101813,-0.132259352016962, 0.302405795114984)); #184978=CARTESIAN_POINT('Ctrl Pts',(0.166630483266985,-0.13401860027376, 0.302412734645016)); #184979=CARTESIAN_POINT('Origin',(-5.36149483283556E-17,-1.22046037579238E-17, 0.)); #184980=CARTESIAN_POINT('',(-0.251567461133379,-0.157054358430462,0.)); #184981=CARTESIAN_POINT('',(0.251567461133379,-0.157054358430462,0.)); #184982=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.)); #184983=CARTESIAN_POINT('',(-0.251567461133379,0.157054358430462,0.)); #184984=CARTESIAN_POINT('',(-0.251567461133379,0.0655982088170095,0.)); #184985=CARTESIAN_POINT('',(0.251567461133379,0.157054358430462,0.)); #184986=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.)); #184987=CARTESIAN_POINT('',(0.251567461133379,-0.0655982088170095,0.)); #184988=CARTESIAN_POINT('Ctrl Pts',(-0.178837649461765,-0.127751543983011, 0.3125)); #184989=CARTESIAN_POINT('Ctrl Pts',(-0.178837649461765,-0.132266909193171, 0.3125)); #184990=CARTESIAN_POINT('Ctrl Pts',(-0.177252468082423,-0.136767117386595, 0.3125)); #184991=CARTESIAN_POINT('Ctrl Pts',(-0.174422555533488,-0.140285656564508, 0.3125)); #184992=CARTESIAN_POINT('Ctrl Pts',(-0.17620511117334,-0.127751543983011, 0.3125)); #184993=CARTESIAN_POINT('Ctrl Pts',(-0.176203943996514,-0.131671553887357, 0.312500038422194)); #184994=CARTESIAN_POINT('Ctrl Pts',(-0.174827060878343,-0.135580419317768, 0.312500038422159)); #184995=CARTESIAN_POINT('Ctrl Pts',(-0.172371184564793,-0.138635767177665, 0.3125)); #184996=CARTESIAN_POINT('Ctrl Pts',(-0.170962742237144,-0.127751543983011, 0.310306483814162)); #184997=CARTESIAN_POINT('Ctrl Pts',(-0.170959344178785,-0.130491055907535, 0.310304441001345)); #184998=CARTESIAN_POINT('Ctrl Pts',(-0.17000042228841,-0.133213361517673, 0.310304441003239)); #184999=CARTESIAN_POINT('Ctrl Pts',(-0.168286137258858,-0.135350219952093, 0.310306483814162)); #185000=CARTESIAN_POINT('Ctrl Pts',(-0.168815057292298,-0.127751543983011, 0.305045172694449)); #185001=CARTESIAN_POINT('Ctrl Pts',(-0.168813239459062,-0.129999228645634, 0.305039369158107)); #185002=CARTESIAN_POINT('Ctrl Pts',(-0.168019855653036,-0.132251584343532, 0.305039369163487)); #185003=CARTESIAN_POINT('Ctrl Pts',(-0.166612581905406,-0.134004202455457, 0.305045172694449)); #185004=CARTESIAN_POINT('Ctrl Pts',(-0.168838030231123,-0.127751543983011, 0.302412734645016)); #185005=CARTESIAN_POINT('Ctrl Pts',(-0.16883809079154,-0.13000924792027, 0.302405795108551)); #185006=CARTESIAN_POINT('Ctrl Pts',(-0.168045500101813,-0.132259352016962, 0.302405795114984)); #185007=CARTESIAN_POINT('Ctrl Pts',(-0.166630483266985,-0.13401860027376, 0.302412734645016)); #185008=CARTESIAN_POINT('',(-0.174422555533488,-0.140285656564508,0.3125)); #185009=CARTESIAN_POINT('',(-0.166630483266985,-0.13401860027376,0.302412734645016)); #185010=CARTESIAN_POINT('Origin',(-0.174422555533488,-0.140285656564508, 0.3025)); #185011=CARTESIAN_POINT('',(-0.168838030231123,-0.127751543983011,0.302412734645016)); #185012=CARTESIAN_POINT('Ctrl Pts',(-0.166630483266985,-0.13401860027376, 0.302412734645016)); #185013=CARTESIAN_POINT('Ctrl Pts',(-0.168045500101813,-0.132259352016962, 0.302405795114984)); #185014=CARTESIAN_POINT('Ctrl Pts',(-0.16883809079154,-0.13000924792027, 0.302405795108551)); #185015=CARTESIAN_POINT('Ctrl Pts',(-0.168838030231123,-0.127751543983011, 0.302412734645016)); #185016=CARTESIAN_POINT('',(-0.178837649461765,-0.127751543983011,0.3125)); #185017=CARTESIAN_POINT('Origin',(-0.178837649461765,-0.127751543983011, 0.3025)); #185018=CARTESIAN_POINT('Ctrl Pts',(-0.178837649461765,-0.127751543983011, 0.3125)); #185019=CARTESIAN_POINT('Ctrl Pts',(-0.178837649461765,-0.132266909193171, 0.3125)); #185020=CARTESIAN_POINT('Ctrl Pts',(-0.177252468082423,-0.136767117386595, 0.3125)); #185021=CARTESIAN_POINT('Ctrl Pts',(-0.174422555533488,-0.140285656564508, 0.3125)); #185022=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3025)); #185023=CARTESIAN_POINT('',(0.174422555533489,-0.140285656564508,0.3125)); #185024=CARTESIAN_POINT('Origin',(0.174422555533489,-0.140285656564508, 0.3025)); #185025=CARTESIAN_POINT('Origin',(-1.09318922826856E-17,1.74838271594513E-16, 0.302412734645016)); #185026=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3125)); #185027=CARTESIAN_POINT('Ctrl Pts',(0.174422555533489,-0.140285656564508, 0.3125)); #185028=CARTESIAN_POINT('Ctrl Pts',(0.177252468082423,-0.136767117386595, 0.3125)); #185029=CARTESIAN_POINT('Ctrl Pts',(0.178837649461765,-0.132266909193171, 0.3125)); #185030=CARTESIAN_POINT('Ctrl Pts',(0.178837649461765,-0.127751543983011, 0.3125)); #185031=CARTESIAN_POINT('Ctrl Pts',(0.172371184564793,-0.138635767177665, 0.3125)); #185032=CARTESIAN_POINT('Ctrl Pts',(0.174827060878343,-0.135580419317768, 0.312500038422159)); #185033=CARTESIAN_POINT('Ctrl Pts',(0.176203943996514,-0.131671553887357, 0.312500038422194)); #185034=CARTESIAN_POINT('Ctrl Pts',(0.17620511117334,-0.127751543983011, 0.3125)); #185035=CARTESIAN_POINT('Ctrl Pts',(0.168286137258858,-0.135350219952093, 0.310306483814162)); #185036=CARTESIAN_POINT('Ctrl Pts',(0.170000422288411,-0.133213361517673, 0.310304441003239)); #185037=CARTESIAN_POINT('Ctrl Pts',(0.170959344178785,-0.130491055907535, 0.310304441001345)); #185038=CARTESIAN_POINT('Ctrl Pts',(0.170962742237144,-0.127751543983011, 0.310306483814162)); #185039=CARTESIAN_POINT('Ctrl Pts',(0.166612581905406,-0.134004202455457, 0.30504517269445)); #185040=CARTESIAN_POINT('Ctrl Pts',(0.168019855653036,-0.132251584343532, 0.305039369163487)); #185041=CARTESIAN_POINT('Ctrl Pts',(0.168813239459063,-0.129999228645634, 0.305039369158107)); #185042=CARTESIAN_POINT('Ctrl Pts',(0.168815057292299,-0.127751543983011, 0.30504517269445)); #185043=CARTESIAN_POINT('Ctrl Pts',(0.166630483266985,-0.13401860027376, 0.302412734645016)); #185044=CARTESIAN_POINT('Ctrl Pts',(0.168045500101813,-0.132259352016962, 0.302405795114984)); #185045=CARTESIAN_POINT('Ctrl Pts',(0.168838090791541,-0.13000924792027, 0.302405795108551)); #185046=CARTESIAN_POINT('Ctrl Pts',(0.168838030231123,-0.127751543983011, 0.302412734645016)); #185047=CARTESIAN_POINT('',(0.178837649461765,-0.127751543983011,0.3125)); #185048=CARTESIAN_POINT('Origin',(0.178837649461765,-0.127751543983011, 0.3025)); #185049=CARTESIAN_POINT('Ctrl Pts',(0.174422555533489,-0.140285656564508, 0.3125)); #185050=CARTESIAN_POINT('Ctrl Pts',(0.177252468082423,-0.136767117386595, 0.3125)); #185051=CARTESIAN_POINT('Ctrl Pts',(0.178837649461765,-0.132266909193171, 0.3125)); #185052=CARTESIAN_POINT('Ctrl Pts',(0.178837649461765,-0.127751543983011, 0.3125)); #185053=CARTESIAN_POINT('Origin',(0.178837649461765,-0.0655982088170096, 0.3025)); #185054=CARTESIAN_POINT('',(0.178837649461765,0.127751543983012,0.3125)); #185055=CARTESIAN_POINT('Origin',(0.178837649461765,0.127751543983012,0.3025)); #185056=CARTESIAN_POINT('',(0.178837649461765,-0.0655982088170096,0.3125)); #185057=CARTESIAN_POINT('Ctrl Pts',(0.178837649461765,0.127751543983012, 0.3125)); #185058=CARTESIAN_POINT('Ctrl Pts',(0.178837649461765,0.132266909193171, 0.3125)); #185059=CARTESIAN_POINT('Ctrl Pts',(0.177252468082423,0.136767117386596, 0.3125)); #185060=CARTESIAN_POINT('Ctrl Pts',(0.174422555533488,0.140285656564508, 0.3125)); #185061=CARTESIAN_POINT('Ctrl Pts',(0.17620511117334,0.127751543983012, 0.3125)); #185062=CARTESIAN_POINT('Ctrl Pts',(0.176203943996514,0.131671553887358, 0.312500038422194)); #185063=CARTESIAN_POINT('Ctrl Pts',(0.174827060878343,0.135580419317769, 0.312500038422159)); #185064=CARTESIAN_POINT('Ctrl Pts',(0.172371184564793,0.138635767177665, 0.3125)); #185065=CARTESIAN_POINT('Ctrl Pts',(0.170962742237144,0.127751543983012, 0.310306483814162)); #185066=CARTESIAN_POINT('Ctrl Pts',(0.170959344178785,0.130491055907535, 0.310304441001345)); #185067=CARTESIAN_POINT('Ctrl Pts',(0.170000422288411,0.133213361517673, 0.310304441003239)); #185068=CARTESIAN_POINT('Ctrl Pts',(0.168286137258858,0.135350219952093, 0.310306483814162)); #185069=CARTESIAN_POINT('Ctrl Pts',(0.168815057292298,0.127751543983012, 0.30504517269445)); #185070=CARTESIAN_POINT('Ctrl Pts',(0.168813239459063,0.129999228645635, 0.305039369158107)); #185071=CARTESIAN_POINT('Ctrl Pts',(0.168019855653036,0.132251584343533, 0.305039369163487)); #185072=CARTESIAN_POINT('Ctrl Pts',(0.166612581905406,0.134004202455457, 0.30504517269445)); #185073=CARTESIAN_POINT('Ctrl Pts',(0.168838030231123,0.127751543983012, 0.302412734645016)); #185074=CARTESIAN_POINT('Ctrl Pts',(0.16883809079154,0.13000924792027,0.302405795108551)); #185075=CARTESIAN_POINT('Ctrl Pts',(0.168045500101813,0.132259352016963, 0.302405795114984)); #185076=CARTESIAN_POINT('Ctrl Pts',(0.166630483266985,0.13401860027376, 0.302412734645016)); #185077=CARTESIAN_POINT('',(0.174422555533488,0.140285656564508,0.3125)); #185078=CARTESIAN_POINT('Origin',(0.174422555533488,0.140285656564508,0.3025)); #185079=CARTESIAN_POINT('Ctrl Pts',(0.178837649461765,0.127751543983012, 0.3125)); #185080=CARTESIAN_POINT('Ctrl Pts',(0.178837649461765,0.132266909193171, 0.3125)); #185081=CARTESIAN_POINT('Ctrl Pts',(0.177252468082423,0.136767117386596, 0.3125)); #185082=CARTESIAN_POINT('Ctrl Pts',(0.174422555533488,0.140285656564508, 0.3125)); #185083=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3025)); #185084=CARTESIAN_POINT('',(-0.174422555533488,0.140285656564508,0.3125)); #185085=CARTESIAN_POINT('Origin',(-0.174422555533488,0.140285656564508, 0.3025)); #185086=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3125)); #185087=CARTESIAN_POINT('Ctrl Pts',(-0.174422555533489,0.140285656564508, 0.3125)); #185088=CARTESIAN_POINT('Ctrl Pts',(-0.177252468082423,0.136767117386596, 0.3125)); #185089=CARTESIAN_POINT('Ctrl Pts',(-0.178837649461765,0.132266909193171, 0.3125)); #185090=CARTESIAN_POINT('Ctrl Pts',(-0.178837649461765,0.127751543983012, 0.3125)); #185091=CARTESIAN_POINT('Ctrl Pts',(-0.172371184564793,0.138635767177665, 0.3125)); #185092=CARTESIAN_POINT('Ctrl Pts',(-0.174827060878343,0.135580419317769, 0.312500038422159)); #185093=CARTESIAN_POINT('Ctrl Pts',(-0.176203943996514,0.131671553887358, 0.312500038422194)); #185094=CARTESIAN_POINT('Ctrl Pts',(-0.17620511117334,0.127751543983012, 0.3125)); #185095=CARTESIAN_POINT('Ctrl Pts',(-0.168286137258858,0.135350219952093, 0.310306483814162)); #185096=CARTESIAN_POINT('Ctrl Pts',(-0.170000422288411,0.133213361517673, 0.310304441003239)); #185097=CARTESIAN_POINT('Ctrl Pts',(-0.170959344178785,0.130491055907535, 0.310304441001345)); #185098=CARTESIAN_POINT('Ctrl Pts',(-0.170962742237144,0.127751543983012, 0.310306483814162)); #185099=CARTESIAN_POINT('Ctrl Pts',(-0.166612581905406,0.134004202455457, 0.30504517269445)); #185100=CARTESIAN_POINT('Ctrl Pts',(-0.168019855653036,0.132251584343533, 0.305039369163487)); #185101=CARTESIAN_POINT('Ctrl Pts',(-0.168813239459063,0.129999228645635, 0.305039369158107)); #185102=CARTESIAN_POINT('Ctrl Pts',(-0.168815057292299,0.127751543983012, 0.30504517269445)); #185103=CARTESIAN_POINT('Ctrl Pts',(-0.166630483266985,0.13401860027376, 0.302412734645016)); #185104=CARTESIAN_POINT('Ctrl Pts',(-0.168045500101813,0.132259352016963, 0.302405795114984)); #185105=CARTESIAN_POINT('Ctrl Pts',(-0.168838090791541,0.13000924792027, 0.302405795108551)); #185106=CARTESIAN_POINT('Ctrl Pts',(-0.168838030231123,0.127751543983012, 0.302412734645016)); #185107=CARTESIAN_POINT('',(-0.178837649461765,0.127751543983012,0.3125)); #185108=CARTESIAN_POINT('',(-0.168838030231123,0.127751543983012,0.302412734645016)); #185109=CARTESIAN_POINT('Origin',(-0.178837649461765,0.127751543983012, 0.3025)); #185110=CARTESIAN_POINT('Ctrl Pts',(-0.168838030231123,0.127751543983012, 0.302412734645016)); #185111=CARTESIAN_POINT('Ctrl Pts',(-0.168838090791541,0.13000924792027, 0.302405795108551)); #185112=CARTESIAN_POINT('Ctrl Pts',(-0.168045500101813,0.132259352016963, 0.302405795114984)); #185113=CARTESIAN_POINT('Ctrl Pts',(-0.166630483266985,0.13401860027376, 0.302412734645016)); #185114=CARTESIAN_POINT('Ctrl Pts',(-0.174422555533489,0.140285656564508, 0.3125)); #185115=CARTESIAN_POINT('Ctrl Pts',(-0.177252468082423,0.136767117386596, 0.3125)); #185116=CARTESIAN_POINT('Ctrl Pts',(-0.178837649461765,0.132266909193171, 0.3125)); #185117=CARTESIAN_POINT('Ctrl Pts',(-0.178837649461765,0.127751543983012, 0.3125)); #185118=CARTESIAN_POINT('Origin',(-0.178837649461765,0.0655982088170096, 0.3025)); #185119=CARTESIAN_POINT('',(-0.178837649461765,0.0655982088170096,0.3125)); #185120=CARTESIAN_POINT('',(-0.168838030231123,0.0655982088170096,0.302412734645016)); #185121=CARTESIAN_POINT('Ctrl Pts',(-0.171390729544088,0.128647580343395, 0.00990236618635586)); #185122=CARTESIAN_POINT('Ctrl Pts',(-0.170539829745328,0.128349941702684, 0.107405825521556)); #185123=CARTESIAN_POINT('Ctrl Pts',(-0.16968892997408,0.128051270211277, 0.204909281704237)); #185124=CARTESIAN_POINT('Ctrl Pts',(-0.168838030231123,0.127751543983012, 0.302412734645016)); #185125=CARTESIAN_POINT('Ctrl Pts',(-0.171390669791516,0.130890749352198, 0.00990921315297393)); #185126=CARTESIAN_POINT('Ctrl Pts',(-0.170539818501612,0.13059793550846, 0.107412703493974)); #185127=CARTESIAN_POINT('Ctrl Pts',(-0.169688819026371,0.130304080263471, 0.20491618959262)); #185128=CARTESIAN_POINT('Ctrl Pts',(-0.168837969668862,0.130009273991879, 0.30241967439276)); #185129=CARTESIAN_POINT('Ctrl Pts',(-0.170608650105764,0.133126414693373, 0.00990921315297393)); #185130=CARTESIAN_POINT('Ctrl Pts',(-0.169754333331842,0.132838334259822, 0.107412703493975)); #185131=CARTESIAN_POINT('Ctrl Pts',(-0.168899830747446,0.132549237696188, 0.20491618959262)); #185132=CARTESIAN_POINT('Ctrl Pts',(-0.168045422058455,0.132259255789868, 0.30241967439276)); #185133=CARTESIAN_POINT('Ctrl Pts',(-0.169210486099031,0.13488053687153, 0.00990236618635586)); #185134=CARTESIAN_POINT('Ctrl Pts',(-0.168350597692643,0.13459417462919, 0.107405825521556)); #185135=CARTESIAN_POINT('Ctrl Pts',(-0.167490598158034,0.134306868953767, 0.204909281704237)); #185136=CARTESIAN_POINT('Ctrl Pts',(-0.166630483266985,0.13401860027376, 0.302412734645016)); #185137=CARTESIAN_POINT('Ctrl Pts',(-0.168838030231123,0.127751543983012, 0.302412734645016)); #185138=CARTESIAN_POINT('Ctrl Pts',(-0.169688899812693,0.128051259587042, 0.204912737856897)); #185139=CARTESIAN_POINT('Ctrl Pts',(-0.170539769422552,0.128349920528986, 0.107412737827106)); #185140=CARTESIAN_POINT('Ctrl Pts',(-0.17139063905992,0.128647548692679, 0.00991273464501627)); #185141=CARTESIAN_POINT('Origin',(-0.171477042343242,0.131196417634019, 1.18990424464661E-5)); #185142=CARTESIAN_POINT('Ctrl Pts',(-0.17139063905992,-0.128647548692678, 0.00991273464501621)); #185143=CARTESIAN_POINT('Ctrl Pts',(-0.170539769422552,-0.128349920528985, 0.107412737827106)); #185144=CARTESIAN_POINT('Ctrl Pts',(-0.169688899812693,-0.128051259587041, 0.204912737856898)); #185145=CARTESIAN_POINT('Ctrl Pts',(-0.168838030231123,-0.127751543983011, 0.302412734645016)); #185146=CARTESIAN_POINT('Ctrl Pts',(-0.169210486099031,-0.13488053687153, 0.00990236618635586)); #185147=CARTESIAN_POINT('Ctrl Pts',(-0.168350597692643,-0.134594174629189, 0.107405825521556)); #185148=CARTESIAN_POINT('Ctrl Pts',(-0.167490598158034,-0.134306868953767, 0.204909281704237)); #185149=CARTESIAN_POINT('Ctrl Pts',(-0.166630483266985,-0.13401860027376, 0.302412734645016)); #185150=CARTESIAN_POINT('Ctrl Pts',(-0.170608650105764,-0.133126414693372, 0.00990921315297393)); #185151=CARTESIAN_POINT('Ctrl Pts',(-0.169754333331842,-0.132838334259821, 0.107412703493974)); #185152=CARTESIAN_POINT('Ctrl Pts',(-0.168899830747446,-0.132549237696188, 0.20491618959262)); #185153=CARTESIAN_POINT('Ctrl Pts',(-0.168045422058455,-0.132259255789868, 0.30241967439276)); #185154=CARTESIAN_POINT('Ctrl Pts',(-0.171390669791516,-0.130890749352198, 0.00990921315297393)); #185155=CARTESIAN_POINT('Ctrl Pts',(-0.170539818501612,-0.13059793550846, 0.107412703493975)); #185156=CARTESIAN_POINT('Ctrl Pts',(-0.169688819026371,-0.130304080263471, 0.20491618959262)); #185157=CARTESIAN_POINT('Ctrl Pts',(-0.168837969668862,-0.130009273991879, 0.30241967439276)); #185158=CARTESIAN_POINT('Ctrl Pts',(-0.171390729544088,-0.128647580343394, 0.00990236618635587)); #185159=CARTESIAN_POINT('Ctrl Pts',(-0.170539829745328,-0.128349941702683, 0.107405825521556)); #185160=CARTESIAN_POINT('Ctrl Pts',(-0.16968892997408,-0.128051270211276, 0.204909281704237)); #185161=CARTESIAN_POINT('Ctrl Pts',(-0.168838030231123,-0.127751543983011, 0.302412734645016)); #185162=CARTESIAN_POINT('Ctrl Pts',(-0.166630483266985,-0.13401860027376, 0.302412734645016)); #185163=CARTESIAN_POINT('Ctrl Pts',(-0.167490567670003,-0.134306858735661, 0.204912737856898)); #185164=CARTESIAN_POINT('Ctrl Pts',(-0.168350536724757,-0.134594154261247, 0.107412737827106)); #185165=CARTESIAN_POINT('Ctrl Pts',(-0.16921039465902,-0.134880506419939, 0.00991273464501614)); #185166=CARTESIAN_POINT('Origin',(-5.36149483283556E-17,-1.22046037579238E-17, 0.3125)); #185167=CARTESIAN_POINT('',(0.267326796208701,0.0600786042182583,0.3125)); #185168=CARTESIAN_POINT('',(0.267748937989194,0.0559115102503358,0.3125)); #185169=CARTESIAN_POINT('Ctrl Pts',(0.267326796208701,0.0600786042182583, 0.3125)); #185170=CARTESIAN_POINT('Ctrl Pts',(0.267321277570412,0.0586799161897412, 0.3125)); #185171=CARTESIAN_POINT('Ctrl Pts',(0.267463027948933,0.0572806757324295, 0.3125)); #185172=CARTESIAN_POINT('Ctrl Pts',(0.267748937988318,0.0559115102545326, 0.3125)); #185173=CARTESIAN_POINT('',(0.252166985005416,0.0726551276533008,0.3125)); #185174=CARTESIAN_POINT('Ctrl Pts',(0.252166985005416,0.0726551276533008, 0.3125)); #185175=CARTESIAN_POINT('Ctrl Pts',(0.253060048348206,0.0695555378811241, 0.3125)); #185176=CARTESIAN_POINT('Ctrl Pts',(0.256890143219339,0.0633964885863082, 0.3125)); #185177=CARTESIAN_POINT('Ctrl Pts',(0.263600211608193,0.0604475905457912, 0.3125)); #185178=CARTESIAN_POINT('Ctrl Pts',(0.267327702354995,0.0600815085701583, 0.3125)); #185179=CARTESIAN_POINT('',(-0.252166985005416,0.0726551276533008,0.3125)); #185180=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3125)); #185181=CARTESIAN_POINT('',(-0.267326796212247,0.0600786042175977,0.3125)); #185182=CARTESIAN_POINT('Ctrl Pts',(-0.267326587368577,0.0600799179104029, 0.3125)); #185183=CARTESIAN_POINT('Ctrl Pts',(-0.264665309359975,0.0603362878522063, 0.3125)); #185184=CARTESIAN_POINT('Ctrl Pts',(-0.257867989072739,0.0626011964780451, 0.3125)); #185185=CARTESIAN_POINT('Ctrl Pts',(-0.253357736129713,0.068522341288398, 0.3125)); #185186=CARTESIAN_POINT('Ctrl Pts',(-0.252166985005416,0.0726551276533008, 0.3125)); #185187=CARTESIAN_POINT('',(-0.267748937990838,0.055911510242466,0.3125)); #185188=CARTESIAN_POINT('Ctrl Pts',(-0.267748937990831,0.0559115102424984, 0.3125)); #185189=CARTESIAN_POINT('Ctrl Pts',(-0.267463027949811,0.0572806757240199, 0.3125)); #185190=CARTESIAN_POINT('Ctrl Pts',(-0.267321277570439,0.0586799161852121, 0.3125)); #185191=CARTESIAN_POINT('Ctrl Pts',(-0.267326796208699,0.0600786042176117, 0.3125)); #185192=CARTESIAN_POINT('',(-0.267748937990845,-0.0559115102424319,0.3125)); #185193=CARTESIAN_POINT('Origin',(0.,0.,0.3125)); #185194=CARTESIAN_POINT('',(-0.2673267962087,-0.0600786042182681,0.3125)); #185195=CARTESIAN_POINT('Ctrl Pts',(-0.2673267962087,-0.0600786042182681, 0.3125)); #185196=CARTESIAN_POINT('Ctrl Pts',(-0.267321277570394,-0.0586799161856478, 0.3125)); #185197=CARTESIAN_POINT('Ctrl Pts',(-0.267463027949766,-0.05728067572423, 0.3125)); #185198=CARTESIAN_POINT('Ctrl Pts',(-0.267748937990832,-0.0559115102424925, 0.3125)); #185199=CARTESIAN_POINT('',(-0.25216698500542,-0.0726551276533095,0.3125)); #185200=CARTESIAN_POINT('Ctrl Pts',(-0.25216698500542,-0.0726551276533095, 0.3125)); #185201=CARTESIAN_POINT('Ctrl Pts',(-0.25306004834821,-0.0695555378811331, 0.3125)); #185202=CARTESIAN_POINT('Ctrl Pts',(-0.256890143219343,-0.0633964885863196, 0.3125)); #185203=CARTESIAN_POINT('Ctrl Pts',(-0.263600211608193,-0.0604475905458021, 0.3125)); #185204=CARTESIAN_POINT('Ctrl Pts',(-0.267327702354994,-0.0600815085701681, 0.3125)); #185205=CARTESIAN_POINT('',(0.25216698500542,-0.0726551276533096,0.3125)); #185206=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3125)); #185207=CARTESIAN_POINT('',(0.267326796212246,-0.0600786042176075,0.3125)); #185208=CARTESIAN_POINT('Ctrl Pts',(0.267326587368576,-0.0600799179104125, 0.3125)); #185209=CARTESIAN_POINT('Ctrl Pts',(0.264665309359976,-0.0603362878522165, 0.3125)); #185210=CARTESIAN_POINT('Ctrl Pts',(0.257867989072744,-0.0626011964780552, 0.3125)); #185211=CARTESIAN_POINT('Ctrl Pts',(0.253357736129717,-0.0685223412884071, 0.3125)); #185212=CARTESIAN_POINT('Ctrl Pts',(0.25216698500542,-0.0726551276533095, 0.3125)); #185213=CARTESIAN_POINT('',(0.26774893799087,-0.0559115102423116,0.3125)); #185214=CARTESIAN_POINT('Ctrl Pts',(0.26774893799084,-0.0559115102424547, 0.3125)); #185215=CARTESIAN_POINT('Ctrl Pts',(0.267463027949813,-0.0572806757239933, 0.3125)); #185216=CARTESIAN_POINT('Ctrl Pts',(0.267321277570437,-0.0586799161852037, 0.3125)); #185217=CARTESIAN_POINT('Ctrl Pts',(0.267326796208697,-0.0600786042176215, 0.3125)); #185218=CARTESIAN_POINT('Origin',(0.,0.,0.3125)); #185219=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3125)); #185220=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246918,0.47702328495875, 0.33162632535499)); #185221=CARTESIAN_POINT('Ctrl Pts',(-0.0625861815611708,0.47700490254592, 0.331663631239401)); #185222=CARTESIAN_POINT('Ctrl Pts',(-0.0625858032899446,0.476986520060891, 0.331700936809901)); #185223=CARTESIAN_POINT('Ctrl Pts',(-0.0625762897966454,0.476580735141045, 0.332524429995482)); #185224=CARTESIAN_POINT('Ctrl Pts',(-0.0625437904187177,0.476193047958339, 0.333310991452512)); #185225=CARTESIAN_POINT('Ctrl Pts',(-0.062487780438552,0.475807160486817, 0.334093694883311)); #185226=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246918,0.477023284958749, 0.33162632535499)); #185227=CARTESIAN_POINT('Ctrl Pts',(-0.062586236540822,0.476998654525309, 0.331657444009409)); #185228=CARTESIAN_POINT('Ctrl Pts',(-0.0625858752850762,0.476974023996518, 0.331688562066021)); #185229=CARTESIAN_POINT('Ctrl Pts',(-0.0625760391513012,0.476430325048567, 0.332375456651865)); #185230=CARTESIAN_POINT('Ctrl Pts',(-0.0625276654870513,0.475911047938724, 0.333031289796395)); #185231=CARTESIAN_POINT('Ctrl Pts',(-0.0624407449164318,0.47539802656206, 0.33368177344087)); #185232=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246918,0.477023284958749, 0.331626325354989)); #185233=CARTESIAN_POINT('Ctrl Pts',(-0.0625862905356423,0.476992406528263, 0.331651256815834)); #185234=CARTESIAN_POINT('Ctrl Pts',(-0.0625859832755597,0.47696152790506, 0.3316761875823)); #185235=CARTESIAN_POINT('Ctrl Pts',(-0.0625773390952454,0.476279902368744, 0.33222649783007)); #185236=CARTESIAN_POINT('Ctrl Pts',(-0.0625301049914016,0.475628761380718, 0.332751750285911)); #185237=CARTESIAN_POINT('Ctrl Pts',(-0.0624443352512413,0.474982572076521, 0.333270361821534)); #185238=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246918,0.477023284958748, 0.331626325354989)); #185239=CARTESIAN_POINT('Ctrl Pts',(-0.0625863435462264,0.476986158485976, 0.331645069590538)); #185240=CARTESIAN_POINT('Ctrl Pts',(-0.0625861272606922,0.476949031867839, 0.331663813439259)); #185241=CARTESIAN_POINT('Ctrl Pts',(-0.0625801895271934,0.4761294788213, 0.332077565136148)); #185242=CARTESIAN_POINT('Ctrl Pts',(-0.0625511064325759,0.475346477478143, 0.332472659300173)); #185243=CARTESIAN_POINT('Ctrl Pts',(-0.0624984968767562,0.474567111144028, 0.332865712695298)); #185244=CARTESIAN_POINT('',(-0.0624984968767562,0.474567111144033,0.332865712695298)); #185245=CARTESIAN_POINT('',(-0.062487780438552,0.475807160486817,0.334093694883311)); #185246=CARTESIAN_POINT('Origin',(-0.0724101058594623,0.474567111144028, 0.334192365390595)); #185247=CARTESIAN_POINT('',(-0.0625865071246919,0.477023284958795,0.331626325354984)); #185248=CARTESIAN_POINT('Ctrl Pts',(-0.062487780438552,0.475807160486817, 0.334093694883311)); #185249=CARTESIAN_POINT('Ctrl Pts',(-0.0625437904187177,0.476193047958339, 0.333310991452512)); #185250=CARTESIAN_POINT('Ctrl Pts',(-0.0625762897966454,0.476580735141045, 0.332524429995482)); #185251=CARTESIAN_POINT('Ctrl Pts',(-0.0625858032899446,0.476986520060891, 0.331700936809901)); #185252=CARTESIAN_POINT('Ctrl Pts',(-0.0625861815611708,0.47700490254592, 0.331663631239401)); #185253=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246918,0.47702328495875, 0.33162632535499)); #185254=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246918,0.477023284958748, 0.331626325354989)); #185255=CARTESIAN_POINT('Ctrl Pts',(-0.0625863435462264,0.476986158485976, 0.331645069590538)); #185256=CARTESIAN_POINT('Ctrl Pts',(-0.0625861272606922,0.476949031867839, 0.331663813439259)); #185257=CARTESIAN_POINT('Ctrl Pts',(-0.0625801895271934,0.4761294788213, 0.332077565136148)); #185258=CARTESIAN_POINT('Ctrl Pts',(-0.0625511064325759,0.475346477478143, 0.332472659300173)); #185259=CARTESIAN_POINT('Ctrl Pts',(-0.0624984968767562,0.474567111144028, 0.332865712695298)); #185260=CARTESIAN_POINT('Ctrl Pts',(-0.062487780438552,0.475807160486817, 0.334093694883311)); #185261=CARTESIAN_POINT('Ctrl Pts',(-0.0624873038087999,0.475803876689013, 0.334100355477391)); #185262=CARTESIAN_POINT('Ctrl Pts',(-0.0624865028659152,0.475798736059913, 0.334115992927872)); #185263=CARTESIAN_POINT('Ctrl Pts',(-0.0624853000912271,0.475791566589115, 0.334145905351825)); #185264=CARTESIAN_POINT('Ctrl Pts',(-0.0624841062671747,0.475784946463537, 0.334181342104558)); #185265=CARTESIAN_POINT('Ctrl Pts',(-0.0624827645424538,0.475777895512819, 0.334225601672605)); #185266=CARTESIAN_POINT('Ctrl Pts',(-0.0624818769682173,0.47577346329151, 0.334257478897538)); #185267=CARTESIAN_POINT('Ctrl Pts',(-0.0624813834296685,0.475771043564165, 0.334275685602531)); #185268=CARTESIAN_POINT('Ctrl Pts',(-0.062440744916411,0.475398026561894, 0.333681773440869)); #185269=CARTESIAN_POINT('Ctrl Pts',(-0.0624246181869141,0.475270613807092, 0.33368651932438)); #185270=CARTESIAN_POINT('Ctrl Pts',(-0.0623998411134894,0.475073629085153, 0.333697686381762)); #185271=CARTESIAN_POINT('Ctrl Pts',(-0.0623651598521239,0.47480680494516, 0.333718968765479)); #185272=CARTESIAN_POINT('Ctrl Pts',(-0.0623343623350646,0.474570980352362, 0.333744185034374)); #185273=CARTESIAN_POINT('Ctrl Pts',(-0.0623020385789969,0.474332337691402, 0.333775599113218)); #185274=CARTESIAN_POINT('Ctrl Pts',(-0.0622834274721199,0.474191728831833, 0.333798259763287)); #185275=CARTESIAN_POINT('Ctrl Pts',(-0.0622729469086765,0.474117471222622, 0.333811154896407)); #185276=CARTESIAN_POINT('Ctrl Pts',(-0.0624443352512206,0.474982572076186, 0.333270361821532)); #185277=CARTESIAN_POINT('Ctrl Pts',(-0.0624282238307245,0.47472906197294, 0.33327335346803)); #185278=CARTESIAN_POINT('Ctrl Pts',(-0.062415573705773,0.474335628383619, 0.33328040806931)); #185279=CARTESIAN_POINT('Ctrl Pts',(-0.0624163524653809,0.473800473090448, 0.333293798503118)); #185280=CARTESIAN_POINT('Ctrl Pts',(-0.062435652028358,0.473325410595758, 0.333309667657956)); #185281=CARTESIAN_POINT('Ctrl Pts',(-0.0624702784599975,0.472843076487512, 0.333329387065119)); #185282=CARTESIAN_POINT('Ctrl Pts',(-0.0625016635410371,0.472557955017804, 0.333343640683957)); #185283=CARTESIAN_POINT('Ctrl Pts',(-0.0625198168927251,0.472407236687034, 0.333351721422464)); #185284=CARTESIAN_POINT('Ctrl Pts',(-0.0624984968767562,0.474567111143527, 0.332865712695298)); #185285=CARTESIAN_POINT('Ctrl Pts',(-0.0624980491837127,0.474189391328696, 0.332869057473171)); #185286=CARTESIAN_POINT('Ctrl Pts',(-0.0625333724045249,0.473605829265704, 0.332876899073292)); #185287=CARTESIAN_POINT('Ctrl Pts',(-0.0626365975007703,0.47282164600765, 0.332891887506021)); #185288=CARTESIAN_POINT('Ctrl Pts',(-0.0627810350772828,0.472137744466236, 0.332909635938634)); #185289=CARTESIAN_POINT('Ctrl Pts',(-0.0629712125383414,0.471457844140896, 0.332931797984974)); #185290=CARTESIAN_POINT('Ctrl Pts',(-0.0631108761935895,0.471066063883237, 0.332947757031063)); #185291=CARTESIAN_POINT('Ctrl Pts',(-0.0631900292249791,0.470861777185306, 0.332956871568538)); #185292=CARTESIAN_POINT('',(-0.0631900292249803,0.470861777185302,0.332956871568538)); #185293=CARTESIAN_POINT('',(-0.0624813834296685,0.475771043564165,0.334275685602531)); #185294=CARTESIAN_POINT('Origin',(-0.0723988971967174,0.474493107662584, 0.334374683137075)); #185295=CARTESIAN_POINT('Ctrl Pts',(-0.0624813834296685,0.475771043564165, 0.334275685602531)); #185296=CARTESIAN_POINT('Ctrl Pts',(-0.0624818769682173,0.47577346329151, 0.334257478897538)); #185297=CARTESIAN_POINT('Ctrl Pts',(-0.0624827645424538,0.475777895512819, 0.334225601672605)); #185298=CARTESIAN_POINT('Ctrl Pts',(-0.0624841062671747,0.475784946463537, 0.334181342104558)); #185299=CARTESIAN_POINT('Ctrl Pts',(-0.0624853000912271,0.475791566589115, 0.334145905351825)); #185300=CARTESIAN_POINT('Ctrl Pts',(-0.0624865028659152,0.475798736059913, 0.334115992927872)); #185301=CARTESIAN_POINT('Ctrl Pts',(-0.0624873038087999,0.475803876689013, 0.334100355477391)); #185302=CARTESIAN_POINT('Ctrl Pts',(-0.062487780438552,0.475807160486817, 0.334093694883311)); #185303=CARTESIAN_POINT('Ctrl Pts',(-0.0624984968767562,0.474567111143527, 0.332865712695298)); #185304=CARTESIAN_POINT('Ctrl Pts',(-0.0624980491837127,0.474189391328696, 0.332869057473171)); #185305=CARTESIAN_POINT('Ctrl Pts',(-0.0625333724045249,0.473605829265704, 0.332876899073292)); #185306=CARTESIAN_POINT('Ctrl Pts',(-0.0626365975007703,0.47282164600765, 0.332891887506021)); #185307=CARTESIAN_POINT('Ctrl Pts',(-0.0627810350772828,0.472137744466236, 0.332909635938634)); #185308=CARTESIAN_POINT('Ctrl Pts',(-0.0629712125383414,0.471457844140896, 0.332931797984974)); #185309=CARTESIAN_POINT('Ctrl Pts',(-0.0631108761935895,0.471066063883237, 0.332947757031063)); #185310=CARTESIAN_POINT('Ctrl Pts',(-0.0631900292249791,0.470861777185306, 0.332956871568538)); #185311=CARTESIAN_POINT('Ctrl Pts',(-0.0624813834296685,0.475771043564165, 0.33427568560253)); #185312=CARTESIAN_POINT('Ctrl Pts',(-0.0624802437164009,0.475765455762836, 0.334317729781831)); #185313=CARTESIAN_POINT('Ctrl Pts',(-0.062478090636054,0.475755384822291, 0.334402393147851)); #185314=CARTESIAN_POINT('Ctrl Pts',(-0.0624739778489198,0.475737035948694, 0.334572496220531)); #185315=CARTESIAN_POINT('Ctrl Pts',(-0.0624669806938552,0.475707080611392, 0.334871109022933)); #185316=CARTESIAN_POINT('Ctrl Pts',(-0.0624568246135011,0.475664595555804, 0.335298357012641)); #185317=CARTESIAN_POINT('Ctrl Pts',(-0.0624437700638185,0.475609736981274, 0.335809367547454)); #185318=CARTESIAN_POINT('Ctrl Pts',(-0.0624248812121468,0.475529923670791, 0.336487031155094)); #185319=CARTESIAN_POINT('Ctrl Pts',(-0.062398014477354,0.475415942356819, 0.337326756588451)); #185320=CARTESIAN_POINT('Ctrl Pts',(-0.0623463376225767,0.475201755516266, 0.338648931313616)); #185321=CARTESIAN_POINT('Ctrl Pts',(-0.0622625147328167,0.474873751379363, 0.340255856639951)); #185322=CARTESIAN_POINT('Ctrl Pts',(-0.0621192403693052,0.474389594580703, 0.342086721478952)); #185323=CARTESIAN_POINT('Ctrl Pts',(-0.0619218982253545,0.47382341802278, 0.343801000135543)); #185324=CARTESIAN_POINT('Ctrl Pts',(-0.0615660631284919,0.472977734181321, 0.345900702986756)); #185325=CARTESIAN_POINT('Ctrl Pts',(-0.0606710244600464,0.471307340742801, 0.349036264084324)); #185326=CARTESIAN_POINT('Ctrl Pts',(-0.0588336086685643,0.469313058847297, 0.351077186520527)); #185327=CARTESIAN_POINT('Ctrl Pts',(-0.0569794639851437,0.468291793616644, 0.351412323841158)); #185328=CARTESIAN_POINT('Ctrl Pts',(-0.0563362191880358,0.46801757867671, 0.351415266092657)); #185329=CARTESIAN_POINT('Ctrl Pts',(-0.0623771651691723,0.474944257393392, 0.334043420249469)); #185330=CARTESIAN_POINT('Ctrl Pts',(-0.0623648062445237,0.474855783308953, 0.334079358072081)); #185331=CARTESIAN_POINT('Ctrl Pts',(-0.062343888802993,0.474710236383045, 0.334151695825939)); #185332=CARTESIAN_POINT('Ctrl Pts',(-0.0623097769157253,0.47448381204139, 0.334296944737947)); #185333=CARTESIAN_POINT('Ctrl Pts',(-0.062262663437753,0.474193935809425, 0.334551760971429)); #185334=CARTESIAN_POINT('Ctrl Pts',(-0.0622123105544768,0.473926819816129, 0.33491614452874)); #185335=CARTESIAN_POINT('Ctrl Pts',(-0.0621622142226526,0.473703203531794, 0.335351999541609)); #185336=CARTESIAN_POINT('Ctrl Pts',(-0.0621020799947231,0.473476516171606, 0.335930188464326)); #185337=CARTESIAN_POINT('Ctrl Pts',(-0.0620314685643815,0.473264115123797, 0.336647021611706)); #185338=CARTESIAN_POINT('Ctrl Pts',(-0.0619147681974071,0.472980145515214, 0.33777574994424)); #185339=CARTESIAN_POINT('Ctrl Pts',(-0.0617504448812282,0.472658388747452, 0.339145725376702)); #185340=CARTESIAN_POINT('Ctrl Pts',(-0.0615100875853889,0.472257912677784, 0.340700954704782)); #185341=CARTESIAN_POINT('Ctrl Pts',(-0.0612203999722853,0.471835043166133, 0.342149617122442)); #185342=CARTESIAN_POINT('Ctrl Pts',(-0.0607655856681739,0.471245168941832, 0.343917506569637)); #185343=CARTESIAN_POINT('Ctrl Pts',(-0.0597886245490189,0.470172310078797, 0.346543737177296)); #185344=CARTESIAN_POINT('Ctrl Pts',(-0.0582992661815367,0.46896912634565, 0.348333344276357)); #185345=CARTESIAN_POINT('Ctrl Pts',(-0.0568643659358179,0.468207958078078, 0.348765921162963)); #185346=CARTESIAN_POINT('Ctrl Pts',(-0.0563488299150717,0.467988001100464, 0.348818104089112)); #185347=CARTESIAN_POINT('Ctrl Pts',(-0.0623926504357148,0.473261894818874, 0.333581142237282)); #185348=CARTESIAN_POINT('Ctrl Pts',(-0.0624009835770649,0.473002030234401, 0.333605317360704)); #185349=CARTESIAN_POINT('Ctrl Pts',(-0.0624254159778596,0.472574674097416, 0.333653875179904)); #185350=CARTESIAN_POINT('Ctrl Pts',(-0.0624834257644377,0.471911949413531, 0.33375107407899)); #185351=CARTESIAN_POINT('Ctrl Pts',(-0.0625890370778151,0.471070885557144, 0.333920956268322)); #185352=CARTESIAN_POINT('Ctrl Pts',(-0.0627144316017572,0.470318540034306, 0.334162837679659)); #185353=CARTESIAN_POINT('Ctrl Pts',(-0.0628175852152344,0.469722244767739, 0.334451757547125)); #185354=CARTESIAN_POINT('Ctrl Pts',(-0.0629050224306665,0.46916110658154, 0.334835014648765)); #185355=CARTESIAN_POINT('Ctrl Pts',(-0.062942345052002,0.46870707630013, 0.335310255786549)); #185356=CARTESIAN_POINT('Ctrl Pts',(-0.0629056876436902,0.468213057716026, 0.336058093423938)); #185357=CARTESIAN_POINT('Ctrl Pts',(-0.0627379149801492,0.467807481421391, 0.336961195755705)); #185358=CARTESIAN_POINT('Ctrl Pts',(-0.0624201652433072,0.467436875113841, 0.337972978848958)); #185359=CARTESIAN_POINT('Ctrl Pts',(-0.062016180717742,0.467137501558071, 0.338898288635816)); #185360=CARTESIAN_POINT('Ctrl Pts',(-0.0614023204023447,0.466808603110268, 0.340014556637013)); #185361=CARTESIAN_POINT('Ctrl Pts',(-0.0601751781172578,0.466436746819791, 0.341636924938784)); #185362=CARTESIAN_POINT('Ctrl Pts',(-0.0588157036610952,0.466317907430617, 0.34292041438935)); #185363=CARTESIAN_POINT('Ctrl Pts',(-0.0576484718719192,0.46605994346212, 0.343524984521759)); #185364=CARTESIAN_POINT('Ctrl Pts',(-0.0572094961851981,0.465969368690824, 0.343669609450024)); #185365=CARTESIAN_POINT('Ctrl Pts',(-0.0628549230588536,0.471634506936164, 0.3331542964955)); #185366=CARTESIAN_POINT('Ctrl Pts',(-0.0629675889365245,0.471224663939599, 0.333174176838279)); #185367=CARTESIAN_POINT('Ctrl Pts',(-0.0631955625372214,0.470565249646728, 0.333214178232042)); #185368=CARTESIAN_POINT('Ctrl Pts',(-0.0636272855519249,0.469578711599542, 0.333294455730334)); #185369=CARTESIAN_POINT('Ctrl Pts',(-0.0642975515546497,0.468396458756075, 0.333435270125221)); #185370=CARTESIAN_POINT('Ctrl Pts',(-0.0650172935312004,0.46743796235589, 0.333636817787138)); #185371=CARTESIAN_POINT('Ctrl Pts',(-0.0656216299652815,0.466735799592984, 0.333878324821844)); #185372=CARTESIAN_POINT('Ctrl Pts',(-0.066193334465688,0.466115441578624, 0.334199274675829)); #185373=CARTESIAN_POINT('Ctrl Pts',(-0.0666157393497111,0.465642443992209, 0.334598166017666)); #185374=CARTESIAN_POINT('Ctrl Pts',(-0.0669625382183302,0.465130635044893, 0.335226912504247)); #185375=CARTESIAN_POINT('Ctrl Pts',(-0.0670426910567059,0.464684380519555, 0.335988861203136)); #185376=CARTESIAN_POINT('Ctrl Pts',(-0.0668737697303011,0.464216621425264, 0.336849094426672)); #185377=CARTESIAN_POINT('Ctrl Pts',(-0.0665285631108828,0.463773803115208, 0.337643669209678)); #185378=CARTESIAN_POINT('Ctrl Pts',(-0.0658934429573116,0.463200526942375, 0.338606559869913)); #185379=CARTESIAN_POINT('Ctrl Pts',(-0.064395379739644,0.462266486503196, 0.340027722165791)); #185380=CARTESIAN_POINT('Ctrl Pts',(-0.0620436225609128,0.461500666475911, 0.341074041894164)); #185381=CARTESIAN_POINT('Ctrl Pts',(-0.0599622917208212,0.4612486089864, 0.3414606089426)); #185382=CARTESIAN_POINT('Ctrl Pts',(-0.0592392399622707,0.461208746932795, 0.34153906000002)); #185383=CARTESIAN_POINT('Ctrl Pts',(-0.0631900292249816,0.470861777185299, 0.332956871568537)); #185384=CARTESIAN_POINT('Ctrl Pts',(-0.0633728148660577,0.470390024248119, 0.332977919487311)); #185385=CARTESIAN_POINT('Ctrl Pts',(-0.0637345289031463,0.469643589450091, 0.33302029804924)); #185386=CARTESIAN_POINT('Ctrl Pts',(-0.0644037091034302,0.468557208771159, 0.333105435521227)); #185387=CARTESIAN_POINT('Ctrl Pts',(-0.0654164477167342,0.467313791948818, 0.333254882766291)); #185388=CARTESIAN_POINT('Ctrl Pts',(-0.066469991910528,0.466387376739032, 0.333468705819265)); #185389=CARTESIAN_POINT('Ctrl Pts',(-0.0673380528939224,0.465755369508975, 0.333724464900143)); #185390=CARTESIAN_POINT('Ctrl Pts',(-0.0681518194202565,0.465229385753999, 0.334063660803262)); #185391=CARTESIAN_POINT('Ctrl Pts',(-0.0687557186334152,0.464847340694352, 0.334484033014907)); #185392=CARTESIAN_POINT('Ctrl Pts',(-0.06927867448217,0.464423001244587, 0.33514605072067)); #185393=CARTESIAN_POINT('Ctrl Pts',(-0.069473901504774,0.464007689329127, 0.335950881914503)); #185394=CARTESIAN_POINT('Ctrl Pts',(-0.0693810002912716,0.463501615460923, 0.336868202772462)); #185395=CARTESIAN_POINT('Ctrl Pts',(-0.0690724710660886,0.462962532019339, 0.337727383882526)); #185396=CARTESIAN_POINT('Ctrl Pts',(-0.0684362463513022,0.462197387288783, 0.33877997910119)); #185397=CARTESIAN_POINT('Ctrl Pts',(-0.066804459830539,0.460776753204876, 0.340352253850649)); #185398=CARTESIAN_POINT('Ctrl Pts',(-0.0638441448892327,0.459325037669553, 0.341374580457104)); #185399=CARTESIAN_POINT('Ctrl Pts',(-0.0611668407412228,0.458885731739577, 0.34153906000001)); #185400=CARTESIAN_POINT('Ctrl Pts',(-0.0602579271718084,0.458819487485762, 0.34153906)); #185401=CARTESIAN_POINT('',(-0.0602579271718569,0.458819487485824,0.34153906)); #185402=CARTESIAN_POINT('',(-0.0563362191880358,0.46801757867671,0.351415266092657)); #185403=CARTESIAN_POINT('Origin',(-0.0602579271717113,0.458819487485638, 0.35153906)); #185404=CARTESIAN_POINT('Ctrl Pts',(-0.0563362191880358,0.46801757867671, 0.351415266092657)); #185405=CARTESIAN_POINT('Ctrl Pts',(-0.0569794639851437,0.468291793616644, 0.351412323841158)); #185406=CARTESIAN_POINT('Ctrl Pts',(-0.0588336086685643,0.469313058847297, 0.351077186520527)); #185407=CARTESIAN_POINT('Ctrl Pts',(-0.0606710244600464,0.471307340742801, 0.349036264084324)); #185408=CARTESIAN_POINT('Ctrl Pts',(-0.0615660631284919,0.472977734181321, 0.345900702986756)); #185409=CARTESIAN_POINT('Ctrl Pts',(-0.0619218982253545,0.47382341802278, 0.343801000135543)); #185410=CARTESIAN_POINT('Ctrl Pts',(-0.0621192403693052,0.474389594580703, 0.342086721478952)); #185411=CARTESIAN_POINT('Ctrl Pts',(-0.0622625147328167,0.474873751379363, 0.340255856639951)); #185412=CARTESIAN_POINT('Ctrl Pts',(-0.0623463376225767,0.475201755516266, 0.338648931313616)); #185413=CARTESIAN_POINT('Ctrl Pts',(-0.062398014477354,0.475415942356819, 0.337326756588451)); #185414=CARTESIAN_POINT('Ctrl Pts',(-0.0624248812121468,0.475529923670791, 0.336487031155094)); #185415=CARTESIAN_POINT('Ctrl Pts',(-0.0624437700638185,0.475609736981274, 0.335809367547454)); #185416=CARTESIAN_POINT('Ctrl Pts',(-0.0624568246135011,0.475664595555804, 0.335298357012641)); #185417=CARTESIAN_POINT('Ctrl Pts',(-0.0624669806938552,0.475707080611392, 0.334871109022933)); #185418=CARTESIAN_POINT('Ctrl Pts',(-0.0624739778489198,0.475737035948694, 0.334572496220531)); #185419=CARTESIAN_POINT('Ctrl Pts',(-0.062478090636054,0.475755384822291, 0.334402393147851)); #185420=CARTESIAN_POINT('Ctrl Pts',(-0.0624802437164009,0.475765455762836, 0.334317729781831)); #185421=CARTESIAN_POINT('Ctrl Pts',(-0.0624813834296685,0.475771043564165, 0.33427568560253)); #185422=CARTESIAN_POINT('Ctrl Pts',(-0.0631900292249816,0.470861777185299, 0.332956871568537)); #185423=CARTESIAN_POINT('Ctrl Pts',(-0.0633728148660577,0.470390024248119, 0.332977919487311)); #185424=CARTESIAN_POINT('Ctrl Pts',(-0.0637345289031463,0.469643589450091, 0.33302029804924)); #185425=CARTESIAN_POINT('Ctrl Pts',(-0.0644037091034302,0.468557208771159, 0.333105435521227)); #185426=CARTESIAN_POINT('Ctrl Pts',(-0.0654164477167342,0.467313791948818, 0.333254882766291)); #185427=CARTESIAN_POINT('Ctrl Pts',(-0.066469991910528,0.466387376739032, 0.333468705819265)); #185428=CARTESIAN_POINT('Ctrl Pts',(-0.0673380528939224,0.465755369508975, 0.333724464900143)); #185429=CARTESIAN_POINT('Ctrl Pts',(-0.0681518194202565,0.465229385753999, 0.334063660803262)); #185430=CARTESIAN_POINT('Ctrl Pts',(-0.0687557186334152,0.464847340694352, 0.334484033014907)); #185431=CARTESIAN_POINT('Ctrl Pts',(-0.06927867448217,0.464423001244587, 0.33514605072067)); #185432=CARTESIAN_POINT('Ctrl Pts',(-0.069473901504774,0.464007689329127, 0.335950881914503)); #185433=CARTESIAN_POINT('Ctrl Pts',(-0.0693810002912716,0.463501615460923, 0.336868202772462)); #185434=CARTESIAN_POINT('Ctrl Pts',(-0.0690724710660886,0.462962532019339, 0.337727383882526)); #185435=CARTESIAN_POINT('Ctrl Pts',(-0.0684362463513022,0.462197387288783, 0.33877997910119)); #185436=CARTESIAN_POINT('Ctrl Pts',(-0.066804459830539,0.460776753204876, 0.340352253850649)); #185437=CARTESIAN_POINT('Ctrl Pts',(-0.0638441448892327,0.459325037669553, 0.341374580457104)); #185438=CARTESIAN_POINT('Ctrl Pts',(-0.0611668407412228,0.458885731739577, 0.34153906000001)); #185439=CARTESIAN_POINT('Ctrl Pts',(-0.0602579271718084,0.458819487485762, 0.34153906)); #185440=CARTESIAN_POINT('Ctrl Pts',(-0.0563362191880358,0.46801757867671, 0.351415266092657)); #185441=CARTESIAN_POINT('Ctrl Pts',(-0.0547649726368199,0.467347757046438, 0.351422453096068)); #185442=CARTESIAN_POINT('Ctrl Pts',(-0.0530201226937464,0.467089897400433, 0.351435164878952)); #185443=CARTESIAN_POINT('Ctrl Pts',(-0.0513221554089644,0.467276535410105, 0.351451794645016)); #185444=CARTESIAN_POINT('Ctrl Pts',(-0.0563488299150388,0.467988001100449, 0.348818104089099)); #185445=CARTESIAN_POINT('Ctrl Pts',(-0.0547749579229658,0.467319118020121, 0.348824073900907)); #185446=CARTESIAN_POINT('Ctrl Pts',(-0.0530175589349758,0.467062895582758, 0.348834614858361)); #185447=CARTESIAN_POINT('Ctrl Pts',(-0.0513196750295758,0.467253952120725, 0.348848444308836)); #185448=CARTESIAN_POINT('Ctrl Pts',(-0.057210642528409,0.465966680022901, 0.343672568707374)); #185449=CARTESIAN_POINT('Ctrl Pts',(-0.0552865478973445,0.465150151262152, 0.343674650468373)); #185450=CARTESIAN_POINT('Ctrl Pts',(-0.053154573149411,0.464841847160965, 0.343678279742084)); #185451=CARTESIAN_POINT('Ctrl Pts',(-0.0510806660036486,0.46507782937847, 0.343683110045066)); #185452=CARTESIAN_POINT('Ctrl Pts',(-0.0592392399621691,0.461208746932683, 0.34153906)); #185453=CARTESIAN_POINT('Ctrl Pts',(-0.0565051590366003,0.460044354700197, 0.341539021834234)); #185454=CARTESIAN_POINT('Ctrl Pts',(-0.0534673120004491,0.4595974682088, 0.3415391489515)); #185455=CARTESIAN_POINT('Ctrl Pts',(-0.0505146713219741,0.459924576717783, 0.34153906)); #185456=CARTESIAN_POINT('Ctrl Pts',(-0.0602579271717114,0.458819487485638, 0.34153906)); #185457=CARTESIAN_POINT('Ctrl Pts',(-0.0571155595093366,0.457479704253097, 0.34153906)); #185458=CARTESIAN_POINT('Ctrl Pts',(-0.0536260814912868,0.456963737390132, 0.34153906)); #185459=CARTESIAN_POINT('Ctrl Pts',(-0.0502304371732624,0.45733668953345, 0.34153906)); #185460=CARTESIAN_POINT('',(-0.0502304371732624,0.45733668953345,0.34153906)); #185461=CARTESIAN_POINT('',(-0.0513221554089644,0.467276535410105,0.351451794645016)); #185462=CARTESIAN_POINT('Origin',(-0.0502304371732624,0.45733668953345, 0.35153906)); #185463=CARTESIAN_POINT('Ctrl Pts',(-0.0513221554089644,0.467276535410105, 0.351451794645016)); #185464=CARTESIAN_POINT('Ctrl Pts',(-0.0530201226937464,0.467089897400433, 0.351435164878952)); #185465=CARTESIAN_POINT('Ctrl Pts',(-0.0547649726368199,0.467347757046438, 0.351422453096068)); #185466=CARTESIAN_POINT('Ctrl Pts',(-0.0563362191880358,0.46801757867671, 0.351415266092657)); #185467=CARTESIAN_POINT('Ctrl Pts',(-0.0602579271717114,0.458819487485638, 0.34153906)); #185468=CARTESIAN_POINT('Ctrl Pts',(-0.0571155595093366,0.457479704253097, 0.34153906)); #185469=CARTESIAN_POINT('Ctrl Pts',(-0.0536260814912868,0.456963737390132, 0.34153906)); #185470=CARTESIAN_POINT('Ctrl Pts',(-0.0502304371732624,0.45733668953345, 0.34153906)); #185471=CARTESIAN_POINT('Origin',(0.,0.,0.35153906)); #185472=CARTESIAN_POINT('',(0.0502304371732625,0.45733668953345,0.34153906)); #185473=CARTESIAN_POINT('',(0.0513221554089644,0.467276535410105,0.351451794645016)); #185474=CARTESIAN_POINT('Origin',(0.0502304371732625,0.45733668953345,0.35153906)); #185475=CARTESIAN_POINT('Origin',(5.46470162271162E-18,0.,0.351451794645016)); #185476=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #185477=CARTESIAN_POINT('Ctrl Pts',(0.0602579271719398,0.458819487485735, 0.34153906)); #185478=CARTESIAN_POINT('Ctrl Pts',(0.0571155595095054,0.457479704253123, 0.34153906)); #185479=CARTESIAN_POINT('Ctrl Pts',(0.0536260814913691,0.456963737390123, 0.34153906)); #185480=CARTESIAN_POINT('Ctrl Pts',(0.0502304371732625,0.45733668953345, 0.34153906)); #185481=CARTESIAN_POINT('Ctrl Pts',(0.0592392399623679,0.461208746932768, 0.34153906)); #185482=CARTESIAN_POINT('Ctrl Pts',(0.0565051590367472,0.46004435470022, 0.341539021834234)); #185483=CARTESIAN_POINT('Ctrl Pts',(0.0534673120005207,0.459597468208792, 0.3415391489515)); #185484=CARTESIAN_POINT('Ctrl Pts',(0.0505146713219741,0.459924576717783, 0.34153906)); #185485=CARTESIAN_POINT('Ctrl Pts',(0.0572106425285489,0.46596668002296, 0.343672568707374)); #185486=CARTESIAN_POINT('Ctrl Pts',(0.0552865478974478,0.465150151262167, 0.343674650468372)); #185487=CARTESIAN_POINT('Ctrl Pts',(0.0531545731494613,0.464841847160959, 0.343678279742084)); #185488=CARTESIAN_POINT('Ctrl Pts',(0.0510806660036487,0.46507782937847, 0.343683110045066)); #185489=CARTESIAN_POINT('Ctrl Pts',(0.0563488299151535,0.467988001100498, 0.348818104089099)); #185490=CARTESIAN_POINT('Ctrl Pts',(0.0547749579230506,0.467319118020134, 0.348824073900906)); #185491=CARTESIAN_POINT('Ctrl Pts',(0.053017558935017,0.467062895582753, 0.34883461485836)); #185492=CARTESIAN_POINT('Ctrl Pts',(0.0513196750295759,0.467253952120725, 0.348848444308836)); #185493=CARTESIAN_POINT('Ctrl Pts',(0.0563362191881501,0.468017578676759, 0.351415266092657)); #185494=CARTESIAN_POINT('Ctrl Pts',(0.0547649726369044,0.467347757046451, 0.351422453096067)); #185495=CARTESIAN_POINT('Ctrl Pts',(0.0530201226937876,0.467089897400429, 0.351435164878951)); #185496=CARTESIAN_POINT('Ctrl Pts',(0.0513221554089644,0.467276535410105, 0.351451794645016)); #185497=CARTESIAN_POINT('',(0.0602579271719002,0.458819487485828,0.34153906)); #185498=CARTESIAN_POINT('Ctrl Pts',(0.0502304371732625,0.45733668953345, 0.34153906)); #185499=CARTESIAN_POINT('Ctrl Pts',(0.0536260814913691,0.456963737390123, 0.34153906)); #185500=CARTESIAN_POINT('Ctrl Pts',(0.0571155595095054,0.457479704253123, 0.34153906)); #185501=CARTESIAN_POINT('Ctrl Pts',(0.0602579271719398,0.458819487485735, 0.34153906)); #185502=CARTESIAN_POINT('',(0.0563362191881501,0.468017578676759,0.351415266092657)); #185503=CARTESIAN_POINT('Origin',(0.0602579271719398,0.458819487485735, 0.35153906)); #185504=CARTESIAN_POINT('Ctrl Pts',(0.0563362191881501,0.468017578676759, 0.351415266092657)); #185505=CARTESIAN_POINT('Ctrl Pts',(0.0547649726369044,0.467347757046451, 0.351422453096067)); #185506=CARTESIAN_POINT('Ctrl Pts',(0.0530201226937876,0.467089897400429, 0.351435164878951)); #185507=CARTESIAN_POINT('Ctrl Pts',(0.0513221554089644,0.467276535410105, 0.351451794645016)); #185508=CARTESIAN_POINT('Ctrl Pts',(0.0631900292249817,0.470861777185299, 0.332956871568537)); #185509=CARTESIAN_POINT('Ctrl Pts',(0.063555595568082,0.469918284058179, 0.332998966837348)); #185510=CARTESIAN_POINT('Ctrl Pts',(0.0642596303557998,0.468740939517936, 0.333084101771369)); #185511=CARTESIAN_POINT('Ctrl Pts',(0.0651253599884331,0.467654285301575, 0.3332121408102)); #185512=CARTESIAN_POINT('Ctrl Pts',(0.0658364796101293,0.466944911640182, 0.333340353996976)); #185513=CARTESIAN_POINT('Ctrl Pts',(0.06661354987573,0.466272223421033, 0.333511236084179)); #185514=CARTESIAN_POINT('Ctrl Pts',(0.0673369686692331,0.465752566758013, 0.333724412784066)); #185515=CARTESIAN_POINT('Ctrl Pts',(0.0681508976106531,0.465222698821382, 0.334063628848545)); #185516=CARTESIAN_POINT('Ctrl Pts',(0.068754772075306,0.464843200132831, 0.334484014786609)); #185517=CARTESIAN_POINT('Ctrl Pts',(0.0692777992272619,0.464417775447323, 0.335146100795234)); #185518=CARTESIAN_POINT('Ctrl Pts',(0.0694728836955982,0.464003231305105, 0.335950706532113)); #185519=CARTESIAN_POINT('Ctrl Pts',(0.0693802437709696,0.463497496662986, 0.336868420846994)); #185520=CARTESIAN_POINT('Ctrl Pts',(0.069071230050049,0.462958197172077, 0.337726696849559)); #185521=CARTESIAN_POINT('Ctrl Pts',(0.0681202390983492,0.461812282212423, 0.339308927858942)); #185522=CARTESIAN_POINT('Ctrl Pts',(0.0661241356316446,0.460336789937648, 0.340682203018241)); #185523=CARTESIAN_POINT('Ctrl Pts',(0.062946462004595,0.459179918811494, 0.34142499386138)); #185524=CARTESIAN_POINT('Ctrl Pts',(0.0611668284614971,0.458885730844605, 0.341539060000003)); #185525=CARTESIAN_POINT('Ctrl Pts',(0.0602579271719648,0.458819487485773, 0.34153906)); #185526=CARTESIAN_POINT('Ctrl Pts',(0.0628549230588538,0.471634506936164, 0.3331542964955)); #185527=CARTESIAN_POINT('Ctrl Pts',(0.06308025176985,0.470814832017405, 0.333194056643872)); #185528=CARTESIAN_POINT('Ctrl Pts',(0.0635320938549999,0.469752506543589, 0.333274368990747)); #185529=CARTESIAN_POINT('Ctrl Pts',(0.0641045417131628,0.468722311794389, 0.333395009822669)); #185530=CARTESIAN_POINT('Ctrl Pts',(0.0645846657066206,0.468014274038341, 0.333515824504878)); #185531=CARTESIAN_POINT('Ctrl Pts',(0.0651169933985944,0.467313013509491, 0.333676928978471)); #185532=CARTESIAN_POINT('Ctrl Pts',(0.0656208962038788,0.466733400342811, 0.333878247486794)); #185533=CARTESIAN_POINT('Ctrl Pts',(0.0661925689032979,0.466109941148007, 0.334199207428913)); #185534=CARTESIAN_POINT('Ctrl Pts',(0.0666150772331082,0.465638956016432, 0.334598124723271)); #185535=CARTESIAN_POINT('Ctrl Pts',(0.0669618234632234,0.465126245667789, 0.335226937781514)); #185536=CARTESIAN_POINT('Ctrl Pts',(0.0670421779544927,0.464680695329476, 0.335988699587633)); #185537=CARTESIAN_POINT('Ctrl Pts',(0.0668728258976947,0.464212938743276, 0.336849293050134)); #185538=CARTESIAN_POINT('Ctrl Pts',(0.0665286819687597,0.463770423776341, 0.337643065357958)); #185539=CARTESIAN_POINT('Ctrl Pts',(0.0655725593213623,0.462908814272021, 0.339088992740817)); #185540=CARTESIAN_POINT('Ctrl Pts',(0.0638367128578099,0.462034840087614, 0.340334073738596)); #185541=CARTESIAN_POINT('Ctrl Pts',(0.0613534387749989,0.461417587632448, 0.341203734083178)); #185542=CARTESIAN_POINT('Ctrl Pts',(0.0599622819521493,0.461248608447856, 0.341460610002494)); #185543=CARTESIAN_POINT('Ctrl Pts',(0.0592392399623953,0.461208746932802, 0.341539060000007)); #185544=CARTESIAN_POINT('Ctrl Pts',(0.0623926504357153,0.473261894818874, 0.333581142237282)); #185545=CARTESIAN_POINT('Ctrl Pts',(0.0624093164932456,0.472742172671731, 0.33362949183089)); #185546=CARTESIAN_POINT('Ctrl Pts',(0.0624686811877368,0.472034881531911, 0.333726813337415)); #185547=CARTESIAN_POINT('Ctrl Pts',(0.0625579678095318,0.471304338821136, 0.333872370363699)); #185548=CARTESIAN_POINT('Ctrl Pts',(0.062639097453374,0.470770471084618, 0.334017646499653)); #185549=CARTESIAN_POINT('Ctrl Pts',(0.0627310727160289,0.470214658339713, 0.334210925119333)); #185550=CARTESIAN_POINT('Ctrl Pts',(0.0628173622371844,0.469720740451084, 0.33445173110269)); #185551=CARTESIAN_POINT('Ctrl Pts',(0.0629046509053257,0.469157880943356, 0.334835021529878)); #185552=CARTESIAN_POINT('Ctrl Pts',(0.062942171511981,0.468704956319298, 0.335310331420722)); #185553=CARTESIAN_POINT('Ctrl Pts',(0.0629053564565002,0.468210428295657, 0.3360581924727)); #185554=CARTESIAN_POINT('Ctrl Pts',(0.0627383589476054,0.467805329599101, 0.336961491705402)); #185555=CARTESIAN_POINT('Ctrl Pts',(0.0624191539007497,0.467434587016179, 0.337972860705478)); #185556=CARTESIAN_POINT('Ctrl Pts',(0.0620188576727604,0.467135730492042, 0.338899379574589)); #185557=CARTESIAN_POINT('Ctrl Pts',(0.0610851232422675,0.466638172350836, 0.340570780496767)); #185558=CARTESIAN_POINT('Ctrl Pts',(0.0598260248291288,0.466429745644319, 0.34198534459747)); #185559=CARTESIAN_POINT('Ctrl Pts',(0.0584415925283046,0.466229797773697, 0.343128243434595)); #185560=CARTESIAN_POINT('Ctrl Pts',(0.0576484659412122,0.466059942238413, 0.343524986475697)); #185561=CARTESIAN_POINT('Ctrl Pts',(0.0572094961852785,0.465969368690828, 0.343669609450012)); #185562=CARTESIAN_POINT('Ctrl Pts',(0.0623771651691725,0.474944257393392, 0.334043420249469)); #185563=CARTESIAN_POINT('Ctrl Pts',(0.0623524476538257,0.474767311615172, 0.334115294923617)); #185564=CARTESIAN_POINT('Ctrl Pts',(0.0623166378246027,0.474526165793817, 0.334260573025066)); #185565=CARTESIAN_POINT('Ctrl Pts',(0.0622758469807976,0.474274395670366, 0.334478886982098)); #185566=CARTESIAN_POINT('Ctrl Pts',(0.0622425366178368,0.474087265407968, 0.334697412966152)); #185567=CARTESIAN_POINT('Ctrl Pts',(0.0622037304702801,0.473888050135408, 0.334988613380737)); #185568=CARTESIAN_POINT('Ctrl Pts',(0.0621621222962634,0.473702706162414, 0.335351906888687)); #185569=CARTESIAN_POINT('Ctrl Pts',(0.0621019026807104,0.47347543661588, 0.335930128688205)); #185570=CARTESIAN_POINT('Ctrl Pts',(0.0620313334028242,0.473263410830103, 0.336646999431913)); #185571=CARTESIAN_POINT('Ctrl Pts',(0.0619145946848039,0.47297923287141, 0.337775834585209)); #185572=CARTESIAN_POINT('Ctrl Pts',(0.061750279279231,0.472657754444312, 0.339145517199906)); #185573=CARTESIAN_POINT('Ctrl Pts',(0.0615099111078408,0.472256957281321, 0.34070125507695)); #185574=CARTESIAN_POINT('Ctrl Pts',(0.0612201559799851,0.471834882894781, 0.342148801054634)); #185575=CARTESIAN_POINT('Ctrl Pts',(0.0605370011315579,0.470947809212615, 0.34480455004239)); #185576=CARTESIAN_POINT('Ctrl Pts',(0.0594486049199516,0.469853666352302, 0.347098876367939)); #185577=CARTESIAN_POINT('Ctrl Pts',(0.0578232509175429,0.468715346653155, 0.348473804751654)); #185578=CARTESIAN_POINT('Ctrl Pts',(0.0568643589707518,0.46820795510639, 0.348765921867961)); #185579=CARTESIAN_POINT('Ctrl Pts',(0.0563488299151608,0.467988001100501, 0.348818104089103)); #185580=CARTESIAN_POINT('Ctrl Pts',(0.0624813834296686,0.475771043564165, 0.33427568560253)); #185581=CARTESIAN_POINT('Ctrl Pts',(0.0624791040339296,0.475759868112495, 0.334359772825056)); #185582=CARTESIAN_POINT('Ctrl Pts',(0.0624749817929869,0.475741355255607, 0.334529869307809)); #185583=CARTESIAN_POINT('Ctrl Pts',(0.0624689670179363,0.475715538050691, 0.334785704734004)); #185584=CARTESIAN_POINT('Ctrl Pts',(0.0624629174374943,0.475690092800597, 0.335041892325284)); #185585=CARTESIAN_POINT('Ctrl Pts',(0.0624546395289538,0.475655400365364, 0.33538333254489)); #185586=CARTESIAN_POINT('Ctrl Pts',(0.0624437587663999,0.475609718256889, 0.335809263249311)); #185587=CARTESIAN_POINT('Ctrl Pts',(0.0624248694345067,0.475529881551587, 0.336486966949119)); #185588=CARTESIAN_POINT('Ctrl Pts',(0.06239796119057,0.475415906374237, 0.337326720252614)); #185589=CARTESIAN_POINT('Ctrl Pts',(0.0623463459927476,0.475201717056241, 0.338649030997824)); #185590=CARTESIAN_POINT('Ctrl Pts',(0.0622621636425534,0.474873703923677, 0.340255508536754)); #185591=CARTESIAN_POINT('Ctrl Pts',(0.0621196626571821,0.474389658614947, 0.342087154490847)); #185592=CARTESIAN_POINT('Ctrl Pts',(0.0619204876618061,0.473823305072231, 0.343799637514327)); #185593=CARTESIAN_POINT('Ctrl Pts',(0.0613938355986286,0.47255601446055, 0.346955817065431)); #185594=CARTESIAN_POINT('Ctrl Pts',(0.060278522697755,0.470753742704503, 0.349694085560992)); #185595=CARTESIAN_POINT('Ctrl Pts',(0.0582076459464262,0.46897409410485, 0.35118015748716)); #185596=CARTESIAN_POINT('Ctrl Pts',(0.0569794552946901,0.468291789911917, 0.351412323880896)); #185597=CARTESIAN_POINT('Ctrl Pts',(0.0563362191881465,0.468017578676757, 0.351415266092657)); #185598=CARTESIAN_POINT('',(0.0631900292249817,0.470861777185299,0.332956871568537)); #185599=CARTESIAN_POINT('Ctrl Pts',(0.0602579271719648,0.458819487485773, 0.34153906)); #185600=CARTESIAN_POINT('Ctrl Pts',(0.0611668284614971,0.458885730844605, 0.341539060000003)); #185601=CARTESIAN_POINT('Ctrl Pts',(0.062946462004595,0.459179918811494, 0.34142499386138)); #185602=CARTESIAN_POINT('Ctrl Pts',(0.0661241356316446,0.460336789937648, 0.340682203018241)); #185603=CARTESIAN_POINT('Ctrl Pts',(0.0681202390983492,0.461812282212423, 0.339308927858942)); #185604=CARTESIAN_POINT('Ctrl Pts',(0.069071230050049,0.462958197172077, 0.337726696849559)); #185605=CARTESIAN_POINT('Ctrl Pts',(0.0693802437709696,0.463497496662986, 0.336868420846994)); #185606=CARTESIAN_POINT('Ctrl Pts',(0.0694728836955982,0.464003231305105, 0.335950706532113)); #185607=CARTESIAN_POINT('Ctrl Pts',(0.0692777992272619,0.464417775447323, 0.335146100795234)); #185608=CARTESIAN_POINT('Ctrl Pts',(0.068754772075306,0.464843200132831, 0.334484014786609)); #185609=CARTESIAN_POINT('Ctrl Pts',(0.0681508976106531,0.465222698821382, 0.334063628848545)); #185610=CARTESIAN_POINT('Ctrl Pts',(0.0673369686692331,0.465752566758013, 0.333724412784066)); #185611=CARTESIAN_POINT('Ctrl Pts',(0.06661354987573,0.466272223421033, 0.333511236084179)); #185612=CARTESIAN_POINT('Ctrl Pts',(0.0658364796101293,0.466944911640182, 0.333340353996976)); #185613=CARTESIAN_POINT('Ctrl Pts',(0.0651253599884331,0.467654285301575, 0.3332121408102)); #185614=CARTESIAN_POINT('Ctrl Pts',(0.0642596303557998,0.468740939517936, 0.333084101771369)); #185615=CARTESIAN_POINT('Ctrl Pts',(0.063555595568082,0.469918284058179, 0.332998966837348)); #185616=CARTESIAN_POINT('Ctrl Pts',(0.0631900292249817,0.470861777185299, 0.332956871568537)); #185617=CARTESIAN_POINT('',(0.0624813834296687,0.475771043564165,0.33427568560253)); #185618=CARTESIAN_POINT('Origin',(0.0723988971967176,0.474493107662584, 0.334374683137075)); #185619=CARTESIAN_POINT('Ctrl Pts',(0.0624813834296686,0.475771043564165, 0.33427568560253)); #185620=CARTESIAN_POINT('Ctrl Pts',(0.0624791040339296,0.475759868112495, 0.334359772825056)); #185621=CARTESIAN_POINT('Ctrl Pts',(0.0624749817929869,0.475741355255607, 0.334529869307809)); #185622=CARTESIAN_POINT('Ctrl Pts',(0.0624689670179363,0.475715538050691, 0.334785704734004)); #185623=CARTESIAN_POINT('Ctrl Pts',(0.0624629174374943,0.475690092800597, 0.335041892325284)); #185624=CARTESIAN_POINT('Ctrl Pts',(0.0624546395289538,0.475655400365364, 0.33538333254489)); #185625=CARTESIAN_POINT('Ctrl Pts',(0.0624437587663999,0.475609718256889, 0.335809263249311)); #185626=CARTESIAN_POINT('Ctrl Pts',(0.0624248694345067,0.475529881551587, 0.336486966949119)); #185627=CARTESIAN_POINT('Ctrl Pts',(0.06239796119057,0.475415906374237, 0.337326720252614)); #185628=CARTESIAN_POINT('Ctrl Pts',(0.0623463459927476,0.475201717056241, 0.338649030997824)); #185629=CARTESIAN_POINT('Ctrl Pts',(0.0622621636425534,0.474873703923677, 0.340255508536754)); #185630=CARTESIAN_POINT('Ctrl Pts',(0.0621196626571821,0.474389658614947, 0.342087154490847)); #185631=CARTESIAN_POINT('Ctrl Pts',(0.0619204876618061,0.473823305072231, 0.343799637514327)); #185632=CARTESIAN_POINT('Ctrl Pts',(0.0613938355986286,0.47255601446055, 0.346955817065431)); #185633=CARTESIAN_POINT('Ctrl Pts',(0.060278522697755,0.470753742704503, 0.349694085560992)); #185634=CARTESIAN_POINT('Ctrl Pts',(0.0582076459464262,0.46897409410485, 0.35118015748716)); #185635=CARTESIAN_POINT('Ctrl Pts',(0.0569794552946901,0.468291789911917, 0.351412323880896)); #185636=CARTESIAN_POINT('Ctrl Pts',(0.0563362191881465,0.468017578676757, 0.351415266092657)); #185637=CARTESIAN_POINT('Ctrl Pts',(0.0624984968767564,0.474567111143519, 0.332865712695298)); #185638=CARTESIAN_POINT('Ctrl Pts',(0.0624980494461692,0.474189612763547, 0.332869055512325)); #185639=CARTESIAN_POINT('Ctrl Pts',(0.0625243889995933,0.473751282197185, 0.332874932583006)); #185640=CARTESIAN_POINT('Ctrl Pts',(0.0626177488288236,0.472909365734742, 0.332889623189874)); #185641=CARTESIAN_POINT('Ctrl Pts',(0.0627623874259656,0.472206850633635, 0.332907435978413)); #185642=CARTESIAN_POINT('Ctrl Pts',(0.0629706942711816,0.471456325426891, 0.332931775386485)); #185643=CARTESIAN_POINT('Ctrl Pts',(0.0631109225963479,0.471065944121983, 0.332947762374379)); #185644=CARTESIAN_POINT('Ctrl Pts',(0.0631900292249817,0.470861777185299, 0.332956871568537)); #185645=CARTESIAN_POINT('Ctrl Pts',(0.0624443352512204,0.474982572076181, 0.333270361821533)); #185646=CARTESIAN_POINT('Ctrl Pts',(0.0624282332759004,0.474729210590963, 0.333273351714204)); #185647=CARTESIAN_POINT('Ctrl Pts',(0.0624187130523425,0.474433709186201, 0.333278642829233)); #185648=CARTESIAN_POINT('Ctrl Pts',(0.0624137647608032,0.473861183382065, 0.333291769214015)); #185649=CARTESIAN_POINT('Ctrl Pts',(0.0624324222813944,0.473374394086331, 0.33330771625529)); #185650=CARTESIAN_POINT('Ctrl Pts',(0.0624700489440619,0.472842073477131, 0.33332936692139)); #185651=CARTESIAN_POINT('Ctrl Pts',(0.0625016741832777,0.472557866660527, 0.333343645421217)); #185652=CARTESIAN_POINT('Ctrl Pts',(0.0625198168927258,0.472407236687029, 0.333351721422463)); #185653=CARTESIAN_POINT('Ctrl Pts',(0.0624407449164108,0.475398026561892, 0.333681773440869)); #185654=CARTESIAN_POINT('Ctrl Pts',(0.0624246276410646,0.475270688501676, 0.333686516542149)); #185655=CARTESIAN_POINT('Ctrl Pts',(0.0624060612694574,0.475122732628036, 0.333694888875944)); #185656=CARTESIAN_POINT('Ctrl Pts',(0.0623690539411549,0.47483692997326, 0.333715747912193)); #185657=CARTESIAN_POINT('Ctrl Pts',(0.062337706435072,0.474595229539251, 0.333741071359672)); #185658=CARTESIAN_POINT('Ctrl Pts',(0.0623019788632125,0.474331822644575, 0.333775566960843)); #185659=CARTESIAN_POINT('Ctrl Pts',(0.0622834213279832,0.474191685298973, 0.333798267322943)); #185660=CARTESIAN_POINT('Ctrl Pts',(0.0622729469086764,0.474117471222619, 0.333811154896407)); #185661=CARTESIAN_POINT('Ctrl Pts',(0.0624877804385521,0.475807160486817, 0.334093694883312)); #185662=CARTESIAN_POINT('Ctrl Pts',(0.06248730408822,0.475803878614109, 0.334100351572678)); #185663=CARTESIAN_POINT('Ctrl Pts',(0.0624867027880156,0.475800017660474, 0.334112071354922)); #185664=CARTESIAN_POINT('Ctrl Pts',(0.0624854514839549,0.475792404263279, 0.334141384329261)); #185665=CARTESIAN_POINT('Ctrl Pts',(0.0624842412668572,0.475785660992371, 0.334176948715681)); #185666=CARTESIAN_POINT('Ctrl Pts',(0.0624827634312945,0.475777882716018, 0.334225556368423)); #185667=CARTESIAN_POINT('Ctrl Pts',(0.0624818766788849,0.475773461872967, 0.334257489571056)); #185668=CARTESIAN_POINT('Ctrl Pts',(0.0624813834296687,0.475771043564165, 0.33427568560253)); #185669=CARTESIAN_POINT('',(0.0624984968767564,0.474567111143778,0.332865712695298)); #185670=CARTESIAN_POINT('Ctrl Pts',(0.0631900292249817,0.470861777185299, 0.332956871568537)); #185671=CARTESIAN_POINT('Ctrl Pts',(0.0631109225963479,0.471065944121983, 0.332947762374379)); #185672=CARTESIAN_POINT('Ctrl Pts',(0.0629706942711816,0.471456325426891, 0.332931775386485)); #185673=CARTESIAN_POINT('Ctrl Pts',(0.0627623874259656,0.472206850633635, 0.332907435978413)); #185674=CARTESIAN_POINT('Ctrl Pts',(0.0626177488288236,0.472909365734742, 0.332889623189874)); #185675=CARTESIAN_POINT('Ctrl Pts',(0.0625243889995933,0.473751282197185, 0.332874932583006)); #185676=CARTESIAN_POINT('Ctrl Pts',(0.0624980494461692,0.474189612763547, 0.332869055512325)); #185677=CARTESIAN_POINT('Ctrl Pts',(0.0624984968767564,0.474567111143519, 0.332865712695298)); #185678=CARTESIAN_POINT('',(0.0624877804385521,0.475807160486817,0.334093694883311)); #185679=CARTESIAN_POINT('Origin',(0.0724101058594624,0.474567111144028, 0.334192365390596)); #185680=CARTESIAN_POINT('Ctrl Pts',(0.0624877804385521,0.475807160486817, 0.334093694883312)); #185681=CARTESIAN_POINT('Ctrl Pts',(0.06248730408822,0.475803878614109, 0.334100351572678)); #185682=CARTESIAN_POINT('Ctrl Pts',(0.0624867027880156,0.475800017660474, 0.334112071354922)); #185683=CARTESIAN_POINT('Ctrl Pts',(0.0624854514839549,0.475792404263279, 0.334141384329261)); #185684=CARTESIAN_POINT('Ctrl Pts',(0.0624842412668572,0.475785660992371, 0.334176948715681)); #185685=CARTESIAN_POINT('Ctrl Pts',(0.0624827634312945,0.475777882716018, 0.334225556368423)); #185686=CARTESIAN_POINT('Ctrl Pts',(0.0624818766788849,0.475773461872967, 0.334257489571056)); #185687=CARTESIAN_POINT('Ctrl Pts',(0.0624813834296687,0.475771043564165, 0.33427568560253)); #185688=CARTESIAN_POINT('Ctrl Pts',(0.062586507124692,0.47702328495875, 0.331626325354987)); #185689=CARTESIAN_POINT('Ctrl Pts',(0.0625863435462268,0.476986158486003, 0.331645069590495)); #185690=CARTESIAN_POINT('Ctrl Pts',(0.0625861272606923,0.476949031867831, 0.331663813439253)); #185691=CARTESIAN_POINT('Ctrl Pts',(0.0625801895271934,0.476129478821284, 0.332077565136137)); #185692=CARTESIAN_POINT('Ctrl Pts',(0.0625511064325761,0.475346477478144, 0.332472659300172)); #185693=CARTESIAN_POINT('Ctrl Pts',(0.0624984968767563,0.474567111144028, 0.332865712695298)); #185694=CARTESIAN_POINT('Ctrl Pts',(0.062586507124692,0.47702328495875, 0.331626325354987)); #185695=CARTESIAN_POINT('Ctrl Pts',(0.0625862905356429,0.476992406528284, 0.331651256815788)); #185696=CARTESIAN_POINT('Ctrl Pts',(0.0625859832755598,0.476961527905053, 0.331676187582292)); #185697=CARTESIAN_POINT('Ctrl Pts',(0.0625773390952456,0.476279902368728, 0.332226497830059)); #185698=CARTESIAN_POINT('Ctrl Pts',(0.0625301049914018,0.475628761380719, 0.33275175028591)); #185699=CARTESIAN_POINT('Ctrl Pts',(0.0624443352512414,0.474982572076521, 0.333270361821534)); #185700=CARTESIAN_POINT('Ctrl Pts',(0.062586507124692,0.477023284958751, 0.331626325354988)); #185701=CARTESIAN_POINT('Ctrl Pts',(0.0625862365408225,0.476998654525319, 0.331657444009366)); #185702=CARTESIAN_POINT('Ctrl Pts',(0.0625858752850764,0.476974023996514, 0.331688562066009)); #185703=CARTESIAN_POINT('Ctrl Pts',(0.0625760391513015,0.47643032504856, 0.332375456651844)); #185704=CARTESIAN_POINT('Ctrl Pts',(0.0625276654870516,0.475911047938725, 0.333031289796394)); #185705=CARTESIAN_POINT('Ctrl Pts',(0.0624407449164319,0.47539802656206, 0.33368177344087)); #185706=CARTESIAN_POINT('Ctrl Pts',(0.062586507124692,0.477023284958751, 0.331626325354989)); #185707=CARTESIAN_POINT('Ctrl Pts',(0.0625861815611713,0.477004902545924, 0.331663631239355)); #185708=CARTESIAN_POINT('Ctrl Pts',(0.0625858032899448,0.476986520060887, 0.331700936809888)); #185709=CARTESIAN_POINT('Ctrl Pts',(0.0625762897966457,0.476580735141038, 0.33252442999546)); #185710=CARTESIAN_POINT('Ctrl Pts',(0.0625437904187179,0.47619304795834, 0.333310991452511)); #185711=CARTESIAN_POINT('Ctrl Pts',(0.0624877804385521,0.475807160486817, 0.334093694883311)); #185712=CARTESIAN_POINT('',(0.062586507124692,0.477023284958796,0.331626325354984)); #185713=CARTESIAN_POINT('Ctrl Pts',(0.0624984968767563,0.474567111144028, 0.332865712695298)); #185714=CARTESIAN_POINT('Ctrl Pts',(0.0625511064325761,0.475346477478144, 0.332472659300172)); #185715=CARTESIAN_POINT('Ctrl Pts',(0.0625801895271934,0.476129478821284, 0.332077565136137)); #185716=CARTESIAN_POINT('Ctrl Pts',(0.0625861272606923,0.476949031867831, 0.331663813439253)); #185717=CARTESIAN_POINT('Ctrl Pts',(0.0625863435462268,0.476986158486003, 0.331645069590495)); #185718=CARTESIAN_POINT('Ctrl Pts',(0.062586507124692,0.47702328495875, 0.331626325354987)); #185719=CARTESIAN_POINT('Ctrl Pts',(0.062586507124692,0.477023284958751, 0.331626325354989)); #185720=CARTESIAN_POINT('Ctrl Pts',(0.0625861815611713,0.477004902545924, 0.331663631239355)); #185721=CARTESIAN_POINT('Ctrl Pts',(0.0625858032899448,0.476986520060887, 0.331700936809888)); #185722=CARTESIAN_POINT('Ctrl Pts',(0.0625762897966457,0.476580735141038, 0.33252442999546)); #185723=CARTESIAN_POINT('Ctrl Pts',(0.0625437904187179,0.47619304795834, 0.333310991452511)); #185724=CARTESIAN_POINT('Ctrl Pts',(0.0624877804385521,0.475807160486817, 0.334093694883311)); #185725=CARTESIAN_POINT('Origin',(0.0525868878940503,0.232912939743587, 0.33153906)); #185726=CARTESIAN_POINT('',(0.062586507124692,0.474567111144037,0.331626325354984)); #185727=CARTESIAN_POINT('Origin',(0.0525868878940504,0.474567111144037, 0.33153906)); #185728=CARTESIAN_POINT('',(0.062586507124692,0.232912939743587,0.331626325354984)); #185729=CARTESIAN_POINT('Ctrl Pts',(0.0632834570297153,0.470898618546886, 0.331647727601356)); #185730=CARTESIAN_POINT('Ctrl Pts',(0.0628242315419822,0.472063330644789, 0.33164300859656)); #185731=CARTESIAN_POINT('Ctrl Pts',(0.0625864252633371,0.473315083275294, 0.33163570573703)); #185732=CARTESIAN_POINT('Ctrl Pts',(0.0625865071246921,0.474567111144045, 0.331626325354984)); #185733=CARTESIAN_POINT('Ctrl Pts',(0.0632790294270589,0.470896872611499, 0.332085680219391)); #185734=CARTESIAN_POINT('Ctrl Pts',(0.0628197766968301,0.472062213314159, 0.332081095951996)); #185735=CARTESIAN_POINT('Ctrl Pts',(0.0625821888567483,0.473314605240479, 0.332074001496768)); #185736=CARTESIAN_POINT('Ctrl Pts',(0.0625826798458641,0.474567111144045, 0.332064888069555)); #185737=CARTESIAN_POINT('Ctrl Pts',(0.0632477971906744,0.470884556811967, 0.332523317536901)); #185738=CARTESIAN_POINT('Ctrl Pts',(0.0627871770585381,0.472053876666813, 0.33251888141534)); #185739=CARTESIAN_POINT('Ctrl Pts',(0.0625490942447379,0.473310508845354, 0.332512016118891)); #185740=CARTESIAN_POINT('Ctrl Pts',(0.0625499593488038,0.474567111144045, 0.332503196447892)); #185741=CARTESIAN_POINT('Ctrl Pts',(0.0631900292249817,0.470861777185299, 0.332956871568537)); #185742=CARTESIAN_POINT('Ctrl Pts',(0.0627267134686794,0.472038392538932, 0.332952593450902)); #185743=CARTESIAN_POINT('Ctrl Pts',(0.0624874268088584,0.473302829460975, 0.332945972575351)); #185744=CARTESIAN_POINT('Ctrl Pts',(0.0624886281232098,0.474567111144045, 0.332937466390948)); #185745=CARTESIAN_POINT('',(0.0632834570297153,0.470898618546886,0.331647727601356)); #185746=CARTESIAN_POINT('Origin',(0.0539811612532458,0.467230446708014, 0.33153906)); #185747=CARTESIAN_POINT('Ctrl Pts',(0.0632834570297153,0.470898618546886, 0.331647727601356)); #185748=CARTESIAN_POINT('Ctrl Pts',(0.0628242315419822,0.472063330644789, 0.33164300859656)); #185749=CARTESIAN_POINT('Ctrl Pts',(0.0625864252633371,0.473315083275294, 0.33163570573703)); #185750=CARTESIAN_POINT('Ctrl Pts',(0.0625865071246921,0.474567111144045, 0.331626325354984)); #185751=CARTESIAN_POINT('Ctrl Pts',(0.0539811612532458,0.467230446708014, 0.34153906)); #185752=CARTESIAN_POINT('Ctrl Pts',(0.0556889424685339,0.462899601075931, 0.34153906)); #185753=CARTESIAN_POINT('Ctrl Pts',(0.0622524209973611,0.455508108610409, 0.34153906)); #185754=CARTESIAN_POINT('Ctrl Pts',(0.072028354695884,0.454040790866234, 0.34153906)); #185755=CARTESIAN_POINT('Ctrl Pts',(0.0765896150261834,0.454972582820396, 0.34153906)); #185756=CARTESIAN_POINT('Ctrl Pts',(0.0563997914328759,0.468184184508527, 0.34153906)); #185757=CARTESIAN_POINT('Ctrl Pts',(0.0578838375338868,0.464416698868585, 0.341539013267959)); #185758=CARTESIAN_POINT('Ctrl Pts',(0.0635967349382791,0.457984929287427, 0.341538878687516)); #185759=CARTESIAN_POINT('Ctrl Pts',(0.0721028623164901,0.456714542289521, 0.341538934105743)); #185760=CARTESIAN_POINT('Ctrl Pts',(0.0760674893867029,0.457528465553831, 0.34153906)); #185761=CARTESIAN_POINT('Ctrl Pts',(0.0612161261878318,0.470083408521528, 0.339401189670849)); #185762=CARTESIAN_POINT('Ctrl Pts',(0.0622564371378494,0.46743350905942, 0.33940373397702)); #185763=CARTESIAN_POINT('Ctrl Pts',(0.0662706862644337,0.462911951486046, 0.339403500439491)); #185764=CARTESIAN_POINT('Ctrl Pts',(0.0722465558460545,0.462037929450996, 0.339392760465113)); #185765=CARTESIAN_POINT('Ctrl Pts',(0.0750278211590219,0.462617796302413, 0.339385950076202)); #185766=CARTESIAN_POINT('Ctrl Pts',(0.0632571743556966,0.470888254506976, 0.334247456345988)); #185767=CARTESIAN_POINT('Ctrl Pts',(0.0641095170028274,0.468720185036774, 0.334254747727483)); #185768=CARTESIAN_POINT('Ctrl Pts',(0.0674080616500552,0.465012852468826, 0.33425397904963)); #185769=CARTESIAN_POINT('Ctrl Pts',(0.0723128354813167,0.464283897607047, 0.334223110869211)); #185770=CARTESIAN_POINT('Ctrl Pts',(0.0745910653352196,0.464755781079208, 0.334203639271105)); #185771=CARTESIAN_POINT('Ctrl Pts',(0.0632834570297153,0.470898618546886, 0.331647727601356)); #185772=CARTESIAN_POINT('Ctrl Pts',(0.0641372710695674,0.468733129983777, 0.331656501401279)); #185773=CARTESIAN_POINT('Ctrl Pts',(0.0674190185794146,0.465037390831206, 0.331655556568168)); #185774=CARTESIAN_POINT('Ctrl Pts',(0.0723073096676219,0.464304010375869, 0.331618402465037)); #185775=CARTESIAN_POINT('Ctrl Pts',(0.0745881439623667,0.464770081634224, 0.331595011530283)); #185776=CARTESIAN_POINT('',(0.0695623621383132,0.454797781641215,0.34153906)); #185777=CARTESIAN_POINT('Ctrl Pts',(0.0602674795434766,0.458825131459072, 0.34153906)); #185778=CARTESIAN_POINT('Ctrl Pts',(0.0611436236229493,0.458135828048592, 0.34153906)); #185779=CARTESIAN_POINT('Ctrl Pts',(0.0639996630848293,0.456250326801795, 0.34153906)); #185780=CARTESIAN_POINT('Ctrl Pts',(0.0672880942126322,0.455162210620834, 0.34153906)); #185781=CARTESIAN_POINT('Ctrl Pts',(0.069562182632573,0.454813304042184, 0.34153906)); #185782=CARTESIAN_POINT('',(0.0710742442468235,0.464682446392626,0.331626325354984)); #185783=CARTESIAN_POINT('Origin',(0.0695623621383132,0.454797781641215, 0.33153906)); #185784=CARTESIAN_POINT('Ctrl Pts',(0.0710741549946083,0.46469020802301, 0.331626267985611)); #185785=CARTESIAN_POINT('Ctrl Pts',(0.0699370356075762,0.464864596759498, 0.331634882423625)); #185786=CARTESIAN_POINT('Ctrl Pts',(0.0665888711829468,0.465972261117943, 0.331655795572158)); #185787=CARTESIAN_POINT('Ctrl Pts',(0.0641372710695674,0.468733129983777, 0.331656501401279)); #185788=CARTESIAN_POINT('Ctrl Pts',(0.0632834570297153,0.470898618546886, 0.331647727601356)); #185789=CARTESIAN_POINT('Origin',(-5.36149483283556E-17,-1.22046037579238E-17, 0.34153906)); #185790=CARTESIAN_POINT('',(-0.0695623621383132,0.454797781641215,0.34153906)); #185791=CARTESIAN_POINT('Ctrl Pts',(-0.0695615503069183,0.454800563800298, 0.34153906)); #185792=CARTESIAN_POINT('Ctrl Pts',(-0.0672561626110605,0.455154970475916, 0.34153906)); #185793=CARTESIAN_POINT('Ctrl Pts',(-0.0639672138854358,0.456260673943969, 0.34153906)); #185794=CARTESIAN_POINT('Ctrl Pts',(-0.0611161248173112,0.458155187403452, 0.34153906)); #185795=CARTESIAN_POINT('Ctrl Pts',(-0.0602645124487766,0.458825849207256, 0.34153906)); #185796=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #185797=CARTESIAN_POINT('',(-0.371287737696054,-0.0603307506360262,0.34153906)); #185798=CARTESIAN_POINT('',(-0.380413873438692,-0.0801292744072694,0.34153906)); #185799=CARTESIAN_POINT('Ctrl Pts',(-0.371287737695628,-0.0603307506360702, 0.34153906)); #185800=CARTESIAN_POINT('Ctrl Pts',(-0.374253870935854,-0.0618698947701705, 0.34153906)); #185801=CARTESIAN_POINT('Ctrl Pts',(-0.380042659676328,-0.06756341333791, 0.34153906)); #185802=CARTESIAN_POINT('Ctrl Pts',(-0.381332234270878,-0.0757693547155252, 0.34153906)); #185803=CARTESIAN_POINT('Ctrl Pts',(-0.380413873438692,-0.0801292744072694, 0.34153906)); #185804=CARTESIAN_POINT('',(-0.371287737695806,0.0603307506359844,0.34153906)); #185805=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #185806=CARTESIAN_POINT('',(-0.380413873530835,0.0801292739698212,0.34153906)); #185807=CARTESIAN_POINT('Ctrl Pts',(-0.380413873598045,0.0801292736507415, 0.34153906)); #185808=CARTESIAN_POINT('Ctrl Pts',(-0.381102642859498,0.0768593396662727, 0.34153906)); #185809=CARTESIAN_POINT('Ctrl Pts',(-0.380586372991849,0.0687886991879683, 0.34153906)); #185810=CARTESIAN_POINT('Ctrl Pts',(-0.375242574984561,0.0623829391661428, 0.34153906)); #185811=CARTESIAN_POINT('Ctrl Pts',(-0.371287737695309,0.0603307506359038, 0.34153906)); #185812=CARTESIAN_POINT('',(0.380413873438692,0.0801292744072691,0.34153906)); #185813=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #185814=CARTESIAN_POINT('',(0.371287737696054,0.060330750636026,0.34153906)); #185815=CARTESIAN_POINT('Ctrl Pts',(0.371287737695628,0.0603307506360699, 0.34153906)); #185816=CARTESIAN_POINT('Ctrl Pts',(0.374253870935854,0.0618698947701702, 0.34153906)); #185817=CARTESIAN_POINT('Ctrl Pts',(0.380042659676326,0.0675634133379088, 0.34153906)); #185818=CARTESIAN_POINT('Ctrl Pts',(0.381332234270878,0.0757693547155249, 0.34153906)); #185819=CARTESIAN_POINT('Ctrl Pts',(0.380413873438692,0.0801292744072691, 0.34153906)); #185820=CARTESIAN_POINT('',(0.371287737695806,-0.0603307506359854,0.34153906)); #185821=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #185822=CARTESIAN_POINT('',(0.380413873530833,-0.0801292739698322,0.34153906)); #185823=CARTESIAN_POINT('Ctrl Pts',(0.380413873598041,-0.0801292736507599, 0.34153906)); #185824=CARTESIAN_POINT('Ctrl Pts',(0.381102642859498,-0.0768593396662893, 0.34153906)); #185825=CARTESIAN_POINT('Ctrl Pts',(0.380586372991855,-0.0687886991879788, 0.34153906)); #185826=CARTESIAN_POINT('Ctrl Pts',(0.375242574984564,-0.0623829391661455, 0.34153906)); #185827=CARTESIAN_POINT('Ctrl Pts',(0.371287737695309,-0.0603307506359048, 0.34153906)); #185828=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #185829=CARTESIAN_POINT('Ctrl Pts',(-0.0729622389843684,0.454571390082941, 0.34153906)); #185830=CARTESIAN_POINT('Ctrl Pts',(-0.0694944897177168,0.454506205927908, 0.34153906)); #185831=CARTESIAN_POINT('Ctrl Pts',(-0.0613572367022336,0.456503626999849, 0.34153906)); #185832=CARTESIAN_POINT('Ctrl Pts',(-0.0556775742884112,0.462928430198062, 0.34153906)); #185833=CARTESIAN_POINT('Ctrl Pts',(-0.0539811612532457,0.467230446708014, 0.34153906)); #185834=CARTESIAN_POINT('Ctrl Pts',(-0.0729132661262725,0.457176710235684, 0.34153906)); #185835=CARTESIAN_POINT('Ctrl Pts',(-0.0698980742380085,0.457117447837613, 0.341538977540111)); #185836=CARTESIAN_POINT('Ctrl Pts',(-0.0628179577188556,0.458850623776589, 0.341538895044322)); #185837=CARTESIAN_POINT('Ctrl Pts',(-0.05787395869037,0.464441777874596, 0.34153901357904)); #185838=CARTESIAN_POINT('Ctrl Pts',(-0.0563997914328757,0.468184184508527, 0.34153906)); #185839=CARTESIAN_POINT('Ctrl Pts',(-0.0728157480267678,0.462364601646871, 0.339390978039208)); #185840=CARTESIAN_POINT('Ctrl Pts',(-0.07069823035275,0.462317262401412, 0.339395448210489)); #185841=CARTESIAN_POINT('Ctrl Pts',(-0.0657218530338201,0.463522134825477, 0.339403557610832)); #185842=CARTESIAN_POINT('Ctrl Pts',(-0.0622495227767794,0.467451152842652, 0.339403728638007)); #185843=CARTESIAN_POINT('Ctrl Pts',(-0.0612161368603419,0.470083412730016, 0.339401201268508)); #185844=CARTESIAN_POINT('Ctrl Pts',(-0.0727746635902492,0.464550263554052, 0.334218050697668)); #185845=CARTESIAN_POINT('Ctrl Pts',(-0.0710409681452274,0.464513485285393, 0.334230841168415)); #185846=CARTESIAN_POINT('Ctrl Pts',(-0.0669605637059478,0.465509285680148, 0.334254122523475)); #185847=CARTESIAN_POINT('Ctrl Pts',(-0.0641038432170682,0.468734617215811, 0.334254699190975)); #185848=CARTESIAN_POINT('Ctrl Pts',(-0.0632571743556964,0.470888254506976, 0.334247456345988)); #185849=CARTESIAN_POINT('Ctrl Pts',(-0.0727743047158026,0.464569355411553, 0.331612340274135)); #185850=CARTESIAN_POINT('Ctrl Pts',(-0.0710402959197335,0.464536648131586, 0.331627713801787)); #185851=CARTESIAN_POINT('Ctrl Pts',(-0.066971424895411,0.465535148706611, 0.331655732626727)); #185852=CARTESIAN_POINT('Ctrl Pts',(-0.0641315874891944,0.4687475449825, 0.331656442996761)); #185853=CARTESIAN_POINT('Ctrl Pts',(-0.0632834570297151,0.470898618546886, 0.331647727601356)); #185854=CARTESIAN_POINT('',(-0.0632834570297151,0.470898618546886,0.331647727601356)); #185855=CARTESIAN_POINT('Origin',(-0.0539811612532457,0.467230446708014, 0.33153906)); #185856=CARTESIAN_POINT('',(-0.0710742442468234,0.464682446392626,0.331626325354983)); #185857=CARTESIAN_POINT('Ctrl Pts',(-0.0632834570297151,0.470898618546886, 0.331647727601356)); #185858=CARTESIAN_POINT('Ctrl Pts',(-0.0641315874891944,0.4687475449825, 0.331656442996761)); #185859=CARTESIAN_POINT('Ctrl Pts',(-0.0665723780892829,0.465986546547595, 0.331655832446137)); #185860=CARTESIAN_POINT('Ctrl Pts',(-0.0699210683543095,0.464860975439828, 0.331635048861436)); #185861=CARTESIAN_POINT('Ctrl Pts',(-0.0710738383911763,0.46468383752373, 0.331626318473893)); #185862=CARTESIAN_POINT('Origin',(-0.0695623621383132,0.454797781641215, 0.33153906)); #185863=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246919,0.474567111144037, 0.331626325354984)); #185864=CARTESIAN_POINT('Ctrl Pts',(-0.0625864252633379,0.473315083275288, 0.331635705737031)); #185865=CARTESIAN_POINT('Ctrl Pts',(-0.062824231541983,0.472063330644786, 0.33164300859656)); #185866=CARTESIAN_POINT('Ctrl Pts',(-0.0632834570297151,0.470898618546886, 0.331647727601356)); #185867=CARTESIAN_POINT('Ctrl Pts',(-0.0625826798458639,0.474567111144037, 0.332064888069555)); #185868=CARTESIAN_POINT('Ctrl Pts',(-0.0625821888567491,0.473314605240473, 0.332074001496768)); #185869=CARTESIAN_POINT('Ctrl Pts',(-0.0628197766968309,0.472062213314157, 0.332081095951996)); #185870=CARTESIAN_POINT('Ctrl Pts',(-0.0632790294270588,0.470896872611499, 0.332085680219391)); #185871=CARTESIAN_POINT('Ctrl Pts',(-0.0625499593488035,0.474567111144037, 0.332503196447892)); #185872=CARTESIAN_POINT('Ctrl Pts',(-0.0625490942447387,0.473310508845348, 0.332512016118892)); #185873=CARTESIAN_POINT('Ctrl Pts',(-0.0627871770585389,0.472053876666811, 0.332518881415341)); #185874=CARTESIAN_POINT('Ctrl Pts',(-0.0632477971906743,0.470884556811967, 0.332523317536901)); #185875=CARTESIAN_POINT('Ctrl Pts',(-0.0624886281232096,0.474567111144037, 0.332937466390948)); #185876=CARTESIAN_POINT('Ctrl Pts',(-0.0624874268088592,0.47330282946097, 0.332945972575351)); #185877=CARTESIAN_POINT('Ctrl Pts',(-0.0627267134686802,0.472038392538929, 0.332952593450902)); #185878=CARTESIAN_POINT('Ctrl Pts',(-0.0631900292249815,0.470861777185299, 0.332956871568538)); #185879=CARTESIAN_POINT('',(-0.0625865071246919,0.474567111144037,0.331626325354983)); #185880=CARTESIAN_POINT('Origin',(-0.0525868878940502,0.474567111144037, 0.33153906)); #185881=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246919,0.474567111144037, 0.331626325354984)); #185882=CARTESIAN_POINT('Ctrl Pts',(-0.0625864252633379,0.473315083275288, 0.331635705737031)); #185883=CARTESIAN_POINT('Ctrl Pts',(-0.062824231541983,0.472063330644786, 0.33164300859656)); #185884=CARTESIAN_POINT('Ctrl Pts',(-0.0632834570297151,0.470898618546886, 0.331647727601356)); #185885=CARTESIAN_POINT('Origin',(-0.0525868878940502,0.278250673853631, 0.33153906)); #185886=CARTESIAN_POINT('',(-0.0625865071246919,0.278250673853631,0.331626325354984)); #185887=CARTESIAN_POINT('Ctrl Pts',(0.268983659335907,0.0640025574751706, 0.311664034791396)); #185888=CARTESIAN_POINT('Ctrl Pts',(0.272595111821822,0.0681738707098273, 0.309842138503492)); #185889=CARTESIAN_POINT('Ctrl Pts',(0.280226475014021,0.0699841566867576, 0.305992287755175)); #185890=CARTESIAN_POINT('Ctrl Pts',(0.287095778258658,0.0699999776481339, 0.302526878531827)); #185891=CARTESIAN_POINT('Ctrl Pts',(0.287122488065495,0.0699999999999962, 0.302513404034194)); #185892=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,0.0699999999999962, 0.302500000000001)); #185893=CARTESIAN_POINT('Ctrl Pts',(0.271466493185408,0.0630999473047847, 0.312058266062543)); #185894=CARTESIAN_POINT('Ctrl Pts',(0.274122599582812,0.0668543419267375, 0.310929968144396)); #185895=CARTESIAN_POINT('Ctrl Pts',(0.280874871747571,0.0700441096193314, 0.306689580340937)); #185896=CARTESIAN_POINT('Ctrl Pts',(0.287100943344443,0.0699997909331296, 0.302531849502582)); #185897=CARTESIAN_POINT('Ctrl Pts',(0.287125041113405,0.0699999999999962, 0.302515934422268)); #185898=CARTESIAN_POINT('Ctrl Pts',(0.28714905819615,0.0699999999999962, 0.302500000000002)); #185899=CARTESIAN_POINT('Ctrl Pts',(0.275782925466951,0.062321443618626, 0.31455396921953)); #185900=CARTESIAN_POINT('Ctrl Pts',(0.276835609983995,0.065766718383341, 0.313297910580095)); #185901=CARTESIAN_POINT('Ctrl Pts',(0.282010886767473,0.0700962150497352, 0.307845307158508)); #185902=CARTESIAN_POINT('Ctrl Pts',(0.287109978976204,0.069999631135814, 0.302540723133937)); #185903=CARTESIAN_POINT('Ctrl Pts',(0.287129508947247,0.0699999999999962, 0.302520362601397)); #185904=CARTESIAN_POINT('Ctrl Pts',(0.28714905819615,0.0699999999999962, 0.302500000000002)); #185905=CARTESIAN_POINT('Ctrl Pts',(0.277835067834856,0.0633255998473815, 0.318886958724527)); #185906=CARTESIAN_POINT('Ctrl Pts',(0.279121938709094,0.0671838966238745, 0.315970433523276)); #185907=CARTESIAN_POINT('Ctrl Pts',(0.283192239153123,0.0700272832703389, 0.308971021021952)); #185908=CARTESIAN_POINT('Ctrl Pts',(0.287118890796095,0.0699998424892294, 0.302549679085933)); #185909=CARTESIAN_POINT('Ctrl Pts',(0.287133976781089,0.0699999999999962, 0.302524790780527)); #185910=CARTESIAN_POINT('Ctrl Pts',(0.28714905819615,0.0699999999999962, 0.302500000000002)); #185911=CARTESIAN_POINT('Ctrl Pts',(0.278147329972226,0.0640025574751711, 0.320746374252579)); #185912=CARTESIAN_POINT('Ctrl Pts',(0.27993695856428,0.0681738707098277, 0.317118823094088)); #185913=CARTESIAN_POINT('Ctrl Pts',(0.283718623987932,0.069984156686757, 0.309453441785228)); #185914=CARTESIAN_POINT('Ctrl Pts',(0.287122655722348,0.0699999776481339, 0.30255351744197)); #185915=CARTESIAN_POINT('Ctrl Pts',(0.287135891567021,0.0699999999999962, 0.302526688571583)); #185916=CARTESIAN_POINT('Ctrl Pts',(0.28714905819615,0.0699999999999962, 0.302500000000002)); #185917=CARTESIAN_POINT('',(0.278147329972226,0.0640025574751712,0.320746374252579)); #185918=CARTESIAN_POINT('',(0.287149058196149,0.0699999999999962,0.3025)); #185919=CARTESIAN_POINT('Ctrl Pts',(0.278147329972226,0.0640025574751711, 0.320746374252579)); #185920=CARTESIAN_POINT('Ctrl Pts',(0.27993695856428,0.0681738707098277, 0.317118823094088)); #185921=CARTESIAN_POINT('Ctrl Pts',(0.283718623987932,0.069984156686757, 0.309453441785228)); #185922=CARTESIAN_POINT('Ctrl Pts',(0.287122655722348,0.0699999776481339, 0.30255351744197)); #185923=CARTESIAN_POINT('Ctrl Pts',(0.287135891567021,0.0699999999999962, 0.302526688571583)); #185924=CARTESIAN_POINT('Ctrl Pts',(0.28714905819615,0.0699999999999962, 0.302500000000002)); #185925=CARTESIAN_POINT('',(0.268983659335907,0.0640025574751706,0.311664034791396)); #185926=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,0.0699999999999962, 0.302500000000001)); #185927=CARTESIAN_POINT('Ctrl Pts',(0.287122488065495,0.0699999999999962, 0.302513404034194)); #185928=CARTESIAN_POINT('Ctrl Pts',(0.287095778258658,0.0699999776481339, 0.302526878531827)); #185929=CARTESIAN_POINT('Ctrl Pts',(0.280226475014021,0.0699841566867576, 0.305992287755175)); #185930=CARTESIAN_POINT('Ctrl Pts',(0.272595111821822,0.0681738707098273, 0.309842138503492)); #185931=CARTESIAN_POINT('Ctrl Pts',(0.268983659335907,0.0640025574751706, 0.311664034791396)); #185932=CARTESIAN_POINT('Origin',(0.268983659335907,0.0680051149503461, 0.320828069582792)); #185933=CARTESIAN_POINT('Ctrl Pts',(0.278147329972226,0.0640025574751707, 0.32074637425258)); #185934=CARTESIAN_POINT('Ctrl Pts',(0.277788685164898,0.0631666187569403, 0.321473342067068)); #185935=CARTESIAN_POINT('Ctrl Pts',(0.277528801284548,0.0622425449557698, 0.322015357247866)); #185936=CARTESIAN_POINT('Ctrl Pts',(0.277406623925416,0.0612765848732459, 0.322257399210851)); #185937=CARTESIAN_POINT('Ctrl Pts',(0.277783024145295,0.0632127735760829, 0.318577056136518)); #185938=CARTESIAN_POINT('Ctrl Pts',(0.277527260783958,0.0624107467308765, 0.319102246767027)); #185939=CARTESIAN_POINT('Ctrl Pts',(0.277402189439431,0.0616834091959137, 0.319494552636892)); #185940=CARTESIAN_POINT('Ctrl Pts',(0.277342937535554,0.0609603202972063, 0.319679994404055)); #185941=CARTESIAN_POINT('Ctrl Pts',(0.275489747518855,0.0623215863897284, 0.314263720221685)); #185942=CARTESIAN_POINT('Ctrl Pts',(0.275141675833324,0.0615448741172814, 0.314396554368604)); #185943=CARTESIAN_POINT('Ctrl Pts',(0.275108228624054,0.0610505405408315, 0.314489722242271)); #185944=CARTESIAN_POINT('Ctrl Pts',(0.275100891516907,0.060602651857614, 0.314565227328845)); #185945=CARTESIAN_POINT('Ctrl Pts',(0.27115613895422,0.0632127735760826, 0.31200898715365)); #185946=CARTESIAN_POINT('Ctrl Pts',(0.270339296544918,0.0624107467308762, 0.312197034768246)); #185947=CARTESIAN_POINT('Ctrl Pts',(0.270039911863443,0.0617130817893236, 0.312349728524761)); #185948=CARTESIAN_POINT('Ctrl Pts',(0.269942271068673,0.06099232830262, 0.312431609126046)); #185949=CARTESIAN_POINT('Ctrl Pts',(0.268983659335907,0.0640025574751703, 0.311664034791396)); #185950=CARTESIAN_POINT('Ctrl Pts',(0.267954871683856,0.0631666187569398, 0.312029146103346)); #185951=CARTESIAN_POINT('Ctrl Pts',(0.267519518133375,0.0622828983010368, 0.312301333555998)); #185952=CARTESIAN_POINT('Ctrl Pts',(0.267365520800969,0.0613200128110681, 0.312422908710852)); #185953=CARTESIAN_POINT('',(0.267365520800969,0.0613200128110681,0.312422908710852)); #185954=CARTESIAN_POINT('',(0.277406623925416,0.0612765848732459,0.322257399210851)); #185955=CARTESIAN_POINT('Origin',(0.267488836204287,0.0625531697464956, 0.322345817421705)); #185956=CARTESIAN_POINT('Ctrl Pts',(0.277406623925416,0.0612765848732459, 0.322257399210851)); #185957=CARTESIAN_POINT('Ctrl Pts',(0.277528801284548,0.0622425449557698, 0.322015357247866)); #185958=CARTESIAN_POINT('Ctrl Pts',(0.277788685164898,0.0631666187569403, 0.321473342067068)); #185959=CARTESIAN_POINT('Ctrl Pts',(0.278147329972226,0.0640025574751707, 0.32074637425258)); #185960=CARTESIAN_POINT('Ctrl Pts',(0.268983659335907,0.0640025574751703, 0.311664034791396)); #185961=CARTESIAN_POINT('Ctrl Pts',(0.267954871683856,0.0631666187569398, 0.312029146103346)); #185962=CARTESIAN_POINT('Ctrl Pts',(0.267519518133375,0.0622828983010368, 0.312301333555998)); #185963=CARTESIAN_POINT('Ctrl Pts',(0.267365520800969,0.0613200128110681, 0.312422908710852)); #185964=CARTESIAN_POINT('Ctrl Pts',(0.277406623925416,0.0612765848732459, 0.322257399210852)); #185965=CARTESIAN_POINT('Ctrl Pts',(0.277354918084836,0.0608684535150386, 0.322350216824925)); #185966=CARTESIAN_POINT('Ctrl Pts',(0.277327850073466,0.0604537891513949, 0.32239955974274)); #185967=CARTESIAN_POINT('Ctrl Pts',(0.277326230197005,0.0600391506316433, 0.322401190445475)); #185968=CARTESIAN_POINT('Ctrl Pts',(0.277342937535554,0.0609603202972064, 0.319679994404055)); #185969=CARTESIAN_POINT('Ctrl Pts',(0.277315387616482,0.0606553114093882, 0.319750465109679)); #185970=CARTESIAN_POINT('Ctrl Pts',(0.277301429590386,0.0603469610777133, 0.319792135987995)); #185971=CARTESIAN_POINT('Ctrl Pts',(0.277300524840988,0.0600392520542329, 0.319799792095385)); #185972=CARTESIAN_POINT('Ctrl Pts',(0.275100902255811,0.0606026491058706, 0.314565216352177)); #185973=CARTESIAN_POINT('Ctrl Pts',(0.275093321756147,0.0604145413284999, 0.314594296076858)); #185974=CARTESIAN_POINT('Ctrl Pts',(0.275098681574882,0.0602351284762768, 0.314620921093029)); #185975=CARTESIAN_POINT('Ctrl Pts',(0.27510979648918,0.0600478957525541, 0.314639651335535)); #185976=CARTESIAN_POINT('Ctrl Pts',(0.269942271068673,0.06099232830262, 0.312431609126046)); #185977=CARTESIAN_POINT('Ctrl Pts',(0.269899491320884,0.0606883021456612, 0.31246621155193)); #185978=CARTESIAN_POINT('Ctrl Pts',(0.269898004649773,0.0603786891185109, 0.312490045527787)); #185979=CARTESIAN_POINT('Ctrl Pts',(0.269928301310079,0.0600683397665937, 0.3125)); #185980=CARTESIAN_POINT('Ctrl Pts',(0.267365520800969,0.0613200128110682, 0.312422908710852)); #185981=CARTESIAN_POINT('Ctrl Pts',(0.267300454819908,0.0609131805103045, 0.31247427587806)); #185982=CARTESIAN_POINT('Ctrl Pts',(0.26729097658944,0.0604967972531583, 0.3125)); #185983=CARTESIAN_POINT('Ctrl Pts',(0.267326796208701,0.0600786042182583, 0.3125)); #185984=CARTESIAN_POINT('',(0.277326230197005,0.0600391506316433,0.322401190445475)); #185985=CARTESIAN_POINT('Origin',(0.267326796208701,0.0600786042182583, 0.3225)); #185986=CARTESIAN_POINT('Ctrl Pts',(0.277326230197005,0.0600391506316433, 0.322401190445475)); #185987=CARTESIAN_POINT('Ctrl Pts',(0.277327850073466,0.0604537891513949, 0.32239955974274)); #185988=CARTESIAN_POINT('Ctrl Pts',(0.277354918084836,0.0608684535150386, 0.322350216824925)); #185989=CARTESIAN_POINT('Ctrl Pts',(0.277406623925416,0.0612765848732459, 0.322257399210852)); #185990=CARTESIAN_POINT('Ctrl Pts',(0.267365520800969,0.0613200128110682, 0.312422908710852)); #185991=CARTESIAN_POINT('Ctrl Pts',(0.267300454819908,0.0609131805103045, 0.31247427587806)); #185992=CARTESIAN_POINT('Ctrl Pts',(0.26729097658944,0.0604967972531583, 0.3125)); #185993=CARTESIAN_POINT('Ctrl Pts',(0.267326796208701,0.0600786042182583, 0.3125)); #185994=CARTESIAN_POINT('Origin',(0.,0.,0.3225)); #185995=CARTESIAN_POINT('',(0.277537415903224,-0.0579555466712137,0.322412734660766)); #185996=CARTESIAN_POINT('Origin',(0.26774893799087,-0.0559115102423116, 0.3225)); #185997=CARTESIAN_POINT('',(0.277537415891135,0.0579555466773696,0.322412734054857)); #185998=CARTESIAN_POINT('Origin',(0.,3.27921812753461E-17,0.322412734645016)); #185999=CARTESIAN_POINT('Origin',(0.267748937989194,0.0559115102503358, 0.3225)); #186000=CARTESIAN_POINT('Ctrl Pts',(0.277537415902916,-0.0579555466713412, 0.322412734645017)); #186001=CARTESIAN_POINT('Ctrl Pts',(0.277394409936451,-0.0586401564821503, 0.322407654150338)); #186002=CARTESIAN_POINT('Ctrl Pts',(0.277323498007194,-0.0593397941223534, 0.322403940895609)); #186003=CARTESIAN_POINT('Ctrl Pts',(0.277326230197002,-0.0600391506313286, 0.322401190445476)); #186004=CARTESIAN_POINT('Ctrl Pts',(0.277515176520936,-0.0579509026288933, 0.319809384308837)); #186005=CARTESIAN_POINT('Ctrl Pts',(0.277370820907124,-0.0586353977218859, 0.319805159327602)); #186006=CARTESIAN_POINT('Ctrl Pts',(0.277298517994385,-0.0593395155682054, 0.319802078549282)); #186007=CARTESIAN_POINT('Ctrl Pts',(0.277300524840986,-0.0600392520539174, 0.319799792095386)); #186008=CARTESIAN_POINT('Ctrl Pts',(0.275373234123309,-0.0575036208013555, 0.314642976781068)); #186009=CARTESIAN_POINT('Ctrl Pts',(0.275196105318976,-0.0583396730394991, 0.314641501094128)); #186010=CARTESIAN_POINT('Ctrl Pts',(0.275107777946162,-0.0591929200577551, 0.314640442824421)); #186011=CARTESIAN_POINT('Ctrl Pts',(0.275109803132431,-0.0600478957259566, 0.31463964462626)); #186012=CARTESIAN_POINT('Ctrl Pts',(0.270297415789906,-0.0564436850612866, 0.3125)); #186013=CARTESIAN_POINT('Ctrl Pts',(0.270047946017544,-0.0576341670134362, 0.312500027175224)); #186014=CARTESIAN_POINT('Ctrl Pts',(0.269923964064797,-0.0588518805594054, 0.312499985321609)); #186015=CARTESIAN_POINT('Ctrl Pts',(0.269928301310076,-0.0600683397660407, 0.3125)); #186016=CARTESIAN_POINT('Ctrl Pts',(0.26774893799084,-0.0559115102424547, 0.3125)); #186017=CARTESIAN_POINT('Ctrl Pts',(0.267463027949813,-0.0572806757239933, 0.3125)); #186018=CARTESIAN_POINT('Ctrl Pts',(0.267321277570437,-0.0586799161852037, 0.3125)); #186019=CARTESIAN_POINT('Ctrl Pts',(0.267326796208697,-0.0600786042176215, 0.3125)); #186020=CARTESIAN_POINT('',(0.277326230197002,-0.0600391506313286,0.322401190445476)); #186021=CARTESIAN_POINT('Origin',(0.267326796208697,-0.0600786042176215, 0.3225)); #186022=CARTESIAN_POINT('Ctrl Pts',(0.277326230197002,-0.0600391506313286, 0.322401190445476)); #186023=CARTESIAN_POINT('Ctrl Pts',(0.277323498007194,-0.0593397941223534, 0.322403940895609)); #186024=CARTESIAN_POINT('Ctrl Pts',(0.277394409936451,-0.0586401564821503, 0.322407654150338)); #186025=CARTESIAN_POINT('Ctrl Pts',(0.277537415902916,-0.0579555466713412, 0.322412734645017)); #186026=CARTESIAN_POINT('Ctrl Pts',(0.277326230197002,-0.0600391506313286, 0.322401190445476)); #186027=CARTESIAN_POINT('Ctrl Pts',(0.27732785007345,-0.0604537891511836, 0.322399559742765)); #186028=CARTESIAN_POINT('Ctrl Pts',(0.277354918084821,-0.0608684535149324, 0.32235021682495)); #186029=CARTESIAN_POINT('Ctrl Pts',(0.277406623925413,-0.0612765848732416, 0.322257399210855)); #186030=CARTESIAN_POINT('Ctrl Pts',(0.277300524840986,-0.0600392520540009, 0.319799792095391)); #186031=CARTESIAN_POINT('Ctrl Pts',(0.277301429590378,-0.0603469610775592, 0.319792135988016)); #186032=CARTESIAN_POINT('Ctrl Pts',(0.277315387616473,-0.0606553114093111, 0.319750465109698)); #186033=CARTESIAN_POINT('Ctrl Pts',(0.277342937535552,-0.0609603202972053, 0.319679994404057)); #186034=CARTESIAN_POINT('Ctrl Pts',(0.275109796489187,-0.0600478957524176, 0.31463965133555)); #186035=CARTESIAN_POINT('Ctrl Pts',(0.275098681574883,-0.0602351284761899, 0.314620921093044)); #186036=CARTESIAN_POINT('Ctrl Pts',(0.275093321756144,-0.0604145413284561, 0.314594296076866)); #186037=CARTESIAN_POINT('Ctrl Pts',(0.275100902255809,-0.0606026491058736, 0.314565216352178)); #186038=CARTESIAN_POINT('Ctrl Pts',(0.269928301310102,-0.0600683397663604, 0.312500000000008)); #186039=CARTESIAN_POINT('Ctrl Pts',(0.269898004649771,-0.0603786891183569, 0.3124900455278)); #186040=CARTESIAN_POINT('Ctrl Pts',(0.269899491320871,-0.0606883021455852, 0.31246621155194)); #186041=CARTESIAN_POINT('Ctrl Pts',(0.26994227106867,-0.0609923283026199, 0.312431609126047)); #186042=CARTESIAN_POINT('Ctrl Pts',(0.267326796208728,-0.060078604217942, 0.3125)); #186043=CARTESIAN_POINT('Ctrl Pts',(0.267290976589434,-0.0604967972529472, 0.312500000000013)); #186044=CARTESIAN_POINT('Ctrl Pts',(0.26730045481989,-0.0609131805101998, 0.312474275878074)); #186045=CARTESIAN_POINT('Ctrl Pts',(0.267365520800965,-0.0613200128110651, 0.312422908710854)); #186046=CARTESIAN_POINT('',(0.267365520800965,-0.0613200128110651,0.312422908710854)); #186047=CARTESIAN_POINT('',(0.277406623925413,-0.0612765848732416,0.322257399210855)); #186048=CARTESIAN_POINT('Origin',(0.267488836204283,-0.0625531697464795, 0.322345817421708)); #186049=CARTESIAN_POINT('Ctrl Pts',(0.277406623925413,-0.0612765848732416, 0.322257399210855)); #186050=CARTESIAN_POINT('Ctrl Pts',(0.277354918084821,-0.0608684535149324, 0.32235021682495)); #186051=CARTESIAN_POINT('Ctrl Pts',(0.27732785007345,-0.0604537891511836, 0.322399559742765)); #186052=CARTESIAN_POINT('Ctrl Pts',(0.277326230197002,-0.0600391506313286, 0.322401190445476)); #186053=CARTESIAN_POINT('Ctrl Pts',(0.267326796208728,-0.060078604217942, 0.3125)); #186054=CARTESIAN_POINT('Ctrl Pts',(0.267290976589434,-0.0604967972529472, 0.312500000000013)); #186055=CARTESIAN_POINT('Ctrl Pts',(0.26730045481989,-0.0609131805101998, 0.312474275878074)); #186056=CARTESIAN_POINT('Ctrl Pts',(0.267365520800965,-0.0613200128110651, 0.312422908710854)); #186057=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,-0.0700000000000038, 0.302500000000001)); #186058=CARTESIAN_POINT('Ctrl Pts',(0.287122488065508,-0.0700000000000038, 0.302513404034175)); #186059=CARTESIAN_POINT('Ctrl Pts',(0.287095743361625,-0.0699999874676047, 0.302526896136577)); #186060=CARTESIAN_POINT('Ctrl Pts',(0.280238950267662,-0.0699804085120008, 0.305985994274514)); #186061=CARTESIAN_POINT('Ctrl Pts',(0.272595111821827,-0.0681738707098373, 0.309842138503489)); #186062=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0640025574751847, 0.311664034791394)); #186063=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,-0.0700000000000038, 0.302500000000002)); #186064=CARTESIAN_POINT('Ctrl Pts',(0.287124365013278,-0.0700089501436233, 0.302521576798392)); #186065=CARTESIAN_POINT('Ctrl Pts',(0.287107118230619,-0.0699914445323498, 0.302532210231243)); #186066=CARTESIAN_POINT('Ctrl Pts',(0.281360929988409,-0.070230631300616, 0.307235986700117)); #186067=CARTESIAN_POINT('Ctrl Pts',(0.275075695733368,-0.0661706763184376, 0.311987290190096)); #186068=CARTESIAN_POINT('Ctrl Pts',(0.273328618572534,-0.062422989677008, 0.312353939515903)); #186069=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,-0.0700000000000038, 0.302500000000002)); #186070=CARTESIAN_POINT('Ctrl Pts',(0.287127702393779,-0.0700089501436233, 0.30252488455878)); #186071=CARTESIAN_POINT('Ctrl Pts',(0.287117223139857,-0.0699914445323499, 0.30254222545235)); #186072=CARTESIAN_POINT('Ctrl Pts',(0.282464860809911,-0.0702306313006167, 0.308330119477446)); #186073=CARTESIAN_POINT('Ctrl Pts',(0.277769778058584,-0.0661706763184375, 0.314657461347057)); #186074=CARTESIAN_POINT('Ctrl Pts',(0.277418718318362,-0.0624229896770078, 0.316407738020454)); #186075=CARTESIAN_POINT('Ctrl Pts',(0.28714905819615,-0.0700000000000038, 0.302500000000002)); #186076=CARTESIAN_POINT('Ctrl Pts',(0.287135891567016,-0.0700000000000038, 0.302526688571558)); #186077=CARTESIAN_POINT('Ctrl Pts',(0.287122638429371,-0.0699999874676047, 0.30255355249455)); #186078=CARTESIAN_POINT('Ctrl Pts',(0.283724806010915,-0.0699804085120014, 0.30944091092101)); #186079=CARTESIAN_POINT('Ctrl Pts',(0.279936958564282,-0.068173870709837, 0.317118823094082)); #186080=CARTESIAN_POINT('Ctrl Pts',(0.278147329972227,-0.0640025574751843, 0.320746374252575)); #186081=CARTESIAN_POINT('',(0.278147329972227,-0.0640025574751843,0.320746374252575)); #186082=CARTESIAN_POINT('',(0.26898365933591,-0.0640025574751847,0.311664034791394)); #186083=CARTESIAN_POINT('Origin',(0.26898365933591,-0.0680051149503648, 0.320828069582788)); #186084=CARTESIAN_POINT('',(0.287149058196149,-0.0700000000000038,0.3025)); #186085=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0640025574751847, 0.311664034791394)); #186086=CARTESIAN_POINT('Ctrl Pts',(0.272595111821827,-0.0681738707098373, 0.309842138503489)); #186087=CARTESIAN_POINT('Ctrl Pts',(0.280238950267662,-0.0699804085120008, 0.305985994274514)); #186088=CARTESIAN_POINT('Ctrl Pts',(0.287095743361625,-0.0699999874676047, 0.302526896136577)); #186089=CARTESIAN_POINT('Ctrl Pts',(0.287122488065508,-0.0700000000000038, 0.302513404034175)); #186090=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,-0.0700000000000038, 0.302500000000001)); #186091=CARTESIAN_POINT('Ctrl Pts',(0.28714905819615,-0.0700000000000038, 0.302500000000002)); #186092=CARTESIAN_POINT('Ctrl Pts',(0.287135891567016,-0.0700000000000038, 0.302526688571558)); #186093=CARTESIAN_POINT('Ctrl Pts',(0.287122638429371,-0.0699999874676047, 0.30255355249455)); #186094=CARTESIAN_POINT('Ctrl Pts',(0.283724806010915,-0.0699804085120014, 0.30944091092101)); #186095=CARTESIAN_POINT('Ctrl Pts',(0.279936958564282,-0.068173870709837, 0.317118823094082)); #186096=CARTESIAN_POINT('Ctrl Pts',(0.278147329972227,-0.0640025574751843, 0.320746374252575)); #186097=CARTESIAN_POINT('Ctrl Pts',(0.277406623925413,-0.0612765848732416, 0.322257399210855)); #186098=CARTESIAN_POINT('Ctrl Pts',(0.277528800876793,-0.062242541732007, 0.322015358055654)); #186099=CARTESIAN_POINT('Ctrl Pts',(0.277788686361826,-0.0631666215467843, 0.32147333964091)); #186100=CARTESIAN_POINT('Ctrl Pts',(0.278147329972227,-0.0640025574751839, 0.320746374252576)); #186101=CARTESIAN_POINT('Ctrl Pts',(0.277342937535552,-0.0609603202972053, 0.319679994404057)); #186102=CARTESIAN_POINT('Ctrl Pts',(0.277402189241683,-0.0616834067827029, 0.319494553255782)); #186103=CARTESIAN_POINT('Ctrl Pts',(0.277527261637532,-0.0624107494075435, 0.319102245014273)); #186104=CARTESIAN_POINT('Ctrl Pts',(0.277783024145295,-0.0632127735760957, 0.318577056136515)); #186105=CARTESIAN_POINT('Ctrl Pts',(0.275100891516905,-0.060602651857617, 0.314565227328846)); #186106=CARTESIAN_POINT('Ctrl Pts',(0.275108228599565,-0.0610505390460671, 0.31448972249426)); #186107=CARTESIAN_POINT('Ctrl Pts',(0.275141676994964,-0.0615448767094637, 0.314396553925287)); #186108=CARTESIAN_POINT('Ctrl Pts',(0.275489747518855,-0.062321586389741, 0.314263720221683)); #186109=CARTESIAN_POINT('Ctrl Pts',(0.26994227106867,-0.0609923283026199, 0.312431609126047)); #186110=CARTESIAN_POINT('Ctrl Pts',(0.270039911537575,-0.0617130793839079, 0.312349728798027)); #186111=CARTESIAN_POINT('Ctrl Pts',(0.270339299271018,-0.0624107494075439, 0.312197034140662)); #186112=CARTESIAN_POINT('Ctrl Pts',(0.271156138954222,-0.063212773576096, 0.312008987153648)); #186113=CARTESIAN_POINT('Ctrl Pts',(0.267365520800965,-0.0613200128110651, 0.312422908710854)); #186114=CARTESIAN_POINT('Ctrl Pts',(0.267519517619423,-0.0622828950875364, 0.312301333961741)); #186115=CARTESIAN_POINT('Ctrl Pts',(0.267954875117294,-0.0631666215467848, 0.312029144884836)); #186116=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0640025574751844, 0.311664034791394)); #186117=CARTESIAN_POINT('Ctrl Pts',(0.278147329972227,-0.0640025574751839, 0.320746374252576)); #186118=CARTESIAN_POINT('Ctrl Pts',(0.277788686361826,-0.0631666215467843, 0.32147333964091)); #186119=CARTESIAN_POINT('Ctrl Pts',(0.277528800876793,-0.062242541732007, 0.322015358055654)); #186120=CARTESIAN_POINT('Ctrl Pts',(0.277406623925413,-0.0612765848732416, 0.322257399210855)); #186121=CARTESIAN_POINT('Ctrl Pts',(0.267365520800965,-0.0613200128110651, 0.312422908710854)); #186122=CARTESIAN_POINT('Ctrl Pts',(0.267519517619423,-0.0622828950875364, 0.312301333961741)); #186123=CARTESIAN_POINT('Ctrl Pts',(0.267954875117294,-0.0631666215467848, 0.312029144884836)); #186124=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0640025574751844, 0.311664034791394)); #186125=CARTESIAN_POINT('Ctrl Pts',(0.277326230197005,0.0600391506316433, 0.322401190445474)); #186126=CARTESIAN_POINT('Ctrl Pts',(0.277323498007182,0.0593397941246184, 0.3224039408956)); #186127=CARTESIAN_POINT('Ctrl Pts',(0.277394409936012,0.0586401564863648, 0.322407654150315)); #186128=CARTESIAN_POINT('Ctrl Pts',(0.277537415901656,0.0579555466773766, 0.322412734644972)); #186129=CARTESIAN_POINT('Ctrl Pts',(0.277300524840988,0.0600392520542329, 0.319799792095385)); #186130=CARTESIAN_POINT('Ctrl Pts',(0.27729851799437,0.0593395155704723, 0.319802078549274)); #186131=CARTESIAN_POINT('Ctrl Pts',(0.277370820906676,0.0586353977261141, 0.319805159327584)); #186132=CARTESIAN_POINT('Ctrl Pts',(0.277515176519661,0.0579509026349401, 0.319809384308799)); #186133=CARTESIAN_POINT('Ctrl Pts',(0.275109803132434,0.0600478957263426, 0.314639644626259)); #186134=CARTESIAN_POINT('Ctrl Pts',(0.275107777946142,0.0591929200605254, 0.314640442824418)); #186135=CARTESIAN_POINT('Ctrl Pts',(0.275196105318429,0.0583396730446462, 0.314641501094121)); #186136=CARTESIAN_POINT('Ctrl Pts',(0.275373234121748,0.0575036208087224, 0.314642976781055)); #186137=CARTESIAN_POINT('Ctrl Pts',(0.269928301310079,0.0600683397665937, 0.3125)); #186138=CARTESIAN_POINT('Ctrl Pts',(0.269923964064774,0.0588518805633508, 0.312499985321609)); #186139=CARTESIAN_POINT('Ctrl Pts',(0.270047946016775,0.0576341670207743, 0.312500027175224)); #186140=CARTESIAN_POINT('Ctrl Pts',(0.270297415787705,0.0564436850717898, 0.3125)); #186141=CARTESIAN_POINT('Ctrl Pts',(0.267326796208701,0.0600786042182583, 0.3125)); #186142=CARTESIAN_POINT('Ctrl Pts',(0.267321277570412,0.0586799161897412, 0.3125)); #186143=CARTESIAN_POINT('Ctrl Pts',(0.267463027948933,0.0572806757324295, 0.3125)); #186144=CARTESIAN_POINT('Ctrl Pts',(0.267748937988318,0.0559115102545326, 0.3125)); #186145=CARTESIAN_POINT('Ctrl Pts',(0.277537415901656,0.0579555466773766, 0.322412734644972)); #186146=CARTESIAN_POINT('Ctrl Pts',(0.277394409936012,0.0586401564863648, 0.322407654150315)); #186147=CARTESIAN_POINT('Ctrl Pts',(0.277323498007182,0.0593397941246184, 0.3224039408956)); #186148=CARTESIAN_POINT('Ctrl Pts',(0.277326230197005,0.0600391506316433, 0.322401190445474)); #186149=CARTESIAN_POINT('Ctrl Pts',(0.277231143880101,0.0612756007372282, 0.302588421596301)); #186150=CARTESIAN_POINT('Ctrl Pts',(0.277289637983606,0.0612759289808007, 0.309144747460387)); #186151=CARTESIAN_POINT('Ctrl Pts',(0.277348131331593,0.0612762570260199, 0.315701073331909)); #186152=CARTESIAN_POINT('Ctrl Pts',(0.277406623925416,0.0612765848732459, 0.322257399210851)); #186153=CARTESIAN_POINT('Ctrl Pts',(0.277494068958691,0.0633200301507728, 0.302586077529351)); #186154=CARTESIAN_POINT('Ctrl Pts',(0.277552625246504,0.0633203032292248, 0.309142402858572)); #186155=CARTESIAN_POINT('Ctrl Pts',(0.2776111790649,0.0633205748546958, 0.3156987282118)); #186156=CARTESIAN_POINT('Ctrl Pts',(0.277669733758472,0.0633208475970357, 0.322255053556624)); #186157=CARTESIAN_POINT('Ctrl Pts',(0.279627540364651,0.0679017095722162, 0.302567056902496)); #186158=CARTESIAN_POINT('Ctrl Pts',(0.279686161009563,0.0679018114785383, 0.309123381820667)); #186159=CARTESIAN_POINT('Ctrl Pts',(0.279744782142133,0.0679019115157235, 0.315679706734124)); #186160=CARTESIAN_POINT('Ctrl Pts',(0.279803401094901,0.0679020132919226, 0.322236031668502)); #186161=CARTESIAN_POINT('Ctrl Pts',(0.284400809773105,0.0699999999999961, 0.302524501574103)); #186162=CARTESIAN_POINT('Ctrl Pts',(0.284459325675243,0.0699999979510569, 0.309080827787526)); #186163=CARTESIAN_POINT('Ctrl Pts',(0.284517837876445,0.0700000020460913, 0.315637154036079)); #186164=CARTESIAN_POINT('Ctrl Pts',(0.284576352210059,0.0699999999999961, 0.322193480263899)); #186165=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,0.0699999999999962, 0.302499999999995)); #186166=CARTESIAN_POINT('Ctrl Pts',(0.287207510092403,0.0699999999999962, 0.309056326993344)); #186167=CARTESIAN_POINT('Ctrl Pts',(0.28726596124208,0.0699999999999962, 0.315612653993349)); #186168=CARTESIAN_POINT('Ctrl Pts',(0.287324411646545,0.0699999999999962, 0.322168980999998)); #186169=CARTESIAN_POINT('',(0.287324411646545,0.0699999999999962,0.322168980999998)); #186170=CARTESIAN_POINT('Origin',(0.287324411646545,0.0599999999999962, 0.322168980999997)); #186171=CARTESIAN_POINT('',(0.287238211035582,0.0699999999999962,0.3125)); #186172=CARTESIAN_POINT('Ctrl Pts',(0.287324411646545,0.0699999999999962, 0.322168980999998)); #186173=CARTESIAN_POINT('Ctrl Pts',(0.287295678289696,0.0699999999999962, 0.318945987331726)); #186174=CARTESIAN_POINT('Ctrl Pts',(0.287266944752763,0.0699999999999962, 0.315722993665059)); #186175=CARTESIAN_POINT('Ctrl Pts',(0.287238211035582,0.0699999999999962, 0.3125)); #186176=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,0.0699999999999962, 0.302499999999995)); #186177=CARTESIAN_POINT('Ctrl Pts',(0.287178776002213,0.0699999999999962, 0.30583333333161)); #186178=CARTESIAN_POINT('Ctrl Pts',(0.287208493615298,0.0699999999999962, 0.309166666664945)); #186179=CARTESIAN_POINT('Ctrl Pts',(0.287238211035582,0.0699999999999962, 0.3125)); #186180=CARTESIAN_POINT('Ctrl Pts',(0.277535938686249,0.0579559398581791, 0.32225624635498)); #186181=CARTESIAN_POINT('Ctrl Pts',(0.277594969773918,0.0579563428382425, 0.328887147255605)); #186182=CARTESIAN_POINT('Ctrl Pts',(0.278919990535817,0.0526874779063087, 0.344304535130895)); #186183=CARTESIAN_POINT('Ctrl Pts',(0.281341859031353,0.0380813982568214, 0.351626325354984)); #186184=CARTESIAN_POINT('Ctrl Pts',(0.282232318923792,0.0295873413877845, 0.351626325354984)); #186185=CARTESIAN_POINT('Ctrl Pts',(0.276930698406505,0.0608545086706873, 0.322261642141236)); #186186=CARTESIAN_POINT('Ctrl Pts',(0.276996826760792,0.0608515636402731, 0.329577578382201)); #186187=CARTESIAN_POINT('Ctrl Pts',(0.278555289385442,0.0548941616363332, 0.346524085596017)); #186188=CARTESIAN_POINT('Ctrl Pts',(0.281282270244446,0.0388561201508274, 0.354282213237371)); #186189=CARTESIAN_POINT('Ctrl Pts',(0.282254914196038,0.0295897101244205, 0.354229675691163)); #186190=CARTESIAN_POINT('Ctrl Pts',(0.278479877958132,0.0671887186473382, 0.322247831028505)); #186191=CARTESIAN_POINT('Ctrl Pts',(0.278552620805255,0.0671779439188724, 0.330913813821585)); #186192=CARTESIAN_POINT('Ctrl Pts',(0.280352473482881,0.059757435649306, 0.35089146206947)); #186193=CARTESIAN_POINT('Ctrl Pts',(0.283381184668537,0.0406916896850564, 0.359550786377205)); #186194=CARTESIAN_POINT('Ctrl Pts',(0.284428627311703,0.0298175876129999, 0.359398625193263)); #186195=CARTESIAN_POINT('Ctrl Pts',(0.284363440648861,0.0699999999999961, 0.322195378394794)); #186196=CARTESIAN_POINT('Ctrl Pts',(0.28442728522825,0.0699938589445464, 0.331231808206798)); #186197=CARTESIAN_POINT('Ctrl Pts',(0.285942244642638,0.0623736908642876, 0.352146759034575)); #186198=CARTESIAN_POINT('Ctrl Pts',(0.288622993157216,0.0420617062537112, 0.361622142821548)); #186199=CARTESIAN_POINT('Ctrl Pts',(0.28958817862168,0.0303584803307375, 0.36153906)); #186200=CARTESIAN_POINT('Ctrl Pts',(0.287324411646545,0.0699999999999962, 0.322168980999996)); #186201=CARTESIAN_POINT('Ctrl Pts',(0.287383526885796,0.0699999999999971, 0.331057588085416)); #186202=CARTESIAN_POINT('Ctrl Pts',(0.288750626676938,0.0626867998236159, 0.351724327818183)); #186203=CARTESIAN_POINT('Ctrl Pts',(0.29125560161618,0.0424172734599724, 0.36153906)); #186204=CARTESIAN_POINT('Ctrl Pts',(0.292177438958764,0.0306299209999965, 0.36153906)); #186205=CARTESIAN_POINT('',(0.282232318923792,0.0295873413877845,0.351626325354984)); #186206=CARTESIAN_POINT('Ctrl Pts',(0.277537426133334,0.0579555303392933, 0.322412730169297)); #186207=CARTESIAN_POINT('Ctrl Pts',(0.27760391664516,0.0579207095900063, 0.328991012227971)); #186208=CARTESIAN_POINT('Ctrl Pts',(0.278928155672573,0.0526382346719917, 0.344329219963531)); #186209=CARTESIAN_POINT('Ctrl Pts',(0.281341859031353,0.0380813982568214, 0.351626325354984)); #186210=CARTESIAN_POINT('Ctrl Pts',(0.282232318923792,0.0295873413877845, 0.351626325354984)); #186211=CARTESIAN_POINT('',(0.292177438958764,0.0306299209999964,0.36153906)); #186212=CARTESIAN_POINT('Origin',(0.292177438958764,0.0306299209999964, 0.35153906)); #186213=CARTESIAN_POINT('Ctrl Pts',(0.292177438958764,0.0306299209999965, 0.36153906)); #186214=CARTESIAN_POINT('Ctrl Pts',(0.29125560161618,0.0424172734599724, 0.36153906)); #186215=CARTESIAN_POINT('Ctrl Pts',(0.288750626676938,0.0626867998236159, 0.351724327818183)); #186216=CARTESIAN_POINT('Ctrl Pts',(0.287383526885796,0.0699999999999971, 0.331057588085416)); #186217=CARTESIAN_POINT('Ctrl Pts',(0.287324411646545,0.0699999999999962, 0.322168980999996)); #186218=CARTESIAN_POINT('Origin',(0.,0.,0.3125)); #186219=CARTESIAN_POINT('',(0.282232318923791,-0.0295873413877914,0.351626325354984)); #186220=CARTESIAN_POINT('Ctrl Pts',(0.282232318923791,-0.0295873413877914, 0.351626325354984)); #186221=CARTESIAN_POINT('Ctrl Pts',(0.281341858515641,-0.0380814031761763, 0.351626325354984)); #186222=CARTESIAN_POINT('Ctrl Pts',(0.278928275045459,-0.0526376228316289, 0.344329759020193)); #186223=CARTESIAN_POINT('Ctrl Pts',(0.27760391750218,-0.057920705392493, 0.328991019888308)); #186224=CARTESIAN_POINT('Ctrl Pts',(0.277537426146836,-0.0579555302890171, 0.322412730620574)); #186225=CARTESIAN_POINT('Origin',(0.,-1.09405559382252E-17,0.351626325354984)); #186226=CARTESIAN_POINT('Ctrl Pts',(0.282232318923791,-0.0295873413877914, 0.351626325354984)); #186227=CARTESIAN_POINT('Ctrl Pts',(0.281341858515641,-0.0380814031761763, 0.351626325354984)); #186228=CARTESIAN_POINT('Ctrl Pts',(0.278920110297572,-0.0526868640802273, 0.344305075960627)); #186229=CARTESIAN_POINT('Ctrl Pts',(0.277594969808105,-0.0579563428384823, 0.328887151095907)); #186230=CARTESIAN_POINT('Ctrl Pts',(0.277535938686247,-0.0579559398581864, 0.322256246354985)); #186231=CARTESIAN_POINT('Ctrl Pts',(0.282254914196037,-0.0295897101244274, 0.354229675691163)); #186232=CARTESIAN_POINT('Ctrl Pts',(0.281282269681137,-0.0388561255174919, 0.354282213267798)); #186233=CARTESIAN_POINT('Ctrl Pts',(0.278555427362435,-0.054893480874854, 0.346524668689858)); #186234=CARTESIAN_POINT('Ctrl Pts',(0.27699682679909,-0.0608515636385739, 0.329577582619243)); #186235=CARTESIAN_POINT('Ctrl Pts',(0.276930698406503,-0.0608545086706947, 0.32226164214124)); #186236=CARTESIAN_POINT('Ctrl Pts',(0.284428627311551,-0.029817587612991, 0.359398625193416)); #186237=CARTESIAN_POINT('Ctrl Pts',(0.283381184061757,-0.0406916959828028, 0.359550786465483)); #186238=CARTESIAN_POINT('Ctrl Pts',(0.280352628548905,-0.0597566139413007, 0.350892129713007)); #186239=CARTESIAN_POINT('Ctrl Pts',(0.278552620847112,-0.0671779439128594, 0.330913818840513)); #186240=CARTESIAN_POINT('Ctrl Pts',(0.278479877957859,-0.0671887186475667, 0.322247831028513)); #186241=CARTESIAN_POINT('Ctrl Pts',(0.289588178621679,-0.0303584803307445, 0.36153906)); #186242=CARTESIAN_POINT('Ctrl Pts',(0.288622992598226,-0.0420617130316621, 0.361622142869666)); #186243=CARTESIAN_POINT('Ctrl Pts',(0.285942379287864,-0.0623728246801417, 0.352147475453366)); #186244=CARTESIAN_POINT('Ctrl Pts',(0.284427285265225,-0.0699938589409963, 0.331231813440268)); #186245=CARTESIAN_POINT('Ctrl Pts',(0.28436344064886,-0.0700000000000039, 0.3221953783948)); #186246=CARTESIAN_POINT('Ctrl Pts',(0.292177438958764,-0.0306299210000036, 0.36153906)); #186247=CARTESIAN_POINT('Ctrl Pts',(0.291255601082296,-0.0424172802866455, 0.36153906)); #186248=CARTESIAN_POINT('Ctrl Pts',(0.288750750495856,-0.062685947960843, 0.351725052791013)); #186249=CARTESIAN_POINT('Ctrl Pts',(0.287383526920033,-0.0700000000000034, 0.331057593233274)); #186250=CARTESIAN_POINT('Ctrl Pts',(0.287324411646544,-0.0700000000000037, 0.322168981000001)); #186251=CARTESIAN_POINT('',(0.287324411646544,-0.0700000000000038,0.322168981000001)); #186252=CARTESIAN_POINT('Origin',(0.287324411646544,-0.0600000000000037, 0.322168981000001)); #186253=CARTESIAN_POINT('',(0.292177438958764,-0.0306299210000036,0.36153906)); #186254=CARTESIAN_POINT('Ctrl Pts',(0.287324411646544,-0.0700000000000037, 0.322168981000001)); #186255=CARTESIAN_POINT('Ctrl Pts',(0.287383526920033,-0.0700000000000034, 0.331057593233274)); #186256=CARTESIAN_POINT('Ctrl Pts',(0.288750750495856,-0.062685947960843, 0.351725052791013)); #186257=CARTESIAN_POINT('Ctrl Pts',(0.291255601082296,-0.0424172802866455, 0.36153906)); #186258=CARTESIAN_POINT('Ctrl Pts',(0.292177438958764,-0.0306299210000036, 0.36153906)); #186259=CARTESIAN_POINT('Origin',(0.292177438958764,-0.0306299210000036, 0.35153906)); #186260=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,-0.0700000000000038, 0.302499999999994)); #186261=CARTESIAN_POINT('Ctrl Pts',(0.287207510092403,-0.0700000000000038, 0.309056326993345)); #186262=CARTESIAN_POINT('Ctrl Pts',(0.287265961242079,-0.0700000000000038, 0.315612653993351)); #186263=CARTESIAN_POINT('Ctrl Pts',(0.287324411646544,-0.0700000000000038, 0.322168981000001)); #186264=CARTESIAN_POINT('Ctrl Pts',(0.285087871878864,-0.0700000000000039, 0.302518376180575)); #186265=CARTESIAN_POINT('Ctrl Pts',(0.285146370730299,-0.069999999396285, 0.30907470259906)); #186266=CARTESIAN_POINT('Ctrl Pts',(0.285204869765562,-0.0700000006028331, 0.315631029015336)); #186267=CARTESIAN_POINT('Ctrl Pts',(0.285263367069178,-0.0700000000000039, 0.322187355447927)); #186268=CARTESIAN_POINT('Ctrl Pts',(0.280271655716481,-0.0684683125213524, 0.30256131439396)); #186269=CARTESIAN_POINT('Ctrl Pts',(0.280330265092845,-0.0684683855317783, 0.309117639461696)); #186270=CARTESIAN_POINT('Ctrl Pts',(0.280388875740316,-0.0684684576062108, 0.315673964517089)); #186271=CARTESIAN_POINT('Ctrl Pts',(0.280447483436829,-0.068468530523643, 0.322230289600827)); #186272=CARTESIAN_POINT('Ctrl Pts',(0.277581710651548,-0.064001506621953, 0.302585296173701)); #186273=CARTESIAN_POINT('Ctrl Pts',(0.277640289207355,-0.0640017602336988, 0.309141621309833)); #186274=CARTESIAN_POINT('Ctrl Pts',(0.277698860104996,-0.0640020152078459, 0.315697946519879)); #186275=CARTESIAN_POINT('Ctrl Pts',(0.277757437036151,-0.0640022685049642, 0.322254271671885)); #186276=CARTESIAN_POINT('Ctrl Pts',(0.277231143880098,-0.0612756007372239, 0.302588421596301)); #186277=CARTESIAN_POINT('Ctrl Pts',(0.277289637983602,-0.0612759289807964, 0.309144747460388)); #186278=CARTESIAN_POINT('Ctrl Pts',(0.27734813133159,-0.0612762570260156, 0.315701073331911)); #186279=CARTESIAN_POINT('Ctrl Pts',(0.277406623925413,-0.0612765848732416, 0.322257399210855)); #186280=CARTESIAN_POINT('',(0.287238211035581,-0.0700000000000038,0.3125)); #186281=CARTESIAN_POINT('Ctrl Pts',(0.287149058196149,-0.0700000000000038, 0.302499999999994)); #186282=CARTESIAN_POINT('Ctrl Pts',(0.287178776002213,-0.0700000000000038, 0.30583333333161)); #186283=CARTESIAN_POINT('Ctrl Pts',(0.287208493615297,-0.0700000000000038, 0.309166666664945)); #186284=CARTESIAN_POINT('Ctrl Pts',(0.287238211035581,-0.0700000000000038, 0.3125)); #186285=CARTESIAN_POINT('Ctrl Pts',(0.287238211035581,-0.0700000000000038, 0.3125)); #186286=CARTESIAN_POINT('Ctrl Pts',(0.287266944752762,-0.0700000000000038, 0.315722993665061)); #186287=CARTESIAN_POINT('Ctrl Pts',(0.287295678289696,-0.0700000000000038, 0.318945987331728)); #186288=CARTESIAN_POINT('Ctrl Pts',(0.287324411646544,-0.0700000000000038, 0.322168981000001)); #186289=CARTESIAN_POINT('Origin',(0.185474348340461,-0.0600000000000088, 0.3025)); #186290=CARTESIAN_POINT('',(0.26898365933591,-0.0700000000000047,0.302499999999999)); #186291=CARTESIAN_POINT('Origin',(0.268983659335909,-0.0600000000000047, 0.3025)); #186292=CARTESIAN_POINT('',(0.185474348340461,-0.0700000000000088,0.3025)); #186293=CARTESIAN_POINT('Ctrl Pts',(-0.26898365933591,-0.0640025574751848, 0.311664034791394)); #186294=CARTESIAN_POINT('Ctrl Pts',(-0.272595111821827,-0.0681738707098378, 0.309842138503488)); #186295=CARTESIAN_POINT('Ctrl Pts',(-0.280226475013918,-0.0699841566867652, 0.305992287755122)); #186296=CARTESIAN_POINT('Ctrl Pts',(-0.287095778258654,-0.0699999776481414, 0.302526878531826)); #186297=CARTESIAN_POINT('Ctrl Pts',(-0.287122488065495,-0.0700000000000037, 0.302513404034188)); #186298=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,-0.0700000000000037, 0.302500000000002)); #186299=CARTESIAN_POINT('Ctrl Pts',(-0.27146649318541,-0.0630999473047981, 0.312058266062542)); #186300=CARTESIAN_POINT('Ctrl Pts',(-0.274122599582816,-0.0668543419267494, 0.310929968144393)); #186301=CARTESIAN_POINT('Ctrl Pts',(-0.280874871747481,-0.0700441096193384, 0.306689580340875)); #186302=CARTESIAN_POINT('Ctrl Pts',(-0.287100943344439,-0.0699997909331371, 0.302531849502581)); #186303=CARTESIAN_POINT('Ctrl Pts',(-0.287125041113403,-0.0700000000000037, 0.302515934422262)); #186304=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,-0.0700000000000037, 0.302500000000002)); #186305=CARTESIAN_POINT('Ctrl Pts',(-0.27578292546695,-0.0623214436186386, 0.314553969219528)); #186306=CARTESIAN_POINT('Ctrl Pts',(-0.276835609983997,-0.0657667183833539, 0.313297910580091)); #186307=CARTESIAN_POINT('Ctrl Pts',(-0.282010886767394,-0.0700962150497411, 0.307845307158426)); #186308=CARTESIAN_POINT('Ctrl Pts',(-0.2871099789762,-0.0699996311358216, 0.302540723133935)); #186309=CARTESIAN_POINT('Ctrl Pts',(-0.287129508947244,-0.0700000000000037, 0.302520362601392)); #186310=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,-0.0700000000000037, 0.302500000000002)); #186311=CARTESIAN_POINT('Ctrl Pts',(-0.277835067834857,-0.0633255998473944, 0.318886958724523)); #186312=CARTESIAN_POINT('Ctrl Pts',(-0.279121938709096,-0.0671838966238855, 0.315970433523271)); #186313=CARTESIAN_POINT('Ctrl Pts',(-0.283192239153064,-0.0700272832703477, 0.308971021021862)); #186314=CARTESIAN_POINT('Ctrl Pts',(-0.287118890796091,-0.0699998424892369, 0.302549679085932)); #186315=CARTESIAN_POINT('Ctrl Pts',(-0.287133976781084,-0.0700000000000037, 0.302524790780521)); #186316=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,-0.0700000000000037, 0.302500000000002)); #186317=CARTESIAN_POINT('Ctrl Pts',(-0.278147329972227,-0.0640025574751842, 0.320746374252575)); #186318=CARTESIAN_POINT('Ctrl Pts',(-0.279936958564282,-0.0681738707098374, 0.317118823094082)); #186319=CARTESIAN_POINT('Ctrl Pts',(-0.283718623987875,-0.0699841566867652, 0.309453441785129)); #186320=CARTESIAN_POINT('Ctrl Pts',(-0.287122655722345,-0.0699999776481414, 0.302553517441968)); #186321=CARTESIAN_POINT('Ctrl Pts',(-0.287135891567015,-0.0700000000000037, 0.302526688571577)); #186322=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,-0.0700000000000037, 0.302500000000002)); #186323=CARTESIAN_POINT('',(-0.278147329972227,-0.0640025574751842,0.320746374252575)); #186324=CARTESIAN_POINT('',(-0.287149058196149,-0.0700000000000038,0.3025)); #186325=CARTESIAN_POINT('Ctrl Pts',(-0.278147329972227,-0.0640025574751842, 0.320746374252575)); #186326=CARTESIAN_POINT('Ctrl Pts',(-0.279936958564282,-0.0681738707098374, 0.317118823094082)); #186327=CARTESIAN_POINT('Ctrl Pts',(-0.283718623987875,-0.0699841566867652, 0.309453441785129)); #186328=CARTESIAN_POINT('Ctrl Pts',(-0.287122655722345,-0.0699999776481414, 0.302553517441968)); #186329=CARTESIAN_POINT('Ctrl Pts',(-0.287135891567015,-0.0700000000000037, 0.302526688571577)); #186330=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,-0.0700000000000037, 0.302500000000002)); #186331=CARTESIAN_POINT('',(-0.26898365933591,-0.0640025574751848,0.311664034791394)); #186332=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,-0.0700000000000037, 0.302500000000002)); #186333=CARTESIAN_POINT('Ctrl Pts',(-0.287122488065495,-0.0700000000000037, 0.302513404034188)); #186334=CARTESIAN_POINT('Ctrl Pts',(-0.287095778258654,-0.0699999776481414, 0.302526878531826)); #186335=CARTESIAN_POINT('Ctrl Pts',(-0.280226475013918,-0.0699841566867652, 0.305992287755122)); #186336=CARTESIAN_POINT('Ctrl Pts',(-0.272595111821827,-0.0681738707098378, 0.309842138503488)); #186337=CARTESIAN_POINT('Ctrl Pts',(-0.26898365933591,-0.0640025574751848, 0.311664034791394)); #186338=CARTESIAN_POINT('Origin',(-0.26898365933591,-0.0680051149503647, 0.320828069582788)); #186339=CARTESIAN_POINT('Ctrl Pts',(-0.278147329972227,-0.0640025574751839, 0.320746374252576)); #186340=CARTESIAN_POINT('Ctrl Pts',(-0.277788685164898,-0.0631666187569517, 0.321473342067066)); #186341=CARTESIAN_POINT('Ctrl Pts',(-0.277528801284546,-0.0622425449557791, 0.322015357247867)); #186342=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925415,-0.0612765848732528, 0.322257399210852)); #186343=CARTESIAN_POINT('Ctrl Pts',(-0.277783024145295,-0.0632127735760956, 0.318577056136515)); #186344=CARTESIAN_POINT('Ctrl Pts',(-0.277527260783957,-0.0624107467308872, 0.319102246767025)); #186345=CARTESIAN_POINT('Ctrl Pts',(-0.277402189439429,-0.0616834091959228, 0.319494552636892)); #186346=CARTESIAN_POINT('Ctrl Pts',(-0.277342937535552,-0.0609603202972137, 0.319679994404055)); #186347=CARTESIAN_POINT('Ctrl Pts',(-0.275489747518855,-0.062321586389741, 0.314263720221683)); #186348=CARTESIAN_POINT('Ctrl Pts',(-0.275141675833322,-0.0615448741172913, 0.314396554368603)); #186349=CARTESIAN_POINT('Ctrl Pts',(-0.275108228624052,-0.0610505405408406, 0.314489722242271)); #186350=CARTESIAN_POINT('Ctrl Pts',(-0.275100891516906,-0.0606026518576222, 0.314565227328845)); #186351=CARTESIAN_POINT('Ctrl Pts',(-0.271156138954222,-0.063212773576096, 0.312008987153648)); #186352=CARTESIAN_POINT('Ctrl Pts',(-0.270339296544917,-0.0624107467308876, 0.312197034768246)); #186353=CARTESIAN_POINT('Ctrl Pts',(-0.270039911863441,-0.0617130817893336, 0.312349728524761)); #186354=CARTESIAN_POINT('Ctrl Pts',(-0.269942271068671,-0.0609923283026283, 0.312431609126046)); #186355=CARTESIAN_POINT('Ctrl Pts',(-0.26898365933591,-0.0640025574751843, 0.311664034791394)); #186356=CARTESIAN_POINT('Ctrl Pts',(-0.267954871683854,-0.0631666187569522, 0.312029146103345)); #186357=CARTESIAN_POINT('Ctrl Pts',(-0.267519518133373,-0.0622828983010473, 0.312301333555998)); #186358=CARTESIAN_POINT('Ctrl Pts',(-0.267365520800967,-0.0613200128110762, 0.312422908710853)); #186359=CARTESIAN_POINT('',(-0.267365520800967,-0.0613200128110762,0.312422908710853)); #186360=CARTESIAN_POINT('',(-0.277406623925415,-0.0612765848732528,0.322257399210851)); #186361=CARTESIAN_POINT('Origin',(-0.267488836204285,-0.0625531697465019, 0.322345817421705)); #186362=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925415,-0.0612765848732528, 0.322257399210852)); #186363=CARTESIAN_POINT('Ctrl Pts',(-0.277528801284546,-0.0622425449557791, 0.322015357247867)); #186364=CARTESIAN_POINT('Ctrl Pts',(-0.277788685164898,-0.0631666187569517, 0.321473342067066)); #186365=CARTESIAN_POINT('Ctrl Pts',(-0.278147329972227,-0.0640025574751839, 0.320746374252576)); #186366=CARTESIAN_POINT('Ctrl Pts',(-0.26898365933591,-0.0640025574751843, 0.311664034791394)); #186367=CARTESIAN_POINT('Ctrl Pts',(-0.267954871683854,-0.0631666187569522, 0.312029146103345)); #186368=CARTESIAN_POINT('Ctrl Pts',(-0.267519518133373,-0.0622828983010473, 0.312301333555998)); #186369=CARTESIAN_POINT('Ctrl Pts',(-0.267365520800967,-0.0613200128110762, 0.312422908710853)); #186370=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925415,-0.0612765848732528, 0.322257399210852)); #186371=CARTESIAN_POINT('Ctrl Pts',(-0.277354918084835,-0.060868453515046, 0.322350216824925)); #186372=CARTESIAN_POINT('Ctrl Pts',(-0.277327850073464,-0.0604537891514029, 0.32239955974274)); #186373=CARTESIAN_POINT('Ctrl Pts',(-0.277326230197003,-0.0600391506316519, 0.322401190445474)); #186374=CARTESIAN_POINT('Ctrl Pts',(-0.277342937535552,-0.0609603202972137, 0.319679994404055)); #186375=CARTESIAN_POINT('Ctrl Pts',(-0.277315387616481,-0.060655311409396, 0.319750465109679)); #186376=CARTESIAN_POINT('Ctrl Pts',(-0.277301429590385,-0.0603469610777216, 0.319792135987995)); #186377=CARTESIAN_POINT('Ctrl Pts',(-0.277300524840987,-0.0600392520542416, 0.319799792095385)); #186378=CARTESIAN_POINT('Ctrl Pts',(-0.275100902255809,-0.0606026491058787, 0.314565216352177)); #186379=CARTESIAN_POINT('Ctrl Pts',(-0.275093321756146,-0.0604145413285083, 0.314594296076858)); #186380=CARTESIAN_POINT('Ctrl Pts',(-0.275098681574881,-0.0602351284762855, 0.314620921093029)); #186381=CARTESIAN_POINT('Ctrl Pts',(-0.275109796489178,-0.0600478957525631, 0.314639651335535)); #186382=CARTESIAN_POINT('Ctrl Pts',(-0.269942271068671,-0.0609923283026282, 0.312431609126046)); #186383=CARTESIAN_POINT('Ctrl Pts',(-0.269899491320882,-0.0606883021456699, 0.31246621155193)); #186384=CARTESIAN_POINT('Ctrl Pts',(-0.269898004649772,-0.06037868911852, 0.312490045527787)); #186385=CARTESIAN_POINT('Ctrl Pts',(-0.269928301310078,-0.0600683397666032, 0.3125)); #186386=CARTESIAN_POINT('Ctrl Pts',(-0.267365520800967,-0.0613200128110762, 0.312422908710853)); #186387=CARTESIAN_POINT('Ctrl Pts',(-0.267300454819907,-0.0609131805103131, 0.31247427587806)); #186388=CARTESIAN_POINT('Ctrl Pts',(-0.267290976589439,-0.0604967972531675, 0.3125)); #186389=CARTESIAN_POINT('Ctrl Pts',(-0.2673267962087,-0.0600786042182681, 0.3125)); #186390=CARTESIAN_POINT('',(-0.277326230197003,-0.0600391506316519,0.322401190445474)); #186391=CARTESIAN_POINT('Origin',(-0.2673267962087,-0.0600786042182681, 0.3225)); #186392=CARTESIAN_POINT('Ctrl Pts',(-0.277326230197003,-0.0600391506316519, 0.322401190445474)); #186393=CARTESIAN_POINT('Ctrl Pts',(-0.277327850073464,-0.0604537891514029, 0.32239955974274)); #186394=CARTESIAN_POINT('Ctrl Pts',(-0.277354918084835,-0.060868453515046, 0.322350216824925)); #186395=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925415,-0.0612765848732528, 0.322257399210852)); #186396=CARTESIAN_POINT('Ctrl Pts',(-0.267365520800967,-0.0613200128110762, 0.312422908710853)); #186397=CARTESIAN_POINT('Ctrl Pts',(-0.267300454819907,-0.0609131805103131, 0.31247427587806)); #186398=CARTESIAN_POINT('Ctrl Pts',(-0.267290976589439,-0.0604967972531675, 0.3125)); #186399=CARTESIAN_POINT('Ctrl Pts',(-0.2673267962087,-0.0600786042182681, 0.3125)); #186400=CARTESIAN_POINT('Origin',(0.,0.,0.3225)); #186401=CARTESIAN_POINT('',(-0.277537415902982,0.0579555466713304,0.322412734648578)); #186402=CARTESIAN_POINT('Origin',(-0.267748937990838,0.055911510242466, 0.3225)); #186403=CARTESIAN_POINT('',(-0.277537415903043,-0.0579555466713061,0.32241273465169)); #186404=CARTESIAN_POINT('Origin',(0.,2.18614541835639E-17,0.322412734645016)); #186405=CARTESIAN_POINT('Origin',(-0.267748937990845,-0.0559115102424319, 0.3225)); #186406=CARTESIAN_POINT('Ctrl Pts',(-0.277537415902913,0.0579555466713592, 0.322412734645016)); #186407=CARTESIAN_POINT('Ctrl Pts',(-0.277394409936451,0.0586401564821598, 0.322407654150337)); #186408=CARTESIAN_POINT('Ctrl Pts',(-0.277323498007196,0.0593397941223538, 0.322403940895609)); #186409=CARTESIAN_POINT('Ctrl Pts',(-0.277326230197004,0.0600391506313199, 0.322401190445476)); #186410=CARTESIAN_POINT('Ctrl Pts',(-0.277515176520932,0.0579509026289114, 0.319809384308836)); #186411=CARTESIAN_POINT('Ctrl Pts',(-0.277370820907124,0.0586353977218954, 0.319805159327602)); #186412=CARTESIAN_POINT('Ctrl Pts',(-0.277298517994386,0.0593395155682058, 0.319802078549282)); #186413=CARTESIAN_POINT('Ctrl Pts',(-0.277300524840988,0.0600392520539087, 0.319799792095386)); #186414=CARTESIAN_POINT('Ctrl Pts',(-0.275373234123304,0.0575036208013792, 0.314642976781068)); #186415=CARTESIAN_POINT('Ctrl Pts',(-0.275196105318976,0.0583396730395124, 0.314641501094128)); #186416=CARTESIAN_POINT('Ctrl Pts',(-0.275107777946164,0.0591929200577572, 0.314640442824421)); #186417=CARTESIAN_POINT('Ctrl Pts',(-0.275109803132433,0.0600478957259476, 0.31463964462626)); #186418=CARTESIAN_POINT('Ctrl Pts',(-0.270297415789898,0.0564436850613236, 0.3125)); #186419=CARTESIAN_POINT('Ctrl Pts',(-0.270047946017543,0.0576341670134583, 0.312500027175224)); #186420=CARTESIAN_POINT('Ctrl Pts',(-0.269923964064799,0.0588518805594117, 0.312499985321609)); #186421=CARTESIAN_POINT('Ctrl Pts',(-0.269928301310078,0.0600683397660311, 0.3125)); #186422=CARTESIAN_POINT('Ctrl Pts',(-0.267748937990831,0.0559115102424984, 0.3125)); #186423=CARTESIAN_POINT('Ctrl Pts',(-0.267463027949811,0.0572806757240199, 0.3125)); #186424=CARTESIAN_POINT('Ctrl Pts',(-0.267321277570439,0.0586799161852121, 0.3125)); #186425=CARTESIAN_POINT('Ctrl Pts',(-0.267326796208699,0.0600786042176117, 0.3125)); #186426=CARTESIAN_POINT('',(-0.277326230197004,0.0600391506313199,0.322401190445476)); #186427=CARTESIAN_POINT('Origin',(-0.267326796208699,0.0600786042176117, 0.3225)); #186428=CARTESIAN_POINT('Ctrl Pts',(-0.277326230197004,0.0600391506313199, 0.322401190445476)); #186429=CARTESIAN_POINT('Ctrl Pts',(-0.277323498007196,0.0593397941223538, 0.322403940895609)); #186430=CARTESIAN_POINT('Ctrl Pts',(-0.277394409936451,0.0586401564821598, 0.322407654150337)); #186431=CARTESIAN_POINT('Ctrl Pts',(-0.277537415902913,0.0579555466713592, 0.322412734645016)); #186432=CARTESIAN_POINT('Ctrl Pts',(-0.277326230197004,0.0600391506313199, 0.322401190445476)); #186433=CARTESIAN_POINT('Ctrl Pts',(-0.277327850073452,0.0604537891511817, 0.322399559742765)); #186434=CARTESIAN_POINT('Ctrl Pts',(-0.277354918084823,0.0608684535149372, 0.322350216824949)); #186435=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925417,0.0612765848732529, 0.32225739921085)); #186436=CARTESIAN_POINT('Ctrl Pts',(-0.277300524840988,0.0600392520539921, 0.319799792095391)); #186437=CARTESIAN_POINT('Ctrl Pts',(-0.277301429590379,0.0603469610775555, 0.319792135988016)); #186438=CARTESIAN_POINT('Ctrl Pts',(-0.277315387616475,0.0606553114093124, 0.319750465109697)); #186439=CARTESIAN_POINT('Ctrl Pts',(-0.277342937535555,0.0609603202972116, 0.319679994404053)); #186440=CARTESIAN_POINT('Ctrl Pts',(-0.275109796489188,0.0600478957524087, 0.314639651335549)); #186441=CARTESIAN_POINT('Ctrl Pts',(-0.275098681574884,0.060235128476184, 0.314620921093043)); #186442=CARTESIAN_POINT('Ctrl Pts',(-0.275093321756145,0.0604145413284531, 0.314594296076865)); #186443=CARTESIAN_POINT('Ctrl Pts',(-0.275100902255811,0.0606026491058738, 0.314565216352177)); #186444=CARTESIAN_POINT('Ctrl Pts',(-0.269928301310103,0.0600683397663509, 0.312500000000007)); #186445=CARTESIAN_POINT('Ctrl Pts',(-0.269898004649772,0.0603786891183524, 0.312490045527799)); #186446=CARTESIAN_POINT('Ctrl Pts',(-0.269899491320873,0.0606883021455857, 0.312466211551939)); #186447=CARTESIAN_POINT('Ctrl Pts',(-0.269942271068673,0.0609923283026253, 0.312431609126046)); #186448=CARTESIAN_POINT('Ctrl Pts',(-0.267326796208729,0.0600786042179322, 0.3125)); #186449=CARTESIAN_POINT('Ctrl Pts',(-0.267290976589435,0.0604967972529442, 0.312500000000013)); #186450=CARTESIAN_POINT('Ctrl Pts',(-0.267300454819892,0.0609131805102036, 0.312474275878073)); #186451=CARTESIAN_POINT('Ctrl Pts',(-0.26736552080097,0.0613200128110752, 0.312422908710852)); #186452=CARTESIAN_POINT('',(-0.26736552080097,0.0613200128110752,0.312422908710852)); #186453=CARTESIAN_POINT('',(-0.277406623925417,0.0612765848732529,0.32225739921085)); #186454=CARTESIAN_POINT('Origin',(-0.267488836204289,0.0625531697465097, 0.322345817421703)); #186455=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925417,0.0612765848732529, 0.32225739921085)); #186456=CARTESIAN_POINT('Ctrl Pts',(-0.277354918084823,0.0608684535149372, 0.322350216824949)); #186457=CARTESIAN_POINT('Ctrl Pts',(-0.277327850073452,0.0604537891511817, 0.322399559742765)); #186458=CARTESIAN_POINT('Ctrl Pts',(-0.277326230197004,0.0600391506313199, 0.322401190445476)); #186459=CARTESIAN_POINT('Ctrl Pts',(-0.267326796208729,0.0600786042179322, 0.3125)); #186460=CARTESIAN_POINT('Ctrl Pts',(-0.267290976589435,0.0604967972529442, 0.312500000000013)); #186461=CARTESIAN_POINT('Ctrl Pts',(-0.267300454819892,0.0609131805102036, 0.312474275878073)); #186462=CARTESIAN_POINT('Ctrl Pts',(-0.26736552080097,0.0613200128110752, 0.312422908710852)); #186463=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,0.0699999999999961, 0.302500000000001)); #186464=CARTESIAN_POINT('Ctrl Pts',(-0.287122488065504,0.0699999999999961, 0.302513404034176)); #186465=CARTESIAN_POINT('Ctrl Pts',(-0.287095743361624,0.069999987467597, 0.302526896136576)); #186466=CARTESIAN_POINT('Ctrl Pts',(-0.280238950267809,0.0699804085119948, 0.305985994274594)); #186467=CARTESIAN_POINT('Ctrl Pts',(-0.272595111821821,0.0681738707098266, 0.309842138503492)); #186468=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335907,0.0640025574751706, 0.311664034791396)); #186469=CARTESIAN_POINT('Ctrl Pts',(-0.28714905819615,0.0699999999999961, 0.302500000000002)); #186470=CARTESIAN_POINT('Ctrl Pts',(-0.287124365013274,0.0700089501436156, 0.302521576798394)); #186471=CARTESIAN_POINT('Ctrl Pts',(-0.287107118230618,0.0699914445323421, 0.302532210231242)); #186472=CARTESIAN_POINT('Ctrl Pts',(-0.281360929988556,0.0702306313006105, 0.307235986700198)); #186473=CARTESIAN_POINT('Ctrl Pts',(-0.275075695733364,0.0661706763184247, 0.311987290190099)); #186474=CARTESIAN_POINT('Ctrl Pts',(-0.273328618572533,0.0624229896769951, 0.312353939515904)); #186475=CARTESIAN_POINT('Ctrl Pts',(-0.28714905819615,0.0699999999999961, 0.302500000000002)); #186476=CARTESIAN_POINT('Ctrl Pts',(-0.287127702393775,0.0700089501436156, 0.302524884558782)); #186477=CARTESIAN_POINT('Ctrl Pts',(-0.287117223139856,0.0699914445323422, 0.302542225452349)); #186478=CARTESIAN_POINT('Ctrl Pts',(-0.282464860809996,0.0702306313006104, 0.308330119477594)); #186479=CARTESIAN_POINT('Ctrl Pts',(-0.277769778058581,0.0661706763184248, 0.314657461347063)); #186480=CARTESIAN_POINT('Ctrl Pts',(-0.277418718318363,0.0624229896769953, 0.316407738020456)); #186481=CARTESIAN_POINT('Ctrl Pts',(-0.28714905819615,0.0699999999999961, 0.302500000000002)); #186482=CARTESIAN_POINT('Ctrl Pts',(-0.287135891567012,0.0699999999999961, 0.30252668857156)); #186483=CARTESIAN_POINT('Ctrl Pts',(-0.287122638429371,0.0699999874675971, 0.302553552494548)); #186484=CARTESIAN_POINT('Ctrl Pts',(-0.283724806011001,0.0699804085119948, 0.309440910921159)); #186485=CARTESIAN_POINT('Ctrl Pts',(-0.279936958564279,0.0681738707098269, 0.317118823094089)); #186486=CARTESIAN_POINT('Ctrl Pts',(-0.278147329972227,0.064002557475171, 0.32074637425258)); #186487=CARTESIAN_POINT('',(-0.278147329972227,0.064002557475171,0.32074637425258)); #186488=CARTESIAN_POINT('',(-0.268983659335907,0.0640025574751706,0.311664034791396)); #186489=CARTESIAN_POINT('Origin',(-0.268983659335907,0.0680051149503458, 0.320828069582792)); #186490=CARTESIAN_POINT('',(-0.287149058196149,0.0699999999999961,0.3025)); #186491=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335907,0.0640025574751706, 0.311664034791396)); #186492=CARTESIAN_POINT('Ctrl Pts',(-0.272595111821821,0.0681738707098266, 0.309842138503492)); #186493=CARTESIAN_POINT('Ctrl Pts',(-0.280238950267809,0.0699804085119948, 0.305985994274594)); #186494=CARTESIAN_POINT('Ctrl Pts',(-0.287095743361624,0.069999987467597, 0.302526896136576)); #186495=CARTESIAN_POINT('Ctrl Pts',(-0.287122488065504,0.0699999999999961, 0.302513404034176)); #186496=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,0.0699999999999961, 0.302500000000001)); #186497=CARTESIAN_POINT('Ctrl Pts',(-0.28714905819615,0.0699999999999961, 0.302500000000002)); #186498=CARTESIAN_POINT('Ctrl Pts',(-0.287135891567012,0.0699999999999961, 0.30252668857156)); #186499=CARTESIAN_POINT('Ctrl Pts',(-0.287122638429371,0.0699999874675971, 0.302553552494548)); #186500=CARTESIAN_POINT('Ctrl Pts',(-0.283724806011001,0.0699804085119948, 0.309440910921159)); #186501=CARTESIAN_POINT('Ctrl Pts',(-0.279936958564279,0.0681738707098269, 0.317118823094089)); #186502=CARTESIAN_POINT('Ctrl Pts',(-0.278147329972227,0.064002557475171, 0.32074637425258)); #186503=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925417,0.0612765848732529, 0.32225739921085)); #186504=CARTESIAN_POINT('Ctrl Pts',(-0.277528800876798,0.0622425417320092, 0.322015358055647)); #186505=CARTESIAN_POINT('Ctrl Pts',(-0.277788686361829,0.0631666215467779, 0.321473339640907)); #186506=CARTESIAN_POINT('Ctrl Pts',(-0.278147329972226,0.0640025574751705, 0.32074637425258)); #186507=CARTESIAN_POINT('Ctrl Pts',(-0.277342937535555,0.0609603202972116, 0.319679994404053)); #186508=CARTESIAN_POINT('Ctrl Pts',(-0.277402189241686,0.0616834067827029, 0.319494553255777)); #186509=CARTESIAN_POINT('Ctrl Pts',(-0.277527261637535,0.0624107494075377, 0.319102245014271)); #186510=CARTESIAN_POINT('Ctrl Pts',(-0.277783024145295,0.0632127735760827, 0.318577056136518)); #186511=CARTESIAN_POINT('Ctrl Pts',(-0.275100891516907,0.0606026518576173, 0.314565227328845)); #186512=CARTESIAN_POINT('Ctrl Pts',(-0.275108228599568,0.0610505390460641, 0.314489722494259)); #186513=CARTESIAN_POINT('Ctrl Pts',(-0.275141676994968,0.0615448767094584, 0.314396553925287)); #186514=CARTESIAN_POINT('Ctrl Pts',(-0.275489747518855,0.0623215863897283, 0.314263720221685)); #186515=CARTESIAN_POINT('Ctrl Pts',(-0.269942271068673,0.0609923283026253, 0.312431609126046)); #186516=CARTESIAN_POINT('Ctrl Pts',(-0.270039911537582,0.0617130793839069, 0.312349728798026)); #186517=CARTESIAN_POINT('Ctrl Pts',(-0.270339299271025,0.0624107494075374, 0.312197034140662)); #186518=CARTESIAN_POINT('Ctrl Pts',(-0.27115613895422,0.0632127735760825, 0.31200898715365)); #186519=CARTESIAN_POINT('Ctrl Pts',(-0.26736552080097,0.0613200128110752, 0.312422908710851)); #186520=CARTESIAN_POINT('Ctrl Pts',(-0.267519517619431,0.0622828950875372, 0.312301333961737)); #186521=CARTESIAN_POINT('Ctrl Pts',(-0.267954875117302,0.0631666215467775, 0.312029144884834)); #186522=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335907,0.0640025574751702, 0.311664034791396)); #186523=CARTESIAN_POINT('Ctrl Pts',(-0.278147329972226,0.0640025574751705, 0.32074637425258)); #186524=CARTESIAN_POINT('Ctrl Pts',(-0.277788686361829,0.0631666215467779, 0.321473339640907)); #186525=CARTESIAN_POINT('Ctrl Pts',(-0.277528800876798,0.0622425417320092, 0.322015358055647)); #186526=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925417,0.0612765848732529, 0.32225739921085)); #186527=CARTESIAN_POINT('Ctrl Pts',(-0.26736552080097,0.0613200128110752, 0.312422908710851)); #186528=CARTESIAN_POINT('Ctrl Pts',(-0.267519517619431,0.0622828950875372, 0.312301333961737)); #186529=CARTESIAN_POINT('Ctrl Pts',(-0.267954875117302,0.0631666215467775, 0.312029144884834)); #186530=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335907,0.0640025574751702, 0.311664034791396)); #186531=CARTESIAN_POINT('Ctrl Pts',(-0.277326230197003,-0.0600391506316519, 0.322401190445475)); #186532=CARTESIAN_POINT('Ctrl Pts',(-0.277323498007173,-0.0593397941225755, 0.322403940895608)); #186533=CARTESIAN_POINT('Ctrl Pts',(-0.277394409936428,-0.0586401564822687, 0.322407654150337)); #186534=CARTESIAN_POINT('Ctrl Pts',(-0.277537415902912,-0.05795554667136, 0.322412734645016)); #186535=CARTESIAN_POINT('Ctrl Pts',(-0.277300524840987,-0.0600392520542416, 0.319799792095385)); #186536=CARTESIAN_POINT('Ctrl Pts',(-0.277298517994363,-0.0593395155684282, 0.319802078549281)); #186537=CARTESIAN_POINT('Ctrl Pts',(-0.2773708209071,-0.0586353977220042, 0.319805159327601)); #186538=CARTESIAN_POINT('Ctrl Pts',(-0.277515176520932,-0.0579509026289122, 0.319809384308836)); #186539=CARTESIAN_POINT('Ctrl Pts',(-0.275109803132432,-0.0600478957263516, 0.314639644626259)); #186540=CARTESIAN_POINT('Ctrl Pts',(-0.275107777946135,-0.0591929200580262, 0.314640442824421)); #186541=CARTESIAN_POINT('Ctrl Pts',(-0.275196105318947,-0.0583396730396437, 0.314641501094128)); #186542=CARTESIAN_POINT('Ctrl Pts',(-0.275373234123304,-0.0575036208013786, 0.314642976781068)); #186543=CARTESIAN_POINT('Ctrl Pts',(-0.269928301310078,-0.0600683397666032, 0.3125)); #186544=CARTESIAN_POINT('Ctrl Pts',(-0.26992396406476,-0.0588518805597917, 0.312499985321609)); #186545=CARTESIAN_POINT('Ctrl Pts',(-0.270047946017504,-0.057634167013642, 0.312500027175224)); #186546=CARTESIAN_POINT('Ctrl Pts',(-0.270297415789899,-0.0564436850613194, 0.3125)); #186547=CARTESIAN_POINT('Ctrl Pts',(-0.2673267962087,-0.0600786042182681, 0.3125)); #186548=CARTESIAN_POINT('Ctrl Pts',(-0.267321277570394,-0.0586799161856478, 0.3125)); #186549=CARTESIAN_POINT('Ctrl Pts',(-0.267463027949766,-0.05728067572423, 0.3125)); #186550=CARTESIAN_POINT('Ctrl Pts',(-0.267748937990832,-0.0559115102424925, 0.3125)); #186551=CARTESIAN_POINT('Ctrl Pts',(-0.277537415902912,-0.05795554667136, 0.322412734645016)); #186552=CARTESIAN_POINT('Ctrl Pts',(-0.277394409936428,-0.0586401564822687, 0.322407654150337)); #186553=CARTESIAN_POINT('Ctrl Pts',(-0.277323498007173,-0.0593397941225755, 0.322403940895608)); #186554=CARTESIAN_POINT('Ctrl Pts',(-0.277326230197003,-0.0600391506316519, 0.322401190445475)); #186555=CARTESIAN_POINT('Ctrl Pts',(-0.2772311438801,-0.0612756007372351, 0.3025884215963)); #186556=CARTESIAN_POINT('Ctrl Pts',(-0.277289637983604,-0.0612759289808076, 0.309144747460387)); #186557=CARTESIAN_POINT('Ctrl Pts',(-0.277348131331591,-0.0612762570260268, 0.315701073331909)); #186558=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925415,-0.0612765848732528, 0.322257399210852)); #186559=CARTESIAN_POINT('Ctrl Pts',(-0.277494068958689,-0.06332003015078, 0.302586077529351)); #186560=CARTESIAN_POINT('Ctrl Pts',(-0.277552625246502,-0.0633203032292319, 0.309142402858572)); #186561=CARTESIAN_POINT('Ctrl Pts',(-0.277611179064898,-0.063320574854703, 0.3156987282118)); #186562=CARTESIAN_POINT('Ctrl Pts',(-0.27766973375847,-0.0633208475970428, 0.322255053556624)); #186563=CARTESIAN_POINT('Ctrl Pts',(-0.27962754036465,-0.067901709572224, 0.302567056902495)); #186564=CARTESIAN_POINT('Ctrl Pts',(-0.279686161009561,-0.0679018114785461, 0.309123381820666)); #186565=CARTESIAN_POINT('Ctrl Pts',(-0.279744782142131,-0.0679019115157312, 0.315679706734125)); #186566=CARTESIAN_POINT('Ctrl Pts',(-0.279803401094899,-0.0679020132919303, 0.322236031668503)); #186567=CARTESIAN_POINT('Ctrl Pts',(-0.284400809773104,-0.0700000000000039, 0.302524501574102)); #186568=CARTESIAN_POINT('Ctrl Pts',(-0.284459325675242,-0.0699999979510647, 0.309080827787526)); #186569=CARTESIAN_POINT('Ctrl Pts',(-0.284517837876444,-0.0700000020460991, 0.315637154036079)); #186570=CARTESIAN_POINT('Ctrl Pts',(-0.284576352210058,-0.0700000000000038, 0.322193480263899)); #186571=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,-0.0700000000000038, 0.302499999999994)); #186572=CARTESIAN_POINT('Ctrl Pts',(-0.287207510092403,-0.0700000000000038, 0.309056326993344)); #186573=CARTESIAN_POINT('Ctrl Pts',(-0.287265961242079,-0.0700000000000037, 0.315612653993349)); #186574=CARTESIAN_POINT('Ctrl Pts',(-0.287324411646544,-0.0700000000000037, 0.322168980999998)); #186575=CARTESIAN_POINT('',(-0.287324411646544,-0.0700000000000037,0.322168980999998)); #186576=CARTESIAN_POINT('Origin',(-0.287324411646544,-0.0600000000000037, 0.322168980999997)); #186577=CARTESIAN_POINT('',(-0.287238211035581,-0.0700000000000037,0.3125)); #186578=CARTESIAN_POINT('Ctrl Pts',(-0.287324411646544,-0.0700000000000037, 0.322168980999998)); #186579=CARTESIAN_POINT('Ctrl Pts',(-0.287295678289696,-0.0700000000000037, 0.318945987331726)); #186580=CARTESIAN_POINT('Ctrl Pts',(-0.287266944752762,-0.0700000000000037, 0.31572299366506)); #186581=CARTESIAN_POINT('Ctrl Pts',(-0.287238211035581,-0.0700000000000037, 0.3125)); #186582=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,-0.0700000000000038, 0.302499999999994)); #186583=CARTESIAN_POINT('Ctrl Pts',(-0.287178776002213,-0.0700000000000038, 0.305833333331609)); #186584=CARTESIAN_POINT('Ctrl Pts',(-0.287208493615297,-0.0700000000000037, 0.309166666664945)); #186585=CARTESIAN_POINT('Ctrl Pts',(-0.287238211035581,-0.0700000000000037, 0.3125)); #186586=CARTESIAN_POINT('Ctrl Pts',(-0.277535938686247,-0.0579559398581864, 0.322256246354982)); #186587=CARTESIAN_POINT('Ctrl Pts',(-0.277594969808105,-0.0579563428384827, 0.328887151095905)); #186588=CARTESIAN_POINT('Ctrl Pts',(-0.278920110297572,-0.0526868640802281, 0.344305075960628)); #186589=CARTESIAN_POINT('Ctrl Pts',(-0.281341858515641,-0.0380814031761767, 0.351626325354984)); #186590=CARTESIAN_POINT('Ctrl Pts',(-0.282232318923791,-0.0295873413877913, 0.351626325354984)); #186591=CARTESIAN_POINT('Ctrl Pts',(-0.276930698406503,-0.0608545086706948, 0.322261642141238)); #186592=CARTESIAN_POINT('Ctrl Pts',(-0.276996826799089,-0.0608515636385745, 0.329577582619241)); #186593=CARTESIAN_POINT('Ctrl Pts',(-0.278555427362435,-0.0548934808748549, 0.346524668689859)); #186594=CARTESIAN_POINT('Ctrl Pts',(-0.281282269681137,-0.0388561255174923, 0.354282213267798)); #186595=CARTESIAN_POINT('Ctrl Pts',(-0.282254914196037,-0.0295897101244273, 0.354229675691163)); #186596=CARTESIAN_POINT('Ctrl Pts',(-0.278479877957859,-0.0671887186475668, 0.32224783102851)); #186597=CARTESIAN_POINT('Ctrl Pts',(-0.278552620847111,-0.0671779439128602, 0.33091381884051)); #186598=CARTESIAN_POINT('Ctrl Pts',(-0.280352628548905,-0.0597566139413018, 0.350892129713007)); #186599=CARTESIAN_POINT('Ctrl Pts',(-0.283381184061757,-0.0406916959828034, 0.359550786465483)); #186600=CARTESIAN_POINT('Ctrl Pts',(-0.284428627311551,-0.029817587612991, 0.359398625193416)); #186601=CARTESIAN_POINT('Ctrl Pts',(-0.28436344064886,-0.0700000000000039, 0.322195378394797)); #186602=CARTESIAN_POINT('Ctrl Pts',(-0.284427285265225,-0.0699938589409971, 0.331231813440265)); #186603=CARTESIAN_POINT('Ctrl Pts',(-0.285942379287864,-0.0623728246801429, 0.352147475453366)); #186604=CARTESIAN_POINT('Ctrl Pts',(-0.288622992598226,-0.0420617130316626, 0.361622142869666)); #186605=CARTESIAN_POINT('Ctrl Pts',(-0.289588178621679,-0.0303584803307445, 0.36153906)); #186606=CARTESIAN_POINT('Ctrl Pts',(-0.287324411646544,-0.0700000000000038, 0.322168980999998)); #186607=CARTESIAN_POINT('Ctrl Pts',(-0.287383526920032,-0.0700000000000042, 0.331057593233271)); #186608=CARTESIAN_POINT('Ctrl Pts',(-0.288750750495856,-0.0626859479608442, 0.351725052791013)); #186609=CARTESIAN_POINT('Ctrl Pts',(-0.291255601082296,-0.042417280286646, 0.36153906)); #186610=CARTESIAN_POINT('Ctrl Pts',(-0.292177438958764,-0.0306299210000035, 0.36153906)); #186611=CARTESIAN_POINT('',(-0.282232318923791,-0.0295873413877913,0.351626325354984)); #186612=CARTESIAN_POINT('Ctrl Pts',(-0.277537426146744,-0.0579555302890652, 0.322412730611497)); #186613=CARTESIAN_POINT('Ctrl Pts',(-0.27760391750166,-0.0579207053945662, 0.328991019882288)); #186614=CARTESIAN_POINT('Ctrl Pts',(-0.278928275044985,-0.0526376228344852, 0.344329759018762)); #186615=CARTESIAN_POINT('Ctrl Pts',(-0.281341858515641,-0.0380814031761767, 0.351626325354984)); #186616=CARTESIAN_POINT('Ctrl Pts',(-0.282232318923791,-0.0295873413877913, 0.351626325354984)); #186617=CARTESIAN_POINT('',(-0.292177438958764,-0.0306299210000035,0.36153906)); #186618=CARTESIAN_POINT('Origin',(-0.292177438958764,-0.0306299210000035, 0.35153906)); #186619=CARTESIAN_POINT('Ctrl Pts',(-0.292177438958764,-0.0306299210000035, 0.36153906)); #186620=CARTESIAN_POINT('Ctrl Pts',(-0.291255601082296,-0.042417280286646, 0.36153906)); #186621=CARTESIAN_POINT('Ctrl Pts',(-0.288750750495856,-0.0626859479608442, 0.351725052791013)); #186622=CARTESIAN_POINT('Ctrl Pts',(-0.287383526920032,-0.0700000000000042, 0.331057593233271)); #186623=CARTESIAN_POINT('Ctrl Pts',(-0.287324411646544,-0.0700000000000038, 0.322168980999998)); #186624=CARTESIAN_POINT('Origin',(0.,0.,0.3125)); #186625=CARTESIAN_POINT('',(-0.282232318923792,0.0295873413877843,0.351626325354984)); #186626=CARTESIAN_POINT('Ctrl Pts',(-0.282232318923792,0.0295873413877844, 0.351626325354984)); #186627=CARTESIAN_POINT('Ctrl Pts',(-0.281564474004463,0.035957884039562, 0.351626325354984)); #186628=CARTESIAN_POINT('Ctrl Pts',(-0.280011228854837,0.0464778756477424, 0.347787175413862)); #186629=CARTESIAN_POINT('Ctrl Pts',(-0.278078706642057,0.0559499082579825, 0.335534844756944)); #186630=CARTESIAN_POINT('Ctrl Pts',(-0.277581177169669,0.0579327919106331, 0.326780820025091)); #186631=CARTESIAN_POINT('Ctrl Pts',(-0.2775374190682,0.0579555371141567, 0.322412729964649)); #186632=CARTESIAN_POINT('Origin',(2.18547839493141E-17,-1.64108339073379E-17, 0.351626325354984)); #186633=CARTESIAN_POINT('Ctrl Pts',(-0.282232318923792,0.0295873413877844, 0.351626325354984)); #186634=CARTESIAN_POINT('Ctrl Pts',(-0.281564474004463,0.035957884039562, 0.351626325354984)); #186635=CARTESIAN_POINT('Ctrl Pts',(-0.280011228854837,0.0464778756477424, 0.347787175413862)); #186636=CARTESIAN_POINT('Ctrl Pts',(-0.278072169232158,0.0559819506114087, 0.335493397105172)); #186637=CARTESIAN_POINT('Ctrl Pts',(-0.277575292744695,0.0579562085115546, 0.326676846955397)); #186638=CARTESIAN_POINT('Ctrl Pts',(-0.277535938686249,0.057955939858179, 0.322256246354981)); #186639=CARTESIAN_POINT('Ctrl Pts',(-0.282254914196038,0.0295897101244203, 0.354229675691163)); #186640=CARTESIAN_POINT('Ctrl Pts',(-0.281525431232344,0.0365395176442255, 0.354269078850819)); #186641=CARTESIAN_POINT('Ctrl Pts',(-0.279795681320123,0.0480542504976227, 0.350219518115735)); #186642=CARTESIAN_POINT('Ctrl Pts',(-0.27755274458063,0.058627081344853, 0.336857890805278)); #186643=CARTESIAN_POINT('Ctrl Pts',(-0.27697478397603,0.0608525453170777, 0.327138932968546)); #186644=CARTESIAN_POINT('Ctrl Pts',(-0.276930698406505,0.0608545086706872, 0.322261642141236)); #186645=CARTESIAN_POINT('Ctrl Pts',(-0.284428627311703,0.0298175876129998, 0.359398625193263)); #186646=CARTESIAN_POINT('Ctrl Pts',(-0.283643045329329,0.0379731641670421, 0.35951274608122)); #186647=CARTESIAN_POINT('Ctrl Pts',(-0.281731875016794,0.05159013557107, 0.355020010048412)); #186648=CARTESIAN_POINT('Ctrl Pts',(-0.279200037926336,0.0644155880828018, 0.339533390531847)); #186649=CARTESIAN_POINT('Ctrl Pts',(-0.278528373189547,0.0671815354950276, 0.328025152890559)); #186650=CARTESIAN_POINT('Ctrl Pts',(-0.278479877958132,0.0671887186473382, 0.322247831028505)); #186651=CARTESIAN_POINT('Ctrl Pts',(-0.28958817862168,0.0303584803307373, 0.36153906)); #186652=CARTESIAN_POINT('Ctrl Pts',(-0.288864289523332,0.0391358997729676, 0.361601372116161)); #186653=CARTESIAN_POINT('Ctrl Pts',(-0.287157089826002,0.0536937241431193, 0.356667059376141)); #186654=CARTESIAN_POINT('Ctrl Pts',(-0.284970533966362,0.0671600698061141, 0.340217951084694)); #186655=CARTESIAN_POINT('Ctrl Pts',(-0.284406003701787,0.0699959059630295, 0.32821966493613)); #186656=CARTESIAN_POINT('Ctrl Pts',(-0.284363440648861,0.069999999999996, 0.322195378394794)); #186657=CARTESIAN_POINT('Ctrl Pts',(-0.292177438958764,0.0306299209999963, 0.36153906)); #186658=CARTESIAN_POINT('Ctrl Pts',(-0.291486060951826,0.0394704353449783, 0.36153906)); #186659=CARTESIAN_POINT('Ctrl Pts',(-0.28987925774793,0.0540694019868496, 0.356392746417567)); #186660=CARTESIAN_POINT('Ctrl Pts',(-0.287875565931179,0.0672594644833516, 0.339913150866544)); #186661=CARTESIAN_POINT('Ctrl Pts',(-0.287363821806046,0.0699999999999967, 0.328094719056942)); #186662=CARTESIAN_POINT('Ctrl Pts',(-0.287324411646545,0.0699999999999961, 0.322168980999996)); #186663=CARTESIAN_POINT('',(-0.287324411646545,0.0699999999999961,0.322168980999998)); #186664=CARTESIAN_POINT('Origin',(-0.287324411646545,0.0599999999999961, 0.322168980999997)); #186665=CARTESIAN_POINT('',(-0.292177438958765,0.0306299209999963,0.36153906)); #186666=CARTESIAN_POINT('Ctrl Pts',(-0.287324411646545,0.0699999999999961, 0.322168980999996)); #186667=CARTESIAN_POINT('Ctrl Pts',(-0.287363821806046,0.0699999999999967, 0.328094719056942)); #186668=CARTESIAN_POINT('Ctrl Pts',(-0.287875565931179,0.0672594644833516, 0.339913150866544)); #186669=CARTESIAN_POINT('Ctrl Pts',(-0.28987925774793,0.0540694019868496, 0.356392746417567)); #186670=CARTESIAN_POINT('Ctrl Pts',(-0.291486060951826,0.0394704353449783, 0.36153906)); #186671=CARTESIAN_POINT('Ctrl Pts',(-0.292177438958764,0.0306299209999963, 0.36153906)); #186672=CARTESIAN_POINT('Origin',(-0.292177438958765,0.0306299209999963, 0.35153906)); #186673=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,0.0699999999999961, 0.302499999999994)); #186674=CARTESIAN_POINT('Ctrl Pts',(-0.287207510092403,0.0699999999999961, 0.309056326993344)); #186675=CARTESIAN_POINT('Ctrl Pts',(-0.28726596124208,0.0699999999999961, 0.315612653993349)); #186676=CARTESIAN_POINT('Ctrl Pts',(-0.287324411646545,0.0699999999999961, 0.322168980999998)); #186677=CARTESIAN_POINT('Ctrl Pts',(-0.285087871878867,0.069999999999996, 0.302518376180575)); #186678=CARTESIAN_POINT('Ctrl Pts',(-0.285146370730302,0.0699999993962771, 0.309074702599059)); #186679=CARTESIAN_POINT('Ctrl Pts',(-0.285204869765566,0.0700000006028253, 0.315631029015333)); #186680=CARTESIAN_POINT('Ctrl Pts',(-0.285263367069181,0.069999999999996, 0.322187355447923)); #186681=CARTESIAN_POINT('Ctrl Pts',(-0.280271655716491,0.0684683125213485, 0.30256131439396)); #186682=CARTESIAN_POINT('Ctrl Pts',(-0.280330265092855,0.0684683855317744, 0.309117639461695)); #186683=CARTESIAN_POINT('Ctrl Pts',(-0.280388875740327,0.068468457606207, 0.315673964517087)); #186684=CARTESIAN_POINT('Ctrl Pts',(-0.28044748343684,0.0684685305236391, 0.322230289600824)); #186685=CARTESIAN_POINT('Ctrl Pts',(-0.277581710651557,0.0640015066219598, 0.302585296173701)); #186686=CARTESIAN_POINT('Ctrl Pts',(-0.277640289207364,0.0640017602337057, 0.309141621309832)); #186687=CARTESIAN_POINT('Ctrl Pts',(-0.277698860105004,0.0640020152078527, 0.315697946519877)); #186688=CARTESIAN_POINT('Ctrl Pts',(-0.27775743703616,0.0640022685049711, 0.322254271671881)); #186689=CARTESIAN_POINT('Ctrl Pts',(-0.277231143880102,0.0612756007372352, 0.302588421596301)); #186690=CARTESIAN_POINT('Ctrl Pts',(-0.277289637983607,0.0612759289808077, 0.309144747460387)); #186691=CARTESIAN_POINT('Ctrl Pts',(-0.277348131331594,0.0612762570260269, 0.315701073331909)); #186692=CARTESIAN_POINT('Ctrl Pts',(-0.277406623925417,0.0612765848732529, 0.322257399210851)); #186693=CARTESIAN_POINT('',(-0.287238211035582,0.0699999999999961,0.3125)); #186694=CARTESIAN_POINT('Ctrl Pts',(-0.287149058196149,0.0699999999999961, 0.302499999999994)); #186695=CARTESIAN_POINT('Ctrl Pts',(-0.287178776002213,0.0699999999999961, 0.30583333333161)); #186696=CARTESIAN_POINT('Ctrl Pts',(-0.287208493615298,0.0699999999999961, 0.309166666664945)); #186697=CARTESIAN_POINT('Ctrl Pts',(-0.287238211035582,0.0699999999999961, 0.3125)); #186698=CARTESIAN_POINT('Ctrl Pts',(-0.287238211035582,0.0699999999999961, 0.3125)); #186699=CARTESIAN_POINT('Ctrl Pts',(-0.287266944752763,0.0699999999999961, 0.315722993665059)); #186700=CARTESIAN_POINT('Ctrl Pts',(-0.287295678289696,0.0699999999999961, 0.318945987331726)); #186701=CARTESIAN_POINT('Ctrl Pts',(-0.287324411646545,0.0699999999999961, 0.322168980999998)); #186702=CARTESIAN_POINT('Origin',(-0.185474348340458,0.0599999999999922, 0.3025)); #186703=CARTESIAN_POINT('',(-0.268983659335906,0.0699999999999954,0.3025)); #186704=CARTESIAN_POINT('Origin',(-0.268983659335907,0.0599999999999954, 0.3025)); #186705=CARTESIAN_POINT('',(-0.185474348340458,0.0699999999999923,0.3025)); #186706=CARTESIAN_POINT('Origin',(0.,0.,0.38886921)); #186707=CARTESIAN_POINT('',(0.0510024133791039,0.467640783345942,0.388956475354984)); #186708=CARTESIAN_POINT('',(0.0520865752545759,0.477581456250006,0.39886921)); #186709=CARTESIAN_POINT('Origin',(0.0520865752545759,0.477581456250006, 0.38886921)); #186710=CARTESIAN_POINT('',(-0.0520865752545758,0.477581456250006,0.39886921)); #186711=CARTESIAN_POINT('Origin',(0.,0.,0.39886921)); #186712=CARTESIAN_POINT('',(-0.0510024133791038,0.467640783345942,0.388956475354984)); #186713=CARTESIAN_POINT('Origin',(-0.0520865752545758,0.477581456250006, 0.38886921)); #186714=CARTESIAN_POINT('Origin',(0.,0.,0.388956475354984)); #186715=CARTESIAN_POINT('Origin',(-0.0520865752545758,0.534478121932467, 0.38886921)); #186716=CARTESIAN_POINT('',(-0.0620861944852175,0.477581456250006,0.388956475354984)); #186717=CARTESIAN_POINT('Origin',(-0.0520865752545758,0.477581456250006, 0.38886921)); #186718=CARTESIAN_POINT('',(-0.0520865752545758,0.547112778482008,0.39886921)); #186719=CARTESIAN_POINT('',(-0.0520865752545758,0.534478121932467,0.39886921)); #186720=CARTESIAN_POINT('',(-0.0620861944852175,0.547112778482008,0.388956475354984)); #186721=CARTESIAN_POINT('Origin',(-0.0520865752545758,0.547112778482008, 0.38886921)); #186722=CARTESIAN_POINT('',(-0.0620861944852175,0.534478121932467,0.388956475354984)); #186723=CARTESIAN_POINT('Origin',(-0.0520865752545758,0.477581456250006, 0.38886921)); #186724=CARTESIAN_POINT('Origin',(-0.0520865752545758,0.477581456250006, 0.38886921)); #186725=CARTESIAN_POINT('Origin',(0.,0.,0.38886921)); #186726=CARTESIAN_POINT('',(-0.0530342802077629,0.55706738746878,0.388956475354984)); #186727=CARTESIAN_POINT('Origin',(-0.0520865752545758,0.547112778482008, 0.38886921)); #186728=CARTESIAN_POINT('',(0.0520865752545759,0.547112778482008,0.39886921)); #186729=CARTESIAN_POINT('Origin',(0.,0.,0.39886921)); #186730=CARTESIAN_POINT('',(0.053034280207763,0.55706738746878,0.388956475354984)); #186731=CARTESIAN_POINT('Origin',(0.0520865752545759,0.547112778482008, 0.38886921)); #186732=CARTESIAN_POINT('Origin',(-1.09193173049047E-17,0.,0.388956475354984)); #186733=CARTESIAN_POINT('Origin',(-0.0520865752545758,0.547112778482008, 0.38886921)); #186734=CARTESIAN_POINT('Origin',(-0.0520865752545758,0.547112778482008, 0.38886921)); #186735=CARTESIAN_POINT('Origin',(0.0520865752545759,0.489140387822423, 0.38886921)); #186736=CARTESIAN_POINT('',(0.0620861944852176,0.547112778482008,0.388956475354984)); #186737=CARTESIAN_POINT('Origin',(0.0520865752545759,0.547112778482008, 0.38886921)); #186738=CARTESIAN_POINT('',(0.0520865752545759,0.489140387822423,0.39886921)); #186739=CARTESIAN_POINT('',(0.0620861944852176,0.477581456250006,0.388956475354984)); #186740=CARTESIAN_POINT('Origin',(0.0520865752545759,0.477581456250006, 0.38886921)); #186741=CARTESIAN_POINT('',(0.0620861944852176,0.489140387822423,0.388956475354984)); #186742=CARTESIAN_POINT('Origin',(0.0520865752545759,0.547112778482008, 0.38886921)); #186743=CARTESIAN_POINT('Origin',(0.0520865752545759,0.547112778482008, 0.38886921)); #186744=CARTESIAN_POINT('Origin',(0.0520865752545759,0.477581456250006, 0.38886921)); #186745=CARTESIAN_POINT('Origin',(0.0520865752545759,0.477581456250006, 0.38886921)); #186746=CARTESIAN_POINT('Ctrl Pts',(-0.0653925359908767,0.550111081670269, 0.0100872653549836)); #186747=CARTESIAN_POINT('Ctrl Pts',(-0.0642904219677511,0.54911437074265, 0.136377023553527)); #186748=CARTESIAN_POINT('Ctrl Pts',(-0.0631883081311572,0.548114951270544, 0.26266676037766)); #186749=CARTESIAN_POINT('Ctrl Pts',(-0.0620861944852175,0.547112778482008, 0.388956475354984)); #186750=CARTESIAN_POINT('Ctrl Pts',(-0.0653923672233982,0.552561624802214, 0.0101066041925271)); #186751=CARTESIAN_POINT('Ctrl Pts',(-0.0642903325830191,0.551567937634009, 0.136396422032288)); #186752=CARTESIAN_POINT('Ctrl Pts',(-0.0631880565850246,0.550571499084457, 0.262686216335558)); #186753=CARTESIAN_POINT('Ctrl Pts',(-0.0620860241652268,0.549572385246048, 0.388975992092811)); #186754=CARTESIAN_POINT('Ctrl Pts',(-0.0635033539842099,0.557445859414932, 0.0101286666469218)); #186755=CARTESIAN_POINT('Ctrl Pts',(-0.062396367315823,0.556458021538063, 0.136418557157603)); #186756=CARTESIAN_POINT('Ctrl Pts',(-0.0612894118792621,0.555467617258861, 0.262708429157728)); #186757=CARTESIAN_POINT('Ctrl Pts',(-0.0601823478302067,0.554474417644506, 0.388998279068535)); #186758=CARTESIAN_POINT('Ctrl Pts',(-0.0588329493641843,0.559814707121127, 0.0101066041925271)); #186759=CARTESIAN_POINT('Ctrl Pts',(-0.0577163308715127,0.558823981884847, 0.136396422032288)); #186760=CARTESIAN_POINT('Ctrl Pts',(-0.0565996161540757,0.557830291371816, 0.262686216335558)); #186761=CARTESIAN_POINT('Ctrl Pts',(-0.0554827996582944,0.556834110888115, 0.388975992092811)); #186762=CARTESIAN_POINT('Ctrl Pts',(-0.0563947528461858,0.560060388641848, 0.0100872653549836)); #186763=CARTESIAN_POINT('Ctrl Pts',(-0.0552747030118385,0.559065483743832, 0.136377023553527)); #186764=CARTESIAN_POINT('Ctrl Pts',(-0.0541545461134125,0.558067832114457, 0.26266676037766)); #186765=CARTESIAN_POINT('Ctrl Pts',(-0.0530342802077629,0.55706738746878, 0.388956475354984)); #186766=CARTESIAN_POINT('',(-0.0563947528461858,0.560060388641848,0.0100872653549836)); #186767=CARTESIAN_POINT('Ctrl Pts',(-0.0530342802077629,0.55706738746878, 0.388956475354984)); #186768=CARTESIAN_POINT('Ctrl Pts',(-0.0541545461134125,0.558067832114457, 0.26266676037766)); #186769=CARTESIAN_POINT('Ctrl Pts',(-0.0552747030118385,0.559065483743832, 0.136377023553527)); #186770=CARTESIAN_POINT('Ctrl Pts',(-0.0563947528461858,0.560060388641848, 0.0100872653549836)); #186771=CARTESIAN_POINT('',(-0.0653925359908767,0.550111081670269,0.0100872653549837)); #186772=CARTESIAN_POINT('Origin',(-0.055392916760235,0.550111081670269, 0.01)); #186773=CARTESIAN_POINT('Ctrl Pts',(-0.0653925359908767,0.550111081670269, 0.0100872653549836)); #186774=CARTESIAN_POINT('Ctrl Pts',(-0.0642904219677511,0.54911437074265, 0.136377023553527)); #186775=CARTESIAN_POINT('Ctrl Pts',(-0.0631883081311572,0.548114951270544, 0.26266676037766)); #186776=CARTESIAN_POINT('Ctrl Pts',(-0.0620861944852175,0.547112778482008, 0.388956475354984)); #186777=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #186778=CARTESIAN_POINT('',(0.0563947528461859,0.560060388641848,0.0100872653549836)); #186779=CARTESIAN_POINT('Ctrl Pts',(0.0563947528461859,0.560060388641848, 0.0100872653549836)); #186780=CARTESIAN_POINT('Ctrl Pts',(0.0552747030118387,0.559065483743832, 0.136377023553527)); #186781=CARTESIAN_POINT('Ctrl Pts',(0.0541545461134126,0.558067832114457, 0.26266676037766)); #186782=CARTESIAN_POINT('Ctrl Pts',(0.053034280207763,0.55706738746878, 0.388956475354984)); #186783=CARTESIAN_POINT('Origin',(-1.09838346078233E-17,0.,0.0100872653549837)); #186784=CARTESIAN_POINT('Ctrl Pts',(0.0563947528461859,0.560060388641848, 0.0100872653549836)); #186785=CARTESIAN_POINT('Ctrl Pts',(0.0552747030118387,0.559065483743832, 0.136377023553527)); #186786=CARTESIAN_POINT('Ctrl Pts',(0.0541545461134126,0.558067832114457, 0.26266676037766)); #186787=CARTESIAN_POINT('Ctrl Pts',(0.053034280207763,0.55706738746878, 0.388956475354984)); #186788=CARTESIAN_POINT('Ctrl Pts',(0.0588329493641844,0.559814707121127, 0.0101066041925271)); #186789=CARTESIAN_POINT('Ctrl Pts',(0.0577163308715129,0.558823981884847, 0.136396422032288)); #186790=CARTESIAN_POINT('Ctrl Pts',(0.0565996161540758,0.557830291371816, 0.262686216335558)); #186791=CARTESIAN_POINT('Ctrl Pts',(0.0554827996582945,0.556834110888115, 0.388975992092811)); #186792=CARTESIAN_POINT('Ctrl Pts',(0.06350335398421,0.557445859414932, 0.0101286666469218)); #186793=CARTESIAN_POINT('Ctrl Pts',(0.0623963673158231,0.556458021538063, 0.136418557157603)); #186794=CARTESIAN_POINT('Ctrl Pts',(0.0612894118792623,0.555467617258861, 0.262708429157728)); #186795=CARTESIAN_POINT('Ctrl Pts',(0.0601823478302068,0.554474417644506, 0.388998279068535)); #186796=CARTESIAN_POINT('Ctrl Pts',(0.0653923672233984,0.552561624802214, 0.0101066041925271)); #186797=CARTESIAN_POINT('Ctrl Pts',(0.0642903325830192,0.551567937634009, 0.136396422032288)); #186798=CARTESIAN_POINT('Ctrl Pts',(0.0631880565850248,0.550571499084457, 0.262686216335558)); #186799=CARTESIAN_POINT('Ctrl Pts',(0.0620860241652269,0.549572385246048, 0.388975992092811)); #186800=CARTESIAN_POINT('Ctrl Pts',(0.0653925359908768,0.550111081670269, 0.0100872653549836)); #186801=CARTESIAN_POINT('Ctrl Pts',(0.0642904219677513,0.54911437074265, 0.136377023553527)); #186802=CARTESIAN_POINT('Ctrl Pts',(0.0631883081311574,0.548114951270544, 0.26266676037766)); #186803=CARTESIAN_POINT('Ctrl Pts',(0.0620861944852177,0.547112778482008, 0.388956475354984)); #186804=CARTESIAN_POINT('',(0.0653925359908769,0.550111081670269,0.0100872653549837)); #186805=CARTESIAN_POINT('Ctrl Pts',(0.0620861944852177,0.547112778482008, 0.388956475354984)); #186806=CARTESIAN_POINT('Ctrl Pts',(0.0631883081311574,0.548114951270544, 0.26266676037766)); #186807=CARTESIAN_POINT('Ctrl Pts',(0.0642904219677513,0.54911437074265, 0.136377023553527)); #186808=CARTESIAN_POINT('Ctrl Pts',(0.0653925359908768,0.550111081670269, 0.0100872653549836)); #186809=CARTESIAN_POINT('Origin',(0.0553929167602351,0.550111081670269, 0.00999999999999995)); #186810=CARTESIAN_POINT('Origin',(0.0654804527311713,0.465825879487175, 1.30047608706394E-5)); #186811=CARTESIAN_POINT('',(0.0624999999999999,0.477119842891315,0.341539060000013)); #186812=CARTESIAN_POINT('Ctrl Pts',(0.0624999999999999,0.477119842891327, 0.341539060000013)); #186813=CARTESIAN_POINT('Ctrl Pts',(0.0623620648347296,0.477273788439001, 0.357344864393708)); #186814=CARTESIAN_POINT('Ctrl Pts',(0.0622241296631273,0.477427659486594, 0.373150669512966)); #186815=CARTESIAN_POINT('Ctrl Pts',(0.0620861944852176,0.477581456250006, 0.388956475354984)); #186816=CARTESIAN_POINT('Ctrl Pts',(0.062586507124692,0.47702328495875, 0.331626325354977)); #186817=CARTESIAN_POINT('Ctrl Pts',(0.0625576714167382,0.477055474193467, 0.33493057020493)); #186818=CARTESIAN_POINT('Ctrl Pts',(0.0625288357085074,0.477087660170336, 0.338234815086617)); #186819=CARTESIAN_POINT('Ctrl Pts',(0.0624999999999999,0.477119842891327, 0.341539060000013)); #186820=CARTESIAN_POINT('',(0.0653925359908769,0.476970498569395,0.0100872653549837)); #186821=CARTESIAN_POINT('Ctrl Pts',(0.0653925359908768,0.476970498569395, 0.0100872653549837)); #186822=CARTESIAN_POINT('Ctrl Pts',(0.0644571929039497,0.47617138770391, 0.117266967093743)); #186823=CARTESIAN_POINT('Ctrl Pts',(0.063521849947666,0.475370268782698, 0.224446653862261)); #186824=CARTESIAN_POINT('Ctrl Pts',(0.0625865071246921,0.474567111144037, 0.331626325354984)); #186825=CARTESIAN_POINT('',(0.0653925359908769,0.232912939743587,0.0100872653549837)); #186826=CARTESIAN_POINT('Origin',(7.20757367668403E-17,0.512454896157671, 0.39886921)); #186827=CARTESIAN_POINT('Ctrl Pts',(0.262737330881104,0.0754304725012539, 0.196473610983762)); #186828=CARTESIAN_POINT('Ctrl Pts',(0.262737396773396,0.0754304729688985, 0.196466338853646)); #186829=CARTESIAN_POINT('Ctrl Pts',(0.26273745380002,0.0754305043198213, 0.196459066640822)); #186830=CARTESIAN_POINT('Ctrl Pts',(0.262737501958088,0.0754305665545487, 0.196451794645006)); #186831=CARTESIAN_POINT('Ctrl Pts',(0.263246307988621,0.0736574714031452, 0.196478232188559)); #186832=CARTESIAN_POINT('Ctrl Pts',(0.263246414917534,0.0736575086166935, 0.19646536694234)); #186833=CARTESIAN_POINT('Ctrl Pts',(0.263246505248939,0.0736575945415366, 0.196452534797502)); #186834=CARTESIAN_POINT('Ctrl Pts',(0.263246583424201,0.0736577326016585, 0.196439670037828)); #186835=CARTESIAN_POINT('Ctrl Pts',(0.265330818739897,0.070913107189858, 0.196497158287475)); #186836=CARTESIAN_POINT('Ctrl Pts',(0.265330943876877,0.0709131905470838, 0.196474587492508)); #186837=CARTESIAN_POINT('Ctrl Pts',(0.265331052919587,0.0709133616077868, 0.196451995934725)); #186838=CARTESIAN_POINT('Ctrl Pts',(0.265331144295645,0.0709136186071791, 0.196429426334118)); #186839=CARTESIAN_POINT('Ctrl Pts',(0.268562445953031,0.0699999999999954, 0.196526499511193)); #186840=CARTESIAN_POINT('Ctrl Pts',(0.268562529592977,0.0700000367284254, 0.196498558486491)); #186841=CARTESIAN_POINT('Ctrl Pts',(0.268562602129465,0.0700001897022639, 0.196470641300771)); #186842=CARTESIAN_POINT('Ctrl Pts',(0.268562662918577,0.0700004601267369, 0.196442701189599)); #186843=CARTESIAN_POINT('Ctrl Pts',(0.269945851697348,0.0699999999999954, 0.19653906)); #186844=CARTESIAN_POINT('Ctrl Pts',(0.269945917723899,0.0699999999999954, 0.196509971479534)); #186845=CARTESIAN_POINT('Ctrl Pts',(0.269945974641522,0.0700001269223697, 0.196480882628238)); #186846=CARTESIAN_POINT('Ctrl Pts',(0.269946022447319,0.0700003807693541, 0.196451794644974)); #186847=CARTESIAN_POINT('',(0.262737501958089,0.0754305665545488,0.196451794644974)); #186848=CARTESIAN_POINT('',(0.269946022447319,0.0700003807693541,0.196451794644973)); #186849=CARTESIAN_POINT('Origin',(0.269946022447319,0.0775000951923351, 0.196517243661243)); #186850=CARTESIAN_POINT('',(0.262737330881104,0.0754304725012538,0.196473610983762)); #186851=CARTESIAN_POINT('Ctrl Pts',(0.262737528556015,0.0754304739043596, 0.196451794880018)); #186852=CARTESIAN_POINT('Ctrl Pts',(0.262737480397589,0.0754304116706069, 0.196459066878578)); #186853=CARTESIAN_POINT('Ctrl Pts',(0.262737423371142,0.0754303803193102, 0.196466339092401)); #186854=CARTESIAN_POINT('Ctrl Pts',(0.262737357479329,0.0754303798497639, 0.196473611225258)); #186855=CARTESIAN_POINT('',(0.269945851697348,0.0699999999999954,0.19653906)); #186856=CARTESIAN_POINT('Origin',(0.269945851697348,0.0774999999999954, 0.19653906)); #186857=CARTESIAN_POINT('Ctrl Pts',(0.269945851697348,0.0699999999999954, 0.19653906)); #186858=CARTESIAN_POINT('Ctrl Pts',(0.269945917723899,0.0699999999999954, 0.196509971479534)); #186859=CARTESIAN_POINT('Ctrl Pts',(0.269945974641522,0.0700001269223697, 0.196480882628238)); #186860=CARTESIAN_POINT('Ctrl Pts',(0.269946022447319,0.0700003807693541, 0.196451794644974)); #186861=CARTESIAN_POINT('Ctrl Pts',(0.262737501958089,0.0754305665545488, 0.196451794644974)); #186862=CARTESIAN_POINT('Ctrl Pts',(0.262755879368429,0.0754396912997132, 0.19413918753438)); #186863=CARTESIAN_POINT('Ctrl Pts',(0.263972171131048,0.0760427141608932, 0.1907997061947)); #186864=CARTESIAN_POINT('Ctrl Pts',(0.26746260317509,0.0777896478448854, 0.187412502720369)); #186865=CARTESIAN_POINT('Ctrl Pts',(0.269984562509993,0.079064077317419, 0.186576173741488)); #186866=CARTESIAN_POINT('Ctrl Pts',(0.271715943895702,0.0799456382768413, 0.186539526022573)); #186867=CARTESIAN_POINT('Ctrl Pts',(0.271769319305699,0.079972819535523, 0.18653906)); #186868=CARTESIAN_POINT('Ctrl Pts',(0.271822680033909,0.0799999999999955, 0.18653906)); #186869=CARTESIAN_POINT('Ctrl Pts',(0.263246583424201,0.0736577326016586, 0.196439670037798)); #186870=CARTESIAN_POINT('Ctrl Pts',(0.263268706885278,0.0736747185249543, 0.193802596078)); #186871=CARTESIAN_POINT('Ctrl Pts',(0.264744489558803,0.0748060431747887, 0.190216903092751)); #186872=CARTESIAN_POINT('Ctrl Pts',(0.26811322460754,0.07728806323307,0.187235934564277)); #186873=CARTESIAN_POINT('Ctrl Pts',(0.270263554742996,0.0788568726814259, 0.186572303038435)); #186874=CARTESIAN_POINT('Ctrl Pts',(0.271732055858542,0.0799335497583553, 0.186539359728795)); #186875=CARTESIAN_POINT('Ctrl Pts',(0.271777386300545,0.0799667846147727, 0.18653906)); #186876=CARTESIAN_POINT('Ctrl Pts',(0.271822680033909,0.0799999999999955, 0.18653906)); #186877=CARTESIAN_POINT('Ctrl Pts',(0.265331146744353,0.070913622405945, 0.196429426383346)); #186878=CARTESIAN_POINT('Ctrl Pts',(0.265351134271387,0.0709415192627827, 0.193525551304158)); #186879=CARTESIAN_POINT('Ctrl Pts',(0.266690974146167,0.0728118816318291, 0.189755977888226)); #186880=CARTESIAN_POINT('Ctrl Pts',(0.26925815310619,0.0764061066594883, 0.187109184983272)); #186881=CARTESIAN_POINT('Ctrl Pts',(0.270748597959216,0.0784922559217055, 0.186569666200542)); #186882=CARTESIAN_POINT('Ctrl Pts',(0.271760317412341,0.0799124347815646, 0.186539230199621)); #186883=CARTESIAN_POINT('Ctrl Pts',(0.271791503541527,0.0799562235034598, 0.18653906)); #186884=CARTESIAN_POINT('Ctrl Pts',(0.271822680033909,0.0799999999999955, 0.18653906)); #186885=CARTESIAN_POINT('Ctrl Pts',(0.268562662918577,0.0700004601267369, 0.196442701189592)); #186886=CARTESIAN_POINT('Ctrl Pts',(0.268571302723045,0.0700248746895701, 0.193888876980253)); #186887=CARTESIAN_POINT('Ctrl Pts',(0.269148409777612,0.0716531319745042, 0.190364707317639)); #186888=CARTESIAN_POINT('Ctrl Pts',(0.270423104183612,0.0755544388104536, 0.187279508963829)); #186889=CARTESIAN_POINT('Ctrl Pts',(0.271234950849012,0.0781288313543984, 0.186573236959746)); #186890=CARTESIAN_POINT('Ctrl Pts',(0.27178855702584,0.0798913022978673, 0.186539401923453)); #186891=CARTESIAN_POINT('Ctrl Pts',(0.271805620782509,0.0799456623921468, 0.18653906)); #186892=CARTESIAN_POINT('Ctrl Pts',(0.271822680033909,0.0799999999999955, 0.18653906)); #186893=CARTESIAN_POINT('Ctrl Pts',(0.269946022447319,0.0700003807693541, 0.196451794644973)); #186894=CARTESIAN_POINT('Ctrl Pts',(0.269949823193025,0.0700205625858701, 0.19413918753438)); #186895=CARTESIAN_POINT('Ctrl Pts',(0.270201407064744,0.0713559362324283, 0.190799706194697)); #186896=CARTESIAN_POINT('Ctrl Pts',(0.270922734111097,0.0751943689115769, 0.187412502720367)); #186897=CARTESIAN_POINT('Ctrl Pts',(0.271443438801011,0.0779724595176624, 0.186576173741479)); #186898=CARTESIAN_POINT('Ctrl Pts',(0.271800658736698,0.0798822588037203, 0.186539526022574)); #186899=CARTESIAN_POINT('Ctrl Pts',(0.271811671028644,0.0799411362015841, 0.18653906)); #186900=CARTESIAN_POINT('Ctrl Pts',(0.271822680033909,0.0799999999999955, 0.18653906)); #186901=CARTESIAN_POINT('',(0.271822680033909,0.0799999999999955,0.18653906)); #186902=CARTESIAN_POINT('Ctrl Pts',(0.269946022447319,0.0700003807693541, 0.196451794644973)); #186903=CARTESIAN_POINT('Ctrl Pts',(0.269949823193025,0.0700205625858701, 0.19413918753438)); #186904=CARTESIAN_POINT('Ctrl Pts',(0.270201407064744,0.0713559362324283, 0.190799706194697)); #186905=CARTESIAN_POINT('Ctrl Pts',(0.270922734111097,0.0751943689115769, 0.187412502720367)); #186906=CARTESIAN_POINT('Ctrl Pts',(0.271443438801011,0.0779724595176624, 0.186576173741479)); #186907=CARTESIAN_POINT('Ctrl Pts',(0.271800658736698,0.0798822588037203, 0.186539526022574)); #186908=CARTESIAN_POINT('Ctrl Pts',(0.271811671028644,0.0799411362015841, 0.18653906)); #186909=CARTESIAN_POINT('Ctrl Pts',(0.271822680033909,0.0799999999999955, 0.18653906)); #186910=CARTESIAN_POINT('Ctrl Pts',(0.271822680033909,0.0799999999999955, 0.18653906)); #186911=CARTESIAN_POINT('Ctrl Pts',(0.271769319305699,0.079972819535523, 0.18653906)); #186912=CARTESIAN_POINT('Ctrl Pts',(0.271715943895702,0.0799456382768413, 0.186539526022573)); #186913=CARTESIAN_POINT('Ctrl Pts',(0.269984562509993,0.079064077317419, 0.186576173741488)); #186914=CARTESIAN_POINT('Ctrl Pts',(0.26746260317509,0.0777896478448854, 0.187412502720369)); #186915=CARTESIAN_POINT('Ctrl Pts',(0.263972171131048,0.0760427141608932, 0.1907997061947)); #186916=CARTESIAN_POINT('Ctrl Pts',(0.262755879368429,0.0754396912997132, 0.19413918753438)); #186917=CARTESIAN_POINT('Ctrl Pts',(0.262737501958089,0.0754305665545488, 0.196451794644974)); #186918=CARTESIAN_POINT('Ctrl Pts',(-0.269945851697348,0.0699999999999954, 0.19653906)); #186919=CARTESIAN_POINT('Ctrl Pts',(-0.269945917723899,0.0699999999999954, 0.196509971479534)); #186920=CARTESIAN_POINT('Ctrl Pts',(-0.269945974641522,0.0700001269223697, 0.196480882628238)); #186921=CARTESIAN_POINT('Ctrl Pts',(-0.269946022447319,0.0700003807693541, 0.196451794644974)); #186922=CARTESIAN_POINT('Ctrl Pts',(-0.268101310704925,0.0699999999999954, 0.196522312681591)); #186923=CARTESIAN_POINT('Ctrl Pts',(-0.268101400725039,0.0700000497044782, 0.196494735274157)); #186924=CARTESIAN_POINT('Ctrl Pts',(-0.268101477585738,0.0700002099285075, 0.196467246398974)); #186925=CARTESIAN_POINT('Ctrl Pts',(-0.268101543075663,0.0700004865791978, 0.196439670037807)); #186926=CARTESIAN_POINT('Ctrl Pts',(-0.264888409520922,0.0712463920841096, 0.19649314147891)); #186927=CARTESIAN_POINT('Ctrl Pts',(-0.26488853894896,0.0712464722312562, 0.196471913039579)); #186928=CARTESIAN_POINT('Ctrl Pts',(-0.264888651479667,0.0712466348334099, 0.196450653676726)); #186929=CARTESIAN_POINT('Ctrl Pts',(-0.264888746025576,0.0712468776720748, 0.19642942633412)); #186930=CARTESIAN_POINT('Ctrl Pts',(-0.263119063711742,0.0741007216776723, 0.19647707688736)); #186931=CARTESIAN_POINT('Ctrl Pts',(-0.263119159958656,0.0741007491857246, 0.196465622598711)); #186932=CARTESIAN_POINT('Ctrl Pts',(-0.263119242696588,0.0741008224757295, 0.196454155084534)); #186933=CARTESIAN_POINT('Ctrl Pts',(-0.263119313057673,0.0741009410898811, 0.196442701189622)); #186934=CARTESIAN_POINT('Ctrl Pts',(-0.262737330881104,0.0754304725012538, 0.196473610983762)); #186935=CARTESIAN_POINT('Ctrl Pts',(-0.262737396773396,0.0754304729688985, 0.196466338853646)); #186936=CARTESIAN_POINT('Ctrl Pts',(-0.26273745380002,0.0754305043198213, 0.196459066640822)); #186937=CARTESIAN_POINT('Ctrl Pts',(-0.262737501958088,0.0754305665545487, 0.196451794645006)); #186938=CARTESIAN_POINT('',(-0.269946022447319,0.0700003807693541,0.196451794644974)); #186939=CARTESIAN_POINT('',(-0.262737501958089,0.0754305665545487,0.196451794644974)); #186940=CARTESIAN_POINT('Origin',(-0.269946022447319,0.0775000951923351, 0.196517243661243)); #186941=CARTESIAN_POINT('',(-0.269945851697348,0.0699999999999954,0.19653906)); #186942=CARTESIAN_POINT('Ctrl Pts',(-0.269946022447319,0.0700003807693541, 0.196451794644974)); #186943=CARTESIAN_POINT('Ctrl Pts',(-0.269945974641522,0.0700001269223697, 0.196480882628238)); #186944=CARTESIAN_POINT('Ctrl Pts',(-0.269945917723899,0.0699999999999954, 0.196509971479534)); #186945=CARTESIAN_POINT('Ctrl Pts',(-0.269945851697348,0.0699999999999954, 0.19653906)); #186946=CARTESIAN_POINT('',(-0.262737330881104,0.0754304725012538,0.196473610983762)); #186947=CARTESIAN_POINT('Origin',(-0.269945851697348,0.0774999999999954, 0.19653906)); #186948=CARTESIAN_POINT('Ctrl Pts',(-0.262737330881104,0.0754304725012538, 0.196473610983762)); #186949=CARTESIAN_POINT('Ctrl Pts',(-0.262737396770773,0.0754304729688799, 0.196466339143173)); #186950=CARTESIAN_POINT('Ctrl Pts',(-0.262737453795479,0.075430504317325, 0.196459067219884)); #186951=CARTESIAN_POINT('Ctrl Pts',(-0.262737501952336,0.0754305665471155, 0.196451795513572)); #186952=CARTESIAN_POINT('Ctrl Pts',(-0.269946022447319,0.0700003807693541, 0.196451794644974)); #186953=CARTESIAN_POINT('Ctrl Pts',(-0.269949823196764,0.0700205626057289, 0.194139185258788)); #186954=CARTESIAN_POINT('Ctrl Pts',(-0.270201407727518,0.0713559397560269, 0.19079970075597)); #186955=CARTESIAN_POINT('Ctrl Pts',(-0.270922735556592,0.075194376611985, 0.187412498093419)); #186956=CARTESIAN_POINT('Ctrl Pts',(-0.2714434408705,0.0779724705698074, 0.186576171147777)); #186957=CARTESIAN_POINT('Ctrl Pts',(-0.271800660354133,0.0798822674512906, 0.186539525949363)); #186958=CARTESIAN_POINT('Ctrl Pts',(-0.271811671836947,0.0799411405240553, 0.18653906)); #186959=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033909,0.0799999999999955, 0.18653906)); #186960=CARTESIAN_POINT('Ctrl Pts',(-0.268101543075663,0.0700004865791979, 0.196439670037798)); #186961=CARTESIAN_POINT('Ctrl Pts',(-0.268111866217108,0.0700264132858634, 0.193802593483135)); #186962=CARTESIAN_POINT('Ctrl Pts',(-0.268799510653911,0.071755219953101, 0.190216897761661)); #186963=CARTESIAN_POINT('Ctrl Pts',(-0.27025932168184,0.0756784748352845, 0.187235930832215)); #186964=CARTESIAN_POINT('Ctrl Pts',(-0.271165942512308,0.0781816668304455, 0.186572300973245)); #186965=CARTESIAN_POINT('Ctrl Pts',(-0.271784516600145,0.0798943110430322, 0.186539359674983)); #186966=CARTESIAN_POINT('Ctrl Pts',(-0.2718036054345,0.0799471750016306, 0.18653906)); #186967=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033909,0.0799999999999955, 0.18653906)); #186968=CARTESIAN_POINT('Ctrl Pts',(-0.264888749001131,0.0712468810739666, 0.196429426383347)); #186969=CARTESIAN_POINT('Ctrl Pts',(-0.264910131774419,0.0712737222286451, 0.193525548446761)); #186970=CARTESIAN_POINT('Ctrl Pts',(-0.266344270335065,0.073072716231054, 0.189755972686646)); #186971=CARTESIAN_POINT('Ctrl Pts',(-0.269092180575455,0.0765305834779902, 0.187109181954336)); #186972=CARTESIAN_POINT('Ctrl Pts',(-0.270679279290316,0.0785441366855177, 0.186569664531274)); #186973=CARTESIAN_POINT('Ctrl Pts',(-0.27175628510839,0.0799154614150542, 0.186539230160643)); #186974=CARTESIAN_POINT('Ctrl Pts',(-0.271789489230218,0.0799577353373875, 0.18653906)); #186975=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033909,0.0799999999999955, 0.18653906)); #186976=CARTESIAN_POINT('Ctrl Pts',(-0.263119313057673,0.0741009410898811, 0.196442701189592)); #186977=CARTESIAN_POINT('Ctrl Pts',(-0.263140441545445,0.0741158901686102, 0.193888874467305)); #186978=CARTESIAN_POINT('Ctrl Pts',(-0.264549668554186,0.075113078354021, 0.190364701955214)); #186979=CARTESIAN_POINT('Ctrl Pts',(-0.267948260068839,0.0774106458349622, 0.187279505005152)); #186980=CARTESIAN_POINT('Ctrl Pts',(-0.270193410017713,0.0789081856183848, 0.1865732347625)); #186981=CARTESIAN_POINT('Ctrl Pts',(-0.271728042632026,0.079936586065349, 0.186539401864754)); #186982=CARTESIAN_POINT('Ctrl Pts',(-0.271775373025936,0.0799682956731443, 0.18653906)); #186983=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033909,0.0799999999999955, 0.18653906)); #186984=CARTESIAN_POINT('Ctrl Pts',(-0.262737501958089,0.0754305665545487, 0.196451794644974)); #186985=CARTESIAN_POINT('Ctrl Pts',(-0.262755879386512,0.0754396913086918, 0.194139185258788)); #186986=CARTESIAN_POINT('Ctrl Pts',(-0.263972174338223,0.076042715757207, 0.190799700755973)); #186987=CARTESIAN_POINT('Ctrl Pts',(-0.26746261017318,0.0777896513593081, 0.187412498093421)); #186988=CARTESIAN_POINT('Ctrl Pts',(-0.269984572539663,0.0790640823954002, 0.186576171147785)); #186989=CARTESIAN_POINT('Ctrl Pts',(-0.271715951735151,0.0799456422691266, 0.186539525949363)); #186990=CARTESIAN_POINT('Ctrl Pts',(-0.271769323224101,0.0799728215313258, 0.18653906)); #186991=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033909,0.0799999999999955, 0.18653906)); #186992=CARTESIAN_POINT('',(-0.271822680033909,0.0799999999999955,0.18653906)); #186993=CARTESIAN_POINT('Ctrl Pts',(-0.262737501958089,0.0754305665545487, 0.196451794644974)); #186994=CARTESIAN_POINT('Ctrl Pts',(-0.262755879386512,0.0754396913086918, 0.194139185258788)); #186995=CARTESIAN_POINT('Ctrl Pts',(-0.263972174338223,0.076042715757207, 0.190799700755973)); #186996=CARTESIAN_POINT('Ctrl Pts',(-0.26746261017318,0.0777896513593081, 0.187412498093421)); #186997=CARTESIAN_POINT('Ctrl Pts',(-0.269984572539663,0.0790640823954002, 0.186576171147785)); #186998=CARTESIAN_POINT('Ctrl Pts',(-0.271715951735151,0.0799456422691266, 0.186539525949363)); #186999=CARTESIAN_POINT('Ctrl Pts',(-0.271769323224101,0.0799728215313258, 0.18653906)); #187000=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033909,0.0799999999999955, 0.18653906)); #187001=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033909,0.0799999999999955, 0.18653906)); #187002=CARTESIAN_POINT('Ctrl Pts',(-0.271811671836947,0.0799411405240553, 0.18653906)); #187003=CARTESIAN_POINT('Ctrl Pts',(-0.271800660354133,0.0798822674512906, 0.186539525949363)); #187004=CARTESIAN_POINT('Ctrl Pts',(-0.2714434408705,0.0779724705698074, 0.186576171147777)); #187005=CARTESIAN_POINT('Ctrl Pts',(-0.270922735556592,0.075194376611985, 0.187412498093419)); #187006=CARTESIAN_POINT('Ctrl Pts',(-0.270201407727518,0.0713559397560269, 0.19079970075597)); #187007=CARTESIAN_POINT('Ctrl Pts',(-0.269949823196764,0.0700205626057289, 0.194139185258788)); #187008=CARTESIAN_POINT('Ctrl Pts',(-0.269946022447319,0.0700003807693541, 0.196451794644974)); #187009=CARTESIAN_POINT('Ctrl Pts',(-0.369210305050044,0.0790705355470184, 0.196473610983762)); #187010=CARTESIAN_POINT('Ctrl Pts',(-0.369210240089148,0.0790705358163439, 0.196466338713675)); #187011=CARTESIAN_POINT('Ctrl Pts',(-0.369210168195365,0.0790705684604968, 0.196459066504237)); #187012=CARTESIAN_POINT('Ctrl Pts',(-0.369210089371901,0.0790706334763891, 0.196451794645006)); #187013=CARTESIAN_POINT('Ctrl Pts',(-0.36967667336475,0.0768927116391561, 0.19646944876162)); #187014=CARTESIAN_POINT('Ctrl Pts',(-0.369676540748475,0.0768927438316357, 0.196455530325546)); #187015=CARTESIAN_POINT('Ctrl Pts',(-0.369676400353573,0.0768928396689501, 0.196441675831986)); #187016=CARTESIAN_POINT('Ctrl Pts',(-0.369676240142607,0.0768930011565771, 0.196427759237456)); #187017=CARTESIAN_POINT('Ctrl Pts',(-0.368529274933257,0.0721211845831014, 0.196479689009525)); #187018=CARTESIAN_POINT('Ctrl Pts',(-0.368529079276536,0.0721212905057794, 0.19645267488534)); #187019=CARTESIAN_POINT('Ctrl Pts',(-0.368528859703346,0.0721215292616471, 0.196425519129266)); #187020=CARTESIAN_POINT('Ctrl Pts',(-0.368528623719515,0.072121893495345, 0.196398509455332)); #187021=CARTESIAN_POINT('Ctrl Pts',(-0.36410399362973,0.0699999999999991, 0.196519183553315)); #187022=CARTESIAN_POINT('Ctrl Pts',(-0.364103883345977,0.0700000595552228, 0.196488626494114)); #187023=CARTESIAN_POINT('Ctrl Pts',(-0.364103763594889,0.0700002518731988, 0.196458313097077)); #187024=CARTESIAN_POINT('Ctrl Pts',(-0.364103630446084,0.0700005905231817, 0.196427759237432)); #187025=CARTESIAN_POINT('Ctrl Pts',(-0.361876879212018,0.069999999999999, 0.19653906)); #187026=CARTESIAN_POINT('Ctrl Pts',(-0.361876814308802,0.069999999999999, 0.19650997091965)); #187027=CARTESIAN_POINT('Ctrl Pts',(-0.361876742610412,0.070000126927141, 0.196480882081898)); #187028=CARTESIAN_POINT('Ctrl Pts',(-0.361876664120042,0.0700003807693576, 0.196451794644974)); #187029=CARTESIAN_POINT('',(-0.369210089371901,0.079070633476389,0.196451794644974)); #187030=CARTESIAN_POINT('',(-0.361876664120042,0.0700003807693576,0.196451794644974)); #187031=CARTESIAN_POINT('Origin',(-0.361876664120042,0.0775000951923386, 0.196517243661243)); #187032=CARTESIAN_POINT('',(-0.369210305050044,0.0790705355470184,0.196473610983762)); #187033=CARTESIAN_POINT('Ctrl Pts',(-0.369210110169198,0.07907053635485, 0.196451794455602)); #187034=CARTESIAN_POINT('Ctrl Pts',(-0.369210188992543,0.0790704713399045, 0.196459066317032)); #187035=CARTESIAN_POINT('Ctrl Pts',(-0.369210260886192,0.0790704386955636, 0.196466338525844)); #187036=CARTESIAN_POINT('Ctrl Pts',(-0.369210325847477,0.0790704384248253, 0.19647361079815)); #187037=CARTESIAN_POINT('',(-0.361876879212018,0.0699999999999989,0.19653906)); #187038=CARTESIAN_POINT('Origin',(-0.361876879212018,0.0774999999999989, 0.19653906)); #187039=CARTESIAN_POINT('Ctrl Pts',(-0.361876879212018,0.069999999999999, 0.19653906)); #187040=CARTESIAN_POINT('Ctrl Pts',(-0.361876814308802,0.069999999999999, 0.19650997091965)); #187041=CARTESIAN_POINT('Ctrl Pts',(-0.361876742610412,0.070000126927141, 0.196480882081898)); #187042=CARTESIAN_POINT('Ctrl Pts',(-0.361876664120042,0.0700003807693576, 0.196451794644974)); #187043=CARTESIAN_POINT('Ctrl Pts',(-0.369210089371901,0.079070633476389, 0.196451794644974)); #187044=CARTESIAN_POINT('Ctrl Pts',(-0.369185547621768,0.0790729625362125, 0.193757831884608)); #187045=CARTESIAN_POINT('Ctrl Pts',(-0.367354840349589,0.0792470899976748, 0.1902594412204)); #187046=CARTESIAN_POINT('Ctrl Pts',(-0.363384492766539,0.079607049546314, 0.18729799636617)); #187047=CARTESIAN_POINT('Ctrl Pts',(-0.36071383071905,0.079838166544593, 0.186576375051825)); #187048=CARTESIAN_POINT('Ctrl Pts',(-0.358893846864,0.0799898123899085, 0.186539453496235)); #187049=CARTESIAN_POINT('Ctrl Pts',(-0.358832598244382,0.0799949102396208, 0.18653906)); #187050=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577062,0.0799999999999987, 0.18653906)); #187051=CARTESIAN_POINT('Ctrl Pts',(-0.369676240142607,0.076893001156577, 0.196427759237422)); #187052=CARTESIAN_POINT('Ctrl Pts',(-0.369635413732474,0.0769075943683886, 0.192982574034125)); #187053=CARTESIAN_POINT('Ctrl Pts',(-0.366877231389983,0.0778302383339169, 0.189394513119143)); #187054=CARTESIAN_POINT('Ctrl Pts',(-0.362903423810884,0.0789011291717799, 0.187141312895785)); #187055=CARTESIAN_POINT('Ctrl Pts',(-0.360488214835031,0.079552890398155, 0.18657627063312)); #187056=CARTESIAN_POINT('Ctrl Pts',(-0.35887951278556,0.0799719949362826, 0.186539105199133)); #187057=CARTESIAN_POINT('Ctrl Pts',(-0.358825441854058,0.0799859823390566, 0.18653906)); #187058=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577062,0.0799999999999987, 0.18653906)); #187059=CARTESIAN_POINT('Ctrl Pts',(-0.368528623845392,0.0721218933935712, 0.196398509453057)); #187060=CARTESIAN_POINT('Ctrl Pts',(-0.368476508907023,0.0721641368229066, 0.192068944684261)); #187061=CARTESIAN_POINT('Ctrl Pts',(-0.365197840598598,0.0748216793453687, 0.188476158123126)); #187062=CARTESIAN_POINT('Ctrl Pts',(-0.361916199415224,0.0774726399488513, 0.18700585054637)); #187063=CARTESIAN_POINT('Ctrl Pts',(-0.360042573524255,0.0789807174860241, 0.186578185860226)); #187064=CARTESIAN_POINT('Ctrl Pts',(-0.35885071677806,0.0799363918697925, 0.186538715597739)); #187065=CARTESIAN_POINT('Ctrl Pts',(-0.358811129073409,0.0799681265379281, 0.18653906)); #187066=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577062,0.0799999999999987, 0.18653906)); #187067=CARTESIAN_POINT('Ctrl Pts',(-0.364103630446083,0.0700005905231817, 0.196427759237422)); #187068=CARTESIAN_POINT('Ctrl Pts',(-0.364080959049519,0.0700374995742774, 0.192982574034125)); #187069=CARTESIAN_POINT('Ctrl Pts',(-0.362611734357268,0.07254406860146, 0.189394513119146)); #187070=CARTESIAN_POINT('Ctrl Pts',(-0.360733510812906,0.0762021630444717, 0.187141312895787)); #187071=CARTESIAN_POINT('Ctrl Pts',(-0.35958101785365,0.0784214402218969, 0.186576270633128)); #187072=CARTESIAN_POINT('Ctrl Pts',(-0.358822230067711,0.0799005381261062, 0.186539105199133)); #187073=CARTESIAN_POINT('Ctrl Pts',(-0.358796816292759,0.0799502707367997, 0.18653906)); #187074=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577062,0.0799999999999987, 0.18653906)); #187075=CARTESIAN_POINT('Ctrl Pts',(-0.361876664120042,0.0700003807693575, 0.196451794644974)); #187076=CARTESIAN_POINT('Ctrl Pts',(-0.36186939465402,0.0700238906262117, 0.193757831884608)); #187077=CARTESIAN_POINT('Ctrl Pts',(-0.361327209995469,0.0717776212332802, 0.190259441220405)); #187078=CARTESIAN_POINT('Ctrl Pts',(-0.360147532665946,0.0755809420920445, 0.187297996366173)); #187079=CARTESIAN_POINT('Ctrl Pts',(-0.359351628970978,0.0781392384627886, 0.186576375051838)); #187080=CARTESIAN_POINT('Ctrl Pts',(-0.358807957507576,0.0798826704199502, 0.186539453496234)); #187081=CARTESIAN_POINT('Ctrl Pts',(-0.358789659902435,0.0799413428362355, 0.18653906)); #187082=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577062,0.0799999999999987, 0.18653906)); #187083=CARTESIAN_POINT('',(-0.358771365577062,0.0799999999999987,0.18653906)); #187084=CARTESIAN_POINT('Ctrl Pts',(-0.361876664120042,0.0700003807693575, 0.196451794644974)); #187085=CARTESIAN_POINT('Ctrl Pts',(-0.36186939465402,0.0700238906262117, 0.193757831884608)); #187086=CARTESIAN_POINT('Ctrl Pts',(-0.361327209995469,0.0717776212332802, 0.190259441220405)); #187087=CARTESIAN_POINT('Ctrl Pts',(-0.360147532665946,0.0755809420920445, 0.187297996366173)); #187088=CARTESIAN_POINT('Ctrl Pts',(-0.359351628970978,0.0781392384627886, 0.186576375051838)); #187089=CARTESIAN_POINT('Ctrl Pts',(-0.358807957507576,0.0798826704199502, 0.186539453496234)); #187090=CARTESIAN_POINT('Ctrl Pts',(-0.358789659902435,0.0799413428362355, 0.18653906)); #187091=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577062,0.0799999999999987, 0.18653906)); #187092=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577062,0.0799999999999987, 0.18653906)); #187093=CARTESIAN_POINT('Ctrl Pts',(-0.358832598244382,0.0799949102396208, 0.18653906)); #187094=CARTESIAN_POINT('Ctrl Pts',(-0.358893846864,0.0799898123899085, 0.186539453496235)); #187095=CARTESIAN_POINT('Ctrl Pts',(-0.36071383071905,0.079838166544593, 0.186576375051825)); #187096=CARTESIAN_POINT('Ctrl Pts',(-0.363384492766539,0.079607049546314, 0.18729799636617)); #187097=CARTESIAN_POINT('Ctrl Pts',(-0.367354840349589,0.0792470899976748, 0.1902594412204)); #187098=CARTESIAN_POINT('Ctrl Pts',(-0.369185547621768,0.0790729625362125, 0.193757831884608)); #187099=CARTESIAN_POINT('Ctrl Pts',(-0.369210089371901,0.079070633476389, 0.196451794644974)); #187100=CARTESIAN_POINT('Ctrl Pts',(0.361876879212018,0.0699999999999996, 0.19653906)); #187101=CARTESIAN_POINT('Ctrl Pts',(0.361876814308802,0.0699999999999996, 0.19650997091965)); #187102=CARTESIAN_POINT('Ctrl Pts',(0.361876742610412,0.0700001269271417, 0.196480882081898)); #187103=CARTESIAN_POINT('Ctrl Pts',(0.361876664120042,0.0700003807693583, 0.196451794644974)); #187104=CARTESIAN_POINT('Ctrl Pts',(0.36410399362973,0.0699999999999997, 0.196519183553315)); #187105=CARTESIAN_POINT('Ctrl Pts',(0.364103883345977,0.0700000595552234, 0.196488626494114)); #187106=CARTESIAN_POINT('Ctrl Pts',(0.364103763594889,0.0700002518731996, 0.196458313097076)); #187107=CARTESIAN_POINT('Ctrl Pts',(0.364103630446084,0.0700005905231824, 0.196427759237432)); #187108=CARTESIAN_POINT('Ctrl Pts',(0.368529274933257,0.0721211845831021, 0.196479689009525)); #187109=CARTESIAN_POINT('Ctrl Pts',(0.368529079276536,0.07212129050578, 0.19645267488534)); #187110=CARTESIAN_POINT('Ctrl Pts',(0.368528859703346,0.0721215292616479, 0.196425519129266)); #187111=CARTESIAN_POINT('Ctrl Pts',(0.368528623719515,0.0721218934953457, 0.196398509455332)); #187112=CARTESIAN_POINT('Ctrl Pts',(0.36967667336475,0.0768927116391568, 0.19646944876162)); #187113=CARTESIAN_POINT('Ctrl Pts',(0.369676540748475,0.0768927438316364, 0.196455530325546)); #187114=CARTESIAN_POINT('Ctrl Pts',(0.369676400353573,0.0768928396689508, 0.196441675831986)); #187115=CARTESIAN_POINT('Ctrl Pts',(0.369676240142607,0.0768930011565778, 0.196427759237456)); #187116=CARTESIAN_POINT('Ctrl Pts',(0.369210305050043,0.0790705355470192, 0.196473610983762)); #187117=CARTESIAN_POINT('Ctrl Pts',(0.369210240089148,0.0790705358163447, 0.196466338713675)); #187118=CARTESIAN_POINT('Ctrl Pts',(0.369210168195365,0.0790705684604975, 0.196459066504237)); #187119=CARTESIAN_POINT('Ctrl Pts',(0.369210089371901,0.0790706334763897, 0.196451794645006)); #187120=CARTESIAN_POINT('',(0.361876664120042,0.0700003807693583,0.196451794644974)); #187121=CARTESIAN_POINT('',(0.3692100893719,0.0790706334763897,0.196451794644974)); #187122=CARTESIAN_POINT('Origin',(0.361876664120042,0.0775000951923393, 0.196517243661244)); #187123=CARTESIAN_POINT('',(0.361876879212018,0.0699999999999996,0.19653906)); #187124=CARTESIAN_POINT('Ctrl Pts',(0.361876664120042,0.0700003807693583, 0.196451794644974)); #187125=CARTESIAN_POINT('Ctrl Pts',(0.361876742610412,0.0700001269271417, 0.196480882081898)); #187126=CARTESIAN_POINT('Ctrl Pts',(0.361876814308802,0.0699999999999996, 0.19650997091965)); #187127=CARTESIAN_POINT('Ctrl Pts',(0.361876879212018,0.0699999999999996, 0.19653906)); #187128=CARTESIAN_POINT('',(0.369210305050043,0.0790705355470192,0.196473610983762)); #187129=CARTESIAN_POINT('Origin',(0.361876879212018,0.0774999999999996, 0.19653906)); #187130=CARTESIAN_POINT('Ctrl Pts',(0.369210305050043,0.0790705355470192, 0.196473610983762)); #187131=CARTESIAN_POINT('Ctrl Pts',(0.369210240091776,0.0790705358163338, 0.196466339007836)); #187132=CARTESIAN_POINT('Ctrl Pts',(0.369210168201181,0.0790705684578566, 0.196459067092555)); #187133=CARTESIAN_POINT('Ctrl Pts',(0.369210089381466,0.0790706334685002, 0.196451795527441)); #187134=CARTESIAN_POINT('Ctrl Pts',(0.361876664120042,0.0700003807693583, 0.196451794644974)); #187135=CARTESIAN_POINT('Ctrl Pts',(0.361873029387031,0.0700121356977854, 0.195104813264791)); #187136=CARTESIAN_POINT('Ctrl Pts',(0.361573939614661,0.0709798102090031, 0.19112855995225)); #187137=CARTESIAN_POINT('Ctrl Pts',(0.360626208604051,0.0740396637988822, 0.188183289202511)); #187138=CARTESIAN_POINT('Ctrl Pts',(0.359618984584852,0.0772798659326204, 0.186798460935539)); #187139=CARTESIAN_POINT('Ctrl Pts',(0.359088773910275,0.0789821601339647, 0.186555587924267)); #187140=CARTESIAN_POINT('Ctrl Pts',(0.358807963890848,0.0798826497667369, 0.186539570844539)); #187141=CARTESIAN_POINT('Ctrl Pts',(0.358789659902112,0.0799413428364935, 0.18653906)); #187142=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,0.0799999999999995, 0.18653906)); #187143=CARTESIAN_POINT('Ctrl Pts',(0.364103630446083,0.0700005905231825, 0.196427759237422)); #187144=CARTESIAN_POINT('Ctrl Pts',(0.364092294747801,0.0700190450487304, 0.194705166635773)); #187145=CARTESIAN_POINT('Ctrl Pts',(0.363121520451575,0.0715942807655901, 0.189936945568974)); #187146=CARTESIAN_POINT('Ctrl Pts',(0.36140208624551,0.0748597689142192, 0.187782049587196)); #187147=CARTESIAN_POINT('Ctrl Pts',(0.359957482605552,0.0776851073221991, 0.186700451867818)); #187148=CARTESIAN_POINT('Ctrl Pts',(0.359212263374854,0.079138530341415, 0.186552229933598)); #187149=CARTESIAN_POINT('Ctrl Pts',(0.35882231142483,0.0799004488768058, 0.186539344868006)); #187150=CARTESIAN_POINT('Ctrl Pts',(0.358796816292437,0.0799502707370577, 0.18653906)); #187151=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,0.0799999999999995, 0.18653906)); #187152=CARTESIAN_POINT('Ctrl Pts',(0.368528623845392,0.072121893393572, 0.196398509453057)); #187153=CARTESIAN_POINT('Ctrl Pts',(0.368502566376208,0.0721430151082398, 0.194233727068659)); #187154=CARTESIAN_POINT('Ctrl Pts',(0.366235092005652,0.0739812706420915, 0.188597106945092)); #187155=CARTESIAN_POINT('Ctrl Pts',(0.362971761622031,0.076621962519582, 0.187396102136535)); #187156=CARTESIAN_POINT('Ctrl Pts',(0.360642222720825,0.0784981897038623, 0.186595438607599)); #187157=CARTESIAN_POINT('Ctrl Pts',(0.359460182667079,0.0794477607316446, 0.186549482332557)); #187158=CARTESIAN_POINT('Ctrl Pts',(0.358850974884841,0.0799361824909699, 0.186539092662785)); #187159=CARTESIAN_POINT('Ctrl Pts',(0.358811129073086,0.0799681265381861, 0.18653906)); #187160=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,0.0799999999999995, 0.18653906)); #187161=CARTESIAN_POINT('Ctrl Pts',(0.369676240142607,0.0768930011565778, 0.196427759237422)); #187162=CARTESIAN_POINT('Ctrl Pts',(0.36965582693754,0.0769002977624836, 0.194705166635774)); #187163=CARTESIAN_POINT('Ctrl Pts',(0.367912850824058,0.0775267875112281, 0.189936945568974)); #187164=CARTESIAN_POINT('Ctrl Pts',(0.364357978470005,0.078531449264818, 0.187782049587194)); #187165=CARTESIAN_POINT('Ctrl Pts',(0.361288345796355,0.0793424586549018, 0.186700451867817)); #187166=CARTESIAN_POINT('Ctrl Pts',(0.359708115812841,0.0797569776284973, 0.186552229933594)); #187167=CARTESIAN_POINT('Ctrl Pts',(0.358879616925311,0.0799719334831715, 0.186539344868006)); #187168=CARTESIAN_POINT('Ctrl Pts',(0.358825441853736,0.0799859823393145, 0.18653906)); #187169=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,0.0799999999999995, 0.18653906)); #187170=CARTESIAN_POINT('Ctrl Pts',(0.3692100893719,0.0790706334763897, 0.196451794644974)); #187171=CARTESIAN_POINT('Ctrl Pts',(0.369197818496834,0.0790717980063015, 0.195104813264791)); #187172=CARTESIAN_POINT('Ctrl Pts',(0.368187675829345,0.0791682354032765, 0.191128559952249)); #187173=CARTESIAN_POINT('Ctrl Pts',(0.364993465409195,0.0794640147113231, 0.188183289202509)); #187174=CARTESIAN_POINT('Ctrl Pts',(0.361610948352511,0.0797605253722852, 0.186798460935537)); #187175=CARTESIAN_POINT('Ctrl Pts',(0.35983389748913,0.0799114916428163, 0.186555587924261)); #187176=CARTESIAN_POINT('Ctrl Pts',(0.358893868421272,0.0799898103292488, 0.18653957084454)); #187177=CARTESIAN_POINT('Ctrl Pts',(0.358832598244061,0.0799949102398787, 0.18653906)); #187178=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,0.0799999999999995, 0.18653906)); #187179=CARTESIAN_POINT('',(0.358771365577061,0.0799999999999995,0.18653906)); #187180=CARTESIAN_POINT('Ctrl Pts',(0.3692100893719,0.0790706334763897, 0.196451794644974)); #187181=CARTESIAN_POINT('Ctrl Pts',(0.369197818496834,0.0790717980063015, 0.195104813264791)); #187182=CARTESIAN_POINT('Ctrl Pts',(0.368187675829345,0.0791682354032765, 0.191128559952249)); #187183=CARTESIAN_POINT('Ctrl Pts',(0.364993465409195,0.0794640147113231, 0.188183289202509)); #187184=CARTESIAN_POINT('Ctrl Pts',(0.361610948352511,0.0797605253722852, 0.186798460935537)); #187185=CARTESIAN_POINT('Ctrl Pts',(0.35983389748913,0.0799114916428163, 0.186555587924261)); #187186=CARTESIAN_POINT('Ctrl Pts',(0.358893868421272,0.0799898103292488, 0.18653957084454)); #187187=CARTESIAN_POINT('Ctrl Pts',(0.358832598244061,0.0799949102398787, 0.18653906)); #187188=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,0.0799999999999995, 0.18653906)); #187189=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,0.0799999999999995, 0.18653906)); #187190=CARTESIAN_POINT('Ctrl Pts',(0.358789659902112,0.0799413428364935, 0.18653906)); #187191=CARTESIAN_POINT('Ctrl Pts',(0.358807963890848,0.0798826497667369, 0.186539570844539)); #187192=CARTESIAN_POINT('Ctrl Pts',(0.359088773910275,0.0789821601339647, 0.186555587924267)); #187193=CARTESIAN_POINT('Ctrl Pts',(0.359618984584852,0.0772798659326204, 0.186798460935539)); #187194=CARTESIAN_POINT('Ctrl Pts',(0.360626208604051,0.0740396637988822, 0.188183289202511)); #187195=CARTESIAN_POINT('Ctrl Pts',(0.361573939614661,0.0709798102090031, 0.19112855995225)); #187196=CARTESIAN_POINT('Ctrl Pts',(0.361873029387031,0.0700121356977854, 0.195104813264791)); #187197=CARTESIAN_POINT('Ctrl Pts',(0.361876664120042,0.0700003807693583, 0.196451794644974)); #187198=CARTESIAN_POINT('Origin',(0.137328817447626,0.0799999999999894, 0.19653906)); #187199=CARTESIAN_POINT('',(0.137328817447627,0.0699999999999894,0.19653906)); #187200=CARTESIAN_POINT('',(0.137328817447626,0.0799999999999894,0.18653906)); #187201=CARTESIAN_POINT('Origin',(0.,0.,0.19653906)); #187202=CARTESIAN_POINT('Origin',(0.,0.,0.18653906)); #187203=CARTESIAN_POINT('Origin',(-1.63948441537203E-17,0.,0.196451794645016)); #187204=CARTESIAN_POINT('Origin',(-0.185474348340462,0.0799999999999923, 0.19653906)); #187205=CARTESIAN_POINT('',(-0.185474348340462,0.0799999999999923,0.18653906)); #187206=CARTESIAN_POINT('',(-0.185474348340462,0.0699999999999923,0.19653906)); #187207=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.19653906)); #187208=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.18653906)); #187209=CARTESIAN_POINT('Origin',(1.63859023313016E-17,1.52983487645199E-16, 0.196451794645016)); #187210=CARTESIAN_POINT('Ctrl Pts',(-0.268982272403051,0.0699999999999954, 0.302652714371221)); #187211=CARTESIAN_POINT('Ctrl Pts',(-0.269303509450413,0.0699999999999954, 0.267281496646586)); #187212=CARTESIAN_POINT('Ctrl Pts',(-0.269624702391635,0.0699999999999954, 0.231910278521385)); #187213=CARTESIAN_POINT('Ctrl Pts',(-0.269945851697348,0.0699999999999954, 0.19653906)); #187214=CARTESIAN_POINT('Ctrl Pts',(-0.267139088325391,0.0699999999999953, 0.30263597480021)); #187215=CARTESIAN_POINT('Ctrl Pts',(-0.267459867032474,0.069999989256879, 0.267264754448391)); #187216=CARTESIAN_POINT('Ctrl Pts',(-0.267780616610658,0.0700000106919268, 0.231893533815872)); #187217=CARTESIAN_POINT('Ctrl Pts',(-0.268101310704925,0.0699999999999954, 0.196522312681591)); #187218=CARTESIAN_POINT('Ctrl Pts',(-0.263928656606185,0.0712446522031651, 0.302606818054342)); #187219=CARTESIAN_POINT('Ctrl Pts',(-0.264248666747498,0.0712452951772346, 0.267235593386776)); #187220=CARTESIAN_POINT('Ctrl Pts',(-0.264568629422459,0.0712459253507146, 0.231864368273987)); #187221=CARTESIAN_POINT('Ctrl Pts',(-0.264888560294345,0.0712465644655485, 0.196493142847842)); #187222=CARTESIAN_POINT('Ctrl Pts',(-0.262158434585538,0.0740952164357411, 0.302590741115756)); #187223=CARTESIAN_POINT('Ctrl Pts',(-0.262478681267971,0.0740970590345961, 0.267219520072128)); #187224=CARTESIAN_POINT('Ctrl Pts',(-0.262798896560051,0.074098890860573, 0.231848298708856)); #187225=CARTESIAN_POINT('Ctrl Pts',(-0.263119063711741,0.0741007216776723, 0.19647707688736)); #187226=CARTESIAN_POINT('Ctrl Pts',(-0.261775720661207,0.0754236261371263, 0.302587265354984)); #187227=CARTESIAN_POINT('Ctrl Pts',(-0.262096298001511,0.0754259158081387, 0.267216047630348)); #187228=CARTESIAN_POINT('Ctrl Pts',(-0.262416834599832,0.0754281979046215, 0.231844829505147)); #187229=CARTESIAN_POINT('Ctrl Pts',(-0.262737330881104,0.0754304725012538, 0.196473610983762)); #187230=CARTESIAN_POINT('Ctrl Pts',(-0.269945851697348,0.0699999999999954, 0.19653906)); #187231=CARTESIAN_POINT('Ctrl Pts',(-0.269625164576404,0.0699999999999954, 0.231859373729832)); #187232=CARTESIAN_POINT('Ctrl Pts',(-0.269304433945457,0.0699999999999954, 0.26717968706462)); #187233=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335906,0.0699999999999954, 0.3025)); #187234=CARTESIAN_POINT('',(-0.261775720661207,0.0754236261371263,0.302587265354984)); #187235=CARTESIAN_POINT('Ctrl Pts',(-0.261775720661207,0.0754236261371263, 0.302587265354984)); #187236=CARTESIAN_POINT('Ctrl Pts',(-0.262286098689148,0.0736524319807394, 0.302581086287792)); #187237=CARTESIAN_POINT('Ctrl Pts',(-0.26437260989979,0.0709135220423933, 0.302555825222355)); #187238=CARTESIAN_POINT('Ctrl Pts',(-0.267601072536894,0.0699999999999953, 0.302516738749535)); #187239=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335906,0.0699999999999954, 0.3025)); #187240=CARTESIAN_POINT('Ctrl Pts',(-0.261775720661207,0.0754236261371263, 0.302587265354984)); #187241=CARTESIAN_POINT('Ctrl Pts',(-0.262096298001511,0.0754259158081387, 0.267216047630348)); #187242=CARTESIAN_POINT('Ctrl Pts',(-0.262416834599832,0.0754281979046215, 0.231844829505147)); #187243=CARTESIAN_POINT('Ctrl Pts',(-0.262737330881104,0.0754304725012538, 0.196473610983762)); #187244=CARTESIAN_POINT('Origin',(-0.370948696680919,0.0699999999999992, 0.3125)); #187245=CARTESIAN_POINT('',(-0.362998019323967,0.0699999999999989,0.322168981)); #187246=CARTESIAN_POINT('Ctrl Pts',(-0.362998019323967,0.0699999999999989, 0.322168981)); #187247=CARTESIAN_POINT('Ctrl Pts',(-0.362624331185287,0.0699999999999989, 0.280292340441498)); #187248=CARTESIAN_POINT('Ctrl Pts',(-0.362250617892809,0.0699999999999989, 0.238415700107457)); #187249=CARTESIAN_POINT('Ctrl Pts',(-0.361876879212018,0.0699999999999989, 0.19653906)); #187250=CARTESIAN_POINT('',(-0.345423244584261,0.0699999999999983,0.322168981)); #187251=CARTESIAN_POINT('Ctrl Pts',(-0.37033243710232,0.0790658966373442, 0.322103531983762)); #187252=CARTESIAN_POINT('Ctrl Pts',(-0.369958419803353,0.0790674383732429, 0.28022689142526)); #187253=CARTESIAN_POINT('Ctrl Pts',(-0.369584375870087,0.0790689846629372, 0.238350251091219)); #187254=CARTESIAN_POINT('Ctrl Pts',(-0.369210305050044,0.0790705355470185, 0.196473610983762)); #187255=CARTESIAN_POINT('Ctrl Pts',(-0.370797262838635,0.0768885513780678, 0.322099384089807)); #187256=CARTESIAN_POINT('Ctrl Pts',(-0.370423771585941,0.0768899377974964, 0.280222738640074)); #187257=CARTESIAN_POINT('Ctrl Pts',(-0.370050214667372,0.07689131664021, 0.238346093794399)); #187258=CARTESIAN_POINT('Ctrl Pts',(-0.36967667336475,0.0768927116391561, 0.19646944876162)); #187259=CARTESIAN_POINT('Ctrl Pts',(-0.369647437593117,0.0721201134837011, 0.322109644608561)); #187260=CARTESIAN_POINT('Ctrl Pts',(-0.369274510513063,0.0721206513603892, 0.280232994601919)); #187261=CARTESIAN_POINT('Ctrl Pts',(-0.368901584971203,0.0721211792765357, 0.23835634454976)); #187262=CARTESIAN_POINT('Ctrl Pts',(-0.368528611928158,0.0721217206579533, 0.196479694926682)); #187263=CARTESIAN_POINT('Ctrl Pts',(-0.36522434316886,0.069999999999999, 0.32214911429621)); #187264=CARTESIAN_POINT('Ctrl Pts',(-0.364850922262277,0.0699999874297987, 0.280272470458614)); #187265=CARTESIAN_POINT('Ctrl Pts',(-0.364477463449242,0.070000012660158, 0.238395826963392)); #187266=CARTESIAN_POINT('Ctrl Pts',(-0.36410399362973,0.069999999999999, 0.196519183553315)); #187267=CARTESIAN_POINT('Ctrl Pts',(-0.362998019323967,0.0699999999999989, 0.322168981)); #187268=CARTESIAN_POINT('Ctrl Pts',(-0.362624331185287,0.0699999999999989, 0.280292340441498)); #187269=CARTESIAN_POINT('Ctrl Pts',(-0.362250617892809,0.0699999999999989, 0.238415700107457)); #187270=CARTESIAN_POINT('Ctrl Pts',(-0.361876879212018,0.0699999999999989, 0.19653906)); #187271=CARTESIAN_POINT('',(-0.37033243710232,0.0790658966373442,0.322103531983762)); #187272=CARTESIAN_POINT('Ctrl Pts',(-0.369210305050044,0.0790705355470185, 0.196473610983762)); #187273=CARTESIAN_POINT('Ctrl Pts',(-0.369584375870087,0.0790689846629372, 0.238350251091219)); #187274=CARTESIAN_POINT('Ctrl Pts',(-0.369958419803353,0.0790674383732429, 0.28022689142526)); #187275=CARTESIAN_POINT('Ctrl Pts',(-0.37033243710232,0.0790658966373442, 0.322103531983762)); #187276=CARTESIAN_POINT('Origin',(-0.362998019323967,0.0774999999999989, 0.322168981)); #187277=CARTESIAN_POINT('Origin',(0.,0.,0.18653906)); #187278=CARTESIAN_POINT('',(0.37033243710232,0.0790658966373449,0.322103531983762)); #187279=CARTESIAN_POINT('Ctrl Pts',(0.37033243710232,0.0790658966373449, 0.322103531983762)); #187280=CARTESIAN_POINT('Ctrl Pts',(0.369958419803353,0.0790674383732436, 0.28022689142526)); #187281=CARTESIAN_POINT('Ctrl Pts',(0.369584375870087,0.0790689846629379, 0.238350251091219)); #187282=CARTESIAN_POINT('Ctrl Pts',(0.369210305050043,0.0790705355470192, 0.196473610983762)); #187283=CARTESIAN_POINT('',(0.370628966769929,0.0780682100605269,0.331626325354961)); #187284=CARTESIAN_POINT('Ctrl Pts',(0.370630472324579,0.0780654103988647, 0.331625225692533)); #187285=CARTESIAN_POINT('Ctrl Pts',(0.370463288729414,0.0787272386221869, 0.328497761608441)); #187286=CARTESIAN_POINT('Ctrl Pts',(0.370360998843166,0.0790657789030547, 0.325301431541913)); #187287=CARTESIAN_POINT('Ctrl Pts',(0.37033243710232,0.0790658966373449, 0.322103531983762)); #187288=CARTESIAN_POINT('',(-0.37062896687672,0.07806820963802,0.331626326352649)); #187289=CARTESIAN_POINT('Origin',(2.19280870429546E-17,-2.18547839493141E-17, 0.331626325354984)); #187290=CARTESIAN_POINT('Ctrl Pts',(-0.37033243710232,0.0790658966373442, 0.322103531983762)); #187291=CARTESIAN_POINT('Ctrl Pts',(-0.370360998665189,0.0790657789037877, 0.325301411614818)); #187292=CARTESIAN_POINT('Ctrl Pts',(-0.370463289610038,0.0787272340444061, 0.328497755239778)); #187293=CARTESIAN_POINT('Ctrl Pts',(-0.370630473783955,0.0780654035002284, 0.331625230983298)); #187294=CARTESIAN_POINT('Origin',(-1.8075231392535E-16,0.217166652053542, 0.18653906)); #187295=CARTESIAN_POINT('Ctrl Pts',(-0.262737330881108,-0.0754304725012628, 0.196473610983762)); #187296=CARTESIAN_POINT('Ctrl Pts',(-0.2627373967734,-0.0754304729689075, 0.196466338853646)); #187297=CARTESIAN_POINT('Ctrl Pts',(-0.262737453800024,-0.0754305043198302, 0.196459066640822)); #187298=CARTESIAN_POINT('Ctrl Pts',(-0.262737501958093,-0.0754305665545576, 0.196451794645006)); #187299=CARTESIAN_POINT('Ctrl Pts',(-0.263246307988625,-0.0736574714031543, 0.196478232188559)); #187300=CARTESIAN_POINT('Ctrl Pts',(-0.263246414917538,-0.0736575086167026, 0.19646536694234)); #187301=CARTESIAN_POINT('Ctrl Pts',(-0.263246505248943,-0.0736575945415457, 0.196452534797502)); #187302=CARTESIAN_POINT('Ctrl Pts',(-0.263246583424205,-0.0736577326016676, 0.196439670037828)); #187303=CARTESIAN_POINT('Ctrl Pts',(-0.265330818739901,-0.0709131071898675, 0.196497158287475)); #187304=CARTESIAN_POINT('Ctrl Pts',(-0.265330943876881,-0.0709131905470932, 0.196474587492508)); #187305=CARTESIAN_POINT('Ctrl Pts',(-0.265331052919591,-0.0709133616077963, 0.196451995934725)); #187306=CARTESIAN_POINT('Ctrl Pts',(-0.265331144295649,-0.0709136186071885, 0.196429426334118)); #187307=CARTESIAN_POINT('Ctrl Pts',(-0.268562445953034,-0.0700000000000047, 0.196526499511193)); #187308=CARTESIAN_POINT('Ctrl Pts',(-0.268562529592981,-0.0700000367284347, 0.196498558486491)); #187309=CARTESIAN_POINT('Ctrl Pts',(-0.268562602129468,-0.0700001897022732, 0.196470641300771)); #187310=CARTESIAN_POINT('Ctrl Pts',(-0.268562662918581,-0.0700004601267462, 0.196442701189599)); #187311=CARTESIAN_POINT('Ctrl Pts',(-0.269945851697352,-0.0700000000000046, 0.19653906)); #187312=CARTESIAN_POINT('Ctrl Pts',(-0.269945917723902,-0.0700000000000046, 0.196509971479534)); #187313=CARTESIAN_POINT('Ctrl Pts',(-0.269945974641525,-0.0700001269223789, 0.196480882628238)); #187314=CARTESIAN_POINT('Ctrl Pts',(-0.269946022447323,-0.0700003807693633, 0.196451794644974)); #187315=CARTESIAN_POINT('',(-0.262737501958093,-0.0754305665545577,0.196451794644974)); #187316=CARTESIAN_POINT('',(-0.269946022447323,-0.0700003807693633,0.196451794644973)); #187317=CARTESIAN_POINT('Origin',(-0.269946022447323,-0.0775000951923443, 0.196517243661243)); #187318=CARTESIAN_POINT('',(-0.262737330881108,-0.0754304725012628,0.196473610983762)); #187319=CARTESIAN_POINT('Ctrl Pts',(-0.262737528556019,-0.0754304739043686, 0.196451794880018)); #187320=CARTESIAN_POINT('Ctrl Pts',(-0.262737480397594,-0.0754304116706159, 0.196459066878578)); #187321=CARTESIAN_POINT('Ctrl Pts',(-0.262737423371147,-0.0754303803193191, 0.196466339092401)); #187322=CARTESIAN_POINT('Ctrl Pts',(-0.262737357479334,-0.0754303798497729, 0.196473611225258)); #187323=CARTESIAN_POINT('',(-0.269945851697352,-0.0700000000000046,0.19653906)); #187324=CARTESIAN_POINT('Origin',(-0.269945851697352,-0.0775000000000046, 0.19653906)); #187325=CARTESIAN_POINT('Ctrl Pts',(-0.269945851697352,-0.0700000000000046, 0.19653906)); #187326=CARTESIAN_POINT('Ctrl Pts',(-0.269945917723902,-0.0700000000000046, 0.196509971479534)); #187327=CARTESIAN_POINT('Ctrl Pts',(-0.269945974641525,-0.0700001269223789, 0.196480882628238)); #187328=CARTESIAN_POINT('Ctrl Pts',(-0.269946022447323,-0.0700003807693633, 0.196451794644974)); #187329=CARTESIAN_POINT('Ctrl Pts',(-0.262737501958093,-0.0754305665545577, 0.196451794644974)); #187330=CARTESIAN_POINT('Ctrl Pts',(-0.262755879388031,-0.075439691309453, 0.194139185068157)); #187331=CARTESIAN_POINT('Ctrl Pts',(-0.263972174607389,-0.0760427158915911, 0.190799700300356)); #187332=CARTESIAN_POINT('Ctrl Pts',(-0.267462610759757,-0.0777896516541649, 0.187412497705811)); #187333=CARTESIAN_POINT('Ctrl Pts',(-0.269984573381128,-0.0790640828224709, 0.186576170930502)); #187334=CARTESIAN_POINT('Ctrl Pts',(-0.271715952391855,-0.0799456426035416, 0.186539525943231)); #187335=CARTESIAN_POINT('Ctrl Pts',(-0.271769323552515,-0.0799728216987392, 0.18653906)); #187336=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033913,-0.0800000000000043, 0.18653906)); #187337=CARTESIAN_POINT('Ctrl Pts',(-0.263246583424205,-0.0736577326016677, 0.196439670037798)); #187338=CARTESIAN_POINT('Ctrl Pts',(-0.263268706908875,-0.0736747185430776, 0.193802593265758)); #187339=CARTESIAN_POINT('Ctrl Pts',(-0.264744493352789,-0.0748060460236128, 0.190216897315064)); #187340=CARTESIAN_POINT('Ctrl Pts',(-0.268113231487648,-0.0772880682593184, 0.187235930519572)); #187341=CARTESIAN_POINT('Ctrl Pts',(-0.270263563985886,-0.0788568794322039, 0.186572300800241)); #187342=CARTESIAN_POINT('Ctrl Pts',(-0.271732063072041,-0.0799335550472664, 0.186539359670475)); #187343=CARTESIAN_POINT('Ctrl Pts',(-0.271777389905335,-0.0799667872582892, 0.18653906)); #187344=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033913,-0.0800000000000043, 0.18653906)); #187345=CARTESIAN_POINT('Ctrl Pts',(-0.265331146744357,-0.0709136224059545, 0.196429426383346)); #187346=CARTESIAN_POINT('Ctrl Pts',(-0.265351134292706,-0.070941519292542, 0.193525548207392)); #187347=CARTESIAN_POINT('Ctrl Pts',(-0.266690977321879,-0.0728118860739403, 0.189755972250896)); #187348=CARTESIAN_POINT('Ctrl Pts',(-0.269258158079329,-0.0764061136249672, 0.187109181700594)); #187349=CARTESIAN_POINT('Ctrl Pts',(-0.270748604333022,-0.0784922648491215, 0.186569664391431)); #187350=CARTESIAN_POINT('Ctrl Pts',(-0.271760322376493,-0.0799124417515105, 0.186539230157378)); #187351=CARTESIAN_POINT('Ctrl Pts',(-0.27179150602277,-0.0799562269875017, 0.18653906)); #187352=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033913,-0.0800000000000041, 0.18653906)); #187353=CARTESIAN_POINT('Ctrl Pts',(-0.268562662918581,-0.0700004601267462, 0.196442701189592)); #187354=CARTESIAN_POINT('Ctrl Pts',(-0.268571302732262,-0.0700248747156157, 0.19388887425679)); #187355=CARTESIAN_POINT('Ctrl Pts',(-0.269148411231803,-0.0716531362462241, 0.190364701505987)); #187356=CARTESIAN_POINT('Ctrl Pts',(-0.270423106772513,-0.0755544469017599, 0.187279504673522)); #187357=CARTESIAN_POINT('Ctrl Pts',(-0.271234954332114,-0.0781288424237429, 0.186573234578421)); #187358=CARTESIAN_POINT('Ctrl Pts',(-0.271788559742073,-0.0798913109499037, 0.186539401859837)); #187359=CARTESIAN_POINT('Ctrl Pts',(-0.271805622140206,-0.0799456667167143, 0.18653906)); #187360=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033913,-0.080000000000004, 0.18653906)); #187361=CARTESIAN_POINT('Ctrl Pts',(-0.269946022447323,-0.0700003807693633, 0.196451794644973)); #187362=CARTESIAN_POINT('Ctrl Pts',(-0.269949823197081,-0.0700205626074017, 0.194139185068157)); #187363=CARTESIAN_POINT('Ctrl Pts',(-0.270201407783528,-0.0713559400518647, 0.190799700300353)); #187364=CARTESIAN_POINT('Ctrl Pts',(-0.270922735678015,-0.0751943772575102, 0.187412497705809)); #187365=CARTESIAN_POINT('Ctrl Pts',(-0.271443441045118,-0.0779724714973414, 0.186576170930493)); #187366=CARTESIAN_POINT('Ctrl Pts',(-0.271800660489606,-0.0798822681756897, 0.186539525943231)); #187367=CARTESIAN_POINT('Ctrl Pts',(-0.271811671904821,-0.0799411408863768, 0.18653906)); #187368=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033913,-0.080000000000004, 0.18653906)); #187369=CARTESIAN_POINT('',(-0.271822680033913,-0.0800000000000043,0.18653906)); #187370=CARTESIAN_POINT('Ctrl Pts',(-0.269946022447323,-0.0700003807693633, 0.196451794644973)); #187371=CARTESIAN_POINT('Ctrl Pts',(-0.269949823197081,-0.0700205626074017, 0.194139185068157)); #187372=CARTESIAN_POINT('Ctrl Pts',(-0.270201407783528,-0.0713559400518647, 0.190799700300353)); #187373=CARTESIAN_POINT('Ctrl Pts',(-0.270922735678015,-0.0751943772575102, 0.187412497705809)); #187374=CARTESIAN_POINT('Ctrl Pts',(-0.271443441045118,-0.0779724714973414, 0.186576170930493)); #187375=CARTESIAN_POINT('Ctrl Pts',(-0.271800660489606,-0.0798822681756897, 0.186539525943231)); #187376=CARTESIAN_POINT('Ctrl Pts',(-0.271811671904821,-0.0799411408863768, 0.18653906)); #187377=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033913,-0.080000000000004, 0.18653906)); #187378=CARTESIAN_POINT('Ctrl Pts',(-0.271822680033913,-0.0800000000000043, 0.18653906)); #187379=CARTESIAN_POINT('Ctrl Pts',(-0.271769323552515,-0.0799728216987392, 0.18653906)); #187380=CARTESIAN_POINT('Ctrl Pts',(-0.271715952391855,-0.0799456426035416, 0.186539525943231)); #187381=CARTESIAN_POINT('Ctrl Pts',(-0.269984573381128,-0.0790640828224709, 0.186576170930502)); #187382=CARTESIAN_POINT('Ctrl Pts',(-0.267462610759757,-0.0777896516541649, 0.187412497705811)); #187383=CARTESIAN_POINT('Ctrl Pts',(-0.263972174607389,-0.0760427158915911, 0.190799700300356)); #187384=CARTESIAN_POINT('Ctrl Pts',(-0.262755879388031,-0.075439691309453, 0.194139185068157)); #187385=CARTESIAN_POINT('Ctrl Pts',(-0.262737501958093,-0.0754305665545577, 0.196451794644974)); #187386=CARTESIAN_POINT('Ctrl Pts',(0.269945851697352,-0.0700000000000046, 0.19653906)); #187387=CARTESIAN_POINT('Ctrl Pts',(0.269945917723902,-0.0700000000000046, 0.196509971479534)); #187388=CARTESIAN_POINT('Ctrl Pts',(0.269945974641525,-0.0700001269223789, 0.196480882628238)); #187389=CARTESIAN_POINT('Ctrl Pts',(0.269946022447323,-0.0700003807693633, 0.196451794644974)); #187390=CARTESIAN_POINT('Ctrl Pts',(0.268101310704929,-0.0700000000000047, 0.196522312681592)); #187391=CARTESIAN_POINT('Ctrl Pts',(0.268101400725043,-0.0700000497044875, 0.196494735274157)); #187392=CARTESIAN_POINT('Ctrl Pts',(0.268101477585742,-0.0700002099285169, 0.196467246398974)); #187393=CARTESIAN_POINT('Ctrl Pts',(0.268101543075667,-0.0700004865792072, 0.196439670037807)); #187394=CARTESIAN_POINT('Ctrl Pts',(0.264888409520925,-0.071246392084119, 0.19649314147891)); #187395=CARTESIAN_POINT('Ctrl Pts',(0.264888538948963,-0.0712464722312655, 0.196471913039579)); #187396=CARTESIAN_POINT('Ctrl Pts',(0.264888651479671,-0.0712466348334193, 0.196450653676726)); #187397=CARTESIAN_POINT('Ctrl Pts',(0.26488874602558,-0.0712468776720842, 0.19642942633412)); #187398=CARTESIAN_POINT('Ctrl Pts',(0.263119063711746,-0.0741007216776814, 0.19647707688736)); #187399=CARTESIAN_POINT('Ctrl Pts',(0.263119159958661,-0.0741007491857337, 0.196465622598711)); #187400=CARTESIAN_POINT('Ctrl Pts',(0.263119242696592,-0.0741008224757386, 0.196454155084534)); #187401=CARTESIAN_POINT('Ctrl Pts',(0.263119313057677,-0.0741009410898902, 0.196442701189623)); #187402=CARTESIAN_POINT('Ctrl Pts',(0.262737330881108,-0.0754304725012628, 0.196473610983762)); #187403=CARTESIAN_POINT('Ctrl Pts',(0.2627373967734,-0.0754304729689075, 0.196466338853646)); #187404=CARTESIAN_POINT('Ctrl Pts',(0.262737453800024,-0.0754305043198303, 0.196459066640822)); #187405=CARTESIAN_POINT('Ctrl Pts',(0.262737501958093,-0.0754305665545577, 0.196451794645006)); #187406=CARTESIAN_POINT('',(0.269946022447323,-0.0700003807693633,0.196451794644974)); #187407=CARTESIAN_POINT('',(0.262737501958093,-0.0754305665545578,0.196451794644973)); #187408=CARTESIAN_POINT('Origin',(0.269946022447323,-0.0775000951923443, 0.196517243661243)); #187409=CARTESIAN_POINT('',(0.269945851697352,-0.0700000000000046,0.19653906)); #187410=CARTESIAN_POINT('Ctrl Pts',(0.269946022447323,-0.0700003807693633, 0.196451794644974)); #187411=CARTESIAN_POINT('Ctrl Pts',(0.269945974641525,-0.0700001269223789, 0.196480882628238)); #187412=CARTESIAN_POINT('Ctrl Pts',(0.269945917723902,-0.0700000000000046, 0.196509971479534)); #187413=CARTESIAN_POINT('Ctrl Pts',(0.269945851697352,-0.0700000000000046, 0.19653906)); #187414=CARTESIAN_POINT('',(0.262737330881108,-0.0754304725012628,0.196473610983762)); #187415=CARTESIAN_POINT('Origin',(0.269945851697352,-0.0775000000000046, 0.19653906)); #187416=CARTESIAN_POINT('Ctrl Pts',(0.262737330881108,-0.0754304725012628, 0.196473610983762)); #187417=CARTESIAN_POINT('Ctrl Pts',(0.262737396770777,-0.0754304729688889, 0.196466339143173)); #187418=CARTESIAN_POINT('Ctrl Pts',(0.262737453795483,-0.0754305043173339, 0.196459067219883)); #187419=CARTESIAN_POINT('Ctrl Pts',(0.262737501952341,-0.0754305665471245, 0.196451795513572)); #187420=CARTESIAN_POINT('Ctrl Pts',(0.269946022447323,-0.0700003807693633, 0.196451794644974)); #187421=CARTESIAN_POINT('Ctrl Pts',(0.269949823193028,-0.0700205625858793, 0.194139187534381)); #187422=CARTESIAN_POINT('Ctrl Pts',(0.270201407064305,-0.0713559362318377, 0.190799706194699)); #187423=CARTESIAN_POINT('Ctrl Pts',(0.270922734110802,-0.0751943689111801, 0.187412502720368)); #187424=CARTESIAN_POINT('Ctrl Pts',(0.271443438799873,-0.0779724595161221, 0.186576173741483)); #187425=CARTESIAN_POINT('Ctrl Pts',(0.271800658736728,-0.0798822588037635, 0.186539526022573)); #187426=CARTESIAN_POINT('Ctrl Pts',(0.271811671028505,-0.0799411362014027, 0.18653906)); #187427=CARTESIAN_POINT('Ctrl Pts',(0.271822680033914,-0.0800000000000047, 0.18653906)); #187428=CARTESIAN_POINT('Ctrl Pts',(0.268101543075667,-0.0700004865792073, 0.196439670037798)); #187429=CARTESIAN_POINT('Ctrl Pts',(0.268111866206954,-0.070026413260361, 0.193802596078001)); #187430=CARTESIAN_POINT('Ctrl Pts',(0.268799509081083,-0.0717552158653934, 0.190216903092751)); #187431=CARTESIAN_POINT('Ctrl Pts',(0.270259318979903,-0.075678467449392, 0.187235934564277)); #187432=CARTESIAN_POINT('Ctrl Pts',(0.271165938918376,-0.0781816568981581, 0.186572303038434)); #187433=CARTESIAN_POINT('Ctrl Pts',(0.271784513796985,-0.0798943032803421, 0.186539359728794)); #187434=CARTESIAN_POINT('Ctrl Pts',(0.271803604033658,-0.0799471711221524, 0.18653906)); #187435=CARTESIAN_POINT('Ctrl Pts',(0.271822680033914,-0.0800000000000047, 0.18653906)); #187436=CARTESIAN_POINT('Ctrl Pts',(0.264888749001135,-0.0712468810739759, 0.196429426383347)); #187437=CARTESIAN_POINT('Ctrl Pts',(0.264910131753382,-0.071273722202243, 0.193525551304158)); #187438=CARTESIAN_POINT('Ctrl Pts',(0.266344267194869,-0.0730727122910689, 0.189755977888228)); #187439=CARTESIAN_POINT('Ctrl Pts',(0.26909217567009,-0.0765305772894657, 0.187109184983274)); #187440=CARTESIAN_POINT('Ctrl Pts',(0.27067927302839,-0.0785441287339714, 0.186569666200546)); #187441=CARTESIAN_POINT('Ctrl Pts',(0.271756280231463,-0.0799154552057197, 0.18653923019962)); #187442=CARTESIAN_POINT('Ctrl Pts',(0.271789486792677,-0.0799577322334642, 0.18653906)); #187443=CARTESIAN_POINT('Ctrl Pts',(0.271822680033914,-0.0800000000000047, 0.18653906)); #187444=CARTESIAN_POINT('Ctrl Pts',(0.263119313057677,-0.0741009410898903, 0.196442701189592)); #187445=CARTESIAN_POINT('Ctrl Pts',(0.263140441524659,-0.0741158901539096, 0.193888876980253)); #187446=CARTESIAN_POINT('Ctrl Pts',(0.264549665130854,-0.0751130759883246, 0.190364707317643)); #187447=CARTESIAN_POINT('Ctrl Pts',(0.267948253557076,-0.0774106414767909, 0.187279508963832)); #187448=CARTESIAN_POINT('Ctrl Pts',(0.270193401112868,-0.0789081796757845, 0.186573236959757)); #187449=CARTESIAN_POINT('Ctrl Pts',(0.271728035680746,-0.0799365814078478, 0.186539401923453)); #187450=CARTESIAN_POINT('Ctrl Pts',(0.271775369551696,-0.0799682933447761, 0.18653906)); #187451=CARTESIAN_POINT('Ctrl Pts',(0.271822680033914,-0.0800000000000047, 0.18653906)); #187452=CARTESIAN_POINT('Ctrl Pts',(0.262737501958093,-0.0754305665545578, 0.196451794644973)); #187453=CARTESIAN_POINT('Ctrl Pts',(0.262755879368433,-0.0754396912997222, 0.19413918753438)); #187454=CARTESIAN_POINT('Ctrl Pts',(0.263972171130613,-0.0760427141603073, 0.190799706194702)); #187455=CARTESIAN_POINT('Ctrl Pts',(0.267462603174797,-0.0777896478444924, 0.18741250272037)); #187456=CARTESIAN_POINT('Ctrl Pts',(0.269984562508862,-0.0790640773158916, 0.186576173741492)); #187457=CARTESIAN_POINT('Ctrl Pts',(0.271715943895733,-0.0799456382768848, 0.186539526022573)); #187458=CARTESIAN_POINT('Ctrl Pts',(0.271769319305561,-0.0799728195353383, 0.18653906)); #187459=CARTESIAN_POINT('Ctrl Pts',(0.271822680033914,-0.0800000000000047, 0.18653906)); #187460=CARTESIAN_POINT('',(0.271822680033914,-0.0800000000000047,0.18653906)); #187461=CARTESIAN_POINT('Ctrl Pts',(0.262737501958093,-0.0754305665545578, 0.196451794644973)); #187462=CARTESIAN_POINT('Ctrl Pts',(0.262755879368433,-0.0754396912997222, 0.19413918753438)); #187463=CARTESIAN_POINT('Ctrl Pts',(0.263972171130613,-0.0760427141603073, 0.190799706194702)); #187464=CARTESIAN_POINT('Ctrl Pts',(0.267462603174797,-0.0777896478444924, 0.18741250272037)); #187465=CARTESIAN_POINT('Ctrl Pts',(0.269984562508862,-0.0790640773158916, 0.186576173741492)); #187466=CARTESIAN_POINT('Ctrl Pts',(0.271715943895733,-0.0799456382768848, 0.186539526022573)); #187467=CARTESIAN_POINT('Ctrl Pts',(0.271769319305561,-0.0799728195353383, 0.18653906)); #187468=CARTESIAN_POINT('Ctrl Pts',(0.271822680033914,-0.0800000000000047, 0.18653906)); #187469=CARTESIAN_POINT('Ctrl Pts',(0.271822680033914,-0.0800000000000047, 0.18653906)); #187470=CARTESIAN_POINT('Ctrl Pts',(0.271811671028505,-0.0799411362014027, 0.18653906)); #187471=CARTESIAN_POINT('Ctrl Pts',(0.271800658736728,-0.0798822588037635, 0.186539526022573)); #187472=CARTESIAN_POINT('Ctrl Pts',(0.271443438799873,-0.0779724595161221, 0.186576173741483)); #187473=CARTESIAN_POINT('Ctrl Pts',(0.270922734110802,-0.0751943689111801, 0.187412502720368)); #187474=CARTESIAN_POINT('Ctrl Pts',(0.270201407064305,-0.0713559362318377, 0.190799706194699)); #187475=CARTESIAN_POINT('Ctrl Pts',(0.269949823193028,-0.0700205625858793, 0.194139187534381)); #187476=CARTESIAN_POINT('Ctrl Pts',(0.269946022447323,-0.0700003807693633, 0.196451794644974)); #187477=CARTESIAN_POINT('Ctrl Pts',(0.369210305050043,-0.0790705355470195, 0.196473610983762)); #187478=CARTESIAN_POINT('Ctrl Pts',(0.369210240089148,-0.079070535816345, 0.196466338713675)); #187479=CARTESIAN_POINT('Ctrl Pts',(0.369210168195365,-0.0790705684604979, 0.196459066504237)); #187480=CARTESIAN_POINT('Ctrl Pts',(0.369210089371901,-0.0790706334763902, 0.196451794645006)); #187481=CARTESIAN_POINT('Ctrl Pts',(0.369676673364749,-0.0768927116391571, 0.19646944876162)); #187482=CARTESIAN_POINT('Ctrl Pts',(0.369676540748475,-0.0768927438316366, 0.196455530325546)); #187483=CARTESIAN_POINT('Ctrl Pts',(0.369676400353573,-0.0768928396689511, 0.196441675831986)); #187484=CARTESIAN_POINT('Ctrl Pts',(0.369676240142607,-0.0768930011565781, 0.196427759237456)); #187485=CARTESIAN_POINT('Ctrl Pts',(0.368529274933257,-0.0721211845831021, 0.196479689009525)); #187486=CARTESIAN_POINT('Ctrl Pts',(0.368529079276536,-0.0721212905057801, 0.19645267488534)); #187487=CARTESIAN_POINT('Ctrl Pts',(0.368528859703346,-0.0721215292616479, 0.196425519129266)); #187488=CARTESIAN_POINT('Ctrl Pts',(0.368528623719515,-0.0721218934953457, 0.196398509455333)); #187489=CARTESIAN_POINT('Ctrl Pts',(0.364103993629729,-0.07,0.196519183553315)); #187490=CARTESIAN_POINT('Ctrl Pts',(0.364103883345976,-0.0700000595552237, 0.196488626494114)); #187491=CARTESIAN_POINT('Ctrl Pts',(0.364103763594888,-0.0700002518731998, 0.196458313097077)); #187492=CARTESIAN_POINT('Ctrl Pts',(0.364103630446083,-0.0700005905231826, 0.196427759237432)); #187493=CARTESIAN_POINT('Ctrl Pts',(0.361876879212017,-0.0700000000000001, 0.19653906)); #187494=CARTESIAN_POINT('Ctrl Pts',(0.361876814308801,-0.0700000000000001, 0.19650997091965)); #187495=CARTESIAN_POINT('Ctrl Pts',(0.361876742610411,-0.0700001269271421, 0.196480882081898)); #187496=CARTESIAN_POINT('Ctrl Pts',(0.361876664120041,-0.0700003807693587, 0.196451794644974)); #187497=CARTESIAN_POINT('',(0.3692100893719,-0.07907063347639,0.196451794644974)); #187498=CARTESIAN_POINT('',(0.361876664120041,-0.0700003807693587,0.196451794644974)); #187499=CARTESIAN_POINT('Origin',(0.361876664120041,-0.0775000951923397, 0.196517243661243)); #187500=CARTESIAN_POINT('',(0.369210305050043,-0.0790705355470195,0.196473610983762)); #187501=CARTESIAN_POINT('Ctrl Pts',(0.369210110169198,-0.079070536354851, 0.196451794455602)); #187502=CARTESIAN_POINT('Ctrl Pts',(0.369210188992542,-0.0790704713399054, 0.196459066317032)); #187503=CARTESIAN_POINT('Ctrl Pts',(0.369210260886192,-0.0790704386955645, 0.196466338525845)); #187504=CARTESIAN_POINT('Ctrl Pts',(0.369210325847477,-0.0790704384248263, 0.19647361079815)); #187505=CARTESIAN_POINT('',(0.361876879212017,-0.07,0.19653906)); #187506=CARTESIAN_POINT('Origin',(0.361876879212018,-0.0775,0.19653906)); #187507=CARTESIAN_POINT('Ctrl Pts',(0.361876879212017,-0.0700000000000001, 0.19653906)); #187508=CARTESIAN_POINT('Ctrl Pts',(0.361876814308801,-0.0700000000000001, 0.19650997091965)); #187509=CARTESIAN_POINT('Ctrl Pts',(0.361876742610411,-0.0700001269271421, 0.196480882081898)); #187510=CARTESIAN_POINT('Ctrl Pts',(0.361876664120041,-0.0700003807693587, 0.196451794644974)); #187511=CARTESIAN_POINT('Ctrl Pts',(0.3692100893719,-0.07907063347639,0.196451794644974)); #187512=CARTESIAN_POINT('Ctrl Pts',(0.369197818496834,-0.0790717980063018, 0.195104813264791)); #187513=CARTESIAN_POINT('Ctrl Pts',(0.368187675829472,-0.0791682354031755, 0.191128559952249)); #187514=CARTESIAN_POINT('Ctrl Pts',(0.364993465409736,-0.0794640147108936, 0.188183289202509)); #187515=CARTESIAN_POINT('Ctrl Pts',(0.361610948352876,-0.079760525371995, 0.186798460935537)); #187516=CARTESIAN_POINT('Ctrl Pts',(0.359833897490329,-0.0799114916418646, 0.186555587924262)); #187517=CARTESIAN_POINT('Ctrl Pts',(0.358893868421213,-0.0799898103292953, 0.186539570844539)); #187518=CARTESIAN_POINT('Ctrl Pts',(0.358832598244381,-0.0799949102396222, 0.18653906)); #187519=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,-0.08,0.18653906)); #187520=CARTESIAN_POINT('Ctrl Pts',(0.369676240142607,-0.076893001156578, 0.196427759237422)); #187521=CARTESIAN_POINT('Ctrl Pts',(0.36965582693754,-0.0769002977624838, 0.194705166635774)); #187522=CARTESIAN_POINT('Ctrl Pts',(0.367912850824185,-0.0775267875111271, 0.189936945568974)); #187523=CARTESIAN_POINT('Ctrl Pts',(0.364357978470545,-0.0785314492643888, 0.187782049587195)); #187524=CARTESIAN_POINT('Ctrl Pts',(0.361288345796719,-0.0793424586546118, 0.186700451867817)); #187525=CARTESIAN_POINT('Ctrl Pts',(0.359708115814039,-0.0797569776275464, 0.186552229933595)); #187526=CARTESIAN_POINT('Ctrl Pts',(0.358879616925252,-0.0799719334832181, 0.186539344868005)); #187527=CARTESIAN_POINT('Ctrl Pts',(0.358825441854057,-0.079985982339058, 0.18653906)); #187528=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,-0.08,0.18653906)); #187529=CARTESIAN_POINT('Ctrl Pts',(0.368528623845392,-0.072121893393572, 0.196398509453057)); #187530=CARTESIAN_POINT('Ctrl Pts',(0.368502566376207,-0.0721430151082397, 0.194233727068659)); #187531=CARTESIAN_POINT('Ctrl Pts',(0.366235092005778,-0.0739812706419906, 0.188597106945092)); #187532=CARTESIAN_POINT('Ctrl Pts',(0.362971761622571,-0.0766219625191534, 0.187396102136536)); #187533=CARTESIAN_POINT('Ctrl Pts',(0.360642222721189,-0.0784981897035727, 0.186595438607599)); #187534=CARTESIAN_POINT('Ctrl Pts',(0.359460182668276,-0.0794477607306952, 0.186549482332558)); #187535=CARTESIAN_POINT('Ctrl Pts',(0.358850974884782,-0.0799361824910168, 0.186539092662784)); #187536=CARTESIAN_POINT('Ctrl Pts',(0.358811129073408,-0.0799681265379295, 0.18653906)); #187537=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,-0.08,0.18653906)); #187538=CARTESIAN_POINT('Ctrl Pts',(0.364103630446083,-0.0700005905231827, 0.196427759237422)); #187539=CARTESIAN_POINT('Ctrl Pts',(0.364092294747801,-0.0700190450487305, 0.194705166635774)); #187540=CARTESIAN_POINT('Ctrl Pts',(0.363121520451701,-0.0715942807654894, 0.189936945568974)); #187541=CARTESIAN_POINT('Ctrl Pts',(0.361402086246048,-0.0748597689137914, 0.187782049587197)); #187542=CARTESIAN_POINT('Ctrl Pts',(0.359957482605915,-0.07768510732191, 0.186700451867818)); #187543=CARTESIAN_POINT('Ctrl Pts',(0.359212263376047,-0.0791385303404672, 0.186552229933599)); #187544=CARTESIAN_POINT('Ctrl Pts',(0.358822311424771,-0.0799004488768529, 0.186539344868005)); #187545=CARTESIAN_POINT('Ctrl Pts',(0.358796816292758,-0.0799502707368011, 0.18653906)); #187546=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,-0.08,0.18653906)); #187547=CARTESIAN_POINT('Ctrl Pts',(0.361876664120041,-0.0700003807693587, 0.196451794644974)); #187548=CARTESIAN_POINT('Ctrl Pts',(0.36187302938703,-0.0700121356977858, 0.195104813264791)); #187549=CARTESIAN_POINT('Ctrl Pts',(0.361573939614787,-0.0709798102089024, 0.19112855995225)); #187550=CARTESIAN_POINT('Ctrl Pts',(0.360626208604589,-0.0740396637984548, 0.188183289202512)); #187551=CARTESIAN_POINT('Ctrl Pts',(0.359618984585215,-0.0772798659323315, 0.186798460935539)); #187552=CARTESIAN_POINT('Ctrl Pts',(0.359088773911468,-0.0789821601330176, 0.186555587924269)); #187553=CARTESIAN_POINT('Ctrl Pts',(0.358807963890789,-0.0798826497667841, 0.186539570844539)); #187554=CARTESIAN_POINT('Ctrl Pts',(0.358789659902434,-0.0799413428362369, 0.18653906)); #187555=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,-0.08,0.18653906)); #187556=CARTESIAN_POINT('',(0.358771365577061,-0.08,0.18653906)); #187557=CARTESIAN_POINT('Ctrl Pts',(0.361876664120041,-0.0700003807693587, 0.196451794644974)); #187558=CARTESIAN_POINT('Ctrl Pts',(0.36187302938703,-0.0700121356977858, 0.195104813264791)); #187559=CARTESIAN_POINT('Ctrl Pts',(0.361573939614787,-0.0709798102089024, 0.19112855995225)); #187560=CARTESIAN_POINT('Ctrl Pts',(0.360626208604589,-0.0740396637984548, 0.188183289202512)); #187561=CARTESIAN_POINT('Ctrl Pts',(0.359618984585215,-0.0772798659323315, 0.186798460935539)); #187562=CARTESIAN_POINT('Ctrl Pts',(0.359088773911468,-0.0789821601330176, 0.186555587924269)); #187563=CARTESIAN_POINT('Ctrl Pts',(0.358807963890789,-0.0798826497667841, 0.186539570844539)); #187564=CARTESIAN_POINT('Ctrl Pts',(0.358789659902434,-0.0799413428362369, 0.18653906)); #187565=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,-0.08,0.18653906)); #187566=CARTESIAN_POINT('Ctrl Pts',(0.358771365577061,-0.08,0.18653906)); #187567=CARTESIAN_POINT('Ctrl Pts',(0.358832598244381,-0.0799949102396222, 0.18653906)); #187568=CARTESIAN_POINT('Ctrl Pts',(0.358893868421213,-0.0799898103292953, 0.186539570844539)); #187569=CARTESIAN_POINT('Ctrl Pts',(0.359833897490329,-0.0799114916418646, 0.186555587924262)); #187570=CARTESIAN_POINT('Ctrl Pts',(0.361610948352876,-0.079760525371995, 0.186798460935537)); #187571=CARTESIAN_POINT('Ctrl Pts',(0.364993465409736,-0.0794640147108936, 0.188183289202509)); #187572=CARTESIAN_POINT('Ctrl Pts',(0.368187675829472,-0.0791682354031755, 0.191128559952249)); #187573=CARTESIAN_POINT('Ctrl Pts',(0.369197818496834,-0.0790717980063018, 0.195104813264791)); #187574=CARTESIAN_POINT('Ctrl Pts',(0.3692100893719,-0.07907063347639,0.196451794644974)); #187575=CARTESIAN_POINT('Ctrl Pts',(-0.361876879212017,-0.07,0.19653906)); #187576=CARTESIAN_POINT('Ctrl Pts',(-0.361876814308801,-0.07,0.19650997091965)); #187577=CARTESIAN_POINT('Ctrl Pts',(-0.361876742610411,-0.0700001269271422, 0.196480882081898)); #187578=CARTESIAN_POINT('Ctrl Pts',(-0.361876664120041,-0.0700003807693587, 0.196451794644974)); #187579=CARTESIAN_POINT('Ctrl Pts',(-0.364103993629729,-0.0699999999999999, 0.196519183553315)); #187580=CARTESIAN_POINT('Ctrl Pts',(-0.364103883345976,-0.0700000595552236, 0.196488626494113)); #187581=CARTESIAN_POINT('Ctrl Pts',(-0.364103763594888,-0.0700002518731998, 0.196458313097077)); #187582=CARTESIAN_POINT('Ctrl Pts',(-0.364103630446083,-0.0700005905231826, 0.196427759237432)); #187583=CARTESIAN_POINT('Ctrl Pts',(-0.368529274933257,-0.0721211845831021, 0.196479689009525)); #187584=CARTESIAN_POINT('Ctrl Pts',(-0.368529079276536,-0.0721212905057801, 0.19645267488534)); #187585=CARTESIAN_POINT('Ctrl Pts',(-0.368528859703345,-0.0721215292616479, 0.196425519129266)); #187586=CARTESIAN_POINT('Ctrl Pts',(-0.368528623719515,-0.0721218934953457, 0.196398509455332)); #187587=CARTESIAN_POINT('Ctrl Pts',(-0.36967667336475,-0.0768927116391571, 0.19646944876162)); #187588=CARTESIAN_POINT('Ctrl Pts',(-0.369676540748475,-0.0768927438316367, 0.196455530325546)); #187589=CARTESIAN_POINT('Ctrl Pts',(-0.369676400353573,-0.0768928396689511, 0.196441675831986)); #187590=CARTESIAN_POINT('Ctrl Pts',(-0.369676240142607,-0.0768930011565781, 0.196427759237456)); #187591=CARTESIAN_POINT('Ctrl Pts',(-0.369210305050043,-0.0790705355470196, 0.196473610983762)); #187592=CARTESIAN_POINT('Ctrl Pts',(-0.369210240089148,-0.0790705358163451, 0.196466338713675)); #187593=CARTESIAN_POINT('Ctrl Pts',(-0.369210168195365,-0.0790705684604979, 0.196459066504237)); #187594=CARTESIAN_POINT('Ctrl Pts',(-0.369210089371901,-0.0790706334763902, 0.196451794645006)); #187595=CARTESIAN_POINT('',(-0.361876664120041,-0.0700003807693587,0.196451794644974)); #187596=CARTESIAN_POINT('',(-0.3692100893719,-0.0790706334763901,0.196451794644975)); #187597=CARTESIAN_POINT('Origin',(-0.361876664120041,-0.0775000951923397, 0.196517243661244)); #187598=CARTESIAN_POINT('',(-0.361876879212017,-0.07,0.19653906)); #187599=CARTESIAN_POINT('Ctrl Pts',(-0.361876664120041,-0.0700003807693587, 0.196451794644974)); #187600=CARTESIAN_POINT('Ctrl Pts',(-0.361876742610411,-0.0700001269271422, 0.196480882081898)); #187601=CARTESIAN_POINT('Ctrl Pts',(-0.361876814308801,-0.07,0.19650997091965)); #187602=CARTESIAN_POINT('Ctrl Pts',(-0.361876879212017,-0.07,0.19653906)); #187603=CARTESIAN_POINT('',(-0.369210305050043,-0.0790705355470196,0.196473610983762)); #187604=CARTESIAN_POINT('Origin',(-0.361876879212018,-0.0775,0.19653906)); #187605=CARTESIAN_POINT('Ctrl Pts',(-0.369210305050043,-0.0790705355470196, 0.196473610983762)); #187606=CARTESIAN_POINT('Ctrl Pts',(-0.369210240091776,-0.0790705358163342, 0.196466339007836)); #187607=CARTESIAN_POINT('Ctrl Pts',(-0.369210168201181,-0.0790705684578571, 0.196459067092555)); #187608=CARTESIAN_POINT('Ctrl Pts',(-0.369210089381466,-0.0790706334685007, 0.196451795527441)); #187609=CARTESIAN_POINT('Ctrl Pts',(-0.361876664120041,-0.0700003807693587, 0.196451794644974)); #187610=CARTESIAN_POINT('Ctrl Pts',(-0.36187302938703,-0.0700121356977858, 0.195104813264791)); #187611=CARTESIAN_POINT('Ctrl Pts',(-0.36157393961466,-0.0709798102090039, 0.19112855995225)); #187612=CARTESIAN_POINT('Ctrl Pts',(-0.360626208604051,-0.0740396637988844, 0.188183289202512)); #187613=CARTESIAN_POINT('Ctrl Pts',(-0.359618984584852,-0.0772798659326223, 0.186798460935539)); #187614=CARTESIAN_POINT('Ctrl Pts',(-0.359088773910275,-0.0789821601339683, 0.186555587924268)); #187615=CARTESIAN_POINT('Ctrl Pts',(-0.358807963890848,-0.0798826497667374, 0.186539570844539)); #187616=CARTESIAN_POINT('Ctrl Pts',(-0.358789659902112,-0.0799413428364942, 0.18653906)); #187617=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577061,-0.0800000000000001, 0.18653906)); #187618=CARTESIAN_POINT('Ctrl Pts',(-0.364103630446083,-0.0700005905231827, 0.196427759237422)); #187619=CARTESIAN_POINT('Ctrl Pts',(-0.364092294747801,-0.0700190450487305, 0.194705166635774)); #187620=CARTESIAN_POINT('Ctrl Pts',(-0.363121520451574,-0.0715942807655909, 0.189936945568974)); #187621=CARTESIAN_POINT('Ctrl Pts',(-0.36140208624551,-0.0748597689142212, 0.187782049587196)); #187622=CARTESIAN_POINT('Ctrl Pts',(-0.359957482605552,-0.0776851073222009, 0.186700451867818)); #187623=CARTESIAN_POINT('Ctrl Pts',(-0.359212263374854,-0.0791385303414185, 0.186552229933598)); #187624=CARTESIAN_POINT('Ctrl Pts',(-0.358822311424829,-0.0799004488768063, 0.186539344868006)); #187625=CARTESIAN_POINT('Ctrl Pts',(-0.358796816292436,-0.0799502707370583, 0.18653906)); #187626=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577061,-0.0800000000000002, 0.18653906)); #187627=CARTESIAN_POINT('Ctrl Pts',(-0.368528623845392,-0.0721218933935721, 0.196398509453057)); #187628=CARTESIAN_POINT('Ctrl Pts',(-0.368502566376207,-0.0721430151082398, 0.194233727068659)); #187629=CARTESIAN_POINT('Ctrl Pts',(-0.366235092005651,-0.0739812706420922, 0.188597106945092)); #187630=CARTESIAN_POINT('Ctrl Pts',(-0.362971761622031,-0.0766219625195841, 0.187396102136535)); #187631=CARTESIAN_POINT('Ctrl Pts',(-0.360642222720824,-0.0784981897038641, 0.186595438607599)); #187632=CARTESIAN_POINT('Ctrl Pts',(-0.35946018266708,-0.0794477607316484, 0.186549482332557)); #187633=CARTESIAN_POINT('Ctrl Pts',(-0.35885097488484,-0.0799361824909705, 0.186539092662785)); #187634=CARTESIAN_POINT('Ctrl Pts',(-0.358811129073086,-0.0799681265381866, 0.18653906)); #187635=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577061,-0.0800000000000002, 0.18653906)); #187636=CARTESIAN_POINT('Ctrl Pts',(-0.369676240142607,-0.0768930011565781, 0.196427759237423)); #187637=CARTESIAN_POINT('Ctrl Pts',(-0.36965582693754,-0.0769002977624839, 0.194705166635774)); #187638=CARTESIAN_POINT('Ctrl Pts',(-0.367912850824057,-0.0775267875112289, 0.189936945568974)); #187639=CARTESIAN_POINT('Ctrl Pts',(-0.364357978470005,-0.0785314492648201, 0.187782049587194)); #187640=CARTESIAN_POINT('Ctrl Pts',(-0.361288345796355,-0.0793424586549036, 0.186700451867817)); #187641=CARTESIAN_POINT('Ctrl Pts',(-0.359708115812842,-0.0797569776285013, 0.186552229933594)); #187642=CARTESIAN_POINT('Ctrl Pts',(-0.35887961692531,-0.0799719334831721, 0.186539344868006)); #187643=CARTESIAN_POINT('Ctrl Pts',(-0.358825441853736,-0.0799859823393149, 0.18653906)); #187644=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577061,-0.0800000000000003, 0.18653906)); #187645=CARTESIAN_POINT('Ctrl Pts',(-0.3692100893719,-0.0790706334763901, 0.196451794644975)); #187646=CARTESIAN_POINT('Ctrl Pts',(-0.369197818496834,-0.0790717980063019, 0.195104813264792)); #187647=CARTESIAN_POINT('Ctrl Pts',(-0.368187675829344,-0.0791682354032774, 0.191128559952249)); #187648=CARTESIAN_POINT('Ctrl Pts',(-0.364993465409195,-0.0794640147113252, 0.188183289202509)); #187649=CARTESIAN_POINT('Ctrl Pts',(-0.361610948352511,-0.079760525372287, 0.186798460935537)); #187650=CARTESIAN_POINT('Ctrl Pts',(-0.35983389748913,-0.0799114916428202, 0.186555587924261)); #187651=CARTESIAN_POINT('Ctrl Pts',(-0.358893868421271,-0.0799898103292494, 0.18653957084454)); #187652=CARTESIAN_POINT('Ctrl Pts',(-0.35883259824406,-0.0799949102398791, 0.18653906)); #187653=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577061,-0.0800000000000003, 0.18653906)); #187654=CARTESIAN_POINT('',(-0.358771365577061,-0.0800000000000001,0.18653906)); #187655=CARTESIAN_POINT('Ctrl Pts',(-0.3692100893719,-0.0790706334763901, 0.196451794644975)); #187656=CARTESIAN_POINT('Ctrl Pts',(-0.369197818496834,-0.0790717980063019, 0.195104813264792)); #187657=CARTESIAN_POINT('Ctrl Pts',(-0.368187675829344,-0.0791682354032774, 0.191128559952249)); #187658=CARTESIAN_POINT('Ctrl Pts',(-0.364993465409195,-0.0794640147113252, 0.188183289202509)); #187659=CARTESIAN_POINT('Ctrl Pts',(-0.361610948352511,-0.079760525372287, 0.186798460935537)); #187660=CARTESIAN_POINT('Ctrl Pts',(-0.35983389748913,-0.0799114916428202, 0.186555587924261)); #187661=CARTESIAN_POINT('Ctrl Pts',(-0.358893868421271,-0.0799898103292494, 0.18653957084454)); #187662=CARTESIAN_POINT('Ctrl Pts',(-0.35883259824406,-0.0799949102398791, 0.18653906)); #187663=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577061,-0.0800000000000003, 0.18653906)); #187664=CARTESIAN_POINT('Ctrl Pts',(-0.358771365577061,-0.0800000000000001, 0.18653906)); #187665=CARTESIAN_POINT('Ctrl Pts',(-0.358789659902112,-0.0799413428364942, 0.18653906)); #187666=CARTESIAN_POINT('Ctrl Pts',(-0.358807963890848,-0.0798826497667374, 0.186539570844539)); #187667=CARTESIAN_POINT('Ctrl Pts',(-0.359088773910275,-0.0789821601339683, 0.186555587924268)); #187668=CARTESIAN_POINT('Ctrl Pts',(-0.359618984584852,-0.0772798659326223, 0.186798460935539)); #187669=CARTESIAN_POINT('Ctrl Pts',(-0.360626208604051,-0.0740396637988844, 0.188183289202512)); #187670=CARTESIAN_POINT('Ctrl Pts',(-0.36157393961466,-0.0709798102090039, 0.19112855995225)); #187671=CARTESIAN_POINT('Ctrl Pts',(-0.36187302938703,-0.0700121356977858, 0.195104813264791)); #187672=CARTESIAN_POINT('Ctrl Pts',(-0.361876664120041,-0.0700003807693587, 0.196451794644974)); #187673=CARTESIAN_POINT('Origin',(-0.137328817447619,-0.0800000000000112, 0.19653906)); #187674=CARTESIAN_POINT('',(-0.137328817447619,-0.0700000000000112,0.19653906)); #187675=CARTESIAN_POINT('',(-0.137328817447619,-0.0800000000000112,0.18653906)); #187676=CARTESIAN_POINT('Origin',(0.,0.,0.19653906)); #187677=CARTESIAN_POINT('Origin',(0.,0.,0.18653906)); #187678=CARTESIAN_POINT('Origin',(-2.18597922049604E-17,-2.18547839493141E-17, 0.196451794645016)); #187679=CARTESIAN_POINT('Origin',(0.185474348340456,-0.0800000000000089, 0.19653906)); #187680=CARTESIAN_POINT('',(0.185474348340456,-0.0800000000000089,0.18653906)); #187681=CARTESIAN_POINT('',(0.185474348340456,-0.0700000000000088,0.19653906)); #187682=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.19653906)); #187683=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.18653906)); #187684=CARTESIAN_POINT('Origin',(-1.09239348875344E-17,1.74838271594513E-16, 0.196451794645016)); #187685=CARTESIAN_POINT('Ctrl Pts',(0.268982272403054,-0.0700000000000047, 0.302652714371222)); #187686=CARTESIAN_POINT('Ctrl Pts',(0.269303509450416,-0.0700000000000047, 0.267281496646586)); #187687=CARTESIAN_POINT('Ctrl Pts',(0.269624702391638,-0.0700000000000046, 0.231910278521385)); #187688=CARTESIAN_POINT('Ctrl Pts',(0.269945851697352,-0.0700000000000046, 0.19653906)); #187689=CARTESIAN_POINT('Ctrl Pts',(0.267139088325395,-0.0700000000000048, 0.30263597480021)); #187690=CARTESIAN_POINT('Ctrl Pts',(0.267459867032478,-0.0699999892568885, 0.267264754448391)); #187691=CARTESIAN_POINT('Ctrl Pts',(0.267780616610662,-0.0700000106919361, 0.231893533815872)); #187692=CARTESIAN_POINT('Ctrl Pts',(0.268101310704929,-0.0700000000000047, 0.196522312681591)); #187693=CARTESIAN_POINT('Ctrl Pts',(0.263928656606189,-0.0712446522031746, 0.302606818054343)); #187694=CARTESIAN_POINT('Ctrl Pts',(0.264248666747502,-0.071245295177244, 0.267235593386776)); #187695=CARTESIAN_POINT('Ctrl Pts',(0.264568629422464,-0.071245925350724, 0.231864368273987)); #187696=CARTESIAN_POINT('Ctrl Pts',(0.264888560294349,-0.0712465644655578, 0.196493142847842)); #187697=CARTESIAN_POINT('Ctrl Pts',(0.262158434585542,-0.0740952164357503, 0.302590741115756)); #187698=CARTESIAN_POINT('Ctrl Pts',(0.262478681267975,-0.0740970590346052, 0.267219520072128)); #187699=CARTESIAN_POINT('Ctrl Pts',(0.262798896560055,-0.0740988908605821, 0.231848298708856)); #187700=CARTESIAN_POINT('Ctrl Pts',(0.263119063711746,-0.0741007216776814, 0.19647707688736)); #187701=CARTESIAN_POINT('Ctrl Pts',(0.261775720661211,-0.0754236261371353, 0.302587265354984)); #187702=CARTESIAN_POINT('Ctrl Pts',(0.262096298001515,-0.0754259158081477, 0.267216047630348)); #187703=CARTESIAN_POINT('Ctrl Pts',(0.262416834599836,-0.0754281979046305, 0.231844829505147)); #187704=CARTESIAN_POINT('Ctrl Pts',(0.262737330881108,-0.0754304725012628, 0.196473610983762)); #187705=CARTESIAN_POINT('Ctrl Pts',(0.269945851697352,-0.0700000000000046, 0.19653906)); #187706=CARTESIAN_POINT('Ctrl Pts',(0.269625164576408,-0.0700000000000046, 0.231859373729832)); #187707=CARTESIAN_POINT('Ctrl Pts',(0.269304433945461,-0.0700000000000047, 0.267179687064619)); #187708=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0700000000000047, 0.302499999999999)); #187709=CARTESIAN_POINT('',(0.261775720661211,-0.0754236261371353,0.302587265354984)); #187710=CARTESIAN_POINT('Ctrl Pts',(0.261775720661211,-0.0754236261371353, 0.302587265354984)); #187711=CARTESIAN_POINT('Ctrl Pts',(0.262286098689152,-0.0736524319807486, 0.302581086287792)); #187712=CARTESIAN_POINT('Ctrl Pts',(0.264372609899795,-0.0709135220424025, 0.302555825222355)); #187713=CARTESIAN_POINT('Ctrl Pts',(0.267601072536897,-0.0700000000000047, 0.302516738749535)); #187714=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0700000000000047, 0.3025)); #187715=CARTESIAN_POINT('Ctrl Pts',(0.261775720661211,-0.0754236261371353, 0.302587265354984)); #187716=CARTESIAN_POINT('Ctrl Pts',(0.262096298001515,-0.0754259158081477, 0.267216047630348)); #187717=CARTESIAN_POINT('Ctrl Pts',(0.262416834599836,-0.0754281979046305, 0.231844829505147)); #187718=CARTESIAN_POINT('Ctrl Pts',(0.262737330881108,-0.0754304725012628, 0.196473610983762)); #187719=CARTESIAN_POINT('Origin',(0.370948696680919,-0.0699999999999996, 0.3125)); #187720=CARTESIAN_POINT('',(0.362998019323966,-0.07,0.322168981)); #187721=CARTESIAN_POINT('Ctrl Pts',(0.362998019323966,-0.07,0.322168981)); #187722=CARTESIAN_POINT('Ctrl Pts',(0.362624331185286,-0.07,0.280292340441498)); #187723=CARTESIAN_POINT('Ctrl Pts',(0.362250617892808,-0.07,0.238415700107457)); #187724=CARTESIAN_POINT('Ctrl Pts',(0.361876879212017,-0.07,0.19653906)); #187725=CARTESIAN_POINT('',(0.345423244584264,-0.0700000000000008,0.322168981)); #187726=CARTESIAN_POINT('Ctrl Pts',(0.361876879212017,-0.07,0.19653906)); #187727=CARTESIAN_POINT('Ctrl Pts',(0.362250617892808,-0.07,0.238415700107457)); #187728=CARTESIAN_POINT('Ctrl Pts',(0.362624331185286,-0.07,0.280292340441498)); #187729=CARTESIAN_POINT('Ctrl Pts',(0.362998019323966,-0.07,0.322168981)); #187730=CARTESIAN_POINT('Ctrl Pts',(0.364103993629729,-0.0699999999999999, 0.196519183553315)); #187731=CARTESIAN_POINT('Ctrl Pts',(0.364477463449242,-0.0700000126601589, 0.238395826963393)); #187732=CARTESIAN_POINT('Ctrl Pts',(0.364850922262277,-0.0699999874297996, 0.280272470458614)); #187733=CARTESIAN_POINT('Ctrl Pts',(0.365224343168859,-0.0699999999999998, 0.32214911429621)); #187734=CARTESIAN_POINT('Ctrl Pts',(0.368528611928157,-0.072121720657954, 0.196479694926682)); #187735=CARTESIAN_POINT('Ctrl Pts',(0.368901584971202,-0.0721211792765364, 0.238356344549761)); #187736=CARTESIAN_POINT('Ctrl Pts',(0.369274510513062,-0.0721206513603899, 0.280232994601919)); #187737=CARTESIAN_POINT('Ctrl Pts',(0.369647437593117,-0.0721201134837017, 0.322109644608561)); #187738=CARTESIAN_POINT('Ctrl Pts',(0.369676673364749,-0.0768927116391571, 0.19646944876162)); #187739=CARTESIAN_POINT('Ctrl Pts',(0.370050214667372,-0.0768913166402109, 0.2383460937944)); #187740=CARTESIAN_POINT('Ctrl Pts',(0.370423771585941,-0.0768899377974973, 0.280222738640074)); #187741=CARTESIAN_POINT('Ctrl Pts',(0.370797262838635,-0.0768885513780687, 0.322099384089807)); #187742=CARTESIAN_POINT('Ctrl Pts',(0.369210305050043,-0.0790705355470196, 0.196473610983762)); #187743=CARTESIAN_POINT('Ctrl Pts',(0.369584375870087,-0.0790689846629382, 0.238350251091219)); #187744=CARTESIAN_POINT('Ctrl Pts',(0.369958419803353,-0.0790674383732439, 0.28022689142526)); #187745=CARTESIAN_POINT('Ctrl Pts',(0.37033243710232,-0.0790658966373452, 0.322103531983762)); #187746=CARTESIAN_POINT('',(0.37033243710232,-0.0790658966373452,0.322103531983762)); #187747=CARTESIAN_POINT('Ctrl Pts',(0.369210305050043,-0.0790705355470196, 0.196473610983762)); #187748=CARTESIAN_POINT('Ctrl Pts',(0.369584375870087,-0.0790689846629382, 0.238350251091219)); #187749=CARTESIAN_POINT('Ctrl Pts',(0.369958419803353,-0.0790674383732439, 0.28022689142526)); #187750=CARTESIAN_POINT('Ctrl Pts',(0.37033243710232,-0.0790658966373452, 0.322103531983762)); #187751=CARTESIAN_POINT('Origin',(0.362998019323967,-0.0775,0.322168981)); #187752=CARTESIAN_POINT('Origin',(0.,0.,0.18653906)); #187753=CARTESIAN_POINT('',(-0.37033243710232,-0.0790658966373452,0.322103531983762)); #187754=CARTESIAN_POINT('Ctrl Pts',(-0.37033243710232,-0.0790658966373452, 0.322103531983762)); #187755=CARTESIAN_POINT('Ctrl Pts',(-0.369958419803353,-0.0790674383732439, 0.28022689142526)); #187756=CARTESIAN_POINT('Ctrl Pts',(-0.369584375870087,-0.0790689846629382, 0.238350251091219)); #187757=CARTESIAN_POINT('Ctrl Pts',(-0.369210305050043,-0.0790705355470196, 0.196473610983762)); #187758=CARTESIAN_POINT('',(-0.370628966769929,-0.0780682100605272,0.331626325354961)); #187759=CARTESIAN_POINT('Ctrl Pts',(-0.370630472324549,-0.0780654103990147, 0.331625225692635)); #187760=CARTESIAN_POINT('Ctrl Pts',(-0.370463288729393,-0.0787272386223081, 0.328497761608787)); #187761=CARTESIAN_POINT('Ctrl Pts',(-0.370360998843171,-0.079065778903055, 0.325301431542571)); #187762=CARTESIAN_POINT('Ctrl Pts',(-0.37033243710232,-0.0790658966373452, 0.322103531983762)); #187763=CARTESIAN_POINT('',(0.370628966876717,-0.0780682096380307,0.331626326352626)); #187764=CARTESIAN_POINT('Origin',(1.6446065282216E-17,0.,0.331626325354984)); #187765=CARTESIAN_POINT('Ctrl Pts',(0.37033243710232,-0.0790658966373452, 0.322103531983762)); #187766=CARTESIAN_POINT('Ctrl Pts',(0.370360998665185,-0.0790657789037887, 0.325301411614473)); #187767=CARTESIAN_POINT('Ctrl Pts',(0.370463289610059,-0.0787272340443077, 0.328497755239781)); #187768=CARTESIAN_POINT('Ctrl Pts',(0.370630473783996,-0.0780654035000437, 0.331625230983641)); #187769=CARTESIAN_POINT('Origin',(-5.25442773038807E-18,-0.217166652053546, 0.18653906)); #187770=CARTESIAN_POINT('Origin',(0.,0.,0.33153906)); #187771=CARTESIAN_POINT('Origin',(2.34055895639144E-17,0.,0.331626325354984)); #187772=CARTESIAN_POINT('Ctrl Pts',(0.0738309518823448,0.467093503637151, 0.0100872653549837)); #187773=CARTESIAN_POINT('Ctrl Pts',(0.072911794547064,0.466291670408661, 0.117285525616647)); #187774=CARTESIAN_POINT('Ctrl Pts',(0.0719927323479982,0.46548785500837, 0.224483770902883)); #187775=CARTESIAN_POINT('Ctrl Pts',(0.0710737669636922,0.464682027870304, 0.33168200090798)); #187776=CARTESIAN_POINT('Ctrl Pts',(0.0711708162887416,0.467514154325475, 0.0100671869925024)); #187777=CARTESIAN_POINT('Ctrl Pts',(0.0702478227346897,0.466708304245219, 0.117265388835112)); #187778=CARTESIAN_POINT('Ctrl Pts',(0.0693249921549864,0.465900755878393, 0.224463579058296)); #187779=CARTESIAN_POINT('Ctrl Pts',(0.0684021661675257,0.465090831739486, 0.331661749601352)); #187780=CARTESIAN_POINT('Ctrl Pts',(0.0668614933006122,0.470231045211514, 0.0100498367068723)); #187781=CARTESIAN_POINT('Ctrl Pts',(0.0659295567282383,0.46942391981508, 0.117247985266332)); #187782=CARTESIAN_POINT('Ctrl Pts',(0.0649975986153428,0.468614639740544, 0.224446116401408)); #187783=CARTESIAN_POINT('Ctrl Pts',(0.0640657159210707,0.467803380189155, 0.331644232644394)); #187784=CARTESIAN_POINT('Ctrl Pts',(0.065392667406788,0.474950606630374, 0.0100722065831227)); #187785=CARTESIAN_POINT('Ctrl Pts',(0.0644571349251816,0.474149008360679, 0.117270423892196)); #187786=CARTESIAN_POINT('Ctrl Pts',(0.0635216867189771,0.473345343121599, 0.224468626136379)); #187787=CARTESIAN_POINT('Ctrl Pts',(0.0625861537993585,0.472539671142222, 0.331666812428009)); #187788=CARTESIAN_POINT('Ctrl Pts',(0.0653925359908768,0.476970498569395, 0.0100872653549837)); #187789=CARTESIAN_POINT('Ctrl Pts',(0.064457030946174,0.476171249335198, 0.117285525616647)); #187790=CARTESIAN_POINT('Ctrl Pts',(0.0635215260321597,0.47536999134981, 0.224483770902883)); #187791=CARTESIAN_POINT('Ctrl Pts',(0.0625860212515019,0.47456669393558, 0.33168200090798)); #187792=CARTESIAN_POINT('',(0.0738309518823448,0.467093503637151,0.0100872653549837)); #187793=CARTESIAN_POINT('Ctrl Pts',(0.0710742442468235,0.464682446392626, 0.331626325354984)); #187794=CARTESIAN_POINT('Ctrl Pts',(0.0719930505702712,0.465488133326755, 0.224446653862261)); #187795=CARTESIAN_POINT('Ctrl Pts',(0.0729119536746694,0.466291809224723, 0.117266967093743)); #187796=CARTESIAN_POINT('Ctrl Pts',(0.0738309518823448,0.467093503637151, 0.0100872653549837)); #187797=CARTESIAN_POINT('Ctrl Pts',(0.0653925359908769,0.476970498569395, 0.0100872653549837)); #187798=CARTESIAN_POINT('Ctrl Pts',(0.0653923826805368,0.47461382240007, 0.0101048329799044)); #187799=CARTESIAN_POINT('Ctrl Pts',(0.0671334094619225,0.469914877588279, 0.0101246685178053)); #187800=CARTESIAN_POINT('Ctrl Pts',(0.0715031514534864,0.467461291287022, 0.0101048330203442)); #187801=CARTESIAN_POINT('Ctrl Pts',(0.0738309518823448,0.467093503637151, 0.0100872653549837)); #187802=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #187803=CARTESIAN_POINT('',(-0.0738309518823447,0.467093503637151,0.0100872653549837)); #187804=CARTESIAN_POINT('Ctrl Pts',(-0.0738309518823447,0.467093503637151, 0.0100872653549837)); #187805=CARTESIAN_POINT('Ctrl Pts',(-0.0729119536746693,0.466291809224723, 0.117266967093743)); #187806=CARTESIAN_POINT('Ctrl Pts',(-0.0719930505702711,0.465488133326755, 0.224446653862261)); #187807=CARTESIAN_POINT('Ctrl Pts',(-0.0710742442468234,0.464682446392626, 0.331626325354983)); #187808=CARTESIAN_POINT('Origin',(-2.89563165270951E-17,0.,0.0100872653549837)); #187809=CARTESIAN_POINT('Origin',(0.137328817447622,0.0599999999999894, 0.3025)); #187810=CARTESIAN_POINT('',(0.268983659335906,0.0699999999999954,0.3025)); #187811=CARTESIAN_POINT('',(0.137328817447622,0.0699999999999894,0.3025)); #187812=CARTESIAN_POINT('Origin',(0.268983659335907,0.0599999999999954, 0.3025)); #187813=CARTESIAN_POINT('Ctrl Pts',(0.252166985005416,0.0726551276533008, 0.3125)); #187814=CARTESIAN_POINT('Ctrl Pts',(0.253060048348206,0.0695555378811241, 0.3125)); #187815=CARTESIAN_POINT('Ctrl Pts',(0.257193928254076,0.0629079818868866, 0.3125)); #187816=CARTESIAN_POINT('Ctrl Pts',(0.264682751134139,0.0599999999999953, 0.3125)); #187817=CARTESIAN_POINT('Ctrl Pts',(0.268983659335907,0.0599999999999954, 0.3125)); #187818=CARTESIAN_POINT('Ctrl Pts',(0.254668666034461,0.073375919689218, 0.3125)); #187819=CARTESIAN_POINT('Ctrl Pts',(0.255429402435937,0.0707384162727644, 0.312500024788561)); #187820=CARTESIAN_POINT('Ctrl Pts',(0.258952175515509,0.0650825044130738, 0.312499975016706)); #187821=CARTESIAN_POINT('Ctrl Pts',(0.265327162944528,0.0626142410913556, 0.312499878542127)); #187822=CARTESIAN_POINT('Ctrl Pts',(0.268983659335907,0.0626179938779869, 0.3125)); #187823=CARTESIAN_POINT('Ctrl Pts',(0.259651356962953,0.0748115479315858, 0.310357105102645)); #187824=CARTESIAN_POINT('Ctrl Pts',(0.260149554887123,0.0730906371622907, 0.310355759017864)); #187825=CARTESIAN_POINT('Ctrl Pts',(0.262452793443126,0.0694072108781822, 0.310349580542578)); #187826=CARTESIAN_POINT('Ctrl Pts',(0.266605186570284,0.0678208894841967, 0.310338348799399)); #187827=CARTESIAN_POINT('Ctrl Pts',(0.268983659335906,0.0678318228424881, 0.310331822842493)); #187828=CARTESIAN_POINT('Ctrl Pts',(0.261753889652901,0.0754173361198379, 0.305190615691164)); #187829=CARTESIAN_POINT('Ctrl Pts',(0.26213797111656,0.0740888657484481, 0.305186761768775)); #187830=CARTESIAN_POINT('Ctrl Pts',(0.263922719288899,0.0712371484845583, 0.305168940205667)); #187831=CARTESIAN_POINT('Ctrl Pts',(0.267145343341932,0.0699940260756604, 0.305136606041959)); #187832=CARTESIAN_POINT('Ctrl Pts',(0.268983659335906,0.0699999999999954, 0.305117993877991)); #187833=CARTESIAN_POINT('Ctrl Pts',(0.261775720661207,0.0754236261371262, 0.302587265354984)); #187834=CARTESIAN_POINT('Ctrl Pts',(0.262158504181977,0.0740952305204791, 0.302582631054592)); #187835=CARTESIAN_POINT('Ctrl Pts',(0.263930361819608,0.0712462779515202, 0.302561179450436)); #187836=CARTESIAN_POINT('Ctrl Pts',(0.267140210271449,0.0699999999999953, 0.302522318332703)); #187837=CARTESIAN_POINT('Ctrl Pts',(0.268983659335906,0.0699999999999954, 0.3025)); #187838=CARTESIAN_POINT('',(0.261775720661207,0.0754236261371262,0.302587265354984)); #187839=CARTESIAN_POINT('Ctrl Pts',(0.268983659335906,0.0699999999999954, 0.3025)); #187840=CARTESIAN_POINT('Ctrl Pts',(0.267140210271449,0.0699999999999953, 0.302522318332703)); #187841=CARTESIAN_POINT('Ctrl Pts',(0.263930361819608,0.0712462779515202, 0.302561179450436)); #187842=CARTESIAN_POINT('Ctrl Pts',(0.262158504181977,0.0740952305204791, 0.302582631054592)); #187843=CARTESIAN_POINT('Ctrl Pts',(0.261775720661207,0.0754236261371262, 0.302587265354984)); #187844=CARTESIAN_POINT('Origin',(0.252166985005416,0.0726551276533008, 0.3025)); #187845=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3025)); #187846=CARTESIAN_POINT('Origin',(-0.252166985005416,0.0726551276533008, 0.3025)); #187847=CARTESIAN_POINT('Origin',(-1.63303798772778E-17,1.52983487645199E-16, 0.302587265354984)); #187848=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335907,0.0599999999999954, 0.3125)); #187849=CARTESIAN_POINT('Ctrl Pts',(-0.26575797818302,0.0599999999999953, 0.3125)); #187850=CARTESIAN_POINT('Ctrl Pts',(-0.258225726979476,0.0621315514322572, 0.3125)); #187851=CARTESIAN_POINT('Ctrl Pts',(-0.253357736129713,0.068522341288398, 0.3125)); #187852=CARTESIAN_POINT('Ctrl Pts',(-0.252166985005416,0.0726551276533008, 0.3125)); #187853=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335907,0.0626179938779869, 0.3125)); #187854=CARTESIAN_POINT('Ctrl Pts',(-0.266241287041045,0.0626151792880121, 0.312499908906595)); #187855=CARTESIAN_POINT('Ctrl Pts',(-0.259832105390056,0.0644219161574169, 0.312499862732446)); #187856=CARTESIAN_POINT('Ctrl Pts',(-0.255682981236921,0.0698592484655777, 0.312500033051415)); #187857=CARTESIAN_POINT('Ctrl Pts',(-0.254668666034461,0.073375919689218, 0.3125)); #187858=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335906,0.0678318228424881, 0.310331822842493)); #187859=CARTESIAN_POINT('Ctrl Pts',(-0.267199804760826,0.0678236228237656, 0.310336717310175)); #187860=CARTESIAN_POINT('Ctrl Pts',(-0.263025350643942,0.0689810885879789, 0.310348069010142)); #187861=CARTESIAN_POINT('Ctrl Pts',(-0.260315620862167,0.0725170002380817, 0.310355310322937)); #187862=CARTESIAN_POINT('Ctrl Pts',(-0.259651356962953,0.0748115479315858, 0.310357105102645)); #187863=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335906,0.0699999999999954, 0.305117993877991)); #187864=CARTESIAN_POINT('Ctrl Pts',(-0.267604922339758,0.069995519556742, 0.305131953000974)); #187865=CARTESIAN_POINT('Ctrl Pts',(-0.264372044015279,0.0709014497442905, 0.305164501336811)); #187866=CARTESIAN_POINT('Ctrl Pts',(-0.262265998271361,0.0736460422904609, 0.305185477127977)); #187867=CARTESIAN_POINT('Ctrl Pts',(-0.261753889652901,0.0754173361198379, 0.305190615691164)); #187868=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335906,0.0699999999999954, 0.3025)); #187869=CARTESIAN_POINT('Ctrl Pts',(-0.267601072536894,0.0699999999999953, 0.302516738749535)); #187870=CARTESIAN_POINT('Ctrl Pts',(-0.26437260989979,0.0709135220423933, 0.302555825222355)); #187871=CARTESIAN_POINT('Ctrl Pts',(-0.262286098689148,0.0736524319807394, 0.302581086287792)); #187872=CARTESIAN_POINT('Ctrl Pts',(-0.261775720661207,0.0754236261371263, 0.302587265354984)); #187873=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.18653906)); #187874=CARTESIAN_POINT('Ctrl Pts',(0.262737330881104,0.0754304725012538, 0.196473610983762)); #187875=CARTESIAN_POINT('Ctrl Pts',(0.262416834599832,0.0754281979046215, 0.231844829505147)); #187876=CARTESIAN_POINT('Ctrl Pts',(0.262096298001511,0.0754259158081386, 0.267216047630349)); #187877=CARTESIAN_POINT('Ctrl Pts',(0.261775720661207,0.0754236261371262, 0.302587265354984)); #187878=CARTESIAN_POINT('Ctrl Pts',(0.261775720661207,0.0754236261371262, 0.302587265354984)); #187879=CARTESIAN_POINT('Ctrl Pts',(0.262096298001511,0.0754259158081386, 0.267216047630349)); #187880=CARTESIAN_POINT('Ctrl Pts',(0.262416834599832,0.0754281979046215, 0.231844829505147)); #187881=CARTESIAN_POINT('Ctrl Pts',(0.262737330881104,0.0754304725012538, 0.196473610983762)); #187882=CARTESIAN_POINT('Ctrl Pts',(0.262286005893648,0.073652413201946, 0.30259189970268)); #187883=CARTESIAN_POINT('Ctrl Pts',(0.262606135007168,0.0736541004279255, 0.267220677491143)); #187884=CARTESIAN_POINT('Ctrl Pts',(0.26292625786601,0.0736557948270415, 0.231849455171413)); #187885=CARTESIAN_POINT('Ctrl Pts',(0.263246307988621,0.0736574714031451, 0.196478232188559)); #187886=CARTESIAN_POINT('Ctrl Pts',(0.264370766828719,0.070911921565223, 0.302610833244987)); #187887=CARTESIAN_POINT('Ctrl Pts',(0.264690869334565,0.0709123847341506, 0.267239609047756)); #187888=CARTESIAN_POINT('Ctrl Pts',(0.265010920273943,0.0709128393100203, 0.23186838437265)); #187889=CARTESIAN_POINT('Ctrl Pts',(0.265330942817957,0.0709132996820024, 0.196497159414029)); #187890=CARTESIAN_POINT('Ctrl Pts',(0.267599884344806,0.0699999999999953, 0.302640159692963)); #187891=CARTESIAN_POINT('Ctrl Pts',(0.267920782610428,0.0699999972311848, 0.267268940039637)); #187892=CARTESIAN_POINT('Ctrl Pts',(0.26824163310281,0.070000002757945, 0.231897719950726)); #187893=CARTESIAN_POINT('Ctrl Pts',(0.268562445953031,0.0699999999999953, 0.196526499511193)); #187894=CARTESIAN_POINT('Ctrl Pts',(0.268982272403051,0.0699999999999954, 0.302652714371222)); #187895=CARTESIAN_POINT('Ctrl Pts',(0.269303509450413,0.0699999999999954, 0.267281496646586)); #187896=CARTESIAN_POINT('Ctrl Pts',(0.269624702391635,0.0699999999999954, 0.231910278521385)); #187897=CARTESIAN_POINT('Ctrl Pts',(0.269945851697348,0.0699999999999954, 0.19653906)); #187898=CARTESIAN_POINT('Ctrl Pts',(0.268983659335906,0.0699999999999954, 0.3025)); #187899=CARTESIAN_POINT('Ctrl Pts',(0.269304433945457,0.0699999999999954, 0.26717968706462)); #187900=CARTESIAN_POINT('Ctrl Pts',(0.269625164576404,0.0699999999999954, 0.231859373729832)); #187901=CARTESIAN_POINT('Ctrl Pts',(0.269945851697348,0.0699999999999954, 0.19653906)); #187902=CARTESIAN_POINT('Origin',(0.274657634895247,0.0699999999999956, 0.3125)); #187903=CARTESIAN_POINT('',(0.362998019323967,0.0699999999999996,0.322168981)); #187904=CARTESIAN_POINT('',(0.297277713691425,0.0699999999999967,0.322168981)); #187905=CARTESIAN_POINT('Ctrl Pts',(0.361876879212018,0.0699999999999996, 0.19653906)); #187906=CARTESIAN_POINT('Ctrl Pts',(0.362250617892809,0.0699999999999997, 0.238415700107457)); #187907=CARTESIAN_POINT('Ctrl Pts',(0.362624331185287,0.0699999999999996, 0.280292340441498)); #187908=CARTESIAN_POINT('Ctrl Pts',(0.362998019323967,0.0699999999999996, 0.322168981)); #187909=CARTESIAN_POINT('Origin',(-0.137328817447623,-0.0600000000000112, 0.3025)); #187910=CARTESIAN_POINT('',(-0.26898365933591,-0.0700000000000046,0.3025)); #187911=CARTESIAN_POINT('',(-0.137328817447624,-0.0700000000000112,0.3025)); #187912=CARTESIAN_POINT('Origin',(-0.268983659335909,-0.0600000000000046, 0.3025)); #187913=CARTESIAN_POINT('Ctrl Pts',(-0.25216698500542,-0.0726551276533095, 0.3125)); #187914=CARTESIAN_POINT('Ctrl Pts',(-0.25306004834821,-0.0695555378811331, 0.3125)); #187915=CARTESIAN_POINT('Ctrl Pts',(-0.25719392825408,-0.0629079818868969, 0.3125)); #187916=CARTESIAN_POINT('Ctrl Pts',(-0.264682751134142,-0.0600000000000049, 0.3125)); #187917=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335909,-0.0600000000000047, 0.3125)); #187918=CARTESIAN_POINT('Ctrl Pts',(-0.254668666034466,-0.0733759196892268, 0.3125)); #187919=CARTESIAN_POINT('Ctrl Pts',(-0.255429402435942,-0.0707384162727736, 0.312500024788561)); #187920=CARTESIAN_POINT('Ctrl Pts',(-0.258952175515513,-0.0650825044130839, 0.312499975016705)); #187921=CARTESIAN_POINT('Ctrl Pts',(-0.265327162944531,-0.0626142410913652, 0.312499878542127)); #187922=CARTESIAN_POINT('Ctrl Pts',(-0.268983659335909,-0.0626179938779961, 0.3125)); #187923=CARTESIAN_POINT('Ctrl Pts',(-0.259651356962957,-0.0748115479315948, 0.310357105102645)); #187924=CARTESIAN_POINT('Ctrl Pts',(-0.260149554887127,-0.0730906371622999, 0.310355759017864)); #187925=CARTESIAN_POINT('Ctrl Pts',(-0.26245279344313,-0.0694072108781921, 0.310349580542578)); #187926=CARTESIAN_POINT('Ctrl Pts',(-0.266605186570287,-0.0678208894842062, 0.310338348799399)); #187927=CARTESIAN_POINT('Ctrl Pts',(-0.26898365933591,-0.0678318228424973, 0.310331822842493)); #187928=CARTESIAN_POINT('Ctrl Pts',(-0.261753889652906,-0.0754173361198469, 0.305190615691164)); #187929=CARTESIAN_POINT('Ctrl Pts',(-0.262137971116565,-0.0740888657484573, 0.305186761768775)); #187930=CARTESIAN_POINT('Ctrl Pts',(-0.263922719288903,-0.071237148484568, 0.305168940205667)); #187931=CARTESIAN_POINT('Ctrl Pts',(-0.267145343341935,-0.0699940260756698, 0.305136606041959)); #187932=CARTESIAN_POINT('Ctrl Pts',(-0.26898365933591,-0.0700000000000046, 0.305117993877991)); #187933=CARTESIAN_POINT('Ctrl Pts',(-0.261775720661211,-0.0754236261371353, 0.302587265354984)); #187934=CARTESIAN_POINT('Ctrl Pts',(-0.262158504181982,-0.0740952305204883, 0.302582631054592)); #187935=CARTESIAN_POINT('Ctrl Pts',(-0.263930361819613,-0.0712462779515299, 0.302561179450436)); #187936=CARTESIAN_POINT('Ctrl Pts',(-0.267140210271452,-0.0700000000000047, 0.302522318332703)); #187937=CARTESIAN_POINT('Ctrl Pts',(-0.26898365933591,-0.0700000000000046, 0.3025)); #187938=CARTESIAN_POINT('',(-0.261775720661211,-0.0754236261371353,0.302587265354984)); #187939=CARTESIAN_POINT('Ctrl Pts',(-0.26898365933591,-0.0700000000000046, 0.3025)); #187940=CARTESIAN_POINT('Ctrl Pts',(-0.267140210271452,-0.0700000000000047, 0.302522318332703)); #187941=CARTESIAN_POINT('Ctrl Pts',(-0.263930361819613,-0.0712462779515299, 0.302561179450436)); #187942=CARTESIAN_POINT('Ctrl Pts',(-0.262158504181982,-0.0740952305204883, 0.302582631054592)); #187943=CARTESIAN_POINT('Ctrl Pts',(-0.261775720661211,-0.0754236261371353, 0.302587265354984)); #187944=CARTESIAN_POINT('Origin',(-0.25216698500542,-0.0726551276533095, 0.3025)); #187945=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.3025)); #187946=CARTESIAN_POINT('Origin',(0.25216698500542,-0.0726551276533096, 0.3025)); #187947=CARTESIAN_POINT('Origin',(-1.08869199181852E-17,1.74838271594513E-16, 0.302587265354984)); #187948=CARTESIAN_POINT('Ctrl Pts',(0.268983659335909,-0.0600000000000047, 0.3125)); #187949=CARTESIAN_POINT('Ctrl Pts',(0.265757978183022,-0.0600000000000048, 0.3125)); #187950=CARTESIAN_POINT('Ctrl Pts',(0.258225726979482,-0.0621315514322664, 0.3125)); #187951=CARTESIAN_POINT('Ctrl Pts',(0.253357736129717,-0.0685223412884071, 0.3125)); #187952=CARTESIAN_POINT('Ctrl Pts',(0.25216698500542,-0.0726551276533095, 0.3125)); #187953=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0626179938779962, 0.3125)); #187954=CARTESIAN_POINT('Ctrl Pts',(0.266241287041048,-0.0626151792880215, 0.312499908906595)); #187955=CARTESIAN_POINT('Ctrl Pts',(0.259832105390062,-0.0644219161574261, 0.312499862732446)); #187956=CARTESIAN_POINT('Ctrl Pts',(0.255682981236925,-0.0698592484655868, 0.312500033051415)); #187957=CARTESIAN_POINT('Ctrl Pts',(0.254668666034466,-0.0733759196892268, 0.3125)); #187958=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0678318228424973, 0.310331822842493)); #187959=CARTESIAN_POINT('Ctrl Pts',(0.267199804760829,-0.067823622823775, 0.310336717310175)); #187960=CARTESIAN_POINT('Ctrl Pts',(0.263025350643947,-0.0689810885879881, 0.310348069010142)); #187961=CARTESIAN_POINT('Ctrl Pts',(0.260315620862172,-0.0725170002380909, 0.310355310322937)); #187962=CARTESIAN_POINT('Ctrl Pts',(0.259651356962957,-0.0748115479315948, 0.310357105102645)); #187963=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0700000000000047, 0.305117993877991)); #187964=CARTESIAN_POINT('Ctrl Pts',(0.267604922339761,-0.0699955195567514, 0.305131953000974)); #187965=CARTESIAN_POINT('Ctrl Pts',(0.264372044015284,-0.0709014497442998, 0.305164501336811)); #187966=CARTESIAN_POINT('Ctrl Pts',(0.262265998271366,-0.0736460422904701, 0.305185477127977)); #187967=CARTESIAN_POINT('Ctrl Pts',(0.261753889652906,-0.075417336119847, 0.305190615691164)); #187968=CARTESIAN_POINT('Ctrl Pts',(0.26898365933591,-0.0700000000000047, 0.3025)); #187969=CARTESIAN_POINT('Ctrl Pts',(0.267601072536897,-0.0700000000000047, 0.302516738749535)); #187970=CARTESIAN_POINT('Ctrl Pts',(0.264372609899795,-0.0709135220424025, 0.302555825222355)); #187971=CARTESIAN_POINT('Ctrl Pts',(0.262286098689152,-0.0736524319807486, 0.302581086287792)); #187972=CARTESIAN_POINT('Ctrl Pts',(0.261775720661211,-0.0754236261371353, 0.302587265354984)); #187973=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.18653906)); #187974=CARTESIAN_POINT('Ctrl Pts',(-0.262737330881108,-0.0754304725012628, 0.196473610983762)); #187975=CARTESIAN_POINT('Ctrl Pts',(-0.262416834599836,-0.0754281979046305, 0.231844829505147)); #187976=CARTESIAN_POINT('Ctrl Pts',(-0.262096298001516,-0.0754259158081477, 0.267216047630349)); #187977=CARTESIAN_POINT('Ctrl Pts',(-0.261775720661211,-0.0754236261371353, 0.302587265354984)); #187978=CARTESIAN_POINT('Ctrl Pts',(-0.261775720661211,-0.0754236261371353, 0.302587265354984)); #187979=CARTESIAN_POINT('Ctrl Pts',(-0.262096298001516,-0.0754259158081477, 0.267216047630349)); #187980=CARTESIAN_POINT('Ctrl Pts',(-0.262416834599836,-0.0754281979046305, 0.231844829505147)); #187981=CARTESIAN_POINT('Ctrl Pts',(-0.262737330881108,-0.0754304725012628, 0.196473610983762)); #187982=CARTESIAN_POINT('Ctrl Pts',(-0.262286005893652,-0.0736524132019552, 0.30259189970268)); #187983=CARTESIAN_POINT('Ctrl Pts',(-0.262606135007172,-0.0736541004279347, 0.267220677491143)); #187984=CARTESIAN_POINT('Ctrl Pts',(-0.262926257866014,-0.0736557948270507, 0.231849455171413)); #187985=CARTESIAN_POINT('Ctrl Pts',(-0.263246307988625,-0.0736574714031543, 0.196478232188559)); #187986=CARTESIAN_POINT('Ctrl Pts',(-0.264370766828723,-0.0709119215652326, 0.302610833244987)); #187987=CARTESIAN_POINT('Ctrl Pts',(-0.264690869334569,-0.0709123847341602, 0.267239609047756)); #187988=CARTESIAN_POINT('Ctrl Pts',(-0.265010920273947,-0.0709128393100298, 0.23186838437265)); #187989=CARTESIAN_POINT('Ctrl Pts',(-0.265330942817961,-0.0709132996820119, 0.196497159414029)); #187990=CARTESIAN_POINT('Ctrl Pts',(-0.267599884344809,-0.0700000000000048, 0.302640159692963)); #187991=CARTESIAN_POINT('Ctrl Pts',(-0.267920782610431,-0.0699999972311943, 0.267268940039637)); #187992=CARTESIAN_POINT('Ctrl Pts',(-0.268241633102814,-0.0700000027579544, 0.231897719950726)); #187993=CARTESIAN_POINT('Ctrl Pts',(-0.268562445953034,-0.0700000000000047, 0.196526499511193)); #187994=CARTESIAN_POINT('Ctrl Pts',(-0.268982272403054,-0.0700000000000047, 0.302652714371222)); #187995=CARTESIAN_POINT('Ctrl Pts',(-0.269303509450416,-0.0700000000000047, 0.267281496646586)); #187996=CARTESIAN_POINT('Ctrl Pts',(-0.269624702391638,-0.0700000000000046, 0.231910278521385)); #187997=CARTESIAN_POINT('Ctrl Pts',(-0.269945851697352,-0.0700000000000046, 0.19653906)); #187998=CARTESIAN_POINT('Ctrl Pts',(-0.26898365933591,-0.0700000000000047, 0.3025)); #187999=CARTESIAN_POINT('Ctrl Pts',(-0.269304433945461,-0.0700000000000047, 0.26717968706462)); #188000=CARTESIAN_POINT('Ctrl Pts',(-0.269625164576408,-0.0700000000000046, 0.231859373729832)); #188001=CARTESIAN_POINT('Ctrl Pts',(-0.269945851697352,-0.0700000000000046, 0.19653906)); #188002=CARTESIAN_POINT('Origin',(-0.274657634895244,-0.0700000000000044, 0.3125)); #188003=CARTESIAN_POINT('',(-0.362998019323967,-0.07,0.322168981)); #188004=CARTESIAN_POINT('',(-0.297277713691427,-0.0700000000000032,0.322168981)); #188005=CARTESIAN_POINT('Ctrl Pts',(-0.361876879212017,-0.07,0.19653906)); #188006=CARTESIAN_POINT('Ctrl Pts',(-0.362250617892808,-0.07,0.238415700107457)); #188007=CARTESIAN_POINT('Ctrl Pts',(-0.362624331185286,-0.07,0.280292340441498)); #188008=CARTESIAN_POINT('Ctrl Pts',(-0.362998019323967,-0.0699999999999999, 0.322168981)); #188009=CARTESIAN_POINT('Ctrl Pts',(0.36479965102161,0.0314899009099668, 0.351626325354984)); #188010=CARTESIAN_POINT('Ctrl Pts',(0.364704855357776,0.0325880760100982, 0.351626325354984)); #188011=CARTESIAN_POINT('Ctrl Pts',(0.364605589039052,0.0336858601019109, 0.351567994258595)); #188012=CARTESIAN_POINT('Ctrl Pts',(0.364502525382296,0.0347767684947368, 0.351451794644729)); #188013=CARTESIAN_POINT('Ctrl Pts',(0.364777016101027,0.0314879470391112, 0.354229675691164)); #188014=CARTESIAN_POINT('Ctrl Pts',(0.36467397382342,0.032680244624794, 0.354224416166799)); #188015=CARTESIAN_POINT('Ctrl Pts',(0.364566297946963,0.0338708435792386, 0.354155335967511)); #188016=CARTESIAN_POINT('Ctrl Pts',(0.364453566897958,0.0350536828600599, 0.35402276462492)); #188017=CARTESIAN_POINT('Ctrl Pts',(0.362596472412434,0.0312997201466475, 0.359395571121332)); #188018=CARTESIAN_POINT('Ctrl Pts',(0.362487189753493,0.0326729097996219, 0.359380320989833)); #188019=CARTESIAN_POINT('Ctrl Pts',(0.362371845410536,0.0340446047363871, 0.359289586219464)); #188020=CARTESIAN_POINT('Ctrl Pts',(0.362251800465492,0.0354044622215793, 0.359125043303024)); #188021=CARTESIAN_POINT('Ctrl Pts',(0.35743088386231,0.0308538209492949, 0.36153906)); #188022=CARTESIAN_POINT('Ctrl Pts',(0.357332834080144,0.0322929928585661, 0.36153073652905)); #188023=CARTESIAN_POINT('Ctrl Pts',(0.357230092492627,0.0337284782858135, 0.361444150336625)); #188024=CARTESIAN_POINT('Ctrl Pts',(0.357123089488874,0.0351559107413658, 0.361278787756913)); #188025=CARTESIAN_POINT('Ctrl Pts',(0.354837080102803,0.0306299209999993, 0.36153906)); #188026=CARTESIAN_POINT('Ctrl Pts',(0.3547448732806,0.0320618033854034, 0.36153906)); #188027=CARTESIAN_POINT('Ctrl Pts',(0.354648331746697,0.0334931775489043, 0.36146086818196)); #188028=CARTESIAN_POINT('Ctrl Pts',(0.35454811028465,0.0349155887527204, 0.36130510462485)); #188029=CARTESIAN_POINT('',(0.364502525382296,0.0347767684947368,0.351451794644873)); #188030=CARTESIAN_POINT('',(0.35454811028465,0.0349155887527205,0.36130510462485)); #188031=CARTESIAN_POINT('Origin',(0.35454811028465,0.0338270291507137,0.351364529289746)); #188032=CARTESIAN_POINT('',(0.354837080102803,0.0306299209999993,0.36153906)); #188033=CARTESIAN_POINT('Ctrl Pts',(0.35454811028465,0.0349155887527204, 0.36130510462485)); #188034=CARTESIAN_POINT('Ctrl Pts',(0.354648331746697,0.0334931775489043, 0.36146086818196)); #188035=CARTESIAN_POINT('Ctrl Pts',(0.3547448732806,0.0320618033854034, 0.36153906)); #188036=CARTESIAN_POINT('Ctrl Pts',(0.354837080102803,0.0306299209999993, 0.36153906)); #188037=CARTESIAN_POINT('',(0.36479965102161,0.0314899009099668,0.351626325354984)); #188038=CARTESIAN_POINT('Origin',(0.354837080102803,0.0306299209999993, 0.35153906)); #188039=CARTESIAN_POINT('Ctrl Pts',(0.36479965102161,0.0314899009099668, 0.351626325354984)); #188040=CARTESIAN_POINT('Ctrl Pts',(0.364704855357776,0.0325880760100982, 0.351626325354984)); #188041=CARTESIAN_POINT('Ctrl Pts',(0.364605589039052,0.0336858601019109, 0.351567994258595)); #188042=CARTESIAN_POINT('Ctrl Pts',(0.364502525382296,0.0347767684947368, 0.351451794644729)); #188043=CARTESIAN_POINT('Ctrl Pts',(0.3638547387593,0.067176228757642,0.336810378124248)); #188044=CARTESIAN_POINT('Ctrl Pts',(0.361535885339028,0.0666472418791729, 0.338130779251013)); #188045=CARTESIAN_POINT('Ctrl Pts',(0.357336143067961,0.0649539901169433, 0.34206040955768)); #188046=CARTESIAN_POINT('Ctrl Pts',(0.353681150679652,0.0586515781082817, 0.350699406533715)); #188047=CARTESIAN_POINT('Ctrl Pts',(0.353494373653972,0.0482410562308356, 0.358293841455229)); #188048=CARTESIAN_POINT('Ctrl Pts',(0.354230276139623,0.0394265072687262, 0.360811128823758)); #188049=CARTESIAN_POINT('Ctrl Pts',(0.35454811028465,0.0349155887527205, 0.36130510462485)); #188050=CARTESIAN_POINT('Ctrl Pts',(0.364659194850152,0.0665224596708487, 0.33844224740869)); #188051=CARTESIAN_POINT('Ctrl Pts',(0.362574497520388,0.0659951945133176, 0.339585686297095)); #188052=CARTESIAN_POINT('Ctrl Pts',(0.358781693768943,0.0643221927368368, 0.343035437178169)); #188053=CARTESIAN_POINT('Ctrl Pts',(0.355608519783798,0.0583274828849847, 0.350898826372839)); #188054=CARTESIAN_POINT('Ctrl Pts',(0.355815818688555,0.0483957015402049, 0.35816704809573)); #188055=CARTESIAN_POINT('Ctrl Pts',(0.35675358148832,0.0396746674066673, 0.360754290265329)); #188056=CARTESIAN_POINT('Ctrl Pts',(0.357123089488851,0.0351559107413597, 0.361278787756913)); #188057=CARTESIAN_POINT('Ctrl Pts',(0.366266166815855,0.0641449297596448, 0.341272752082721)); #188058=CARTESIAN_POINT('Ctrl Pts',(0.364649098995255,0.0636986918672221, 0.342049447217933)); #188059=CARTESIAN_POINT('Ctrl Pts',(0.361673689541146,0.0622226616835064, 0.344505945270528)); #188060=CARTESIAN_POINT('Ctrl Pts',(0.359464054905128,0.0568670522093195, 0.350539599928027)); #188061=CARTESIAN_POINT('Ctrl Pts',(0.360451706005959,0.0478767073552971, 0.356432202793461)); #188062=CARTESIAN_POINT('Ctrl Pts',(0.361783036895183,0.0396991062770905, 0.358658206652556)); #188063=CARTESIAN_POINT('Ctrl Pts',(0.362251726093693,0.0354044469929641, 0.359124968382758)); #188064=CARTESIAN_POINT('Ctrl Pts',(0.36734831676231,0.0604068344837209, 0.342322685075077)); #188065=CARTESIAN_POINT('Ctrl Pts',(0.366074570912588,0.0601234491026425, 0.342870126025073)); #188066=CARTESIAN_POINT('Ctrl Pts',(0.363757231289371,0.0590198405940559, 0.344582096377433)); #188067=CARTESIAN_POINT('Ctrl Pts',(0.362126349423783,0.0542698031639756, 0.348672536879807)); #188068=CARTESIAN_POINT('Ctrl Pts',(0.362979231413775,0.0460312448020759, 0.352451420940906)); #188069=CARTESIAN_POINT('Ctrl Pts',(0.364046843624349,0.0387968932256789, 0.353755921399456)); #188070=CARTESIAN_POINT('Ctrl Pts',(0.364453566897921,0.0350536828600539, 0.35402276462504)); #188071=CARTESIAN_POINT('Ctrl Pts',(0.367669592690155,0.0585004537103034, 0.342315261639617)); #188072=CARTESIAN_POINT('Ctrl Pts',(0.366512199359172,0.0582903620163823, 0.342807771261007)); #188073=CARTESIAN_POINT('Ctrl Pts',(0.364459872577288,0.057363544727728, 0.344273523368688)); #188074=CARTESIAN_POINT('Ctrl Pts',(0.362960448826635,0.0528954218341462, 0.34749586924799)); #188075=CARTESIAN_POINT('Ctrl Pts',(0.363422367961494,0.0449986905250718, 0.35032859348008)); #188076=CARTESIAN_POINT('Ctrl Pts',(0.364174077051034,0.0382362333988701, 0.351267541670652)); #188077=CARTESIAN_POINT('Ctrl Pts',(0.364502525382294,0.0347767684947368, 0.351451794644873)); #188078=CARTESIAN_POINT('',(0.367669592690155,0.0585004537103034,0.342315261639617)); #188079=CARTESIAN_POINT('Ctrl Pts',(0.364502525382294,0.0347767684947368, 0.351451794644873)); #188080=CARTESIAN_POINT('Ctrl Pts',(0.364174077051034,0.0382362333988701, 0.351267541670652)); #188081=CARTESIAN_POINT('Ctrl Pts',(0.363422367961494,0.0449986905250718, 0.35032859348008)); #188082=CARTESIAN_POINT('Ctrl Pts',(0.362960448826635,0.0528954218341462, 0.34749586924799)); #188083=CARTESIAN_POINT('Ctrl Pts',(0.364459872577288,0.057363544727728, 0.344273523368688)); #188084=CARTESIAN_POINT('Ctrl Pts',(0.366512199359172,0.0582903620163823, 0.342807771261007)); #188085=CARTESIAN_POINT('Ctrl Pts',(0.367669592690155,0.0585004537103034, 0.342315261639617)); #188086=CARTESIAN_POINT('',(0.3638547387593,0.067176228757642,0.336810378124248)); #188087=CARTESIAN_POINT('Origin',(0.3638547387593,0.0578934666484671,0.333091463279234)); #188088=CARTESIAN_POINT('Ctrl Pts',(0.3638547387593,0.067176228757642,0.336810378124248)); #188089=CARTESIAN_POINT('Ctrl Pts',(0.361535885339028,0.0666472418791729, 0.338130779251013)); #188090=CARTESIAN_POINT('Ctrl Pts',(0.357336143067961,0.0649539901169433, 0.34206040955768)); #188091=CARTESIAN_POINT('Ctrl Pts',(0.353681150679652,0.0586515781082817, 0.350699406533715)); #188092=CARTESIAN_POINT('Ctrl Pts',(0.353494373653972,0.0482410562308356, 0.358293841455229)); #188093=CARTESIAN_POINT('Ctrl Pts',(0.354230276139623,0.0394265072687262, 0.360811128823758)); #188094=CARTESIAN_POINT('Ctrl Pts',(0.35454811028465,0.0349155887527205, 0.36130510462485)); #188095=CARTESIAN_POINT('Ctrl Pts',(0.366918962846409,0.0687499545375871, 0.334706205715231)); #188096=CARTESIAN_POINT('Ctrl Pts',(0.365911387810954,0.0681581128495208, 0.334889641347282)); #188097=CARTESIAN_POINT('Ctrl Pts',(0.36483248300488,0.06766041131725,0.335601812801953)); #188098=CARTESIAN_POINT('Ctrl Pts',(0.3638547387593,0.067176228757642,0.336810378124248)); #188099=CARTESIAN_POINT('Ctrl Pts',(0.367265876495961,0.0680814062942611, 0.33696193761011)); #188100=CARTESIAN_POINT('Ctrl Pts',(0.366448568353679,0.0675258140856762, 0.337168658156579)); #188101=CARTESIAN_POINT('Ctrl Pts',(0.365626646225567,0.0669943221557683, 0.337733487345095)); #188102=CARTESIAN_POINT('Ctrl Pts',(0.364774117148845,0.066429064087021, 0.338675371592182)); #188103=CARTESIAN_POINT('Ctrl Pts',(0.368547532369227,0.0656114868088131, 0.340402213939313)); #188104=CARTESIAN_POINT('Ctrl Pts',(0.367865748979633,0.0649700059633627, 0.340600697211961)); #188105=CARTESIAN_POINT('Ctrl Pts',(0.367209659477929,0.0644140963329057, 0.340904706592152)); #188106=CARTESIAN_POINT('Ctrl Pts',(0.366378049821717,0.0638907320789615, 0.34143429992302)); #188107=CARTESIAN_POINT('Ctrl Pts',(0.370466224382285,0.0619139148715949, 0.341539060000028)); #188108=CARTESIAN_POINT('Ctrl Pts',(0.369517506202719,0.0610054570389122, 0.341610272076677)); #188109=CARTESIAN_POINT('Ctrl Pts',(0.368476409345725,0.0604427568225635, 0.341851938141224)); #188110=CARTESIAN_POINT('Ctrl Pts',(0.36739421332343,0.0601344943732326, 0.342321624584297)); #188111=CARTESIAN_POINT('Ctrl Pts',(0.371287737695806,0.0603307506359857, 0.34153906)); #188112=CARTESIAN_POINT('Ctrl Pts',(0.370162771732751,0.0592980909707149, 0.341539060000064)); #188113=CARTESIAN_POINT('Ctrl Pts',(0.368888835515253,0.0587217724129162, 0.34179643298725)); #188114=CARTESIAN_POINT('Ctrl Pts',(0.367669592690155,0.0585004537103032, 0.342315261639617)); #188115=CARTESIAN_POINT('Ctrl Pts',(0.367669592690155,0.0585004537103032, 0.342315261639617)); #188116=CARTESIAN_POINT('Ctrl Pts',(0.368888835515253,0.0587217724129162, 0.34179643298725)); #188117=CARTESIAN_POINT('Ctrl Pts',(0.370162771732751,0.0592980909707149, 0.341539060000064)); #188118=CARTESIAN_POINT('Ctrl Pts',(0.371287737695806,0.0603307506359857, 0.34153906)); #188119=CARTESIAN_POINT('',(0.366918962846409,0.0687499545375871,0.334706205715231)); #188120=CARTESIAN_POINT('Origin',(0.37128773769531,0.0603307506359051,0.33153906)); #188121=CARTESIAN_POINT('Ctrl Pts',(0.366918962846409,0.0687499545375871, 0.334706205715231)); #188122=CARTESIAN_POINT('Ctrl Pts',(0.365911387810954,0.0681581128495208, 0.334889641347282)); #188123=CARTESIAN_POINT('Ctrl Pts',(0.36483248300488,0.06766041131725,0.335601812801953)); #188124=CARTESIAN_POINT('Ctrl Pts',(0.3638547387593,0.067176228757642,0.336810378124248)); #188125=CARTESIAN_POINT('Ctrl Pts',(0.371287737695628,0.0603307506360699, 0.34153906)); #188126=CARTESIAN_POINT('Ctrl Pts',(0.374253870935854,0.0618698947701702, 0.34153906)); #188127=CARTESIAN_POINT('Ctrl Pts',(0.380042659676326,0.0675634133379088, 0.34153906)); #188128=CARTESIAN_POINT('Ctrl Pts',(0.381332234270878,0.0757693547155249, 0.34153906)); #188129=CARTESIAN_POINT('Ctrl Pts',(0.380413873438692,0.0801292744072691, 0.34153906)); #188130=CARTESIAN_POINT('Ctrl Pts',(0.370329305496521,0.0621777755775894, 0.34153906)); #188131=CARTESIAN_POINT('Ctrl Pts',(0.372908639580968,0.0635615218783647, 0.341539702002653)); #188132=CARTESIAN_POINT('Ctrl Pts',(0.377851636955883,0.0687162394002342, 0.341538760597775)); #188133=CARTESIAN_POINT('Ctrl Pts',(0.378739300771001,0.0758720679048545, 0.341536280427309)); #188134=CARTESIAN_POINT('Ctrl Pts',(0.377866325430176,0.07959266628727, 0.34153906)); #188135=CARTESIAN_POINT('Ctrl Pts',(0.368415614109888,0.0658657106498579, 0.340195415859914)); #188136=CARTESIAN_POINT('Ctrl Pts',(0.370224331235464,0.0669387121684216, 0.340141630603118)); #188137=CARTESIAN_POINT('Ctrl Pts',(0.373479705096687,0.071010906970205, 0.339873496359638)); #188138=CARTESIAN_POINT('Ctrl Pts',(0.373570193226709,0.0760704008635403, 0.339546280611549)); #188139=CARTESIAN_POINT('Ctrl Pts',(0.372793077556687,0.0785240520768284, 0.33939534242354)); #188140=CARTESIAN_POINT('Ctrl Pts',(0.367222512289815,0.0681649748247246, 0.336679971123207)); #188141=CARTESIAN_POINT('Ctrl Pts',(0.368574812192477,0.0689924596256469, 0.33650582086028)); #188142=CARTESIAN_POINT('Ctrl Pts',(0.371031697745279,0.0721599874373984, 0.335640125293422)); #188143=CARTESIAN_POINT('Ctrl Pts',(0.371206822811304,0.0761243168235261, 0.334661820880322)); #188144=CARTESIAN_POINT('Ctrl Pts',(0.370651198038049,0.0780728927903621, 0.33422967569115)); #188145=CARTESIAN_POINT('Ctrl Pts',(0.366918962846543,0.0687499545376659, 0.334706205715207)); #188146=CARTESIAN_POINT('Ctrl Pts',(0.368172321606949,0.0694861676616219, 0.334478023547015)); #188147=CARTESIAN_POINT('Ctrl Pts',(0.37056789001252,0.0722898112155234, 0.333350931319024)); #188148=CARTESIAN_POINT('Ctrl Pts',(0.371049897096821,0.0760918445332317, 0.332145975316077)); #188149=CARTESIAN_POINT('Ctrl Pts',(0.370628966769923,0.0780682100605551, 0.331626325354967)); #188150=CARTESIAN_POINT('Origin',(0.380413873438692,0.0801292744072691, 0.33153906)); #188151=CARTESIAN_POINT('Ctrl Pts',(0.370628966769923,0.0780682100605551, 0.331626325354967)); #188152=CARTESIAN_POINT('Ctrl Pts',(0.371049897096821,0.0760918445332317, 0.332145975316077)); #188153=CARTESIAN_POINT('Ctrl Pts',(0.37056789001252,0.0722898112155234, 0.333350931319024)); #188154=CARTESIAN_POINT('Ctrl Pts',(0.368172321606949,0.0694861676616219, 0.334478023547015)); #188155=CARTESIAN_POINT('Ctrl Pts',(0.366918962846543,0.0687499545376659, 0.334706205715207)); #188156=CARTESIAN_POINT('Origin',(0.,0.,0.33153906)); #188157=CARTESIAN_POINT('Origin',(-0.380413873530835,0.0801292739698212, 0.33153906)); #188158=CARTESIAN_POINT('Ctrl Pts',(-0.380413873598045,0.0801292736507415, 0.34153906)); #188159=CARTESIAN_POINT('Ctrl Pts',(-0.381102642859498,0.0768593396662727, 0.34153906)); #188160=CARTESIAN_POINT('Ctrl Pts',(-0.380586372991849,0.0687886991879683, 0.34153906)); #188161=CARTESIAN_POINT('Ctrl Pts',(-0.375242574984561,0.0623829391661428, 0.34153906)); #188162=CARTESIAN_POINT('Ctrl Pts',(-0.371287737695309,0.0603307506359038, 0.34153906)); #188163=CARTESIAN_POINT('Ctrl Pts',(-0.377866325581562,0.0795926656414782, 0.34153906)); #188164=CARTESIAN_POINT('Ctrl Pts',(-0.378521055807962,0.0768022217847482, 0.341536975324163)); #188165=CARTESIAN_POINT('Ctrl Pts',(-0.378285668583891,0.0698132446620004, 0.34153593975695)); #188166=CARTESIAN_POINT('Ctrl Pts',(-0.373768411494678,0.0640227673649503, 0.341539916002015)); #188167=CARTESIAN_POINT('Ctrl Pts',(-0.370329305496243,0.0621777755774399, 0.34153906)); #188168=CARTESIAN_POINT('Ctrl Pts',(-0.372793077691664,0.078524051651444, 0.339395342449594)); #188169=CARTESIAN_POINT('Ctrl Pts',(-0.373375913338227,0.076683816479038, 0.33950854589198)); #188170=CARTESIAN_POINT('Ctrl Pts',(-0.373692152215546,0.0718483202461598, 0.33981533782236)); #188171=CARTESIAN_POINT('Ctrl Pts',(-0.370827232656342,0.0672963767975931, 0.340123702311695)); #188172=CARTESIAN_POINT('Ctrl Pts',(-0.368415614109693,0.0658657106497428, 0.340195415859921)); #188173=CARTESIAN_POINT('Ctrl Pts',(-0.370651198134129,0.0780728924512975, 0.334229675766086)); #188174=CARTESIAN_POINT('Ctrl Pts',(-0.37106791591854,0.0766114630499181, 0.334553784090592)); #188175=CARTESIAN_POINT('Ctrl Pts',(-0.371210236619862,0.0728141562207297, 0.335455757621096)); #188176=CARTESIAN_POINT('Ctrl Pts',(-0.369025575621008,0.0692682859309638, 0.336447771185491)); #188177=CARTESIAN_POINT('Ctrl Pts',(-0.36722251228967,0.068164974824635, 0.336679971123226)); #188178=CARTESIAN_POINT('Ctrl Pts',(-0.370628966842962,0.0780682097176183, 0.331626325445136)); #188179=CARTESIAN_POINT('Ctrl Pts',(-0.370944663968569,0.0765859381910681, 0.332016062234831)); #188180=CARTESIAN_POINT('Ctrl Pts',(-0.370785043629023,0.0728809700203996, 0.333116733092676)); #188181=CARTESIAN_POINT('Ctrl Pts',(-0.36859010488927,0.0697315702909879, 0.334401963365229)); #188182=CARTESIAN_POINT('Ctrl Pts',(-0.366918962846409,0.0687499545375862, 0.334706205715231)); #188183=CARTESIAN_POINT('',(-0.366918962846409,0.0687499545375862,0.334706205715231)); #188184=CARTESIAN_POINT('Origin',(-0.371287737695309,0.0603307506359038, 0.33153906)); #188185=CARTESIAN_POINT('Ctrl Pts',(-0.366918962846409,0.0687499545375862, 0.334706205715231)); #188186=CARTESIAN_POINT('Ctrl Pts',(-0.36859010488927,0.0697315702909879, 0.334401963365229)); #188187=CARTESIAN_POINT('Ctrl Pts',(-0.370785043567259,0.0728809699317769, 0.333116733128842)); #188188=CARTESIAN_POINT('Ctrl Pts',(-0.370944663959585,0.0765859379825558, 0.332016062296776)); #188189=CARTESIAN_POINT('Ctrl Pts',(-0.370628966905147,0.0780682094256452, 0.331626325521905)); #188190=CARTESIAN_POINT('Ctrl Pts',(-0.371287737695806,0.0603307506359844, 0.34153906)); #188191=CARTESIAN_POINT('Ctrl Pts',(-0.370162771765103,0.0592980910004112, 0.341539060000064)); #188192=CARTESIAN_POINT('Ctrl Pts',(-0.368888835480189,0.0587217724065507, 0.341796433002171)); #188193=CARTESIAN_POINT('Ctrl Pts',(-0.367669592690155,0.0585004537103026, 0.342315261639617)); #188194=CARTESIAN_POINT('Ctrl Pts',(-0.370192386611111,0.0624416362834634, 0.341539060000037)); #188195=CARTESIAN_POINT('Ctrl Pts',(-0.369304064452144,0.0615755715473681, 0.341634824572557)); #188196=CARTESIAN_POINT('Ctrl Pts',(-0.368338153067369,0.0610177273314919, 0.341872578173269)); #188197=CARTESIAN_POINT('Ctrl Pts',(-0.367302420201189,0.0606791745942084, 0.342323745565857)); #188198=CARTESIAN_POINT('Ctrl Pts',(-0.368283488249079,0.066120334566634, 0.339989243475435)); #188199=CARTESIAN_POINT('Ctrl Pts',(-0.367606868167379,0.0655093132478941, 0.340195792163161)); #188200=CARTESIAN_POINT('Ctrl Pts',(-0.36696600224536,0.0649514048484231, 0.340533062892997)); #188201=CARTESIAN_POINT('Ctrl Pts',(-0.366154385587335,0.0643993912914924, 0.341111549544099)); #188202=CARTESIAN_POINT('Ctrl Pts',(-0.367179148083573,0.0682485433550916, 0.336398004636391)); #188203=CARTESIAN_POINT('Ctrl Pts',(-0.36631304866953,0.0676830847875537, 0.336598308989816)); #188204=CARTESIAN_POINT('Ctrl Pts',(-0.365428746548446,0.0671597489385665, 0.337199060051138)); #188205=CARTESIAN_POINT('Ctrl Pts',(-0.364544272551459,0.0666158552546755, 0.338209123225198)); #188206=CARTESIAN_POINT('Ctrl Pts',(-0.366918962846409,0.0687499545375861, 0.334706205715231)); #188207=CARTESIAN_POINT('Ctrl Pts',(-0.36591138783993,0.0681581128665402, 0.334889641342007)); #188208=CARTESIAN_POINT('Ctrl Pts',(-0.364832482976761,0.0676604113033251, 0.335601812836709)); #188209=CARTESIAN_POINT('Ctrl Pts',(-0.3638547387593,0.0671762287576413, 0.336810378124248)); #188210=CARTESIAN_POINT('',(-0.367669592690155,0.0585004537103026,0.342315261639617)); #188211=CARTESIAN_POINT('',(-0.3638547387593,0.0671762287576413,0.336810378124248)); #188212=CARTESIAN_POINT('Origin',(-0.3638547387593,0.0578934666484664,0.333091463279234)); #188213=CARTESIAN_POINT('Ctrl Pts',(-0.3638547387593,0.0671762287576413, 0.336810378124248)); #188214=CARTESIAN_POINT('Ctrl Pts',(-0.364832482976761,0.0676604113033251, 0.335601812836709)); #188215=CARTESIAN_POINT('Ctrl Pts',(-0.36591138783993,0.0681581128665402, 0.334889641342007)); #188216=CARTESIAN_POINT('Ctrl Pts',(-0.366918962846409,0.0687499545375861, 0.334706205715231)); #188217=CARTESIAN_POINT('Ctrl Pts',(-0.371287737695806,0.0603307506359844, 0.34153906)); #188218=CARTESIAN_POINT('Ctrl Pts',(-0.370162771765103,0.0592980910004112, 0.341539060000064)); #188219=CARTESIAN_POINT('Ctrl Pts',(-0.368888835480189,0.0587217724065507, 0.341796433002171)); #188220=CARTESIAN_POINT('Ctrl Pts',(-0.367669592690155,0.0585004537103026, 0.342315261639617)); #188221=CARTESIAN_POINT('Ctrl Pts',(-0.367669592690155,0.0585004537103026, 0.342315261639617)); #188222=CARTESIAN_POINT('Ctrl Pts',(-0.366512215167106,0.0582903648858604, 0.342807764534202)); #188223=CARTESIAN_POINT('Ctrl Pts',(-0.364459747602558,0.0573631879013112, 0.344273732308986)); #188224=CARTESIAN_POINT('Ctrl Pts',(-0.362960464919936,0.0528951209695005, 0.347495972667014)); #188225=CARTESIAN_POINT('Ctrl Pts',(-0.363422430620001,0.0449982390777557, 0.35032862896777)); #188226=CARTESIAN_POINT('Ctrl Pts',(-0.364174081537062,0.0382361861486586, 0.351267544187228)); #188227=CARTESIAN_POINT('Ctrl Pts',(-0.364502525382301,0.0347767684946629, 0.351451794644877)); #188228=CARTESIAN_POINT('Ctrl Pts',(-0.36734831676231,0.0604068344837201, 0.342322685075077)); #188229=CARTESIAN_POINT('Ctrl Pts',(-0.366074588309691,0.060123452973182, 0.342870118548004)); #188230=CARTESIAN_POINT('Ctrl Pts',(-0.363757097994837,0.0590194569064009, 0.344582358689166)); #188231=CARTESIAN_POINT('Ctrl Pts',(-0.362126376756278,0.0542694866621645, 0.348672674579665)); #188232=CARTESIAN_POINT('Ctrl Pts',(-0.362979317211804,0.0460307618339722, 0.352451473482468)); #188233=CARTESIAN_POINT('Ctrl Pts',(-0.364046849179476,0.0387968421000036, 0.353755925044071)); #188234=CARTESIAN_POINT('Ctrl Pts',(-0.364453566897929,0.035053682859974, 0.354022764625045)); #188235=CARTESIAN_POINT('Ctrl Pts',(-0.366266166815855,0.064144929759644, 0.341272752082721)); #188236=CARTESIAN_POINT('Ctrl Pts',(-0.364649121081525,0.0636986979620373, 0.342049436609659)); #188237=CARTESIAN_POINT('Ctrl Pts',(-0.361673511960686,0.0622222214699642, 0.344506335256743)); #188238=CARTESIAN_POINT('Ctrl Pts',(-0.359464084766784,0.0568667028437961, 0.350539812722784)); #188239=CARTESIAN_POINT('Ctrl Pts',(-0.360451812952903,0.0478761615230624, 0.356432300213041)); #188240=CARTESIAN_POINT('Ctrl Pts',(-0.361783043296656,0.0396990476195927, 0.358658213027711)); #188241=CARTESIAN_POINT('Ctrl Pts',(-0.362251726093703,0.0354044469928725, 0.359124968382768)); #188242=CARTESIAN_POINT('Ctrl Pts',(-0.364659194850152,0.0665224596708479, 0.33844224740869)); #188243=CARTESIAN_POINT('Ctrl Pts',(-0.362574525993646,0.0659952017148212, 0.339585670679753)); #188244=CARTESIAN_POINT('Ctrl Pts',(-0.358781436891076,0.0643217064089951, 0.343035948558769)); #188245=CARTESIAN_POINT('Ctrl Pts',(-0.355608524327706,0.0583271006363998, 0.350899089962681)); #188246=CARTESIAN_POINT('Ctrl Pts',(-0.355815905344454,0.0483951213269383, 0.358167154810376)); #188247=CARTESIAN_POINT('Ctrl Pts',(-0.356753586535151,0.0396746056883926, 0.360754297429054)); #188248=CARTESIAN_POINT('Ctrl Pts',(-0.357123089488859,0.0351559107412635, 0.361278787756924)); #188249=CARTESIAN_POINT('Ctrl Pts',(-0.3638547387593,0.0671762287576412, 0.336810378124248)); #188250=CARTESIAN_POINT('Ctrl Pts',(-0.361535917010442,0.0666472491041922, 0.338130761216682)); #188251=CARTESIAN_POINT('Ctrl Pts',(-0.35733584633291,0.0649534868809651, 0.342060969719336)); #188252=CARTESIAN_POINT('Ctrl Pts',(-0.353681142337674,0.0586511810863973, 0.350699683796513)); #188253=CARTESIAN_POINT('Ctrl Pts',(-0.353494449666942,0.0482404713007705, 0.35829393659649)); #188254=CARTESIAN_POINT('Ctrl Pts',(-0.354230280480679,0.0394264456575062, 0.360811135570611)); #188255=CARTESIAN_POINT('Ctrl Pts',(-0.354548110284657,0.0349155887526244, 0.36130510462486)); #188256=CARTESIAN_POINT('',(-0.364502525382301,0.0347767684946629,0.351451794644946)); #188257=CARTESIAN_POINT('',(-0.354548110284657,0.0349155887526244,0.36130510462486)); #188258=CARTESIAN_POINT('Origin',(-0.354548110284657,0.0338270291506419, 0.351364529289753)); #188259=CARTESIAN_POINT('Ctrl Pts',(-0.354548110284657,0.0349155887526244, 0.36130510462486)); #188260=CARTESIAN_POINT('Ctrl Pts',(-0.354230280480679,0.0394264456575062, 0.360811135570611)); #188261=CARTESIAN_POINT('Ctrl Pts',(-0.353494449666942,0.0482404713007705, 0.35829393659649)); #188262=CARTESIAN_POINT('Ctrl Pts',(-0.353681142337674,0.0586511810863973, 0.350699683796513)); #188263=CARTESIAN_POINT('Ctrl Pts',(-0.35733584633291,0.0649534868809651, 0.342060969719336)); #188264=CARTESIAN_POINT('Ctrl Pts',(-0.361535917010442,0.0666472491041922, 0.338130761216682)); #188265=CARTESIAN_POINT('Ctrl Pts',(-0.3638547387593,0.0671762287576412, 0.336810378124248)); #188266=CARTESIAN_POINT('Ctrl Pts',(-0.367669592690155,0.0585004537103026, 0.342315261639617)); #188267=CARTESIAN_POINT('Ctrl Pts',(-0.366512215167106,0.0582903648858604, 0.342807764534202)); #188268=CARTESIAN_POINT('Ctrl Pts',(-0.364459747602558,0.0573631879013112, 0.344273732308986)); #188269=CARTESIAN_POINT('Ctrl Pts',(-0.362960464919936,0.0528951209695005, 0.347495972667014)); #188270=CARTESIAN_POINT('Ctrl Pts',(-0.363422430620001,0.0449982390777557, 0.35032862896777)); #188271=CARTESIAN_POINT('Ctrl Pts',(-0.364174081537062,0.0382361861486586, 0.351267544187228)); #188272=CARTESIAN_POINT('Ctrl Pts',(-0.364502525382301,0.0347767684946629, 0.351451794644877)); #188273=CARTESIAN_POINT('Ctrl Pts',(-0.364502525382304,0.0347767684946517, 0.351451794644738)); #188274=CARTESIAN_POINT('Ctrl Pts',(-0.364605589039058,0.0336858601018536, 0.351567994258598)); #188275=CARTESIAN_POINT('Ctrl Pts',(-0.364704855357779,0.0325880760100693, 0.351626325354984)); #188276=CARTESIAN_POINT('Ctrl Pts',(-0.36479965102161,0.0314899009099662, 0.351626325354984)); #188277=CARTESIAN_POINT('Ctrl Pts',(-0.364453566897967,0.0350536828599677, 0.35402276462493)); #188278=CARTESIAN_POINT('Ctrl Pts',(-0.364566297946969,0.0338708435791765, 0.354155335967515)); #188279=CARTESIAN_POINT('Ctrl Pts',(-0.364673973823423,0.0326802446247626, 0.354224416166799)); #188280=CARTESIAN_POINT('Ctrl Pts',(-0.364777016101027,0.0314879470391106, 0.354229675691164)); #188281=CARTESIAN_POINT('Ctrl Pts',(-0.362251800465501,0.0354044622214734, 0.359125043303037)); #188282=CARTESIAN_POINT('Ctrl Pts',(-0.362371845410542,0.0340446047363157, 0.359289586219469)); #188283=CARTESIAN_POINT('Ctrl Pts',(-0.362487189753496,0.0326729097995858, 0.359380320989833)); #188284=CARTESIAN_POINT('Ctrl Pts',(-0.362596472412434,0.0312997201466469, 0.359395571121332)); #188285=CARTESIAN_POINT('Ctrl Pts',(-0.357123089488883,0.0351559107412548, 0.361278787756925)); #188286=CARTESIAN_POINT('Ctrl Pts',(-0.357230092492632,0.0337284782857387, 0.36144415033663)); #188287=CARTESIAN_POINT('Ctrl Pts',(-0.357332834080147,0.0322929928585283, 0.361530736529051)); #188288=CARTESIAN_POINT('Ctrl Pts',(-0.35743088386231,0.0308538209492942, 0.36153906)); #188289=CARTESIAN_POINT('Ctrl Pts',(-0.354548110284658,0.0349155887526097, 0.361305104624862)); #188290=CARTESIAN_POINT('Ctrl Pts',(-0.354648331746702,0.0334931775488297, 0.361460868181964)); #188291=CARTESIAN_POINT('Ctrl Pts',(-0.354744873280603,0.0320618033853658, 0.36153906)); #188292=CARTESIAN_POINT('Ctrl Pts',(-0.354837080102803,0.0306299209999987, 0.36153906)); #188293=CARTESIAN_POINT('',(-0.36479965102161,0.0314899009099662,0.351626325354984)); #188294=CARTESIAN_POINT('',(-0.354837080102803,0.0306299209999986,0.36153906)); #188295=CARTESIAN_POINT('Origin',(-0.354837080102803,0.0306299209999986, 0.35153906)); #188296=CARTESIAN_POINT('Ctrl Pts',(-0.354837080102803,0.0306299209999987, 0.36153906)); #188297=CARTESIAN_POINT('Ctrl Pts',(-0.354744873280603,0.0320618033853658, 0.36153906)); #188298=CARTESIAN_POINT('Ctrl Pts',(-0.354648331746702,0.0334931775488297, 0.361460868181964)); #188299=CARTESIAN_POINT('Ctrl Pts',(-0.354548110284658,0.0349155887526097, 0.361305104624862)); #188300=CARTESIAN_POINT('Ctrl Pts',(-0.364502525382304,0.0347767684946517, 0.351451794644738)); #188301=CARTESIAN_POINT('Ctrl Pts',(-0.364605589039058,0.0336858601018536, 0.351567994258598)); #188302=CARTESIAN_POINT('Ctrl Pts',(-0.364704855357779,0.0325880760100693, 0.351626325354984)); #188303=CARTESIAN_POINT('Ctrl Pts',(-0.36479965102161,0.0314899009099662, 0.351626325354984)); #188304=CARTESIAN_POINT('Origin',(0.,0.,0.35153906)); #188305=CARTESIAN_POINT('',(-0.36479965102161,-0.031489900909968,0.351626325354984)); #188306=CARTESIAN_POINT('',(-0.354837080102802,-0.0306299210000004,0.36153906)); #188307=CARTESIAN_POINT('Origin',(-0.354837080102802,-0.0306299210000004, 0.35153906)); #188308=CARTESIAN_POINT('Origin',(0.,0.,0.36153906)); #188309=CARTESIAN_POINT('Origin',(0.,0.,0.351626325354984)); #188310=CARTESIAN_POINT('Ctrl Pts',(-0.36479965102161,-0.031489900909968, 0.351626325354984)); #188311=CARTESIAN_POINT('Ctrl Pts',(-0.364704855357776,-0.0325880760100992, 0.351626325354984)); #188312=CARTESIAN_POINT('Ctrl Pts',(-0.364605589039052,-0.0336858601019118, 0.351567994258595)); #188313=CARTESIAN_POINT('Ctrl Pts',(-0.364502525382296,-0.0347767684947377, 0.351451794644729)); #188314=CARTESIAN_POINT('Ctrl Pts',(-0.364777016101027,-0.0314879470391123, 0.354229675691164)); #188315=CARTESIAN_POINT('Ctrl Pts',(-0.36467397382342,-0.032680244624795, 0.354224416166799)); #188316=CARTESIAN_POINT('Ctrl Pts',(-0.364566297946963,-0.0338708435792395, 0.354155335967511)); #188317=CARTESIAN_POINT('Ctrl Pts',(-0.364453566897958,-0.0350536828600607, 0.35402276462492)); #188318=CARTESIAN_POINT('Ctrl Pts',(-0.362596472412434,-0.0312997201466486, 0.359395571121332)); #188319=CARTESIAN_POINT('Ctrl Pts',(-0.362487189753493,-0.0326729097996228, 0.359380320989832)); #188320=CARTESIAN_POINT('Ctrl Pts',(-0.362371845410536,-0.034044604736388, 0.359289586219464)); #188321=CARTESIAN_POINT('Ctrl Pts',(-0.362251800465491,-0.03540446222158, 0.359125043303024)); #188322=CARTESIAN_POINT('Ctrl Pts',(-0.35743088386231,-0.030853820949296, 0.36153906)); #188323=CARTESIAN_POINT('Ctrl Pts',(-0.357332834080144,-0.032292992858567, 0.36153073652905)); #188324=CARTESIAN_POINT('Ctrl Pts',(-0.357230092492627,-0.0337284782858143, 0.361444150336626)); #188325=CARTESIAN_POINT('Ctrl Pts',(-0.357123089488874,-0.0351559107413665, 0.361278787756913)); #188326=CARTESIAN_POINT('Ctrl Pts',(-0.354837080102802,-0.0306299210000004, 0.36153906)); #188327=CARTESIAN_POINT('Ctrl Pts',(-0.3547448732806,-0.0320618033854043, 0.36153906)); #188328=CARTESIAN_POINT('Ctrl Pts',(-0.354648331746697,-0.033493177548905, 0.36146086818196)); #188329=CARTESIAN_POINT('Ctrl Pts',(-0.35454811028465,-0.0349155887527211, 0.36130510462485)); #188330=CARTESIAN_POINT('',(-0.364502525382296,-0.0347767684947377,0.351451794644873)); #188331=CARTESIAN_POINT('',(-0.35454811028465,-0.0349155887527211,0.36130510462485)); #188332=CARTESIAN_POINT('Origin',(-0.35454811028465,-0.0338270291507145, 0.351364529289746)); #188333=CARTESIAN_POINT('Ctrl Pts',(-0.35454811028465,-0.0349155887527211, 0.36130510462485)); #188334=CARTESIAN_POINT('Ctrl Pts',(-0.354648331746697,-0.033493177548905, 0.36146086818196)); #188335=CARTESIAN_POINT('Ctrl Pts',(-0.3547448732806,-0.0320618033854043, 0.36153906)); #188336=CARTESIAN_POINT('Ctrl Pts',(-0.354837080102802,-0.0306299210000004, 0.36153906)); #188337=CARTESIAN_POINT('Ctrl Pts',(-0.36479965102161,-0.031489900909968, 0.351626325354984)); #188338=CARTESIAN_POINT('Ctrl Pts',(-0.364704855357776,-0.0325880760100992, 0.351626325354984)); #188339=CARTESIAN_POINT('Ctrl Pts',(-0.364605589039052,-0.0336858601019118, 0.351567994258595)); #188340=CARTESIAN_POINT('Ctrl Pts',(-0.364502525382296,-0.0347767684947377, 0.351451794644729)); #188341=CARTESIAN_POINT('Ctrl Pts',(-0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188342=CARTESIAN_POINT('Ctrl Pts',(-0.361535885329076,-0.0666472418769029, 0.338130779256681)); #188343=CARTESIAN_POINT('Ctrl Pts',(-0.357336143307942,-0.0649539904615852, 0.342060409147641)); #188344=CARTESIAN_POINT('Ctrl Pts',(-0.353681150568232,-0.058651578736883, 0.350699406362162)); #188345=CARTESIAN_POINT('Ctrl Pts',(-0.35349437371371,-0.0482410560916837, 0.358293841606489)); #188346=CARTESIAN_POINT('Ctrl Pts',(-0.354230276138259,-0.0394265072880839, 0.360811128821639)); #188347=CARTESIAN_POINT('Ctrl Pts',(-0.35454811028465,-0.0349155887527211, 0.36130510462485)); #188348=CARTESIAN_POINT('Ctrl Pts',(-0.364659194850151,-0.0665224596708485, 0.338442247408691)); #188349=CARTESIAN_POINT('Ctrl Pts',(-0.362574497511442,-0.0659951945110549, 0.339585686302003)); #188350=CARTESIAN_POINT('Ctrl Pts',(-0.358781693979728,-0.0643221930711929, 0.343035436806892)); #188351=CARTESIAN_POINT('Ctrl Pts',(-0.355608519662277,-0.0583274835021544, 0.350898826192563)); #188352=CARTESIAN_POINT('Ctrl Pts',(-0.355815818753098,-0.0483957014170184, 0.358167048246621)); #188353=CARTESIAN_POINT('Ctrl Pts',(-0.356753581486734,-0.0396746674260587, 0.360754290263078)); #188354=CARTESIAN_POINT('Ctrl Pts',(-0.357123089488851,-0.0351559107413603, 0.361278787756913)); #188355=CARTESIAN_POINT('Ctrl Pts',(-0.366266166815854,-0.0641449297596446, 0.341272752082722)); #188356=CARTESIAN_POINT('Ctrl Pts',(-0.364649098988315,-0.0636986918653071, 0.342049447221267)); #188357=CARTESIAN_POINT('Ctrl Pts',(-0.361673689693739,-0.0622226619867973, 0.344505944989897)); #188358=CARTESIAN_POINT('Ctrl Pts',(-0.35946405476445,-0.0568670527853135, 0.350539599769033)); #188359=CARTESIAN_POINT('Ctrl Pts',(-0.360451706079728,-0.0478767072517764, 0.356432202920924)); #188360=CARTESIAN_POINT('Ctrl Pts',(-0.361783036893172,-0.0396991062955203, 0.358658206650554)); #188361=CARTESIAN_POINT('Ctrl Pts',(-0.362251726093693,-0.0354044469929647, 0.359124968382758)); #188362=CARTESIAN_POINT('Ctrl Pts',(-0.367348316762309,-0.0604068344837209, 0.342322685075078)); #188363=CARTESIAN_POINT('Ctrl Pts',(-0.366074570907121,-0.0601234491014265, 0.342870126027423)); #188364=CARTESIAN_POINT('Ctrl Pts',(-0.36375723140435,-0.0590198408553801, 0.344582096186868)); #188365=CARTESIAN_POINT('Ctrl Pts',(-0.362126349310755,-0.0542698036807126, 0.34867253678738)); #188366=CARTESIAN_POINT('Ctrl Pts',(-0.36297923147168,-0.0460312446946834, 0.352451421019645)); #188367=CARTESIAN_POINT('Ctrl Pts',(-0.364046843622603,-0.0387968932417424, 0.353755921398311)); #188368=CARTESIAN_POINT('Ctrl Pts',(-0.364453566897921,-0.0350536828600546, 0.35402276462504)); #188369=CARTESIAN_POINT('Ctrl Pts',(-0.367669592690154,-0.0585004537103034, 0.342315261639617)); #188370=CARTESIAN_POINT('Ctrl Pts',(-0.366512199354204,-0.0582903620154808, 0.342807771263121)); #188371=CARTESIAN_POINT('Ctrl Pts',(-0.364459872681824,-0.0573635449688741, 0.344273523215743)); #188372=CARTESIAN_POINT('Ctrl Pts',(-0.36296044874198,-0.0528954223215697, 0.347495869184001)); #188373=CARTESIAN_POINT('Ctrl Pts',(-0.363422368002321,-0.0449986904143187, 0.3503285935365)); #188374=CARTESIAN_POINT('Ctrl Pts',(-0.364174077049625,-0.0382362334137161, 0.351267541669861)); #188375=CARTESIAN_POINT('Ctrl Pts',(-0.364502525382294,-0.0347767684947375, 0.351451794644873)); #188376=CARTESIAN_POINT('',(-0.367669592690154,-0.0585004537103034,0.342315261639617)); #188377=CARTESIAN_POINT('Ctrl Pts',(-0.364502525382294,-0.0347767684947375, 0.351451794644873)); #188378=CARTESIAN_POINT('Ctrl Pts',(-0.364174077049625,-0.0382362334137161, 0.351267541669861)); #188379=CARTESIAN_POINT('Ctrl Pts',(-0.363422368002321,-0.0449986904143187, 0.3503285935365)); #188380=CARTESIAN_POINT('Ctrl Pts',(-0.36296044874198,-0.0528954223215697, 0.347495869184001)); #188381=CARTESIAN_POINT('Ctrl Pts',(-0.364459872681824,-0.0573635449688741, 0.344273523215743)); #188382=CARTESIAN_POINT('Ctrl Pts',(-0.366512199354204,-0.0582903620154808, 0.342807771263121)); #188383=CARTESIAN_POINT('Ctrl Pts',(-0.367669592690154,-0.0585004537103034, 0.342315261639617)); #188384=CARTESIAN_POINT('',(-0.363854738759299,-0.0671762287576419,0.336810378124249)); #188385=CARTESIAN_POINT('Origin',(-0.363854738759299,-0.0578934666484671, 0.333091463279234)); #188386=CARTESIAN_POINT('Ctrl Pts',(-0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188387=CARTESIAN_POINT('Ctrl Pts',(-0.361535885329076,-0.0666472418769029, 0.338130779256681)); #188388=CARTESIAN_POINT('Ctrl Pts',(-0.357336143307942,-0.0649539904615852, 0.342060409147641)); #188389=CARTESIAN_POINT('Ctrl Pts',(-0.353681150568232,-0.058651578736883, 0.350699406362162)); #188390=CARTESIAN_POINT('Ctrl Pts',(-0.35349437371371,-0.0482410560916837, 0.358293841606489)); #188391=CARTESIAN_POINT('Ctrl Pts',(-0.354230276138259,-0.0394265072880839, 0.360811128821639)); #188392=CARTESIAN_POINT('Ctrl Pts',(-0.35454811028465,-0.0349155887527211, 0.36130510462485)); #188393=CARTESIAN_POINT('Ctrl Pts',(-0.366918962846409,-0.0687499545375874, 0.334706205715231)); #188394=CARTESIAN_POINT('Ctrl Pts',(-0.365911387810954,-0.0681581128495209, 0.334889641347282)); #188395=CARTESIAN_POINT('Ctrl Pts',(-0.364832483004879,-0.0676604113172503, 0.335601812801952)); #188396=CARTESIAN_POINT('Ctrl Pts',(-0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188397=CARTESIAN_POINT('Ctrl Pts',(-0.367265876495961,-0.0680814062942613, 0.33696193761011)); #188398=CARTESIAN_POINT('Ctrl Pts',(-0.366448568353679,-0.0675258140856763, 0.337168658156579)); #188399=CARTESIAN_POINT('Ctrl Pts',(-0.365626646225566,-0.0669943221557686, 0.337733487345095)); #188400=CARTESIAN_POINT('Ctrl Pts',(-0.364774117148845,-0.0664290640870209, 0.338675371592183)); #188401=CARTESIAN_POINT('Ctrl Pts',(-0.368547532369227,-0.0656114868088133, 0.340402213939313)); #188402=CARTESIAN_POINT('Ctrl Pts',(-0.367865748979633,-0.0649700059633628, 0.340600697211961)); #188403=CARTESIAN_POINT('Ctrl Pts',(-0.367209659477929,-0.064414096332906, 0.340904706592152)); #188404=CARTESIAN_POINT('Ctrl Pts',(-0.366378049821716,-0.0638907320789614, 0.341434299923021)); #188405=CARTESIAN_POINT('Ctrl Pts',(-0.370466224382285,-0.0619139148715951, 0.341539060000028)); #188406=CARTESIAN_POINT('Ctrl Pts',(-0.369517506202719,-0.0610054570389122, 0.341610272076677)); #188407=CARTESIAN_POINT('Ctrl Pts',(-0.368476409345724,-0.0604427568225637, 0.341851938141224)); #188408=CARTESIAN_POINT('Ctrl Pts',(-0.36739421332343,-0.0601344943732327, 0.342321624584298)); #188409=CARTESIAN_POINT('Ctrl Pts',(-0.371287737695806,-0.0603307506359859, 0.34153906)); #188410=CARTESIAN_POINT('Ctrl Pts',(-0.370162771732751,-0.0592980909707149, 0.341539060000064)); #188411=CARTESIAN_POINT('Ctrl Pts',(-0.368888835515252,-0.0587217724129163, 0.34179643298725)); #188412=CARTESIAN_POINT('Ctrl Pts',(-0.367669592690154,-0.0585004537103034, 0.342315261639617)); #188413=CARTESIAN_POINT('Ctrl Pts',(-0.367669592690154,-0.0585004537103034, 0.342315261639617)); #188414=CARTESIAN_POINT('Ctrl Pts',(-0.368888835515252,-0.0587217724129163, 0.34179643298725)); #188415=CARTESIAN_POINT('Ctrl Pts',(-0.370162771732751,-0.0592980909707149, 0.341539060000064)); #188416=CARTESIAN_POINT('Ctrl Pts',(-0.371287737695806,-0.0603307506359859, 0.34153906)); #188417=CARTESIAN_POINT('',(-0.366918962846409,-0.0687499545375874,0.334706205715231)); #188418=CARTESIAN_POINT('Origin',(-0.37128773769531,-0.0603307506359053, 0.33153906)); #188419=CARTESIAN_POINT('Ctrl Pts',(-0.366918962846409,-0.0687499545375874, 0.334706205715231)); #188420=CARTESIAN_POINT('Ctrl Pts',(-0.365911387810954,-0.0681581128495209, 0.334889641347282)); #188421=CARTESIAN_POINT('Ctrl Pts',(-0.364832483004879,-0.0676604113172503, 0.335601812801952)); #188422=CARTESIAN_POINT('Ctrl Pts',(-0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188423=CARTESIAN_POINT('Ctrl Pts',(-0.371287737695628,-0.0603307506360702, 0.34153906)); #188424=CARTESIAN_POINT('Ctrl Pts',(-0.374253870935854,-0.0618698947701705, 0.34153906)); #188425=CARTESIAN_POINT('Ctrl Pts',(-0.380042659676328,-0.06756341333791, 0.34153906)); #188426=CARTESIAN_POINT('Ctrl Pts',(-0.381332234270878,-0.0757693547155252, 0.34153906)); #188427=CARTESIAN_POINT('Ctrl Pts',(-0.380413873438692,-0.0801292744072694, 0.34153906)); #188428=CARTESIAN_POINT('Ctrl Pts',(-0.37032930549652,-0.0621777755775896, 0.34153906)); #188429=CARTESIAN_POINT('Ctrl Pts',(-0.372908639580968,-0.0635615218783649, 0.341539702002653)); #188430=CARTESIAN_POINT('Ctrl Pts',(-0.377851636955885,-0.0687162394002353, 0.341538760597775)); #188431=CARTESIAN_POINT('Ctrl Pts',(-0.378739300771001,-0.0758720679048547, 0.341536280427309)); #188432=CARTESIAN_POINT('Ctrl Pts',(-0.377866325430176,-0.0795926662872703, 0.34153906)); #188433=CARTESIAN_POINT('Ctrl Pts',(-0.368415614109888,-0.0658657106498581, 0.340195415859914)); #188434=CARTESIAN_POINT('Ctrl Pts',(-0.370224331235464,-0.0669387121684218, 0.340141630603118)); #188435=CARTESIAN_POINT('Ctrl Pts',(-0.373479705096688,-0.0710109069702058, 0.339873496359638)); #188436=CARTESIAN_POINT('Ctrl Pts',(-0.373570193226709,-0.0760704008635406, 0.339546280611549)); #188437=CARTESIAN_POINT('Ctrl Pts',(-0.372793077556687,-0.0785240520768288, 0.33939534242354)); #188438=CARTESIAN_POINT('Ctrl Pts',(-0.367222512289815,-0.0681649748247248, 0.336679971123207)); #188439=CARTESIAN_POINT('Ctrl Pts',(-0.368574812192477,-0.0689924596256472, 0.33650582086028)); #188440=CARTESIAN_POINT('Ctrl Pts',(-0.37103169774528,-0.0721599874373992, 0.335640125293422)); #188441=CARTESIAN_POINT('Ctrl Pts',(-0.371206822811304,-0.0761243168235264, 0.334661820880322)); #188442=CARTESIAN_POINT('Ctrl Pts',(-0.370651198038049,-0.0780728927903624, 0.33422967569115)); #188443=CARTESIAN_POINT('Ctrl Pts',(-0.366918962846543,-0.0687499545376662, 0.334706205715207)); #188444=CARTESIAN_POINT('Ctrl Pts',(-0.368172321606949,-0.0694861676616221, 0.334478023547016)); #188445=CARTESIAN_POINT('Ctrl Pts',(-0.370567890012521,-0.0722898112155241, 0.333350931319024)); #188446=CARTESIAN_POINT('Ctrl Pts',(-0.371049897096821,-0.076091844533232, 0.332145975316077)); #188447=CARTESIAN_POINT('Ctrl Pts',(-0.370628966769923,-0.0780682100605554, 0.331626325354967)); #188448=CARTESIAN_POINT('Origin',(-0.380413873438692,-0.0801292744072694, 0.33153906)); #188449=CARTESIAN_POINT('Ctrl Pts',(-0.370628966769923,-0.0780682100605554, 0.331626325354967)); #188450=CARTESIAN_POINT('Ctrl Pts',(-0.371049897096821,-0.076091844533232, 0.332145975316077)); #188451=CARTESIAN_POINT('Ctrl Pts',(-0.370567890012521,-0.0722898112155241, 0.333350931319024)); #188452=CARTESIAN_POINT('Ctrl Pts',(-0.368172321606949,-0.0694861676616221, 0.334478023547016)); #188453=CARTESIAN_POINT('Ctrl Pts',(-0.366918962846543,-0.0687499545376662, 0.334706205715207)); #188454=CARTESIAN_POINT('Origin',(0.,0.,0.33153906)); #188455=CARTESIAN_POINT('Origin',(0.380413873530833,-0.0801292739698322, 0.33153906)); #188456=CARTESIAN_POINT('Ctrl Pts',(0.380413873598041,-0.0801292736507599, 0.34153906)); #188457=CARTESIAN_POINT('Ctrl Pts',(0.381102642859498,-0.0768593396662893, 0.34153906)); #188458=CARTESIAN_POINT('Ctrl Pts',(0.380586372991855,-0.0687886991879788, 0.34153906)); #188459=CARTESIAN_POINT('Ctrl Pts',(0.375242574984564,-0.0623829391661455, 0.34153906)); #188460=CARTESIAN_POINT('Ctrl Pts',(0.371287737695309,-0.0603307506359048, 0.34153906)); #188461=CARTESIAN_POINT('Ctrl Pts',(0.377866325581559,-0.0795926656414941, 0.34153906)); #188462=CARTESIAN_POINT('Ctrl Pts',(0.378521055807961,-0.0768022217847627, 0.341536975324163)); #188463=CARTESIAN_POINT('Ctrl Pts',(0.378285668583896,-0.0698132446620099, 0.34153593975695)); #188464=CARTESIAN_POINT('Ctrl Pts',(0.373768411494681,-0.0640227673649529, 0.341539916002015)); #188465=CARTESIAN_POINT('Ctrl Pts',(0.370329305496243,-0.0621777755774409, 0.34153906)); #188466=CARTESIAN_POINT('Ctrl Pts',(0.372793077691661,-0.0785240516514548, 0.339395342449593)); #188467=CARTESIAN_POINT('Ctrl Pts',(0.373375913338226,-0.0766838164790482, 0.339508545891979)); #188468=CARTESIAN_POINT('Ctrl Pts',(0.373692152215549,-0.0718483202461674, 0.339815337822359)); #188469=CARTESIAN_POINT('Ctrl Pts',(0.370827232656343,-0.0672963767975952, 0.340123702311695)); #188470=CARTESIAN_POINT('Ctrl Pts',(0.368415614109693,-0.0658657106497436, 0.340195415859921)); #188471=CARTESIAN_POINT('Ctrl Pts',(0.370651198134126,-0.0780728924513063, 0.334229675766084)); #188472=CARTESIAN_POINT('Ctrl Pts',(0.371067915918539,-0.0766114630499264, 0.33455378409059)); #188473=CARTESIAN_POINT('Ctrl Pts',(0.371210236619864,-0.0728141562207358, 0.335455757621094)); #188474=CARTESIAN_POINT('Ctrl Pts',(0.369025575621009,-0.0692682859309657, 0.336447771185491)); #188475=CARTESIAN_POINT('Ctrl Pts',(0.36722251228967,-0.0681649748246359, 0.336679971123226)); #188476=CARTESIAN_POINT('Ctrl Pts',(0.37062896684296,-0.0780682097176272, 0.331626325445134)); #188477=CARTESIAN_POINT('Ctrl Pts',(0.370944663968568,-0.0765859381910762, 0.332016062234829)); #188478=CARTESIAN_POINT('Ctrl Pts',(0.370785043629025,-0.0728809700204051, 0.333116733092674)); #188479=CARTESIAN_POINT('Ctrl Pts',(0.368590104889271,-0.0697315702909896, 0.334401963365229)); #188480=CARTESIAN_POINT('Ctrl Pts',(0.366918962846408,-0.0687499545375871, 0.334706205715231)); #188481=CARTESIAN_POINT('',(0.366918962846408,-0.0687499545375871,0.334706205715231)); #188482=CARTESIAN_POINT('Origin',(0.371287737695309,-0.0603307506359048, 0.33153906)); #188483=CARTESIAN_POINT('Ctrl Pts',(0.366918962846408,-0.0687499545375871, 0.334706205715231)); #188484=CARTESIAN_POINT('Ctrl Pts',(0.368590104889271,-0.0697315702909896, 0.334401963365229)); #188485=CARTESIAN_POINT('Ctrl Pts',(0.370785043567262,-0.0728809699317845, 0.333116733128839)); #188486=CARTESIAN_POINT('Ctrl Pts',(0.370944663959585,-0.0765859379825688, 0.332016062296772)); #188487=CARTESIAN_POINT('Ctrl Pts',(0.370628966905144,-0.0780682094256608, 0.331626325521901)); #188488=CARTESIAN_POINT('Ctrl Pts',(0.371287737695806,-0.0603307506359855, 0.34153906)); #188489=CARTESIAN_POINT('Ctrl Pts',(0.370162771765102,-0.0592980910004123, 0.341539060000064)); #188490=CARTESIAN_POINT('Ctrl Pts',(0.368888835480188,-0.0587217724065515, 0.341796433002171)); #188491=CARTESIAN_POINT('Ctrl Pts',(0.367669592690154,-0.0585004537103034, 0.342315261639617)); #188492=CARTESIAN_POINT('Ctrl Pts',(0.370192386611111,-0.0624416362834644, 0.341539060000037)); #188493=CARTESIAN_POINT('Ctrl Pts',(0.369304064452144,-0.0615755715473691, 0.341634824572557)); #188494=CARTESIAN_POINT('Ctrl Pts',(0.368338153067369,-0.0610177273314926, 0.341872578173269)); #188495=CARTESIAN_POINT('Ctrl Pts',(0.367302420201188,-0.0606791745942091, 0.342323745565858)); #188496=CARTESIAN_POINT('Ctrl Pts',(0.368283488249079,-0.0661203345666351, 0.339989243475435)); #188497=CARTESIAN_POINT('Ctrl Pts',(0.367606868167379,-0.065509313247895, 0.340195792163161)); #188498=CARTESIAN_POINT('Ctrl Pts',(0.36696600224536,-0.0649514048484237, 0.340533062892997)); #188499=CARTESIAN_POINT('Ctrl Pts',(0.366154385587334,-0.064399391291493, 0.341111549544099)); #188500=CARTESIAN_POINT('Ctrl Pts',(0.367179148083572,-0.0682485433550926, 0.336398004636391)); #188501=CARTESIAN_POINT('Ctrl Pts',(0.366313048669529,-0.0676830847875546, 0.336598308989816)); #188502=CARTESIAN_POINT('Ctrl Pts',(0.365428746548446,-0.0671597489385672, 0.337199060051138)); #188503=CARTESIAN_POINT('Ctrl Pts',(0.364544272551458,-0.0666158552546761, 0.338209123225199)); #188504=CARTESIAN_POINT('Ctrl Pts',(0.366918962846408,-0.0687499545375871, 0.334706205715231)); #188505=CARTESIAN_POINT('Ctrl Pts',(0.365911387839929,-0.0681581128665412, 0.334889641342007)); #188506=CARTESIAN_POINT('Ctrl Pts',(0.364832482976761,-0.0676604113033258, 0.335601812836709)); #188507=CARTESIAN_POINT('Ctrl Pts',(0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188508=CARTESIAN_POINT('',(0.367669592690154,-0.0585004537103034,0.342315261639617)); #188509=CARTESIAN_POINT('',(0.363854738759299,-0.0671762287576419,0.336810378124249)); #188510=CARTESIAN_POINT('Origin',(0.363854738759298,-0.057893466648467, 0.333091463279234)); #188511=CARTESIAN_POINT('Ctrl Pts',(0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188512=CARTESIAN_POINT('Ctrl Pts',(0.364832482976761,-0.0676604113033258, 0.335601812836709)); #188513=CARTESIAN_POINT('Ctrl Pts',(0.365911387839929,-0.0681581128665412, 0.334889641342007)); #188514=CARTESIAN_POINT('Ctrl Pts',(0.366918962846408,-0.0687499545375871, 0.334706205715231)); #188515=CARTESIAN_POINT('Ctrl Pts',(0.371287737695806,-0.0603307506359855, 0.34153906)); #188516=CARTESIAN_POINT('Ctrl Pts',(0.370162771765102,-0.0592980910004123, 0.341539060000064)); #188517=CARTESIAN_POINT('Ctrl Pts',(0.368888835480188,-0.0587217724065515, 0.341796433002171)); #188518=CARTESIAN_POINT('Ctrl Pts',(0.367669592690154,-0.0585004537103034, 0.342315261639617)); #188519=CARTESIAN_POINT('Ctrl Pts',(0.367669592690154,-0.0585004537103034, 0.342315261639617)); #188520=CARTESIAN_POINT('Ctrl Pts',(0.366512215167039,-0.0582903648858493, 0.34280776453423)); #188521=CARTESIAN_POINT('Ctrl Pts',(0.364459747602333,-0.057363187901374, 0.344273732309142)); #188522=CARTESIAN_POINT('Ctrl Pts',(0.362960464920068,-0.0528951209709247, 0.34749597266636)); #188523=CARTESIAN_POINT('Ctrl Pts',(0.363422430619579,-0.0449982390798359, 0.350328628967442)); #188524=CARTESIAN_POINT('Ctrl Pts',(0.364174081537043,-0.0382361861488589, 0.351267544187217)); #188525=CARTESIAN_POINT('Ctrl Pts',(0.364502525382301,-0.0347767684946647, 0.351451794644877)); #188526=CARTESIAN_POINT('Ctrl Pts',(0.367348316762309,-0.0604068344837209, 0.342322685075078)); #188527=CARTESIAN_POINT('Ctrl Pts',(0.366074588309617,-0.0601234529731667, 0.342870118548035)); #188528=CARTESIAN_POINT('Ctrl Pts',(0.363757097994575,-0.0590194569064594, 0.344582358689349)); #188529=CARTESIAN_POINT('Ctrl Pts',(0.362126376756384,-0.0542694866636684, 0.348672674578818)); #188530=CARTESIAN_POINT('Ctrl Pts',(0.362979317211235,-0.046030761836156, 0.352451473481994)); #188531=CARTESIAN_POINT('Ctrl Pts',(0.364046849179452,-0.0387968421002201, 0.353755925044055)); #188532=CARTESIAN_POINT('Ctrl Pts',(0.364453566897929,-0.0350536828599758, 0.354022764625045)); #188533=CARTESIAN_POINT('Ctrl Pts',(0.366266166815854,-0.0641449297596446, 0.341272752082722)); #188534=CARTESIAN_POINT('Ctrl Pts',(0.364649121081432,-0.0636986979620124, 0.342049436609704)); #188535=CARTESIAN_POINT('Ctrl Pts',(0.361673511960349,-0.0622222214700117, 0.344506335256996)); #188536=CARTESIAN_POINT('Ctrl Pts',(0.359464084766946,-0.0568667028454702, 0.3505398127215)); #188537=CARTESIAN_POINT('Ctrl Pts',(0.36045181295219,-0.0478761615254618, 0.35643230021223)); #188538=CARTESIAN_POINT('Ctrl Pts',(0.36178304329663,-0.0396990476198409, 0.358658213027684)); #188539=CARTESIAN_POINT('Ctrl Pts',(0.362251726093703,-0.0354044469928743, 0.359124968382768)); #188540=CARTESIAN_POINT('Ctrl Pts',(0.364659194850151,-0.0665224596708485, 0.338442247408691)); #188541=CARTESIAN_POINT('Ctrl Pts',(0.362574525993526,-0.0659952017147916, 0.33958567067982)); #188542=CARTESIAN_POINT('Ctrl Pts',(0.358781436890667,-0.064321706409032, 0.343035948559129)); #188543=CARTESIAN_POINT('Ctrl Pts',(0.355608524328066,-0.0583271006382476, 0.350899089961047)); #188544=CARTESIAN_POINT('Ctrl Pts',(0.355815905343828,-0.0483951213295332, 0.358167154809454)); #188545=CARTESIAN_POINT('Ctrl Pts',(0.35675358653513,-0.0396746056886536, 0.360754297429024)); #188546=CARTESIAN_POINT('Ctrl Pts',(0.357123089488859,-0.0351559107412653, 0.361278787756924)); #188547=CARTESIAN_POINT('Ctrl Pts',(0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188548=CARTESIAN_POINT('Ctrl Pts',(0.361535917010308,-0.0666472491041626, 0.338130761216759)); #188549=CARTESIAN_POINT('Ctrl Pts',(0.357335846332465,-0.0649534868809968, 0.342060969719755)); #188550=CARTESIAN_POINT('Ctrl Pts',(0.353681142338134,-0.0586511810883235, 0.35069968379476)); #188551=CARTESIAN_POINT('Ctrl Pts',(0.353494449666363,-0.0482404713034407, 0.358293936595609)); #188552=CARTESIAN_POINT('Ctrl Pts',(0.354230280480661,-0.0394264456577668, 0.360811135570582)); #188553=CARTESIAN_POINT('Ctrl Pts',(0.354548110284657,-0.0349155887526262, 0.36130510462486)); #188554=CARTESIAN_POINT('',(0.364502525382301,-0.0347767684946647,0.351451794644946)); #188555=CARTESIAN_POINT('',(0.354548110284657,-0.0349155887526262,0.36130510462486)); #188556=CARTESIAN_POINT('Origin',(0.354548110284657,-0.0338270291506437, 0.351364529289753)); #188557=CARTESIAN_POINT('Ctrl Pts',(0.354548110284657,-0.0349155887526262, 0.36130510462486)); #188558=CARTESIAN_POINT('Ctrl Pts',(0.354230280480661,-0.0394264456577668, 0.360811135570582)); #188559=CARTESIAN_POINT('Ctrl Pts',(0.353494449666363,-0.0482404713034407, 0.358293936595609)); #188560=CARTESIAN_POINT('Ctrl Pts',(0.353681142338134,-0.0586511810883235, 0.35069968379476)); #188561=CARTESIAN_POINT('Ctrl Pts',(0.357335846332465,-0.0649534868809968, 0.342060969719755)); #188562=CARTESIAN_POINT('Ctrl Pts',(0.361535917010308,-0.0666472491041626, 0.338130761216759)); #188563=CARTESIAN_POINT('Ctrl Pts',(0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188564=CARTESIAN_POINT('Ctrl Pts',(0.367669592690154,-0.0585004537103034, 0.342315261639617)); #188565=CARTESIAN_POINT('Ctrl Pts',(0.366512215167039,-0.0582903648858493, 0.34280776453423)); #188566=CARTESIAN_POINT('Ctrl Pts',(0.364459747602333,-0.057363187901374, 0.344273732309142)); #188567=CARTESIAN_POINT('Ctrl Pts',(0.362960464920068,-0.0528951209709247, 0.34749597266636)); #188568=CARTESIAN_POINT('Ctrl Pts',(0.363422430619579,-0.0449982390798359, 0.350328628967442)); #188569=CARTESIAN_POINT('Ctrl Pts',(0.364174081537043,-0.0382361861488589, 0.351267544187217)); #188570=CARTESIAN_POINT('Ctrl Pts',(0.364502525382301,-0.0347767684946647, 0.351451794644877)); #188571=CARTESIAN_POINT('Ctrl Pts',(0.364502525382303,-0.0347767684946536, 0.351451794644738)); #188572=CARTESIAN_POINT('Ctrl Pts',(0.364605589039057,-0.0336858601018554, 0.351567994258598)); #188573=CARTESIAN_POINT('Ctrl Pts',(0.364704855357779,-0.0325880760100711, 0.351626325354984)); #188574=CARTESIAN_POINT('Ctrl Pts',(0.36479965102161,-0.031489900909968, 0.351626325354984)); #188575=CARTESIAN_POINT('Ctrl Pts',(0.364453566897967,-0.0350536828599696, 0.35402276462493)); #188576=CARTESIAN_POINT('Ctrl Pts',(0.364566297946969,-0.0338708435791783, 0.354155335967515)); #188577=CARTESIAN_POINT('Ctrl Pts',(0.364673973823423,-0.0326802446247644, 0.354224416166799)); #188578=CARTESIAN_POINT('Ctrl Pts',(0.364777016101027,-0.0314879470391124, 0.354229675691164)); #188579=CARTESIAN_POINT('Ctrl Pts',(0.362251800465501,-0.0354044622214753, 0.359125043303037)); #188580=CARTESIAN_POINT('Ctrl Pts',(0.362371845410542,-0.0340446047363175, 0.359289586219469)); #188581=CARTESIAN_POINT('Ctrl Pts',(0.362487189753496,-0.0326729097995876, 0.359380320989833)); #188582=CARTESIAN_POINT('Ctrl Pts',(0.362596472412434,-0.0312997201466486, 0.359395571121332)); #188583=CARTESIAN_POINT('Ctrl Pts',(0.357123089488883,-0.0351559107412566, 0.361278787756925)); #188584=CARTESIAN_POINT('Ctrl Pts',(0.357230092492632,-0.0337284782857404, 0.36144415033663)); #188585=CARTESIAN_POINT('Ctrl Pts',(0.357332834080147,-0.0322929928585301, 0.361530736529051)); #188586=CARTESIAN_POINT('Ctrl Pts',(0.35743088386231,-0.030853820949296, 0.36153906)); #188587=CARTESIAN_POINT('Ctrl Pts',(0.354548110284658,-0.0349155887526116, 0.361305104624862)); #188588=CARTESIAN_POINT('Ctrl Pts',(0.354648331746702,-0.0334931775488315, 0.361460868181964)); #188589=CARTESIAN_POINT('Ctrl Pts',(0.354744873280603,-0.0320618033853675, 0.36153906)); #188590=CARTESIAN_POINT('Ctrl Pts',(0.354837080102802,-0.0306299210000004, 0.36153906)); #188591=CARTESIAN_POINT('',(0.36479965102161,-0.031489900909968,0.351626325354984)); #188592=CARTESIAN_POINT('',(0.354837080102802,-0.0306299210000004,0.36153906)); #188593=CARTESIAN_POINT('Origin',(0.354837080102802,-0.0306299210000004, 0.35153906)); #188594=CARTESIAN_POINT('Ctrl Pts',(0.354837080102802,-0.0306299210000004, 0.36153906)); #188595=CARTESIAN_POINT('Ctrl Pts',(0.354744873280603,-0.0320618033853675, 0.36153906)); #188596=CARTESIAN_POINT('Ctrl Pts',(0.354648331746702,-0.0334931775488315, 0.361460868181964)); #188597=CARTESIAN_POINT('Ctrl Pts',(0.354548110284658,-0.0349155887526116, 0.361305104624862)); #188598=CARTESIAN_POINT('Ctrl Pts',(0.364502525382303,-0.0347767684946536, 0.351451794644738)); #188599=CARTESIAN_POINT('Ctrl Pts',(0.364605589039057,-0.0336858601018554, 0.351567994258598)); #188600=CARTESIAN_POINT('Ctrl Pts',(0.364704855357779,-0.0325880760100711, 0.351626325354984)); #188601=CARTESIAN_POINT('Ctrl Pts',(0.36479965102161,-0.031489900909968, 0.351626325354984)); #188602=CARTESIAN_POINT('Origin',(0.,0.,0.35153906)); #188603=CARTESIAN_POINT('Origin',(0.,0.,0.36153906)); #188604=CARTESIAN_POINT('Origin',(2.18547839493141E-17,-4.36990618960104E-17, 0.351626325354984)); #188605=CARTESIAN_POINT('Origin',(-0.297277713691425,-0.0306299210000032, 0.322168981)); #188606=CARTESIAN_POINT('Ctrl Pts',(-0.362998019323966,-0.07,0.322168981)); #188607=CARTESIAN_POINT('Ctrl Pts',(-0.363051211978026,-0.07,0.327176063902109)); #188608=CARTESIAN_POINT('Ctrl Pts',(-0.363355502710719,-0.0690318525633982, 0.332178566138543)); #188609=CARTESIAN_POINT('Ctrl Pts',(-0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188610=CARTESIAN_POINT('',(-0.297277713691425,-0.0306299210000032,0.36153906)); #188611=CARTESIAN_POINT('Ctrl Pts',(-0.37033243710232,-0.0790658966373452, 0.322103531983762)); #188612=CARTESIAN_POINT('Ctrl Pts',(-0.370385676610714,-0.0790656771788829, 0.328064464172429)); #188613=CARTESIAN_POINT('Ctrl Pts',(-0.370695088340266,-0.077889591231708, 0.334019943078611)); #188614=CARTESIAN_POINT('Ctrl Pts',(-0.371203455046731,-0.0756356597997442, 0.339534115241772)); #188615=CARTESIAN_POINT('Ctrl Pts',(-0.370797262838635,-0.0768885513780687, 0.322099384089807)); #188616=CARTESIAN_POINT('Ctrl Pts',(-0.370847468144046,-0.0768897509403883, 0.327769884901627)); #188617=CARTESIAN_POINT('Ctrl Pts',(-0.371133231733056,-0.0757806840864597, 0.333439632932222)); #188618=CARTESIAN_POINT('Ctrl Pts',(-0.37160124729353,-0.0736469831889271, 0.338701385025278)); #188619=CARTESIAN_POINT('Ctrl Pts',(-0.369647367544072,-0.0721201701587212, 0.322109645233647)); #188620=CARTESIAN_POINT('Ctrl Pts',(-0.36969473549308,-0.0721247185756684, 0.327207862881758)); #188621=CARTESIAN_POINT('Ctrl Pts',(-0.369952672016544,-0.0711619962860278, 0.332301529544762)); #188622=CARTESIAN_POINT('Ctrl Pts',(-0.370381616911186,-0.0692847301705102, 0.337064175073915)); #188623=CARTESIAN_POINT('Ctrl Pts',(-0.365224343168859,-0.0699999999999999, 0.32214911429621)); #188624=CARTESIAN_POINT('Ctrl Pts',(-0.365275513846175,-0.0700026043517953, 0.327092230996108)); #188625=CARTESIAN_POINT('Ctrl Pts',(-0.365564620899148,-0.069059950022905, 0.332043179619659)); #188626=CARTESIAN_POINT('Ctrl Pts',(-0.366039412962287,-0.0672445106818292, 0.336639940003183)); #188627=CARTESIAN_POINT('Ctrl Pts',(-0.362998019323966,-0.07,0.322168981)); #188628=CARTESIAN_POINT('Ctrl Pts',(-0.363051211978026,-0.07,0.327176063902109)); #188629=CARTESIAN_POINT('Ctrl Pts',(-0.363355502710719,-0.0690318525633982, 0.332178566138543)); #188630=CARTESIAN_POINT('Ctrl Pts',(-0.363854738759299,-0.0671762287576419, 0.336810378124249)); #188631=CARTESIAN_POINT('Origin',(-0.362998019323967,-0.0775,0.322168981)); #188632=CARTESIAN_POINT('Ctrl Pts',(0.362998019323966,-0.07,0.322168981)); #188633=CARTESIAN_POINT('Ctrl Pts',(0.36305121161942,-0.07,0.327176030146216)); #188634=CARTESIAN_POINT('Ctrl Pts',(0.363355505968745,-0.0690318404535528, 0.332178596365859)); #188635=CARTESIAN_POINT('Ctrl Pts',(0.363854738759299,-0.0671762287576418, 0.336810378124249)); #188636=CARTESIAN_POINT('Ctrl Pts',(0.365224343168859,-0.0699999999999998, 0.32214911429621)); #188637=CARTESIAN_POINT('Ctrl Pts',(0.365275513501201,-0.0700026043342377, 0.327092197671451)); #188638=CARTESIAN_POINT('Ctrl Pts',(0.365564623997652,-0.0690599381753044, 0.332043209618227)); #188639=CARTESIAN_POINT('Ctrl Pts',(0.366039412962287,-0.0672445106818291, 0.336639940003183)); #188640=CARTESIAN_POINT('Ctrl Pts',(0.369647367544072,-0.0721201701587212, 0.322109645233647)); #188641=CARTESIAN_POINT('Ctrl Pts',(0.369694735173743,-0.0721247185450046, 0.327207828511467)); #188642=CARTESIAN_POINT('Ctrl Pts',(0.369952674815849,-0.0711619840349441, 0.332301560625901)); #188643=CARTESIAN_POINT('Ctrl Pts',(0.370381616911186,-0.0692847301705101, 0.337064175073915)); #188644=CARTESIAN_POINT('Ctrl Pts',(0.370797262838635,-0.0768885513780687, 0.322099384089807)); #188645=CARTESIAN_POINT('Ctrl Pts',(0.37084746780558,-0.0768897509323012, 0.327769846673215)); #188646=CARTESIAN_POINT('Ctrl Pts',(0.371133234787337,-0.0757806701618763, 0.333439667270543)); #188647=CARTESIAN_POINT('Ctrl Pts',(0.37160124729353,-0.073646983188927, 0.338701385025279)); #188648=CARTESIAN_POINT('Ctrl Pts',(0.37033243710232,-0.0790658966373452, 0.322103531983762)); #188649=CARTESIAN_POINT('Ctrl Pts',(0.370385676251793,-0.0790656771803624, 0.328064423986037)); #188650=CARTESIAN_POINT('Ctrl Pts',(0.370695091657879,-0.0778895765224971, 0.334019979064232)); #188651=CARTESIAN_POINT('Ctrl Pts',(0.371203455046731,-0.0756356597997441, 0.339534115241772)); #188652=CARTESIAN_POINT('Ctrl Pts',(0.363854738759299,-0.0671762287576418, 0.336810378124249)); #188653=CARTESIAN_POINT('Ctrl Pts',(0.363355505968745,-0.0690318404535528, 0.332178596365859)); #188654=CARTESIAN_POINT('Ctrl Pts',(0.36305121161942,-0.07,0.327176030146216)); #188655=CARTESIAN_POINT('Ctrl Pts',(0.362998019323966,-0.07,0.322168981)); #188656=CARTESIAN_POINT('Origin',(0.345423244584262,-0.0306299210000008, 0.322168981)); #188657=CARTESIAN_POINT('',(0.345423244584262,-0.0306299210000008,0.36153906)); #188658=CARTESIAN_POINT('Origin',(0.319897792487607,-2.66677711271572E-15, 0.36153906)); #188659=CARTESIAN_POINT('',(0.297277713691427,0.0306299209999967,0.36153906)); #188660=CARTESIAN_POINT('Origin',(0.,0.,0.36153906)); #188661=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #188662=CARTESIAN_POINT('Origin',(-2.18547839493141E-17,-2.18496218358738E-17, 0.351451794645016)); #188663=CARTESIAN_POINT('Origin',(0.,0.,0.35153906)); #188664=CARTESIAN_POINT('Origin',(0.297277713691427,0.0306299209999967, 0.322168981)); #188665=CARTESIAN_POINT('Ctrl Pts',(0.362998019323967,0.0699999999999996, 0.322168981)); #188666=CARTESIAN_POINT('Ctrl Pts',(0.363051211978016,0.0699999999999996, 0.327176063901166)); #188667=CARTESIAN_POINT('Ctrl Pts',(0.363355502710822,0.0690318525630183, 0.33217856613949)); #188668=CARTESIAN_POINT('Ctrl Pts',(0.3638547387593,0.067176228757642,0.336810378124248)); #188669=CARTESIAN_POINT('Origin',(0.,0.,0.35153906)); #188670=CARTESIAN_POINT('Origin',(0.,0.,0.36153906)); #188671=CARTESIAN_POINT('Origin',(0.,0.,0.35153906)); #188672=CARTESIAN_POINT('Origin',(0.,0.,0.35153906)); #188673=CARTESIAN_POINT('Origin',(0.,-5.46240545896846E-18,0.351451794645016)); #188674=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #188675=CARTESIAN_POINT('Ctrl Pts',(-0.362998019323967,0.069999999999999, 0.322168981)); #188676=CARTESIAN_POINT('Ctrl Pts',(-0.363051211619429,0.069999999999999, 0.327176030146984)); #188677=CARTESIAN_POINT('Ctrl Pts',(-0.363355505968693,0.0690318404537484, 0.332178596365368)); #188678=CARTESIAN_POINT('Ctrl Pts',(-0.3638547387593,0.0671762287576413, 0.336810378124248)); #188679=CARTESIAN_POINT('Ctrl Pts',(-0.36522434316886,0.069999999999999, 0.32214911429621)); #188680=CARTESIAN_POINT('Ctrl Pts',(-0.36527551350121,0.0700026043342373, 0.32709219767221)); #188681=CARTESIAN_POINT('Ctrl Pts',(-0.365564623997602,0.0690599381754959, 0.33204320961774)); #188682=CARTESIAN_POINT('Ctrl Pts',(-0.366039412962288,0.0672445106818287, 0.336639940003182)); #188683=CARTESIAN_POINT('Ctrl Pts',(-0.369647367544072,0.0721201701587205, 0.322109645233647)); #188684=CARTESIAN_POINT('Ctrl Pts',(-0.36969473517375,0.0721247185450047, 0.32720782851225)); #188685=CARTESIAN_POINT('Ctrl Pts',(-0.369952674815804,0.0711619840351423, 0.332301560625396)); #188686=CARTESIAN_POINT('Ctrl Pts',(-0.370381616911187,0.0692847301705099, 0.337064175073914)); #188687=CARTESIAN_POINT('Ctrl Pts',(-0.370797262838635,0.0768885513780678, 0.322099384089807)); #188688=CARTESIAN_POINT('Ctrl Pts',(-0.370847467805588,0.0768897509323005, 0.327769846674085)); #188689=CARTESIAN_POINT('Ctrl Pts',(-0.371133234787288,0.0757806701621015, 0.333439667269985)); #188690=CARTESIAN_POINT('Ctrl Pts',(-0.37160124729353,0.0736469831889266, 0.338701385025278)); #188691=CARTESIAN_POINT('Ctrl Pts',(-0.37033243710232,0.0790658966373442, 0.322103531983762)); #188692=CARTESIAN_POINT('Ctrl Pts',(-0.370385676251802,0.0790656771803613, 0.328064423986951)); #188693=CARTESIAN_POINT('Ctrl Pts',(-0.370695091657826,0.0778895765227349, 0.334019979063647)); #188694=CARTESIAN_POINT('Ctrl Pts',(-0.371203455046731,0.0756356597997436, 0.339534115241771)); #188695=CARTESIAN_POINT('Ctrl Pts',(-0.3638547387593,0.0671762287576413, 0.336810378124248)); #188696=CARTESIAN_POINT('Ctrl Pts',(-0.363355505968693,0.0690318404537484, 0.332178596365368)); #188697=CARTESIAN_POINT('Ctrl Pts',(-0.363051211619429,0.069999999999999, 0.327176030146984)); #188698=CARTESIAN_POINT('Ctrl Pts',(-0.362998019323967,0.069999999999999, 0.322168981)); #188699=CARTESIAN_POINT('Ctrl Pts',(-0.369210305050043,-0.0790705355470196, 0.196473610983762)); #188700=CARTESIAN_POINT('Ctrl Pts',(-0.369584375870087,-0.0790689846629382, 0.238350251091219)); #188701=CARTESIAN_POINT('Ctrl Pts',(-0.369958419803353,-0.0790674383732439, 0.28022689142526)); #188702=CARTESIAN_POINT('Ctrl Pts',(-0.37033243710232,-0.0790658966373452, 0.322103531983762)); #188703=CARTESIAN_POINT('Ctrl Pts',(-0.369676673364749,-0.0768927116391571, 0.19646944876162)); #188704=CARTESIAN_POINT('Ctrl Pts',(-0.370050214667372,-0.0768913166402109, 0.238346093794399)); #188705=CARTESIAN_POINT('Ctrl Pts',(-0.370423771585941,-0.0768899377974973, 0.280222738640074)); #188706=CARTESIAN_POINT('Ctrl Pts',(-0.370797262838635,-0.0768885513780687, 0.322099384089807)); #188707=CARTESIAN_POINT('Ctrl Pts',(-0.368528611928157,-0.072121720657954, 0.196479694926682)); #188708=CARTESIAN_POINT('Ctrl Pts',(-0.368901584971202,-0.0721211792765364, 0.238356344549761)); #188709=CARTESIAN_POINT('Ctrl Pts',(-0.369274510513062,-0.0721206513603899, 0.280232994601919)); #188710=CARTESIAN_POINT('Ctrl Pts',(-0.369647437593117,-0.0721201134837018, 0.322109644608561)); #188711=CARTESIAN_POINT('Ctrl Pts',(-0.364103993629729,-0.0699999999999999, 0.196519183553315)); #188712=CARTESIAN_POINT('Ctrl Pts',(-0.364477463449242,-0.0700000126601589, 0.238395826963392)); #188713=CARTESIAN_POINT('Ctrl Pts',(-0.364850922262277,-0.0699999874297996, 0.280272470458614)); #188714=CARTESIAN_POINT('Ctrl Pts',(-0.365224343168859,-0.0699999999999998, 0.32214911429621)); #188715=CARTESIAN_POINT('Ctrl Pts',(-0.361876879212017,-0.07,0.19653906)); #188716=CARTESIAN_POINT('Ctrl Pts',(-0.362250617892808,-0.07,0.238415700107457)); #188717=CARTESIAN_POINT('Ctrl Pts',(-0.362624331185286,-0.07,0.280292340441498)); #188718=CARTESIAN_POINT('Ctrl Pts',(-0.362998019323967,-0.0699999999999999, 0.322168981)); #188719=CARTESIAN_POINT('Ctrl Pts',(0.369210305050043,0.0790705355470192, 0.196473610983762)); #188720=CARTESIAN_POINT('Ctrl Pts',(0.369584375870087,0.0790689846629379, 0.238350251091219)); #188721=CARTESIAN_POINT('Ctrl Pts',(0.369958419803353,0.0790674383732436, 0.28022689142526)); #188722=CARTESIAN_POINT('Ctrl Pts',(0.37033243710232,0.0790658966373449, 0.322103531983762)); #188723=CARTESIAN_POINT('Ctrl Pts',(0.36967667336475,0.0768927116391568, 0.19646944876162)); #188724=CARTESIAN_POINT('Ctrl Pts',(0.370050214667372,0.0768913166402107, 0.238346093794399)); #188725=CARTESIAN_POINT('Ctrl Pts',(0.370423771585941,0.0768899377974971, 0.280222738640074)); #188726=CARTESIAN_POINT('Ctrl Pts',(0.370797262838635,0.0768885513780685, 0.322099384089807)); #188727=CARTESIAN_POINT('Ctrl Pts',(0.368528611928158,0.072121720657954, 0.196479694926682)); #188728=CARTESIAN_POINT('Ctrl Pts',(0.368901584971202,0.0721211792765365, 0.23835634454976)); #188729=CARTESIAN_POINT('Ctrl Pts',(0.369274510513062,0.0721206513603899, 0.280232994601919)); #188730=CARTESIAN_POINT('Ctrl Pts',(0.369647437593117,0.0721201134837018, 0.322109644608561)); #188731=CARTESIAN_POINT('Ctrl Pts',(0.36410399362973,0.0699999999999997, 0.196519183553315)); #188732=CARTESIAN_POINT('Ctrl Pts',(0.364477463449242,0.0700000126601588, 0.238395826963392)); #188733=CARTESIAN_POINT('Ctrl Pts',(0.364850922262277,0.0699999874297994, 0.280272470458614)); #188734=CARTESIAN_POINT('Ctrl Pts',(0.36522434316886,0.0699999999999997, 0.32214911429621)); #188735=CARTESIAN_POINT('Ctrl Pts',(0.361876879212018,0.0699999999999996, 0.19653906)); #188736=CARTESIAN_POINT('Ctrl Pts',(0.362250617892809,0.0699999999999997, 0.238415700107457)); #188737=CARTESIAN_POINT('Ctrl Pts',(0.362624331185287,0.0699999999999996, 0.280292340441498)); #188738=CARTESIAN_POINT('Ctrl Pts',(0.362998019323967,0.0699999999999996, 0.322168981)); #188739=CARTESIAN_POINT('Origin',(0.362998019323967,0.0774999999999997, 0.322168981)); #188740=CARTESIAN_POINT('Ctrl Pts',(0.37033243710232,0.0790658966373449, 0.322103531983762)); #188741=CARTESIAN_POINT('Ctrl Pts',(0.370385676610704,0.0790656771788825, 0.328064464171307)); #188742=CARTESIAN_POINT('Ctrl Pts',(0.37069508834037,0.0778895912312461, 0.33401994307974)); #188743=CARTESIAN_POINT('Ctrl Pts',(0.371203455046731,0.0756356597997443, 0.339534115241771)); #188744=CARTESIAN_POINT('Ctrl Pts',(0.370797262838635,0.0768885513780685, 0.322099384089807)); #188745=CARTESIAN_POINT('Ctrl Pts',(0.370847468144037,0.0768897509403878, 0.327769884900559)); #188746=CARTESIAN_POINT('Ctrl Pts',(0.371133231733152,0.0757806840860227, 0.333439632933299)); #188747=CARTESIAN_POINT('Ctrl Pts',(0.37160124729353,0.0736469831889273, 0.338701385025278)); #188748=CARTESIAN_POINT('Ctrl Pts',(0.369647367544072,0.0721201701587213, 0.322109645233647)); #188749=CARTESIAN_POINT('Ctrl Pts',(0.369694735493071,0.0721247185756676, 0.327207862880798)); #188750=CARTESIAN_POINT('Ctrl Pts',(0.369952672016632,0.0711619962856438, 0.332301529545736)); #188751=CARTESIAN_POINT('Ctrl Pts',(0.370381616911187,0.0692847301705106, 0.337064175073914)); #188752=CARTESIAN_POINT('Ctrl Pts',(0.36522434316886,0.0699999999999998, 0.32214911429621)); #188753=CARTESIAN_POINT('Ctrl Pts',(0.365275513846166,0.0700026043517947, 0.327092230995178)); #188754=CARTESIAN_POINT('Ctrl Pts',(0.365564620899245,0.0690599500225336, 0.332043179620599)); #188755=CARTESIAN_POINT('Ctrl Pts',(0.366039412962288,0.0672445106818294, 0.336639940003182)); #188756=CARTESIAN_POINT('Ctrl Pts',(0.362998019323967,0.0699999999999996, 0.322168981)); #188757=CARTESIAN_POINT('Ctrl Pts',(0.363051211978016,0.0699999999999996, 0.327176063901166)); #188758=CARTESIAN_POINT('Ctrl Pts',(0.363355502710822,0.0690318525630183, 0.33217856613949)); #188759=CARTESIAN_POINT('Ctrl Pts',(0.3638547387593,0.067176228757642,0.336810378124248)); #188760=CARTESIAN_POINT('Origin',(0.,0.,0.08653906)); #188761=CARTESIAN_POINT('',(-0.37222154344807,-4.55839921757366E-17,0.09653906)); #188762=CARTESIAN_POINT('Origin',(0.,0.,0.09653906)); #188763=CARTESIAN_POINT('',(-0.382221162678711,-4.68085923440864E-17,0.0866263253549837)); #188764=CARTESIAN_POINT('Origin',(-0.37222154344807,-4.55839921757366E-17, 0.08653906)); #188765=CARTESIAN_POINT('',(0.382221162678711,0.,0.0866263253549837)); #188766=CARTESIAN_POINT('Origin',(0.,2.34042961720432E-17,0.0866263253549837)); #188767=CARTESIAN_POINT('Origin',(0.,2.34042961720432E-17,0.0866263253549837)); #188768=CARTESIAN_POINT('Origin',(-1.8075231392535E-16,0.217166652053542, 0.09653906)); #188769=CARTESIAN_POINT('',(-0.272236168958542,0.157246061142253,0.09653906)); #188770=CARTESIAN_POINT('',(-0.269208396705437,0.167826178049733,0.09653906)); #188771=CARTESIAN_POINT('Ctrl Pts',(-0.272236168958542,0.157246061142253, 0.09653906)); #188772=CARTESIAN_POINT('Ctrl Pts',(-0.272236168958542,0.160962222303609, 0.09653906)); #188773=CARTESIAN_POINT('Ctrl Pts',(-0.27117434253934,0.164672622793308, 0.09653906)); #188774=CARTESIAN_POINT('Ctrl Pts',(-0.269208396705437,0.167826178049733, 0.09653906)); #188775=CARTESIAN_POINT('',(-0.272236168958542,-0.157246061142253,0.09653906)); #188776=CARTESIAN_POINT('',(-0.272236168958542,0.174181534843781,0.09653906)); #188777=CARTESIAN_POINT('',(-0.269208396705437,-0.167826178049733,0.09653906)); #188778=CARTESIAN_POINT('Ctrl Pts',(-0.269208396705437,-0.167826178049733, 0.09653906)); #188779=CARTESIAN_POINT('Ctrl Pts',(-0.271174342539339,-0.164672622793307, 0.09653906)); #188780=CARTESIAN_POINT('Ctrl Pts',(-0.272236168958542,-0.160962222303609, 0.09653906)); #188781=CARTESIAN_POINT('Ctrl Pts',(-0.272236168958542,-0.157246061142253, 0.09653906)); #188782=CARTESIAN_POINT('',(0.269208396705437,-0.167826178049733,0.09653906)); #188783=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.09653906)); #188784=CARTESIAN_POINT('',(0.272236168958543,-0.157246061142253,0.09653906)); #188785=CARTESIAN_POINT('Ctrl Pts',(0.272236168958542,-0.157246061142253, 0.09653906)); #188786=CARTESIAN_POINT('Ctrl Pts',(0.272236168958542,-0.160962222303609, 0.09653906)); #188787=CARTESIAN_POINT('Ctrl Pts',(0.271174342539339,-0.164672622793307, 0.09653906)); #188788=CARTESIAN_POINT('Ctrl Pts',(0.269208396705437,-0.167826178049733, 0.09653906)); #188789=CARTESIAN_POINT('',(0.272236168958542,0.157246061142254,0.09653906)); #188790=CARTESIAN_POINT('',(0.272236168958542,0.0429851172097616,0.09653906)); #188791=CARTESIAN_POINT('',(0.269208396705437,0.167826178049733,0.09653906)); #188792=CARTESIAN_POINT('Ctrl Pts',(0.269208396705437,0.167826178049734, 0.09653906)); #188793=CARTESIAN_POINT('Ctrl Pts',(0.271174342539339,0.164672622793308, 0.09653906)); #188794=CARTESIAN_POINT('Ctrl Pts',(0.272236168958542,0.160962222303609, 0.09653906)); #188795=CARTESIAN_POINT('Ctrl Pts',(0.272236168958542,0.157246061142254, 0.09653906)); #188796=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.09653906)); #188797=CARTESIAN_POINT('Origin',(0.,0.,0.)); #188798=CARTESIAN_POINT('',(-0.382890632042592,-4.68905786954467E-17,0.00991273464501626)); #188799=CARTESIAN_POINT('',(0.382890632042592,4.68905786954467E-17,0.00991273464501626)); #188800=CARTESIAN_POINT('Origin',(-2.34452893477233E-17,0.,0.00991273464501626)); #188801=CARTESIAN_POINT('Origin',(-2.34452893477233E-17,0.,0.00991273464501626)); #188802=CARTESIAN_POINT('',(0.382977139167284,4.69011727627824E-17,0.)); #188803=CARTESIAN_POINT('Ctrl Pts',(-0.262236549727901,0.157246061142253, 0.0866263253549837)); #188804=CARTESIAN_POINT('Ctrl Pts',(-0.262236590220746,0.159104153310999, 0.0866309653754572)); #188805=CARTESIAN_POINT('Ctrl Pts',(-0.261705677011128,0.160959353555844, 0.0866309653735101)); #188806=CARTESIAN_POINT('Ctrl Pts',(-0.260722663601348,0.162536119595993, 0.0866263253549837)); #188807=CARTESIAN_POINT('Ctrl Pts',(-0.262259268822098,0.157246061142253, 0.0892296756911636)); #188808=CARTESIAN_POINT('Ctrl Pts',(-0.262260537338689,0.159104587962696, 0.0892335343704195)); #188809=CARTESIAN_POINT('Ctrl Pts',(-0.261726228611668,0.160971653355452, 0.0892335343688003)); #188810=CARTESIAN_POINT('Ctrl Pts',(-0.260741943152426,0.162548138587271, 0.0892296756911636)); #188811=CARTESIAN_POINT('Ctrl Pts',(-0.264446625929458,0.157246061142253, 0.0943968779544734)); #188812=CARTESIAN_POINT('Ctrl Pts',(-0.264448900149741,0.159516571407628, 0.0943982257007161)); #188813=CARTESIAN_POINT('Ctrl Pts',(-0.263801235546733,0.16177974268794, 0.0943982257001506)); #188814=CARTESIAN_POINT('Ctrl Pts',(-0.262598146692609,0.163705307344426, 0.0943968779544734)); #188815=CARTESIAN_POINT('Ctrl Pts',(-0.269632719490984,0.157246061142253, 0.09653906)); #188816=CARTESIAN_POINT('Ctrl Pts',(-0.269633499559895,0.160477694416436, 0.0965390351808426)); #188817=CARTESIAN_POINT('Ctrl Pts',(-0.268709374808571,0.163706916129729, 0.096539035180853)); #188818=CARTESIAN_POINT('Ctrl Pts',(-0.266999094848824,0.166448885620184, 0.09653906)); #188819=CARTESIAN_POINT('Ctrl Pts',(-0.272236168958542,0.157246061142253, 0.09653906)); #188820=CARTESIAN_POINT('Ctrl Pts',(-0.272236168958542,0.160962222303609, 0.09653906)); #188821=CARTESIAN_POINT('Ctrl Pts',(-0.27117434253934,0.164672622793308, 0.09653906)); #188822=CARTESIAN_POINT('Ctrl Pts',(-0.269208396705437,0.167826178049733, 0.09653906)); #188823=CARTESIAN_POINT('',(-0.260722663601348,0.162536119595993,0.0866263253549837)); #188824=CARTESIAN_POINT('Origin',(-0.269208396705437,0.167826178049733, 0.08653906)); #188825=CARTESIAN_POINT('',(-0.262236549727901,0.157246061142253,0.0866263253549837)); #188826=CARTESIAN_POINT('Ctrl Pts',(-0.260722663601348,0.162536119595993, 0.0866263253549837)); #188827=CARTESIAN_POINT('Ctrl Pts',(-0.261705677011128,0.160959353555844, 0.0866309653735101)); #188828=CARTESIAN_POINT('Ctrl Pts',(-0.262236590220746,0.159104153310999, 0.0866309653754572)); #188829=CARTESIAN_POINT('Ctrl Pts',(-0.262236549727901,0.157246061142253, 0.0866263253549837)); #188830=CARTESIAN_POINT('Origin',(-0.272236168958542,0.157246061142253, 0.08653906)); #188831=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.08653906)); #188832=CARTESIAN_POINT('',(0.260722663601348,0.162536119595994,0.0866263253549837)); #188833=CARTESIAN_POINT('Origin',(0.269208396705437,0.167826178049733,0.08653906)); #188834=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.0866263253549837)); #188835=CARTESIAN_POINT('Ctrl Pts',(0.260722663601348,0.162536119595994, 0.0866263253549837)); #188836=CARTESIAN_POINT('Ctrl Pts',(0.261705677011127,0.160959353555844, 0.0866309653735101)); #188837=CARTESIAN_POINT('Ctrl Pts',(0.262236590220746,0.159104153310999, 0.0866309653754572)); #188838=CARTESIAN_POINT('Ctrl Pts',(0.262236549727901,0.157246061142254, 0.0866263253549837)); #188839=CARTESIAN_POINT('Ctrl Pts',(0.260741943152426,0.162548138587272, 0.0892296756911636)); #188840=CARTESIAN_POINT('Ctrl Pts',(0.261726228611668,0.160971653355452, 0.0892335343688003)); #188841=CARTESIAN_POINT('Ctrl Pts',(0.262260537338689,0.159104587962696, 0.0892335343704195)); #188842=CARTESIAN_POINT('Ctrl Pts',(0.262259268822097,0.157246061142254, 0.0892296756911636)); #188843=CARTESIAN_POINT('Ctrl Pts',(0.262598146692609,0.163705307344426, 0.0943968779544734)); #188844=CARTESIAN_POINT('Ctrl Pts',(0.263801235546733,0.16177974268794, 0.0943982257001506)); #188845=CARTESIAN_POINT('Ctrl Pts',(0.264448900149741,0.159516571407628, 0.0943982257007161)); #188846=CARTESIAN_POINT('Ctrl Pts',(0.264446625929458,0.157246061142254, 0.0943968779544735)); #188847=CARTESIAN_POINT('Ctrl Pts',(0.266999094848824,0.166448885620184, 0.09653906)); #188848=CARTESIAN_POINT('Ctrl Pts',(0.268709374808571,0.163706916129729, 0.096539035180853)); #188849=CARTESIAN_POINT('Ctrl Pts',(0.269633499559895,0.160477694416436, 0.0965390351808426)); #188850=CARTESIAN_POINT('Ctrl Pts',(0.269632719490984,0.157246061142254, 0.09653906)); #188851=CARTESIAN_POINT('Ctrl Pts',(0.269208396705437,0.167826178049734, 0.09653906)); #188852=CARTESIAN_POINT('Ctrl Pts',(0.271174342539339,0.164672622793308, 0.09653906)); #188853=CARTESIAN_POINT('Ctrl Pts',(0.272236168958542,0.160962222303609, 0.09653906)); #188854=CARTESIAN_POINT('Ctrl Pts',(0.272236168958542,0.157246061142254, 0.09653906)); #188855=CARTESIAN_POINT('',(0.262236549727901,0.157246061142254,0.0866263253549837)); #188856=CARTESIAN_POINT('Origin',(0.272236168958542,0.157246061142254,0.08653906)); #188857=CARTESIAN_POINT('Ctrl Pts',(0.262236549727901,0.157246061142254, 0.0866263253549837)); #188858=CARTESIAN_POINT('Ctrl Pts',(0.262236590220746,0.159104153310999, 0.0866309653754572)); #188859=CARTESIAN_POINT('Ctrl Pts',(0.261705677011127,0.160959353555844, 0.0866309653735101)); #188860=CARTESIAN_POINT('Ctrl Pts',(0.260722663601348,0.162536119595994, 0.0866263253549837)); #188861=CARTESIAN_POINT('Origin',(0.272236168958542,0.0429851172097616, 0.08653906)); #188862=CARTESIAN_POINT('',(0.262236549727901,-0.157246061142253,0.0866263253549837)); #188863=CARTESIAN_POINT('Origin',(0.272236168958543,-0.157246061142253, 0.08653906)); #188864=CARTESIAN_POINT('',(0.262236549727901,0.0429851172097616,0.0866263253549837)); #188865=CARTESIAN_POINT('Ctrl Pts',(0.262236549727901,-0.157246061142253, 0.0866263253549837)); #188866=CARTESIAN_POINT('Ctrl Pts',(0.262236590220746,-0.159104153310999, 0.0866309653754572)); #188867=CARTESIAN_POINT('Ctrl Pts',(0.261705677011128,-0.160959353555843, 0.0866309653735101)); #188868=CARTESIAN_POINT('Ctrl Pts',(0.260722663601348,-0.162536119595993, 0.0866263253549837)); #188869=CARTESIAN_POINT('Ctrl Pts',(0.262259268822098,-0.157246061142253, 0.0892296756911636)); #188870=CARTESIAN_POINT('Ctrl Pts',(0.262260537338689,-0.159104587962695, 0.0892335343704195)); #188871=CARTESIAN_POINT('Ctrl Pts',(0.261726228611668,-0.160971653355452, 0.0892335343688003)); #188872=CARTESIAN_POINT('Ctrl Pts',(0.260741943152426,-0.162548138587271, 0.0892296756911636)); #188873=CARTESIAN_POINT('Ctrl Pts',(0.264446625929458,-0.157246061142253, 0.0943968779544735)); #188874=CARTESIAN_POINT('Ctrl Pts',(0.264448900149741,-0.159516571407627, 0.0943982257007162)); #188875=CARTESIAN_POINT('Ctrl Pts',(0.263801235546733,-0.16177974268794, 0.0943982257001506)); #188876=CARTESIAN_POINT('Ctrl Pts',(0.262598146692609,-0.163705307344426, 0.0943968779544734)); #188877=CARTESIAN_POINT('Ctrl Pts',(0.269632719490984,-0.157246061142253, 0.09653906)); #188878=CARTESIAN_POINT('Ctrl Pts',(0.269633499559895,-0.160477694416435, 0.0965390351808426)); #188879=CARTESIAN_POINT('Ctrl Pts',(0.268709374808571,-0.163706916129728, 0.0965390351808529)); #188880=CARTESIAN_POINT('Ctrl Pts',(0.266999094848824,-0.166448885620183, 0.09653906)); #188881=CARTESIAN_POINT('Ctrl Pts',(0.272236168958542,-0.157246061142253, 0.09653906)); #188882=CARTESIAN_POINT('Ctrl Pts',(0.272236168958542,-0.160962222303609, 0.09653906)); #188883=CARTESIAN_POINT('Ctrl Pts',(0.271174342539339,-0.164672622793307, 0.09653906)); #188884=CARTESIAN_POINT('Ctrl Pts',(0.269208396705437,-0.167826178049733, 0.09653906)); #188885=CARTESIAN_POINT('',(0.260722663601348,-0.162536119595993,0.0866263253549837)); #188886=CARTESIAN_POINT('Origin',(0.269208396705437,-0.167826178049733, 0.08653906)); #188887=CARTESIAN_POINT('Ctrl Pts',(0.260722663601348,-0.162536119595993, 0.0866263253549837)); #188888=CARTESIAN_POINT('Ctrl Pts',(0.261705677011128,-0.160959353555843, 0.0866309653735101)); #188889=CARTESIAN_POINT('Ctrl Pts',(0.262236590220746,-0.159104153310999, 0.0866309653754572)); #188890=CARTESIAN_POINT('Ctrl Pts',(0.262236549727901,-0.157246061142253, 0.0866263253549837)); #188891=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.08653906)); #188892=CARTESIAN_POINT('',(-0.260722663601348,-0.162536119595993,0.0866263253549837)); #188893=CARTESIAN_POINT('Origin',(-0.269208396705437,-0.167826178049733, 0.08653906)); #188894=CARTESIAN_POINT('Origin',(1.09543458899638E-17,1.74838271594513E-16, 0.0866263253549837)); #188895=CARTESIAN_POINT('Ctrl Pts',(-0.260722663601348,-0.162536119595993, 0.0866263253549837)); #188896=CARTESIAN_POINT('Ctrl Pts',(-0.261705677011127,-0.160959353555843, 0.0866309653735101)); #188897=CARTESIAN_POINT('Ctrl Pts',(-0.262236590220746,-0.159104153310999, 0.0866309653754572)); #188898=CARTESIAN_POINT('Ctrl Pts',(-0.262236549727901,-0.157246061142253, 0.0866263253549837)); #188899=CARTESIAN_POINT('Ctrl Pts',(-0.260741943152426,-0.162548138587271, 0.0892296756911636)); #188900=CARTESIAN_POINT('Ctrl Pts',(-0.261726228611668,-0.160971653355452, 0.0892335343688003)); #188901=CARTESIAN_POINT('Ctrl Pts',(-0.262260537338689,-0.159104587962695, 0.0892335343704195)); #188902=CARTESIAN_POINT('Ctrl Pts',(-0.262259268822098,-0.157246061142253, 0.0892296756911636)); #188903=CARTESIAN_POINT('Ctrl Pts',(-0.262598146692609,-0.163705307344426, 0.0943968779544735)); #188904=CARTESIAN_POINT('Ctrl Pts',(-0.263801235546733,-0.16177974268794, 0.0943982257001506)); #188905=CARTESIAN_POINT('Ctrl Pts',(-0.264448900149741,-0.159516571407627, 0.0943982257007162)); #188906=CARTESIAN_POINT('Ctrl Pts',(-0.264446625929458,-0.157246061142253, 0.0943968779544735)); #188907=CARTESIAN_POINT('Ctrl Pts',(-0.266999094848824,-0.166448885620183, 0.09653906)); #188908=CARTESIAN_POINT('Ctrl Pts',(-0.268709374808571,-0.163706916129728, 0.096539035180853)); #188909=CARTESIAN_POINT('Ctrl Pts',(-0.269633499559895,-0.160477694416435, 0.0965390351808426)); #188910=CARTESIAN_POINT('Ctrl Pts',(-0.269632719490984,-0.157246061142253, 0.09653906)); #188911=CARTESIAN_POINT('Ctrl Pts',(-0.269208396705437,-0.167826178049733, 0.09653906)); #188912=CARTESIAN_POINT('Ctrl Pts',(-0.271174342539339,-0.164672622793307, 0.09653906)); #188913=CARTESIAN_POINT('Ctrl Pts',(-0.272236168958542,-0.160962222303609, 0.09653906)); #188914=CARTESIAN_POINT('Ctrl Pts',(-0.272236168958542,-0.157246061142253, 0.09653906)); #188915=CARTESIAN_POINT('',(-0.262236549727901,-0.157246061142253,0.0866263253549837)); #188916=CARTESIAN_POINT('Origin',(-0.272236168958542,-0.157246061142253, 0.08653906)); #188917=CARTESIAN_POINT('Ctrl Pts',(-0.262236549727901,-0.157246061142253, 0.0866263253549837)); #188918=CARTESIAN_POINT('Ctrl Pts',(-0.262236590220746,-0.159104153310999, 0.0866309653754572)); #188919=CARTESIAN_POINT('Ctrl Pts',(-0.261705677011127,-0.160959353555843, 0.0866309653735101)); #188920=CARTESIAN_POINT('Ctrl Pts',(-0.260722663601348,-0.162536119595993, 0.0866263253549837)); #188921=CARTESIAN_POINT('Origin',(-0.272236168958542,0.174181534843781, 0.08653906)); #188922=CARTESIAN_POINT('',(-0.262236549727901,0.174181534843781,0.0866263253549837)); #188923=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.)); #188924=CARTESIAN_POINT('',(-0.260049776801252,-0.162349894821459,0.0099127346450162)); #188925=CARTESIAN_POINT('Ctrl Pts',(-0.260049776801252,-0.162349894821459, 0.0099127346450162)); #188926=CARTESIAN_POINT('Ctrl Pts',(-0.260274074971928,-0.162412005938244, 0.0354839314508703)); #188927=CARTESIAN_POINT('Ctrl Pts',(-0.26049837056617,-0.162474080820267, 0.0610551283543512)); #188928=CARTESIAN_POINT('Ctrl Pts',(-0.260722663601348,-0.162536119595993, 0.0866263253549837)); #188929=CARTESIAN_POINT('',(0.260049776801252,-0.162349894821459,0.0099127346450162)); #188930=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.0099127346450162)); #188931=CARTESIAN_POINT('Ctrl Pts',(0.260722663601348,-0.162536119595993, 0.0866263253549837)); #188932=CARTESIAN_POINT('Ctrl Pts',(0.26049837056617,-0.162474080820267, 0.0610551283543512)); #188933=CARTESIAN_POINT('Ctrl Pts',(0.260274074971928,-0.162412005938244, 0.0354839314508703)); #188934=CARTESIAN_POINT('Ctrl Pts',(0.260049776801252,-0.162349894821459, 0.00991273464501621)); #188935=CARTESIAN_POINT('Ctrl Pts',(-0.260049776801252,-0.162349894821459, 0.0099127346450162)); #188936=CARTESIAN_POINT('Ctrl Pts',(-0.260274095454999,-0.162412011610276, 0.03548626663101)); #188937=CARTESIAN_POINT('Ctrl Pts',(-0.260498411531841,-0.162474092157713, 0.0610597987146486)); #188938=CARTESIAN_POINT('Ctrl Pts',(-0.260722725049153,-0.162536136592269, 0.0866333308954564)); #188939=CARTESIAN_POINT('Ctrl Pts',(-0.261034881135413,-0.160771891916007, 0.00990808351201506)); #188940=CARTESIAN_POINT('Ctrl Pts',(-0.261258474872612,-0.160834404207744, 0.0354816192297129)); #188941=CARTESIAN_POINT('Ctrl Pts',(-0.261482079865222,-0.16089688515803, 0.0610551549134706)); #188942=CARTESIAN_POINT('Ctrl Pts',(-0.261705671642223,-0.160959324439847, 0.0866286908081752)); #188943=CARTESIAN_POINT('Ctrl Pts',(-0.261567039774198,-0.158914606724951, 0.00990808351201506)); #188944=CARTESIAN_POINT('Ctrl Pts',(-0.261790212384619,-0.1589778324845, 0.0354816192297129)); #188945=CARTESIAN_POINT('Ctrl Pts',(-0.262013397729956,-0.159041024291617, 0.0610551549134706)); #188946=CARTESIAN_POINT('Ctrl Pts',(-0.262236570370898,-0.159104174590112, 0.0866286908081752)); #188947=CARTESIAN_POINT('Ctrl Pts',(-0.26156708036402,-0.157054358430462, 0.0099127346450162)); #188948=CARTESIAN_POINT('Ctrl Pts',(-0.261790257196605,-0.157118304172348, 0.03548626663101)); #188949=CARTESIAN_POINT('Ctrl Pts',(-0.262013434030042,-0.157182210860641, 0.0610597987146486)); #188950=CARTESIAN_POINT('Ctrl Pts',(-0.262236610864326,-0.157246078638011, 0.0866333308954564)); #188951=CARTESIAN_POINT('',(-0.26156708036402,-0.157054358430462,0.00991273464501626)); #188952=CARTESIAN_POINT('Ctrl Pts',(-0.262236549727901,-0.157246061142253, 0.0866263253549837)); #188953=CARTESIAN_POINT('Ctrl Pts',(-0.262013393272425,-0.157182199189696, 0.0610551283543512)); #188954=CARTESIAN_POINT('Ctrl Pts',(-0.261790236817797,-0.15711829833331, 0.0354839314508703)); #188955=CARTESIAN_POINT('Ctrl Pts',(-0.26156708036402,-0.157054358430462, 0.0099127346450162)); #188956=CARTESIAN_POINT('Origin',(-0.251567461133379,-0.157054358430462, 0.01)); #188957=CARTESIAN_POINT('Origin',(-0.26148753105964,0.131196417634019,0.000797287237300121)); #188958=CARTESIAN_POINT('',(-0.26156708036402,0.157054358430462,0.00991273464501626)); #188959=CARTESIAN_POINT('Ctrl Pts',(-0.26156708036402,0.157054358430462, 0.0099127346450162)); #188960=CARTESIAN_POINT('Ctrl Pts',(-0.261790236817797,0.15711829833331, 0.0354839314508703)); #188961=CARTESIAN_POINT('Ctrl Pts',(-0.262013393272425,0.157182199189697, 0.0610551283543512)); #188962=CARTESIAN_POINT('Ctrl Pts',(-0.262236549727901,0.157246061142253, 0.0866263253549837)); #188963=CARTESIAN_POINT('',(-0.26156708036402,0.0655982088170095,0.00991273464501626)); #188964=CARTESIAN_POINT('Ctrl Pts',(-0.26156708036402,0.157054358430462, 0.0099127346450162)); #188965=CARTESIAN_POINT('Ctrl Pts',(-0.261790257196605,0.157118304172348, 0.0354862666310101)); #188966=CARTESIAN_POINT('Ctrl Pts',(-0.262013434030042,0.157182210860641, 0.0610597987146486)); #188967=CARTESIAN_POINT('Ctrl Pts',(-0.262236610864326,0.157246078638011, 0.0866333308954563)); #188968=CARTESIAN_POINT('Ctrl Pts',(-0.261567039774198,0.158914606724951, 0.00990808351201507)); #188969=CARTESIAN_POINT('Ctrl Pts',(-0.261790212384619,0.158977832484501, 0.035481619229713)); #188970=CARTESIAN_POINT('Ctrl Pts',(-0.262013397729956,0.159041024291617, 0.0610551549134706)); #188971=CARTESIAN_POINT('Ctrl Pts',(-0.262236570370898,0.159104174590113, 0.0866286908081752)); #188972=CARTESIAN_POINT('Ctrl Pts',(-0.261034881135413,0.160771891916007, 0.00990808351201507)); #188973=CARTESIAN_POINT('Ctrl Pts',(-0.261258474872612,0.160834404207745, 0.035481619229713)); #188974=CARTESIAN_POINT('Ctrl Pts',(-0.261482079865222,0.16089688515803, 0.0610551549134706)); #188975=CARTESIAN_POINT('Ctrl Pts',(-0.261705671642223,0.160959324439847, 0.0866286908081752)); #188976=CARTESIAN_POINT('Ctrl Pts',(-0.260049776801252,0.162349894821459, 0.0099127346450162)); #188977=CARTESIAN_POINT('Ctrl Pts',(-0.260274095454999,0.162412011610276, 0.0354862666310101)); #188978=CARTESIAN_POINT('Ctrl Pts',(-0.260498411531841,0.162474092157713, 0.0610597987146486)); #188979=CARTESIAN_POINT('Ctrl Pts',(-0.260722725049153,0.16253613659227, 0.0866333308954563)); #188980=CARTESIAN_POINT('',(-0.260049776801252,0.162349894821459,0.0099127346450162)); #188981=CARTESIAN_POINT('Ctrl Pts',(-0.260722663601348,0.162536119595993, 0.0866263253549837)); #188982=CARTESIAN_POINT('Ctrl Pts',(-0.26049837056617,0.162474080820267, 0.0610551283543512)); #188983=CARTESIAN_POINT('Ctrl Pts',(-0.260274074971928,0.162412005938244, 0.0354839314508703)); #188984=CARTESIAN_POINT('Ctrl Pts',(-0.260049776801252,0.162349894821459, 0.0099127346450162)); #188985=CARTESIAN_POINT('Origin',(-0.251567461133379,0.157054358430462, 0.01)); #188986=CARTESIAN_POINT('Origin',(0.,0.,0.00999999999999995)); #188987=CARTESIAN_POINT('',(-0.055392916760235,0.550111081670269,0.)); #188988=CARTESIAN_POINT('Origin',(-0.055392916760235,0.550111081670269, 0.00999999999999995)); #188989=CARTESIAN_POINT('',(0.0553929167602351,0.550111081670269,0.)); #188990=CARTESIAN_POINT('Origin',(0.0553929167602351,0.550111081670269, 0.00999999999999995)); #188991=CARTESIAN_POINT('Origin',(0.,0.,0.)); #188992=CARTESIAN_POINT('Origin',(0.,0.,0.00999999999999995)); #188993=CARTESIAN_POINT('',(0.0722697485431712,0.457216508704907,0.)); #188994=CARTESIAN_POINT('Origin',(0.0722697485431712,0.457216508704907, 0.00999999999999995)); #188995=CARTESIAN_POINT('',(-0.072269748543171,0.457216508704907,0.)); #188996=CARTESIAN_POINT('Origin',(-0.072269748543171,0.457216508704907, 0.00999999999999995)); #188997=CARTESIAN_POINT('Origin',(0.,0.,0.)); #188998=CARTESIAN_POINT('Ctrl Pts',(-0.055392916760235,0.476970498569395, 0.)); #188999=CARTESIAN_POINT('Ctrl Pts',(-0.055392916760235,0.472257408192287, 0.)); #189000=CARTESIAN_POINT('Ctrl Pts',(-0.0588753165272395,0.462859814348825, 0.)); #189001=CARTESIAN_POINT('Ctrl Pts',(-0.06761445430684,0.457952345966793, 0.)); #189002=CARTESIAN_POINT('Ctrl Pts',(-0.072269748543171,0.457216508704907, 0.)); #189003=CARTESIAN_POINT('Ctrl Pts',(-0.0580254550486598,0.476970498569395, 0.)); #189004=CARTESIAN_POINT('Ctrl Pts',(-0.0580284097884759,0.472878805085673, -9.72668273665621E-8)); #189005=CARTESIAN_POINT('Ctrl Pts',(-0.0610558380389437,0.464722679871051, -2.04972982323801E-7)); #189006=CARTESIAN_POINT('Ctrl Pts',(-0.0686397007943626,0.460458503838235, -9.72670512700473E-8)); #189007=CARTESIAN_POINT('Ctrl Pts',(-0.0726807569497509,0.459816764448049, 0.)); #189008=CARTESIAN_POINT('Ctrl Pts',(-0.0632683194983509,0.476970498569395, 0.00219302497775531)); #189009=CARTESIAN_POINT('Ctrl Pts',(-0.0632769217753187,0.47411093952732, 0.00219819641384974)); #189010=CARTESIAN_POINT('Ctrl Pts',(-0.0653924429886328,0.468427533341323, 0.00220405148356968)); #189011=CARTESIAN_POINT('Ctrl Pts',(-0.0706761563457779,0.465450285311968, 0.00219819642575413)); #189012=CARTESIAN_POINT('Ctrl Pts',(-0.0734993058661547,0.464995336192197, 0.0021930249777553)); #189013=CARTESIAN_POINT('Ctrl Pts',(-0.0654155089297015,0.476970498569395, 0.00745482730555045)); #189014=CARTESIAN_POINT('Ctrl Pts',(-0.0654201108238794,0.474624279930341, 0.00746951911532497)); #189015=CARTESIAN_POINT('Ctrl Pts',(-0.0671661592708309,0.469942856092003, 0.00748612755558954)); #189016=CARTESIAN_POINT('Ctrl Pts',(-0.0715178098376867,0.467487046718531, 0.00746951914914477)); #189017=CARTESIAN_POINT('Ctrl Pts',(-0.0738345385617949,0.467116194861199, 0.00745482730555042)); #189018=CARTESIAN_POINT('Ctrl Pts',(-0.0653925359908767,0.476970498569395, 0.0100872653549838)); #189019=CARTESIAN_POINT('Ctrl Pts',(-0.0653923826805367,0.47461382240007, 0.0101048329799044)); #189020=CARTESIAN_POINT('Ctrl Pts',(-0.0671334094619221,0.469914877588279, 0.0101246685178053)); #189021=CARTESIAN_POINT('Ctrl Pts',(-0.0715031514534862,0.467461291287022, 0.0101048330203442)); #189022=CARTESIAN_POINT('Ctrl Pts',(-0.0738309518823447,0.467093503637151, 0.0100872653549837)); #189023=CARTESIAN_POINT('',(-0.0653925359908767,0.476970498569395,0.0100872653549844)); #189024=CARTESIAN_POINT('Ctrl Pts',(-0.0738309518823447,0.467093503637151, 0.0100872653549837)); #189025=CARTESIAN_POINT('Ctrl Pts',(-0.0715031514534862,0.467461291287022, 0.0101048330203442)); #189026=CARTESIAN_POINT('Ctrl Pts',(-0.0671334094619221,0.469914877588279, 0.0101246685178053)); #189027=CARTESIAN_POINT('Ctrl Pts',(-0.0653923826805367,0.47461382240007, 0.0101048329799044)); #189028=CARTESIAN_POINT('Ctrl Pts',(-0.0653925359908767,0.476970498569395, 0.0100872653549838)); #189029=CARTESIAN_POINT('',(-0.055392916760235,0.476970498569395,0.)); #189030=CARTESIAN_POINT('Origin',(-0.055392916760235,0.476970498569395, 0.01)); #189031=CARTESIAN_POINT('Ctrl Pts',(-0.055392916760235,0.476970498569395, 0.)); #189032=CARTESIAN_POINT('Ctrl Pts',(-0.055392916760235,0.472257408192287, 0.)); #189033=CARTESIAN_POINT('Ctrl Pts',(-0.0588753165272395,0.462859814348825, 0.)); #189034=CARTESIAN_POINT('Ctrl Pts',(-0.06761445430684,0.457952345966793, 0.)); #189035=CARTESIAN_POINT('Ctrl Pts',(-0.072269748543171,0.457216508704907, 0.)); #189036=CARTESIAN_POINT('Origin',(-0.0553929167602351,0.278250673853631, 0.01)); #189037=CARTESIAN_POINT('Origin',(-0.055392916760235,0.550111081670269, 0.01)); #189038=CARTESIAN_POINT('',(-0.0553929167602351,0.278250673853631,0.)); #189039=CARTESIAN_POINT('',(-0.0653925359908768,0.278250673853631,0.0100872653549837)); #189040=CARTESIAN_POINT('Origin',(0.0553929167602351,0.232912939743587, 0.01)); #189041=CARTESIAN_POINT('Origin',(0.0553929167602351,0.550111081670269, 0.01)); #189042=CARTESIAN_POINT('',(0.0553929167602351,0.476970498569395,0.)); #189043=CARTESIAN_POINT('Origin',(0.0553929167602351,0.476970498569395, 0.01)); #189044=CARTESIAN_POINT('',(0.0553929167602351,0.232912939743587,0.)); #189045=CARTESIAN_POINT('Ctrl Pts',(0.0722697485431711,0.457216508704907, 0.)); #189046=CARTESIAN_POINT('Ctrl Pts',(0.0676144543068402,0.457952345966793, 0.)); #189047=CARTESIAN_POINT('Ctrl Pts',(0.0588753165272402,0.462859814348824, 0.)); #189048=CARTESIAN_POINT('Ctrl Pts',(0.0553929167602351,0.472257408192287, 0.)); #189049=CARTESIAN_POINT('Ctrl Pts',(0.0553929167602351,0.476970498569395, 0.)); #189050=CARTESIAN_POINT('Ctrl Pts',(0.072680756949751,0.459816764448049, 0.)); #189051=CARTESIAN_POINT('Ctrl Pts',(0.0686397007943627,0.460458503838235, -9.72670512700388E-8)); #189052=CARTESIAN_POINT('Ctrl Pts',(0.0610558380389443,0.464722679871051, -2.04972982323795E-7)); #189053=CARTESIAN_POINT('Ctrl Pts',(0.058028409788476,0.472878805085673, -9.72668273665657E-8)); #189054=CARTESIAN_POINT('Ctrl Pts',(0.0580254550486599,0.476970498569395, 0.)); #189055=CARTESIAN_POINT('Ctrl Pts',(0.0734993058661548,0.464995336192198, 0.0021930249777553)); #189056=CARTESIAN_POINT('Ctrl Pts',(0.070676156345778,0.465450285311968, 0.00219819642575413)); #189057=CARTESIAN_POINT('Ctrl Pts',(0.0653924429886332,0.468427533341322, 0.00220405148356968)); #189058=CARTESIAN_POINT('Ctrl Pts',(0.0632769217753188,0.47411093952732, 0.00219819641384974)); #189059=CARTESIAN_POINT('Ctrl Pts',(0.0632683194983511,0.476970498569395, 0.00219302497775531)); #189060=CARTESIAN_POINT('Ctrl Pts',(0.073834538561795,0.467116194861199, 0.00745482730555043)); #189061=CARTESIAN_POINT('Ctrl Pts',(0.0715178098376869,0.467487046718531, 0.00746951914914478)); #189062=CARTESIAN_POINT('Ctrl Pts',(0.0671661592708313,0.469942856092003, 0.00748612755558954)); #189063=CARTESIAN_POINT('Ctrl Pts',(0.0654201108238795,0.474624279930341, 0.00746951911532496)); #189064=CARTESIAN_POINT('Ctrl Pts',(0.0654155089297016,0.476970498569395, 0.00745482730555044)); #189065=CARTESIAN_POINT('Ctrl Pts',(0.0738309518823448,0.467093503637151, 0.0100872653549837)); #189066=CARTESIAN_POINT('Ctrl Pts',(0.0715031514534864,0.467461291287022, 0.0101048330203442)); #189067=CARTESIAN_POINT('Ctrl Pts',(0.0671334094619225,0.469914877588279, 0.0101246685178053)); #189068=CARTESIAN_POINT('Ctrl Pts',(0.0653923826805368,0.47461382240007, 0.0101048329799044)); #189069=CARTESIAN_POINT('Ctrl Pts',(0.0653925359908769,0.476970498569395, 0.0100872653549837)); #189070=CARTESIAN_POINT('Ctrl Pts',(0.0722697485431711,0.457216508704907, 0.)); #189071=CARTESIAN_POINT('Ctrl Pts',(0.0676144543068402,0.457952345966793, 0.)); #189072=CARTESIAN_POINT('Ctrl Pts',(0.0588753165272402,0.462859814348824, 0.)); #189073=CARTESIAN_POINT('Ctrl Pts',(0.0553929167602351,0.472257408192287, 0.)); #189074=CARTESIAN_POINT('Ctrl Pts',(0.0553929167602351,0.476970498569395, 0.)); #189075=CARTESIAN_POINT('Origin',(-0.055392916760235,0.550111081670269, 0.01)); #189076=CARTESIAN_POINT('Origin',(0.0553929167602351,0.550111081670269, 0.00999999999999995)); #189077=CARTESIAN_POINT('Ctrl Pts',(-0.0710737669636921,0.464682027870304, 0.33168200090798)); #189078=CARTESIAN_POINT('Ctrl Pts',(-0.0719927323479981,0.46548785500837, 0.224483770902883)); #189079=CARTESIAN_POINT('Ctrl Pts',(-0.0729117945470639,0.466291670408661, 0.117285525616646)); #189080=CARTESIAN_POINT('Ctrl Pts',(-0.0738309518823447,0.467093503637151, 0.0100872653549837)); #189081=CARTESIAN_POINT('Ctrl Pts',(-0.0684021661675256,0.465090831739486, 0.331661749601353)); #189082=CARTESIAN_POINT('Ctrl Pts',(-0.0693249921549863,0.465900755878393, 0.224463579058296)); #189083=CARTESIAN_POINT('Ctrl Pts',(-0.0702478227346896,0.46670830424522, 0.117265388835112)); #189084=CARTESIAN_POINT('Ctrl Pts',(-0.0711708162887415,0.467514154325475, 0.0100671869925024)); #189085=CARTESIAN_POINT('Ctrl Pts',(-0.0640657159210705,0.467803380189155, 0.331644232644394)); #189086=CARTESIAN_POINT('Ctrl Pts',(-0.0649975986153427,0.468614639740544, 0.224446116401407)); #189087=CARTESIAN_POINT('Ctrl Pts',(-0.0659295567282382,0.46942391981508, 0.117247985266332)); #189088=CARTESIAN_POINT('Ctrl Pts',(-0.0668614933006121,0.470231045211514, 0.0100498367068723)); #189089=CARTESIAN_POINT('Ctrl Pts',(-0.0625861537993583,0.472539671142222, 0.331666812428009)); #189090=CARTESIAN_POINT('Ctrl Pts',(-0.0635216867189769,0.473345343121599, 0.224468626136379)); #189091=CARTESIAN_POINT('Ctrl Pts',(-0.0644571349251815,0.474149008360679, 0.117270423892196)); #189092=CARTESIAN_POINT('Ctrl Pts',(-0.0653926674067878,0.474950606630374, 0.0100722065831227)); #189093=CARTESIAN_POINT('Ctrl Pts',(-0.0625860212515017,0.47456669393558, 0.33168200090798)); #189094=CARTESIAN_POINT('Ctrl Pts',(-0.0635215260321595,0.47536999134981, 0.224483770902883)); #189095=CARTESIAN_POINT('Ctrl Pts',(-0.0644570309461738,0.476171249335198, 0.117285525616646)); #189096=CARTESIAN_POINT('Ctrl Pts',(-0.0653925359908767,0.476970498569395, 0.0100872653549837)); #189097=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246919,0.474567111144037, 0.331626325354983)); #189098=CARTESIAN_POINT('Ctrl Pts',(-0.0635218499476658,0.475370268782698, 0.22444665386226)); #189099=CARTESIAN_POINT('Ctrl Pts',(-0.0644571929039496,0.47617138770391, 0.117266967093743)); #189100=CARTESIAN_POINT('Ctrl Pts',(-0.0653925359908767,0.476970498569395, 0.0100872653549837)); #189101=CARTESIAN_POINT('Origin',(-5.36149483283556E-17,-1.22046037579238E-17, 0.)); #189102=CARTESIAN_POINT('',(-0.392890251273233,-4.81151788637965E-17,0.)); #189103=CARTESIAN_POINT('Origin',(0.,0.,0.)); #189104=CARTESIAN_POINT('Origin',(0.,0.,0.01)); #189105=CARTESIAN_POINT('Origin',(-0.392890251273233,-4.81151788637965E-17, 0.01)); #189106=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.00999999999999995)); #189107=CARTESIAN_POINT('Origin',(0.251567461133379,-0.157054358430462, 0.00999999999999995)); #189108=CARTESIAN_POINT('Origin',(-0.251567461133379,-0.157054358430462, 0.00999999999999995)); #189109=CARTESIAN_POINT('Origin',(0.251567461133379,-0.0655982088170095, 0.01)); #189110=CARTESIAN_POINT('',(0.26156708036402,0.157054358430462,0.00991273464501626)); #189111=CARTESIAN_POINT('Origin',(0.251567461133379,0.157054358430462,0.01)); #189112=CARTESIAN_POINT('',(0.26156708036402,-0.157054358430462,0.00991273464501626)); #189113=CARTESIAN_POINT('',(0.26156708036402,-0.0655982088170095,0.00991273464501626)); #189114=CARTESIAN_POINT('Origin',(0.251567461133379,-0.157054358430462, 0.01)); #189115=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.00999999999999995)); #189116=CARTESIAN_POINT('Origin',(-0.251567461133379,0.157054358430462, 0.00999999999999995)); #189117=CARTESIAN_POINT('',(0.260049776801252,0.162349894821459,0.0099127346450162)); #189118=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.0099127346450162)); #189119=CARTESIAN_POINT('Origin',(0.251567461133379,0.157054358430462,0.00999999999999995)); #189120=CARTESIAN_POINT('Origin',(-0.251567461133379,0.0655982088170095, 0.01)); #189121=CARTESIAN_POINT('Origin',(-0.251567461133379,-0.157054358430462, 0.01)); #189122=CARTESIAN_POINT('Origin',(-0.251567461133379,0.157054358430462, 0.01)); #189123=CARTESIAN_POINT('Origin',(-0.251567461133379,0.157054358430462, 0.01)); #189124=CARTESIAN_POINT('Origin',(0.251567461133379,0.157054358430462,0.00999999999999994)); #189125=CARTESIAN_POINT('Origin',(0.251567461133379,0.157054358430462,0.00999999999999994)); #189126=CARTESIAN_POINT('Origin',(0.251567461133379,-0.157054358430462, 0.01)); #189127=CARTESIAN_POINT('Origin',(0.251567461133379,-0.157054358430462, 0.01)); #189128=CARTESIAN_POINT('Origin',(-0.251567461133379,-0.157054358430462, 0.01)); #189129=CARTESIAN_POINT('Ctrl Pts',(0.260049776801252,0.162349894821459, 0.0099127346450162)); #189130=CARTESIAN_POINT('Ctrl Pts',(0.260274095454999,0.162412011610276, 0.0354862666310101)); #189131=CARTESIAN_POINT('Ctrl Pts',(0.260498411531841,0.162474092157713, 0.0610597987146485)); #189132=CARTESIAN_POINT('Ctrl Pts',(0.260722725049153,0.16253613659227, 0.0866333308954564)); #189133=CARTESIAN_POINT('Ctrl Pts',(0.261034881135413,0.160771891916008, 0.00990808351201507)); #189134=CARTESIAN_POINT('Ctrl Pts',(0.261258474872612,0.160834404207745, 0.0354816192297129)); #189135=CARTESIAN_POINT('Ctrl Pts',(0.261482079865222,0.160896885158031, 0.0610551549134705)); #189136=CARTESIAN_POINT('Ctrl Pts',(0.261705671642223,0.160959324439847, 0.0866286908081752)); #189137=CARTESIAN_POINT('Ctrl Pts',(0.261567039774198,0.158914606724951, 0.00990808351201507)); #189138=CARTESIAN_POINT('Ctrl Pts',(0.261790212384619,0.158977832484501, 0.035481619229713)); #189139=CARTESIAN_POINT('Ctrl Pts',(0.262013397729956,0.159041024291617, 0.0610551549134705)); #189140=CARTESIAN_POINT('Ctrl Pts',(0.262236570370898,0.159104174590113, 0.0866286908081752)); #189141=CARTESIAN_POINT('Ctrl Pts',(0.26156708036402,0.157054358430462, 0.0099127346450162)); #189142=CARTESIAN_POINT('Ctrl Pts',(0.261790257196605,0.157118304172349, 0.0354862666310101)); #189143=CARTESIAN_POINT('Ctrl Pts',(0.262013434030042,0.157182210860641, 0.0610597987146485)); #189144=CARTESIAN_POINT('Ctrl Pts',(0.262236610864326,0.157246078638011, 0.0866333308954564)); #189145=CARTESIAN_POINT('Ctrl Pts',(0.262236549727901,0.157246061142254, 0.0866263253549837)); #189146=CARTESIAN_POINT('Ctrl Pts',(0.262013393272425,0.157182199189697, 0.0610551283543512)); #189147=CARTESIAN_POINT('Ctrl Pts',(0.261790236817796,0.157118298333311, 0.0354839314508703)); #189148=CARTESIAN_POINT('Ctrl Pts',(0.26156708036402,0.157054358430462, 0.0099127346450162)); #189149=CARTESIAN_POINT('Ctrl Pts',(0.260049776801252,0.162349894821459, 0.0099127346450162)); #189150=CARTESIAN_POINT('Ctrl Pts',(0.260274074971928,0.162412005938244, 0.0354839314508703)); #189151=CARTESIAN_POINT('Ctrl Pts',(0.26049837056617,0.162474080820267, 0.0610551283543512)); #189152=CARTESIAN_POINT('Ctrl Pts',(0.260722663601348,0.162536119595994, 0.0866263253549837)); #189153=CARTESIAN_POINT('Origin',(0.,1.74838271594513E-16,0.)); #189154=CARTESIAN_POINT('Origin',(-0.0654804527311712,0.556501347707263, 1.30047608706394E-5)); #189155=CARTESIAN_POINT('',(-0.0624999999999998,0.477119842891315,0.341539060000012)); #189156=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246919,0.47702328495875, 0.331626325354977)); #189157=CARTESIAN_POINT('Ctrl Pts',(-0.0625576714167381,0.477055474193467, 0.334930570204929)); #189158=CARTESIAN_POINT('Ctrl Pts',(-0.0625288357085073,0.477087660170336, 0.338234815086616)); #189159=CARTESIAN_POINT('Ctrl Pts',(-0.0624999999999998,0.477119842891327, 0.341539060000013)); #189160=CARTESIAN_POINT('Ctrl Pts',(-0.0620861944852174,0.477581456250006, 0.388956475354984)); #189161=CARTESIAN_POINT('Ctrl Pts',(-0.0622241296631271,0.477427659486594, 0.373150669512966)); #189162=CARTESIAN_POINT('Ctrl Pts',(-0.0623620648347294,0.477273788439001, 0.357344864393707)); #189163=CARTESIAN_POINT('Ctrl Pts',(-0.0624999999999998,0.477119842891327, 0.341539060000013)); #189164=CARTESIAN_POINT('Ctrl Pts',(0.26156708036402,-0.157054358430462, 0.00991273464501621)); #189165=CARTESIAN_POINT('Ctrl Pts',(0.261790257196605,-0.157118304172348, 0.0354862666310101)); #189166=CARTESIAN_POINT('Ctrl Pts',(0.262013434030042,-0.15718221086064, 0.0610597987146485)); #189167=CARTESIAN_POINT('Ctrl Pts',(0.262236610864326,-0.157246078638011, 0.0866333308954564)); #189168=CARTESIAN_POINT('Ctrl Pts',(0.261567039774198,-0.158914606724951, 0.00990808351201507)); #189169=CARTESIAN_POINT('Ctrl Pts',(0.261790212384619,-0.1589778324845, 0.035481619229713)); #189170=CARTESIAN_POINT('Ctrl Pts',(0.262013397729956,-0.159041024291617, 0.0610551549134705)); #189171=CARTESIAN_POINT('Ctrl Pts',(0.262236570370898,-0.159104174590112, 0.0866286908081752)); #189172=CARTESIAN_POINT('Ctrl Pts',(0.261034881135413,-0.160771891916007, 0.00990808351201507)); #189173=CARTESIAN_POINT('Ctrl Pts',(0.261258474872612,-0.160834404207744, 0.035481619229713)); #189174=CARTESIAN_POINT('Ctrl Pts',(0.261482079865222,-0.16089688515803, 0.0610551549134705)); #189175=CARTESIAN_POINT('Ctrl Pts',(0.261705671642223,-0.160959324439847, 0.0866286908081752)); #189176=CARTESIAN_POINT('Ctrl Pts',(0.260049776801252,-0.162349894821459, 0.00991273464501621)); #189177=CARTESIAN_POINT('Ctrl Pts',(0.260274095454999,-0.162412011610276, 0.0354862666310101)); #189178=CARTESIAN_POINT('Ctrl Pts',(0.260498411531841,-0.162474092157713, 0.0610597987146485)); #189179=CARTESIAN_POINT('Ctrl Pts',(0.260722725049153,-0.162536136592269, 0.0866333308954564)); #189180=CARTESIAN_POINT('Ctrl Pts',(0.26156708036402,-0.157054358430462, 0.00991273464501621)); #189181=CARTESIAN_POINT('Ctrl Pts',(0.261790236817797,-0.15711829833331, 0.0354839314508703)); #189182=CARTESIAN_POINT('Ctrl Pts',(0.262013393272425,-0.157182199189696, 0.0610551283543511)); #189183=CARTESIAN_POINT('Ctrl Pts',(0.262236549727901,-0.157246061142253, 0.0866263253549837)); #189184=CARTESIAN_POINT('Origin',(0.26148753105964,-0.131196417634019,0.000797287237300119)); #189185=CARTESIAN_POINT('Ctrl Pts',(0.062586507124692,0.47702328495875, 0.331626325354977)); #189186=CARTESIAN_POINT('Ctrl Pts',(0.0624197362541163,0.477209450899453, 0.35073637429514)); #189187=CARTESIAN_POINT('Ctrl Pts',(0.0622529653742771,0.477395507869344, 0.369846424296794)); #189188=CARTESIAN_POINT('Ctrl Pts',(0.0620861944852176,0.477581456250006, 0.388956475354984)); #189189=CARTESIAN_POINT('Ctrl Pts',(0.0625862690394981,0.474222571775368, 0.33165360721597)); #189190=CARTESIAN_POINT('Ctrl Pts',(0.0624194724121388,0.474409392608418, 0.350763638877227)); #189191=CARTESIAN_POINT('Ctrl Pts',(0.0622527533774247,0.474596078134295, 0.369873672638501)); #189192=CARTESIAN_POINT('Ctrl Pts',(0.0620859568427431,0.474782679935064, 0.388983706485354)); #189193=CARTESIAN_POINT('Ctrl Pts',(0.0600873305219461,0.468650558038931, 0.331686080655923)); #189194=CARTESIAN_POINT('Ctrl Pts',(0.0599217915365996,0.468838610539191, 0.350796090193261)); #189195=CARTESIAN_POINT('Ctrl Pts',(0.0597562241047551,0.469026599269288, 0.369906100009901)); #189196=CARTESIAN_POINT('Ctrl Pts',(0.0595906813364207,0.469214430193428, 0.389016111825668)); #189197=CARTESIAN_POINT('Ctrl Pts',(0.0542750457026652,0.466777225187271, 0.33165360721597)); #189198=CARTESIAN_POINT('Ctrl Pts',(0.054111612275414,0.466964144846728, 0.350763638877227)); #189199=CARTESIAN_POINT('Ctrl Pts',(0.0539481588593057,0.467150871878925, 0.369873672638501)); #189200=CARTESIAN_POINT('Ctrl Pts',(0.0537847170728422,0.467337575375459, 0.388983706485354)); #189201=CARTESIAN_POINT('Ctrl Pts',(0.0514911711024056,0.467083879067534, 0.331626325354977)); #189202=CARTESIAN_POINT('Ctrl Pts',(0.0513282558856995,0.46726961996224, 0.35073637429514)); #189203=CARTESIAN_POINT('Ctrl Pts',(0.0511653366404037,0.467455254599983, 0.369846424296794)); #189204=CARTESIAN_POINT('Ctrl Pts',(0.0510024133791039,0.467640783345942, 0.388956475354984)); #189205=CARTESIAN_POINT('Ctrl Pts',(0.0510024133791039,0.467640783345942, 0.388956475354984)); #189206=CARTESIAN_POINT('Ctrl Pts',(0.0511089957755942,0.467519412719032, 0.376454914665709)); #189207=CARTESIAN_POINT('Ctrl Pts',(0.0512155764533891,0.467397996774508, 0.363953354428591)); #189208=CARTESIAN_POINT('Ctrl Pts',(0.0513221554089651,0.467276535410132, 0.351451794645017)); #189209=CARTESIAN_POINT('Ctrl Pts',(-0.0514911711024056,0.467083879067534, 0.331626325354977)); #189210=CARTESIAN_POINT('Ctrl Pts',(-0.0513282558856994,0.46726961996224, 0.35073637429514)); #189211=CARTESIAN_POINT('Ctrl Pts',(-0.0511653366404035,0.467455254599983, 0.369846424296794)); #189212=CARTESIAN_POINT('Ctrl Pts',(-0.0510024133791038,0.467640783345942, 0.388956475354984)); #189213=CARTESIAN_POINT('Ctrl Pts',(-0.0542750457026651,0.466777225187271, 0.33165360721597)); #189214=CARTESIAN_POINT('Ctrl Pts',(-0.054111612275414,0.466964144846728, 0.350763638877227)); #189215=CARTESIAN_POINT('Ctrl Pts',(-0.0539481588593055,0.467150871878925, 0.369873672638501)); #189216=CARTESIAN_POINT('Ctrl Pts',(-0.053784717072842,0.467337575375459, 0.388983706485354)); #189217=CARTESIAN_POINT('Ctrl Pts',(-0.060087330521946,0.468650558038931, 0.331686080655923)); #189218=CARTESIAN_POINT('Ctrl Pts',(-0.0599217915365994,0.468838610539191, 0.350796090193261)); #189219=CARTESIAN_POINT('Ctrl Pts',(-0.0597562241047548,0.469026599269288, 0.369906100009901)); #189220=CARTESIAN_POINT('Ctrl Pts',(-0.0595906813364205,0.469214430193428, 0.389016111825668)); #189221=CARTESIAN_POINT('Ctrl Pts',(-0.062586269039498,0.474222571775368, 0.33165360721597)); #189222=CARTESIAN_POINT('Ctrl Pts',(-0.0624194724121387,0.474409392608418, 0.350763638877227)); #189223=CARTESIAN_POINT('Ctrl Pts',(-0.0622527533774245,0.474596078134295, 0.369873672638501)); #189224=CARTESIAN_POINT('Ctrl Pts',(-0.0620859568427429,0.474782679935064, 0.388983706485354)); #189225=CARTESIAN_POINT('Ctrl Pts',(-0.0625865071246919,0.47702328495875, 0.331626325354977)); #189226=CARTESIAN_POINT('Ctrl Pts',(-0.0624197362541162,0.477209450899453, 0.35073637429514)); #189227=CARTESIAN_POINT('Ctrl Pts',(-0.0622529653742769,0.477395507869344, 0.369846424296794)); #189228=CARTESIAN_POINT('Ctrl Pts',(-0.0620861944852174,0.477581456250006, 0.388956475354984)); #189229=CARTESIAN_POINT('Ctrl Pts',(-0.051322155408965,0.467276535410132, 0.351451794645017)); #189230=CARTESIAN_POINT('Ctrl Pts',(-0.051215576453389,0.467397996774508, 0.363953354428591)); #189231=CARTESIAN_POINT('Ctrl Pts',(-0.0511089957755941,0.467519412719033, 0.376454914665709)); #189232=CARTESIAN_POINT('Ctrl Pts',(-0.0510024133791038,0.467640783345942, 0.388956475354984)); #189233=CARTESIAN_POINT('Origin',(0.,0.,0.34153906)); #189234=CARTESIAN_POINT('Origin',(-0.319897792487607,-2.82546429653928E-15, 0.36153906)); #189235=CARTESIAN_POINT('',(-0.345423244584263,0.0306299209999983,0.36153906)); #189236=CARTESIAN_POINT('Origin',(-0.345423244584263,0.0306299209999983, 0.322168981)); #189237=CARTESIAN_POINT('',(0.,0.,0.)); #189238=CARTESIAN_POINT('Origin',(0.006,-0.28,0.04937)); #189239=CARTESIAN_POINT('',(0.00590885212827324,-0.275746432652759,0.0486200049288097)); #189240=CARTESIAN_POINT('',(0.003,-0.28,-3.63185912971641E-17)); #189241=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189242=CARTESIAN_POINT('',(8.71472121061903E-17,-0.275746170839421,-0.0486214897460356)); #189243=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189244=CARTESIAN_POINT('',(3.97487532021948E-6,-0.123549900041996,-0.0217858668085162)); #189245=CARTESIAN_POINT('',(1.46190924202719E-16,-0.0416924253607159,-0.00735173096476661)); #189246=CARTESIAN_POINT('',(3.93700787423084E-6,-0.12356119809678,-0.0217216969381713)); #189247=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189248=CARTESIAN_POINT('',(3.93700787405595E-6,-0.123112149387565,-0.0216425200282671)); #189249=CARTESIAN_POINT('',(3.93700787418223E-6,-0.0708424957368799,-0.0124262600256425)); #189250=CARTESIAN_POINT('',(0.00133928571428571,-0.125,-1.31790508518768E-17)); #189251=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189252=CARTESIAN_POINT('',(0.00263788041440778,-0.123101086005696,0.0217053593432186)); #189253=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189254=CARTESIAN_POINT('',(0.006,-0.28,0.04937)); #189255=CARTESIAN_POINT('Origin',(0.,-0.279999468296043,-0.0493730154429869)); #189256=CARTESIAN_POINT('',(0.00590885212827324,-0.275745909026082,-0.0486229745632615)); #189257=CARTESIAN_POINT('',(1.00590885,-0.275745909026082,-0.0486229745632615)); #189258=CARTESIAN_POINT('',(0.00263788041440778,-0.123100852243787,-0.0217066850728846)); #189259=CARTESIAN_POINT('',(0.006,-0.279999468296043,-0.0493730154429869)); #189260=CARTESIAN_POINT('',(3.93700787405601E-6,-0.123100852243787,-0.0217066850728846)); #189261=CARTESIAN_POINT('',(0.727954425,-0.123100852243787,-0.0217066850728846)); #189262=CARTESIAN_POINT('',(3.93700787418353E-6,-0.0792738985221195,-0.0139785673157784)); #189263=CARTESIAN_POINT('Origin',(0.006,-0.279999468296043,-0.0493730154429869)); #189264=CARTESIAN_POINT('',(-3.04205023526883E-18,-0.242487113056127,-0.13999999999797)); #189265=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,-7.44932078358935E-17, 0.)); #189266=CARTESIAN_POINT('',(3.97487532021801E-6,-0.108647724079977,-0.0627285727976227)); #189267=CARTESIAN_POINT('',(1.46825291861706E-16,-0.047090911465319,-0.0271882885073987)); #189268=CARTESIAN_POINT('',(3.93700787422909E-6,-0.10868028816694,-0.0626721370062996)); #189269=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189270=CARTESIAN_POINT('',(3.9370078740542E-6,-0.10828540050659,-0.0624441513444399)); #189271=CARTESIAN_POINT('',(3.9370078741824E-6,-0.0687610736099336,-0.0396250543403925)); #189272=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189273=CARTESIAN_POINT('Origin',(0.,-0.246226868363538,-0.142160916555447)); #189274=CARTESIAN_POINT('',(0.00590885212827324,-0.242486359194665,-0.140001305724325)); #189275=CARTESIAN_POINT('',(1.00590885,-0.242486359194665,-0.140001305724325)); #189276=CARTESIAN_POINT('',(0.00263788041440769,-0.10825283892619,-0.0625005829126452)); #189277=CARTESIAN_POINT('',(0.006,-0.246226868363538,-0.142160916555447)); #189278=CARTESIAN_POINT('',(3.93700787405426E-6,-0.10825283892619,-0.0625005829126452)); #189279=CARTESIAN_POINT('',(0.727954425,-0.10825283892619,-0.0625005829126452)); #189280=CARTESIAN_POINT('',(3.93700787418316E-6,-0.0761532307615564,-0.0439676350337908)); #189281=CARTESIAN_POINT('Origin',(0.006,-0.246226868363538,-0.142160916555447)); #189282=CARTESIAN_POINT('',(-7.60539070164415E-18,-0.179980530709622,-0.214492444070204)); #189283=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,-7.44932078358935E-17, 0.)); #189284=CARTESIAN_POINT('',(3.97487532021782E-6,-0.0806410291242805,-0.0961052871321993)); #189285=CARTESIAN_POINT('',(1.47108052719277E-16,-0.0494972140863593,-0.0589891277885639)); #189286=CARTESIAN_POINT('',(3.93700787422574E-6,-0.0806909315339392,-0.096063392409235)); #189287=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189288=CARTESIAN_POINT('',(3.93700787405086E-6,-0.0803978342022146,-0.0957140964309502)); #189289=CARTESIAN_POINT('',(3.93700787418126E-6,-0.060046469700249,-0.0714605498994674)); #189290=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,-7.44932078358935E-17, 0.)); #189291=CARTESIAN_POINT('Origin',(0.,-0.182755674184838,-0.217802113059643)); #189292=CARTESIAN_POINT('',(0.00590885212827324,-0.179979375726853,-0.214493413215819)); #189293=CARTESIAN_POINT('',(1.00590885,-0.179979375726853,-0.214493413215819)); #189294=CARTESIAN_POINT('',(0.00263788041440778,-0.0803479355923453,-0.0957559880427764)); #189295=CARTESIAN_POINT('',(0.006,-0.182755674184838,-0.217802113059643)); #189296=CARTESIAN_POINT('',(3.93700787405098E-6,-0.0803479355923453,-0.0957559880427764)); #189297=CARTESIAN_POINT('',(0.727954425,-0.0803479355923452,-0.0957559880427764)); #189298=CARTESIAN_POINT('',(3.93700787418191E-6,-0.0655075751561594,-0.078069741768973)); #189299=CARTESIAN_POINT('Origin',(0.006,-0.182755674184838,-0.217802113059643)); #189300=CARTESIAN_POINT('',(7.43857702358807E-17,-0.095765640129799,-0.26311393381624)); #189301=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189302=CARTESIAN_POINT('',(3.97487532021524E-6,-0.0429078359213586,-0.117890285475654)); #189303=CARTESIAN_POINT('',(1.45611762127393E-16,-0.036763740280902,-0.101009238611145)); #189304=CARTESIAN_POINT('',(3.93700787422128E-6,-0.042969057686627,-0.117867984942939)); #189305=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189306=CARTESIAN_POINT('',(3.93700787404642E-6,-0.0428131025473894,-0.117439508898269)); #189307=CARTESIAN_POINT('',(3.93700787417873E-6,-0.0384253618590414,-0.105384492408232)); #189308=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,-7.44932078358935E-17, 0.)); #189309=CARTESIAN_POINT('Origin',(0.,-0.0972414485129532,-0.267173160312002)); #189310=CARTESIAN_POINT('',(0.00590885212827307,-0.0957642233336921,-0.263114449487851)); #189311=CARTESIAN_POINT('',(1.00590885,-0.0957642233336921,-0.263114449487851)); #189312=CARTESIAN_POINT('',(0.00263788041440778,-0.0427518854168268,-0.117461807807076)); #189313=CARTESIAN_POINT('',(0.006,-0.0972414485129532,-0.267173160312002)); #189314=CARTESIAN_POINT('',(3.93700787404656E-6,-0.0427518854168268,-0.117461807807076)); #189315=CARTESIAN_POINT('',(0.727954425,-0.0427518854168268,-0.117461807807076)); #189316=CARTESIAN_POINT('',(3.93700787417906E-6,-0.0412964950735018,-0.113463088706734)); #189317=CARTESIAN_POINT('Origin',(0.006,-0.0972414485129532,-0.267173160312002)); #189318=CARTESIAN_POINT('',(6.75843604965493E-17,-2.63650676546741E-17, -0.279999999995956)); #189319=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189320=CARTESIAN_POINT('',(3.97487532020916E-6,6.75545894028547E-7,-0.125455975515434)); #189321=CARTESIAN_POINT('',(1.41291622553109E-16,7.65493202924379E-7,-0.142160136504622)); #189322=CARTESIAN_POINT('',(3.93700787421648E-6,-6.44813265556145E-5,-0.125455958946333)); #189323=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189324=CARTESIAN_POINT('',(3.93700787404164E-6,-6.44788712498151E-5,-0.124999983369899)); #189325=CARTESIAN_POINT('',(3.93700787417438E-6,-6.45251073044341E-5,-0.133586504458646)); #189326=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189327=CARTESIAN_POINT('Origin',(0.,1.53098052768772E-6,-0.284319181374835)); #189328=CARTESIAN_POINT('',(0.00590885212827359,1.5077229248951E-6,-0.279999999995941)); #189329=CARTESIAN_POINT('',(1.00590885,1.50772292489545E-6,-0.279999999995941)); #189330=CARTESIAN_POINT('',(0.00263788041440778,6.73090591458206E-7,-0.124999999998188)); #189331=CARTESIAN_POINT('',(0.006,1.53098052768772E-6,-0.284319181374835)); #189332=CARTESIAN_POINT('',(3.93700787404154E-6,6.73090591470822E-7,-0.124999999998188)); #189333=CARTESIAN_POINT('',(0.727954425,6.73090591470822E-7,-0.124999999998188)); #189334=CARTESIAN_POINT('',(3.93700787417421E-6,7.65492079326227E-7,-0.142159927846539)); #189335=CARTESIAN_POINT('Origin',(0.006,1.53098052768772E-6,-0.284319181374835)); #189336=CARTESIAN_POINT('',(6.2241294863638E-17,0.0957656401297989,-0.26311393381624)); #189337=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189338=CARTESIAN_POINT('',(3.9748753202136E-6,0.0429091055323421,-0.117889823375047)); #189339=CARTESIAN_POINT('',(1.3418482008903E-16,0.0604798485722286,-0.166164234315151)); #189340=CARTESIAN_POINT('',(3.93700787421134E-6,0.0428478724331413,-0.11791209276804)); #189341=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189342=CARTESIAN_POINT('',(3.93700787403652E-6,0.0426919219083692,-0.117483615043842)); #189343=CARTESIAN_POINT('',(3.93700787416806E-6,0.0529529861306117,-0.145676129638648)); #189344=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189345=CARTESIAN_POINT('Origin',(0.,0.0972443258151623,-0.267172113059643)); #189346=CARTESIAN_POINT('',(0.00590885212827307,0.0957670569259057,-0.263113418144629)); #189347=CARTESIAN_POINT('',(1.00590885,0.0957670569259057,-0.263113418144629)); #189348=CARTESIAN_POINT('',(0.00263788041440769,0.0427531504133508,-0.117461347385995)); #189349=CARTESIAN_POINT('',(0.006,0.0972443258151623,-0.267172113059643)); #189350=CARTESIAN_POINT('',(3.93700787403652E-6,0.0427531504133508,-0.117461347385995)); #189351=CARTESIAN_POINT('',(0.727954425,0.0427531504133508,-0.117461347385995)); #189352=CARTESIAN_POINT('',(3.93700787416764E-6,0.0559468255339675,-0.153710064536832)); #189353=CARTESIAN_POINT('Origin',(0.006,0.0972443258151623,-0.267172113059643)); #189354=CARTESIAN_POINT('',(5.72959454607434E-17,0.179980530709622,-0.214492444070204)); #189355=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189356=CARTESIAN_POINT('',(3.97487532020381E-6,0.0806420641206369,-0.0961044186671382)); #189357=CARTESIAN_POINT('',(1.25632455132188E-16,0.133260707947634,-0.158812190736091)); #189358=CARTESIAN_POINT('',(3.93700787420682E-6,0.0805921404101534,-0.0961462880047671)); #189359=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189360=CARTESIAN_POINT('',(3.93700787403201E-6,0.0802990468401755,-0.095796988870002)); #189361=CARTESIAN_POINT('',(3.93700787416108E-6,0.111688799444036,-0.13320624839661)); #189362=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,-7.44932078358935E-17, 0.)); #189363=CARTESIAN_POINT('Origin',(0.,0.182758019783089,-0.217800144869015)); #189364=CARTESIAN_POINT('',(0.00590885212827324,0.17998168569239,-0.214491474924589)); #189365=CARTESIAN_POINT('',(1.00590885,0.17998168569239,-0.214491474924589)); #189366=CARTESIAN_POINT('',(0.00263788041440778,0.0803489668269598,-0.0957551227341916)); #189367=CARTESIAN_POINT('',(0.006,0.182758019783089,-0.217800144869015)); #189368=CARTESIAN_POINT('',(3.9370078740321E-6,0.0803489668269598,-0.0957551227341916)); #189369=CARTESIAN_POINT('',(0.727954425,0.0803489668269599,-0.0957551227341917)); #189370=CARTESIAN_POINT('',(3.93700787416043E-6,0.117249935901638,-0.13973150428949)); #189371=CARTESIAN_POINT('Origin',(0.006,0.182758019783089,-0.217800144869015)); #189372=CARTESIAN_POINT('',(-3.17235514732392E-17,0.242487113056127,-0.13999999999797)); #189373=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,-7.44932078358935E-17, 0.)); #189374=CARTESIAN_POINT('',(3.97487532020444E-6,0.108648399625871,-0.0627274027178113)); #189375=CARTESIAN_POINT('',(1.17891356614021E-16,0.199137667771613,-0.114970756362814)); #189376=CARTESIAN_POINT('',(3.93700787420377E-6,0.108615806840384,-0.0627838219400333)); #189377=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189378=CARTESIAN_POINT('',(3.93700787402897E-6,0.10822092163534,-0.0625558320254593)); #189379=CARTESIAN_POINT('',(3.93700787415508E-6,0.162617539317968,-0.093962124436496)); #189380=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189381=CARTESIAN_POINT('Origin',(0.,0.246228399344066,-0.142158264819388)); #189382=CARTESIAN_POINT('',(0.00590885212827307,0.24248786691759,-0.139998694271616)); #189383=CARTESIAN_POINT('',(1.00590885,0.24248786691759,-0.139998694271616)); #189384=CARTESIAN_POINT('',(0.00263788041440778,0.108253512016781,-0.0624994170855427)); #189385=CARTESIAN_POINT('',(0.006,0.246228399344066,-0.142158264819388)); #189386=CARTESIAN_POINT('',(3.93700787402882E-6,0.108253512016781,-0.0624994170855427)); #189387=CARTESIAN_POINT('',(0.727954425,0.108253512016781,-0.0624994170855427)); #189388=CARTESIAN_POINT('',(3.93700787415422E-6,0.170074987068974,-0.0981916184945052)); #189389=CARTESIAN_POINT('Origin',(0.006,0.246228399344066,-0.142158264819388)); #189390=CARTESIAN_POINT('',(1.09346167998371E-16,0.275745909026082,-0.0486229745632635)); #189391=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,-7.44932078358935E-17, 0.)); #189392=CARTESIAN_POINT('',(2.44015207583357E-6,0.123550045455819,-0.0217850421257425)); #189393=CARTESIAN_POINT('',(1.14737615733899E-16,0.229864541541192,-0.040532596823754)); #189394=CARTESIAN_POINT('',(3.93700787420199E-6,0.12353880396708,-0.0218487003588044)); #189395=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189396=CARTESIAN_POINT('',(3.9370078740272E-6,0.123089756110584,-0.0217695186128918)); #189397=CARTESIAN_POINT('',(3.93700787415184E-6,0.192271988283881,-0.0339685968406463)); #189398=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,-7.44932078358935E-17, 0.)); #189399=CARTESIAN_POINT('Origin',(0.,0.28,-0.04937)); #189400=CARTESIAN_POINT('',(0.00590885212827324,0.275746432652759,-0.0486200049288097)); #189401=CARTESIAN_POINT('',(1.00590885,0.275746432652759,-0.0486200049288097)); #189402=CARTESIAN_POINT('',(0.00263788041440778,0.123101086005696,-0.0217053593432186)); #189403=CARTESIAN_POINT('',(0.006,0.28,-0.04937)); #189404=CARTESIAN_POINT('',(3.93700787402707E-6,0.123101086005696,-0.0217053593432186)); #189405=CARTESIAN_POINT('',(0.727954425,0.123101086005696,-0.0217053593432186)); #189406=CARTESIAN_POINT('',(3.93700787415062E-6,0.200726066256324,-0.0353923067538383)); #189407=CARTESIAN_POINT('Origin',(0.006,-0.246228399344066,0.142158264819388)); #189408=CARTESIAN_POINT('',(0.00590885212827307,-0.24248786691759,0.139998694271616)); #189409=CARTESIAN_POINT('',(-1.13402995601254E-18,-0.275746170839421,0.0486214897460356)); #189410=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,-7.44932078358935E-17, 0.)); #189411=CARTESIAN_POINT('',(3.97487532022034E-6,-0.123550134656623,0.0217845362428481)); #189412=CARTESIAN_POINT('',(1.46190911569508E-16,-0.0416923178517374,0.0073512490440724)); #189413=CARTESIAN_POINT('',(3.93700787423077E-6,-0.12353880396708,0.0218487003588047)); #189414=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189415=CARTESIAN_POINT('',(3.93700787405588E-6,-0.123089756110584,0.0217695186128922)); #189416=CARTESIAN_POINT('',(3.93700787418291E-6,-0.0708203170320771,0.0125527160292165)); #189417=CARTESIAN_POINT('',(0.00263788041440769,-0.108253512016781,0.0624994170855427)); #189418=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189419=CARTESIAN_POINT('',(0.006,-0.246228399344066,0.142158264819388)); #189420=CARTESIAN_POINT('Origin',(0.006,-0.182758019783089,0.217800144869015)); #189421=CARTESIAN_POINT('',(0.00590885212827359,-0.17998168569239,0.214491474924589)); #189422=CARTESIAN_POINT('',(-1.83788718632003E-18,-0.242487113056127,0.13999999999797)); #189423=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,-7.44932078358935E-17, 0.)); #189424=CARTESIAN_POINT('',(3.97487532021726E-6,-0.108648399625871,0.0627274027178114)); #189425=CARTESIAN_POINT('',(1.46825270722777E-16,-0.0470907315724526,0.0271875084565737)); #189426=CARTESIAN_POINT('',(3.93700787422899E-6,-0.108615806840384,0.0627838219400338)); #189427=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189428=CARTESIAN_POINT('',(3.93700787405411E-6,-0.10822092163534,0.0625558320254597)); #189429=CARTESIAN_POINT('',(3.93700787418291E-6,-0.0686971324775421,0.0397364779486311)); #189430=CARTESIAN_POINT('',(0.00263788041440769,-0.0803489668269599,0.0957551227341917)); #189431=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,-7.44932078358935E-17, 0.)); #189432=CARTESIAN_POINT('',(0.006,-0.182758019783089,0.217800144869015)); #189433=CARTESIAN_POINT('Origin',(0.006,-0.0972443258151622,0.267172113059643)); #189434=CARTESIAN_POINT('',(0.00590885212827307,-0.0957670569259056,0.263113418144629)); #189435=CARTESIAN_POINT('',(8.29587950657911E-17,-0.179980530709622,0.214492444070204)); #189436=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189437=CARTESIAN_POINT('',(3.97487532021701E-6,-0.080642064120637,0.0961044186671382)); #189438=CARTESIAN_POINT('',(1.4710806420562E-16,-0.0494973118354551,0.0589879541329242)); #189439=CARTESIAN_POINT('',(3.93700787422594E-6,-0.0805921404101531,0.0961462880047674)); #189440=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189441=CARTESIAN_POINT('',(3.93700787405107E-6,-0.0802990468401753,0.0957969888700023)); #189442=CARTESIAN_POINT('',(3.93700787418155E-6,-0.0599482755715165,0.0715436188749136)); #189443=CARTESIAN_POINT('',(0.00263788041440778,-0.0427531504133507,0.117461347385995)); #189444=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189445=CARTESIAN_POINT('',(0.006,-0.0972443258151622,0.267172113059643)); #189446=CARTESIAN_POINT('Origin',(0.006,-1.53098052780792E-6,0.284319181374835)); #189447=CARTESIAN_POINT('',(0.00590885212827359,-1.50772292501435E-6,0.279999999995941)); #189448=CARTESIAN_POINT('',(7.95854968080224E-17,-0.0957656401297989,0.26311393381624)); #189449=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189450=CARTESIAN_POINT('',(3.97487532021483E-6,-0.042909105532342,0.117889823375047)); #189451=CARTESIAN_POINT('',(1.45611848726649E-16,-0.0367644772429337,0.101007878744492)); #189452=CARTESIAN_POINT('',(3.93700787422141E-6,-0.0428478724331412,0.11791209276804)); #189453=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189454=CARTESIAN_POINT('',(3.93700787404656E-6,-0.0426919219083691,0.117483615043842)); #189455=CARTESIAN_POINT('',(3.93700787417813E-6,-0.038304527764662,0.105429146740114)); #189456=CARTESIAN_POINT('',(0.00263788041440778,-6.73090591543023E-7,0.124999999998188)); #189457=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189458=CARTESIAN_POINT('',(0.006,-1.53098052780792E-6,0.284319181374835)); #189459=CARTESIAN_POINT('Origin',(0.006,0.0972414485129532,0.267173160312002)); #189460=CARTESIAN_POINT('',(0.00590885212827324,0.0957642233336921,0.263114449487851)); #189461=CARTESIAN_POINT('',(7.29423701673935E-17,-4.64584232467452E-18, 0.279999999995956)); #189462=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189463=CARTESIAN_POINT('',(3.97487532021394E-6,-6.75545894193683E-7,0.125455975515434)); #189464=CARTESIAN_POINT('',(1.41291802456237E-16,-7.65487324861688E-7,0.142159044870213)); #189465=CARTESIAN_POINT('',(3.93700787421628E-6,6.44813265556131E-5,0.125455958946333)); #189466=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189467=CARTESIAN_POINT('',(3.93700787404144E-6,6.44788712498082E-5,0.124999983369899)); #189468=CARTESIAN_POINT('',(3.93700787417403E-6,6.45251109354562E-5,0.133587178776889)); #189469=CARTESIAN_POINT('',(0.00263788041440778,0.0427518854168268,0.117461807807076)); #189470=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189471=CARTESIAN_POINT('',(0.006,0.0972414485129532,0.267173160312002)); #189472=CARTESIAN_POINT('Origin',(0.006,0.182755674184838,0.217802113059643)); #189473=CARTESIAN_POINT('',(0.00590885212827324,0.179979375726853,0.214493413215819)); #189474=CARTESIAN_POINT('',(6.81226870279619E-17,0.0957656401297989,0.26311393381624)); #189475=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189476=CARTESIAN_POINT('',(3.97487532021074E-6,0.0429078359213586,0.117890285475654)); #189477=CARTESIAN_POINT('',(1.34185071597056E-16,0.0604777082320513,0.166163921700857)); #189478=CARTESIAN_POINT('',(3.93700787421148E-6,0.0429690576866268,0.117867984942939)); #189479=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189480=CARTESIAN_POINT('',(3.93700787403666E-6,0.0428131025473893,0.11743950889827)); #189481=CARTESIAN_POINT('',(3.93700787416857E-6,0.0530746871118139,0.145632508422253)); #189482=CARTESIAN_POINT('',(0.00263788041440769,0.0803479355923452,0.0957559880427764)); #189483=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189484=CARTESIAN_POINT('',(0.006,0.182755674184838,0.217802113059643)); #189485=CARTESIAN_POINT('Origin',(0.006,0.246226868363538,0.142160916555447)); #189486=CARTESIAN_POINT('',(0.00590885212827324,0.242486359194665,0.140001305724325)); #189487=CARTESIAN_POINT('',(-2.57223933870941E-17,0.179980530709622,0.214492444070204)); #189488=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,-7.44932078358935E-17, 0.)); #189489=CARTESIAN_POINT('',(3.97487532020881E-6,0.0806410291242804,0.0961052871321992)); #189490=CARTESIAN_POINT('',(1.25632719273427E-16,0.133258460098479,0.158812985271079)); #189491=CARTESIAN_POINT('',(3.93700787420702E-6,0.0806909315339388,0.0960633924092351)); #189492=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189493=CARTESIAN_POINT('',(3.93700787403222E-6,0.0803978342022143,0.0957140964309503)); #189494=CARTESIAN_POINT('',(3.93700787416242E-6,0.111788321720435,0.133123413609432)); #189495=CARTESIAN_POINT('',(0.00263788041440769,0.10825283892619,0.0625005829126452)); #189496=CARTESIAN_POINT('Origin',(-8.74191357972564E-17,-7.44932078358935E-17, 0.)); #189497=CARTESIAN_POINT('',(0.006,0.246226868363538,0.142160916555447)); #189498=CARTESIAN_POINT('Origin',(0.006,0.279999468296043,0.0493730154429869)); #189499=CARTESIAN_POINT('',(0.00590885212827324,0.275745909026082,0.0486229745632615)); #189500=CARTESIAN_POINT('',(-2.82827605321552E-17,0.242487113056127,0.13999999999797)); #189501=CARTESIAN_POINT('Origin',(-1.74838271594513E-16,-7.44932078358935E-17, 0.)); #189502=CARTESIAN_POINT('',(3.97487532020411E-6,0.108647724079977,0.0627285727976226)); #189503=CARTESIAN_POINT('',(1.17891557656078E-16,0.199135956898219,0.114972628048048)); #189504=CARTESIAN_POINT('',(3.93700787420367E-6,0.10868028816694,0.0626721370062999)); #189505=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189506=CARTESIAN_POINT('',(3.93700787402887E-6,0.10828540050659,0.0624441513444402)); #189507=CARTESIAN_POINT('',(3.93700787415628E-6,0.162682648403816,0.0938500265100153)); #189508=CARTESIAN_POINT('',(0.00263788041440778,0.123100852243787,0.0217066850728846)); #189509=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189510=CARTESIAN_POINT('',(0.006,0.279999468296043,0.0493730154429869)); #189511=CARTESIAN_POINT('Origin',(0.,-0.28,0.04937)); #189512=CARTESIAN_POINT('',(1.00590885,-0.275746432652759,0.0486200049288097)); #189513=CARTESIAN_POINT('',(3.93700787405601E-6,-0.123101086005696,0.0217053593432186)); #189514=CARTESIAN_POINT('',(0.727954425,-0.123101086005696,0.0217053593432186)); #189515=CARTESIAN_POINT('',(3.93700787418353E-6,-0.0792739337436762,0.0139776932461617)); #189516=CARTESIAN_POINT('Origin',(0.,-0.246228399344066,0.142158264819388)); #189517=CARTESIAN_POINT('',(1.00590885,-0.24248786691759,0.139998694271615)); #189518=CARTESIAN_POINT('',(3.93700787405426E-6,-0.108253512016781,0.0624994170855426)); #189519=CARTESIAN_POINT('',(0.727954425,-0.108253512016781,0.0624994170855426)); #189520=CARTESIAN_POINT('',(3.93700787418316E-6,-0.0761534122750917,0.0439666463248825)); #189521=CARTESIAN_POINT('Origin',(0.,-0.182758019783089,0.217800144869015)); #189522=CARTESIAN_POINT('',(1.00590885,-0.17998168569239,0.214491474924589)); #189523=CARTESIAN_POINT('',(3.93700787405098E-6,-0.0803489668269599,0.0957551227341916)); #189524=CARTESIAN_POINT('',(0.727954425,-0.0803489668269598,0.0957551227341916)); #189525=CARTESIAN_POINT('',(3.93700787418191E-6,-0.0655080838814517,0.0780686405795252)); #189526=CARTESIAN_POINT('Origin',(0.,-0.0972443258151622,0.267172113059643)); #189527=CARTESIAN_POINT('',(1.00590885,-0.0957670569259056,0.263113418144629)); #189528=CARTESIAN_POINT('',(3.93700787404656E-6,-0.0427531504133507,0.117461347385995)); #189529=CARTESIAN_POINT('',(0.727954425,-0.0427531504133507,0.117461347385995)); #189530=CARTESIAN_POINT('',(3.93700787417906E-6,-0.0412975002811948,0.113462048522811)); #189531=CARTESIAN_POINT('Origin',(0.,-1.53098052780792E-6,0.284319181374835)); #189532=CARTESIAN_POINT('',(1.00590885,-1.50772292501421E-6,0.279999999995941)); #189533=CARTESIAN_POINT('',(3.93700787404154E-6,-6.73090591537959E-7,0.124999999998188)); #189534=CARTESIAN_POINT('',(0.727954425,-6.73090591537959E-7,0.124999999998188)); #189535=CARTESIAN_POINT('',(3.93700787417421E-6,-7.65488448416131E-7,0.142159253528296)); #189536=CARTESIAN_POINT('Origin',(0.,0.0972414485129532,0.267173160312002)); #189537=CARTESIAN_POINT('',(1.00590885,0.0957642233336921,0.263114449487851)); #189538=CARTESIAN_POINT('',(3.93700787403652E-6,0.0427518854168268,0.117461807807076)); #189539=CARTESIAN_POINT('',(0.727954425,0.0427518854168268,0.117461807807076)); #189540=CARTESIAN_POINT('',(3.93700787416764E-6,0.0559449534394514,0.153710071605268)); #189541=CARTESIAN_POINT('Origin',(0.,0.182755674184838,0.217802113059643)); #189542=CARTESIAN_POINT('',(1.00590885,0.179979375726853,0.214493413215819)); #189543=CARTESIAN_POINT('',(3.9370078740321E-6,0.0803479355923452,0.0957559880427764)); #189544=CARTESIAN_POINT('',(0.727954425,0.0803479355923453,0.0957559880427764)); #189545=CARTESIAN_POINT('',(3.93700787416043E-6,0.117248099028678,0.13973237129067)); #189546=CARTESIAN_POINT('Origin',(0.,0.246226868363538,0.142160916555447)); #189547=CARTESIAN_POINT('',(1.00590885,0.242486359194665,0.140001305724325)); #189548=CARTESIAN_POINT('',(3.93700787402882E-6,0.10825283892619,0.0625005829126452)); #189549=CARTESIAN_POINT('',(0.727954425,0.10825283892619,0.0625005829126452)); #189550=CARTESIAN_POINT('',(3.93700787415422E-6,0.170073637601982,0.0981932815216563)); #189551=CARTESIAN_POINT('Origin',(0.,0.279999468296043,0.0493730154429869)); #189552=CARTESIAN_POINT('',(5.56198343385327E-17,0.275746170839421,0.0486214897460356)); #189553=CARTESIAN_POINT('',(3.97487532020418E-6,0.123549900041996,0.0217858668085162)); #189554=CARTESIAN_POINT('',(1.13288624598951E-16,0.238307042935327,0.0420212844782203)); #189555=CARTESIAN_POINT('',(1.00590885,0.275745909026082,0.0486229745632615)); #189556=CARTESIAN_POINT('',(3.93700787402707E-6,0.123100852243787,0.0217066850728846)); #189557=CARTESIAN_POINT('',(0.727954425,0.123100852243787,0.0217066850728846)); #189558=CARTESIAN_POINT('',(3.93700787415062E-6,0.200725569773923,0.0353944481272086)); #189559=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189560=CARTESIAN_POINT('',(3.93700787402713E-6,0.123112149387565,0.0216425200282676)); #189561=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189562=CARTESIAN_POINT('',(3.93700787420192E-6,0.12356119809678,0.0217216969381718)); #189563=CARTESIAN_POINT('',(3.9370078741515E-6,0.192294628249527,0.0338408735333195)); #189564=CARTESIAN_POINT('Origin',(3.93700787421638E-6,-7.44927452042557E-17, 0.)); #189565=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189566=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189567=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189568=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189569=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189570=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189571=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189572=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189573=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189574=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189575=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189576=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189577=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189578=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189579=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189580=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189581=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189582=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189583=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189584=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189585=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189586=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189587=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189588=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189589=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189590=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189591=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189592=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189593=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189594=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189595=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189596=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189597=CARTESIAN_POINT('Origin',(0.006,0.28,-0.04937)); #189598=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189599=CARTESIAN_POINT('Origin',(0.,-7.44932078358935E-17,0.)); #189600=CARTESIAN_POINT('Origin',(-0.28034115,-0.028617088266467,-0.0573787508422041)); #189601=CARTESIAN_POINT('',(-0.28034115,-0.0270643035518563,-0.0525017488232819)); #189602=CARTESIAN_POINT('',(-0.28534115,-0.0295643035518562,-0.0568318758422041)); #189603=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518563,-0.0568318758422041)); #189604=CARTESIAN_POINT('',(-0.28534115,-0.0344356964481437,-0.0540193758422041)); #189605=CARTESIAN_POINT('',(-0.28534115,-0.028617088266467,-0.0573787508422041)); #189606=CARTESIAN_POINT('',(-0.28034115,-0.0319356964481437,-0.0496892488232819)); #189607=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481437,-0.0540193758422041)); #189608=CARTESIAN_POINT('',(-0.28034115,-0.0261170882664671,-0.0530486238232819)); #189609=CARTESIAN_POINT('Origin',(-0.28034115,-0.0456856964481437,-0.073504947427354)); #189610=CARTESIAN_POINT('',(-0.28034115,-0.0387658234670659,-0.0515193758422041)); #189611=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481437,-0.0540193758422041)); #189612=CARTESIAN_POINT('',(-0.28534115,-0.0844356964481437,-0.140621916220648)); #189613=CARTESIAN_POINT('',(-0.28534115,-0.0456856964481437,-0.073504947427354)); #189614=CARTESIAN_POINT('',(-0.28034115,-0.0887658234670659,-0.138121916220648)); #189615=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481437,-0.140621916220648)); #189616=CARTESIAN_POINT('',(-0.28034115,-0.0215158234670659,-0.021641499411641)); #189617=CARTESIAN_POINT('Origin',(-0.28034115,-0.0853829117335329,-0.140075041220648)); #189618=CARTESIAN_POINT('',(-0.28034115,-0.0869356964481437,-0.14495204323957)); #189619=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481437,-0.140621916220648)); #189620=CARTESIAN_POINT('',(-0.28534115,-0.0795643035518562,-0.143434416220648)); #189621=CARTESIAN_POINT('',(-0.28534115,-0.0853829117335329,-0.140075041220648)); #189622=CARTESIAN_POINT('',(-0.28034115,-0.0820643035518562,-0.14776454323957)); #189623=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518562,-0.143434416220648)); #189624=CARTESIAN_POINT('',(-0.28034115,-0.0878829117335329,-0.14440516823957)); #189625=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481437,-0.140621916220648)); #189626=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481437,-0.140621916220648)); #189627=CARTESIAN_POINT('Origin',(-0.28034115,-0.0683143035518562,-0.123948844635498)); #189628=CARTESIAN_POINT('',(-0.28034115,-0.075234176532934,-0.145934416220648)); #189629=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518562,-0.143434416220648)); #189630=CARTESIAN_POINT('',(-0.28534115,-0.0683143035518562,-0.123948844635498)); #189631=CARTESIAN_POINT('',(-0.28034115,-0.0252341765329341,-0.0593318758422041)); #189632=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518562,-0.0568318758422041)); #189633=CARTESIAN_POINT('',(-0.28034115,-0.0354841765329341,-0.0770853966197851)); #189634=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518562,-0.143434416220648)); #189635=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518562,-0.143434416220648)); #189636=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481437,-0.0540193758422041)); #189637=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481437,-0.0540193758422041)); #189638=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518563,-0.0568318758422041)); #189639=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518563,-0.0568318758422041)); #189640=CARTESIAN_POINT('Origin',(-0.28534115,-0.057,-0.098726896031426)); #189641=CARTESIAN_POINT('Origin',(-0.28034115,-0.0258459504859881,-0.019141499411641)); #189642=CARTESIAN_POINT('',(-0.27534115,-0.0430959504859881,-0.0490193758422041)); #189643=CARTESIAN_POINT('Origin',(-0.28034115,-0.0430959504859881,-0.0490193758422041)); #189644=CARTESIAN_POINT('',(-0.27534115,-0.0930959504859881,-0.135621916220648)); #189645=CARTESIAN_POINT('Origin',(-0.28034115,-0.0930959504859881,-0.135621916220648)); #189646=CARTESIAN_POINT('',(-0.27534115,-0.0258459504859881,-0.019141499411641)); #189647=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481437,-0.0540193758422041)); #189648=CARTESIAN_POINT('',(-0.27534115,-0.0294356964481437,-0.0453591218043597)); #189649=CARTESIAN_POINT('Origin',(-0.28034115,-0.0294356964481437,-0.0453591218043597)); #189650=CARTESIAN_POINT('Origin',(-0.27534115,-0.0344356964481437,-0.0540193758422041)); #189651=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481437,-0.140621916220648)); #189652=CARTESIAN_POINT('',(-0.27534115,-0.0894356964481437,-0.149282170258492)); #189653=CARTESIAN_POINT('Origin',(-0.28034115,-0.0894356964481437,-0.149282170258492)); #189654=CARTESIAN_POINT('Origin',(-0.27534115,-0.0844356964481437,-0.140621916220648)); #189655=CARTESIAN_POINT('Origin',(-0.28034115,-0.0236170882664671,-0.0487184968043597)); #189656=CARTESIAN_POINT('',(-0.27534115,-0.0245643035518563,-0.0481716218043597)); #189657=CARTESIAN_POINT('Origin',(-0.28034115,-0.0245643035518563,-0.0481716218043597)); #189658=CARTESIAN_POINT('',(-0.27534115,-0.0236170882664671,-0.0487184968043597)); #189659=CARTESIAN_POINT('Origin',(-0.28034115,-0.0903829117335329,-0.148735295258492)); #189660=CARTESIAN_POINT('',(-0.27534115,-0.0845643035518562,-0.152094670258492)); #189661=CARTESIAN_POINT('Origin',(-0.28034115,-0.0845643035518562,-0.152094670258492)); #189662=CARTESIAN_POINT('',(-0.27534115,-0.0903829117335329,-0.148735295258492)); #189663=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518563,-0.0568318758422041)); #189664=CARTESIAN_POINT('',(-0.27534115,-0.0209040495140119,-0.0618318758422041)); #189665=CARTESIAN_POINT('Origin',(-0.28034115,-0.0209040495140119,-0.0618318758422041)); #189666=CARTESIAN_POINT('Origin',(-0.27534115,-0.0295643035518563,-0.0568318758422041)); #189667=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518562,-0.143434416220648)); #189668=CARTESIAN_POINT('',(-0.27534115,-0.0709040495140118,-0.148434416220648)); #189669=CARTESIAN_POINT('Origin',(-0.28034115,-0.0709040495140118,-0.148434416220648)); #189670=CARTESIAN_POINT('Origin',(-0.27534115,-0.0795643035518562,-0.143434416220648)); #189671=CARTESIAN_POINT('Origin',(-0.28034115,-0.0311540495140119,-0.0795853966197851)); #189672=CARTESIAN_POINT('',(-0.27534115,-0.0311540495140119,-0.0795853966197851)); #189673=CARTESIAN_POINT('Origin',(-0.27534115,-8.74191357972564E-17,-5.46369598732853E-18)); #189674=CARTESIAN_POINT('',(-0.27534115,0.162379763209582,-0.09375)); #189675=CARTESIAN_POINT('',(-0.27534115,-1.31128703695885E-16,-0.1875)); #189676=CARTESIAN_POINT('Origin',(-0.27534115,0.,0.)); #189677=CARTESIAN_POINT('',(-0.27534115,-0.162379763209582,-0.0937499999999999)); #189678=CARTESIAN_POINT('Origin',(-0.27534115,0.,0.)); #189679=CARTESIAN_POINT('',(-0.27534115,-0.162379763209582,0.0937500000000001)); #189680=CARTESIAN_POINT('Origin',(-0.27534115,0.,0.)); #189681=CARTESIAN_POINT('',(-0.27534115,3.27821759239712E-17,0.1875)); #189682=CARTESIAN_POINT('Origin',(-0.27534115,0.,0.)); #189683=CARTESIAN_POINT('',(-0.27534115,0.162379763209582,0.0937499999999999)); #189684=CARTESIAN_POINT('Origin',(-0.27534115,0.,0.)); #189685=CARTESIAN_POINT('Origin',(-0.27534115,0.,0.)); #189686=CARTESIAN_POINT('',(-0.27534115,-0.070904049514012,0.148434416220648)); #189687=CARTESIAN_POINT('',(-0.27534115,-0.0209040495140119,0.0618318758422041)); #189688=CARTESIAN_POINT('',(-0.27534115,-0.00365404951401192,0.0319539994116409)); #189689=CARTESIAN_POINT('',(-0.27534115,-0.0845643035518563,0.152094670258492)); #189690=CARTESIAN_POINT('Origin',(-0.27534115,-0.0795643035518563,0.143434416220648)); #189691=CARTESIAN_POINT('',(-0.27534115,-0.0894356964481438,0.149282170258492)); #189692=CARTESIAN_POINT('',(-0.27534115,-0.0836170882664672,0.152641545258492)); #189693=CARTESIAN_POINT('',(-0.27534115,-0.0930959504859882,0.135621916220648)); #189694=CARTESIAN_POINT('Origin',(-0.27534115,-0.0844356964481438,0.140621916220648)); #189695=CARTESIAN_POINT('',(-0.27534115,-0.0430959504859881,0.049019375842204)); #189696=CARTESIAN_POINT('',(-0.27534115,-0.0533459504859882,0.0667728966197851)); #189697=CARTESIAN_POINT('',(-0.27534115,-0.0294356964481438,0.0453591218043597)); #189698=CARTESIAN_POINT('Origin',(-0.27534115,-0.0344356964481438,0.0540193758422041)); #189699=CARTESIAN_POINT('',(-0.27534115,-0.0245643035518563,0.0481716218043597)); #189700=CARTESIAN_POINT('',(-0.27534115,-0.030382911733533,0.0448122468043596)); #189701=CARTESIAN_POINT('Origin',(-0.27534115,-0.0295643035518563,0.0568318758422041)); #189702=CARTESIAN_POINT('',(-0.27534115,0.064,-0.0128125)); #189703=CARTESIAN_POINT('',(-0.27534115,0.054,-0.0028125)); #189704=CARTESIAN_POINT('Origin',(-0.27534115,0.064,-0.0028125)); #189705=CARTESIAN_POINT('',(-0.27534115,0.164,-0.0128125)); #189706=CARTESIAN_POINT('',(-0.27534115,0.0295,-0.0128125)); #189707=CARTESIAN_POINT('',(-0.27534115,0.174,-0.00281250000000002)); #189708=CARTESIAN_POINT('Origin',(-0.27534115,0.164,-0.00281250000000002)); #189709=CARTESIAN_POINT('',(-0.27534115,0.174,0.0028125)); #189710=CARTESIAN_POINT('',(-0.27534115,0.174,-0.00390625000000001)); #189711=CARTESIAN_POINT('',(-0.27534115,0.164,0.0128125)); #189712=CARTESIAN_POINT('Origin',(-0.27534115,0.164,0.0028125)); #189713=CARTESIAN_POINT('',(-0.27534115,0.064,0.0128125)); #189714=CARTESIAN_POINT('',(-0.27534115,0.0845,0.0128125)); #189715=CARTESIAN_POINT('',(-0.27534115,0.054,0.0028125)); #189716=CARTESIAN_POINT('Origin',(-0.27534115,0.064,0.0028125)); #189717=CARTESIAN_POINT('',(-0.27534115,0.054,0.00390625)); #189718=CARTESIAN_POINT('Origin',(-0.28034115,-0.035382911733533,0.053472500842204)); #189719=CARTESIAN_POINT('',(-0.28034115,-0.0319356964481438,0.0496892488232819)); #189720=CARTESIAN_POINT('',(-0.28534115,-0.0344356964481438,0.054019375842204)); #189721=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481438,0.0540193758422041)); #189722=CARTESIAN_POINT('',(-0.28534115,-0.0295643035518563,0.0568318758422041)); #189723=CARTESIAN_POINT('',(-0.28534115,-0.035382911733533,0.053472500842204)); #189724=CARTESIAN_POINT('',(-0.28034115,-0.0270643035518563,0.0525017488232819)); #189725=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518563,0.0568318758422041)); #189726=CARTESIAN_POINT('',(-0.28034115,-0.032882911733533,0.0491423738232818)); #189727=CARTESIAN_POINT('Origin',(-0.28034115,-0.0408143035518563,0.0763174474273539)); #189728=CARTESIAN_POINT('',(-0.28034115,-0.0252341765329341,0.0593318758422041)); #189729=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518563,0.0568318758422041)); #189730=CARTESIAN_POINT('',(-0.28534115,-0.0795643035518563,0.143434416220648)); #189731=CARTESIAN_POINT('',(-0.28534115,-0.0408143035518563,0.0763174474273539)); #189732=CARTESIAN_POINT('',(-0.28034115,-0.0752341765329341,0.145934416220648)); #189733=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518563,0.143434416220648)); #189734=CARTESIAN_POINT('',(-0.28034115,-0.00798417653293411,0.0294539994116409)); #189735=CARTESIAN_POINT('Origin',(-0.28034115,-0.0786170882664671,0.143981291220648)); #189736=CARTESIAN_POINT('',(-0.28034115,-0.0820643035518563,0.14776454323957)); #189737=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518563,0.143434416220648)); #189738=CARTESIAN_POINT('',(-0.28534115,-0.0844356964481438,0.140621916220648)); #189739=CARTESIAN_POINT('',(-0.28534115,-0.0786170882664671,0.143981291220648)); #189740=CARTESIAN_POINT('',(-0.28034115,-0.0869356964481438,0.14495204323957)); #189741=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481438,0.140621916220648)); #189742=CARTESIAN_POINT('',(-0.28034115,-0.0811170882664672,0.14831141823957)); #189743=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518563,0.143434416220648)); #189744=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518563,0.143434416220648)); #189745=CARTESIAN_POINT('Origin',(-0.28034115,-0.0731856964481438,0.121136344635498)); #189746=CARTESIAN_POINT('',(-0.28034115,-0.088765823467066,0.138121916220648)); #189747=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481438,0.140621916220648)); #189748=CARTESIAN_POINT('',(-0.28534115,-0.0731856964481438,0.121136344635498)); #189749=CARTESIAN_POINT('',(-0.28034115,-0.038765823467066,0.051519375842204)); #189750=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481438,0.054019375842204)); #189751=CARTESIAN_POINT('',(-0.28034115,-0.049015823467066,0.0692728966197851)); #189752=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481438,0.140621916220648)); #189753=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481438,0.140621916220648)); #189754=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518563,0.0568318758422041)); #189755=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518563,0.0568318758422041)); #189756=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481438,0.0540193758422041)); #189757=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481438,0.0540193758422041)); #189758=CARTESIAN_POINT('Origin',(-0.28534115,-0.0570000000000001,0.098726896031426)); #189759=CARTESIAN_POINT('Origin',(-0.28034115,-0.00365404951401192,0.0319539994116409)); #189760=CARTESIAN_POINT('Origin',(-0.28034115,-0.0209040495140119,0.0618318758422041)); #189761=CARTESIAN_POINT('Origin',(-0.28034115,-0.070904049514012,0.148434416220648)); #189762=CARTESIAN_POINT('Origin',(-0.28034115,-0.0295643035518563,0.0568318758422041)); #189763=CARTESIAN_POINT('Origin',(-0.28034115,-0.0245643035518563,0.0481716218043597)); #189764=CARTESIAN_POINT('Origin',(-0.28034115,-0.0795643035518563,0.143434416220648)); #189765=CARTESIAN_POINT('Origin',(-0.28034115,-0.0845643035518563,0.152094670258492)); #189766=CARTESIAN_POINT('Origin',(-0.28034115,-0.030382911733533,0.0448122468043596)); #189767=CARTESIAN_POINT('Origin',(-0.28034115,-0.0294356964481438,0.0453591218043597)); #189768=CARTESIAN_POINT('Origin',(-0.28034115,-0.0836170882664672,0.152641545258492)); #189769=CARTESIAN_POINT('Origin',(-0.28034115,-0.0894356964481438,0.149282170258492)); #189770=CARTESIAN_POINT('Origin',(-0.28034115,-0.0344356964481438,0.0540193758422041)); #189771=CARTESIAN_POINT('Origin',(-0.28034115,-0.0430959504859881,0.049019375842204)); #189772=CARTESIAN_POINT('Origin',(-0.28034115,-0.0844356964481438,0.140621916220648)); #189773=CARTESIAN_POINT('Origin',(-0.28034115,-0.0930959504859882,0.135621916220648)); #189774=CARTESIAN_POINT('Origin',(-0.28034115,-0.0533459504859882,0.0667728966197851)); #189775=CARTESIAN_POINT('Origin',(-0.28034115,0.064,0.00390624999999999)); #189776=CARTESIAN_POINT('',(-0.28034115,0.059,0.0028125)); #189777=CARTESIAN_POINT('',(-0.28534115,0.064,0.0028125)); #189778=CARTESIAN_POINT('Origin',(-0.28034115,0.064,0.0028125)); #189779=CARTESIAN_POINT('',(-0.28534115,0.064,-0.0028125)); #189780=CARTESIAN_POINT('',(-0.28534115,0.064,0.00390624999999999)); #189781=CARTESIAN_POINT('',(-0.28034115,0.059,-0.0028125)); #189782=CARTESIAN_POINT('Origin',(-0.28034115,0.064,-0.0028125)); #189783=CARTESIAN_POINT('',(-0.28034115,0.059,0.00390625)); #189784=CARTESIAN_POINT('Origin',(-0.28034115,0.0865,-0.0028125)); #189785=CARTESIAN_POINT('',(-0.28034115,0.064,-0.0078125)); #189786=CARTESIAN_POINT('Origin',(-0.28034115,0.064,-0.0028125)); #189787=CARTESIAN_POINT('',(-0.28534115,0.164,-0.00281250000000002)); #189788=CARTESIAN_POINT('',(-0.28534115,0.0865,-0.0028125)); #189789=CARTESIAN_POINT('',(-0.28034115,0.164,-0.00781250000000002)); #189790=CARTESIAN_POINT('Origin',(-0.28034115,0.164,-0.00281250000000002)); #189791=CARTESIAN_POINT('',(-0.28034115,0.0295,-0.00781249999999999)); #189792=CARTESIAN_POINT('Origin',(-0.28034115,0.164,-0.00390625000000001)); #189793=CARTESIAN_POINT('',(-0.28034115,0.169,-0.00281250000000002)); #189794=CARTESIAN_POINT('Origin',(-0.28034115,0.164,-0.00281250000000002)); #189795=CARTESIAN_POINT('',(-0.28534115,0.164,0.0028125)); #189796=CARTESIAN_POINT('',(-0.28534115,0.164,-0.00390625000000001)); #189797=CARTESIAN_POINT('',(-0.28034115,0.169,0.0028125)); #189798=CARTESIAN_POINT('Origin',(-0.28034115,0.164,0.0028125)); #189799=CARTESIAN_POINT('',(-0.28034115,0.169,-0.00390625000000001)); #189800=CARTESIAN_POINT('Origin',(-0.28034115,0.164,-0.00281250000000002)); #189801=CARTESIAN_POINT('Origin',(-0.28034115,0.164,-0.00281250000000002)); #189802=CARTESIAN_POINT('Origin',(-0.28034115,0.1415,0.0028125)); #189803=CARTESIAN_POINT('',(-0.28034115,0.164,0.0078125)); #189804=CARTESIAN_POINT('Origin',(-0.28034115,0.164,0.0028125)); #189805=CARTESIAN_POINT('',(-0.28534115,0.1415,0.0028125)); #189806=CARTESIAN_POINT('',(-0.28034115,0.064,0.0078125)); #189807=CARTESIAN_POINT('Origin',(-0.28034115,0.064,0.0028125)); #189808=CARTESIAN_POINT('',(-0.28034115,0.0845,0.0078125)); #189809=CARTESIAN_POINT('Origin',(-0.28034115,0.164,0.0028125)); #189810=CARTESIAN_POINT('Origin',(-0.28034115,0.164,0.0028125)); #189811=CARTESIAN_POINT('Origin',(-0.28034115,0.064,-0.0028125)); #189812=CARTESIAN_POINT('Origin',(-0.28034115,0.064,-0.0028125)); #189813=CARTESIAN_POINT('Origin',(-0.28034115,0.064,0.0028125)); #189814=CARTESIAN_POINT('Origin',(-0.28034115,0.064,0.0028125)); #189815=CARTESIAN_POINT('Origin',(-0.28534115,0.114,-6.14665798574459E-18)); #189816=CARTESIAN_POINT('Origin',(-0.28034115,0.064,-0.0028125)); #189817=CARTESIAN_POINT('Origin',(-0.28034115,0.064,-0.0128125)); #189818=CARTESIAN_POINT('Origin',(-0.28034115,0.054,-0.0028125)); #189819=CARTESIAN_POINT('Origin',(-0.28034115,0.0295,-0.0128125)); #189820=CARTESIAN_POINT('Origin',(-0.28034115,0.164,-0.0128125)); #189821=CARTESIAN_POINT('Origin',(-0.28034115,0.054,0.00390625)); #189822=CARTESIAN_POINT('Origin',(-0.28034115,0.054,0.0028125)); #189823=CARTESIAN_POINT('Origin',(-0.28034115,0.164,-0.00281250000000002)); #189824=CARTESIAN_POINT('Origin',(-0.28034115,0.174,-0.00281250000000002)); #189825=CARTESIAN_POINT('Origin',(-0.28034115,0.064,0.0028125)); #189826=CARTESIAN_POINT('Origin',(-0.28034115,0.064,0.0128125)); #189827=CARTESIAN_POINT('Origin',(-0.28034115,0.174,-0.00390625000000001)); #189828=CARTESIAN_POINT('Origin',(-0.28034115,0.174,0.0028125)); #189829=CARTESIAN_POINT('Origin',(-0.28034115,0.0845,0.0128125)); #189830=CARTESIAN_POINT('Origin',(-0.28034115,0.164,0.0128125)); #189831=CARTESIAN_POINT('Origin',(-0.28034115,0.164,0.0028125)); #189832=CARTESIAN_POINT('Origin',(0.9905726,4.19074291468332E-17,0.)); #189833=CARTESIAN_POINT('',(1.00590885,0.0943275000000001,-1.15517870946572E-17)); #189834=CARTESIAN_POINT('Origin',(1.00590885,4.37095678986282E-17,0.)); #189835=CARTESIAN_POINT('',(0.97523635,0.125,1.53080849893419E-17)); #189836=CARTESIAN_POINT('',(0.9905726,0.10966375,1.34299360419996E-17)); #189837=CARTESIAN_POINT('',(0.97523635,-0.125,-1.53080849893419E-17)); #189838=CARTESIAN_POINT('Origin',(0.97523635,4.01052903950382E-17,0.)); #189839=CARTESIAN_POINT('Origin',(0.97523635,4.01052903950382E-17,0.)); #189840=CARTESIAN_POINT('Origin',(0.727954425,1.10475781341551E-17,0.)); #189841=CARTESIAN_POINT('',(0.727954425,-0.125,-1.53080849893419E-17)); #189842=CARTESIAN_POINT('Origin',(3.93700787404154E-6,-7.44927452042557E-17, 0.)); #189843=CARTESIAN_POINT('Origin',(1.00590885,0.0625000000000001,0.)); #189844=CARTESIAN_POINT('Origin',(-0.266967737736527,0.,0.)); #189845=CARTESIAN_POINT('',(-0.258594325473055,0.21650635094611,0.)); #189846=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,0.21650635094611, -7.02716605197045E-17)); #189847=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.1875,0.0502404735808353)); #189848=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.162379763209582,0.0937499999999999)); #189849=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.162379763209582,-0.09375)); #189850=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.1875,-0.0502404735808355)); #189851=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,0.21650635094611, -1.05407490779557E-16)); #189852=CARTESIAN_POINT('Origin',(-0.266967737736527,0.,0.)); #189853=CARTESIAN_POINT('',(-0.258594325473055,0.108253175473055,-0.1875)); #189854=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-1.31128703695885E-16,-0.1875)); #189855=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.0502404735808352,-0.1875)); #189856=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,0.108253175473054, -0.1875)); #189857=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,0.108253175473055, -0.1875)); #189858=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.137259526419164,-0.137259526419165)); #189859=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.162379763209582,-0.09375)); #189860=CARTESIAN_POINT('Origin',(-0.266967737736527,0.,0.)); #189861=CARTESIAN_POINT('',(-0.258594325473055,-0.108253175473055,-0.1875)); #189862=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.162379763209582,-0.0937499999999999)); #189863=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.137259526419165,-0.137259526419164)); #189864=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,-0.108253175473055, -0.1875)); #189865=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,-0.108253175473055, -0.1875)); #189866=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.0502404735808355,-0.1875)); #189867=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-1.31128703695885E-16,-0.1875)); #189868=CARTESIAN_POINT('Origin',(-0.266967737736527,0.,0.)); #189869=CARTESIAN_POINT('',(-0.258594325473055,0.108253175473055,0.1875)); #189870=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,0.108253175473055, 0.1875)); #189871=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.0502404735808356,0.1875)); #189872=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,3.27821759239712E-17,0.1875)); #189873=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.162379763209582,0.0937499999999999)); #189874=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,0.137259526419164,0.137259526419165)); #189875=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,0.108253175473055, 0.1875)); #189876=CARTESIAN_POINT('Origin',(-0.266967737736527,0.,0.)); #189877=CARTESIAN_POINT('',(-0.258594325473055,-0.21650635094611,3.93386111087654E-16)); #189878=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.162379763209582,0.0937500000000001)); #189879=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.1875,0.0502404735808356)); #189880=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,-0.21650635094611, 3.74782189438424E-16)); #189881=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,-0.21650635094611, -2.34238868399015E-16)); #189882=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.1875,-0.0502404735808357)); #189883=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.162379763209582,-0.0937499999999999)); #189884=CARTESIAN_POINT('Origin',(-0.266967737736527,0.,0.)); #189885=CARTESIAN_POINT('',(-0.258594325473055,-0.108253175473055,0.1875)); #189886=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,3.27821759239712E-17,0.1875)); #189887=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.0502404735808356,0.1875)); #189888=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,-0.108253175473055, 0.1875)); #189889=CARTESIAN_POINT('Ctrl Pts',(-0.258594325473055,-0.108253175473055, 0.1875)); #189890=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.137259526419165,0.137259526419165)); #189891=CARTESIAN_POINT('Ctrl Pts',(-0.27534115,-0.162379763209582,0.0937500000000001)); #189892=CARTESIAN_POINT('Origin',(1.54012373848232E-16,-0.108253175473055, 0.1875)); #189893=CARTESIAN_POINT('',(-0.101445165333326,-0.21650635094611,1.01804022888895E-16)); #189894=CARTESIAN_POINT('',(1.66733035191445E-16,-0.21650635094611,1.01804022888895E-16)); #189895=CARTESIAN_POINT('',(-0.101445165333326,-0.108253175473055,0.1875)); #189896=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,-0.108253175473055, 0.1875)); #189897=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,-0.125938054833603, 0.156868890421804)); #189898=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-0.145352395043761, 0.123242266782383)); #189899=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-0.162379763209582, 0.0937500000000001)); #189900=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-0.179407131375403, 0.0642577332176174)); #189901=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,-0.198821471585561, 0.0306311095781962)); #189902=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,-0.21650635094611, 9.83465277719135E-17)); #189903=CARTESIAN_POINT('',(1.54012373848232E-16,-0.108253175473055,0.1875)); #189904=CARTESIAN_POINT('Origin',(1.66733035191445E-16,-0.21650635094611, 1.01804022888895E-16)); #189905=CARTESIAN_POINT('',(-0.101445165333326,-0.108253175473055,-0.1875)); #189906=CARTESIAN_POINT('',(1.54012373848232E-16,-0.108253175473055,-0.1875)); #189907=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,-0.21650635094611, 1.14737615733899E-16)); #189908=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,-0.198821471585561, -0.030631109578196)); #189909=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-0.179407131375403, -0.0642577332176171)); #189910=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-0.162379763209582, -0.0937499999999999)); #189911=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-0.145352395043761, -0.123242266782383)); #189912=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,-0.125938054833603, -0.156868890421804)); #189913=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,-0.108253175473055, -0.1875)); #189914=CARTESIAN_POINT('Origin',(1.54012373848232E-16,-0.108253175473055, -0.1875)); #189915=CARTESIAN_POINT('',(-0.101445165333326,0.108253175473055,-0.1875)); #189916=CARTESIAN_POINT('',(1.28571051161805E-16,0.108253175473055,-0.1875)); #189917=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,-0.108253175473055, -0.1875)); #189918=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,-0.0728834167519581, -0.1875)); #189919=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-0.0340547363316421, -0.1875)); #189920=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-2.12540359898696E-16, -0.1875)); #189921=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,0.0340547363316417, -0.1875)); #189922=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,0.0728834167519577, -0.1875)); #189923=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,0.108253175473055, -0.1875)); #189924=CARTESIAN_POINT('Origin',(1.28571051161805E-16,0.108253175473055, 0.1875)); #189925=CARTESIAN_POINT('',(-0.109991138802023,-6.78985383231977E-17,0.1875)); #189926=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-3.27821759239712E-17, 0.1875)); #189927=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-0.0340547363316419, 0.1875)); #189928=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,-0.0728834167519579, 0.1875)); #189929=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,-0.108253175473055, 0.1875)); #189930=CARTESIAN_POINT('',(-0.101445165333326,0.108253175473055,0.1875)); #189931=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,0.108253175473055, 0.1875)); #189932=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,0.0728834167519579, 0.1875)); #189933=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,0.0340547363316419, 0.1875)); #189934=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,-4.37095678986282E-17, 0.1875)); #189935=CARTESIAN_POINT('',(1.28571051161805E-16,0.108253175473055,0.1875)); #189936=CARTESIAN_POINT('Origin',(1.15850389818591E-16,0.21650635094611, -3.22699544251591E-17)); #189937=CARTESIAN_POINT('',(-0.101445165333327,0.21650635094611,-3.22699544251591E-17)); #189938=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,0.21650635094611, -1.36592399683213E-17)); #189939=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,0.198821471585561, 0.0306311095781961)); #189940=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,0.179407131375403, 0.0642577332176172)); #189941=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,0.162379763209582, 0.09375)); #189942=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,0.145352395043761, 0.123242266782383)); #189943=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,0.125938054833603, 0.156868890421804)); #189944=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,0.108253175473055, 0.1875)); #189945=CARTESIAN_POINT('',(1.15850389818591E-16,0.21650635094611,-3.22699544251591E-17)); #189946=CARTESIAN_POINT('Origin',(1.28571051161805E-16,0.108253175473055, -0.1875)); #189947=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,0.108253175473055, -0.1875)); #189948=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,0.125938054833603, -0.156868890421804)); #189949=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,0.145352395043761, -0.123242266782383)); #189950=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,0.162379763209582, -0.0937500000000001)); #189951=CARTESIAN_POINT('Ctrl Pts',(-0.109991138802023,0.179407131375403, -0.0642577332176173)); #189952=CARTESIAN_POINT('Ctrl Pts',(-0.109084741607822,0.198821471585561, -0.0306311095781962)); #189953=CARTESIAN_POINT('Ctrl Pts',(-0.101445165333326,0.21650635094611, -6.01006558606138E-17)); #189954=CARTESIAN_POINT('Origin',(0.0133057229564083,-6.55643518479423E-17, 0.)); #189955=CARTESIAN_POINT('',(-0.04,-0.28,-3.42901103761259E-17)); #189956=CARTESIAN_POINT('',(-0.04,-1.06118334359185E-16,0.28)); #189957=CARTESIAN_POINT('Origin',(-0.04,-7.91935452763891E-17,0.)); #189958=CARTESIAN_POINT('Origin',(-0.04,-7.91935452763891E-17,0.)); #189959=CARTESIAN_POINT('Origin',(0.0133057229564083,-8.60080984299799E-17, 0.166935859353663)); #189960=CARTESIAN_POINT('Origin',(-0.0200000000000001,-7.68433765561413E-17, 0.)); #189961=CARTESIAN_POINT('',(-0.0200000000000001,-0.28,-3.42901103761259E-17)); #189962=CARTESIAN_POINT('Origin',(3.93700787415949E-6,0.125227987758626, 0.)); #189963=CARTESIAN_POINT('',(0.,0.,0.)); #189964=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,-0.0185,0.17500892834367)); #189965=CARTESIAN_POINT('Ctrl Pts',(-0.103818073364972,-0.0191267234496443, 0.151683176903767)); #189966=CARTESIAN_POINT('Ctrl Pts',(-0.0913170812247575,-0.0197534468992886, 0.128357425463863)); #189967=CARTESIAN_POINT('Ctrl Pts',(-0.0788160890845426,-0.0203801703489329, 0.10503167402396)); #189968=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,-0.00616666666666667, 0.17500892834367)); #189969=CARTESIAN_POINT('Ctrl Pts',(-0.103121585446209,-0.00657057741893313, 0.15237966482253)); #189970=CARTESIAN_POINT('Ctrl Pts',(-0.0899241053872314,-0.0069744881711996, 0.129750401301389)); #189971=CARTESIAN_POINT('Ctrl Pts',(-0.0767266253282535,-0.00737839892346605, 0.107121137780249)); #189972=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,0.00616666666666666, 0.17500892834367)); #189973=CARTESIAN_POINT('Ctrl Pts',(-0.102425097527446,0.00598556861177804, 0.153076152741293)); #189974=CARTESIAN_POINT('Ctrl Pts',(-0.0885311295497053,0.00580447055688941, 0.131143377138915)); #189975=CARTESIAN_POINT('Ctrl Pts',(-0.0746371615719644,0.00562337250200079, 0.109210601536538)); #189976=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,0.0185,0.17500892834367)); #189977=CARTESIAN_POINT('Ctrl Pts',(-0.101728609608683,0.0185417146424892, 0.153772640660056)); #189978=CARTESIAN_POINT('Ctrl Pts',(-0.0871381537121793,0.0185834292849784, 0.132536352976442)); #189979=CARTESIAN_POINT('Ctrl Pts',(-0.0725476978156753,0.0186251439274676, 0.111300065292827)); #189980=CARTESIAN_POINT('',(-0.114258384972736,0.0185,0.172009509805191)); #189981=CARTESIAN_POINT('',(-0.116319065505187,0.0185,0.17500892834367)); #189982=CARTESIAN_POINT('Ctrl Pts',(-0.114258384972736,0.0185,0.172009509805191)); #189983=CARTESIAN_POINT('Ctrl Pts',(-0.114945291128087,0.0185,0.17300937265888)); #189984=CARTESIAN_POINT('Ctrl Pts',(-0.115632186801417,0.0185,0.174009182080739)); #189985=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,0.0185,0.17500892834367)); #189986=CARTESIAN_POINT('',(-0.114591656760573,-0.0185,0.171787666032422)); #189987=CARTESIAN_POINT('Ctrl Pts',(-0.114591656760573,-0.0185,0.171787666032422)); #189988=CARTESIAN_POINT('Ctrl Pts',(-0.114537230230246,-0.0123184028059296, 0.171823971469396)); #189989=CARTESIAN_POINT('Ctrl Pts',(-0.114482184407043,-0.00613588710660988, 0.171860652641813)); #189990=CARTESIAN_POINT('Ctrl Pts',(-0.114371110534719,0.00619682251859249, 0.171934590772647)); #189991=CARTESIAN_POINT('Ctrl Pts',(-0.114315071886952,0.0123488882745262, 0.171971855170429)); #189992=CARTESIAN_POINT('Ctrl Pts',(-0.114258384972736,0.0185,0.172009509805191)); #189993=CARTESIAN_POINT('',(-0.116319065505187,-0.0185,0.17500892834367)); #189994=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,-0.0185,0.17500892834367)); #189995=CARTESIAN_POINT('Ctrl Pts',(-0.115743552968999,-0.018499999999543, 0.173935072587335)); #189996=CARTESIAN_POINT('Ctrl Pts',(-0.115167747937048,-0.0184999999996834, 0.172861315991572)); #189997=CARTESIAN_POINT('Ctrl Pts',(-0.114591656760573,-0.0185,0.171787666032422)); #189998=CARTESIAN_POINT('',(-0.0788160890845426,-0.0203801703489329,0.10503167402396)); #189999=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,-0.0185,0.17500892834367)); #190000=CARTESIAN_POINT('Ctrl Pts',(-0.103818073364972,-0.0191267234496443, 0.151683176903767)); #190001=CARTESIAN_POINT('Ctrl Pts',(-0.0913170812247575,-0.0197534468992886, 0.128357425463863)); #190002=CARTESIAN_POINT('Ctrl Pts',(-0.0788160890845426,-0.0203801703489329, 0.10503167402396)); #190003=CARTESIAN_POINT('',(-0.0725476978156752,0.0186251439274676,0.111300065292827)); #190004=CARTESIAN_POINT('',(-0.0788160890845426,-0.0203801703489329,0.10503167402396)); #190005=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,0.0185,0.17500892834367)); #190006=CARTESIAN_POINT('Ctrl Pts',(-0.101728609608683,0.0185417146424892, 0.153772640660056)); #190007=CARTESIAN_POINT('Ctrl Pts',(-0.0871381537121792,0.0185834292849784, 0.132536352976442)); #190008=CARTESIAN_POINT('Ctrl Pts',(-0.0725476978156752,0.0186251439274676, 0.111300065292827)); #190009=CARTESIAN_POINT('Origin',(-0.145663996924429,-3.88046590009128E-18, 0.145663996924429)); #190010=CARTESIAN_POINT('',(-0.155819044930224,-0.0185,0.135508948918634)); #190011=CARTESIAN_POINT('',(-0.155819044930224,0.0185,0.135508948918633)); #190012=CARTESIAN_POINT('',(-0.155819044930224,0.,0.135508948918634)); #190013=CARTESIAN_POINT('',(-0.135508948918634,-0.0185,0.155819044930224)); #190014=CARTESIAN_POINT('',(-0.291681547239451,-0.0185,-0.000353553390593635)); #190015=CARTESIAN_POINT('',(-0.135508948918634,0.0185,0.155819044930224)); #190016=CARTESIAN_POINT('',(-0.135508948918634,0.,0.155819044930224)); #190017=CARTESIAN_POINT('',(0.000353553390593045,0.0185,0.291681547239451)); #190018=CARTESIAN_POINT('Origin',(-0.0919289787739847,-0.000128567828214046, 0.0919187843345177)); #190019=CARTESIAN_POINT('',(-0.111310259732294,-0.0188822795838957,0.0725375033762082)); #190020=CARTESIAN_POINT('',(-0.111310259732294,-0.0188822795838957,0.0725375033762082)); #190021=CARTESIAN_POINT('',(-0.105041868463427,0.0201230346925048,0.0788058946450756)); #190022=CARTESIAN_POINT('',(-0.105041868463427,0.0201230346925048,0.0788058946450756)); #190023=CARTESIAN_POINT('',(-0.0725476978156752,0.0186251439274676,0.111300065292827)); #190024=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,-0.0185,0.116319065505187)); #190025=CARTESIAN_POINT('Ctrl Pts',(-0.153776038806545,-0.0186274265279652, 0.101725211462194)); #190026=CARTESIAN_POINT('Ctrl Pts',(-0.13254314926942,-0.0187548530559305, 0.0871313574192011)); #190027=CARTESIAN_POINT('Ctrl Pts',(-0.111310259732294,-0.0188822795838957, 0.0725375033762082)); #190028=CARTESIAN_POINT('Ctrl Pts',(-0.155445640730843,-0.0185,0.135882353118015)); #190029=CARTESIAN_POINT('Ctrl Pts',(-0.137123383659354,-0.0187938588351916, 0.118377866609385)); #190030=CARTESIAN_POINT('Ctrl Pts',(-0.118801126587866,-0.0190877176703832, 0.100873380100755)); #190031=CARTESIAN_POINT('Ctrl Pts',(-0.100478869516377,-0.0193815765055748, 0.0833688935921254)); #190032=CARTESIAN_POINT('Ctrl Pts',(-0.135882353118015,-0.0185,0.155445640730843)); #190033=CARTESIAN_POINT('Ctrl Pts',(-0.120470728512163,-0.0189602911424179, 0.135030521756576)); #190034=CARTESIAN_POINT('Ctrl Pts',(-0.105059103906311,-0.0194205822848359, 0.114615402782309)); #190035=CARTESIAN_POINT('Ctrl Pts',(-0.0896474793004597,-0.0198808734272538, 0.0942002838080426)); #190036=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,-0.0185,0.17500892834367)); #190037=CARTESIAN_POINT('Ctrl Pts',(-0.103818073364972,-0.0191267234496443, 0.151683176903767)); #190038=CARTESIAN_POINT('Ctrl Pts',(-0.0913170812247575,-0.0197534468992886, 0.128357425463863)); #190039=CARTESIAN_POINT('Ctrl Pts',(-0.0788160890845425,-0.0203801703489329, 0.10503167402396)); #190040=CARTESIAN_POINT('',(-0.175008928343671,-0.0185,0.116319065505187)); #190041=CARTESIAN_POINT('',(-0.085413996924429,-0.0185,0.205913996924429)); #190042=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,-0.0185,0.116319065505187)); #190043=CARTESIAN_POINT('Ctrl Pts',(-0.153776038806545,-0.0186274265279652, 0.101725211462194)); #190044=CARTESIAN_POINT('Ctrl Pts',(-0.13254314926942,-0.0187548530559305, 0.0871313574192011)); #190045=CARTESIAN_POINT('Ctrl Pts',(-0.111310259732294,-0.0188822795838957, 0.0725375033762082)); #190046=CARTESIAN_POINT('',(-0.085413996924429,-0.0185,0.205913996924429)); #190047=CARTESIAN_POINT('Ctrl Pts',(-0.116319065505187,0.0185,0.17500892834367)); #190048=CARTESIAN_POINT('Ctrl Pts',(-0.101728609608683,0.0185417146424892, 0.153772640660056)); #190049=CARTESIAN_POINT('Ctrl Pts',(-0.0871381537121792,0.0185834292849784, 0.132536352976442)); #190050=CARTESIAN_POINT('Ctrl Pts',(-0.0725476978156752,0.0186251439274676, 0.111300065292827)); #190051=CARTESIAN_POINT('Ctrl Pts',(-0.135882353118015,0.0185,0.155445640730842)); #190052=CARTESIAN_POINT('Ctrl Pts',(-0.118381264755874,0.0187081469497156, 0.137119985512865)); #190053=CARTESIAN_POINT('Ctrl Pts',(-0.100880176393733,0.0189162938994311, 0.118794330294887)); #190054=CARTESIAN_POINT('Ctrl Pts',(-0.0833790880315924,0.0191244408491467, 0.10046867507691)); #190055=CARTESIAN_POINT('Ctrl Pts',(-0.155445640730843,0.0185,0.135882353118015)); #190056=CARTESIAN_POINT('Ctrl Pts',(-0.135033919903065,0.0188745792569419, 0.120467330365674)); #190057=CARTESIAN_POINT('Ctrl Pts',(-0.114622199075287,0.0192491585138838, 0.105052307613333)); #190058=CARTESIAN_POINT('Ctrl Pts',(-0.0942104782475096,0.0196237377708257, 0.0896372848609928)); #190059=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,0.0185,0.116319065505187)); #190060=CARTESIAN_POINT('Ctrl Pts',(-0.151686575050256,0.0190410115641683, 0.103814675218483)); #190061=CARTESIAN_POINT('Ctrl Pts',(-0.128364221756841,0.0195820231283365, 0.0913102849317793)); #190062=CARTESIAN_POINT('Ctrl Pts',(-0.105041868463427,0.0201230346925048, 0.0788058946450756)); #190063=CARTESIAN_POINT('',(-0.085413996924429,0.0185,0.205913996924429)); #190064=CARTESIAN_POINT('',(-0.175008928343671,0.0185,0.116319065505187)); #190065=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,0.0185,0.116319065505187)); #190066=CARTESIAN_POINT('Ctrl Pts',(-0.151686575050256,0.0190410115641683, 0.103814675218483)); #190067=CARTESIAN_POINT('Ctrl Pts',(-0.128364221756841,0.0195820231283365, 0.0913102849317793)); #190068=CARTESIAN_POINT('Ctrl Pts',(-0.105041868463427,0.0201230346925048, 0.0788058946450756)); #190069=CARTESIAN_POINT('',(-0.085413996924429,0.0185,0.205913996924429)); #190070=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,0.0185,0.116319065505187)); #190071=CARTESIAN_POINT('Ctrl Pts',(-0.151686575050256,0.0190410115641683, 0.103814675218483)); #190072=CARTESIAN_POINT('Ctrl Pts',(-0.128364221756841,0.0195820231283365, 0.0913102849317793)); #190073=CARTESIAN_POINT('Ctrl Pts',(-0.105041868463427,0.0201230346925048, 0.0788058946450756)); #190074=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,0.00616666666666666, 0.116319065505187)); #190075=CARTESIAN_POINT('Ctrl Pts',(-0.152383062969019,0.00648486553345709, 0.10311818729972)); #190076=CARTESIAN_POINT('Ctrl Pts',(-0.129757197594367,0.00680306440024753, 0.0899173090942532)); #190077=CARTESIAN_POINT('Ctrl Pts',(-0.107131332219716,0.00712126326703796, 0.0767164308887864)); #190078=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,-0.00616666666666667, 0.116319065505187)); #190079=CARTESIAN_POINT('Ctrl Pts',(-0.153079550887782,-0.00607128049725408, 0.102421699380957)); #190080=CARTESIAN_POINT('Ctrl Pts',(-0.131150173431894,-0.00597589432784148, 0.0885243332567272)); #190081=CARTESIAN_POINT('Ctrl Pts',(-0.109220795976005,-0.00588050815842888, 0.0746269671324973)); #190082=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,-0.0185,0.116319065505187)); #190083=CARTESIAN_POINT('Ctrl Pts',(-0.153776038806545,-0.0186274265279652, 0.101725211462194)); #190084=CARTESIAN_POINT('Ctrl Pts',(-0.13254314926942,-0.0187548530559305, 0.0871313574192011)); #190085=CARTESIAN_POINT('Ctrl Pts',(-0.111310259732294,-0.0188822795838957, 0.0725375033762082)); #190086=CARTESIAN_POINT('',(-0.171787950797483,0.0185,0.114591229859887)); #190087=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,0.0185,0.116319065505187)); #190088=CARTESIAN_POINT('Ctrl Pts',(-0.173935188227409,0.0184999999995998, 0.115743374651382)); #190089=CARTESIAN_POINT('Ctrl Pts',(-0.172861526449882,0.0184999999997227, 0.115167427364365)); #190090=CARTESIAN_POINT('Ctrl Pts',(-0.171787950797483,0.0185,0.114591229859887)); #190091=CARTESIAN_POINT('',(-0.172009805005476,-0.0185,0.114257940564226)); #190092=CARTESIAN_POINT('Ctrl Pts',(-0.172009805005476,-0.0185,0.114257940564226)); #190093=CARTESIAN_POINT('Ctrl Pts',(-0.173009595238916,-0.0184999999999262, 0.114944958546757)); #190094=CARTESIAN_POINT('Ctrl Pts',(-0.174009306364505,-0.0184999999998925, 0.115632002294285)); #190095=CARTESIAN_POINT('Ctrl Pts',(-0.175008928343671,-0.0185,0.116319065505187)); #190096=CARTESIAN_POINT('Ctrl Pts',(-0.171787950797483,0.0185,0.114591229859887)); #190097=CARTESIAN_POINT('Ctrl Pts',(-0.171824258179438,0.0123183585643806, 0.114536800120784)); #190098=CARTESIAN_POINT('Ctrl Pts',(-0.171860941331014,0.00613579855382619, 0.114481751027909)); #190099=CARTESIAN_POINT('Ctrl Pts',(-0.171934882950139,-0.00619691103792711, 0.114370671303496)); #190100=CARTESIAN_POINT('Ctrl Pts',(-0.171972148846904,-0.0123489325504855, 0.114314630090234)); #190101=CARTESIAN_POINT('Ctrl Pts',(-0.172009805005476,-0.0185,0.114257940564226)); #190102=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,-0.0185,-0.0132308015001231)); #190103=CARTESIAN_POINT('Ctrl Pts',(-0.183270521207877,-0.0191267234496443, -0.0140675004541392)); #190104=CARTESIAN_POINT('Ctrl Pts',(-0.156819331828452,-0.0197534468992886, -0.0149041994081553)); #190105=CARTESIAN_POINT('Ctrl Pts',(-0.130368142449027,-0.0203801703489329, -0.0157408983621714)); #190106=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,-0.00616666666666667, -0.0132308015001231)); #190107=CARTESIAN_POINT('Ctrl Pts',(-0.183525453479574,-0.00657057741893313, -0.0131160802636799)); #190108=CARTESIAN_POINT('Ctrl Pts',(-0.157329196371844,-0.0069744881711996, -0.0130013590272367)); #190109=CARTESIAN_POINT('Ctrl Pts',(-0.131132939264115,-0.00737839892346605, -0.0128866377907936)); #190110=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,0.00616666666666666, -0.0132308015001231)); #190111=CARTESIAN_POINT('Ctrl Pts',(-0.18378038575127,0.00598556861177804, -0.0121646600732207)); #190112=CARTESIAN_POINT('Ctrl Pts',(-0.157839060915237,0.00580447055688941, -0.0110985186463182)); #190113=CARTESIAN_POINT('Ctrl Pts',(-0.131897736079204,0.00562337250200079, -0.0100323772194158)); #190114=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,0.0185,-0.0132308015001231)); #190115=CARTESIAN_POINT('Ctrl Pts',(-0.184035318022966,0.0185417146424892, -0.0112132398827614)); #190116=CARTESIAN_POINT('Ctrl Pts',(-0.158348925458629,0.0185834292849784, -0.00919567826539969)); #190117=CARTESIAN_POINT('Ctrl Pts',(-0.132662532894293,0.0186251439274676, -0.00717811664803799)); #190118=CARTESIAN_POINT('',(-0.206093797670172,0.0185,-0.0129459090791757)); #190119=CARTESIAN_POINT('',(-0.209721710587303,0.0185,-0.0132308015001231)); #190120=CARTESIAN_POINT('Ctrl Pts',(-0.206093797670172,0.0185,-0.0129459090791757)); #190121=CARTESIAN_POINT('Ctrl Pts',(-0.207303157379443,0.0185,-0.0130408558328818)); #190122=CARTESIAN_POINT('Ctrl Pts',(-0.20851246557438,0.0185,-0.0131358202248059)); #190123=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,0.0185,-0.0132308015001231)); #190124=CARTESIAN_POINT('',(-0.206068311221201,-0.0185,-0.013345452800192)); #190125=CARTESIAN_POINT('Ctrl Pts',(-0.206068311221201,-0.0185,-0.013345452800192)); #190126=CARTESIAN_POINT('Ctrl Pts',(-0.206072539386752,-0.012318402806005, -0.0132801653238027)); #190127=CARTESIAN_POINT('Ctrl Pts',(-0.206076783302305,-0.00613588710664648, -0.0132141536563277)); #190128=CARTESIAN_POINT('Ctrl Pts',(-0.206085278665753,0.00619682251873197, -0.0130809917957802)); #190129=CARTESIAN_POINT('Ctrl Pts',(-0.206089531257005,0.012348888274595, -0.0130138287043293)); #190130=CARTESIAN_POINT('Ctrl Pts',(-0.206093797670172,0.0185,-0.0129459090791757)); #190131=CARTESIAN_POINT('',(-0.209721710587303,-0.0185,-0.0132308015001231)); #190132=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,-0.0185,-0.0132308015001231)); #190133=CARTESIAN_POINT('Ctrl Pts',(-0.208503967954223,-0.018499999999543, -0.0132693209017554)); #190134=CARTESIAN_POINT('Ctrl Pts',(-0.207286164948834,-0.0184999999996834, -0.0133075374143403)); #190135=CARTESIAN_POINT('Ctrl Pts',(-0.206068311221201,-0.0185,-0.013345452800192)); #190136=CARTESIAN_POINT('',(-0.130368142449027,-0.0203801703489329,-0.0157408983621714)); #190137=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,-0.0185,-0.0132308015001231)); #190138=CARTESIAN_POINT('Ctrl Pts',(-0.183270521207877,-0.0191267234496443, -0.0140675004541392)); #190139=CARTESIAN_POINT('Ctrl Pts',(-0.156819331828452,-0.0197534468992886, -0.0149041994081553)); #190140=CARTESIAN_POINT('Ctrl Pts',(-0.130368142449027,-0.0203801703489329, -0.0157408983621714)); #190141=CARTESIAN_POINT('',(-0.132662532894293,0.0186251439274676,-0.00717811664803799)); #190142=CARTESIAN_POINT('',(-0.130368142449027,-0.0203801703489329,-0.0157408983621714)); #190143=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,0.0185,-0.0132308015001231)); #190144=CARTESIAN_POINT('Ctrl Pts',(-0.184035318022966,0.0185417146424892, -0.0112132398827614)); #190145=CARTESIAN_POINT('Ctrl Pts',(-0.158348925458629,0.0185834292849784, -0.00919567826539968)); #190146=CARTESIAN_POINT('Ctrl Pts',(-0.132662532894293,0.0186251439274676, -0.00717811664803799)); #190147=CARTESIAN_POINT('Origin',(-0.198980720215548,-3.88046590009128E-18, -0.0533167232911194)); #190148=CARTESIAN_POINT('',(-0.195263714668776,-0.0185,-0.0671887768436868)); #190149=CARTESIAN_POINT('',(-0.195263714668776,0.0185,-0.0671887768436863)); #190150=CARTESIAN_POINT('',(-0.195263714668776,0.,-0.0671887768436868)); #190151=CARTESIAN_POINT('',(-0.20269772576232,-0.0185,-0.0394446697385519)); #190152=CARTESIAN_POINT('',(-0.145534587401877,-0.0185,-0.252780406419812)); #190153=CARTESIAN_POINT('',(-0.20269772576232,0.0185,-0.0394446697385525)); #190154=CARTESIAN_POINT('',(-0.20269772576232,0.,-0.0394446697385519)); #190155=CARTESIAN_POINT('',(-0.252426853029219,0.0185,0.146146959837573)); #190156=CARTESIAN_POINT('Origin',(-0.125568491705668,-0.000128567828214046, -0.0336534387949723)); #190157=CARTESIAN_POINT('',(-0.118474450517043,-0.0188822795838957,-0.0601287609419066)); #190158=CARTESIAN_POINT('',(-0.118474450517043,-0.0188822795838957,-0.0601287609419066)); #190159=CARTESIAN_POINT('',(-0.120768840962309,0.0201230346925048,-0.0515659792277732)); #190160=CARTESIAN_POINT('',(-0.120768840962309,0.0201230346925048,-0.0515659792277732)); #190161=CARTESIAN_POINT('',(-0.132662532894293,0.0186251439274676,-0.00717811664803799)); #190162=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,-0.0185,-0.0934026450821158)); #190163=CARTESIAN_POINT('Ctrl Pts',(-0.164984636734877,-0.0186274265279652, -0.0823113503687127)); #190164=CARTESIAN_POINT('Ctrl Pts',(-0.14172954362596,-0.0187548530559305, -0.0712200556553096)); #190165=CARTESIAN_POINT('Ctrl Pts',(-0.118474450517043,-0.0188822795838957, -0.0601287609419066)); #190166=CARTESIAN_POINT('Ctrl Pts',(-0.19540039009163,-0.0185,-0.0666786972214515)); #190167=CARTESIAN_POINT('Ctrl Pts',(-0.17107993155921,-0.0187938588351916, -0.0595634003971882)); #190168=CARTESIAN_POINT('Ctrl Pts',(-0.14675947302679,-0.0190877176703832, -0.0524481035729248)); #190169=CARTESIAN_POINT('Ctrl Pts',(-0.122439014494371,-0.0193815765055748, -0.0453328067486615)); #190170=CARTESIAN_POINT('Ctrl Pts',(-0.202561050339466,-0.0185,-0.0399547493607873)); #190171=CARTESIAN_POINT('Ctrl Pts',(-0.177175226383544,-0.0189602911424179, -0.0368154504256636)); #190172=CARTESIAN_POINT('Ctrl Pts',(-0.151789402427621,-0.0194205822848359, -0.0336761514905401)); #190173=CARTESIAN_POINT('Ctrl Pts',(-0.126403578471699,-0.0198808734272538, -0.0305368525554164)); #190174=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,-0.0185,-0.0132308015001231)); #190175=CARTESIAN_POINT('Ctrl Pts',(-0.183270521207877,-0.0191267234496443, -0.0140675004541392)); #190176=CARTESIAN_POINT('Ctrl Pts',(-0.156819331828452,-0.0197534468992886, -0.0149041994081552)); #190177=CARTESIAN_POINT('Ctrl Pts',(-0.130368142449027,-0.0203801703489329, -0.0157408983621713)); #190178=CARTESIAN_POINT('',(-0.188239729843793,-0.0185,-0.0934026450821157)); #190179=CARTESIAN_POINT('',(-0.19090875079356,-0.0185,-0.0834417232911194)); #190180=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,-0.0185,-0.0934026450821157)); #190181=CARTESIAN_POINT('Ctrl Pts',(-0.164984636734877,-0.0186274265279652, -0.0823113503687127)); #190182=CARTESIAN_POINT('Ctrl Pts',(-0.14172954362596,-0.0187548530559305, -0.0712200556553096)); #190183=CARTESIAN_POINT('Ctrl Pts',(-0.118474450517043,-0.0188822795838957, -0.0601287609419066)); #190184=CARTESIAN_POINT('',(-0.19090875079356,-0.0185,-0.0834417232911194)); #190185=CARTESIAN_POINT('Ctrl Pts',(-0.209721710587303,0.0185,-0.0132308015001231)); #190186=CARTESIAN_POINT('Ctrl Pts',(-0.184035318022966,0.0185417146424892, -0.0112132398827614)); #190187=CARTESIAN_POINT('Ctrl Pts',(-0.158348925458629,0.0185834292849784, -0.00919567826539968)); #190188=CARTESIAN_POINT('Ctrl Pts',(-0.132662532894293,0.0186251439274676, -0.00717811664803799)); #190189=CARTESIAN_POINT('Ctrl Pts',(-0.202561050339466,0.0185,-0.0399547493607873)); #190190=CARTESIAN_POINT('Ctrl Pts',(-0.177940023198632,0.0187081469497156, -0.0339611898542859)); #190191=CARTESIAN_POINT('Ctrl Pts',(-0.153318996057799,0.0189162938994311, -0.0279676303477845)); #190192=CARTESIAN_POINT('Ctrl Pts',(-0.128697968916965,0.0191244408491467, -0.0219740708412831)); #190193=CARTESIAN_POINT('Ctrl Pts',(-0.19540039009163,0.0185,-0.0666786972214515)); #190194=CARTESIAN_POINT('Ctrl Pts',(-0.171844728374299,0.0188745792569419, -0.0567091398258104)); #190195=CARTESIAN_POINT('Ctrl Pts',(-0.148289066656968,0.0192491585138838, -0.0467395824301693)); #190196=CARTESIAN_POINT('Ctrl Pts',(-0.124733404939637,0.0196237377708257, -0.0367700250345281)); #190197=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,0.0185,-0.0934026450821157)); #190198=CARTESIAN_POINT('Ctrl Pts',(-0.165749433549965,0.0190410115641683, -0.0794570897973349)); #190199=CARTESIAN_POINT('Ctrl Pts',(-0.143259137256137,0.0195820231283365, -0.065511534512554)); #190200=CARTESIAN_POINT('Ctrl Pts',(-0.120768840962309,0.0201230346925048, -0.0515659792277732)); #190201=CARTESIAN_POINT('',(-0.19090875079356,0.0185,-0.0834417232911194)); #190202=CARTESIAN_POINT('',(-0.188239729843793,0.0185,-0.0934026450821157)); #190203=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,0.0185,-0.0934026450821157)); #190204=CARTESIAN_POINT('Ctrl Pts',(-0.165749433549965,0.0190410115641683, -0.0794570897973349)); #190205=CARTESIAN_POINT('Ctrl Pts',(-0.143259137256137,0.0195820231283365, -0.0655115345125541)); #190206=CARTESIAN_POINT('Ctrl Pts',(-0.120768840962309,0.0201230346925048, -0.0515659792277732)); #190207=CARTESIAN_POINT('',(-0.19090875079356,0.0185,-0.0834417232911194)); #190208=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,0.0185,-0.0934026450821157)); #190209=CARTESIAN_POINT('Ctrl Pts',(-0.165749433549965,0.0190410115641683, -0.0794570897973349)); #190210=CARTESIAN_POINT('Ctrl Pts',(-0.143259137256137,0.0195820231283365, -0.0655115345125541)); #190211=CARTESIAN_POINT('Ctrl Pts',(-0.120768840962309,0.0201230346925048, -0.0515659792277732)); #190212=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,0.00616666666666666, -0.0934026450821157)); #190213=CARTESIAN_POINT('Ctrl Pts',(-0.165494501278269,0.00648486553345709, -0.0804085099877942)); #190214=CARTESIAN_POINT('Ctrl Pts',(-0.142749272712745,0.00680306440024753, -0.0674143748934726)); #190215=CARTESIAN_POINT('Ctrl Pts',(-0.12000404414722,0.00712126326703796, -0.054420239799151)); #190216=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,-0.00616666666666667, -0.0934026450821157)); #190217=CARTESIAN_POINT('Ctrl Pts',(-0.165239569006573,-0.00607128049725408, -0.0813599301782534)); #190218=CARTESIAN_POINT('Ctrl Pts',(-0.142239408169352,-0.00597589432784148, -0.0693172152743911)); #190219=CARTESIAN_POINT('Ctrl Pts',(-0.119239247332132,-0.00588050815842888, -0.0572745003705288)); #190220=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,-0.0185,-0.0934026450821157)); #190221=CARTESIAN_POINT('Ctrl Pts',(-0.164984636734877,-0.0186274265279652, -0.0823113503687127)); #190222=CARTESIAN_POINT('Ctrl Pts',(-0.14172954362596,-0.0187548530559305, -0.0712200556553096)); #190223=CARTESIAN_POINT('Ctrl Pts',(-0.118474450517043,-0.0188822795838957, -0.0601287609419066)); #190224=CARTESIAN_POINT('',(-0.185132891508306,0.0185,-0.0914771145247483)); #190225=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,0.0185,-0.0934026450821157)); #190226=CARTESIAN_POINT('Ctrl Pts',(-0.187204296881541,0.0184999999995998, -0.092760604291273)); #190227=CARTESIAN_POINT('Ctrl Pts',(-0.18616868101098,0.0184999999997227, -0.0921187595603707)); #190228=CARTESIAN_POINT('Ctrl Pts',(-0.185132891508306,0.0185,-0.0914771145247483)); #190229=CARTESIAN_POINT('',(-0.18495518161545,-0.0185,-0.0918358905526369)); #190230=CARTESIAN_POINT('Ctrl Pts',(-0.18495518161545,-0.0185,-0.0918358905526369)); #190231=CARTESIAN_POINT('Ctrl Pts',(-0.186050051757898,-0.0184999999999262, -0.092358225301986)); #190232=CARTESIAN_POINT('Ctrl Pts',(-0.187144904659564,-0.0184999999998925, -0.0928804786594283)); #190233=CARTESIAN_POINT('Ctrl Pts',(-0.188239729843793,-0.0185,-0.0934026450821157)); #190234=CARTESIAN_POINT('Ctrl Pts',(-0.185132891508306,0.0185,-0.0914771145247484)); #190235=CARTESIAN_POINT('Ctrl Pts',(-0.185103907662498,0.0123183585643144, -0.0915357725094174)); #190236=CARTESIAN_POINT('Ctrl Pts',(-0.185074575325401,0.00613579855379172, -0.091595065597011)); #190237=CARTESIAN_POINT('Ctrl Pts',(-0.185015348271778,-0.00619691103774568, -0.0917146407797745)); #190238=CARTESIAN_POINT('Ctrl Pts',(-0.184985448105816,-0.012348932550397, -0.0917749345996998)); #190239=CARTESIAN_POINT('Ctrl Pts',(-0.18495518161545,-0.0185,-0.091835890552637)); #190240=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821155,-0.0185,-0.188239729843793)); #190241=CARTESIAN_POINT('Ctrl Pts',(-0.0794524478429051,-0.0191267234496443, -0.165750677357906)); #190242=CARTESIAN_POINT('Ctrl Pts',(-0.0655022506036946,-0.0197534468992886, -0.143261624872019)); #190243=CARTESIAN_POINT('Ctrl Pts',(-0.0515520533644841,-0.0203801703489329, -0.120772572386131)); #190244=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821155,-0.00616666666666667, -0.188239729843793)); #190245=CARTESIAN_POINT('Ctrl Pts',(-0.0804038680333643,-0.00657057741893313, -0.16549574508621)); #190246=CARTESIAN_POINT('Ctrl Pts',(-0.0674050909846131,-0.0069744881711996, -0.142751760328626)); #190247=CARTESIAN_POINT('Ctrl Pts',(-0.0544063139358619,-0.00737839892346605, -0.120007775571042)); #190248=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821155,0.00616666666666666, -0.188239729843793)); #190249=CARTESIAN_POINT('Ctrl Pts',(-0.0813552882238236,0.00598556861177804, -0.165240812814514)); #190250=CARTESIAN_POINT('Ctrl Pts',(-0.0693079313655316,0.00580447055688941, -0.142241895785234)); #190251=CARTESIAN_POINT('Ctrl Pts',(-0.0572605745072397,0.00562337250200079, -0.119242978755954)); #190252=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821155,0.0185,-0.188239729843793)); #190253=CARTESIAN_POINT('Ctrl Pts',(-0.0823067084142829,0.0185417146424892, -0.164985880542817)); #190254=CARTESIAN_POINT('Ctrl Pts',(-0.0712107717464501,0.0185834292849784, -0.141732031241841)); #190255=CARTESIAN_POINT('Ctrl Pts',(-0.0601148350786175,0.0186251439274676, -0.118478181940865)); #190256=CARTESIAN_POINT('',(-0.0918354126974362,0.0185,-0.184955418884367)); #190257=CARTESIAN_POINT('',(-0.0934026450821155,0.0185,-0.188239729843793)); #190258=CARTESIAN_POINT('Ctrl Pts',(-0.0918354126974362,0.0185,-0.184955418884367)); #190259=CARTESIAN_POINT('Ctrl Pts',(-0.0923578662513553,0.0185,-0.186050228491762)); #190260=CARTESIAN_POINT('Ctrl Pts',(-0.0928802787729628,0.0185,-0.187145002305544)); #190261=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821155,0.0185,-0.188239729843793)); #190262=CARTESIAN_POINT('',(-0.0914766544606282,-0.0185,-0.185133118832614)); #190263=CARTESIAN_POINT('Ctrl Pts',(-0.0914766544606282,-0.0185,-0.185133118832614)); #190264=CARTESIAN_POINT('Ctrl Pts',(-0.0915353091565065,-0.0123184028059219, -0.185104136793197)); #190265=CARTESIAN_POINT('Ctrl Pts',(-0.0915945988952619,-0.00613588710660707, -0.18507480629814)); #190266=CARTESIAN_POINT('Ctrl Pts',(-0.0917141681310351,0.00619682251871154, -0.185015582568428)); #190267=CARTESIAN_POINT('Ctrl Pts',(-0.0917744593700543,0.0123488882745835, -0.184985683874758)); #190268=CARTESIAN_POINT('Ctrl Pts',(-0.0918354126974362,0.0185,-0.184955418884367)); #190269=CARTESIAN_POINT('',(-0.0934026450821155,-0.0185,-0.188239729843793)); #190270=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821155,-0.0185,-0.188239729843793)); #190271=CARTESIAN_POINT('Ctrl Pts',(-0.0927604149852233,-0.018499999999543, -0.187204393489091)); #190272=CARTESIAN_POINT('Ctrl Pts',(-0.0921184170117865,-0.0184999999996834, -0.186168853405912)); #190273=CARTESIAN_POINT('Ctrl Pts',(-0.0914766544606282,-0.0185,-0.185133118832614)); #190274=CARTESIAN_POINT('',(-0.0515520533644841,-0.0203801703489329,-0.120772572386131)); #190275=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821155,-0.0185,-0.188239729843793)); #190276=CARTESIAN_POINT('Ctrl Pts',(-0.0794524478429051,-0.0191267234496443, -0.165750677357906)); #190277=CARTESIAN_POINT('Ctrl Pts',(-0.0655022506036946,-0.0197534468992886, -0.143261624872019)); #190278=CARTESIAN_POINT('Ctrl Pts',(-0.0515520533644841,-0.0203801703489329, -0.120772572386131)); #190279=CARTESIAN_POINT('',(-0.0601148350786175,0.0186251439274676,-0.118478181940865)); #190280=CARTESIAN_POINT('',(-0.0515520533644841,-0.0203801703489329,-0.120772572386131)); #190281=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821156,0.0185,-0.188239729843793)); #190282=CARTESIAN_POINT('Ctrl Pts',(-0.0823067084142829,0.0185417146424892, -0.164985880542817)); #190283=CARTESIAN_POINT('Ctrl Pts',(-0.0712107717464502,0.0185834292849784, -0.141732031241841)); #190284=CARTESIAN_POINT('Ctrl Pts',(-0.0601148350786175,0.0186251439274676, -0.118478181940865)); #190285=CARTESIAN_POINT('Origin',(-0.0533167232911192,-3.88046590009128E-18, -0.198980720215548)); #190286=CARTESIAN_POINT('',(-0.0394446697385524,-0.0185,-0.20269772576232)); #190287=CARTESIAN_POINT('',(-0.0394446697385529,0.0185,-0.202697725762319)); #190288=CARTESIAN_POINT('',(-0.0394446697385524,0.,-0.20269772576232)); #190289=CARTESIAN_POINT('',(-0.0671887768436861,-0.0185,-0.195263714668776)); #190290=CARTESIAN_POINT('',(0.146146959837573,-0.0185,-0.252426853029219)); #190291=CARTESIAN_POINT('',(-0.0671887768436855,0.0185,-0.195263714668777)); #190292=CARTESIAN_POINT('',(-0.0671887768436861,0.,-0.195263714668776)); #190293=CARTESIAN_POINT('',(-0.252780406419812,0.0185,-0.145534587401878)); #190294=CARTESIAN_POINT('Origin',(-0.0336395129316832,-0.000128567828214046, -0.12557222312949)); #190295=CARTESIAN_POINT('',(-0.00716419078474885,-0.0188822795838957,-0.132666264318115)); #190296=CARTESIAN_POINT('',(-0.00716419078474885,-0.0188822795838957,-0.132666264318115)); #190297=CARTESIAN_POINT('',(-0.0157269724988822,0.0201230346925048,-0.130371873872849)); #190298=CARTESIAN_POINT('',(-0.0157269724988822,0.0201230346925048,-0.130371873872849)); #190299=CARTESIAN_POINT('',(-0.0601148350786175,0.0186251439274676,-0.118478181940865)); #190300=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001229,-0.0185,-0.209721710587303)); #190301=CARTESIAN_POINT('Ctrl Pts',(-0.0112085979283315,-0.0186274265279652, -0.184036561830907)); #190302=CARTESIAN_POINT('Ctrl Pts',(-0.00918639435654019,-0.0187548530559305, -0.158351413074511)); #190303=CARTESIAN_POINT('Ctrl Pts',(-0.00716419078474885,-0.0188822795838957, -0.132666264318115)); #190304=CARTESIAN_POINT('Ctrl Pts',(-0.0399547493607871,-0.0185,-0.202561050339466)); #190305=CARTESIAN_POINT('Ctrl Pts',(-0.033956547899856,-0.0187938588351916, -0.177941267006573)); #190306=CARTESIAN_POINT('Ctrl Pts',(-0.027958346438925,-0.0190877176703832, -0.15332148367368)); #190307=CARTESIAN_POINT('Ctrl Pts',(-0.0219601449779939,-0.0193815765055748, -0.128701700340787)); #190308=CARTESIAN_POINT('Ctrl Pts',(-0.0666786972214513,-0.0185,-0.19540039009163)); #190309=CARTESIAN_POINT('Ctrl Pts',(-0.0567044978713806,-0.0189602911424179, -0.17184597218224)); #190310=CARTESIAN_POINT('Ctrl Pts',(-0.0467302985213098,-0.0194205822848359, -0.148291554272849)); #190311=CARTESIAN_POINT('Ctrl Pts',(-0.036756099171239,-0.0198808734272538, -0.124737136363459)); #190312=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821155,-0.0185,-0.188239729843793)); #190313=CARTESIAN_POINT('Ctrl Pts',(-0.0794524478429051,-0.0191267234496443, -0.165750677357906)); #190314=CARTESIAN_POINT('Ctrl Pts',(-0.0655022506036946,-0.0197534468992886, -0.143261624872019)); #190315=CARTESIAN_POINT('Ctrl Pts',(-0.0515520533644841,-0.0203801703489329, -0.120772572386131)); #190316=CARTESIAN_POINT('',(-0.0132308015001228,-0.0185,-0.209721710587303)); #190317=CARTESIAN_POINT('',(0.0591113072868932,-0.0185,-0.229105720215548)); #190318=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001228,-0.0185,-0.209721710587303)); #190319=CARTESIAN_POINT('Ctrl Pts',(-0.0112085979283315,-0.0186274265279652, -0.184036561830907)); #190320=CARTESIAN_POINT('Ctrl Pts',(-0.00918639435654018,-0.0187548530559305, -0.158351413074511)); #190321=CARTESIAN_POINT('Ctrl Pts',(-0.00716419078474885,-0.0188822795838957, -0.132666264318115)); #190322=CARTESIAN_POINT('',(0.0591113072868932,-0.0185,-0.229105720215548)); #190323=CARTESIAN_POINT('Ctrl Pts',(-0.0934026450821156,0.0185,-0.188239729843793)); #190324=CARTESIAN_POINT('Ctrl Pts',(-0.0823067084142829,0.0185417146424892, -0.164985880542817)); #190325=CARTESIAN_POINT('Ctrl Pts',(-0.0712107717464502,0.0185834292849784, -0.141732031241841)); #190326=CARTESIAN_POINT('Ctrl Pts',(-0.0601148350786175,0.0186251439274676, -0.118478181940865)); #190327=CARTESIAN_POINT('Ctrl Pts',(-0.0666786972214513,0.0185,-0.19540039009163)); #190328=CARTESIAN_POINT('Ctrl Pts',(-0.0595587584427583,0.0187081469497156, -0.171081175367151)); #190329=CARTESIAN_POINT('Ctrl Pts',(-0.0524388196640654,0.0189162938994311, -0.146761960642672)); #190330=CARTESIAN_POINT('Ctrl Pts',(-0.0453188808853724,0.0191244408491467, -0.122442745918193)); #190331=CARTESIAN_POINT('Ctrl Pts',(-0.0399547493607871,0.0185,-0.202561050339466)); #190332=CARTESIAN_POINT('Ctrl Pts',(-0.0368108084712338,0.0188745792569419, -0.177176470191484)); #190333=CARTESIAN_POINT('Ctrl Pts',(-0.0336668675816806,0.0192491585138838, -0.151791890043503)); #190334=CARTESIAN_POINT('Ctrl Pts',(-0.0305229266921273,0.0196237377708257, -0.126407309895521)); #190335=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001229,0.0185,-0.209721710587303)); #190336=CARTESIAN_POINT('Ctrl Pts',(-0.0140628584997093,0.0190410115641683, -0.183271765015818)); #190337=CARTESIAN_POINT('Ctrl Pts',(-0.0148949154992958,0.0195820231283365, -0.156821819444333)); #190338=CARTESIAN_POINT('Ctrl Pts',(-0.0157269724988822,0.0201230346925048, -0.130371873872849)); #190339=CARTESIAN_POINT('',(0.0591113072868932,0.0185,-0.229105720215548)); #190340=CARTESIAN_POINT('',(-0.0132308015001228,0.0185,-0.209721710587303)); #190341=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001228,0.0185,-0.209721710587303)); #190342=CARTESIAN_POINT('Ctrl Pts',(-0.0140628584997093,0.0190410115641683, -0.183271765015818)); #190343=CARTESIAN_POINT('Ctrl Pts',(-0.0148949154992958,0.0195820231283365, -0.156821819444333)); #190344=CARTESIAN_POINT('Ctrl Pts',(-0.0157269724988822,0.0201230346925048, -0.130371873872849)); #190345=CARTESIAN_POINT('',(0.0591113072868932,0.0185,-0.229105720215548)); #190346=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001228,0.0185,-0.209721710587303)); #190347=CARTESIAN_POINT('Ctrl Pts',(-0.0140628584997093,0.0190410115641683, -0.183271765015818)); #190348=CARTESIAN_POINT('Ctrl Pts',(-0.0148949154992958,0.0195820231283365, -0.156821819444333)); #190349=CARTESIAN_POINT('Ctrl Pts',(-0.0157269724988822,0.0201230346925048, -0.130371873872849)); #190350=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001228,0.00616666666666666, -0.209721710587303)); #190351=CARTESIAN_POINT('Ctrl Pts',(-0.01311143830925,0.00648486553345709, -0.183526697287514)); #190352=CARTESIAN_POINT('Ctrl Pts',(-0.0129920751183772,0.00680306440024753, -0.157331683987726)); #190353=CARTESIAN_POINT('Ctrl Pts',(-0.0128727119275044,0.00712126326703796, -0.131136670687937)); #190354=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001228,-0.00616666666666667, -0.209721710587303)); #190355=CARTESIAN_POINT('Ctrl Pts',(-0.0121600181187908,-0.00607128049725408, -0.18378162955921)); #190356=CARTESIAN_POINT('Ctrl Pts',(-0.0110892347374587,-0.00597589432784148, -0.157841548531118)); #190357=CARTESIAN_POINT('Ctrl Pts',(-0.0100184513561266,-0.00588050815842888, -0.131901467503026)); #190358=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001228,-0.0185,-0.209721710587303)); #190359=CARTESIAN_POINT('Ctrl Pts',(-0.0112085979283315,-0.0186274265279652, -0.184036561830907)); #190360=CARTESIAN_POINT('Ctrl Pts',(-0.00918639435654018,-0.0187548530559305, -0.158351413074511)); #190361=CARTESIAN_POINT('Ctrl Pts',(-0.00716419078474885,-0.0188822795838957, -0.132666264318115)); #190362=CARTESIAN_POINT('',(-0.0133449407108223,0.0185,-0.206068344384635)); #190363=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001228,0.0185,-0.209721710587303)); #190364=CARTESIAN_POINT('Ctrl Pts',(-0.0132691086541322,0.0184999999995998, -0.208503978942655)); #190365=CARTESIAN_POINT('Ctrl Pts',(-0.0133071545610985,0.0184999999997227, -0.207286186924736)); #190366=CARTESIAN_POINT('Ctrl Pts',(-0.0133449407108224,0.0185,-0.206068344384635)); #190367=CARTESIAN_POINT('',(-0.0129453766099741,-0.0185,-0.206093831116863)); #190368=CARTESIAN_POINT('Ctrl Pts',(-0.0129453766099741,-0.0185,-0.206093831116863)); #190369=CARTESIAN_POINT('Ctrl Pts',(-0.0130404565189826,-0.0184999999999262, -0.207303183848743)); #190370=CARTESIAN_POINT('Ctrl Pts',(-0.0131355982950586,-0.0184999999998925, -0.208512480953713)); #190371=CARTESIAN_POINT('Ctrl Pts',(-0.0132308015001228,-0.0185,-0.209721710587303)); #190372=CARTESIAN_POINT('Ctrl Pts',(-0.0133449407108224,0.0185,-0.206068344384635)); #190373=CARTESIAN_POINT('Ctrl Pts',(-0.0132796494830593,0.0123183585642064, -0.206072572630201)); #190374=CARTESIAN_POINT('Ctrl Pts',(-0.0132136339943864,0.00613579855374168, -0.206076816624919)); #190375=CARTESIAN_POINT('Ctrl Pts',(-0.0130804653216417,-0.00619691103761429, -0.206085312083272)); #190376=CARTESIAN_POINT('Ctrl Pts',(-0.0130132992589132,-0.0123489325503333, -0.206089564689934)); #190377=CARTESIAN_POINT('Ctrl Pts',(-0.0129453766099741,-0.0185,-0.206093831116863)); #190378=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,-0.0185,-0.17500892834367)); #190379=CARTESIAN_POINT('Ctrl Pts',(0.103818073364972,-0.0191267234496443, -0.151683176903767)); #190380=CARTESIAN_POINT('Ctrl Pts',(0.0913170812247574,-0.0197534468992886, -0.128357425463863)); #190381=CARTESIAN_POINT('Ctrl Pts',(0.0788160890845425,-0.0203801703489329, -0.10503167402396)); #190382=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,-0.00616666666666667, -0.17500892834367)); #190383=CARTESIAN_POINT('Ctrl Pts',(0.103121585446209,-0.00657057741893313, -0.15237966482253)); #190384=CARTESIAN_POINT('Ctrl Pts',(0.0899241053872313,-0.0069744881711996, -0.129750401301389)); #190385=CARTESIAN_POINT('Ctrl Pts',(0.0767266253282534,-0.00737839892346605, -0.107121137780249)); #190386=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,0.00616666666666666, -0.17500892834367)); #190387=CARTESIAN_POINT('Ctrl Pts',(0.102425097527446,0.00598556861177804, -0.153076152741293)); #190388=CARTESIAN_POINT('Ctrl Pts',(0.0885311295497053,0.00580447055688941, -0.131143377138915)); #190389=CARTESIAN_POINT('Ctrl Pts',(0.0746371615719643,0.00562337250200079, -0.109210601536538)); #190390=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,0.0185,-0.17500892834367)); #190391=CARTESIAN_POINT('Ctrl Pts',(0.101728609608683,0.0185417146424892, -0.153772640660056)); #190392=CARTESIAN_POINT('Ctrl Pts',(0.0871381537121792,0.0185834292849784, -0.132536352976442)); #190393=CARTESIAN_POINT('Ctrl Pts',(0.0725476978156752,0.0186251439274676, -0.111300065292827)); #190394=CARTESIAN_POINT('',(0.114258384972736,0.0185,-0.172009509805191)); #190395=CARTESIAN_POINT('',(0.116319065505187,0.0185,-0.17500892834367)); #190396=CARTESIAN_POINT('Ctrl Pts',(0.114258384972736,0.0185,-0.172009509805191)); #190397=CARTESIAN_POINT('Ctrl Pts',(0.114945291128087,0.0185,-0.17300937265888)); #190398=CARTESIAN_POINT('Ctrl Pts',(0.115632186801417,0.0185,-0.174009182080739)); #190399=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,0.0185,-0.17500892834367)); #190400=CARTESIAN_POINT('',(0.114591656760573,-0.0185,-0.171787666032422)); #190401=CARTESIAN_POINT('Ctrl Pts',(0.114591656760573,-0.0185,-0.171787666032422)); #190402=CARTESIAN_POINT('Ctrl Pts',(0.114537230230244,-0.0123184028058048, -0.171823971469396)); #190403=CARTESIAN_POINT('Ctrl Pts',(0.114482184407042,-0.0061358871065529, -0.171860652641813)); #190404=CARTESIAN_POINT('Ctrl Pts',(0.114371110534719,0.00619682251857776, -0.171934590772647)); #190405=CARTESIAN_POINT('Ctrl Pts',(0.114315071886952,0.0123488882745206, -0.171971855170429)); #190406=CARTESIAN_POINT('Ctrl Pts',(0.114258384972736,0.0185,-0.172009509805191)); #190407=CARTESIAN_POINT('',(0.116319065505187,-0.0185,-0.17500892834367)); #190408=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,-0.0185,-0.17500892834367)); #190409=CARTESIAN_POINT('Ctrl Pts',(0.115743552968999,-0.018499999999543, -0.173935072587336)); #190410=CARTESIAN_POINT('Ctrl Pts',(0.115167747937048,-0.0184999999996834, -0.172861315991572)); #190411=CARTESIAN_POINT('Ctrl Pts',(0.114591656760573,-0.0185,-0.171787666032422)); #190412=CARTESIAN_POINT('',(0.0788160890845425,-0.0203801703489329,-0.10503167402396)); #190413=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,-0.0185,-0.17500892834367)); #190414=CARTESIAN_POINT('Ctrl Pts',(0.103818073364972,-0.0191267234496443, -0.151683176903767)); #190415=CARTESIAN_POINT('Ctrl Pts',(0.0913170812247574,-0.0197534468992886, -0.128357425463863)); #190416=CARTESIAN_POINT('Ctrl Pts',(0.0788160890845425,-0.0203801703489329, -0.10503167402396)); #190417=CARTESIAN_POINT('',(0.0725476978156752,0.0186251439274676,-0.111300065292827)); #190418=CARTESIAN_POINT('',(0.0788160890845425,-0.0203801703489329,-0.10503167402396)); #190419=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,0.0185,-0.17500892834367)); #190420=CARTESIAN_POINT('Ctrl Pts',(0.101728609608683,0.0185417146424892, -0.153772640660056)); #190421=CARTESIAN_POINT('Ctrl Pts',(0.0871381537121792,0.0185834292849784, -0.132536352976442)); #190422=CARTESIAN_POINT('Ctrl Pts',(0.0725476978156752,0.0186251439274676, -0.111300065292827)); #190423=CARTESIAN_POINT('Origin',(0.145663996924429,-3.88046590009128E-18, -0.145663996924429)); #190424=CARTESIAN_POINT('',(0.155819044930224,-0.0185,-0.135508948918634)); #190425=CARTESIAN_POINT('',(0.155819044930224,0.0185,-0.135508948918633)); #190426=CARTESIAN_POINT('',(0.155819044930224,0.,-0.135508948918634)); #190427=CARTESIAN_POINT('',(0.135508948918634,-0.0185,-0.155819044930224)); #190428=CARTESIAN_POINT('',(0.291681547239451,-0.0185,0.00035355339059346)); #190429=CARTESIAN_POINT('',(0.135508948918634,0.0185,-0.155819044930224)); #190430=CARTESIAN_POINT('',(0.135508948918634,0.,-0.155819044930224)); #190431=CARTESIAN_POINT('',(-0.000353553390593198,0.0185,-0.291681547239451)); #190432=CARTESIAN_POINT('Origin',(0.0919289787739846,-0.000128567828214046, -0.0919187843345177)); #190433=CARTESIAN_POINT('',(0.111310259732294,-0.0188822795838957,-0.0725375033762083)); #190434=CARTESIAN_POINT('',(0.111310259732294,-0.0188822795838957,-0.0725375033762083)); #190435=CARTESIAN_POINT('',(0.105041868463427,0.0201230346925048,-0.0788058946450756)); #190436=CARTESIAN_POINT('',(0.105041868463427,0.0201230346925048,-0.0788058946450756)); #190437=CARTESIAN_POINT('',(0.0725476978156752,0.0186251439274676,-0.111300065292827)); #190438=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,-0.0185,-0.116319065505187)); #190439=CARTESIAN_POINT('Ctrl Pts',(0.153776038806545,-0.0186274265279652, -0.101725211462194)); #190440=CARTESIAN_POINT('Ctrl Pts',(0.13254314926942,-0.0187548530559305, -0.0871313574192012)); #190441=CARTESIAN_POINT('Ctrl Pts',(0.111310259732294,-0.0188822795838957, -0.0725375033762083)); #190442=CARTESIAN_POINT('Ctrl Pts',(0.155445640730843,-0.0185,-0.135882353118015)); #190443=CARTESIAN_POINT('Ctrl Pts',(0.137123383659354,-0.0187938588351916, -0.118377866609385)); #190444=CARTESIAN_POINT('Ctrl Pts',(0.118801126587865,-0.0190877176703832, -0.100873380100755)); #190445=CARTESIAN_POINT('Ctrl Pts',(0.100478869516377,-0.0193815765055748, -0.0833688935921254)); #190446=CARTESIAN_POINT('Ctrl Pts',(0.135882353118015,-0.0185,-0.155445640730843)); #190447=CARTESIAN_POINT('Ctrl Pts',(0.120470728512163,-0.0189602911424179, -0.135030521756576)); #190448=CARTESIAN_POINT('Ctrl Pts',(0.105059103906311,-0.0194205822848359, -0.114615402782309)); #190449=CARTESIAN_POINT('Ctrl Pts',(0.0896474793004597,-0.0198808734272538, -0.0942002838080426)); #190450=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,-0.0185,-0.17500892834367)); #190451=CARTESIAN_POINT('Ctrl Pts',(0.103818073364972,-0.0191267234496443, -0.151683176903767)); #190452=CARTESIAN_POINT('Ctrl Pts',(0.0913170812247574,-0.0197534468992886, -0.128357425463863)); #190453=CARTESIAN_POINT('Ctrl Pts',(0.0788160890845425,-0.0203801703489329, -0.10503167402396)); #190454=CARTESIAN_POINT('',(0.175008928343671,-0.0185,-0.116319065505187)); #190455=CARTESIAN_POINT('',(0.205913996924429,-0.0185,-0.0854139969244287)); #190456=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,-0.0185,-0.116319065505187)); #190457=CARTESIAN_POINT('Ctrl Pts',(0.153776038806545,-0.0186274265279652, -0.101725211462194)); #190458=CARTESIAN_POINT('Ctrl Pts',(0.13254314926942,-0.0187548530559305, -0.0871313574192012)); #190459=CARTESIAN_POINT('Ctrl Pts',(0.111310259732294,-0.0188822795838957, -0.0725375033762083)); #190460=CARTESIAN_POINT('',(0.205913996924429,-0.0185,-0.0854139969244287)); #190461=CARTESIAN_POINT('Ctrl Pts',(0.116319065505187,0.0185,-0.17500892834367)); #190462=CARTESIAN_POINT('Ctrl Pts',(0.101728609608683,0.0185417146424892, -0.153772640660056)); #190463=CARTESIAN_POINT('Ctrl Pts',(0.0871381537121792,0.0185834292849784, -0.132536352976442)); #190464=CARTESIAN_POINT('Ctrl Pts',(0.0725476978156752,0.0186251439274676, -0.111300065292827)); #190465=CARTESIAN_POINT('Ctrl Pts',(0.135882353118015,0.0185,-0.155445640730843)); #190466=CARTESIAN_POINT('Ctrl Pts',(0.118381264755874,0.0187081469497156, -0.137119985512865)); #190467=CARTESIAN_POINT('Ctrl Pts',(0.100880176393733,0.0189162938994311, -0.118794330294888)); #190468=CARTESIAN_POINT('Ctrl Pts',(0.0833790880315923,0.0191244408491467, -0.10046867507691)); #190469=CARTESIAN_POINT('Ctrl Pts',(0.155445640730843,0.0185,-0.135882353118015)); #190470=CARTESIAN_POINT('Ctrl Pts',(0.135033919903065,0.0188745792569419, -0.120467330365674)); #190471=CARTESIAN_POINT('Ctrl Pts',(0.114622199075287,0.0192491585138838, -0.105052307613333)); #190472=CARTESIAN_POINT('Ctrl Pts',(0.0942104782475095,0.0196237377708257, -0.0896372848609928)); #190473=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,0.0185,-0.116319065505187)); #190474=CARTESIAN_POINT('Ctrl Pts',(0.151686575050256,0.0190410115641683, -0.103814675218483)); #190475=CARTESIAN_POINT('Ctrl Pts',(0.128364221756841,0.0195820231283365, -0.0913102849317794)); #190476=CARTESIAN_POINT('Ctrl Pts',(0.105041868463427,0.0201230346925048, -0.0788058946450756)); #190477=CARTESIAN_POINT('',(0.205913996924429,0.0185,-0.0854139969244287)); #190478=CARTESIAN_POINT('',(0.175008928343671,0.0185,-0.116319065505187)); #190479=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,0.0185,-0.116319065505187)); #190480=CARTESIAN_POINT('Ctrl Pts',(0.151686575050256,0.0190410115641683, -0.103814675218483)); #190481=CARTESIAN_POINT('Ctrl Pts',(0.128364221756841,0.0195820231283365, -0.0913102849317794)); #190482=CARTESIAN_POINT('Ctrl Pts',(0.105041868463427,0.0201230346925048, -0.0788058946450756)); #190483=CARTESIAN_POINT('',(0.205913996924429,0.0185,-0.0854139969244287)); #190484=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,0.0185,-0.116319065505187)); #190485=CARTESIAN_POINT('Ctrl Pts',(0.151686575050256,0.0190410115641683, -0.103814675218483)); #190486=CARTESIAN_POINT('Ctrl Pts',(0.128364221756841,0.0195820231283365, -0.0913102849317794)); #190487=CARTESIAN_POINT('Ctrl Pts',(0.105041868463427,0.0201230346925048, -0.0788058946450756)); #190488=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,0.00616666666666666, -0.116319065505187)); #190489=CARTESIAN_POINT('Ctrl Pts',(0.152383062969019,0.00648486553345709, -0.10311818729972)); #190490=CARTESIAN_POINT('Ctrl Pts',(0.129757197594367,0.00680306440024753, -0.0899173090942533)); #190491=CARTESIAN_POINT('Ctrl Pts',(0.107131332219716,0.00712126326703796, -0.0767164308887865)); #190492=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,-0.00616666666666667, -0.116319065505187)); #190493=CARTESIAN_POINT('Ctrl Pts',(0.153079550887782,-0.00607128049725408, -0.102421699380957)); #190494=CARTESIAN_POINT('Ctrl Pts',(0.131150173431893,-0.00597589432784148, -0.0885243332567273)); #190495=CARTESIAN_POINT('Ctrl Pts',(0.109220795976005,-0.00588050815842888, -0.0746269671324974)); #190496=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,-0.0185,-0.116319065505187)); #190497=CARTESIAN_POINT('Ctrl Pts',(0.153776038806545,-0.0186274265279652, -0.101725211462194)); #190498=CARTESIAN_POINT('Ctrl Pts',(0.13254314926942,-0.0187548530559305, -0.0871313574192012)); #190499=CARTESIAN_POINT('Ctrl Pts',(0.111310259732294,-0.0188822795838957, -0.0725375033762083)); #190500=CARTESIAN_POINT('',(0.171787950797483,0.0185,-0.114591229859887)); #190501=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,0.0185,-0.116319065505187)); #190502=CARTESIAN_POINT('Ctrl Pts',(0.173935188227409,0.0184999999995998, -0.115743374651382)); #190503=CARTESIAN_POINT('Ctrl Pts',(0.172861526449882,0.0184999999997227, -0.115167427364365)); #190504=CARTESIAN_POINT('Ctrl Pts',(0.171787950797483,0.0185,-0.114591229859887)); #190505=CARTESIAN_POINT('',(0.172009805005476,-0.0185,-0.114257940564226)); #190506=CARTESIAN_POINT('Ctrl Pts',(0.172009805005476,-0.0185,-0.114257940564226)); #190507=CARTESIAN_POINT('Ctrl Pts',(0.173009595238916,-0.0184999999999262, -0.114944958546757)); #190508=CARTESIAN_POINT('Ctrl Pts',(0.174009306364505,-0.0184999999998925, -0.115632002294285)); #190509=CARTESIAN_POINT('Ctrl Pts',(0.175008928343671,-0.0185,-0.116319065505187)); #190510=CARTESIAN_POINT('Ctrl Pts',(0.171787950797483,0.0185,-0.114591229859887)); #190511=CARTESIAN_POINT('Ctrl Pts',(0.171824258179439,0.0123183585641313, -0.114536800120782)); #190512=CARTESIAN_POINT('Ctrl Pts',(0.171860941331015,0.00613579855370603, -0.114481751027908)); #190513=CARTESIAN_POINT('Ctrl Pts',(0.171934882950136,-0.00619691103735698, -0.114370671303502)); #190514=CARTESIAN_POINT('Ctrl Pts',(0.171972148846902,-0.0123489325502098, -0.114314630090236)); #190515=CARTESIAN_POINT('Ctrl Pts',(0.172009805005476,-0.0185,-0.114257940564226)); #190516=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,-0.0185,0.013230801500123)); #190517=CARTESIAN_POINT('Ctrl Pts',(0.183270521207877,-0.0191267234496443, 0.0140675004541391)); #190518=CARTESIAN_POINT('Ctrl Pts',(0.156819331828452,-0.0197534468992886, 0.0149041994081552)); #190519=CARTESIAN_POINT('Ctrl Pts',(0.130368142449027,-0.0203801703489329, 0.0157408983621713)); #190520=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,-0.00616666666666667, 0.013230801500123)); #190521=CARTESIAN_POINT('Ctrl Pts',(0.183525453479574,-0.00657057741893313, 0.0131160802636798)); #190522=CARTESIAN_POINT('Ctrl Pts',(0.157329196371844,-0.0069744881711996, 0.0130013590272367)); #190523=CARTESIAN_POINT('Ctrl Pts',(0.131132939264115,-0.00737839892346605, 0.0128866377907935)); #190524=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,0.00616666666666666, 0.013230801500123)); #190525=CARTESIAN_POINT('Ctrl Pts',(0.18378038575127,0.00598556861177804, 0.0121646600732206)); #190526=CARTESIAN_POINT('Ctrl Pts',(0.157839060915237,0.00580447055688941, 0.0110985186463182)); #190527=CARTESIAN_POINT('Ctrl Pts',(0.131897736079204,0.00562337250200079, 0.0100323772194157)); #190528=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,0.0185,0.013230801500123)); #190529=CARTESIAN_POINT('Ctrl Pts',(0.184035318022966,0.0185417146424892, 0.0112132398827613)); #190530=CARTESIAN_POINT('Ctrl Pts',(0.158348925458629,0.0185834292849784, 0.00919567826539963)); #190531=CARTESIAN_POINT('Ctrl Pts',(0.132662532894293,0.0186251439274676, 0.00717811664803794)); #190532=CARTESIAN_POINT('',(0.206093797670172,0.0185,0.0129459090791755)); #190533=CARTESIAN_POINT('',(0.209721710587303,0.0185,0.013230801500123)); #190534=CARTESIAN_POINT('Ctrl Pts',(0.206093797670172,0.0185,0.0129459090791755)); #190535=CARTESIAN_POINT('Ctrl Pts',(0.207303157379443,0.0185,0.0130408558328817)); #190536=CARTESIAN_POINT('Ctrl Pts',(0.20851246557438,0.0185,0.0131358202248058)); #190537=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,0.0185,0.013230801500123)); #190538=CARTESIAN_POINT('',(0.206068311221201,-0.0185,0.0133454528001919)); #190539=CARTESIAN_POINT('Ctrl Pts',(0.206068311221201,-0.0185,0.0133454528001919)); #190540=CARTESIAN_POINT('Ctrl Pts',(0.206072539386752,-0.0123184028060485, 0.013280165323803)); #190541=CARTESIAN_POINT('Ctrl Pts',(0.206076783302305,-0.00613588710666961, 0.0132141536563279)); #190542=CARTESIAN_POINT('Ctrl Pts',(0.206085278665753,0.00619682251872126, 0.0130809917957803)); #190543=CARTESIAN_POINT('Ctrl Pts',(0.206089531257005,0.0123488882745889, 0.0130138287043293)); #190544=CARTESIAN_POINT('Ctrl Pts',(0.206093797670172,0.0185,0.0129459090791756)); #190545=CARTESIAN_POINT('',(0.209721710587303,-0.0185,0.013230801500123)); #190546=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,-0.0185,0.013230801500123)); #190547=CARTESIAN_POINT('Ctrl Pts',(0.208503967954222,-0.018499999999543, 0.0132693209017553)); #190548=CARTESIAN_POINT('Ctrl Pts',(0.207286164948834,-0.0184999999996834, 0.0133075374143402)); #190549=CARTESIAN_POINT('Ctrl Pts',(0.206068311221201,-0.0185,0.0133454528001919)); #190550=CARTESIAN_POINT('',(0.130368142449027,-0.0203801703489329,0.0157408983621713)); #190551=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,-0.0185,0.013230801500123)); #190552=CARTESIAN_POINT('Ctrl Pts',(0.183270521207877,-0.0191267234496443, 0.0140675004541391)); #190553=CARTESIAN_POINT('Ctrl Pts',(0.156819331828452,-0.0197534468992886, 0.0149041994081552)); #190554=CARTESIAN_POINT('Ctrl Pts',(0.130368142449027,-0.0203801703489329, 0.0157408983621713)); #190555=CARTESIAN_POINT('',(0.132662532894293,0.0186251439274676,0.00717811664803793)); #190556=CARTESIAN_POINT('',(0.130368142449027,-0.0203801703489329,0.0157408983621713)); #190557=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,0.0185,0.013230801500123)); #190558=CARTESIAN_POINT('Ctrl Pts',(0.184035318022966,0.0185417146424892, 0.0112132398827613)); #190559=CARTESIAN_POINT('Ctrl Pts',(0.158348925458629,0.0185834292849784, 0.00919567826539962)); #190560=CARTESIAN_POINT('Ctrl Pts',(0.132662532894293,0.0186251439274676, 0.00717811664803793)); #190561=CARTESIAN_POINT('Origin',(0.198980720215548,-3.88046590009128E-18, 0.0533167232911193)); #190562=CARTESIAN_POINT('',(0.195263714668776,-0.0185,0.0671887768436861)); #190563=CARTESIAN_POINT('',(0.195263714668777,0.0185,0.0671887768436857)); #190564=CARTESIAN_POINT('',(0.195263714668776,0.,0.0671887768436861)); #190565=CARTESIAN_POINT('',(0.20269772576232,-0.0185,0.0394446697385524)); #190566=CARTESIAN_POINT('',(0.145534587401877,-0.0185,0.252780406419812)); #190567=CARTESIAN_POINT('',(0.202697725762319,0.0185,0.0394446697385531)); #190568=CARTESIAN_POINT('',(0.20269772576232,0.,0.0394446697385524)); #190569=CARTESIAN_POINT('',(0.252426853029219,0.0185,-0.146146959837573)); #190570=CARTESIAN_POINT('Origin',(0.125568491705668,-0.000128567828214046, 0.0336534387949722)); #190571=CARTESIAN_POINT('',(0.118474450517043,-0.0188822795838957,0.0601287609419065)); #190572=CARTESIAN_POINT('',(0.118474450517043,-0.0188822795838957,0.0601287609419065)); #190573=CARTESIAN_POINT('',(0.120768840962309,0.0201230346925048,0.0515659792277732)); #190574=CARTESIAN_POINT('',(0.120768840962309,0.0201230346925048,0.0515659792277732)); #190575=CARTESIAN_POINT('',(0.132662532894293,0.0186251439274676,0.00717811664803793)); #190576=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,-0.0185,0.0934026450821157)); #190577=CARTESIAN_POINT('Ctrl Pts',(0.164984636734877,-0.0186274265279652, 0.0823113503687126)); #190578=CARTESIAN_POINT('Ctrl Pts',(0.14172954362596,-0.0187548530559305, 0.0712200556553096)); #190579=CARTESIAN_POINT('Ctrl Pts',(0.118474450517043,-0.0188822795838957, 0.0601287609419065)); #190580=CARTESIAN_POINT('Ctrl Pts',(0.19540039009163,-0.0185,0.0666786972214515)); #190581=CARTESIAN_POINT('Ctrl Pts',(0.17107993155921,-0.0187938588351916, 0.0595634003971881)); #190582=CARTESIAN_POINT('Ctrl Pts',(0.14675947302679,-0.0190877176703832, 0.0524481035729248)); #190583=CARTESIAN_POINT('Ctrl Pts',(0.122439014494371,-0.0193815765055748, 0.0453328067486614)); #190584=CARTESIAN_POINT('Ctrl Pts',(0.202561050339466,-0.0185,0.0399547493607872)); #190585=CARTESIAN_POINT('Ctrl Pts',(0.177175226383544,-0.0189602911424179, 0.0368154504256636)); #190586=CARTESIAN_POINT('Ctrl Pts',(0.151789402427621,-0.0194205822848359, 0.03367615149054)); #190587=CARTESIAN_POINT('Ctrl Pts',(0.126403578471699,-0.0198808734272538, 0.0305368525554164)); #190588=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,-0.0185,0.013230801500123)); #190589=CARTESIAN_POINT('Ctrl Pts',(0.183270521207877,-0.0191267234496443, 0.0140675004541391)); #190590=CARTESIAN_POINT('Ctrl Pts',(0.156819331828452,-0.0197534468992886, 0.0149041994081552)); #190591=CARTESIAN_POINT('Ctrl Pts',(0.130368142449027,-0.0203801703489329, 0.0157408983621713)); #190592=CARTESIAN_POINT('',(0.188239729843793,-0.0185,0.0934026450821157)); #190593=CARTESIAN_POINT('',(0.207052689637536,-0.0185,0.0231917232911193)); #190594=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,-0.0185,0.0934026450821157)); #190595=CARTESIAN_POINT('Ctrl Pts',(0.164984636734877,-0.0186274265279652, 0.0823113503687126)); #190596=CARTESIAN_POINT('Ctrl Pts',(0.14172954362596,-0.0187548530559305, 0.0712200556553096)); #190597=CARTESIAN_POINT('Ctrl Pts',(0.118474450517043,-0.0188822795838957, 0.0601287609419065)); #190598=CARTESIAN_POINT('',(0.207052689637536,-0.0185,0.0231917232911193)); #190599=CARTESIAN_POINT('Ctrl Pts',(0.209721710587303,0.0185,0.013230801500123)); #190600=CARTESIAN_POINT('Ctrl Pts',(0.184035318022966,0.0185417146424892, 0.0112132398827613)); #190601=CARTESIAN_POINT('Ctrl Pts',(0.158348925458629,0.0185834292849784, 0.00919567826539962)); #190602=CARTESIAN_POINT('Ctrl Pts',(0.132662532894293,0.0186251439274676, 0.00717811664803793)); #190603=CARTESIAN_POINT('Ctrl Pts',(0.202561050339466,0.0185,0.0399547493607872)); #190604=CARTESIAN_POINT('Ctrl Pts',(0.177940023198632,0.0187081469497156, 0.0339611898542858)); #190605=CARTESIAN_POINT('Ctrl Pts',(0.153318996057799,0.0189162938994311, 0.0279676303477844)); #190606=CARTESIAN_POINT('Ctrl Pts',(0.128697968916965,0.0191244408491467, 0.021974070841283)); #190607=CARTESIAN_POINT('Ctrl Pts',(0.19540039009163,0.0185,0.0666786972214514)); #190608=CARTESIAN_POINT('Ctrl Pts',(0.171844728374299,0.0188745792569419, 0.0567091398258103)); #190609=CARTESIAN_POINT('Ctrl Pts',(0.148289066656968,0.0192491585138838, 0.0467395824301692)); #190610=CARTESIAN_POINT('Ctrl Pts',(0.124733404939637,0.0196237377708257, 0.0367700250345281)); #190611=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,0.0185,0.0934026450821157)); #190612=CARTESIAN_POINT('Ctrl Pts',(0.165749433549965,0.0190410115641683, 0.0794570897973348)); #190613=CARTESIAN_POINT('Ctrl Pts',(0.143259137256137,0.0195820231283365, 0.065511534512554)); #190614=CARTESIAN_POINT('Ctrl Pts',(0.120768840962309,0.0201230346925048, 0.0515659792277732)); #190615=CARTESIAN_POINT('',(0.207052689637536,0.0185,0.0231917232911193)); #190616=CARTESIAN_POINT('',(0.188239729843793,0.0185,0.0934026450821157)); #190617=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,0.0185,0.0934026450821157)); #190618=CARTESIAN_POINT('Ctrl Pts',(0.165749433549965,0.0190410115641683, 0.0794570897973348)); #190619=CARTESIAN_POINT('Ctrl Pts',(0.143259137256137,0.0195820231283365, 0.065511534512554)); #190620=CARTESIAN_POINT('Ctrl Pts',(0.120768840962309,0.0201230346925048, 0.0515659792277732)); #190621=CARTESIAN_POINT('',(0.207052689637536,0.0185,0.0231917232911193)); #190622=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,0.0185,0.0934026450821157)); #190623=CARTESIAN_POINT('Ctrl Pts',(0.165749433549965,0.0190410115641683, 0.0794570897973348)); #190624=CARTESIAN_POINT('Ctrl Pts',(0.143259137256137,0.0195820231283365, 0.065511534512554)); #190625=CARTESIAN_POINT('Ctrl Pts',(0.120768840962309,0.0201230346925048, 0.0515659792277732)); #190626=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,0.00616666666666666, 0.0934026450821157)); #190627=CARTESIAN_POINT('Ctrl Pts',(0.165494501278269,0.00648486553345709, 0.0804085099877941)); #190628=CARTESIAN_POINT('Ctrl Pts',(0.142749272712745,0.00680306440024753, 0.0674143748934725)); #190629=CARTESIAN_POINT('Ctrl Pts',(0.12000404414722,0.00712126326703796, 0.054420239799151)); #190630=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,-0.00616666666666667, 0.0934026450821157)); #190631=CARTESIAN_POINT('Ctrl Pts',(0.165239569006573,-0.00607128049725408, 0.0813599301782534)); #190632=CARTESIAN_POINT('Ctrl Pts',(0.142239408169352,-0.00597589432784148, 0.0693172152743911)); #190633=CARTESIAN_POINT('Ctrl Pts',(0.119239247332132,-0.00588050815842888, 0.0572745003705288)); #190634=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,-0.0185,0.0934026450821157)); #190635=CARTESIAN_POINT('Ctrl Pts',(0.164984636734877,-0.0186274265279652, 0.0823113503687126)); #190636=CARTESIAN_POINT('Ctrl Pts',(0.14172954362596,-0.0187548530559305, 0.0712200556553096)); #190637=CARTESIAN_POINT('Ctrl Pts',(0.118474450517043,-0.0188822795838957, 0.0601287609419065)); #190638=CARTESIAN_POINT('',(0.185132891508306,0.0185,0.0914771145247482)); #190639=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,0.0185,0.0934026450821157)); #190640=CARTESIAN_POINT('Ctrl Pts',(0.187204296881541,0.0184999999995998, 0.0927606042912729)); #190641=CARTESIAN_POINT('Ctrl Pts',(0.18616868101098,0.0184999999997227, 0.0921187595603706)); #190642=CARTESIAN_POINT('Ctrl Pts',(0.185132891508306,0.0185,0.0914771145247483)); #190643=CARTESIAN_POINT('',(0.18495518161545,-0.0185,0.0918358905526368)); #190644=CARTESIAN_POINT('Ctrl Pts',(0.18495518161545,-0.0185,0.0918358905526369)); #190645=CARTESIAN_POINT('Ctrl Pts',(0.186050051757898,-0.0184999999999262, 0.0923582253019859)); #190646=CARTESIAN_POINT('Ctrl Pts',(0.187144904659564,-0.0184999999998925, 0.0928804786594282)); #190647=CARTESIAN_POINT('Ctrl Pts',(0.188239729843793,-0.0185,0.0934026450821157)); #190648=CARTESIAN_POINT('Ctrl Pts',(0.185132891508306,0.0185,0.0914771145247483)); #190649=CARTESIAN_POINT('Ctrl Pts',(0.185103907662498,0.0123183585642196, 0.0915357725094182)); #190650=CARTESIAN_POINT('Ctrl Pts',(0.185074575325401,0.00613579855374817, 0.0915950655970113)); #190651=CARTESIAN_POINT('Ctrl Pts',(0.185015348271779,-0.00619691103755922, 0.0917146407797726)); #190652=CARTESIAN_POINT('Ctrl Pts',(0.184985448105816,-0.0123489325503085, 0.0917749345996988)); #190653=CARTESIAN_POINT('Ctrl Pts',(0.18495518161545,-0.0185,0.0918358905526369)); #190654=CARTESIAN_POINT('Origin',(0.241,-0.0185,0.)); #190655=CARTESIAN_POINT('',(-0.100388209493685,-0.0185,0.256558877052914)); #190656=CARTESIAN_POINT('',(-0.215218178188422,-0.0185,0.171992400347389)); #190657=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190658=CARTESIAN_POINT('',(-0.272380609841074,-0.0185,0.0413406988644914)); #190659=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190660=CARTESIAN_POINT('',(-0.256558877052914,-0.0185,-0.100388209493685)); #190661=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190662=CARTESIAN_POINT('',(-0.171992400347389,-0.0185,-0.215218178188422)); #190663=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190664=CARTESIAN_POINT('',(-0.0413406988644915,-0.0185,-0.272380609841074)); #190665=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190666=CARTESIAN_POINT('',(0.100388209493685,-0.0185,-0.256558877052914)); #190667=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190668=CARTESIAN_POINT('',(0.215218178188422,-0.0185,-0.171992400347389)); #190669=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190670=CARTESIAN_POINT('',(0.272380609841074,-0.0185,-0.0413406988644915)); #190671=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190672=CARTESIAN_POINT('',(0.256558877052914,-0.0185,0.100388209493685)); #190673=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190674=CARTESIAN_POINT('',(0.171992400347389,-0.0185,0.215218178188422)); #190675=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190676=CARTESIAN_POINT('',(0.0413406988644915,-0.0185,0.272380609841074)); #190677=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190678=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190679=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190680=CARTESIAN_POINT('',(0.0129453766099742,-0.0185,0.206093831116863)); #190681=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190682=CARTESIAN_POINT('',(0.0132308015001229,-0.0185,0.209721710587303)); #190683=CARTESIAN_POINT('Ctrl Pts',(0.0129453766099742,-0.0185,0.206093831116863)); #190684=CARTESIAN_POINT('Ctrl Pts',(0.0130404565189827,-0.0184999999999262, 0.207303183848743)); #190685=CARTESIAN_POINT('Ctrl Pts',(0.0131355982950586,-0.0184999999998925, 0.208512480953713)); #190686=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,-0.0185,0.209721710587303)); #190687=CARTESIAN_POINT('',(0.039444669738553,-0.0185,0.202697725762319)); #190688=CARTESIAN_POINT('',(0.165744753869132,-0.0185,0.168855720215548)); #190689=CARTESIAN_POINT('',(0.0671887768436856,-0.0185,0.195263714668777)); #190690=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190691=CARTESIAN_POINT('',(0.0934026450821156,-0.0185,0.188239729843793)); #190692=CARTESIAN_POINT('',(0.165744753869132,-0.0185,0.168855720215548)); #190693=CARTESIAN_POINT('',(0.0914766544606282,-0.0185,0.185133118832614)); #190694=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821156,-0.0185,0.188239729843793)); #190695=CARTESIAN_POINT('Ctrl Pts',(0.0927604149852233,-0.018499999999543, 0.187204393489091)); #190696=CARTESIAN_POINT('Ctrl Pts',(0.0921184170117866,-0.0184999999996834, 0.186168853405912)); #190697=CARTESIAN_POINT('Ctrl Pts',(0.0914766544606282,-0.0185,0.185133118832614)); #190698=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190699=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190700=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190701=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190702=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190703=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190704=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190705=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190706=CARTESIAN_POINT('Origin',(0.,-0.0185,0.)); #190707=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190708=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190709=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190710=CARTESIAN_POINT('',(0.0918354126974362,0.0185,0.184955418884367)); #190711=CARTESIAN_POINT('Ctrl Pts',(0.0914766544606282,-0.0185,0.185133118832614)); #190712=CARTESIAN_POINT('Ctrl Pts',(0.0915353091565053,-0.0123184028060538, 0.185104136793198)); #190713=CARTESIAN_POINT('Ctrl Pts',(0.0915945988952613,-0.00613588710667189, 0.18507480629814)); #190714=CARTESIAN_POINT('Ctrl Pts',(0.0917141681310357,0.00619682251877389, 0.185015582568427)); #190715=CARTESIAN_POINT('Ctrl Pts',(0.0917744593700546,0.012348888274614, 0.184985683874758)); #190716=CARTESIAN_POINT('Ctrl Pts',(0.0918354126974363,0.0185,0.184955418884367)); #190717=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190718=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190719=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190720=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190721=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190722=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190723=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190724=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190725=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190726=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190727=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190728=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190729=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190730=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190731=CARTESIAN_POINT('',(0.0133449407108224,0.0185,0.206068344384635)); #190732=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190733=CARTESIAN_POINT('Ctrl Pts',(0.0133449407108224,0.0185,0.206068344384635)); #190734=CARTESIAN_POINT('Ctrl Pts',(0.0132796494830592,0.012318358564187, 0.206072572630201)); #190735=CARTESIAN_POINT('Ctrl Pts',(0.0132136339943864,0.00613579855373338, 0.206076816624919)); #190736=CARTESIAN_POINT('Ctrl Pts',(0.0130804653216423,-0.00619691103756341, 0.206085312083272)); #190737=CARTESIAN_POINT('Ctrl Pts',(0.0130132992589135,-0.0123489325503117, 0.206089564689934)); #190738=CARTESIAN_POINT('Ctrl Pts',(0.0129453766099742,-0.0185,0.206093831116863)); #190739=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190740=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190741=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190742=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190743=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821156,-0.0185,0.188239729843793)); #190744=CARTESIAN_POINT('Ctrl Pts',(0.0794524478429051,-0.0191267234496443, 0.165750677357906)); #190745=CARTESIAN_POINT('Ctrl Pts',(0.0655022506036946,-0.0197534468992886, 0.143261624872019)); #190746=CARTESIAN_POINT('Ctrl Pts',(0.0515520533644841,-0.0203801703489329, 0.120772572386131)); #190747=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821156,-0.00616666666666667, 0.188239729843793)); #190748=CARTESIAN_POINT('Ctrl Pts',(0.0804038680333643,-0.00657057741893313, 0.16549574508621)); #190749=CARTESIAN_POINT('Ctrl Pts',(0.0674050909846131,-0.0069744881711996, 0.142751760328626)); #190750=CARTESIAN_POINT('Ctrl Pts',(0.0544063139358619,-0.00737839892346605, 0.120007775571042)); #190751=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821156,0.00616666666666666, 0.188239729843793)); #190752=CARTESIAN_POINT('Ctrl Pts',(0.0813552882238236,0.00598556861177804, 0.165240812814514)); #190753=CARTESIAN_POINT('Ctrl Pts',(0.0693079313655316,0.00580447055688941, 0.142241895785234)); #190754=CARTESIAN_POINT('Ctrl Pts',(0.0572605745072397,0.00562337250200079, 0.119242978755954)); #190755=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821156,0.0185,0.188239729843793)); #190756=CARTESIAN_POINT('Ctrl Pts',(0.0823067084142829,0.0185417146424892, 0.164985880542817)); #190757=CARTESIAN_POINT('Ctrl Pts',(0.0712107717464502,0.0185834292849784, 0.141732031241841)); #190758=CARTESIAN_POINT('Ctrl Pts',(0.0601148350786175,0.0186251439274676, 0.118478181940865)); #190759=CARTESIAN_POINT('',(0.0934026450821156,0.0185,0.188239729843793)); #190760=CARTESIAN_POINT('Ctrl Pts',(0.0918354126974362,0.0185,0.184955418884367)); #190761=CARTESIAN_POINT('Ctrl Pts',(0.0923578662513554,0.0185,0.186050228491762)); #190762=CARTESIAN_POINT('Ctrl Pts',(0.0928802787729629,0.0185,0.187145002305544)); #190763=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821156,0.0185,0.188239729843793)); #190764=CARTESIAN_POINT('',(0.0515520533644841,-0.0203801703489329,0.120772572386131)); #190765=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821156,-0.0185,0.188239729843793)); #190766=CARTESIAN_POINT('Ctrl Pts',(0.0794524478429051,-0.0191267234496443, 0.165750677357906)); #190767=CARTESIAN_POINT('Ctrl Pts',(0.0655022506036946,-0.0197534468992886, 0.143261624872019)); #190768=CARTESIAN_POINT('Ctrl Pts',(0.0515520533644841,-0.0203801703489329, 0.120772572386131)); #190769=CARTESIAN_POINT('',(0.0601148350786175,0.0186251439274676,0.118478181940865)); #190770=CARTESIAN_POINT('',(0.0515520533644841,-0.0203801703489329,0.120772572386131)); #190771=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821156,0.0185,0.188239729843793)); #190772=CARTESIAN_POINT('Ctrl Pts',(0.0823067084142829,0.0185417146424892, 0.164985880542817)); #190773=CARTESIAN_POINT('Ctrl Pts',(0.0712107717464502,0.0185834292849784, 0.141732031241841)); #190774=CARTESIAN_POINT('Ctrl Pts',(0.0601148350786175,0.0186251439274676, 0.118478181940865)); #190775=CARTESIAN_POINT('Origin',(0.0533167232911192,-3.88046590009128E-18, 0.198980720215548)); #190776=CARTESIAN_POINT('',(0.0394446697385523,0.0185,0.20269772576232)); #190777=CARTESIAN_POINT('',(0.039444669738553,0.,0.202697725762319)); #190778=CARTESIAN_POINT('',(-0.146146959837573,-0.0185,0.252426853029219)); #190779=CARTESIAN_POINT('',(0.0671887768436861,0.0185,0.195263714668776)); #190780=CARTESIAN_POINT('',(0.0671887768436856,0.,0.195263714668777)); #190781=CARTESIAN_POINT('',(0.252780406419812,0.0185,0.145534587401878)); #190782=CARTESIAN_POINT('Origin',(0.0336395129316832,-0.000128567828214046, 0.12557222312949)); #190783=CARTESIAN_POINT('',(0.00716419078474886,-0.0188822795838957,0.132666264318115)); #190784=CARTESIAN_POINT('',(0.00716419078474886,-0.0188822795838957,0.132666264318115)); #190785=CARTESIAN_POINT('',(0.0157269724988822,0.0201230346925048,0.130371873872849)); #190786=CARTESIAN_POINT('',(0.0157269724988822,0.0201230346925048,0.130371873872849)); #190787=CARTESIAN_POINT('',(0.0601148350786175,0.0186251439274676,0.118478181940865)); #190788=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,-0.0185,0.209721710587303)); #190789=CARTESIAN_POINT('Ctrl Pts',(0.0112085979283315,-0.0186274265279652, 0.184036561830907)); #190790=CARTESIAN_POINT('Ctrl Pts',(0.0091863943565402,-0.0187548530559305, 0.158351413074511)); #190791=CARTESIAN_POINT('Ctrl Pts',(0.00716419078474886,-0.0188822795838957, 0.132666264318115)); #190792=CARTESIAN_POINT('Ctrl Pts',(0.0399547493607871,-0.0185,0.202561050339466)); #190793=CARTESIAN_POINT('Ctrl Pts',(0.033956547899856,-0.0187938588351916, 0.177941267006573)); #190794=CARTESIAN_POINT('Ctrl Pts',(0.027958346438925,-0.0190877176703832, 0.15332148367368)); #190795=CARTESIAN_POINT('Ctrl Pts',(0.0219601449779939,-0.0193815765055748, 0.128701700340787)); #190796=CARTESIAN_POINT('Ctrl Pts',(0.0666786972214513,-0.0185,0.19540039009163)); #190797=CARTESIAN_POINT('Ctrl Pts',(0.0567044978713806,-0.0189602911424179, 0.17184597218224)); #190798=CARTESIAN_POINT('Ctrl Pts',(0.0467302985213098,-0.0194205822848359, 0.148291554272849)); #190799=CARTESIAN_POINT('Ctrl Pts',(0.036756099171239,-0.0198808734272538, 0.124737136363459)); #190800=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821155,-0.0185,0.188239729843793)); #190801=CARTESIAN_POINT('Ctrl Pts',(0.0794524478429051,-0.0191267234496443, 0.165750677357906)); #190802=CARTESIAN_POINT('Ctrl Pts',(0.0655022506036946,-0.0197534468992886, 0.143261624872019)); #190803=CARTESIAN_POINT('Ctrl Pts',(0.0515520533644841,-0.0203801703489329, 0.120772572386131)); #190804=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,-0.0185,0.209721710587303)); #190805=CARTESIAN_POINT('Ctrl Pts',(0.0112085979283315,-0.0186274265279652, 0.184036561830907)); #190806=CARTESIAN_POINT('Ctrl Pts',(0.0091863943565402,-0.0187548530559305, 0.158351413074511)); #190807=CARTESIAN_POINT('Ctrl Pts',(0.00716419078474886,-0.0188822795838957, 0.132666264318115)); #190808=CARTESIAN_POINT('Ctrl Pts',(0.0934026450821156,0.0185,0.188239729843793)); #190809=CARTESIAN_POINT('Ctrl Pts',(0.0823067084142829,0.0185417146424892, 0.164985880542817)); #190810=CARTESIAN_POINT('Ctrl Pts',(0.0712107717464502,0.0185834292849784, 0.141732031241841)); #190811=CARTESIAN_POINT('Ctrl Pts',(0.0601148350786175,0.0186251439274676, 0.118478181940865)); #190812=CARTESIAN_POINT('Ctrl Pts',(0.0666786972214513,0.0185,0.19540039009163)); #190813=CARTESIAN_POINT('Ctrl Pts',(0.0595587584427584,0.0187081469497156, 0.171081175367151)); #190814=CARTESIAN_POINT('Ctrl Pts',(0.0524388196640654,0.0189162938994311, 0.146761960642672)); #190815=CARTESIAN_POINT('Ctrl Pts',(0.0453188808853724,0.0191244408491467, 0.122442745918193)); #190816=CARTESIAN_POINT('Ctrl Pts',(0.0399547493607871,0.0185,0.202561050339466)); #190817=CARTESIAN_POINT('Ctrl Pts',(0.0368108084712338,0.0188745792569419, 0.177176470191484)); #190818=CARTESIAN_POINT('Ctrl Pts',(0.0336668675816806,0.0192491585138838, 0.151791890043503)); #190819=CARTESIAN_POINT('Ctrl Pts',(0.0305229266921273,0.0196237377708257, 0.126407309895521)); #190820=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,0.0185,0.209721710587303)); #190821=CARTESIAN_POINT('Ctrl Pts',(0.0140628584997094,0.0190410115641683, 0.183271765015818)); #190822=CARTESIAN_POINT('Ctrl Pts',(0.0148949154992958,0.0195820231283365, 0.156821819444333)); #190823=CARTESIAN_POINT('Ctrl Pts',(0.0157269724988822,0.0201230346925048, 0.130371873872849)); #190824=CARTESIAN_POINT('',(0.165744753869132,0.0185,0.168855720215548)); #190825=CARTESIAN_POINT('',(0.0132308015001229,0.0185,0.209721710587303)); #190826=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,0.0185,0.209721710587303)); #190827=CARTESIAN_POINT('Ctrl Pts',(0.0140628584997093,0.0190410115641683, 0.183271765015818)); #190828=CARTESIAN_POINT('Ctrl Pts',(0.0148949154992958,0.0195820231283365, 0.156821819444333)); #190829=CARTESIAN_POINT('Ctrl Pts',(0.0157269724988822,0.0201230346925048, 0.130371873872849)); #190830=CARTESIAN_POINT('',(0.165744753869132,0.0185,0.168855720215548)); #190831=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,0.0185,0.209721710587303)); #190832=CARTESIAN_POINT('Ctrl Pts',(0.0140628584997093,0.0190410115641683, 0.183271765015818)); #190833=CARTESIAN_POINT('Ctrl Pts',(0.0148949154992958,0.0195820231283365, 0.156821819444333)); #190834=CARTESIAN_POINT('Ctrl Pts',(0.0157269724988822,0.0201230346925048, 0.130371873872849)); #190835=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,0.00616666666666666, 0.209721710587303)); #190836=CARTESIAN_POINT('Ctrl Pts',(0.0131114383092501,0.00648486553345709, 0.183526697287514)); #190837=CARTESIAN_POINT('Ctrl Pts',(0.0129920751183773,0.00680306440024753, 0.157331683987726)); #190838=CARTESIAN_POINT('Ctrl Pts',(0.0128727119275044,0.00712126326703796, 0.131136670687937)); #190839=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,-0.00616666666666667, 0.209721710587303)); #190840=CARTESIAN_POINT('Ctrl Pts',(0.0121600181187908,-0.00607128049725408, 0.18378162955921)); #190841=CARTESIAN_POINT('Ctrl Pts',(0.0110892347374587,-0.00597589432784148, 0.157841548531118)); #190842=CARTESIAN_POINT('Ctrl Pts',(0.0100184513561267,-0.00588050815842888, 0.131901467503026)); #190843=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,-0.0185,0.209721710587303)); #190844=CARTESIAN_POINT('Ctrl Pts',(0.0112085979283315,-0.0186274265279652, 0.184036561830907)); #190845=CARTESIAN_POINT('Ctrl Pts',(0.0091863943565402,-0.0187548530559305, 0.158351413074511)); #190846=CARTESIAN_POINT('Ctrl Pts',(0.00716419078474886,-0.0188822795838957, 0.132666264318115)); #190847=CARTESIAN_POINT('Ctrl Pts',(0.0132308015001229,0.0185,0.209721710587303)); #190848=CARTESIAN_POINT('Ctrl Pts',(0.0132691086541323,0.0184999999995998, 0.208503978942655)); #190849=CARTESIAN_POINT('Ctrl Pts',(0.0133071545610986,0.0184999999997227, 0.207286186924736)); #190850=CARTESIAN_POINT('Ctrl Pts',(0.0133449407108224,0.0185,0.206068344384635)); #190851=CARTESIAN_POINT('Origin',(0.,0.,0.)); #190852=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #190853=CARTESIAN_POINT('Origin',(-0.0995599457429459,0.0185000000000001, 0.255442884425583)); #190854=CARTESIAN_POINT('',(-0.100388209493685,0.0185000000000001,0.256558877052914)); #190855=CARTESIAN_POINT('',(-0.100388209493685,0.,0.256558877052914)); #190856=CARTESIAN_POINT('',(-0.161952250588359,0.0185000000000001,0.339509526419164)); #190857=CARTESIAN_POINT('',(-0.0995599457429459,0.0185000000000001,0.255442884425583)); #190858=CARTESIAN_POINT('',(-0.161952250588359,-0.0184999999999999,0.339509526419164)); #190859=CARTESIAN_POINT('',(-0.161952250588359,-0.0184999999999999,0.339509526419164)); #190860=CARTESIAN_POINT('Ctrl Pts',(-0.100388209493685,-0.0185,0.256558877052913)); #190861=CARTESIAN_POINT('Ctrl Pts',(-0.120909556525243,-0.0184999999999999, 0.28420909350833)); #190862=CARTESIAN_POINT('Ctrl Pts',(-0.141430903556801,-0.0184999999999999, 0.311859309963747)); #190863=CARTESIAN_POINT('Ctrl Pts',(-0.161952250588359,-0.0184999999999999, 0.339509526419164)); #190864=CARTESIAN_POINT('Origin',(-0.186819093821199,0.0144725368409035, 0.325152647784788)); #190865=CARTESIAN_POINT('',(-0.213047749411641,0.0435000000000001,0.310009526419164)); #190866=CARTESIAN_POINT('Origin',(-0.212037110726791,-0.0358659153209312, 0.310593018935916)); #190867=CARTESIAN_POINT('',(-0.213047749411641,0.00650000000000009,0.310009526419164)); #190868=CARTESIAN_POINT('',(-0.213047749411641,0.0435000000000001,0.310009526419164)); #190869=CARTESIAN_POINT('Origin',(-0.232960843895892,-0.129885039775356, 0.298512695958284)); #190870=CARTESIAN_POINT('Ctrl Pts',(-0.171440054257054,0.0185,0.213942884425583)); #190871=CARTESIAN_POINT('Ctrl Pts',(-0.18530928597525,0.0268333333333334, 0.245965098423443)); #190872=CARTESIAN_POINT('Ctrl Pts',(-0.199178517693445,0.0351666666666667, 0.277987312421304)); #190873=CARTESIAN_POINT('Ctrl Pts',(-0.213047749411641,0.0435000000000001, 0.310009526419164)); #190874=CARTESIAN_POINT('Ctrl Pts',(-0.147480018085685,0.0185,0.227776217758916)); #190875=CARTESIAN_POINT('Ctrl Pts',(-0.162979044926757,0.0269762393571075, 0.25885746910386)); #190876=CARTESIAN_POINT('Ctrl Pts',(-0.17850988162025,0.0353470125762748, 0.289920355021946)); #190877=CARTESIAN_POINT('Ctrl Pts',(-0.194008908461322,0.0438232519333823, 0.321001606366889)); #190878=CARTESIAN_POINT('Ctrl Pts',(-0.123519981914315,0.0185,0.241609551092249)); #190879=CARTESIAN_POINT('Ctrl Pts',(-0.140663462648429,0.0238731162949938, 0.271741376539376)); #190880=CARTESIAN_POINT('Ctrl Pts',(-0.157850439073686,0.0291464840979233, 0.301848089737512)); #190881=CARTESIAN_POINT('Ctrl Pts',(-0.1749939198078,0.0345196003929171, 0.331979915184638)); #190882=CARTESIAN_POINT('Ctrl Pts',(-0.0995599457429459,0.0185,0.255442884425583)); #190883=CARTESIAN_POINT('Ctrl Pts',(-0.120357380691417,0.0185,0.283465098423443)); #190884=CARTESIAN_POINT('Ctrl Pts',(-0.141154815639888,0.0185000000000001, 0.311487312421304)); #190885=CARTESIAN_POINT('Ctrl Pts',(-0.161952250588359,0.0185000000000001, 0.339509526419164)); #190886=CARTESIAN_POINT('',(-0.171440054257054,0.0185000000000001,0.213942884425583)); #190887=CARTESIAN_POINT('',(-0.0995599457429459,0.0185000000000001,0.255442884425583)); #190888=CARTESIAN_POINT('',(-0.0451250000000002,0.0185,0.286870915003595)); #190889=CARTESIAN_POINT('',(-0.171440054257054,0.0185000000000001,0.213942884425583)); #190890=CARTESIAN_POINT('Ctrl Pts',(-0.0995599457429459,0.0185,0.255442884425583)); #190891=CARTESIAN_POINT('Ctrl Pts',(-0.099836033659859,0.0185,0.255814881968026)); #190892=CARTESIAN_POINT('Ctrl Pts',(-0.100112121576772,0.0185,0.25618687951047)); #190893=CARTESIAN_POINT('Ctrl Pts',(-0.100388209493685,0.0185,0.256558877052914)); #190894=CARTESIAN_POINT('Ctrl Pts',(-0.100388209493685,-0.0185,0.256558877052913)); #190895=CARTESIAN_POINT('Ctrl Pts',(-0.120909556525243,-0.0184999999999999, 0.28420909350833)); #190896=CARTESIAN_POINT('Ctrl Pts',(-0.141430903556801,-0.0184999999999999, 0.311859309963747)); #190897=CARTESIAN_POINT('Ctrl Pts',(-0.161952250588359,-0.0184999999999999, 0.339509526419164)); #190898=CARTESIAN_POINT('Ctrl Pts',(-0.124229743047765,-0.018330364733288, 0.242793961237572)); #190899=CARTESIAN_POINT('Ctrl Pts',(-0.141812658092793,-0.0141215741594965, 0.272140682191407)); #190900=CARTESIAN_POINT('Ctrl Pts',(-0.159402684513567,-0.00993033049536292, 0.301483297390542)); #190901=CARTESIAN_POINT('Ctrl Pts',(-0.176985599558595,-0.00572153992157142, 0.330830018344377)); #190902=CARTESIAN_POINT('Ctrl Pts',(-0.148106074168886,-0.0182156938216819, 0.229008955037532)); #190903=CARTESIAN_POINT('Ctrl Pts',(-0.163615464165376,-0.0111655914429441, 0.259552826236312)); #190904=CARTESIAN_POINT('Ctrl Pts',(-0.179130825500385,-0.00413359377115173, 0.290093249881191)); #190905=CARTESIAN_POINT('Ctrl Pts',(-0.194640215496876,0.00291650860758612, 0.320637121079971)); #190906=CARTESIAN_POINT('Ctrl Pts',(-0.171992400347389,-0.0181681226343572, 0.215218178188422)); #190907=CARTESIAN_POINT('Ctrl Pts',(-0.18567751670214,-0.00994541508957141, 0.246815294265336)); #190908=CARTESIAN_POINT('Ctrl Pts',(-0.19936263305689,-0.00172270754478565, 0.27841241034225)); #190909=CARTESIAN_POINT('Ctrl Pts',(-0.213047749411641,0.00650000000000012, 0.310009526419164)); #190910=CARTESIAN_POINT('',(-0.171992400347389,-0.0181681226343571,0.215218178188422)); #190911=CARTESIAN_POINT('Ctrl Pts',(-0.100388209493685,-0.0185,0.256558877052913)); #190912=CARTESIAN_POINT('Ctrl Pts',(-0.108431746750851,-0.0184483017527505, 0.253411543729202)); #190913=CARTESIAN_POINT('Ctrl Pts',(-0.116321393825625,-0.0182572323274273, 0.249890886927102)); #190914=CARTESIAN_POINT('Ctrl Pts',(-0.124057699500143,-0.0180820617720596, 0.245988711428635)); #190915=CARTESIAN_POINT('Ctrl Pts',(-0.128549999775284,-0.0179803441348329, 0.243722805090013)); #190916=CARTESIAN_POINT('Ctrl Pts',(-0.132990142216817,-0.0178834502226596, 0.241328517393898)); #190917=CARTESIAN_POINT('Ctrl Pts',(-0.137374416373544,-0.0178145597007817, 0.238806448009421)); #190918=CARTESIAN_POINT('Ctrl Pts',(-0.144285740795428,-0.0177059613948204, 0.234830683924533)); #190919=CARTESIAN_POINT('Ctrl Pts',(-0.151002441511692,-0.0176704706416074, 0.230570461535998)); #190920=CARTESIAN_POINT('Ctrl Pts',(-0.157532645490942,-0.017758502338766, 0.226018592806466)); #190921=CARTESIAN_POINT('Ctrl Pts',(-0.162459311438161,-0.0178249172265773, 0.222584467817835)); #190922=CARTESIAN_POINT('Ctrl Pts',(-0.167279405498705,-0.017960608347864, 0.218984585392838)); #190923=CARTESIAN_POINT('Ctrl Pts',(-0.171992400347389,-0.0181681226343572, 0.215218178188422)); #190924=CARTESIAN_POINT('',(-0.171440054257054,-0.0184999999999999,0.213942884425583)); #190925=CARTESIAN_POINT('Origin',(-0.171440054257054,-0.0184999999999999, 0.213942884425583)); #190926=CARTESIAN_POINT('',(-0.171992400347389,0.0185,0.215218178188422)); #190927=CARTESIAN_POINT('',(-0.171992400347389,0.,0.215218178188422)); #190928=CARTESIAN_POINT('',(-0.0998538353061213,0.0185,0.0486598125783475)); #190929=CARTESIAN_POINT('Origin',(-0.213942884425583,0.0185000000000001, 0.171440054257054)); #190930=CARTESIAN_POINT('',(-0.215218178188422,0.0185000000000001,0.171992400347389)); #190931=CARTESIAN_POINT('',(-0.215218178188422,0.,0.171992400347389)); #190932=CARTESIAN_POINT('',(-0.310009526419165,0.0185000000000001,0.213047749411641)); #190933=CARTESIAN_POINT('',(-0.213942884425583,0.0185000000000001,0.171440054257054)); #190934=CARTESIAN_POINT('',(-0.310009526419165,-0.0184999999999999,0.213047749411641)); #190935=CARTESIAN_POINT('',(-0.310009526419165,-0.0184999999999999,0.213047749411641)); #190936=CARTESIAN_POINT('Ctrl Pts',(-0.215218178188422,-0.0185,0.171992400347389)); #190937=CARTESIAN_POINT('Ctrl Pts',(-0.246815294265336,-0.0185,0.18567751670214)); #190938=CARTESIAN_POINT('Ctrl Pts',(-0.278412410342251,-0.0184999999999999, 0.19936263305689)); #190939=CARTESIAN_POINT('Ctrl Pts',(-0.310009526419165,-0.0184999999999999, 0.213047749411641)); #190940=CARTESIAN_POINT('Origin',(-0.324366405053541,0.0144725368409035, 0.188180906178801)); #190941=CARTESIAN_POINT('',(-0.339509526419165,0.0435000000000001,0.161952250588359)); #190942=CARTESIAN_POINT('Origin',(-0.338926033902413,-0.0358659153209312, 0.162962889273209)); #190943=CARTESIAN_POINT('',(-0.339509526419165,0.00650000000000009,0.161952250588359)); #190944=CARTESIAN_POINT('',(-0.339509526419165,0.0435000000000001,0.161952250588359)); #190945=CARTESIAN_POINT('Origin',(-0.351006356880045,-0.129885039775356, 0.142039156104108)); #190946=CARTESIAN_POINT('Ctrl Pts',(-0.255442884425583,0.0185,0.0995599457429456)); #190947=CARTESIAN_POINT('Ctrl Pts',(-0.283465098423443,0.0268333333333334, 0.120357380691417)); #190948=CARTESIAN_POINT('Ctrl Pts',(-0.311487312421304,0.0351666666666667, 0.141154815639888)); #190949=CARTESIAN_POINT('Ctrl Pts',(-0.339509526419165,0.0435000000000001, 0.161952250588359)); #190950=CARTESIAN_POINT('Ctrl Pts',(-0.24160955109225,0.0185,0.123519981914315)); #190951=CARTESIAN_POINT('Ctrl Pts',(-0.270572727743027,0.0269762393571075, 0.142687621739909)); #190952=CARTESIAN_POINT('Ctrl Pts',(-0.299554269820662,0.0353470125762748, 0.161823451713083)); #190953=CARTESIAN_POINT('Ctrl Pts',(-0.32851744647144,0.0438232519333823, 0.180991091538678)); #190954=CARTESIAN_POINT('Ctrl Pts',(-0.227776217758916,0.0185,0.147480018085685)); #190955=CARTESIAN_POINT('Ctrl Pts',(-0.257688820307511,0.0238731162949938, 0.165003204018238)); #190956=CARTESIAN_POINT('Ctrl Pts',(-0.287626535105096,0.0291464840979233, 0.182482894259647)); #190957=CARTESIAN_POINT('Ctrl Pts',(-0.317539137653691,0.0345196003929171, 0.2000060801922)); #190958=CARTESIAN_POINT('Ctrl Pts',(-0.213942884425583,0.0185,0.171440054257054)); #190959=CARTESIAN_POINT('Ctrl Pts',(-0.245965098423444,0.0185,0.18530928597525)); #190960=CARTESIAN_POINT('Ctrl Pts',(-0.277987312421304,0.0185000000000001, 0.199178517693445)); #190961=CARTESIAN_POINT('Ctrl Pts',(-0.310009526419165,0.0185000000000001, 0.213047749411641)); #190962=CARTESIAN_POINT('',(-0.255442884425583,0.0185000000000001,0.0995599457429456)); #190963=CARTESIAN_POINT('',(-0.213942884425583,0.0185000000000001,0.171440054257054)); #190964=CARTESIAN_POINT('',(-0.204567884425583,0.0185,0.187678030578012)); #190965=CARTESIAN_POINT('',(-0.255442884425583,0.0185000000000001,0.0995599457429456)); #190966=CARTESIAN_POINT('Ctrl Pts',(-0.213942884425583,0.0185,0.171440054257054)); #190967=CARTESIAN_POINT('Ctrl Pts',(-0.214367982346529,0.0185,0.171624169620499)); #190968=CARTESIAN_POINT('Ctrl Pts',(-0.214793080267476,0.0185,0.171808284983944)); #190969=CARTESIAN_POINT('Ctrl Pts',(-0.215218178188422,0.0185,0.171992400347389)); #190970=CARTESIAN_POINT('Ctrl Pts',(-0.215218178188422,-0.0185,0.171992400347389)); #190971=CARTESIAN_POINT('Ctrl Pts',(-0.246815294265336,-0.0185,0.18567751670214)); #190972=CARTESIAN_POINT('Ctrl Pts',(-0.278412410342251,-0.0184999999999999, 0.19936263305689)); #190973=CARTESIAN_POINT('Ctrl Pts',(-0.310009526419165,-0.0184999999999999, 0.213047749411641)); #190974=CARTESIAN_POINT('Ctrl Pts',(-0.228983094003764,-0.018330364733288, 0.148150866793309)); #190975=CARTESIAN_POINT('Ctrl Pts',(-0.25888370558226,-0.0141215741594964, 0.164774415134589)); #190976=CARTESIAN_POINT('Ctrl Pts',(-0.288788422915456,-0.00993033049536294, 0.181390852100125)); #190977=CARTESIAN_POINT('Ctrl Pts',(-0.318689034493952,-0.00572153992157141, 0.198014400441405)); #190978=CARTESIAN_POINT('Ctrl Pts',(-0.242768100203803,-0.0182156938216819, 0.124274535672188)); #190979=CARTESIAN_POINT('Ctrl Pts',(-0.271471561537354,-0.0111655914429441, 0.142971609062007)); #190980=CARTESIAN_POINT('Ctrl Pts',(-0.300178470424807,-0.0041335937711517, 0.161662711113306)); #190981=CARTESIAN_POINT('Ctrl Pts',(-0.328881931758358,0.00291650860758614, 0.180359784503124)); #190982=CARTESIAN_POINT('Ctrl Pts',(-0.256558877052914,-0.0181681226343572, 0.100388209493685)); #190983=CARTESIAN_POINT('Ctrl Pts',(-0.284209093508331,-0.00994541508957142, 0.120909556525243)); #190984=CARTESIAN_POINT('Ctrl Pts',(-0.311859309963748,-0.00172270754478564, 0.141430903556801)); #190985=CARTESIAN_POINT('Ctrl Pts',(-0.339509526419165,0.00650000000000014, 0.161952250588359)); #190986=CARTESIAN_POINT('',(-0.256558877052914,-0.0181681226343571,0.100388209493685)); #190987=CARTESIAN_POINT('Ctrl Pts',(-0.215218178188422,-0.0185,0.171992400347389)); #190988=CARTESIAN_POINT('Ctrl Pts',(-0.22061041912756,-0.0184483017527505, 0.165244961106293)); #190989=CARTESIAN_POINT('Ctrl Pts',(-0.225682725520158,-0.0182572323274273, 0.158251159340281)); #190990=CARTESIAN_POINT('Ctrl Pts',(-0.2304314750165,-0.0180820617720595, 0.151003623391323)); #190991=CARTESIAN_POINT('Ctrl Pts',(-0.233188968006888,-0.0179803441348329, 0.14679514080191)); #190992=CARTESIAN_POINT('Ctrl Pts',(-0.235837100309618,-0.0178834502226596, 0.142501555612341)); #190993=CARTESIAN_POINT('Ctrl Pts',(-0.238372958414261,-0.0178145597007818, 0.138125242376914)); #190994=CARTESIAN_POINT('Ctrl Pts',(-0.242370458894964,-0.0177059613948204, 0.131226467469005)); #190995=CARTESIAN_POINT('Ctrl Pts',(-0.246057181150598,-0.0176704706416074, 0.124178656296631)); #190996=CARTESIAN_POINT('Ctrl Pts',(-0.249436569323757,-0.017758502338766, 0.116971520352539)); #190997=CARTESIAN_POINT('Ctrl Pts',(-0.251986124695693,-0.0178249172265774, 0.111534147899004)); #190998=CARTESIAN_POINT('Ctrl Pts',(-0.254360507388256,-0.017960608347864, 0.106006511238048)); #190999=CARTESIAN_POINT('Ctrl Pts',(-0.256558877052914,-0.0181681226343572, 0.100388209493685)); #191000=CARTESIAN_POINT('',(-0.255442884425583,-0.0184999999999999,0.0995599457429456)); #191001=CARTESIAN_POINT('Origin',(-0.255442884425583,-0.0184999999999999, 0.0995599457429456)); #191002=CARTESIAN_POINT('',(-0.256558877052914,0.0185,0.100388209493685)); #191003=CARTESIAN_POINT('',(-0.256558877052914,0.,0.100388209493685)); #191004=CARTESIAN_POINT('',(-0.0715622128202515,0.0185,-0.0369120084818357)); #191005=CARTESIAN_POINT('Origin',(-0.271,0.0185000000000001,0.0414999999999999)); #191006=CARTESIAN_POINT('',(-0.272380609841074,0.0185000000000001,0.0413406988644914)); #191007=CARTESIAN_POINT('',(-0.272380609841074,0.,0.0413406988644914)); #191008=CARTESIAN_POINT('',(-0.375,0.0185000000000001,0.0294999999999999)); #191009=CARTESIAN_POINT('',(-0.271,0.0185000000000001,0.0414999999999999)); #191010=CARTESIAN_POINT('',(-0.375,-0.0184999999999999,0.0294999999999999)); #191011=CARTESIAN_POINT('',(-0.375,-0.0184999999999999,0.0294999999999999)); #191012=CARTESIAN_POINT('Ctrl Pts',(-0.272380609841074,-0.0185,0.0413406988644914)); #191013=CARTESIAN_POINT('Ctrl Pts',(-0.306587073227383,-0.0184999999999999, 0.0373937992429942)); #191014=CARTESIAN_POINT('Ctrl Pts',(-0.340793536613691,-0.0184999999999999, 0.0334468996214971)); #191015=CARTESIAN_POINT('Ctrl Pts',(-0.375,-0.0184999999999999,0.0294999999999999)); #191016=CARTESIAN_POINT('Origin',(-0.375,0.0144725368409035,0.000786242731247826)); #191017=CARTESIAN_POINT('',(-0.375,0.0435000000000001,-0.0295000000000001)); #191018=CARTESIAN_POINT('Origin',(-0.375,-0.0358659153209312,-0.0283330149664964)); #191019=CARTESIAN_POINT('',(-0.375,0.00650000000000009,-0.0295000000000001)); #191020=CARTESIAN_POINT('',(-0.375,0.0435000000000001,-0.0295000000000001)); #191021=CARTESIAN_POINT('Origin',(-0.375,-0.129885039775356,-0.0524936609217611)); #191022=CARTESIAN_POINT('Ctrl Pts',(-0.271,0.0185,-0.0415)); #191023=CARTESIAN_POINT('Ctrl Pts',(-0.305666666666667,0.0268333333333334, -0.0375000000000001)); #191024=CARTESIAN_POINT('Ctrl Pts',(-0.340333333333333,0.0351666666666667, -0.0335000000000001)); #191025=CARTESIAN_POINT('Ctrl Pts',(-0.375,0.0435000000000001,-0.0295000000000001)); #191026=CARTESIAN_POINT('Ctrl Pts',(-0.271,0.0185,-0.0138333333333334)); #191027=CARTESIAN_POINT('Ctrl Pts',(-0.305666666666667,0.0269762393571075, -0.0117152586391672)); #191028=CARTESIAN_POINT('Ctrl Pts',(-0.340333333333333,0.0353470125762748, -0.0096339147987162)); #191029=CARTESIAN_POINT('Ctrl Pts',(-0.375,0.0438232519333823,-0.00751584010455006)); #191030=CARTESIAN_POINT('Ctrl Pts',(-0.271,0.0185,0.0138333333333333)); #191031=CARTESIAN_POINT('Ctrl Pts',(-0.305666666666667,0.0238731162949938, 0.0140525562318654)); #191032=CARTESIAN_POINT('Ctrl Pts',(-0.340333333333333,0.0291464840979233, 0.0142215546324157)); #191033=CARTESIAN_POINT('Ctrl Pts',(-0.375,0.0345196003929171,0.0144407775309479)); #191034=CARTESIAN_POINT('Ctrl Pts',(-0.271,0.0185,0.0415)); #191035=CARTESIAN_POINT('Ctrl Pts',(-0.305666666666667,0.0185,0.0374999999999999)); #191036=CARTESIAN_POINT('Ctrl Pts',(-0.340333333333333,0.0185000000000001, 0.0334999999999999)); #191037=CARTESIAN_POINT('Ctrl Pts',(-0.375,0.0185000000000001,0.0294999999999999)); #191038=CARTESIAN_POINT('',(-0.271,0.0185000000000001,-0.0415)); #191039=CARTESIAN_POINT('',(-0.271,0.0185000000000001,0.0414999999999999)); #191040=CARTESIAN_POINT('',(-0.271,0.0185,-7.86982028101221E-17)); #191041=CARTESIAN_POINT('',(-0.271,0.0185000000000001,-0.0415)); #191042=CARTESIAN_POINT('Ctrl Pts',(-0.271,0.0185,0.0415)); #191043=CARTESIAN_POINT('Ctrl Pts',(-0.271460203280358,0.0185,0.0414468996214971)); #191044=CARTESIAN_POINT('Ctrl Pts',(-0.271920406560716,0.0185,0.0413937992429943)); #191045=CARTESIAN_POINT('Ctrl Pts',(-0.272380609841074,0.0185,0.0413406988644914)); #191046=CARTESIAN_POINT('Ctrl Pts',(-0.272380609841074,-0.0185,0.0413406988644914)); #191047=CARTESIAN_POINT('Ctrl Pts',(-0.306587073227383,-0.0184999999999999, 0.0373937992429942)); #191048=CARTESIAN_POINT('Ctrl Pts',(-0.340793536613691,-0.0184999999999999, 0.0334468996214971)); #191049=CARTESIAN_POINT('Ctrl Pts',(-0.375,-0.0184999999999999,0.0294999999999999)); #191050=CARTESIAN_POINT('Ctrl Pts',(-0.272380609841074,-0.018330364733288, 0.0138108672338079)); #191051=CARTESIAN_POINT('Ctrl Pts',(-0.306587073227383,-0.0141215741594965, 0.0132569766091478)); #191052=CARTESIAN_POINT('Ctrl Pts',(-0.340793536613691,-0.00993033049536292, 0.012694874475086)); #191053=CARTESIAN_POINT('Ctrl Pts',(-0.375,-0.00572153992157141,0.0121409838504258)); #191054=CARTESIAN_POINT('Ctrl Pts',(-0.272380609841074,-0.0182156938216819, -0.013759145166271)); #191055=CARTESIAN_POINT('Ctrl Pts',(-0.306587073227383,-0.0111655914429441, -0.0119187353010416)); #191056=CARTESIAN_POINT('Ctrl Pts',(-0.340793536613691,-0.00413359377115171, -0.0100852205436154)); #191057=CARTESIAN_POINT('Ctrl Pts',(-0.375,0.00291650860758614,-0.00824481067838598)); #191058=CARTESIAN_POINT('Ctrl Pts',(-0.272380609841074,-0.0181681226343572, -0.0413406988644915)); #191059=CARTESIAN_POINT('Ctrl Pts',(-0.306587073227383,-0.0099454150895714, -0.0373937992429944)); #191060=CARTESIAN_POINT('Ctrl Pts',(-0.340793536613691,-0.00172270754478563, -0.0334468996214972)); #191061=CARTESIAN_POINT('Ctrl Pts',(-0.375,0.00650000000000014,-0.0295000000000001)); #191062=CARTESIAN_POINT('',(-0.272380609841074,-0.0181681226343571,-0.0413406988644915)); #191063=CARTESIAN_POINT('Ctrl Pts',(-0.272380609841074,-0.0185,0.0413406988644914)); #191064=CARTESIAN_POINT('Ctrl Pts',(-0.273676707857146,-0.0184483017527505, 0.0328011246016395)); #191065=CARTESIAN_POINT('Ctrl Pts',(-0.274572553165908,-0.0182572323274272, 0.0242081614069387)); #191066=CARTESIAN_POINT('Ctrl Pts',(-0.27506132289147,-0.0180820617720594, 0.0155572364121267)); #191067=CARTESIAN_POINT('Ctrl Pts',(-0.275345140577197,-0.0179803441348328, 0.0105338370831194)); #191068=CARTESIAN_POINT('Ctrl Pts',(-0.275491697829159,-0.0178834502226596, 0.00549141708427661)); #191069=CARTESIAN_POINT('Ctrl Pts',(-0.275499658750459,-0.0178145597007817, 0.00043348959516025)); #191070=CARTESIAN_POINT('Ctrl Pts',(-0.275512208264434,-0.0177059613948204, -0.00753977497043141)); #191071=CARTESIAN_POINT('Ctrl Pts',(-0.275181097808323,-0.0176704706416074, -0.0154867196146003)); #191072=CARTESIAN_POINT('Ctrl Pts',(-0.274504165843481,-0.017758502338766, -0.0234179765172903)); #191073=CARTESIAN_POINT('Ctrl Pts',(-0.273993459337166,-0.0178249172265773, -0.0294016568778577)); #191074=CARTESIAN_POINT('Ctrl Pts',(-0.273285916736753,-0.017960608347864, -0.0353759219954173)); #191075=CARTESIAN_POINT('Ctrl Pts',(-0.272380609841074,-0.0181681226343572, -0.0413406988644915)); #191076=CARTESIAN_POINT('',(-0.271,-0.0184999999999999,-0.0415)); #191077=CARTESIAN_POINT('Origin',(-0.271,-0.0184999999999999,-0.0415)); #191078=CARTESIAN_POINT('',(-0.272380609841074,0.0185,-0.0413406988644915)); #191079=CARTESIAN_POINT('',(-0.272380609841074,0.,-0.0413406988644915)); #191080=CARTESIAN_POINT('',(-0.0207262773722628,0.0185,-0.0703777372262775)); #191081=CARTESIAN_POINT('Origin',(-0.255442884425583,0.0185000000000001, -0.0995599457429459)); #191082=CARTESIAN_POINT('',(-0.256558877052914,0.0185000000000001,-0.100388209493685)); #191083=CARTESIAN_POINT('',(-0.256558877052914,0.,-0.100388209493685)); #191084=CARTESIAN_POINT('',(-0.339509526419164,0.0185000000000001,-0.161952250588359)); #191085=CARTESIAN_POINT('',(-0.255442884425583,0.0185000000000001,-0.0995599457429459)); #191086=CARTESIAN_POINT('',(-0.339509526419164,-0.0184999999999999,-0.161952250588359)); #191087=CARTESIAN_POINT('',(-0.339509526419164,-0.0184999999999999,-0.161952250588359)); #191088=CARTESIAN_POINT('Ctrl Pts',(-0.256558877052913,-0.0185,-0.100388209493685)); #191089=CARTESIAN_POINT('Ctrl Pts',(-0.28420909350833,-0.0184999999999999, -0.120909556525243)); #191090=CARTESIAN_POINT('Ctrl Pts',(-0.311859309963747,-0.0184999999999999, -0.141430903556801)); #191091=CARTESIAN_POINT('Ctrl Pts',(-0.339509526419164,-0.0184999999999999, -0.161952250588359)); #191092=CARTESIAN_POINT('Origin',(-0.325152647784788,0.0144725368409035, -0.186819093821199)); #191093=CARTESIAN_POINT('',(-0.310009526419164,0.0435000000000001,-0.213047749411641)); #191094=CARTESIAN_POINT('Origin',(-0.310593018935916,-0.0358659153209312, -0.212037110726791)); #191095=CARTESIAN_POINT('',(-0.310009526419164,0.00650000000000009,-0.213047749411641)); #191096=CARTESIAN_POINT('',(-0.310009526419164,0.0435000000000001,-0.213047749411641)); #191097=CARTESIAN_POINT('Origin',(-0.298512695958284,-0.129885039775356, -0.232960843895892)); #191098=CARTESIAN_POINT('Ctrl Pts',(-0.213942884425583,0.0185,-0.171440054257054)); #191099=CARTESIAN_POINT('Ctrl Pts',(-0.245965098423443,0.0268333333333334, -0.18530928597525)); #191100=CARTESIAN_POINT('Ctrl Pts',(-0.277987312421304,0.0351666666666667, -0.199178517693445)); #191101=CARTESIAN_POINT('Ctrl Pts',(-0.310009526419164,0.0435000000000001, -0.213047749411641)); #191102=CARTESIAN_POINT('Ctrl Pts',(-0.227776217758916,0.0185,-0.147480018085685)); #191103=CARTESIAN_POINT('Ctrl Pts',(-0.25885746910386,0.0269762393571075, -0.162979044926757)); #191104=CARTESIAN_POINT('Ctrl Pts',(-0.289920355021946,0.0353470125762748, -0.17850988162025)); #191105=CARTESIAN_POINT('Ctrl Pts',(-0.321001606366889,0.0438232519333823, -0.194008908461322)); #191106=CARTESIAN_POINT('Ctrl Pts',(-0.241609551092249,0.0185,-0.123519981914315)); #191107=CARTESIAN_POINT('Ctrl Pts',(-0.271741376539376,0.0238731162949938, -0.140663462648429)); #191108=CARTESIAN_POINT('Ctrl Pts',(-0.301848089737512,0.0291464840979233, -0.157850439073686)); #191109=CARTESIAN_POINT('Ctrl Pts',(-0.331979915184638,0.0345196003929171, -0.1749939198078)); #191110=CARTESIAN_POINT('Ctrl Pts',(-0.255442884425583,0.0185,-0.0995599457429459)); #191111=CARTESIAN_POINT('Ctrl Pts',(-0.283465098423443,0.0185,-0.120357380691417)); #191112=CARTESIAN_POINT('Ctrl Pts',(-0.311487312421304,0.0185000000000001, -0.141154815639888)); #191113=CARTESIAN_POINT('Ctrl Pts',(-0.339509526419164,0.0185000000000001, -0.161952250588359)); #191114=CARTESIAN_POINT('',(-0.213942884425583,0.0185000000000001,-0.171440054257054)); #191115=CARTESIAN_POINT('',(-0.255442884425583,0.0185000000000001,-0.0995599457429459)); #191116=CARTESIAN_POINT('',(-0.204567884425583,0.0185,-0.187678030578013)); #191117=CARTESIAN_POINT('',(-0.213942884425583,0.0185000000000001,-0.171440054257054)); #191118=CARTESIAN_POINT('Ctrl Pts',(-0.255442884425583,0.0185,-0.0995599457429459)); #191119=CARTESIAN_POINT('Ctrl Pts',(-0.255814881968026,0.0185,-0.099836033659859)); #191120=CARTESIAN_POINT('Ctrl Pts',(-0.25618687951047,0.0185,-0.100112121576772)); #191121=CARTESIAN_POINT('Ctrl Pts',(-0.256558877052914,0.0185,-0.100388209493685)); #191122=CARTESIAN_POINT('Ctrl Pts',(-0.256558877052913,-0.0185,-0.100388209493685)); #191123=CARTESIAN_POINT('Ctrl Pts',(-0.28420909350833,-0.0184999999999999, -0.120909556525243)); #191124=CARTESIAN_POINT('Ctrl Pts',(-0.311859309963747,-0.0184999999999999, -0.141430903556801)); #191125=CARTESIAN_POINT('Ctrl Pts',(-0.339509526419164,-0.0184999999999999, -0.161952250588359)); #191126=CARTESIAN_POINT('Ctrl Pts',(-0.242793961237572,-0.018330364733288, -0.124229743047765)); #191127=CARTESIAN_POINT('Ctrl Pts',(-0.272140682191407,-0.0141215741594965, -0.141812658092793)); #191128=CARTESIAN_POINT('Ctrl Pts',(-0.301483297390542,-0.00993033049536292, -0.159402684513567)); #191129=CARTESIAN_POINT('Ctrl Pts',(-0.330830018344377,-0.00572153992157142, -0.176985599558595)); #191130=CARTESIAN_POINT('Ctrl Pts',(-0.229008955037532,-0.0182156938216819, -0.148106074168886)); #191131=CARTESIAN_POINT('Ctrl Pts',(-0.259552826236312,-0.0111655914429441, -0.163615464165376)); #191132=CARTESIAN_POINT('Ctrl Pts',(-0.290093249881191,-0.00413359377115173, -0.179130825500385)); #191133=CARTESIAN_POINT('Ctrl Pts',(-0.320637121079971,0.00291650860758612, -0.194640215496876)); #191134=CARTESIAN_POINT('Ctrl Pts',(-0.215218178188422,-0.0181681226343572, -0.171992400347389)); #191135=CARTESIAN_POINT('Ctrl Pts',(-0.246815294265336,-0.00994541508957141, -0.18567751670214)); #191136=CARTESIAN_POINT('Ctrl Pts',(-0.27841241034225,-0.00172270754478565, -0.19936263305689)); #191137=CARTESIAN_POINT('Ctrl Pts',(-0.310009526419164,0.00650000000000012, -0.213047749411641)); #191138=CARTESIAN_POINT('',(-0.215218178188422,-0.0181681226343571,-0.171992400347389)); #191139=CARTESIAN_POINT('Ctrl Pts',(-0.256558877052914,-0.0185,-0.100388209493685)); #191140=CARTESIAN_POINT('Ctrl Pts',(-0.253411543729202,-0.0184483017527505, -0.108431746750852)); #191141=CARTESIAN_POINT('Ctrl Pts',(-0.249890886927102,-0.0182572323274273, -0.116321393825625)); #191142=CARTESIAN_POINT('Ctrl Pts',(-0.245988711428635,-0.0180820617720596, -0.124057699500143)); #191143=CARTESIAN_POINT('Ctrl Pts',(-0.243722805090013,-0.0179803441348329, -0.128549999775284)); #191144=CARTESIAN_POINT('Ctrl Pts',(-0.241328517393898,-0.0178834502226596, -0.132990142216817)); #191145=CARTESIAN_POINT('Ctrl Pts',(-0.238806448009421,-0.0178145597007817, -0.137374416373544)); #191146=CARTESIAN_POINT('Ctrl Pts',(-0.234830683924533,-0.0177059613948204, -0.144285740795428)); #191147=CARTESIAN_POINT('Ctrl Pts',(-0.230570461535998,-0.0176704706416074, -0.151002441511692)); #191148=CARTESIAN_POINT('Ctrl Pts',(-0.226018592806466,-0.017758502338766, -0.157532645490941)); #191149=CARTESIAN_POINT('Ctrl Pts',(-0.222584467817835,-0.0178249172265773, -0.162459311438161)); #191150=CARTESIAN_POINT('Ctrl Pts',(-0.218984585392838,-0.017960608347864, -0.167279405498704)); #191151=CARTESIAN_POINT('Ctrl Pts',(-0.215218178188422,-0.0181681226343572, -0.171992400347389)); #191152=CARTESIAN_POINT('',(-0.213942884425583,-0.0184999999999999,-0.171440054257054)); #191153=CARTESIAN_POINT('Origin',(-0.213942884425583,-0.0184999999999999, -0.171440054257054)); #191154=CARTESIAN_POINT('',(-0.215218178188422,0.0185,-0.171992400347389)); #191155=CARTESIAN_POINT('',(-0.215218178188422,0.,-0.171992400347389)); #191156=CARTESIAN_POINT('',(0.00886011643571915,0.0185,-0.0749412160861152)); #191157=CARTESIAN_POINT('Origin',(-0.171440054257054,0.0185000000000001, -0.213942884425583)); #191158=CARTESIAN_POINT('',(-0.171992400347389,0.0185000000000001,-0.215218178188422)); #191159=CARTESIAN_POINT('',(-0.171992400347389,0.,-0.215218178188422)); #191160=CARTESIAN_POINT('',(-0.213047749411641,0.0185000000000001,-0.310009526419165)); #191161=CARTESIAN_POINT('',(-0.171440054257054,0.0185000000000001,-0.213942884425583)); #191162=CARTESIAN_POINT('',(-0.213047749411641,-0.0184999999999999,-0.310009526419165)); #191163=CARTESIAN_POINT('',(-0.213047749411641,-0.0184999999999999,-0.310009526419165)); #191164=CARTESIAN_POINT('Ctrl Pts',(-0.171992400347389,-0.0185,-0.215218178188422)); #191165=CARTESIAN_POINT('Ctrl Pts',(-0.18567751670214,-0.0184999999999999, -0.246815294265336)); #191166=CARTESIAN_POINT('Ctrl Pts',(-0.19936263305689,-0.0184999999999999, -0.27841241034225)); #191167=CARTESIAN_POINT('Ctrl Pts',(-0.213047749411641,-0.0184999999999999, -0.310009526419165)); #191168=CARTESIAN_POINT('Origin',(-0.188180906178801,0.0144725368409035, -0.324366405053541)); #191169=CARTESIAN_POINT('',(-0.161952250588359,0.0435000000000001,-0.339509526419165)); #191170=CARTESIAN_POINT('Origin',(-0.162962889273209,-0.0358659153209312, -0.338926033902413)); #191171=CARTESIAN_POINT('',(-0.161952250588359,0.00650000000000009,-0.339509526419165)); #191172=CARTESIAN_POINT('',(-0.161952250588359,0.0435000000000001,-0.339509526419165)); #191173=CARTESIAN_POINT('Origin',(-0.142039156104108,-0.129885039775356, -0.351006356880045)); #191174=CARTESIAN_POINT('Ctrl Pts',(-0.0995599457429458,0.0185,-0.255442884425583)); #191175=CARTESIAN_POINT('Ctrl Pts',(-0.120357380691417,0.0268333333333334, -0.283465098423443)); #191176=CARTESIAN_POINT('Ctrl Pts',(-0.141154815639888,0.0351666666666667, -0.311487312421304)); #191177=CARTESIAN_POINT('Ctrl Pts',(-0.161952250588359,0.0435000000000001, -0.339509526419165)); #191178=CARTESIAN_POINT('Ctrl Pts',(-0.123519981914315,0.0185,-0.24160955109225)); #191179=CARTESIAN_POINT('Ctrl Pts',(-0.142687621739909,0.0269762393571075, -0.270572727743027)); #191180=CARTESIAN_POINT('Ctrl Pts',(-0.161823451713084,0.0353470125762748, -0.299554269820662)); #191181=CARTESIAN_POINT('Ctrl Pts',(-0.180991091538678,0.0438232519333823, -0.32851744647144)); #191182=CARTESIAN_POINT('Ctrl Pts',(-0.147480018085685,0.0185,-0.227776217758916)); #191183=CARTESIAN_POINT('Ctrl Pts',(-0.165003204018238,0.0238731162949938, -0.257688820307511)); #191184=CARTESIAN_POINT('Ctrl Pts',(-0.182482894259647,0.0291464840979233, -0.287626535105096)); #191185=CARTESIAN_POINT('Ctrl Pts',(-0.2000060801922,0.0345196003929171, -0.317539137653691)); #191186=CARTESIAN_POINT('Ctrl Pts',(-0.171440054257054,0.0185,-0.213942884425583)); #191187=CARTESIAN_POINT('Ctrl Pts',(-0.18530928597525,0.0185,-0.245965098423443)); #191188=CARTESIAN_POINT('Ctrl Pts',(-0.199178517693445,0.0185000000000001, -0.277987312421304)); #191189=CARTESIAN_POINT('Ctrl Pts',(-0.213047749411641,0.0185000000000001, -0.310009526419165)); #191190=CARTESIAN_POINT('',(-0.0995599457429457,0.0185000000000001,-0.255442884425583)); #191191=CARTESIAN_POINT('',(-0.171440054257054,0.0185000000000001,-0.213942884425583)); #191192=CARTESIAN_POINT('',(-0.0451249999999999,0.0185,-0.286870915003595)); #191193=CARTESIAN_POINT('',(-0.0995599457429457,0.0185000000000001,-0.255442884425583)); #191194=CARTESIAN_POINT('Ctrl Pts',(-0.171440054257054,0.0185,-0.213942884425583)); #191195=CARTESIAN_POINT('Ctrl Pts',(-0.171624169620499,0.0185,-0.214367982346529)); #191196=CARTESIAN_POINT('Ctrl Pts',(-0.171808284983944,0.0185,-0.214793080267476)); #191197=CARTESIAN_POINT('Ctrl Pts',(-0.171992400347389,0.0185,-0.215218178188422)); #191198=CARTESIAN_POINT('Ctrl Pts',(-0.171992400347389,-0.0185,-0.215218178188422)); #191199=CARTESIAN_POINT('Ctrl Pts',(-0.18567751670214,-0.0184999999999999, -0.246815294265336)); #191200=CARTESIAN_POINT('Ctrl Pts',(-0.19936263305689,-0.0184999999999999, -0.27841241034225)); #191201=CARTESIAN_POINT('Ctrl Pts',(-0.213047749411641,-0.0184999999999999, -0.310009526419165)); #191202=CARTESIAN_POINT('Ctrl Pts',(-0.148150866793309,-0.018330364733288, -0.228983094003764)); #191203=CARTESIAN_POINT('Ctrl Pts',(-0.164774415134589,-0.0141215741594965, -0.25888370558226)); #191204=CARTESIAN_POINT('Ctrl Pts',(-0.181390852100125,-0.00993033049536292, -0.288788422915456)); #191205=CARTESIAN_POINT('Ctrl Pts',(-0.198014400441405,-0.00572153992157141, -0.318689034493952)); #191206=CARTESIAN_POINT('Ctrl Pts',(-0.124274535672188,-0.0182156938216819, -0.242768100203803)); #191207=CARTESIAN_POINT('Ctrl Pts',(-0.142971609062007,-0.0111655914429441, -0.271471561537354)); #191208=CARTESIAN_POINT('Ctrl Pts',(-0.161662711113306,-0.00413359377115171, -0.300178470424807)); #191209=CARTESIAN_POINT('Ctrl Pts',(-0.180359784503124,0.00291650860758614, -0.328881931758358)); #191210=CARTESIAN_POINT('Ctrl Pts',(-0.100388209493685,-0.0181681226343572, -0.256558877052914)); #191211=CARTESIAN_POINT('Ctrl Pts',(-0.120909556525243,-0.00994541508957142, -0.284209093508331)); #191212=CARTESIAN_POINT('Ctrl Pts',(-0.141430903556801,-0.00172270754478564, -0.311859309963748)); #191213=CARTESIAN_POINT('Ctrl Pts',(-0.161952250588359,0.00650000000000014, -0.339509526419165)); #191214=CARTESIAN_POINT('',(-0.100388209493685,-0.0181681226343571,-0.256558877052914)); #191215=CARTESIAN_POINT('Ctrl Pts',(-0.171992400347389,-0.0185,-0.215218178188422)); #191216=CARTESIAN_POINT('Ctrl Pts',(-0.165244961106294,-0.0184483017527505, -0.220610419127559)); #191217=CARTESIAN_POINT('Ctrl Pts',(-0.158251159340282,-0.0182572323274273, -0.225682725520157)); #191218=CARTESIAN_POINT('Ctrl Pts',(-0.151003623391326,-0.0180820617720596, -0.230431475016498)); #191219=CARTESIAN_POINT('Ctrl Pts',(-0.146795140801912,-0.0179803441348329, -0.233188968006886)); #191220=CARTESIAN_POINT('Ctrl Pts',(-0.142501555612342,-0.0178834502226596, -0.235837100309618)); #191221=CARTESIAN_POINT('Ctrl Pts',(-0.138125242376914,-0.0178145597007817, -0.238372958414261)); #191222=CARTESIAN_POINT('Ctrl Pts',(-0.131226467469006,-0.0177059613948204, -0.242370458894964)); #191223=CARTESIAN_POINT('Ctrl Pts',(-0.124178656296631,-0.0176704706416074, -0.246057181150598)); #191224=CARTESIAN_POINT('Ctrl Pts',(-0.11697152035254,-0.017758502338766, -0.249436569323756)); #191225=CARTESIAN_POINT('Ctrl Pts',(-0.111534147899005,-0.0178249172265773, -0.251986124695693)); #191226=CARTESIAN_POINT('Ctrl Pts',(-0.106006511238048,-0.017960608347864, -0.254360507388255)); #191227=CARTESIAN_POINT('Ctrl Pts',(-0.100388209493685,-0.0181681226343572, -0.256558877052914)); #191228=CARTESIAN_POINT('',(-0.0995599457429457,-0.0184999999999999,-0.255442884425583)); #191229=CARTESIAN_POINT('Origin',(-0.0995599457429457,-0.0184999999999999, -0.255442884425583)); #191230=CARTESIAN_POINT('',(-0.100388209493685,0.0185,-0.256558877052914)); #191231=CARTESIAN_POINT('',(-0.100388209493685,0.,-0.256558877052914)); #191232=CARTESIAN_POINT('',(0.0220439812915228,0.0185,-0.0915952140382016)); #191233=CARTESIAN_POINT('Origin',(-0.0415,0.0185000000000001,-0.271)); #191234=CARTESIAN_POINT('',(-0.0413406988644914,0.0185000000000001,-0.272380609841074)); #191235=CARTESIAN_POINT('',(-0.0413406988644914,0.,-0.272380609841074)); #191236=CARTESIAN_POINT('',(-0.0295,0.0185000000000001,-0.375)); #191237=CARTESIAN_POINT('',(-0.0415,0.0185000000000001,-0.271)); #191238=CARTESIAN_POINT('',(-0.0294999999999999,-0.0184999999999999,-0.375)); #191239=CARTESIAN_POINT('',(-0.0294999999999999,-0.0184999999999999,-0.375)); #191240=CARTESIAN_POINT('Ctrl Pts',(-0.0413406988644915,-0.0185,-0.272380609841074)); #191241=CARTESIAN_POINT('Ctrl Pts',(-0.0373937992429943,-0.0184999999999999, -0.306587073227383)); #191242=CARTESIAN_POINT('Ctrl Pts',(-0.0334468996214971,-0.0184999999999999, -0.340793536613691)); #191243=CARTESIAN_POINT('Ctrl Pts',(-0.0294999999999999,-0.0184999999999999, -0.375)); #191244=CARTESIAN_POINT('Origin',(-0.00078624273124787,0.0144725368409035, -0.375)); #191245=CARTESIAN_POINT('',(0.0295,0.0435000000000001,-0.375)); #191246=CARTESIAN_POINT('Origin',(0.0283330149664963,-0.0358659153209312, -0.375)); #191247=CARTESIAN_POINT('',(0.0295,0.00650000000000009,-0.375)); #191248=CARTESIAN_POINT('',(0.0295,0.0435000000000001,-0.375)); #191249=CARTESIAN_POINT('Origin',(0.052493660921761,-0.129885039775356, -0.375)); #191250=CARTESIAN_POINT('Ctrl Pts',(0.0415,0.0185,-0.271)); #191251=CARTESIAN_POINT('Ctrl Pts',(0.0375,0.0268333333333334,-0.305666666666667)); #191252=CARTESIAN_POINT('Ctrl Pts',(0.0335,0.0351666666666667,-0.340333333333333)); #191253=CARTESIAN_POINT('Ctrl Pts',(0.0295000000000001,0.0435000000000001, -0.375)); #191254=CARTESIAN_POINT('Ctrl Pts',(0.0138333333333333,0.0185,-0.271)); #191255=CARTESIAN_POINT('Ctrl Pts',(0.0117152586391672,0.0269762393571075, -0.305666666666667)); #191256=CARTESIAN_POINT('Ctrl Pts',(0.00963391479871615,0.0353470125762748, -0.340333333333333)); #191257=CARTESIAN_POINT('Ctrl Pts',(0.00751584010455002,0.0438232519333823, -0.375)); #191258=CARTESIAN_POINT('Ctrl Pts',(-0.0138333333333333,0.0185,-0.271)); #191259=CARTESIAN_POINT('Ctrl Pts',(-0.0140525562318654,0.0238731162949938, -0.305666666666667)); #191260=CARTESIAN_POINT('Ctrl Pts',(-0.0142215546324158,0.0291464840979233, -0.340333333333333)); #191261=CARTESIAN_POINT('Ctrl Pts',(-0.0144407775309479,0.0345196003929171, -0.375)); #191262=CARTESIAN_POINT('Ctrl Pts',(-0.0415,0.0185,-0.271)); #191263=CARTESIAN_POINT('Ctrl Pts',(-0.0375,0.0185,-0.305666666666667)); #191264=CARTESIAN_POINT('Ctrl Pts',(-0.0335,0.0185000000000001,-0.340333333333333)); #191265=CARTESIAN_POINT('Ctrl Pts',(-0.0294999999999999,0.0185000000000001, -0.375)); #191266=CARTESIAN_POINT('',(0.0415,0.0185000000000001,-0.271)); #191267=CARTESIAN_POINT('',(-0.0415,0.0185000000000001,-0.271)); #191268=CARTESIAN_POINT('',(0.1205,0.0185,-0.271)); #191269=CARTESIAN_POINT('',(0.0415,0.0185000000000001,-0.271)); #191270=CARTESIAN_POINT('Ctrl Pts',(-0.0415,0.0185,-0.271)); #191271=CARTESIAN_POINT('Ctrl Pts',(-0.0414468996214972,0.0185,-0.271460203280358)); #191272=CARTESIAN_POINT('Ctrl Pts',(-0.0413937992429943,0.0185,-0.271920406560716)); #191273=CARTESIAN_POINT('Ctrl Pts',(-0.0413406988644915,0.0185,-0.272380609841074)); #191274=CARTESIAN_POINT('Ctrl Pts',(-0.0413406988644915,-0.0185,-0.272380609841074)); #191275=CARTESIAN_POINT('Ctrl Pts',(-0.0373937992429943,-0.0184999999999999, -0.306587073227383)); #191276=CARTESIAN_POINT('Ctrl Pts',(-0.0334468996214971,-0.0184999999999999, -0.340793536613691)); #191277=CARTESIAN_POINT('Ctrl Pts',(-0.0294999999999999,-0.0184999999999999, -0.375)); #191278=CARTESIAN_POINT('Ctrl Pts',(-0.013810867233808,-0.018330364733288, -0.272380609841074)); #191279=CARTESIAN_POINT('Ctrl Pts',(-0.0132569766091478,-0.0141215741594965, -0.306587073227383)); #191280=CARTESIAN_POINT('Ctrl Pts',(-0.012694874475086,-0.00993033049536292, -0.340793536613691)); #191281=CARTESIAN_POINT('Ctrl Pts',(-0.0121409838504259,-0.00572153992157142, -0.375)); #191282=CARTESIAN_POINT('Ctrl Pts',(0.013759145166271,-0.0182156938216819, -0.272380609841074)); #191283=CARTESIAN_POINT('Ctrl Pts',(0.0119187353010416,-0.0111655914429441, -0.306587073227383)); #191284=CARTESIAN_POINT('Ctrl Pts',(0.0100852205436154,-0.00413359377115173, -0.340793536613691)); #191285=CARTESIAN_POINT('Ctrl Pts',(0.00824481067838594,0.00291650860758612, -0.375)); #191286=CARTESIAN_POINT('Ctrl Pts',(0.0413406988644915,-0.0181681226343572, -0.272380609841074)); #191287=CARTESIAN_POINT('Ctrl Pts',(0.0373937992429943,-0.00994541508957141, -0.306587073227383)); #191288=CARTESIAN_POINT('Ctrl Pts',(0.0334468996214972,-0.00172270754478565, -0.340793536613691)); #191289=CARTESIAN_POINT('Ctrl Pts',(0.0295,0.00650000000000012,-0.375)); #191290=CARTESIAN_POINT('',(0.0413406988644915,-0.0181681226343571,-0.272380609841074)); #191291=CARTESIAN_POINT('Ctrl Pts',(-0.0413406988644914,-0.0185,-0.272380609841074)); #191292=CARTESIAN_POINT('Ctrl Pts',(-0.0328011246016417,-0.0184483017527505, -0.273676707857146)); #191293=CARTESIAN_POINT('Ctrl Pts',(-0.0242081614069431,-0.0182572323274273, -0.274572553165908)); #191294=CARTESIAN_POINT('Ctrl Pts',(-0.0155572364121338,-0.0180820617720595, -0.275061322891469)); #191295=CARTESIAN_POINT('Ctrl Pts',(-0.010533837083124,-0.0179803441348329, -0.275345140577197)); #191296=CARTESIAN_POINT('Ctrl Pts',(-0.00549141708427884,-0.0178834502226596, -0.275491697829159)); #191297=CARTESIAN_POINT('Ctrl Pts',(-0.000433489595160298,-0.0178145597007817, -0.275499658750459)); #191298=CARTESIAN_POINT('Ctrl Pts',(0.00753977497043097,-0.0177059613948204, -0.275512208264434)); #191299=CARTESIAN_POINT('Ctrl Pts',(0.0154867196145997,-0.0176704706416074, -0.275181097808323)); #191300=CARTESIAN_POINT('Ctrl Pts',(0.0234179765172896,-0.017758502338766, -0.274504165843481)); #191301=CARTESIAN_POINT('Ctrl Pts',(0.0294016568778572,-0.0178249172265773, -0.273993459337166)); #191302=CARTESIAN_POINT('Ctrl Pts',(0.035375921995417,-0.017960608347864, -0.273285916736753)); #191303=CARTESIAN_POINT('Ctrl Pts',(0.0413406988644914,-0.0181681226343572, -0.272380609841074)); #191304=CARTESIAN_POINT('',(0.0415,-0.0184999999999999,-0.271)); #191305=CARTESIAN_POINT('Origin',(0.0415,-0.0184999999999999,-0.271)); #191306=CARTESIAN_POINT('',(0.0413406988644915,0.0185,-0.272380609841074)); #191307=CARTESIAN_POINT('',(0.0413406988644915,0.,-0.272380609841074)); #191308=CARTESIAN_POINT('',(0.0582397810218978,0.0185,-0.125921897810219)); #191309=CARTESIAN_POINT('Origin',(0.0995599457429459,0.0185000000000001, -0.255442884425583)); #191310=CARTESIAN_POINT('',(0.100388209493685,0.0185000000000001,-0.256558877052914)); #191311=CARTESIAN_POINT('',(0.100388209493685,0.,-0.256558877052914)); #191312=CARTESIAN_POINT('',(0.161952250588359,0.0185000000000001,-0.339509526419164)); #191313=CARTESIAN_POINT('',(0.0995599457429459,0.0185000000000001,-0.255442884425583)); #191314=CARTESIAN_POINT('',(0.161952250588359,-0.0184999999999999,-0.339509526419164)); #191315=CARTESIAN_POINT('',(0.161952250588359,-0.0184999999999999,-0.339509526419164)); #191316=CARTESIAN_POINT('Ctrl Pts',(0.100388209493685,-0.0185,-0.256558877052913)); #191317=CARTESIAN_POINT('Ctrl Pts',(0.120909556525243,-0.0184999999999999, -0.28420909350833)); #191318=CARTESIAN_POINT('Ctrl Pts',(0.141430903556801,-0.0184999999999999, -0.311859309963747)); #191319=CARTESIAN_POINT('Ctrl Pts',(0.161952250588359,-0.0184999999999999, -0.339509526419164)); #191320=CARTESIAN_POINT('Origin',(0.186819093821199,0.0144725368409035, -0.325152647784788)); #191321=CARTESIAN_POINT('',(0.213047749411641,0.0435000000000001,-0.310009526419164)); #191322=CARTESIAN_POINT('Origin',(0.212037110726791,-0.0358659153209312, -0.310593018935916)); #191323=CARTESIAN_POINT('',(0.213047749411641,0.00650000000000009,-0.310009526419164)); #191324=CARTESIAN_POINT('',(0.213047749411641,0.0435000000000001,-0.310009526419164)); #191325=CARTESIAN_POINT('Origin',(0.232960843895892,-0.129885039775356, -0.298512695958284)); #191326=CARTESIAN_POINT('Ctrl Pts',(0.171440054257054,0.0185,-0.213942884425583)); #191327=CARTESIAN_POINT('Ctrl Pts',(0.18530928597525,0.0268333333333334, -0.245965098423443)); #191328=CARTESIAN_POINT('Ctrl Pts',(0.199178517693445,0.0351666666666667, -0.277987312421304)); #191329=CARTESIAN_POINT('Ctrl Pts',(0.213047749411641,0.0435000000000001, -0.310009526419164)); #191330=CARTESIAN_POINT('Ctrl Pts',(0.147480018085685,0.0185,-0.227776217758916)); #191331=CARTESIAN_POINT('Ctrl Pts',(0.162979044926757,0.0269762393571075, -0.25885746910386)); #191332=CARTESIAN_POINT('Ctrl Pts',(0.17850988162025,0.0353470125762748, -0.289920355021946)); #191333=CARTESIAN_POINT('Ctrl Pts',(0.194008908461322,0.0438232519333823, -0.321001606366889)); #191334=CARTESIAN_POINT('Ctrl Pts',(0.123519981914315,0.0185,-0.241609551092249)); #191335=CARTESIAN_POINT('Ctrl Pts',(0.140663462648429,0.0238731162949938, -0.271741376539376)); #191336=CARTESIAN_POINT('Ctrl Pts',(0.157850439073686,0.0291464840979233, -0.301848089737512)); #191337=CARTESIAN_POINT('Ctrl Pts',(0.1749939198078,0.0345196003929171, -0.331979915184638)); #191338=CARTESIAN_POINT('Ctrl Pts',(0.0995599457429459,0.0185,-0.255442884425583)); #191339=CARTESIAN_POINT('Ctrl Pts',(0.120357380691417,0.0185,-0.283465098423443)); #191340=CARTESIAN_POINT('Ctrl Pts',(0.141154815639888,0.0185000000000001, -0.311487312421304)); #191341=CARTESIAN_POINT('Ctrl Pts',(0.161952250588359,0.0185000000000001, -0.339509526419164)); #191342=CARTESIAN_POINT('',(0.171440054257054,0.0185000000000001,-0.213942884425583)); #191343=CARTESIAN_POINT('',(0.0995599457429459,0.0185000000000001,-0.255442884425583)); #191344=CARTESIAN_POINT('',(0.225875,0.0185,-0.18251485384757)); #191345=CARTESIAN_POINT('',(0.171440054257054,0.0185000000000001,-0.213942884425583)); #191346=CARTESIAN_POINT('Ctrl Pts',(0.0995599457429459,0.0185,-0.255442884425583)); #191347=CARTESIAN_POINT('Ctrl Pts',(0.0998360336598589,0.0185,-0.255814881968026)); #191348=CARTESIAN_POINT('Ctrl Pts',(0.100112121576772,0.0185,-0.25618687951047)); #191349=CARTESIAN_POINT('Ctrl Pts',(0.100388209493685,0.0185,-0.256558877052914)); #191350=CARTESIAN_POINT('Ctrl Pts',(0.100388209493685,-0.0185,-0.256558877052913)); #191351=CARTESIAN_POINT('Ctrl Pts',(0.120909556525243,-0.0184999999999999, -0.28420909350833)); #191352=CARTESIAN_POINT('Ctrl Pts',(0.141430903556801,-0.0184999999999999, -0.311859309963747)); #191353=CARTESIAN_POINT('Ctrl Pts',(0.161952250588359,-0.0184999999999999, -0.339509526419164)); #191354=CARTESIAN_POINT('Ctrl Pts',(0.124229743047765,-0.018330364733288, -0.242793961237572)); #191355=CARTESIAN_POINT('Ctrl Pts',(0.141812658092793,-0.0141215741594964, -0.272140682191407)); #191356=CARTESIAN_POINT('Ctrl Pts',(0.159402684513567,-0.00993033049536293, -0.301483297390542)); #191357=CARTESIAN_POINT('Ctrl Pts',(0.176985599558595,-0.00572153992157141, -0.330830018344377)); #191358=CARTESIAN_POINT('Ctrl Pts',(0.148106074168886,-0.0182156938216819, -0.229008955037532)); #191359=CARTESIAN_POINT('Ctrl Pts',(0.163615464165376,-0.0111655914429441, -0.259552826236312)); #191360=CARTESIAN_POINT('Ctrl Pts',(0.179130825500385,-0.0041335937711517, -0.290093249881191)); #191361=CARTESIAN_POINT('Ctrl Pts',(0.194640215496876,0.00291650860758614, -0.320637121079971)); #191362=CARTESIAN_POINT('Ctrl Pts',(0.171992400347389,-0.0181681226343572, -0.215218178188422)); #191363=CARTESIAN_POINT('Ctrl Pts',(0.18567751670214,-0.0099454150895714, -0.246815294265336)); #191364=CARTESIAN_POINT('Ctrl Pts',(0.19936263305689,-0.00172270754478563, -0.27841241034225)); #191365=CARTESIAN_POINT('Ctrl Pts',(0.213047749411641,0.00650000000000014, -0.310009526419164)); #191366=CARTESIAN_POINT('',(0.171992400347389,-0.0181681226343571,-0.215218178188422)); #191367=CARTESIAN_POINT('Ctrl Pts',(0.100388209493685,-0.0185,-0.256558877052913)); #191368=CARTESIAN_POINT('Ctrl Pts',(0.108431746750853,-0.0184483017527505, -0.253411543729201)); #191369=CARTESIAN_POINT('Ctrl Pts',(0.116321393825628,-0.0182572323274273, -0.249890886927101)); #191370=CARTESIAN_POINT('Ctrl Pts',(0.124057699500148,-0.0180820617720595, -0.245988711428633)); #191371=CARTESIAN_POINT('Ctrl Pts',(0.128549999775287,-0.0179803441348329, -0.243722805090011)); #191372=CARTESIAN_POINT('Ctrl Pts',(0.132990142216819,-0.0178834502226596, -0.241328517393897)); #191373=CARTESIAN_POINT('Ctrl Pts',(0.137374416373544,-0.0178145597007817, -0.238806448009421)); #191374=CARTESIAN_POINT('Ctrl Pts',(0.144285740795428,-0.0177059613948204, -0.234830683924533)); #191375=CARTESIAN_POINT('Ctrl Pts',(0.151002441511692,-0.0176704706416074, -0.230570461535998)); #191376=CARTESIAN_POINT('Ctrl Pts',(0.157532645490942,-0.017758502338766, -0.226018592806466)); #191377=CARTESIAN_POINT('Ctrl Pts',(0.162459311438161,-0.0178249172265773, -0.222584467817835)); #191378=CARTESIAN_POINT('Ctrl Pts',(0.167279405498705,-0.017960608347864, -0.218984585392838)); #191379=CARTESIAN_POINT('Ctrl Pts',(0.171992400347389,-0.0181681226343572, -0.215218178188422)); #191380=CARTESIAN_POINT('',(0.171440054257054,-0.0184999999999999,-0.213942884425583)); #191381=CARTESIAN_POINT('Origin',(0.171440054257054,-0.0184999999999999, -0.213942884425583)); #191382=CARTESIAN_POINT('',(0.171992400347389,0.0185,-0.215218178188422)); #191383=CARTESIAN_POINT('',(0.171992400347389,0.,-0.215218178188422)); #191384=CARTESIAN_POINT('',(0.137921287072049,0.0185,-0.136552502784287)); #191385=CARTESIAN_POINT('Origin',(0.213942884425583,0.0185000000000001, -0.171440054257054)); #191386=CARTESIAN_POINT('',(0.215218178188422,0.0185000000000001,-0.171992400347389)); #191387=CARTESIAN_POINT('',(0.215218178188422,0.,-0.171992400347389)); #191388=CARTESIAN_POINT('',(0.310009526419165,0.0185000000000001,-0.213047749411641)); #191389=CARTESIAN_POINT('',(0.213942884425583,0.0185000000000001,-0.171440054257054)); #191390=CARTESIAN_POINT('',(0.310009526419165,-0.0184999999999999,-0.213047749411641)); #191391=CARTESIAN_POINT('',(0.310009526419165,-0.0184999999999999,-0.213047749411641)); #191392=CARTESIAN_POINT('Ctrl Pts',(0.215218178188422,-0.0185,-0.171992400347389)); #191393=CARTESIAN_POINT('Ctrl Pts',(0.246815294265336,-0.0184999999999999, -0.18567751670214)); #191394=CARTESIAN_POINT('Ctrl Pts',(0.27841241034225,-0.0184999999999999, -0.19936263305689)); #191395=CARTESIAN_POINT('Ctrl Pts',(0.310009526419165,-0.0184999999999999, -0.213047749411641)); #191396=CARTESIAN_POINT('Origin',(0.324366405053541,0.0144725368409035, -0.188180906178801)); #191397=CARTESIAN_POINT('',(0.339509526419165,0.0435000000000001,-0.161952250588359)); #191398=CARTESIAN_POINT('Origin',(0.338926033902413,-0.0358659153209312, -0.162962889273209)); #191399=CARTESIAN_POINT('',(0.339509526419165,0.00650000000000009,-0.161952250588359)); #191400=CARTESIAN_POINT('',(0.339509526419165,0.0435000000000001,-0.161952250588359)); #191401=CARTESIAN_POINT('Origin',(0.351006356880045,-0.129885039775356, -0.142039156104108)); #191402=CARTESIAN_POINT('Ctrl Pts',(0.255442884425583,0.0185,-0.0995599457429458)); #191403=CARTESIAN_POINT('Ctrl Pts',(0.283465098423443,0.0268333333333333, -0.120357380691417)); #191404=CARTESIAN_POINT('Ctrl Pts',(0.311487312421304,0.0351666666666667, -0.141154815639888)); #191405=CARTESIAN_POINT('Ctrl Pts',(0.339509526419165,0.0435000000000001, -0.161952250588359)); #191406=CARTESIAN_POINT('Ctrl Pts',(0.24160955109225,0.0185,-0.123519981914315)); #191407=CARTESIAN_POINT('Ctrl Pts',(0.270572727743027,0.0269762393571075, -0.142687621739909)); #191408=CARTESIAN_POINT('Ctrl Pts',(0.299554269820662,0.0353470125762748, -0.161823451713084)); #191409=CARTESIAN_POINT('Ctrl Pts',(0.32851744647144,0.0438232519333823, -0.180991091538678)); #191410=CARTESIAN_POINT('Ctrl Pts',(0.227776217758916,0.0185,-0.147480018085685)); #191411=CARTESIAN_POINT('Ctrl Pts',(0.257688820307511,0.0238731162949938, -0.165003204018238)); #191412=CARTESIAN_POINT('Ctrl Pts',(0.287626535105096,0.0291464840979232, -0.182482894259647)); #191413=CARTESIAN_POINT('Ctrl Pts',(0.317539137653691,0.0345196003929171, -0.2000060801922)); #191414=CARTESIAN_POINT('Ctrl Pts',(0.213942884425583,0.0185,-0.171440054257054)); #191415=CARTESIAN_POINT('Ctrl Pts',(0.245965098423443,0.0185,-0.18530928597525)); #191416=CARTESIAN_POINT('Ctrl Pts',(0.277987312421304,0.0185000000000001, -0.199178517693445)); #191417=CARTESIAN_POINT('Ctrl Pts',(0.310009526419165,0.0185000000000001, -0.213047749411641)); #191418=CARTESIAN_POINT('',(0.255442884425583,0.0185000000000001,-0.0995599457429457)); #191419=CARTESIAN_POINT('',(0.213942884425583,0.0185000000000001,-0.171440054257054)); #191420=CARTESIAN_POINT('',(0.264817884425583,0.0185,-0.0833219694219875)); #191421=CARTESIAN_POINT('',(0.255442884425583,0.0185000000000001,-0.0995599457429457)); #191422=CARTESIAN_POINT('Ctrl Pts',(0.213942884425583,0.0185,-0.171440054257054)); #191423=CARTESIAN_POINT('Ctrl Pts',(0.214367982346529,0.0185,-0.171624169620499)); #191424=CARTESIAN_POINT('Ctrl Pts',(0.214793080267476,0.0185,-0.171808284983944)); #191425=CARTESIAN_POINT('Ctrl Pts',(0.215218178188422,0.0185,-0.171992400347389)); #191426=CARTESIAN_POINT('Ctrl Pts',(0.215218178188422,-0.0185,-0.171992400347389)); #191427=CARTESIAN_POINT('Ctrl Pts',(0.246815294265336,-0.0184999999999999, -0.18567751670214)); #191428=CARTESIAN_POINT('Ctrl Pts',(0.27841241034225,-0.0184999999999999, -0.19936263305689)); #191429=CARTESIAN_POINT('Ctrl Pts',(0.310009526419165,-0.0184999999999999, -0.213047749411641)); #191430=CARTESIAN_POINT('Ctrl Pts',(0.228983094003764,-0.018330364733288, -0.148150866793309)); #191431=CARTESIAN_POINT('Ctrl Pts',(0.258883705582259,-0.0141215741594965, -0.164774415134589)); #191432=CARTESIAN_POINT('Ctrl Pts',(0.288788422915456,-0.00993033049536292, -0.181390852100125)); #191433=CARTESIAN_POINT('Ctrl Pts',(0.318689034493952,-0.00572153992157141, -0.198014400441405)); #191434=CARTESIAN_POINT('Ctrl Pts',(0.242768100203803,-0.0182156938216819, -0.124274535672188)); #191435=CARTESIAN_POINT('Ctrl Pts',(0.271471561537354,-0.0111655914429441, -0.142971609062007)); #191436=CARTESIAN_POINT('Ctrl Pts',(0.300178470424807,-0.00413359377115171, -0.161662711113306)); #191437=CARTESIAN_POINT('Ctrl Pts',(0.328881931758358,0.00291650860758614, -0.180359784503124)); #191438=CARTESIAN_POINT('Ctrl Pts',(0.256558877052914,-0.0181681226343572, -0.100388209493685)); #191439=CARTESIAN_POINT('Ctrl Pts',(0.284209093508331,-0.00994541508957141, -0.120909556525243)); #191440=CARTESIAN_POINT('Ctrl Pts',(0.311859309963748,-0.00172270754478563, -0.141430903556801)); #191441=CARTESIAN_POINT('Ctrl Pts',(0.339509526419165,0.00650000000000014, -0.161952250588359)); #191442=CARTESIAN_POINT('',(0.256558877052914,-0.0181681226343571,-0.100388209493685)); #191443=CARTESIAN_POINT('Ctrl Pts',(0.215218178188422,-0.0185,-0.171992400347389)); #191444=CARTESIAN_POINT('Ctrl Pts',(0.220610419127562,-0.0184483017527504, -0.16524496110629)); #191445=CARTESIAN_POINT('Ctrl Pts',(0.225682725520163,-0.0182572323274271, -0.158251159340274)); #191446=CARTESIAN_POINT('Ctrl Pts',(0.230431475016506,-0.0180820617720593, -0.151003623391313)); #191447=CARTESIAN_POINT('Ctrl Pts',(0.233188968006892,-0.0179803441348327, -0.146795140801903)); #191448=CARTESIAN_POINT('Ctrl Pts',(0.23583710030962,-0.0178834502226595, -0.142501555612337)); #191449=CARTESIAN_POINT('Ctrl Pts',(0.238372958414261,-0.0178145597007817, -0.138125242376914)); #191450=CARTESIAN_POINT('Ctrl Pts',(0.242370458894964,-0.0177059613948203, -0.131226467469005)); #191451=CARTESIAN_POINT('Ctrl Pts',(0.246057181150598,-0.0176704706416074, -0.124178656296631)); #191452=CARTESIAN_POINT('Ctrl Pts',(0.249436569323756,-0.017758502338766, -0.116971520352541)); #191453=CARTESIAN_POINT('Ctrl Pts',(0.251986124695693,-0.0178249172265773, -0.111534147899005)); #191454=CARTESIAN_POINT('Ctrl Pts',(0.254360507388255,-0.017960608347864, -0.106006511238049)); #191455=CARTESIAN_POINT('Ctrl Pts',(0.256558877052914,-0.0181681226343572, -0.100388209493685)); #191456=CARTESIAN_POINT('',(0.255442884425583,-0.0184999999999999,-0.0995599457429457)); #191457=CARTESIAN_POINT('Origin',(0.255442884425583,-0.0184999999999999, -0.0995599457429457)); #191458=CARTESIAN_POINT('',(0.256558877052914,0.0185,-0.100388209493685)); #191459=CARTESIAN_POINT('',(0.256558877052914,0.,-0.100388209493685)); #191460=CARTESIAN_POINT('',(0.226963241228515,0.0185,-0.0784230174905272)); #191461=CARTESIAN_POINT('Origin',(0.271,0.0185000000000001,-0.0415)); #191462=CARTESIAN_POINT('',(0.272380609841074,0.0185000000000001,-0.0413406988644914)); #191463=CARTESIAN_POINT('',(0.272380609841074,0.,-0.0413406988644914)); #191464=CARTESIAN_POINT('',(0.375,0.0185000000000001,-0.0295)); #191465=CARTESIAN_POINT('',(0.271,0.0185000000000001,-0.0415)); #191466=CARTESIAN_POINT('',(0.375,-0.0184999999999999,-0.0295)); #191467=CARTESIAN_POINT('',(0.375,-0.0184999999999999,-0.0295)); #191468=CARTESIAN_POINT('Ctrl Pts',(0.272380609841074,-0.0185,-0.0413406988644915)); #191469=CARTESIAN_POINT('Ctrl Pts',(0.306587073227383,-0.0184999999999999, -0.0373937992429943)); #191470=CARTESIAN_POINT('Ctrl Pts',(0.340793536613691,-0.0184999999999999, -0.0334468996214972)); #191471=CARTESIAN_POINT('Ctrl Pts',(0.375,-0.0184999999999999,-0.0295)); #191472=CARTESIAN_POINT('Origin',(0.375,0.0144725368409035,-0.000786242731247892)); #191473=CARTESIAN_POINT('',(0.375,0.0435000000000001,0.0295)); #191474=CARTESIAN_POINT('Origin',(0.375,-0.0358659153209312,0.0283330149664963)); #191475=CARTESIAN_POINT('',(0.375,0.00650000000000009,0.0295)); #191476=CARTESIAN_POINT('',(0.375,0.0435000000000001,0.0295)); #191477=CARTESIAN_POINT('Origin',(0.375,-0.129885039775356,0.052493660921761)); #191478=CARTESIAN_POINT('Ctrl Pts',(0.271,0.0185,0.0415000000000001)); #191479=CARTESIAN_POINT('Ctrl Pts',(0.305666666666667,0.0268333333333334, 0.0375000000000001)); #191480=CARTESIAN_POINT('Ctrl Pts',(0.340333333333333,0.0351666666666667, 0.0335000000000001)); #191481=CARTESIAN_POINT('Ctrl Pts',(0.375,0.0435000000000001,0.0295)); #191482=CARTESIAN_POINT('Ctrl Pts',(0.271,0.0185,0.0138333333333334)); #191483=CARTESIAN_POINT('Ctrl Pts',(0.305666666666667,0.0269762393571075, 0.0117152586391673)); #191484=CARTESIAN_POINT('Ctrl Pts',(0.340333333333333,0.0353470125762748, 0.00963391479871617)); #191485=CARTESIAN_POINT('Ctrl Pts',(0.375,0.0438232519333823,0.00751584010455)); #191486=CARTESIAN_POINT('Ctrl Pts',(0.271,0.0185,-0.0138333333333332)); #191487=CARTESIAN_POINT('Ctrl Pts',(0.305666666666667,0.0238731162949938, -0.0140525562318654)); #191488=CARTESIAN_POINT('Ctrl Pts',(0.340333333333333,0.0291464840979233, -0.0142215546324158)); #191489=CARTESIAN_POINT('Ctrl Pts',(0.375,0.0345196003929171,-0.0144407775309479)); #191490=CARTESIAN_POINT('Ctrl Pts',(0.271,0.0185,-0.0414999999999999)); #191491=CARTESIAN_POINT('Ctrl Pts',(0.305666666666667,0.0185,-0.0374999999999999)); #191492=CARTESIAN_POINT('Ctrl Pts',(0.340333333333333,0.0185000000000001, -0.0334999999999999)); #191493=CARTESIAN_POINT('Ctrl Pts',(0.375,0.0185000000000001,-0.0295)); #191494=CARTESIAN_POINT('',(0.271,0.0185000000000001,0.0415)); #191495=CARTESIAN_POINT('',(0.271,0.0185000000000001,-0.0415)); #191496=CARTESIAN_POINT('',(0.271,0.0185,1.17600592431259E-17)); #191497=CARTESIAN_POINT('',(0.271,0.0185000000000001,0.0415)); #191498=CARTESIAN_POINT('Ctrl Pts',(0.271,0.0185,-0.0414999999999999)); #191499=CARTESIAN_POINT('Ctrl Pts',(0.271460203280358,0.0185,-0.0414468996214971)); #191500=CARTESIAN_POINT('Ctrl Pts',(0.271920406560716,0.0185,-0.0413937992429942)); #191501=CARTESIAN_POINT('Ctrl Pts',(0.272380609841074,0.0185,-0.0413406988644914)); #191502=CARTESIAN_POINT('Ctrl Pts',(0.272380609841074,-0.0185,-0.0413406988644915)); #191503=CARTESIAN_POINT('Ctrl Pts',(0.306587073227383,-0.0184999999999999, -0.0373937992429943)); #191504=CARTESIAN_POINT('Ctrl Pts',(0.340793536613691,-0.0184999999999999, -0.0334468996214972)); #191505=CARTESIAN_POINT('Ctrl Pts',(0.375,-0.0184999999999999,-0.0295)); #191506=CARTESIAN_POINT('Ctrl Pts',(0.272380609841074,-0.018330364733288, -0.013810867233808)); #191507=CARTESIAN_POINT('Ctrl Pts',(0.306587073227383,-0.0141215741594965, -0.0132569766091478)); #191508=CARTESIAN_POINT('Ctrl Pts',(0.340793536613691,-0.00993033049536294, -0.0126948744750861)); #191509=CARTESIAN_POINT('Ctrl Pts',(0.375,-0.00572153992157141,-0.0121409838504259)); #191510=CARTESIAN_POINT('Ctrl Pts',(0.272380609841074,-0.0182156938216819, 0.0137591451662709)); #191511=CARTESIAN_POINT('Ctrl Pts',(0.306587073227383,-0.0111655914429441, 0.0119187353010415)); #191512=CARTESIAN_POINT('Ctrl Pts',(0.340793536613691,-0.0041335937711517, 0.0100852205436153)); #191513=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00291650860758614,0.0082448106783859)); #191514=CARTESIAN_POINT('Ctrl Pts',(0.272380609841074,-0.0181681226343572, 0.0413406988644914)); #191515=CARTESIAN_POINT('Ctrl Pts',(0.306587073227383,-0.00994541508957142, 0.0373937992429943)); #191516=CARTESIAN_POINT('Ctrl Pts',(0.340793536613691,-0.00172270754478564, 0.0334468996214971)); #191517=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00650000000000014,0.0295)); #191518=CARTESIAN_POINT('',(0.272380609841074,-0.0181681226343571,0.0413406988644915)); #191519=CARTESIAN_POINT('Ctrl Pts',(0.272380609841074,-0.0185,-0.0413406988644915)); #191520=CARTESIAN_POINT('Ctrl Pts',(0.273676707857146,-0.0184483017527505, -0.032801124601641)); #191521=CARTESIAN_POINT('Ctrl Pts',(0.274572553165908,-0.0182572323274273, -0.0242081614069416)); #191522=CARTESIAN_POINT('Ctrl Pts',(0.27506132289147,-0.0180820617720595, -0.0155572364121313)); #191523=CARTESIAN_POINT('Ctrl Pts',(0.275345140577197,-0.0179803441348329, -0.0105338370831224)); #191524=CARTESIAN_POINT('Ctrl Pts',(0.275491697829159,-0.0178834502226596, -0.00549141708427811)); #191525=CARTESIAN_POINT('Ctrl Pts',(0.275499658750459,-0.0178145597007818, -0.000433489595160347)); #191526=CARTESIAN_POINT('Ctrl Pts',(0.275512208264434,-0.0177059613948204, 0.0075397749704313)); #191527=CARTESIAN_POINT('Ctrl Pts',(0.275181097808323,-0.0176704706416074, 0.0154867196146002)); #191528=CARTESIAN_POINT('Ctrl Pts',(0.274504165843481,-0.017758502338766, 0.0234179765172901)); #191529=CARTESIAN_POINT('Ctrl Pts',(0.273993459337166,-0.0178249172265773, 0.0294016568778575)); #191530=CARTESIAN_POINT('Ctrl Pts',(0.273285916736753,-0.017960608347864, 0.0353759219954172)); #191531=CARTESIAN_POINT('Ctrl Pts',(0.272380609841074,-0.0181681226343572, 0.0413406988644914)); #191532=CARTESIAN_POINT('',(0.271,-0.0184999999999999,0.0415)); #191533=CARTESIAN_POINT('Origin',(0.271,-0.0184999999999999,0.0415)); #191534=CARTESIAN_POINT('',(0.272380609841074,0.0185,0.0413406988644915)); #191535=CARTESIAN_POINT('',(0.272380609841074,0.,0.0413406988644915)); #191536=CARTESIAN_POINT('',(0.258559854014599,0.0185,0.0429354014598541)); #191537=CARTESIAN_POINT('Origin',(0.255442884425583,0.0185000000000001, 0.0995599457429458)); #191538=CARTESIAN_POINT('',(0.256558877052914,0.0185000000000001,0.100388209493685)); #191539=CARTESIAN_POINT('',(0.256558877052914,0.,0.100388209493685)); #191540=CARTESIAN_POINT('',(0.339509526419164,0.0185000000000001,0.161952250588359)); #191541=CARTESIAN_POINT('',(0.255442884425583,0.0185000000000001,0.0995599457429458)); #191542=CARTESIAN_POINT('',(0.339509526419164,-0.0184999999999999,0.161952250588359)); #191543=CARTESIAN_POINT('',(0.339509526419164,-0.0184999999999999,0.161952250588359)); #191544=CARTESIAN_POINT('Ctrl Pts',(0.256558877052914,-0.0185,0.100388209493685)); #191545=CARTESIAN_POINT('Ctrl Pts',(0.284209093508331,-0.0184999999999999, 0.120909556525243)); #191546=CARTESIAN_POINT('Ctrl Pts',(0.311859309963747,-0.0184999999999999, 0.141430903556801)); #191547=CARTESIAN_POINT('Ctrl Pts',(0.339509526419164,-0.0184999999999999, 0.161952250588359)); #191548=CARTESIAN_POINT('Origin',(0.325152647784788,0.0144725368409035, 0.186819093821199)); #191549=CARTESIAN_POINT('',(0.310009526419164,0.0435000000000001,0.213047749411641)); #191550=CARTESIAN_POINT('Origin',(0.310593018935916,-0.0358659153209312, 0.212037110726791)); #191551=CARTESIAN_POINT('',(0.310009526419164,0.00650000000000009,0.213047749411641)); #191552=CARTESIAN_POINT('',(0.310009526419164,0.0435000000000001,0.213047749411641)); #191553=CARTESIAN_POINT('Origin',(0.298512695958284,-0.129885039775356, 0.232960843895892)); #191554=CARTESIAN_POINT('Ctrl Pts',(0.213942884425583,0.0185,0.171440054257054)); #191555=CARTESIAN_POINT('Ctrl Pts',(0.245965098423443,0.0268333333333333, 0.18530928597525)); #191556=CARTESIAN_POINT('Ctrl Pts',(0.277987312421304,0.0351666666666667, 0.199178517693445)); #191557=CARTESIAN_POINT('Ctrl Pts',(0.310009526419164,0.0435000000000001, 0.213047749411641)); #191558=CARTESIAN_POINT('Ctrl Pts',(0.227776217758916,0.0185,0.147480018085685)); #191559=CARTESIAN_POINT('Ctrl Pts',(0.25885746910386,0.0269762393571075, 0.162979044926757)); #191560=CARTESIAN_POINT('Ctrl Pts',(0.289920355021946,0.0353470125762748, 0.17850988162025)); #191561=CARTESIAN_POINT('Ctrl Pts',(0.32100160636689,0.0438232519333823, 0.194008908461322)); #191562=CARTESIAN_POINT('Ctrl Pts',(0.24160955109225,0.0185,0.123519981914315)); #191563=CARTESIAN_POINT('Ctrl Pts',(0.271741376539376,0.0238731162949938, 0.140663462648429)); #191564=CARTESIAN_POINT('Ctrl Pts',(0.301848089737512,0.0291464840979232, 0.157850439073686)); #191565=CARTESIAN_POINT('Ctrl Pts',(0.331979915184638,0.0345196003929171, 0.1749939198078)); #191566=CARTESIAN_POINT('Ctrl Pts',(0.255442884425583,0.0185,0.0995599457429459)); #191567=CARTESIAN_POINT('Ctrl Pts',(0.283465098423443,0.0185,0.120357380691417)); #191568=CARTESIAN_POINT('Ctrl Pts',(0.311487312421304,0.0185000000000001, 0.141154815639888)); #191569=CARTESIAN_POINT('Ctrl Pts',(0.339509526419164,0.0185000000000001, 0.161952250588359)); #191570=CARTESIAN_POINT('',(0.213942884425583,0.0185000000000001,0.171440054257054)); #191571=CARTESIAN_POINT('',(0.255442884425583,0.0185000000000001,0.0995599457429458)); #191572=CARTESIAN_POINT('',(0.264817884425583,0.0185,0.0833219694219876)); #191573=CARTESIAN_POINT('',(0.213942884425583,0.0185000000000001,0.171440054257054)); #191574=CARTESIAN_POINT('Ctrl Pts',(0.255442884425583,0.0185,0.0995599457429459)); #191575=CARTESIAN_POINT('Ctrl Pts',(0.255814881968026,0.0185,0.0998360336598589)); #191576=CARTESIAN_POINT('Ctrl Pts',(0.25618687951047,0.0185,0.100112121576772)); #191577=CARTESIAN_POINT('Ctrl Pts',(0.256558877052914,0.0185,0.100388209493685)); #191578=CARTESIAN_POINT('Ctrl Pts',(0.256558877052914,-0.0185,0.100388209493685)); #191579=CARTESIAN_POINT('Ctrl Pts',(0.284209093508331,-0.0184999999999999, 0.120909556525243)); #191580=CARTESIAN_POINT('Ctrl Pts',(0.311859309963747,-0.0184999999999999, 0.141430903556801)); #191581=CARTESIAN_POINT('Ctrl Pts',(0.339509526419164,-0.0184999999999999, 0.161952250588359)); #191582=CARTESIAN_POINT('Ctrl Pts',(0.242793961237572,-0.018330364733288, 0.124229743047765)); #191583=CARTESIAN_POINT('Ctrl Pts',(0.272140682191407,-0.0141215741594965, 0.141812658092793)); #191584=CARTESIAN_POINT('Ctrl Pts',(0.301483297390542,-0.00993033049536292, 0.159402684513567)); #191585=CARTESIAN_POINT('Ctrl Pts',(0.330830018344377,-0.00572153992157141, 0.176985599558595)); #191586=CARTESIAN_POINT('Ctrl Pts',(0.229008955037532,-0.0182156938216819, 0.148106074168885)); #191587=CARTESIAN_POINT('Ctrl Pts',(0.259552826236313,-0.0111655914429441, 0.163615464165376)); #191588=CARTESIAN_POINT('Ctrl Pts',(0.290093249881191,-0.00413359377115171, 0.179130825500385)); #191589=CARTESIAN_POINT('Ctrl Pts',(0.320637121079971,0.00291650860758614, 0.194640215496875)); #191590=CARTESIAN_POINT('Ctrl Pts',(0.215218178188422,-0.0181681226343572, 0.171992400347389)); #191591=CARTESIAN_POINT('Ctrl Pts',(0.246815294265336,-0.00994541508957141, 0.18567751670214)); #191592=CARTESIAN_POINT('Ctrl Pts',(0.27841241034225,-0.00172270754478564, 0.19936263305689)); #191593=CARTESIAN_POINT('Ctrl Pts',(0.310009526419164,0.00650000000000014, 0.213047749411641)); #191594=CARTESIAN_POINT('',(0.215218178188422,-0.0181681226343571,0.171992400347389)); #191595=CARTESIAN_POINT('Ctrl Pts',(0.256558877052914,-0.0185,0.100388209493685)); #191596=CARTESIAN_POINT('Ctrl Pts',(0.2534115437292,-0.0184483017527504, 0.108431746750855)); #191597=CARTESIAN_POINT('Ctrl Pts',(0.249890886927099,-0.0182572323274272, 0.116321393825632)); #191598=CARTESIAN_POINT('Ctrl Pts',(0.245988711428629,-0.0180820617720593, 0.124057699500155)); #191599=CARTESIAN_POINT('Ctrl Pts',(0.243722805090009,-0.0179803441348328, 0.128549999775291)); #191600=CARTESIAN_POINT('Ctrl Pts',(0.241328517393896,-0.0178834502226596, 0.132990142216821)); #191601=CARTESIAN_POINT('Ctrl Pts',(0.238806448009421,-0.0178145597007817, 0.137374416373544)); #191602=CARTESIAN_POINT('Ctrl Pts',(0.234830683924533,-0.0177059613948204, 0.144285740795429)); #191603=CARTESIAN_POINT('Ctrl Pts',(0.230570461535998,-0.0176704706416074, 0.151002441511692)); #191604=CARTESIAN_POINT('Ctrl Pts',(0.226018592806467,-0.017758502338766, 0.157532645490941)); #191605=CARTESIAN_POINT('Ctrl Pts',(0.222584467817836,-0.0178249172265773, 0.162459311438161)); #191606=CARTESIAN_POINT('Ctrl Pts',(0.218984585392838,-0.017960608347864, 0.167279405498704)); #191607=CARTESIAN_POINT('Ctrl Pts',(0.215218178188422,-0.0181681226343572, 0.171992400347389)); #191608=CARTESIAN_POINT('',(0.213942884425583,-0.0184999999999999,0.171440054257054)); #191609=CARTESIAN_POINT('Origin',(0.213942884425583,-0.0184999999999999, 0.171440054257054)); #191610=CARTESIAN_POINT('',(0.215218178188422,0.0185,0.171992400347389)); #191611=CARTESIAN_POINT('',(0.215218178188422,0.,0.171992400347389)); #191612=CARTESIAN_POINT('',(0.194072431798354,0.0185,0.162833906292055)); #191613=CARTESIAN_POINT('Origin',(0.171440054257054,0.0185000000000001, 0.213942884425583)); #191614=CARTESIAN_POINT('',(0.171992400347389,0.0185000000000001,0.215218178188422)); #191615=CARTESIAN_POINT('',(0.171992400347389,0.,0.215218178188422)); #191616=CARTESIAN_POINT('',(0.213047749411641,0.0185000000000001,0.310009526419165)); #191617=CARTESIAN_POINT('',(0.171440054257054,0.0185000000000001,0.213942884425583)); #191618=CARTESIAN_POINT('',(0.213047749411641,-0.0184999999999999,0.310009526419165)); #191619=CARTESIAN_POINT('',(0.213047749411641,-0.0184999999999999,0.310009526419165)); #191620=CARTESIAN_POINT('Ctrl Pts',(0.171992400347389,-0.0185,0.215218178188422)); #191621=CARTESIAN_POINT('Ctrl Pts',(0.18567751670214,-0.0184999999999999, 0.246815294265336)); #191622=CARTESIAN_POINT('Ctrl Pts',(0.19936263305689,-0.0184999999999999, 0.27841241034225)); #191623=CARTESIAN_POINT('Ctrl Pts',(0.213047749411641,-0.0184999999999999, 0.310009526419165)); #191624=CARTESIAN_POINT('Origin',(0.188180906178802,0.0144725368409035, 0.324366405053541)); #191625=CARTESIAN_POINT('',(0.161952250588359,0.0435000000000001,0.339509526419164)); #191626=CARTESIAN_POINT('Origin',(0.162962889273209,-0.0358659153209312, 0.338926033902413)); #191627=CARTESIAN_POINT('',(0.161952250588359,0.00650000000000009,0.339509526419164)); #191628=CARTESIAN_POINT('',(0.161952250588359,0.0435000000000001,0.339509526419164)); #191629=CARTESIAN_POINT('Origin',(0.142039156104108,-0.129885039775356, 0.351006356880045)); #191630=CARTESIAN_POINT('Ctrl Pts',(0.0995599457429457,0.0185,0.255442884425583)); #191631=CARTESIAN_POINT('Ctrl Pts',(0.120357380691417,0.0268333333333334, 0.283465098423443)); #191632=CARTESIAN_POINT('Ctrl Pts',(0.141154815639888,0.0351666666666667, 0.311487312421304)); #191633=CARTESIAN_POINT('Ctrl Pts',(0.161952250588359,0.0435000000000001, 0.339509526419164)); #191634=CARTESIAN_POINT('Ctrl Pts',(0.123519981914315,0.0185,0.24160955109225)); #191635=CARTESIAN_POINT('Ctrl Pts',(0.142687621739909,0.0269762393571075, 0.270572727743027)); #191636=CARTESIAN_POINT('Ctrl Pts',(0.161823451713084,0.0353470125762748, 0.299554269820662)); #191637=CARTESIAN_POINT('Ctrl Pts',(0.180991091538678,0.0438232519333823, 0.328517446471439)); #191638=CARTESIAN_POINT('Ctrl Pts',(0.147480018085685,0.0185,0.227776217758916)); #191639=CARTESIAN_POINT('Ctrl Pts',(0.165003204018238,0.0238731162949938, 0.257688820307511)); #191640=CARTESIAN_POINT('Ctrl Pts',(0.182482894259647,0.0291464840979233, 0.287626535105096)); #191641=CARTESIAN_POINT('Ctrl Pts',(0.2000060801922,0.0345196003929171, 0.317539137653691)); #191642=CARTESIAN_POINT('Ctrl Pts',(0.171440054257054,0.0185,0.213942884425583)); #191643=CARTESIAN_POINT('Ctrl Pts',(0.18530928597525,0.0185,0.245965098423443)); #191644=CARTESIAN_POINT('Ctrl Pts',(0.199178517693445,0.0185000000000001, 0.277987312421304)); #191645=CARTESIAN_POINT('Ctrl Pts',(0.213047749411641,0.0185000000000001, 0.310009526419165)); #191646=CARTESIAN_POINT('',(0.0995599457429458,0.0185000000000001,0.255442884425583)); #191647=CARTESIAN_POINT('',(0.171440054257054,0.0185000000000001,0.213942884425583)); #191648=CARTESIAN_POINT('',(0.225875,0.0185,0.18251485384757)); #191649=CARTESIAN_POINT('',(0.0995599457429458,0.0185000000000001,0.255442884425583)); #191650=CARTESIAN_POINT('Ctrl Pts',(0.171440054257054,0.0185,0.213942884425583)); #191651=CARTESIAN_POINT('Ctrl Pts',(0.171624169620499,0.0185,0.214367982346529)); #191652=CARTESIAN_POINT('Ctrl Pts',(0.171808284983944,0.0185,0.214793080267476)); #191653=CARTESIAN_POINT('Ctrl Pts',(0.171992400347389,0.0185,0.215218178188422)); #191654=CARTESIAN_POINT('Ctrl Pts',(0.171992400347389,-0.0185,0.215218178188422)); #191655=CARTESIAN_POINT('Ctrl Pts',(0.18567751670214,-0.0184999999999999, 0.246815294265336)); #191656=CARTESIAN_POINT('Ctrl Pts',(0.19936263305689,-0.0184999999999999, 0.27841241034225)); #191657=CARTESIAN_POINT('Ctrl Pts',(0.213047749411641,-0.0184999999999999, 0.310009526419165)); #191658=CARTESIAN_POINT('Ctrl Pts',(0.148150866793309,-0.018330364733288, 0.228983094003764)); #191659=CARTESIAN_POINT('Ctrl Pts',(0.164774415134589,-0.0141215741594965, 0.258883705582259)); #191660=CARTESIAN_POINT('Ctrl Pts',(0.181390852100125,-0.00993033049536292, 0.288788422915456)); #191661=CARTESIAN_POINT('Ctrl Pts',(0.198014400441405,-0.00572153992157141, 0.318689034493952)); #191662=CARTESIAN_POINT('Ctrl Pts',(0.124274535672188,-0.0182156938216819, 0.242768100203803)); #191663=CARTESIAN_POINT('Ctrl Pts',(0.142971609062007,-0.0111655914429441, 0.271471561537354)); #191664=CARTESIAN_POINT('Ctrl Pts',(0.161662711113306,-0.00413359377115171, 0.300178470424807)); #191665=CARTESIAN_POINT('Ctrl Pts',(0.180359784503125,0.00291650860758614, 0.328881931758357)); #191666=CARTESIAN_POINT('Ctrl Pts',(0.100388209493685,-0.0181681226343572, 0.256558877052914)); #191667=CARTESIAN_POINT('Ctrl Pts',(0.120909556525243,-0.00994541508957141, 0.284209093508331)); #191668=CARTESIAN_POINT('Ctrl Pts',(0.141430903556801,-0.00172270754478564, 0.311859309963747)); #191669=CARTESIAN_POINT('Ctrl Pts',(0.161952250588359,0.00650000000000014, 0.339509526419164)); #191670=CARTESIAN_POINT('',(0.100388209493685,-0.0181681226343571,0.256558877052914)); #191671=CARTESIAN_POINT('Ctrl Pts',(0.171992400347389,-0.0185,0.215218178188422)); #191672=CARTESIAN_POINT('Ctrl Pts',(0.165244961106293,-0.0184483017527505, 0.22061041912756)); #191673=CARTESIAN_POINT('Ctrl Pts',(0.15825115934028,-0.0182572323274273, 0.225682725520159)); #191674=CARTESIAN_POINT('Ctrl Pts',(0.151003623391322,-0.0180820617720595, 0.2304314750165)); #191675=CARTESIAN_POINT('Ctrl Pts',(0.14679514080191,-0.0179803441348329, 0.233188968006888)); #191676=CARTESIAN_POINT('Ctrl Pts',(0.14250155561234,-0.0178834502226596, 0.235837100309619)); #191677=CARTESIAN_POINT('Ctrl Pts',(0.138125242376914,-0.0178145597007817, 0.238372958414261)); #191678=CARTESIAN_POINT('Ctrl Pts',(0.131226467469006,-0.0177059613948204, 0.242370458894964)); #191679=CARTESIAN_POINT('Ctrl Pts',(0.124178656296631,-0.0176704706416074, 0.246057181150598)); #191680=CARTESIAN_POINT('Ctrl Pts',(0.11697152035254,-0.017758502338766, 0.249436569323756)); #191681=CARTESIAN_POINT('Ctrl Pts',(0.111534147899005,-0.0178249172265773, 0.251986124695693)); #191682=CARTESIAN_POINT('Ctrl Pts',(0.106006511238049,-0.017960608347864, 0.254360507388255)); #191683=CARTESIAN_POINT('Ctrl Pts',(0.100388209493685,-0.0181681226343572, 0.256558877052914)); #191684=CARTESIAN_POINT('',(0.0995599457429458,-0.0184999999999999,0.255442884425583)); #191685=CARTESIAN_POINT('Origin',(0.0995599457429458,-0.0184999999999999, 0.255442884425583)); #191686=CARTESIAN_POINT('',(0.100388209493685,0.0185,0.256558877052914)); #191687=CARTESIAN_POINT('',(0.100388209493685,0.,0.256558877052914)); #191688=CARTESIAN_POINT('',(0.0635549903002143,0.0185,0.206930240010565)); #191689=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191690=CARTESIAN_POINT('',(0.0413406988644914,0.0185000000000001,0.272380609841074)); #191691=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191692=CARTESIAN_POINT('',(0.0413406988644914,0.,0.272380609841074)); #191693=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191694=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191695=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191696=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191697=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191698=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191699=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191700=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191701=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191702=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191703=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191704=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191705=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191706=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191707=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191708=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191709=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191710=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191711=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191712=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191713=CARTESIAN_POINT('Origin',(0.0415,0.0185000000000001,0.271)); #191714=CARTESIAN_POINT('',(0.0295,0.0185000000000001,0.375)); #191715=CARTESIAN_POINT('',(0.0415,0.0185000000000001,0.271)); #191716=CARTESIAN_POINT('',(0.0295,-0.0184999999999999,0.375)); #191717=CARTESIAN_POINT('',(0.0295,-0.0184999999999999,0.375)); #191718=CARTESIAN_POINT('Ctrl Pts',(0.0413406988644915,-0.0185,0.272380609841074)); #191719=CARTESIAN_POINT('Ctrl Pts',(0.0373937992429943,-0.0184999999999999, 0.306587073227383)); #191720=CARTESIAN_POINT('Ctrl Pts',(0.0334468996214971,-0.0184999999999999, 0.340793536613691)); #191721=CARTESIAN_POINT('Ctrl Pts',(0.0295,-0.0184999999999999,0.375)); #191722=CARTESIAN_POINT('Origin',(0.000786242731247893,0.0144725368409035, 0.375)); #191723=CARTESIAN_POINT('',(-0.0295,0.0435000000000001,0.375)); #191724=CARTESIAN_POINT('Origin',(-0.0283330149664963,-0.0358659153209312, 0.375)); #191725=CARTESIAN_POINT('',(-0.0295,0.00650000000000009,0.375)); #191726=CARTESIAN_POINT('',(-0.0295,0.0435000000000001,0.375)); #191727=CARTESIAN_POINT('Origin',(-0.052493660921761,-0.129885039775356, 0.375)); #191728=CARTESIAN_POINT('Ctrl Pts',(-0.0415,0.0185,0.271)); #191729=CARTESIAN_POINT('Ctrl Pts',(-0.0375,0.0268333333333334,0.305666666666667)); #191730=CARTESIAN_POINT('Ctrl Pts',(-0.0335,0.0351666666666667,0.340333333333333)); #191731=CARTESIAN_POINT('Ctrl Pts',(-0.0295,0.0435000000000001,0.375)); #191732=CARTESIAN_POINT('Ctrl Pts',(-0.0138333333333333,0.0185,0.271)); #191733=CARTESIAN_POINT('Ctrl Pts',(-0.0117152586391672,0.0269762393571075, 0.305666666666667)); #191734=CARTESIAN_POINT('Ctrl Pts',(-0.00963391479871612,0.0353470125762748, 0.340333333333333)); #191735=CARTESIAN_POINT('Ctrl Pts',(-0.00751584010454998,0.0438232519333823, 0.375)); #191736=CARTESIAN_POINT('Ctrl Pts',(0.0138333333333333,0.0185,0.271)); #191737=CARTESIAN_POINT('Ctrl Pts',(0.0140525562318655,0.0238731162949938, 0.305666666666667)); #191738=CARTESIAN_POINT('Ctrl Pts',(0.0142215546324158,0.0291464840979233, 0.340333333333333)); #191739=CARTESIAN_POINT('Ctrl Pts',(0.0144407775309479,0.0345196003929171, 0.375)); #191740=CARTESIAN_POINT('Ctrl Pts',(0.0415,0.0185,0.271)); #191741=CARTESIAN_POINT('Ctrl Pts',(0.0375,0.0185,0.305666666666667)); #191742=CARTESIAN_POINT('Ctrl Pts',(0.0335,0.0185000000000001,0.340333333333333)); #191743=CARTESIAN_POINT('Ctrl Pts',(0.0295,0.0185000000000001,0.375)); #191744=CARTESIAN_POINT('',(-0.0415,0.0185000000000001,0.271)); #191745=CARTESIAN_POINT('',(0.0415,0.0185000000000001,0.271)); #191746=CARTESIAN_POINT('',(0.1205,0.0185,0.271)); #191747=CARTESIAN_POINT('',(-0.0415,0.0185000000000001,0.271)); #191748=CARTESIAN_POINT('Ctrl Pts',(0.0415,0.0185,0.271)); #191749=CARTESIAN_POINT('Ctrl Pts',(0.0414468996214971,0.0185,0.271460203280358)); #191750=CARTESIAN_POINT('Ctrl Pts',(0.0413937992429943,0.0185,0.271920406560716)); #191751=CARTESIAN_POINT('Ctrl Pts',(0.0413406988644914,0.0185,0.272380609841074)); #191752=CARTESIAN_POINT('Ctrl Pts',(0.0413406988644915,-0.0185,0.272380609841074)); #191753=CARTESIAN_POINT('Ctrl Pts',(0.0373937992429943,-0.0184999999999999, 0.306587073227383)); #191754=CARTESIAN_POINT('Ctrl Pts',(0.0334468996214971,-0.0184999999999999, 0.340793536613691)); #191755=CARTESIAN_POINT('Ctrl Pts',(0.0295,-0.0184999999999999,0.375)); #191756=CARTESIAN_POINT('Ctrl Pts',(0.013810867233808,-0.018330364733288, 0.272380609841074)); #191757=CARTESIAN_POINT('Ctrl Pts',(0.0132569766091478,-0.0141215741594965, 0.306587073227383)); #191758=CARTESIAN_POINT('Ctrl Pts',(0.012694874475086,-0.00993033049536292, 0.340793536613691)); #191759=CARTESIAN_POINT('Ctrl Pts',(0.0121409838504259,-0.00572153992157141, 0.375)); #191760=CARTESIAN_POINT('Ctrl Pts',(-0.013759145166271,-0.0182156938216819, 0.272380609841074)); #191761=CARTESIAN_POINT('Ctrl Pts',(-0.0119187353010415,-0.0111655914429441, 0.306587073227383)); #191762=CARTESIAN_POINT('Ctrl Pts',(-0.0100852205436153,-0.00413359377115171, 0.340793536613691)); #191763=CARTESIAN_POINT('Ctrl Pts',(-0.0082448106783859,0.00291650860758614, 0.375)); #191764=CARTESIAN_POINT('Ctrl Pts',(-0.0413406988644915,-0.0181681226343572, 0.272380609841074)); #191765=CARTESIAN_POINT('Ctrl Pts',(-0.0373937992429943,-0.0099454150895714, 0.306587073227383)); #191766=CARTESIAN_POINT('Ctrl Pts',(-0.0334468996214972,-0.00172270754478563, 0.340793536613691)); #191767=CARTESIAN_POINT('Ctrl Pts',(-0.0295,0.00650000000000014,0.375)); #191768=CARTESIAN_POINT('',(-0.0413406988644914,-0.0181681226343571,0.272380609841074)); #191769=CARTESIAN_POINT('Ctrl Pts',(0.0413406988644914,-0.0185,0.272380609841074)); #191770=CARTESIAN_POINT('Ctrl Pts',(0.0328011246016412,-0.0184483017527505, 0.273676707857146)); #191771=CARTESIAN_POINT('Ctrl Pts',(0.0242081614069421,-0.0182572323274273, 0.274572553165908)); #191772=CARTESIAN_POINT('Ctrl Pts',(0.0155572364121323,-0.0180820617720595, 0.275061322891469)); #191773=CARTESIAN_POINT('Ctrl Pts',(0.010533837083123,-0.0179803441348329, 0.275345140577196)); #191774=CARTESIAN_POINT('Ctrl Pts',(0.00549141708427832,-0.0178834502226596, 0.275491697829159)); #191775=CARTESIAN_POINT('Ctrl Pts',(0.000433489595160298,-0.0178145597007817, 0.275499658750459)); #191776=CARTESIAN_POINT('Ctrl Pts',(-0.00753977497043103,-0.0177059613948204, 0.275512208264434)); #191777=CARTESIAN_POINT('Ctrl Pts',(-0.0154867196145999,-0.0176704706416074, 0.275181097808323)); #191778=CARTESIAN_POINT('Ctrl Pts',(-0.0234179765172899,-0.017758502338766, 0.274504165843481)); #191779=CARTESIAN_POINT('Ctrl Pts',(-0.0294016568778573,-0.0178249172265773, 0.273993459337166)); #191780=CARTESIAN_POINT('Ctrl Pts',(-0.0353759219954171,-0.017960608347864, 0.273285916736753)); #191781=CARTESIAN_POINT('Ctrl Pts',(-0.0413406988644915,-0.0181681226343572, 0.272380609841074)); #191782=CARTESIAN_POINT('',(-0.0415,-0.0184999999999999,0.271)); #191783=CARTESIAN_POINT('Origin',(-0.0415,-0.0184999999999999,0.271)); #191784=CARTESIAN_POINT('',(-0.0413406988644915,0.0185,0.272380609841074)); #191785=CARTESIAN_POINT('',(-0.0413406988644915,0.,0.272380609841074)); #191786=CARTESIAN_POINT('',(-0.0550733576642336,0.0185,0.153364233576642)); #191787=CARTESIAN_POINT('Origin',(0.,0.,0.)); #191788=CARTESIAN_POINT('Origin',(0.,0.0185,0.)); #191789=CARTESIAN_POINT('Origin',(0.241,0.0185,0.)); #191790=CARTESIAN_POINT('',(0.,0.,0.)); #191791=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #191792=CARTESIAN_POINT('',(-1.17682926675536,2.60068586452029,-0.603882367863335)); #191793=CARTESIAN_POINT('',(-1.22500000000001,2.56661574148175,-0.600651936948796)); #191794=CARTESIAN_POINT('Origin',(0.,3.43303188072226,8.85701204828952)); #191795=CARTESIAN_POINT('',(-1.22500000000001,2.58231696149504,-0.599278258194108)); #191796=CARTESIAN_POINT('',(-1.22500000000001,0.0716473872653076,-0.818933383798666)); #191797=CARTESIAN_POINT('',(-1.19939024308513,2.60060964500566,-0.601011531272284)); #191798=CARTESIAN_POINT('Origin',(0.,3.45731696149505,8.85913671754995)); #191799=CARTESIAN_POINT('',(-1.18711890123008,2.60861269404156,-0.601883371306821)); #191800=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.60060964500566, -0.601011531272284)); #191801=CARTESIAN_POINT('Ctrl Pts',(-1.19714122475454,2.60223153322484, -0.601159249875139)); #191802=CARTESIAN_POINT('Ctrl Pts',(-1.19468577457815,2.60395117276017, -0.601324087183839)); #191803=CARTESIAN_POINT('Ctrl Pts',(-1.1923589918416,2.60546863972783,-0.601489170324629)); #191804=CARTESIAN_POINT('Ctrl Pts',(-1.19074043754566,2.60652421859252, -0.601604005295043)); #191805=CARTESIAN_POINT('Ctrl Pts',(-1.18883756431372,2.60770813452386, -0.601743491697729)); #191806=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.60861269404156, -0.601883371306821)); #191807=CARTESIAN_POINT('',(-1.19839938939497,2.61661574307746,-0.599738721918288)); #191808=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.60861269404156, -0.601883371306821)); #191809=CARTESIAN_POINT('Ctrl Pts',(-1.18897904985633,2.60978193032092, -0.601544027825192)); #191810=CARTESIAN_POINT('Ctrl Pts',(-1.19081506879091,2.61101919124181, -0.601201237904859)); #191811=CARTESIAN_POINT('Ctrl Pts',(-1.19260671507367,2.61229029185878, -0.600860639460427)); #191812=CARTESIAN_POINT('Ctrl Pts',(-1.19456804380448,2.61368177531385, -0.60048778376834)); #191813=CARTESIAN_POINT('Ctrl Pts',(-1.19650526216533,2.61513443844915, -0.600112029096871)); #191814=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.61661574307746, -0.599738721918288)); #191815=CARTESIAN_POINT('',(-1.22500000000001,2.6365852559099,-0.594530397643919)); #191816=CARTESIAN_POINT('Origin',(0.,1.71695774874658,8.70687501597156)); #191817=CARTESIAN_POINT('',(-1.22500000000001,2.65099074417452,-0.593270080728209)); #191818=CARTESIAN_POINT('',(-1.22500000000001,0.0716473872653077,-0.818933383798666)); #191819=CARTESIAN_POINT('',(-1.17743902287238,2.61631086501895,-0.602438316974152)); #191820=CARTESIAN_POINT('Origin',(0.,1.75776157750785,8.71044488841662)); #191821=CARTESIAN_POINT('',(-1.12499999680858,2.65373464670111,-0.60564157330284)); #191822=CARTESIAN_POINT('Origin',(0.,3.45660528395841,8.85907445383341)); #191823=CARTESIAN_POINT('',(-1.12499999680858,2.63749989008543,-0.607061930461816)); #191824=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580574,-0.831449137824616)); #191825=CARTESIAN_POINT('',(-1.1606707296543,2.61219501122906,-0.60490225244724)); #191826=CARTESIAN_POINT('Origin',(0.,3.43557681089836,8.8572347008294)); #191827=CARTESIAN_POINT('',(-1.16684451033914,2.60800293792454,-0.604497978492713)); #191828=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.61219501122906,-0.60490225244724)); #191829=CARTESIAN_POINT('Ctrl Pts',(-1.16168838006361,2.61148265594255, -0.604837825170566)); #191830=CARTESIAN_POINT('Ctrl Pts',(-1.16271101026048,2.61077764213121, -0.604771966089918)); #191831=CARTESIAN_POINT('Ctrl Pts',(-1.16476903824065,2.60938021572713, -0.604637205773291)); #191832=CARTESIAN_POINT('Ctrl Pts',(-1.16580437299442,2.60868790641984, -0.604568302390173)); #191833=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.60800293792454, -0.604497978492713)); #191834=CARTESIAN_POINT('',(-1.15967987596414,2.60327732801763,-0.605805807851176)); #191835=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.60800293792454, -0.604497978492713)); #191836=CARTESIAN_POINT('Ctrl Pts',(-1.1654484715727,2.60710697274608,-0.604751183809319)); #191837=CARTESIAN_POINT('Ctrl Pts',(-1.16388973901774,2.606099453581,-0.605034151591998)); #191838=CARTESIAN_POINT('Ctrl Pts',(-1.1624999971249,2.60518281530259,-0.605287719053808)); #191839=CARTESIAN_POINT('Ctrl Pts',(-1.16164294649973,2.60461752658703, -0.605444093523621)); #191840=CARTESIAN_POINT('Ctrl Pts',(-1.16053217068243,2.60387709096754, -0.60564727248538)); #191841=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.60327732801763, -0.605805807851175)); #191842=CARTESIAN_POINT('',(-1.12499999680858,2.57797244916126,-0.61226990671139)); #191843=CARTESIAN_POINT('Origin',(0.,1.75709333061083,8.7103864243887)); #191844=CARTESIAN_POINT('',(-1.12499999680858,2.56204257060409,-0.613663590496489)); #191845=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580576,-0.831449137824617)); #191846=CARTESIAN_POINT('Origin',(0.,1.7232558082777,8.70742602478275)); #191847=CARTESIAN_POINT('Origin',(-1.22500000000001,2.65099074417452,-1.66883574169508)); #191848=CARTESIAN_POINT('',(-1.22500000000001,2.65099074417452,-0.592257674221344)); #191849=CARTESIAN_POINT('',(-1.22500000000001,2.65099074417452,-1.66883574169508)); #191850=CARTESIAN_POINT('',(-1.17743902287238,2.61631086501895,-0.601426572047504)); #191851=CARTESIAN_POINT('Origin',(0.,1.75776157750785,8.71044488841662)); #191852=CARTESIAN_POINT('',(-1.17743902287238,2.61631086501895,-1.66883574169508)); #191853=CARTESIAN_POINT('Origin',(-1.22500000000001,2.6365852559099,-1.66883574169508)); #191854=CARTESIAN_POINT('',(-1.22500000000001,2.6365852559099,-0.593517991137054)); #191855=CARTESIAN_POINT('',(-1.22500000000001,2.6365852559099,-1.66883574169508)); #191856=CARTESIAN_POINT('',(-1.22500000000001,0.0715594859928201,-0.817928667656653)); #191857=CARTESIAN_POINT('Origin',(-1.19839938939497,2.61661574307746,-1.66883574169508)); #191858=CARTESIAN_POINT('',(-1.19839938939497,2.61661574307746,-0.598726688817606)); #191859=CARTESIAN_POINT('',(-1.19839938939497,2.61661574307746,-1.66883574169508)); #191860=CARTESIAN_POINT('Origin',(0.,1.71695774874658,8.70687501597156)); #191861=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.61661574307746, -1.66883574169508)); #191862=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.61661574307746, 0.168835741695079)); #191863=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.61196635268518, -1.66883574169508)); #191864=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.61196635268518, 0.168835741695079)); #191865=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.60861269404156, -1.66883574169508)); #191866=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.60861269404156, 0.168835741695079)); #191867=CARTESIAN_POINT('',(-1.18711890123008,2.60861269404156,-0.600871493958623)); #191868=CARTESIAN_POINT('',(-1.18711890123008,2.60861269404156,-1.66883574169508)); #191869=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.61661574307746, -0.598726688817606)); #191870=CARTESIAN_POINT('Ctrl Pts',(-1.19714584380083,2.61563540613846, -0.598973763305455)); #191871=CARTESIAN_POINT('Ctrl Pts',(-1.19587554087878,2.61466926869236, -0.599221493898938)); #191872=CARTESIAN_POINT('Ctrl Pts',(-1.19459364641406,2.61372621986053, -0.599468421620711)); #191873=CARTESIAN_POINT('Ctrl Pts',(-1.19217244034235,2.6119450158532,-0.599934811729792)); #191874=CARTESIAN_POINT('Ctrl Pts',(-1.18966444104902,2.61021274764204, -0.600407080853741)); #191875=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.60861269404156, -0.600871493958623)); #191876=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.60861269404156, -1.66883574169508)); #191877=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.60861269404156, 0.168835741695079)); #191878=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.60632610860274, -1.66883574169508)); #191879=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.60632610860274, 0.168835741695079)); #191880=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.60060964500566, -1.66883574169508)); #191881=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.60060964500566, 0.168835741695079)); #191882=CARTESIAN_POINT('',(-1.19939024308513,2.60060964500566,-0.599999484416808)); #191883=CARTESIAN_POINT('',(-1.19939024308513,2.60060964500566,-1.66883574169508)); #191884=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.60861269404156, -0.600871493958623)); #191885=CARTESIAN_POINT('Ctrl Pts',(-1.18858138361934,2.60784296646827, -0.600752444448866)); #191886=CARTESIAN_POINT('Ctrl Pts',(-1.19016170626535,2.60687937311316, -0.60063493738325)); #191887=CARTESIAN_POINT('Ctrl Pts',(-1.19154935970462,2.60599170756104, -0.600535072242938)); #191888=CARTESIAN_POINT('Ctrl Pts',(-1.19413121229105,2.60434012688766, -0.600349264271355)); #191889=CARTESIAN_POINT('Ctrl Pts',(-1.19691172475349,2.60239703803329, -0.600162311315034)); #191890=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.60060964500566, -0.599999484416808)); #191891=CARTESIAN_POINT('Origin',(-1.22500000000001,2.58231696149504,-1.66883574169508)); #191892=CARTESIAN_POINT('',(-1.22500000000001,2.58231696149504,-0.598265851687243)); #191893=CARTESIAN_POINT('',(-1.22500000000001,2.58231696149504,-1.66883574169508)); #191894=CARTESIAN_POINT('Origin',(0.,3.45731696149505,8.85913671754995)); #191895=CARTESIAN_POINT('Origin',(-1.22500000000001,2.56661574148175,-1.66883574169508)); #191896=CARTESIAN_POINT('',(-1.22500000000001,2.56661574148175,-0.599639530441931)); #191897=CARTESIAN_POINT('',(-1.22500000000001,2.56661574148175,-1.66883574169508)); #191898=CARTESIAN_POINT('',(-1.22500000000001,0.07155948599282,-0.817928667656653)); #191899=CARTESIAN_POINT('Origin',(-1.17682926675536,2.60068586452029,-1.66883574169508)); #191900=CARTESIAN_POINT('',(-1.17682926675536,2.60068586452029,-0.60287063124017)); #191901=CARTESIAN_POINT('',(-1.17682926675536,2.60068586452029,-1.66883574169508)); #191902=CARTESIAN_POINT('Origin',(0.,3.43303188072226,8.85701204828952)); #191903=CARTESIAN_POINT('Origin',(-1.17743902287238,2.61631086501895,-1.66883574169508)); #191904=CARTESIAN_POINT('',(-1.12499999680858,2.65373464670111,-0.604630526041155)); #191905=CARTESIAN_POINT('Origin',(0.,3.45660528395841,8.85907445383341)); #191906=CARTESIAN_POINT('',(-1.12499999680858,2.65373464670111,-1.66883574169508)); #191907=CARTESIAN_POINT('Origin',(-1.12499999680858,2.65373464670111,-1.66883574169508)); #191908=CARTESIAN_POINT('',(-1.12499999680858,2.63749989008543,-0.606050883200131)); #191909=CARTESIAN_POINT('',(-1.12499999680858,0.072654590600794,-0.830445770602788)); #191910=CARTESIAN_POINT('',(-1.12499999680858,2.63749989008543,-1.66883574169508)); #191911=CARTESIAN_POINT('Origin',(-1.12499999680858,2.63749989008543,-1.66883574169508)); #191912=CARTESIAN_POINT('',(-1.1606707296543,2.61219501122906,-0.603890734225704)); #191913=CARTESIAN_POINT('Origin',(0.,3.43557681089836,8.8572347008294)); #191914=CARTESIAN_POINT('',(-1.1606707296543,2.61219501122906,-1.66883574169508)); #191915=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.60800293792454, -1.66883574169508)); #191916=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.60800293792454, 0.168835741695079)); #191917=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.61006086481949, -1.66883574169508)); #191918=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.61006086481949, 0.168835741695079)); #191919=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.61219501122906,-1.66883574169508)); #191920=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.61219501122906,0.168835741695079)); #191921=CARTESIAN_POINT('',(-1.16684451033914,2.60800293792454,-0.603486377198386)); #191922=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.60800293792455, -0.603486377198384)); #191923=CARTESIAN_POINT('Ctrl Pts',(-1.16588781769348,2.60863295503266, -0.603551072291512)); #191924=CARTESIAN_POINT('Ctrl Pts',(-1.16493518487251,2.60926918441294, -0.60361456578725)); #191925=CARTESIAN_POINT('Ctrl Pts',(-1.16287558589779,2.61066425145245, -0.603749812119949)); #191926=CARTESIAN_POINT('Ctrl Pts',(-1.16177025325613,2.61142534470778, -0.603821108817105)); #191927=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.61219501122906,-0.603890734225704)); #191928=CARTESIAN_POINT('',(-1.16684451033914,2.60800293792454,-1.66883574169508)); #191929=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.60327732801763, -1.66883574169508)); #191930=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.60327732801763, 0.168835741695079)); #191931=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.60472549879556, -1.66883574169508)); #191932=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.60472549879556, 0.168835741695079)); #191933=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.60800293792454, -1.66883574169508)); #191934=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.60800293792454, 0.168835741695079)); #191935=CARTESIAN_POINT('',(-1.15967987596414,2.60327732801763,-0.604794302919373)); #191936=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.60327732801763, -0.604794302919373)); #191937=CARTESIAN_POINT('Ctrl Pts',(-1.16041006828597,2.60379116705892, -0.604658470034701)); #191938=CARTESIAN_POINT('Ctrl Pts',(-1.16131816009565,2.60440004041799, -0.604492065136042)); #191939=CARTESIAN_POINT('Ctrl Pts',(-1.16205455049123,2.60488826818375, -0.604357510988117)); #191940=CARTESIAN_POINT('Ctrl Pts',(-1.16356675950951,2.60589086467983, -0.604081198318696)); #191941=CARTESIAN_POINT('Ctrl Pts',(-1.16532623933091,2.60702852518792, -0.603761772767668)); #191942=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.60800293792454, -0.603486377198384)); #191943=CARTESIAN_POINT('',(-1.15967987596414,2.60327732801763,-1.66883574169508)); #191944=CARTESIAN_POINT('Origin',(-1.15967987596414,2.60327732801763,-1.66883574169508)); #191945=CARTESIAN_POINT('',(-1.12499999680858,2.57797244916126,-0.611258859449705)); #191946=CARTESIAN_POINT('Origin',(0.,1.75709333061083,8.7103864243887)); #191947=CARTESIAN_POINT('',(-1.12499999680858,2.57797244916126,-1.66883574169508)); #191948=CARTESIAN_POINT('Origin',(-1.12499999680858,2.57797244916126,-1.66883574169508)); #191949=CARTESIAN_POINT('',(-1.12499999680858,2.56204257060409,-0.612652543234804)); #191950=CARTESIAN_POINT('',(-1.12499999680858,0.0726545906007942,-0.83044577060279)); #191951=CARTESIAN_POINT('',(-1.12499999680858,2.56204257060409,-1.66883574169508)); #191952=CARTESIAN_POINT('Origin',(-1.12499999680858,2.56204257060409,-1.66883574169508)); #191953=CARTESIAN_POINT('Origin',(0.,1.7232558082777,8.70742602478275)); #191954=CARTESIAN_POINT('Origin',(-0.989999965389191,2.07916913785878,-1.66883574169508)); #191955=CARTESIAN_POINT('',(-0.989999965389191,2.07916913785878,-0.671209836865072)); #191956=CARTESIAN_POINT('',(-0.964999966164854,2.07916913785879,-0.67382638490407)); #191957=CARTESIAN_POINT('Origin',(0.,2.0791691378588,8.73856440631886)); #191958=CARTESIAN_POINT('',(-0.989999965389191,2.07916913785878,-0.670200433742981)); #191959=CARTESIAN_POINT('',(-0.989999965389191,2.07916913785878,-1.66883574169508)); #191960=CARTESIAN_POINT('',(-0.964999966164854,2.07916913785879,-0.672817262415779)); #191961=CARTESIAN_POINT('Origin',(0.,2.0791691378588,8.73856440631886)); #191962=CARTESIAN_POINT('',(-0.964999966164854,2.07916913785879,-1.66883574169508)); #191963=CARTESIAN_POINT('Origin',(-0.989999965389191,2.10416913708312,-1.66883574169508)); #191964=CARTESIAN_POINT('',(-0.989999965389191,2.10416913708312,-0.669022620344785)); #191965=CARTESIAN_POINT('',(-0.989999965389205,0.0740708080377409,-0.846633209979177)); #191966=CARTESIAN_POINT('',(-0.989999965389191,2.10416913708312,-0.668013217222695)); #191967=CARTESIAN_POINT('',(-0.989999965389191,2.10416913708312,-1.66883574169508)); #191968=CARTESIAN_POINT('',(-0.989999965389205,0.0739831675313997,-0.845631474407857)); #191969=CARTESIAN_POINT('Origin',(-0.999999965047853,2.10416913708312,-1.66883574169508)); #191970=CARTESIAN_POINT('',(-0.999999965047853,2.10416913708312,-0.667957055251323)); #191971=CARTESIAN_POINT('Origin',(0.,2.10416913708312,8.74075162283914)); #191972=CARTESIAN_POINT('',(-0.999999965047853,2.10416913708312,-0.666947537798967)); #191973=CARTESIAN_POINT('',(-0.999999965047853,2.10416913708312,-1.66883574169508)); #191974=CARTESIAN_POINT('Origin',(0.,2.10416913708312,8.74075162283914)); #191975=CARTESIAN_POINT('Origin',(-0.964999966164854,2.07916913785879,-1.66883574169508)); #191976=CARTESIAN_POINT('',(-0.964999966164855,2.06916913820012,-0.674701271509466)); #191977=CARTESIAN_POINT('',(-0.964999966164995,0.074297987437115,-0.84922988239613)); #191978=CARTESIAN_POINT('',(-0.964999966164855,2.06916913820012,-0.673692149021175)); #191979=CARTESIAN_POINT('',(-0.964999966164995,0.0742103712965476,-0.848228425326879)); #191980=CARTESIAN_POINT('',(-0.964999966164855,2.06916913820012,-1.66883574169508)); #191981=CARTESIAN_POINT('Origin',(-0.964999966164855,2.06916913820012,-1.66883574169508)); #191982=CARTESIAN_POINT('',(-0.999999965047854,2.06916913820012,-0.671019158377005)); #191983=CARTESIAN_POINT('Origin',(0.,2.06916913820012,8.73768951971346)); #191984=CARTESIAN_POINT('',(-0.999999965047854,2.06916913820012,-0.67000964092465)); #191985=CARTESIAN_POINT('Origin',(0.,2.06916913820012,8.73768951971346)); #191986=CARTESIAN_POINT('',(-0.999999965047854,2.06916913820012,-1.66883574169508)); #191987=CARTESIAN_POINT('Origin',(-0.999999965047854,2.06916913820012,-1.66883574169508)); #191988=CARTESIAN_POINT('',(-0.999999965047874,0.0739782913194079,-0.845575739049752)); #191989=CARTESIAN_POINT('',(-0.999999965047874,0.0738906408864458,-0.844573890016636)); #191990=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #191991=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #191992=CARTESIAN_POINT('',(-0.999999965047854,2.16916913500869,-0.662270292303627)); #191993=CARTESIAN_POINT('',(-0.964999966164853,2.16916913500869,-0.665952405436088)); #191994=CARTESIAN_POINT('Origin',(0.,2.1691691350087,8.74643838578684)); #191995=CARTESIAN_POINT('',(-0.964999966164854,2.15916913535003,-0.666827292041485)); #191996=CARTESIAN_POINT('',(-0.964999966165,0.0742979874371146,-0.849229882396128)); #191997=CARTESIAN_POINT('',(-0.989999965389192,2.15916913535003,-0.664210744002487)); #191998=CARTESIAN_POINT('Origin',(0.,2.15916913535004,8.74556349918144)); #191999=CARTESIAN_POINT('',(-0.989999965389192,2.13416913612569,-0.666397960522772)); #192000=CARTESIAN_POINT('',(-0.989999965389192,0.0740708080377409,-0.846633209979178)); #192001=CARTESIAN_POINT('',(-0.999999965047853,2.13416913612569,-0.665332395429309)); #192002=CARTESIAN_POINT('Origin',(0.,2.13416913612566,8.74337628266115)); #192003=CARTESIAN_POINT('',(-0.999999965047822,0.0739782913194086,-0.845575739049758)); #192004=CARTESIAN_POINT('Origin',(-0.964999966164854,2.15916913535003,-1.66883574169508)); #192005=CARTESIAN_POINT('',(-0.964999966164854,2.15916913535003,-0.665818169553194)); #192006=CARTESIAN_POINT('',(-0.964999966164854,2.15916913535003,-1.66883574169508)); #192007=CARTESIAN_POINT('',(-0.989999965389192,2.15916913535003,-0.663201340880396)); #192008=CARTESIAN_POINT('Origin',(0.,2.15916913535004,8.74556349918144)); #192009=CARTESIAN_POINT('',(-0.989999965389192,2.15916913535003,-1.66883574169508)); #192010=CARTESIAN_POINT('Origin',(-0.964999966164853,2.16916913500869,-1.66883574169508)); #192011=CARTESIAN_POINT('',(-0.964999966164853,2.16916913500869,-0.664943282947797)); #192012=CARTESIAN_POINT('',(-0.964999966164853,2.16916913500869,-1.66883574169508)); #192013=CARTESIAN_POINT('',(-0.964999966165,0.0742103712965474,-0.848228425326879)); #192014=CARTESIAN_POINT('Origin',(-0.999999965047854,2.16916913500869,-1.66883574169508)); #192015=CARTESIAN_POINT('',(-0.999999965047854,2.16916913500869,-0.661260774851271)); #192016=CARTESIAN_POINT('',(-0.999999965047854,2.16916913500869,-1.66883574169508)); #192017=CARTESIAN_POINT('Origin',(0.,2.1691691350087,8.74643838578684)); #192018=CARTESIAN_POINT('Origin',(-0.989999965389192,2.15916913535003,-1.66883574169508)); #192019=CARTESIAN_POINT('',(-0.989999965389192,2.13416913612569,-0.665388557400681)); #192020=CARTESIAN_POINT('',(-0.989999965389192,0.0739831675313997,-0.845631474407858)); #192021=CARTESIAN_POINT('',(-0.989999965389192,2.13416913612569,-1.66883574169508)); #192022=CARTESIAN_POINT('Origin',(-0.989999965389192,2.13416913612569,-1.66883574169508)); #192023=CARTESIAN_POINT('',(-0.999999965047853,2.13416913612569,-0.664322877976954)); #192024=CARTESIAN_POINT('Origin',(0.,2.13416913612566,8.74337628266115)); #192025=CARTESIAN_POINT('',(-0.999999965047853,2.13416913612569,-1.66883574169508)); #192026=CARTESIAN_POINT('Origin',(-0.999999965047853,2.13416913612569,-1.66883574169508)); #192027=CARTESIAN_POINT('',(-0.999999965047822,0.0738906408864463,-0.844573890016641)); #192028=CARTESIAN_POINT('Origin',(-0.899999968261478,2.16916913500869,-1.66883574169508)); #192029=CARTESIAN_POINT('',(-0.899999968261478,2.16916913500869,-0.672439110331727)); #192030=CARTESIAN_POINT('',(-0.899999968261478,2.13416913612569,-0.675501213457409)); #192031=CARTESIAN_POINT('',(-0.899999968261488,0.0748611896792113,-0.855667313480325)); #192032=CARTESIAN_POINT('',(-0.899999968261478,2.16916913500869,-0.671430682892388)); #192033=CARTESIAN_POINT('',(-0.899999968261478,2.16916913500869,-1.66883574169508)); #192034=CARTESIAN_POINT('',(-0.899999968261478,2.13416913612569,-0.674492786018071)); #192035=CARTESIAN_POINT('',(-0.899999968261488,0.074773633885636,-0.85466654618035)); #192036=CARTESIAN_POINT('',(-0.899999968261478,2.13416913612569,-1.66883574169508)); #192037=CARTESIAN_POINT('Origin',(-0.934999967144477,2.16916913500869,-1.66883574169508)); #192038=CARTESIAN_POINT('',(-0.934999967144477,2.16916913500869,-0.669003010981696)); #192039=CARTESIAN_POINT('Origin',(0.,2.16916913500868,8.74643838578684)); #192040=CARTESIAN_POINT('',(-0.934999967144477,2.16916913500869,-0.667994215484402)); #192041=CARTESIAN_POINT('',(-0.934999967144477,2.16916913500869,-1.66883574169508)); #192042=CARTESIAN_POINT('Origin',(0.,2.16916913500868,8.74643838578684)); #192043=CARTESIAN_POINT('Origin',(-0.934999967144478,2.15916913535003,-1.66883574169508)); #192044=CARTESIAN_POINT('',(-0.934999967144478,2.15916913535003,-0.669877897587093)); #192045=CARTESIAN_POINT('',(-0.934999967144624,0.0745628534840025,-0.852257315165282)); #192046=CARTESIAN_POINT('',(-0.934999967144478,2.15916913535003,-0.6688691020898)); #192047=CARTESIAN_POINT('',(-0.934999967144478,2.15916913535003,-1.66883574169508)); #192048=CARTESIAN_POINT('',(-0.934999967144624,0.0744752657341307,-0.851256182603165)); #192049=CARTESIAN_POINT('Origin',(-0.899999968261478,2.13416913612569,-1.66883574169508)); #192050=CARTESIAN_POINT('',(-0.90999996792014,2.13416913612569,-0.674532972897389)); #192051=CARTESIAN_POINT('Origin',(0.,2.13416913612566,8.74337628266115)); #192052=CARTESIAN_POINT('',(-0.90999996792014,2.13416913612569,-0.673524441772095)); #192053=CARTESIAN_POINT('Origin',(0.,2.13416913612566,8.74337628266115)); #192054=CARTESIAN_POINT('',(-0.90999996792014,2.13416913612569,-1.66883574169508)); #192055=CARTESIAN_POINT('Origin',(-0.90999996792014,2.13416913612569,-1.66883574169508)); #192056=CARTESIAN_POINT('',(-0.90999996792014,2.15916913535003,-0.672345756377104)); #192057=CARTESIAN_POINT('',(-0.909999967920097,0.0747771230748164,-0.854706427795176)); #192058=CARTESIAN_POINT('',(-0.90999996792014,2.15916913535003,-0.67133722525181)); #192059=CARTESIAN_POINT('',(-0.909999967920097,0.0746895582788027,-0.853705557596859)); #192060=CARTESIAN_POINT('',(-0.90999996792014,2.15916913535003,-1.66883574169508)); #192061=CARTESIAN_POINT('Origin',(-0.90999996792014,2.15916913535003,-1.66883574169508)); #192062=CARTESIAN_POINT('Origin',(0.,2.15916913535004,8.74556349918144)); #192063=CARTESIAN_POINT('Origin',(0.,2.15916913535004,8.74556349918144)); #192064=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #192065=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #192066=CARTESIAN_POINT('',(-0.909999967920139,2.10416913708312,-0.677157632719403)); #192067=CARTESIAN_POINT('',(-0.899999968261478,2.10416913708312,-0.678125873279423)); #192068=CARTESIAN_POINT('Origin',(0.,2.10416913708312,8.74075162283914)); #192069=CARTESIAN_POINT('',(-0.899999968261477,2.06916913820012,-0.681187976405105)); #192070=CARTESIAN_POINT('',(-0.899999968261457,0.0748611896792113,-0.855667313480328)); #192071=CARTESIAN_POINT('',(-0.934999967144476,2.06916913820012,-0.677751877055074)); #192072=CARTESIAN_POINT('Origin',(0.,2.06916913820012,8.73768951971346)); #192073=CARTESIAN_POINT('',(-0.934999967144476,2.07916913785879,-0.676876990449678)); #192074=CARTESIAN_POINT('',(-0.934999967144336,0.0745628534840049,-0.85225731516531)); #192075=CARTESIAN_POINT('',(-0.909999967920139,2.07916913785878,-0.679344849239689)); #192076=CARTESIAN_POINT('Origin',(0.,2.07916913785877,8.73856440631886)); #192077=CARTESIAN_POINT('',(-0.909999967920125,0.0747771230748162,-0.854706427795174)); #192078=CARTESIAN_POINT('Origin',(-0.899999968261477,2.06916913820012,-1.66883574169508)); #192079=CARTESIAN_POINT('',(-0.899999968261477,2.06916913820012,-0.680179548965767)); #192080=CARTESIAN_POINT('',(-0.899999968261477,2.06916913820012,-1.66883574169508)); #192081=CARTESIAN_POINT('',(-0.934999967144476,2.06916913820012,-0.67674308155778)); #192082=CARTESIAN_POINT('Origin',(0.,2.06916913820012,8.73768951971346)); #192083=CARTESIAN_POINT('',(-0.934999967144476,2.06916913820012,-1.66883574169508)); #192084=CARTESIAN_POINT('Origin',(-0.899999968261477,2.10416913708312,-1.66883574169508)); #192085=CARTESIAN_POINT('',(-0.899999968261478,2.10416913708312,-0.677117445840084)); #192086=CARTESIAN_POINT('',(-0.899999968261477,2.10416913708312,-1.66883574169508)); #192087=CARTESIAN_POINT('',(-0.899999968261457,0.0747736338856361,-0.854666546180353)); #192088=CARTESIAN_POINT('Origin',(-0.909999967920139,2.10416913708312,-1.66883574169508)); #192089=CARTESIAN_POINT('',(-0.909999967920139,2.10416913708312,-0.676149101594109)); #192090=CARTESIAN_POINT('',(-0.909999967920139,2.10416913708312,-1.66883574169508)); #192091=CARTESIAN_POINT('Origin',(0.,2.10416913708312,8.74075162283914)); #192092=CARTESIAN_POINT('Origin',(-0.934999967144476,2.06916913820012,-1.66883574169508)); #192093=CARTESIAN_POINT('',(-0.934999967144476,2.07916913785879,-0.675868194952384)); #192094=CARTESIAN_POINT('',(-0.934999967144336,0.074475265734133,-0.851256182603193)); #192095=CARTESIAN_POINT('',(-0.934999967144476,2.07916913785879,-1.66883574169508)); #192096=CARTESIAN_POINT('Origin',(-0.934999967144476,2.07916913785879,-1.66883574169508)); #192097=CARTESIAN_POINT('',(-0.909999967920139,2.07916913785878,-0.678336318114396)); #192098=CARTESIAN_POINT('Origin',(0.,2.07916913785877,8.73856440631886)); #192099=CARTESIAN_POINT('',(-0.909999967920139,2.07916913785878,-1.66883574169508)); #192100=CARTESIAN_POINT('Origin',(-0.909999967920139,2.07916913785878,-1.66883574169508)); #192101=CARTESIAN_POINT('',(-0.909999967920125,0.0746895582788024,-0.853705557596855)); #192102=CARTESIAN_POINT('Origin',(-0.899999968097905,2.26977886519103,-1.66883574169508)); #192103=CARTESIAN_POINT('',(-0.899999968097905,2.26977886519103,-0.663636899516119)); #192104=CARTESIAN_POINT('',(-0.951829238044682,2.30842215910723,-0.65512061912095)); #192105=CARTESIAN_POINT('Origin',(0.,1.59874947721214,8.6965331322773)); #192106=CARTESIAN_POINT('',(-0.899999968097905,2.26977886519103,-0.662628472076783)); #192107=CARTESIAN_POINT('',(-0.899999968097905,2.26977886519103,-1.66883574169508)); #192108=CARTESIAN_POINT('',(-0.951829238044682,2.30842215910723,-0.65411164150043)); #192109=CARTESIAN_POINT('Origin',(0.,1.59874947721214,8.6965331322773)); #192110=CARTESIAN_POINT('',(-0.951829238044682,2.30842215910723,-1.66883574169508)); #192111=CARTESIAN_POINT('Origin',(-0.899999968097905,2.2857087437482,-1.66883574169508)); #192112=CARTESIAN_POINT('',(-0.899999968097905,2.2857087437482,-0.662243215731022)); #192113=CARTESIAN_POINT('',(-0.899999968097905,0.0748611896805788,-0.855667313495956)); #192114=CARTESIAN_POINT('',(-0.899999968097905,2.2857087437482,-0.661234788291686)); #192115=CARTESIAN_POINT('',(-0.899999968097905,2.2857087437482,-1.66883574169508)); #192116=CARTESIAN_POINT('',(-0.899999968097905,0.0747736338870038,-0.854666546195984)); #192117=CARTESIAN_POINT('Origin',(-0.934679847253469,2.31101362260457,-1.66883574169508)); #192118=CARTESIAN_POINT('',(-0.934679847253469,2.31101362260457,-0.656625253611353)); #192119=CARTESIAN_POINT('Origin',(0.,1.62900547032292,8.69918018867821)); #192120=CARTESIAN_POINT('',(-0.934679847253469,2.31101362260457,-0.655616461545902)); #192121=CARTESIAN_POINT('',(-0.934679847253469,2.31101362260457,-1.66883574169508)); #192122=CARTESIAN_POINT('Origin',(0.,1.62900547032292,8.69918018867821)); #192123=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.31101362260457, -1.66883574169508)); #192124=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.31101362260457, 0.168835741695079)); #192125=CARTESIAN_POINT('Ctrl Pts',(-0.936737774148415,2.31246179338249, -1.66883574169508)); #192126=CARTESIAN_POINT('Ctrl Pts',(-0.936737774148415,2.31246179338249, 0.168835741695079)); #192127=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.31573923251148, -1.66883574169508)); #192128=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.31573923251148, 0.168835741695079)); #192129=CARTESIAN_POINT('',(-0.941844481628465,2.31573923251148,-0.655492360355362)); #192130=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.31573923251148, -0.655492360355362)); #192131=CARTESIAN_POINT('Ctrl Pts',(-0.940448470627805,2.31484328515285, -0.655711470382867)); #192132=CARTESIAN_POINT('Ctrl Pts',(-0.938889680066111,2.31383572821963, -0.655956386421833)); #192133=CARTESIAN_POINT('Ctrl Pts',(-0.9374999686318,2.31291911003303,-0.656176017211981)); #192134=CARTESIAN_POINT('Ctrl Pts',(-0.936642911505389,2.31235381703074, -0.656311467010571)); #192135=CARTESIAN_POINT('Ctrl Pts',(-0.935532120857401,2.31161337069623, -0.656487529131902)); #192136=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.31101362260457, -0.656625253611353)); #192137=CARTESIAN_POINT('',(-0.941844481628465,2.31573923251148,-0.654483491191855)); #192138=CARTESIAN_POINT('',(-0.941844481628465,2.31573923251148,-1.66883574169508)); #192139=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.31101362260457, -0.655616461545902)); #192140=CARTESIAN_POINT('Ctrl Pts',(-0.935422047271963,2.31153591150647, -0.655496516623452)); #192141=CARTESIAN_POINT('Ctrl Pts',(-0.936349364512787,2.31215730307163, -0.655349261617323)); #192142=CARTESIAN_POINT('Ctrl Pts',(-0.937097715329626,2.31265319238561, -0.655230815429178)); #192143=CARTESIAN_POINT('Ctrl Pts',(-0.938598382072263,2.31364759846465, -0.654993295470166)); #192144=CARTESIAN_POINT('Ctrl Pts',(-0.940337683383612,2.31477218289165, -0.654720006039816)); #192145=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.31573923251148, -0.654483491191855)); #192146=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.31573923251148, -1.66883574169508)); #192147=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.31573923251148, 0.168835741695079)); #192148=CARTESIAN_POINT('Ctrl Pts',(-0.938719481528733,2.31779715940643, -1.66883574169508)); #192149=CARTESIAN_POINT('Ctrl Pts',(-0.938719481528733,2.31779715940643, 0.168835741695079)); #192150=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.319931305816,-1.66883574169508)); #192151=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.319931305816,0.168835741695079)); #192152=CARTESIAN_POINT('',(-0.935670700943628,2.319931305816,-0.655745888816812)); #192153=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.319931305816,-0.655745888816812)); #192154=CARTESIAN_POINT('Ctrl Pts',(-0.936688324578341,2.3192189692717, -0.655706307844606)); #192155=CARTESIAN_POINT('Ctrl Pts',(-0.937710954375304,2.31851395517062, -0.655665417039057)); #192156=CARTESIAN_POINT('Ctrl Pts',(-0.939768982182777,2.31711652888249, -0.655580907658226)); #192157=CARTESIAN_POINT('Ctrl Pts',(-0.940804316594726,2.31642421924102, -0.655537285544761)); #192158=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.31573923251148, -0.655492360355362)); #192159=CARTESIAN_POINT('',(-0.935670700943628,2.319931305816,-0.654737086124996)); #192160=CARTESIAN_POINT('',(-0.935670700943628,2.319931305816,-1.66883574169508)); #192161=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.31573923251148, -0.654483491191855)); #192162=CARTESIAN_POINT('Ctrl Pts',(-0.940848507866254,2.31639511767196, -0.654526518500374)); #192163=CARTESIAN_POINT('Ctrl Pts',(-0.939856961199491,2.31705771791414, -0.654568351114997)); #192164=CARTESIAN_POINT('Ctrl Pts',(-0.93779809649543,2.31845389785234, -0.654653105024221)); #192165=CARTESIAN_POINT('Ctrl Pts',(-0.93673167886975,2.31918862126771, -0.654695807481664)); #192166=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.319931305816,-0.654737086124996)); #192167=CARTESIAN_POINT('Origin',(-0.899999968097905,2.34523618467237,-1.66883574169508)); #192168=CARTESIAN_POINT('',(-0.899999968097905,2.34523618467237,-0.657035239481448)); #192169=CARTESIAN_POINT('Origin',(0.,2.9836977005025,8.81770040138558)); #192170=CARTESIAN_POINT('',(-0.899999968097905,2.34523618467237,-0.656026812042112)); #192171=CARTESIAN_POINT('',(-0.899999968097905,2.34523618467237,-1.66883574169508)); #192172=CARTESIAN_POINT('Origin',(0.,2.9836977005025,8.81770040138558)); #192173=CARTESIAN_POINT('Origin',(-0.899999968097905,2.36147094128805,-1.66883574169508)); #192174=CARTESIAN_POINT('',(-0.899999968097905,2.36147094128805,-0.655614882322475)); #192175=CARTESIAN_POINT('',(-0.899999968097905,0.0748611896805789,-0.855667313495957)); #192176=CARTESIAN_POINT('',(-0.899999968097905,2.36147094128805,-0.654606454883139)); #192177=CARTESIAN_POINT('',(-0.899999968097905,2.36147094128805,-1.66883574169508)); #192178=CARTESIAN_POINT('',(-0.899999968097905,0.0747736338870038,-0.854666546195985)); #192179=CARTESIAN_POINT('Origin',(-0.952438994161703,2.32404715960589,-1.66883574169508)); #192180=CARTESIAN_POINT('',(-0.952438994161703,2.32404715960589,-0.653691463883292)); #192181=CARTESIAN_POINT('Origin',(0.,3.00376743014862,8.81945627520965)); #192182=CARTESIAN_POINT('',(-0.952438994161703,2.32404715960589,-0.652682479601245)); #192183=CARTESIAN_POINT('',(-0.952438994161703,2.32404715960589,-1.66883574169508)); #192184=CARTESIAN_POINT('Origin',(0.,3.00376743014862,8.81945627520965)); #192185=CARTESIAN_POINT('Origin',(-0.951829238044682,2.30842215910723,-1.66883574169508)); #192186=CARTESIAN_POINT('',(-0.999999971289335,2.27435203606869,-0.653067980195657)); #192187=CARTESIAN_POINT('Origin',(0.,2.98163049677491,8.81751954449422)); #192188=CARTESIAN_POINT('',(-0.999999971289335,2.27435203606869,-0.652058462743232)); #192189=CARTESIAN_POINT('Origin',(0.,2.98163049677491,8.81751954449422)); #192190=CARTESIAN_POINT('',(-0.999999971289335,2.27435203606869,-1.66883574169508)); #192191=CARTESIAN_POINT('Origin',(-0.999999971289335,2.27435203606869,-1.66883574169508)); #192192=CARTESIAN_POINT('',(-0.999999971289335,2.29005325608197,-0.651694301440968)); #192193=CARTESIAN_POINT('',(-0.999999971289335,0.0739782912613707,-0.845575738386381)); #192194=CARTESIAN_POINT('',(-0.999999971289335,2.29005325608197,-0.650684783988543)); #192195=CARTESIAN_POINT('',(-0.999999971289335,0.0738906408284023,-0.844573889353194)); #192196=CARTESIAN_POINT('',(-0.999999971289335,2.29005325608197,-1.66883574169508)); #192197=CARTESIAN_POINT('Origin',(-0.999999971289335,2.29005325608197,-1.66883574169508)); #192198=CARTESIAN_POINT('',(-0.974390214374456,2.3083459395926,-0.652801143591159)); #192199=CARTESIAN_POINT('Origin',(0.,3.00433894986008,8.81950627670538)); #192200=CARTESIAN_POINT('',(-0.974390214374456,2.3083459395926,-0.651791916563026)); #192201=CARTESIAN_POINT('Origin',(0.,3.00433894986008,8.81950627670538)); #192202=CARTESIAN_POINT('',(-0.974390214374456,2.3083459395926,-1.66883574169508)); #192203=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.3163489886285,-1.66883574169508)); #192204=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.3163489886285,0.168835741695079)); #192205=CARTESIAN_POINT('Ctrl Pts',(-0.966463384853184,2.31406240318967, -1.66883574169508)); #192206=CARTESIAN_POINT('Ctrl Pts',(-0.966463384853184,2.31406240318967, 0.168835741695079)); #192207=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.3083459395926, -1.66883574169508)); #192208=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.3083459395926, 0.168835741695079)); #192209=CARTESIAN_POINT('',(-0.96211887251941,2.3163489886285,-0.653373031141789)); #192210=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.3083459395926, -0.652801143591159)); #192211=CARTESIAN_POINT('Ctrl Pts',(-0.972141380387303,2.3099676948718, -0.65289386859001)); #192212=CARTESIAN_POINT('Ctrl Pts',(-0.969685888872236,2.31168737406491, -0.652998681420654)); #192213=CARTESIAN_POINT('Ctrl Pts',(-0.967358963670174,2.31320493396308, -0.653106898758676)); #192214=CARTESIAN_POINT('Ctrl Pts',(-0.965740743265172,2.3142602950855, -0.653182156658846)); #192215=CARTESIAN_POINT('Ctrl Pts',(-0.963837733809518,2.3154443247916, -0.653275140582436)); #192216=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.3163489886285,-0.653373031141789)); #192217=CARTESIAN_POINT('',(-0.96211887251941,2.3163489886285,-0.652363940518752)); #192218=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.3163489886285,-0.652363940518753)); #192219=CARTESIAN_POINT('Ctrl Pts',(-0.963656396958837,2.31553976523933, -0.652276360262697)); #192220=CARTESIAN_POINT('Ctrl Pts',(-0.965329341171865,2.31451347439664, -0.652193378535761)); #192221=CARTESIAN_POINT('Ctrl Pts',(-0.966784546971067,2.31357708953546, -0.652124668363554)); #192222=CARTESIAN_POINT('Ctrl Pts',(-0.969293105239206,2.31196290136569, -0.652006222250509)); #192223=CARTESIAN_POINT('Ctrl Pts',(-0.971977364459064,2.31008597558928, -0.651891431341151)); #192224=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.3083459395926, -0.651791916563025)); #192225=CARTESIAN_POINT('',(-0.96211887251941,2.3163489886285,-1.66883574169508)); #192226=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.3243520376644, -1.66883574169508)); #192227=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.3243520376644, 0.168835741695079)); #192228=CARTESIAN_POINT('Ctrl Pts',(-0.967454238543343,2.31970264727212, -1.66883574169508)); #192229=CARTESIAN_POINT('Ctrl Pts',(-0.967454238543343,2.31970264727212, 0.168835741695079)); #192230=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.3163489886285,-1.66883574169508)); #192231=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.3163489886285,0.168835741695079)); #192232=CARTESIAN_POINT('',(-0.973399360684297,2.3243520376644,-0.651504109410086)); #192233=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.3163489886285,-0.653373031141789)); #192234=CARTESIAN_POINT('Ctrl Pts',(-0.963979097233438,2.31751827273446, -0.653079133571605)); #192235=CARTESIAN_POINT('Ctrl Pts',(-0.965815122994189,2.31875554470295, -0.652781214884744)); #192236=CARTESIAN_POINT('Ctrl Pts',(-0.967606684301458,2.32002658498314, -0.652484423268132)); #192237=CARTESIAN_POINT('Ctrl Pts',(-0.969568093428928,2.32141812542154, -0.65215949451274)); #192238=CARTESIAN_POINT('Ctrl Pts',(-0.97150531961445,2.32287080041747, -0.651831094111065)); #192239=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.3243520376644, -0.651504109410086)); #192240=CARTESIAN_POINT('',(-0.973399360684297,2.3243520376644,-0.65049489346225)); #192241=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.3243520376644, -0.650494893462248)); #192242=CARTESIAN_POINT('Ctrl Pts',(-0.972111313496355,2.32334471870973, -0.650717274591228)); #192243=CARTESIAN_POINT('Ctrl Pts',(-0.970805418413543,2.32235227005781, -0.650939944909889)); #192244=CARTESIAN_POINT('Ctrl Pts',(-0.96948730490869,2.32138437607425, -0.651161452523736)); #192245=CARTESIAN_POINT('Ctrl Pts',(-0.967099674170841,2.31963113296003, -0.651562691404562)); #192246=CARTESIAN_POINT('Ctrl Pts',(-0.964627753863343,2.31792599975897, -0.651967533749071)); #192247=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.3163489886285,-0.652363940518753)); #192248=CARTESIAN_POINT('',(-0.973399360684297,2.3243520376644,-1.66883574169508)); #192249=CARTESIAN_POINT('Origin',(-0.973399360684297,2.3243520376644,-1.66883574169508)); #192250=CARTESIAN_POINT('',(-0.999999971289335,2.34432155049684,-0.64694644089078)); #192251=CARTESIAN_POINT('Origin',(0.,1.59360523967217,8.69608306981007)); #192252=CARTESIAN_POINT('',(-0.999999971289335,2.34432155049684,-0.645936923438355)); #192253=CARTESIAN_POINT('Origin',(0.,1.59360523967217,8.69608306981007)); #192254=CARTESIAN_POINT('',(-0.999999971289335,2.34432155049684,-1.66883574169508)); #192255=CARTESIAN_POINT('Origin',(-0.999999971289335,2.34432155049684,-1.66883574169508)); #192256=CARTESIAN_POINT('',(-0.999999971289335,2.35872703876145,-0.64568612397507)); #192257=CARTESIAN_POINT('',(-0.999999971289335,0.0739782912613707,-0.845575738386381)); #192258=CARTESIAN_POINT('',(-0.999999971289335,2.35872703876145,-0.644676606522646)); #192259=CARTESIAN_POINT('',(-0.999999971289335,0.0738906408284024,-0.844573889353194)); #192260=CARTESIAN_POINT('',(-0.999999971289335,2.35872703876145,-1.66883574169508)); #192261=CARTESIAN_POINT('Origin',(-0.999999971289335,2.35872703876145,-1.66883574169508)); #192262=CARTESIAN_POINT('Origin',(0.,1.62956039302966,8.69922873812419)); #192263=CARTESIAN_POINT('Origin',(0.,1.62956039302966,8.69922873812419)); #192264=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #192265=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #192266=CARTESIAN_POINT('',(-0.951829238044682,2.4016386254968,-0.646965235057916)); #192267=CARTESIAN_POINT('',(-0.999999971289335,2.36756850245826,-0.644912596132624)); #192268=CARTESIAN_POINT('Origin',(0.,3.07484696316448,8.82567492855725)); #192269=CARTESIAN_POINT('',(-0.999999971289335,2.38326972247155,-0.643538917377935)); #192270=CARTESIAN_POINT('',(-0.999999971289284,0.0739782912613713,-0.845575738386388)); #192271=CARTESIAN_POINT('',(-0.974390214374456,2.40156240598217,-0.644645759528126)); #192272=CARTESIAN_POINT('Origin',(0.,3.09755541624964,8.82766166076841)); #192273=CARTESIAN_POINT('',(-0.96211887251941,2.40956545501807,-0.645217647078756)); #192274=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.40156240598217, -0.644645759528126)); #192275=CARTESIAN_POINT('Ctrl Pts',(-0.972141380387314,2.40318416126136, -0.644738484526977)); #192276=CARTESIAN_POINT('Ctrl Pts',(-0.969685888872238,2.40490384045448, -0.644843297357621)); #192277=CARTESIAN_POINT('Ctrl Pts',(-0.967358963670174,2.40642140035265, -0.644951514695643)); #192278=CARTESIAN_POINT('Ctrl Pts',(-0.96574074326517,2.40747676147507, -0.645026772595813)); #192279=CARTESIAN_POINT('Ctrl Pts',(-0.963837733809517,2.40866079118118, -0.645119756519404)); #192280=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.40956545501807, -0.645217647078756)); #192281=CARTESIAN_POINT('',(-0.973399360684297,2.41756850405397,-0.643348725347053)); #192282=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.40956545501807, -0.645217647078756)); #192283=CARTESIAN_POINT('Ctrl Pts',(-0.963979097233438,2.41073473912403, -0.644923749508572)); #192284=CARTESIAN_POINT('Ctrl Pts',(-0.96581512299419,2.41197201109253, -0.644625830821711)); #192285=CARTESIAN_POINT('Ctrl Pts',(-0.967606684301458,2.41324305137271, -0.644329039205099)); #192286=CARTESIAN_POINT('Ctrl Pts',(-0.969568093428928,2.41463459181111, -0.644004110449707)); #192287=CARTESIAN_POINT('Ctrl Pts',(-0.971505319614451,2.41608726680704, -0.643675710048032)); #192288=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.41756850405397, -0.643348725347053)); #192289=CARTESIAN_POINT('',(-0.999999971289335,2.43753801688641,-0.638791056827747)); #192290=CARTESIAN_POINT('Origin',(0.,1.68682170606176,8.70423845387311)); #192291=CARTESIAN_POINT('',(-0.999999971289335,2.45194350515103,-0.637530739912037)); #192292=CARTESIAN_POINT('',(-0.999999971289335,0.0739782912613707,-0.845575738386381)); #192293=CARTESIAN_POINT('',(-0.952438994161703,2.41726362599546,-0.645536079820259)); #192294=CARTESIAN_POINT('Origin',(0.,1.72277685941923,8.70738412218722)); #192295=CARTESIAN_POINT('',(-0.899999968097905,2.45468740767762,-0.64745949825944)); #192296=CARTESIAN_POINT('Origin',(0.,3.09698389653819,8.82761165927268)); #192297=CARTESIAN_POINT('',(-0.899999968097905,2.43845265106194,-0.648879855418415)); #192298=CARTESIAN_POINT('',(-0.899999968097905,0.074861189680579,-0.855667313495956)); #192299=CARTESIAN_POINT('',(-0.935670700943628,2.41314777220557,-0.64759050475378)); #192300=CARTESIAN_POINT('Origin',(0.,3.07691416689207,8.82585578544861)); #192301=CARTESIAN_POINT('',(-0.941844481628465,2.40895569890105,-0.647336976292329)); #192302=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.41314777220557, -0.64759050475378)); #192303=CARTESIAN_POINT('Ctrl Pts',(-0.936688324578349,2.41243543566127, -0.647550923781574)); #192304=CARTESIAN_POINT('Ctrl Pts',(-0.937710954375317,2.41173042156019, -0.647510032976024)); #192305=CARTESIAN_POINT('Ctrl Pts',(-0.939768982182733,2.41033299527209, -0.647425523595195)); #192306=CARTESIAN_POINT('Ctrl Pts',(-0.940804316594655,2.40964068563064, -0.647381901481731)); #192307=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.40895569890105, -0.647336976292329)); #192308=CARTESIAN_POINT('',(-0.934679847253469,2.40423008899414,-0.648469869548319)); #192309=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.40895569890105, -0.647336976292329)); #192310=CARTESIAN_POINT('Ctrl Pts',(-0.940448470627782,2.40805975154241, -0.647556086319838)); #192311=CARTESIAN_POINT('Ctrl Pts',(-0.938889680066109,2.4070521946092, -0.6478010023588)); #192312=CARTESIAN_POINT('Ctrl Pts',(-0.9374999686318,2.40613557642261,-0.648020633148947)); #192313=CARTESIAN_POINT('Ctrl Pts',(-0.936642911505401,2.40557028342032, -0.648156082947536)); #192314=CARTESIAN_POINT('Ctrl Pts',(-0.935532120857403,2.4048298370858, -0.648332145068867)); #192315=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.40423008899414, -0.648469869548319)); #192316=CARTESIAN_POINT('',(-0.899999968097905,2.37892521013777,-0.654087831667989)); #192317=CARTESIAN_POINT('Origin',(0.,1.7222219367125,8.70733557274125)); #192318=CARTESIAN_POINT('',(-0.899999968097905,2.3629953315806,-0.655481515453086)); #192319=CARTESIAN_POINT('',(-0.899999968097905,0.0748611896805788,-0.855667313495956)); #192320=CARTESIAN_POINT('Origin',(0.,1.69196594360173,8.70468851634034)); #192321=CARTESIAN_POINT('Origin',(-0.999999971289335,2.45194350515103,-1.66883574169508)); #192322=CARTESIAN_POINT('',(-0.999999971289335,2.45194350515103,-0.636521222459613)); #192323=CARTESIAN_POINT('',(-0.999999971289335,2.45194350515103,-1.66883574169508)); #192324=CARTESIAN_POINT('',(-0.952438994161703,2.41726362599546,-0.644527095538213)); #192325=CARTESIAN_POINT('Origin',(0.,1.72277685941923,8.70738412218722)); #192326=CARTESIAN_POINT('',(-0.952438994161703,2.41726362599546,-1.66883574169508)); #192327=CARTESIAN_POINT('Origin',(-0.999999971289335,2.43753801688641,-1.66883574169508)); #192328=CARTESIAN_POINT('',(-0.999999971289335,2.43753801688641,-0.637781539375323)); #192329=CARTESIAN_POINT('',(-0.999999971289335,2.43753801688641,-1.66883574169508)); #192330=CARTESIAN_POINT('',(-0.999999971289335,0.0738906408284024,-0.844573889353194)); #192331=CARTESIAN_POINT('Origin',(-0.973399360684297,2.41756850405397,-1.66883574169508)); #192332=CARTESIAN_POINT('',(-0.973399360684297,2.41756850405397,-0.642339509399217)); #192333=CARTESIAN_POINT('',(-0.973399360684297,2.41756850405397,-1.66883574169508)); #192334=CARTESIAN_POINT('Origin',(0.,1.68682170606176,8.70423845387311)); #192335=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.41756850405397, -1.66883574169508)); #192336=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.41756850405397, 0.168835741695079)); #192337=CARTESIAN_POINT('Ctrl Pts',(-0.967454238543343,2.41291911366169, -1.66883574169508)); #192338=CARTESIAN_POINT('Ctrl Pts',(-0.967454238543343,2.41291911366169, 0.168835741695079)); #192339=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.40956545501807, -1.66883574169508)); #192340=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.40956545501807, 0.168835741695079)); #192341=CARTESIAN_POINT('',(-0.96211887251941,2.40956545501807,-0.64420855645572)); #192342=CARTESIAN_POINT('',(-0.96211887251941,2.40956545501807,-1.66883574169508)); #192343=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.41756850405397, -0.642339509399215)); #192344=CARTESIAN_POINT('Ctrl Pts',(-0.972111313496378,2.41656118509932, -0.642561890528191)); #192345=CARTESIAN_POINT('Ctrl Pts',(-0.970805418413554,2.41556873644739, -0.642784560846854)); #192346=CARTESIAN_POINT('Ctrl Pts',(-0.969487304908691,2.41460084246382, -0.643006068460703)); #192347=CARTESIAN_POINT('Ctrl Pts',(-0.967099674170841,2.4128475993496, -0.643407307341529)); #192348=CARTESIAN_POINT('Ctrl Pts',(-0.964627753863343,2.41114246614855, -0.643812149686038)); #192349=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.40956545501807, -0.64420855645572)); #192350=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.40956545501807, -1.66883574169508)); #192351=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.40956545501807, 0.168835741695079)); #192352=CARTESIAN_POINT('Ctrl Pts',(-0.966463384853184,2.40727886957925, -1.66883574169508)); #192353=CARTESIAN_POINT('Ctrl Pts',(-0.966463384853184,2.40727886957925, 0.168835741695079)); #192354=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.40156240598217, -1.66883574169508)); #192355=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.40156240598217, 0.168835741695079)); #192356=CARTESIAN_POINT('',(-0.974390214374456,2.40156240598217,-0.643636532499993)); #192357=CARTESIAN_POINT('',(-0.974390214374456,2.40156240598217,-1.66883574169508)); #192358=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.40956545501807, -0.64420855645572)); #192359=CARTESIAN_POINT('Ctrl Pts',(-0.963656396958834,2.4087562316289, -0.644120976199664)); #192360=CARTESIAN_POINT('Ctrl Pts',(-0.965329341171847,2.40772994078622, -0.644037994472729)); #192361=CARTESIAN_POINT('Ctrl Pts',(-0.966784546971068,2.40679355592503, -0.643969284300521)); #192362=CARTESIAN_POINT('Ctrl Pts',(-0.969293105239208,2.40517936775526, -0.643850838187476)); #192363=CARTESIAN_POINT('Ctrl Pts',(-0.971977364459073,2.40330244197885, -0.643736047278118)); #192364=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.40156240598217, -0.643636532499992)); #192365=CARTESIAN_POINT('Origin',(-0.999999971289335,2.38326972247155,-1.66883574169508)); #192366=CARTESIAN_POINT('',(-0.999999971289335,2.38326972247155,-0.64252939992551)); #192367=CARTESIAN_POINT('',(-0.999999971289335,2.38326972247155,-1.66883574169508)); #192368=CARTESIAN_POINT('Origin',(0.,3.09755541624964,8.82766166076841)); #192369=CARTESIAN_POINT('Origin',(-0.999999971289335,2.36756850245826,-1.66883574169508)); #192370=CARTESIAN_POINT('',(-0.999999971289335,2.36756850245826,-0.643903078680199)); #192371=CARTESIAN_POINT('',(-0.999999971289335,2.36756850245826,-1.66883574169508)); #192372=CARTESIAN_POINT('',(-0.999999971289284,0.0738906408284029,-0.8445738893532)); #192373=CARTESIAN_POINT('Origin',(-0.951829238044682,2.4016386254968,-1.66883574169508)); #192374=CARTESIAN_POINT('',(-0.951829238044682,2.4016386254968,-0.645956257437395)); #192375=CARTESIAN_POINT('',(-0.951829238044682,2.4016386254968,-1.66883574169508)); #192376=CARTESIAN_POINT('Origin',(0.,3.07484696316448,8.82567492855725)); #192377=CARTESIAN_POINT('Origin',(-0.952438994161703,2.41726362599546,-1.66883574169508)); #192378=CARTESIAN_POINT('',(-0.899999968097905,2.45468740767762,-0.646451070820105)); #192379=CARTESIAN_POINT('Origin',(0.,3.09698389653819,8.82761165927268)); #192380=CARTESIAN_POINT('',(-0.899999968097905,2.45468740767762,-1.66883574169508)); #192381=CARTESIAN_POINT('Origin',(-0.899999968097905,2.45468740767762,-1.66883574169508)); #192382=CARTESIAN_POINT('',(-0.899999968097905,2.43845265106194,-0.647871427979079)); #192383=CARTESIAN_POINT('',(-0.899999968097905,0.0747736338870039,-0.854666546195984)); #192384=CARTESIAN_POINT('',(-0.899999968097905,2.43845265106194,-1.66883574169508)); #192385=CARTESIAN_POINT('Origin',(-0.899999968097905,2.43845265106194,-1.66883574169508)); #192386=CARTESIAN_POINT('',(-0.935670700943628,2.41314777220557,-0.646581702061964)); #192387=CARTESIAN_POINT('Origin',(0.,3.07691416689207,8.82585578544861)); #192388=CARTESIAN_POINT('',(-0.935670700943628,2.41314777220557,-1.66883574169508)); #192389=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.40895569890105, -1.66883574169508)); #192390=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.40895569890105, 0.168835741695079)); #192391=CARTESIAN_POINT('Ctrl Pts',(-0.938719481528733,2.411013625796,-1.66883574169508)); #192392=CARTESIAN_POINT('Ctrl Pts',(-0.938719481528733,2.411013625796,0.168835741695079)); #192393=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.41314777220557, -1.66883574169508)); #192394=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.41314777220557, 0.168835741695079)); #192395=CARTESIAN_POINT('',(-0.941844481628465,2.40895569890105,-0.646328107128822)); #192396=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.40895569890105, -0.646328107128822)); #192397=CARTESIAN_POINT('Ctrl Pts',(-0.940848507866218,2.40961158406156, -0.646371134437342)); #192398=CARTESIAN_POINT('Ctrl Pts',(-0.93985696119947,2.41027418430373, -0.646412967051965)); #192399=CARTESIAN_POINT('Ctrl Pts',(-0.937798096495454,2.4116703642419, -0.646497720961187)); #192400=CARTESIAN_POINT('Ctrl Pts',(-0.936731678869762,2.41240508765728, -0.646540423418632)); #192401=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.41314777220557, -0.646581702061964)); #192402=CARTESIAN_POINT('',(-0.941844481628465,2.40895569890105,-1.66883574169508)); #192403=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.40423008899414, -1.66883574169508)); #192404=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.40423008899414, 0.168835741695079)); #192405=CARTESIAN_POINT('Ctrl Pts',(-0.936737774148415,2.40567825977207, -1.66883574169508)); #192406=CARTESIAN_POINT('Ctrl Pts',(-0.936737774148415,2.40567825977207, 0.168835741695079)); #192407=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.40895569890105, -1.66883574169508)); #192408=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.40895569890105, 0.168835741695079)); #192409=CARTESIAN_POINT('',(-0.934679847253469,2.40423008899414,-0.647461077482868)); #192410=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.40423008899414, -0.647461077482868)); #192411=CARTESIAN_POINT('Ctrl Pts',(-0.935422047271964,2.40475237789604, -0.647341132560417)); #192412=CARTESIAN_POINT('Ctrl Pts',(-0.936349364512784,2.4053737694612, -0.647193877554291)); #192413=CARTESIAN_POINT('Ctrl Pts',(-0.937097715329627,2.40586965877518, -0.647075431366145)); #192414=CARTESIAN_POINT('Ctrl Pts',(-0.938598382072262,2.40686406485422, -0.646837911407133)); #192415=CARTESIAN_POINT('Ctrl Pts',(-0.940337683383598,2.40798864928121, -0.646564621976785)); #192416=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.40895569890105, -0.646328107128822)); #192417=CARTESIAN_POINT('',(-0.934679847253469,2.40423008899414,-1.66883574169508)); #192418=CARTESIAN_POINT('Origin',(-0.934679847253469,2.40423008899414,-1.66883574169508)); #192419=CARTESIAN_POINT('',(-0.899999968097905,2.37892521013777,-0.653079404228653)); #192420=CARTESIAN_POINT('Origin',(0.,1.7222219367125,8.70733557274125)); #192421=CARTESIAN_POINT('',(-0.899999968097905,2.37892521013777,-1.66883574169508)); #192422=CARTESIAN_POINT('Origin',(-0.899999968097905,2.37892521013777,-1.66883574169508)); #192423=CARTESIAN_POINT('',(-0.899999968097905,2.3629953315806,-0.65447308801375)); #192424=CARTESIAN_POINT('',(-0.899999968097905,0.0747736338870038,-0.854666546195984)); #192425=CARTESIAN_POINT('',(-0.899999968097905,2.3629953315806,-1.66883574169508)); #192426=CARTESIAN_POINT('Origin',(-0.899999968097905,2.3629953315806,-1.66883574169508)); #192427=CARTESIAN_POINT('Origin',(0.,1.69196594360173,8.70468851634034)); #192428=CARTESIAN_POINT('Origin',(-0.899999968097905,2.49500753091563,-1.66883574169508)); #192429=CARTESIAN_POINT('',(-0.899999968097905,2.49500753091563,-0.643931944564147)); #192430=CARTESIAN_POINT('',(-0.951829238044682,2.53365082483183,-0.635415664168978)); #192431=CARTESIAN_POINT('Origin',(0.,1.82397814293675,8.71623808722928)); #192432=CARTESIAN_POINT('',(-0.899999968097905,2.49500753091563,-0.642923517124811)); #192433=CARTESIAN_POINT('',(-0.899999968097905,2.49500753091563,-1.66883574169508)); #192434=CARTESIAN_POINT('',(-0.951829238044682,2.53365082483183,-0.634406686548457)); #192435=CARTESIAN_POINT('Origin',(0.,1.82397814293675,8.71623808722928)); #192436=CARTESIAN_POINT('',(-0.951829238044682,2.53365082483183,-1.66883574169508)); #192437=CARTESIAN_POINT('Origin',(-0.899999968097905,2.5109374094728,-1.66883574169508)); #192438=CARTESIAN_POINT('',(-0.899999968097905,2.5109374094728,-0.642538260779051)); #192439=CARTESIAN_POINT('',(-0.899999968097905,0.0748611896805788,-0.855667313495957)); #192440=CARTESIAN_POINT('',(-0.899999968097905,2.5109374094728,-0.641529833339715)); #192441=CARTESIAN_POINT('',(-0.899999968097905,2.5109374094728,-1.66883574169508)); #192442=CARTESIAN_POINT('',(-0.899999968097905,0.0747736338870038,-0.854666546195985)); #192443=CARTESIAN_POINT('Origin',(-0.934679847253469,2.53624228832917,-1.66883574169508)); #192444=CARTESIAN_POINT('',(-0.934679847253469,2.53624228832917,-0.636920298659381)); #192445=CARTESIAN_POINT('Origin',(0.,1.85423413604752,8.71888514363019)); #192446=CARTESIAN_POINT('',(-0.934679847253469,2.53624228832917,-0.63591150659393)); #192447=CARTESIAN_POINT('',(-0.934679847253469,2.53624228832917,-1.66883574169508)); #192448=CARTESIAN_POINT('Origin',(0.,1.85423413604752,8.71888514363019)); #192449=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.53624228832917, -1.66883574169508)); #192450=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.53624228832917, 0.168835741695079)); #192451=CARTESIAN_POINT('Ctrl Pts',(-0.936737774148415,2.53769045910709, -1.66883574169508)); #192452=CARTESIAN_POINT('Ctrl Pts',(-0.936737774148415,2.53769045910709, 0.168835741695079)); #192453=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.54096789823608, -1.66883574169508)); #192454=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.54096789823608, 0.168835741695079)); #192455=CARTESIAN_POINT('',(-0.941844481628465,2.54096789823608,-0.63578740540339)); #192456=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.54096789823608, -0.63578740540339)); #192457=CARTESIAN_POINT('Ctrl Pts',(-0.940448470627784,2.54007195087743, -0.636006515430898)); #192458=CARTESIAN_POINT('Ctrl Pts',(-0.938889680066109,2.53906439394423, -0.63625143146986)); #192459=CARTESIAN_POINT('Ctrl Pts',(-0.9374999686318,2.53814777575763,-0.636471062260008)); #192460=CARTESIAN_POINT('Ctrl Pts',(-0.936642911505401,2.53758248275535, -0.636606512058597)); #192461=CARTESIAN_POINT('Ctrl Pts',(-0.935532120857403,2.53684203642083, -0.636782574179929)); #192462=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.53624228832917, -0.636920298659381)); #192463=CARTESIAN_POINT('',(-0.941844481628465,2.54096789823608,-0.634778536239883)); #192464=CARTESIAN_POINT('',(-0.941844481628465,2.54096789823608,-1.66883574169508)); #192465=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.53624228832917, -0.63591150659393)); #192466=CARTESIAN_POINT('Ctrl Pts',(-0.935422047271965,2.53676457723107, -0.635791561671479)); #192467=CARTESIAN_POINT('Ctrl Pts',(-0.936349364512798,2.53738596879624, -0.635644306665349)); #192468=CARTESIAN_POINT('Ctrl Pts',(-0.937097715329626,2.53788185811021, -0.635525860477205)); #192469=CARTESIAN_POINT('Ctrl Pts',(-0.938598382072261,2.53887626418925, -0.635288340518194)); #192470=CARTESIAN_POINT('Ctrl Pts',(-0.940337683383591,2.54000084861624, -0.635015051087847)); #192471=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.54096789823608, -0.634778536239883)); #192472=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.54096789823608, -1.66883574169508)); #192473=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.54096789823608, 0.168835741695079)); #192474=CARTESIAN_POINT('Ctrl Pts',(-0.938719481528733,2.54302582513103, -1.66883574169508)); #192475=CARTESIAN_POINT('Ctrl Pts',(-0.938719481528733,2.54302582513103, 0.168835741695079)); #192476=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.5451599715406, -1.66883574169508)); #192477=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.5451599715406, 0.168835741695079)); #192478=CARTESIAN_POINT('',(-0.935670700943628,2.5451599715406,-0.636040933864839)); #192479=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.5451599715406, -0.636040933864839)); #192480=CARTESIAN_POINT('Ctrl Pts',(-0.936688324578335,2.54444763499631, -0.636001352892633)); #192481=CARTESIAN_POINT('Ctrl Pts',(-0.937710954375288,2.54374262089523, -0.635960462087086)); #192482=CARTESIAN_POINT('Ctrl Pts',(-0.939768982182734,2.54234519460712, -0.635875952706256)); #192483=CARTESIAN_POINT('Ctrl Pts',(-0.940804316594658,2.54165288496566, -0.635832330592792)); #192484=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.54096789823608, -0.63578740540339)); #192485=CARTESIAN_POINT('',(-0.935670700943628,2.5451599715406,-0.635032131173023)); #192486=CARTESIAN_POINT('',(-0.935670700943628,2.5451599715406,-1.66883574169508)); #192487=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.54096789823608, -0.634778536239883)); #192488=CARTESIAN_POINT('Ctrl Pts',(-0.940848507866252,2.54162378339656, -0.634821563548401)); #192489=CARTESIAN_POINT('Ctrl Pts',(-0.939856961199488,2.54228638363874, -0.634863396163024)); #192490=CARTESIAN_POINT('Ctrl Pts',(-0.93779809649542,2.54368256357695, -0.634948150072249)); #192491=CARTESIAN_POINT('Ctrl Pts',(-0.936731678869744,2.54441728699232, -0.634990852529692)); #192492=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.5451599715406, -0.635032131173023)); #192493=CARTESIAN_POINT('Origin',(-0.899999968097905,2.57046485039697,-1.66883574169508)); #192494=CARTESIAN_POINT('',(-0.899999968097905,2.57046485039697,-0.637330284529476)); #192495=CARTESIAN_POINT('Origin',(0.,3.2089263662271,8.83740535633755)); #192496=CARTESIAN_POINT('',(-0.899999968097905,2.57046485039697,-0.63632185709014)); #192497=CARTESIAN_POINT('',(-0.899999968097905,2.57046485039697,-1.66883574169508)); #192498=CARTESIAN_POINT('Origin',(0.,3.2089263662271,8.83740535633755)); #192499=CARTESIAN_POINT('Origin',(-0.899999968097905,2.58669960701265,-1.66883574169508)); #192500=CARTESIAN_POINT('',(-0.899999968097905,2.58669960701265,-0.635909927370501)); #192501=CARTESIAN_POINT('',(-0.899999968097905,0.0748611896805788,-0.855667313495956)); #192502=CARTESIAN_POINT('',(-0.899999968097905,2.58669960701265,-0.634901499931165)); #192503=CARTESIAN_POINT('',(-0.899999968097905,2.58669960701265,-1.66883574169508)); #192504=CARTESIAN_POINT('',(-0.899999968097905,0.0747736338870037,-0.854666546195984)); #192505=CARTESIAN_POINT('Origin',(-0.952438994161703,2.54927582533049,-1.66883574169508)); #192506=CARTESIAN_POINT('',(-0.952438994161703,2.54927582533049,-0.63398650893132)); #192507=CARTESIAN_POINT('Origin',(0.,3.22899609587322,8.83916123016162)); #192508=CARTESIAN_POINT('',(-0.952438994161703,2.54927582533049,-0.632977524649273)); #192509=CARTESIAN_POINT('',(-0.952438994161703,2.54927582533049,-1.66883574169508)); #192510=CARTESIAN_POINT('Origin',(0.,3.22899609587322,8.83916123016162)); #192511=CARTESIAN_POINT('Origin',(-0.951829238044682,2.53365082483183,-1.66883574169508)); #192512=CARTESIAN_POINT('',(-0.999999971289335,2.49958070179329,-0.633363025243684)); #192513=CARTESIAN_POINT('Origin',(0.,3.2068591624995,8.83722449944619)); #192514=CARTESIAN_POINT('',(-0.999999971289335,2.49958070179329,-0.63235350779126)); #192515=CARTESIAN_POINT('Origin',(0.,3.2068591624995,8.83722449944619)); #192516=CARTESIAN_POINT('',(-0.999999971289335,2.49958070179329,-1.66883574169508)); #192517=CARTESIAN_POINT('Origin',(-0.999999971289335,2.49958070179329,-1.66883574169508)); #192518=CARTESIAN_POINT('',(-0.999999971289335,2.51528192180657,-0.631989346488995)); #192519=CARTESIAN_POINT('',(-0.999999971289335,0.0739782912613706,-0.845575738386381)); #192520=CARTESIAN_POINT('',(-0.999999971289335,2.51528192180657,-0.630979829036571)); #192521=CARTESIAN_POINT('',(-0.999999971289335,0.0738906408284022,-0.844573889353194)); #192522=CARTESIAN_POINT('',(-0.999999971289335,2.51528192180657,-1.66883574169508)); #192523=CARTESIAN_POINT('Origin',(-0.999999971289335,2.51528192180657,-1.66883574169508)); #192524=CARTESIAN_POINT('',(-0.974390214374456,2.5335746053172,-0.633096188639187)); #192525=CARTESIAN_POINT('Origin',(0.,3.22956761558466,8.83921123165735)); #192526=CARTESIAN_POINT('',(-0.974390214374456,2.5335746053172,-0.632086961611053)); #192527=CARTESIAN_POINT('Origin',(0.,3.22956761558466,8.83921123165735)); #192528=CARTESIAN_POINT('',(-0.974390214374456,2.5335746053172,-1.66883574169508)); #192529=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.5415776543531,-1.66883574169508)); #192530=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.5415776543531,0.168835741695079)); #192531=CARTESIAN_POINT('Ctrl Pts',(-0.966463384853184,2.53929106891427, -1.66883574169508)); #192532=CARTESIAN_POINT('Ctrl Pts',(-0.966463384853184,2.53929106891427, 0.168835741695079)); #192533=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.5335746053172, -1.66883574169508)); #192534=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.5335746053172, 0.168835741695079)); #192535=CARTESIAN_POINT('',(-0.96211887251941,2.5415776543531,-0.633668076189816)); #192536=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.5335746053172, -0.633096188639187)); #192537=CARTESIAN_POINT('Ctrl Pts',(-0.972141380387314,2.53519636059639, -0.633188913638037)); #192538=CARTESIAN_POINT('Ctrl Pts',(-0.969685888872238,2.53691603978951, -0.633293726468682)); #192539=CARTESIAN_POINT('Ctrl Pts',(-0.967358963670174,2.53843359968768, -0.633401943806703)); #192540=CARTESIAN_POINT('Ctrl Pts',(-0.96574074326517,2.5394889608101,-0.633477201706874)); #192541=CARTESIAN_POINT('Ctrl Pts',(-0.963837733809517,2.5406729905162, -0.633570185630464)); #192542=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.5415776543531,-0.633668076189816)); #192543=CARTESIAN_POINT('',(-0.96211887251941,2.5415776543531,-0.63265898556678)); #192544=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.5415776543531,-0.63265898556678)); #192545=CARTESIAN_POINT('Ctrl Pts',(-0.963656396958835,2.54076843096393, -0.632571405310724)); #192546=CARTESIAN_POINT('Ctrl Pts',(-0.965329341171851,2.53974214012124, -0.632488423583789)); #192547=CARTESIAN_POINT('Ctrl Pts',(-0.966784546971067,2.53880575526006, -0.632419713411581)); #192548=CARTESIAN_POINT('Ctrl Pts',(-0.969293105239208,2.53719156709029, -0.632301267298537)); #192549=CARTESIAN_POINT('Ctrl Pts',(-0.971977364459073,2.53531464131387, -0.632186476389179)); #192550=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.5335746053172, -0.632086961611052)); #192551=CARTESIAN_POINT('',(-0.96211887251941,2.5415776543531,-1.66883574169508)); #192552=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.549580703389,-1.66883574169508)); #192553=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.549580703389,0.168835741695079)); #192554=CARTESIAN_POINT('Ctrl Pts',(-0.967454238543343,2.54493131299672, -1.66883574169508)); #192555=CARTESIAN_POINT('Ctrl Pts',(-0.967454238543343,2.54493131299672, 0.168835741695079)); #192556=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.5415776543531,-1.66883574169508)); #192557=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.5415776543531,0.168835741695079)); #192558=CARTESIAN_POINT('',(-0.973399360684297,2.549580703389,-0.631799154458111)); #192559=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.5415776543531,-0.633668076189816)); #192560=CARTESIAN_POINT('Ctrl Pts',(-0.963979097233436,2.54274693845906, -0.633374178619633)); #192561=CARTESIAN_POINT('Ctrl Pts',(-0.965815122994184,2.54398421042755, -0.633076259932773)); #192562=CARTESIAN_POINT('Ctrl Pts',(-0.967606684301458,2.54525525070774, -0.63277946831616)); #192563=CARTESIAN_POINT('Ctrl Pts',(-0.969568093428922,2.54664679114613, -0.632454539560768)); #192564=CARTESIAN_POINT('Ctrl Pts',(-0.971505319614441,2.54809946614206, -0.632126139159092)); #192565=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.549580703389,-0.631799154458111)); #192566=CARTESIAN_POINT('',(-0.973399360684297,2.549580703389,-0.630789938510275)); #192567=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.549580703389,-0.630789938510275)); #192568=CARTESIAN_POINT('Ctrl Pts',(-0.972111313496348,2.54857338443432, -0.631012319639256)); #192569=CARTESIAN_POINT('Ctrl Pts',(-0.97080541841354,2.54758093578241, -0.631234989957917)); #192570=CARTESIAN_POINT('Ctrl Pts',(-0.969487304908692,2.54661304179885, -0.631456497571763)); #192571=CARTESIAN_POINT('Ctrl Pts',(-0.967099674170843,2.54485979868463, -0.631857736452589)); #192572=CARTESIAN_POINT('Ctrl Pts',(-0.964627753863344,2.54315466548357, -0.632262578797098)); #192573=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.5415776543531,-0.63265898556678)); #192574=CARTESIAN_POINT('',(-0.973399360684297,2.549580703389,-1.66883574169508)); #192575=CARTESIAN_POINT('Origin',(-0.973399360684297,2.549580703389,-1.66883574169508)); #192576=CARTESIAN_POINT('',(-0.999999971289335,2.56955021622144,-0.627241485938808)); #192577=CARTESIAN_POINT('Origin',(0.,1.81883390539679,8.71578802476204)); #192578=CARTESIAN_POINT('',(-0.999999971289335,2.56955021622144,-0.626231968486383)); #192579=CARTESIAN_POINT('Origin',(0.,1.81883390539679,8.71578802476204)); #192580=CARTESIAN_POINT('',(-0.999999971289335,2.56955021622144,-1.66883574169508)); #192581=CARTESIAN_POINT('Origin',(-0.999999971289335,2.56955021622144,-1.66883574169508)); #192582=CARTESIAN_POINT('',(-0.999999971289335,2.58395570448606,-0.625981169023099)); #192583=CARTESIAN_POINT('',(-0.999999971289335,0.0739782912613707,-0.845575738386381)); #192584=CARTESIAN_POINT('',(-0.999999971289335,2.58395570448606,-0.624971651570675)); #192585=CARTESIAN_POINT('',(-0.999999971289335,0.0738906408284023,-0.844573889353194)); #192586=CARTESIAN_POINT('',(-0.999999971289335,2.58395570448606,-1.66883574169508)); #192587=CARTESIAN_POINT('Origin',(-0.999999971289335,2.58395570448606,-1.66883574169508)); #192588=CARTESIAN_POINT('Origin',(0.,1.85478905875425,8.71893369307616)); #192589=CARTESIAN_POINT('Origin',(0.,1.85478905875425,8.71893369307616)); #192590=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #192591=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #192592=CARTESIAN_POINT('',(-0.951829238044682,2.6268672912214,-0.627260280105945)); #192593=CARTESIAN_POINT('',(-0.999999971289335,2.59279716818286,-0.625207641180652)); #192594=CARTESIAN_POINT('Origin',(0.,3.30007562888907,8.84537988350922)); #192595=CARTESIAN_POINT('',(-0.999999971289335,2.60849838819615,-0.623833962425963)); #192596=CARTESIAN_POINT('',(-0.999999971289335,0.0739782912613704,-0.845575738386381)); #192597=CARTESIAN_POINT('',(-0.974390214374456,2.62679107170677,-0.624940804576154)); #192598=CARTESIAN_POINT('Origin',(0.,3.32278408197424,8.84736661572038)); #192599=CARTESIAN_POINT('',(-0.96211887251941,2.63479412074267,-0.625512692126783)); #192600=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.62679107170677, -0.624940804576154)); #192601=CARTESIAN_POINT('Ctrl Pts',(-0.972141380387314,2.62841282698596, -0.625033529575004)); #192602=CARTESIAN_POINT('Ctrl Pts',(-0.969685888872238,2.63013250617908, -0.625138342405649)); #192603=CARTESIAN_POINT('Ctrl Pts',(-0.967358963670174,2.63165006607725, -0.62524655974367)); #192604=CARTESIAN_POINT('Ctrl Pts',(-0.965740743265166,2.63270542719967, -0.625321817643841)); #192605=CARTESIAN_POINT('Ctrl Pts',(-0.963837733809517,2.63388945690578, -0.625414801567431)); #192606=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.63479412074267, -0.625512692126783)); #192607=CARTESIAN_POINT('',(-0.973399360684297,2.64279716977857,-0.623643770395079)); #192608=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.63479412074267, -0.625512692126783)); #192609=CARTESIAN_POINT('Ctrl Pts',(-0.963979097233434,2.63596340484863, -0.6252187945566)); #192610=CARTESIAN_POINT('Ctrl Pts',(-0.96581512299418,2.63720067681712, -0.624920875869741)); #192611=CARTESIAN_POINT('Ctrl Pts',(-0.967606684301458,2.63847171709731, -0.624624084253127)); #192612=CARTESIAN_POINT('Ctrl Pts',(-0.969568093428925,2.63986325753571, -0.624299155497735)); #192613=CARTESIAN_POINT('Ctrl Pts',(-0.971505319614449,2.64131593253164, -0.623970755096059)); #192614=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.64279716977857, -0.623643770395079)); #192615=CARTESIAN_POINT('',(-0.999999971289335,2.66276668261101,-0.619086101875775)); #192616=CARTESIAN_POINT('Origin',(0.,1.91205037178637,8.72394340882508)); #192617=CARTESIAN_POINT('',(-0.999999971289335,2.67717217087563,-0.617825784960066)); #192618=CARTESIAN_POINT('',(-0.999999971289335,0.0739782912613703,-0.845575738386381)); #192619=CARTESIAN_POINT('',(-0.952438994161703,2.64249229172006,-0.625831124868287)); #192620=CARTESIAN_POINT('Origin',(0.,1.94800552514382,8.72708907713919)); #192621=CARTESIAN_POINT('',(-0.899999968097905,2.67991607340222,-0.627754543307468)); #192622=CARTESIAN_POINT('Origin',(0.,3.32221256226279,8.84731661422465)); #192623=CARTESIAN_POINT('',(-0.899999968097905,2.66368131678654,-0.629174900466443)); #192624=CARTESIAN_POINT('',(-0.899999968097905,0.0748611896805789,-0.855667313495956)); #192625=CARTESIAN_POINT('',(-0.935670700943628,2.63837643793017,-0.627885549801807)); #192626=CARTESIAN_POINT('Origin',(0.,3.30214283261667,8.84556074040059)); #192627=CARTESIAN_POINT('',(-0.941844481628465,2.63418436462565,-0.627632021340357)); #192628=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.63837643793017, -0.627885549801807)); #192629=CARTESIAN_POINT('Ctrl Pts',(-0.936688324578336,2.63766410138588, -0.627845968829601)); #192630=CARTESIAN_POINT('Ctrl Pts',(-0.937710954375292,2.6369590872848, -0.627805078024053)); #192631=CARTESIAN_POINT('Ctrl Pts',(-0.939768982182744,2.63556166099668, -0.627720568643222)); #192632=CARTESIAN_POINT('Ctrl Pts',(-0.940804316594675,2.63486935135522, -0.627676946529758)); #192633=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.63418436462565, -0.627632021340357)); #192634=CARTESIAN_POINT('',(-0.934679847253469,2.62945875471874,-0.628764914596348)); #192635=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.63418436462565, -0.627632021340357)); #192636=CARTESIAN_POINT('Ctrl Pts',(-0.940448470627804,2.63328841726702, -0.627851131367862)); #192637=CARTESIAN_POINT('Ctrl Pts',(-0.938889680066111,2.6322808603338, -0.628096047406827)); #192638=CARTESIAN_POINT('Ctrl Pts',(-0.9374999686318,2.6313642421472,-0.628315678196975)); #192639=CARTESIAN_POINT('Ctrl Pts',(-0.936642911505389,2.63079894914491, -0.628451127995565)); #192640=CARTESIAN_POINT('Ctrl Pts',(-0.935532120857402,2.6300585028104, -0.628627190116896)); #192641=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.62945875471874, -0.628764914596348)); #192642=CARTESIAN_POINT('',(-0.899999968097905,2.60415387586237,-0.634382876716017)); #192643=CARTESIAN_POINT('Origin',(0.,1.94745060243709,8.72704052769322)); #192644=CARTESIAN_POINT('',(-0.899999968097905,2.5882239973052,-0.635776560501114)); #192645=CARTESIAN_POINT('',(-0.899999968097905,0.0748611896805788,-0.855667313495956)); #192646=CARTESIAN_POINT('Origin',(0.,1.91719460932633,8.72439347129231)); #192647=CARTESIAN_POINT('Origin',(-0.999999971289335,2.67717217087563,-1.66883574169508)); #192648=CARTESIAN_POINT('',(-0.999999971289335,2.67717217087563,-0.616816267507642)); #192649=CARTESIAN_POINT('',(-0.999999971289335,2.67717217087563,-1.66883574169508)); #192650=CARTESIAN_POINT('',(-0.952438994161703,2.64249229172006,-0.62482214058624)); #192651=CARTESIAN_POINT('Origin',(0.,1.94800552514382,8.72708907713919)); #192652=CARTESIAN_POINT('',(-0.952438994161703,2.64249229172006,-1.66883574169508)); #192653=CARTESIAN_POINT('Origin',(-0.999999971289335,2.66276668261101,-1.66883574169508)); #192654=CARTESIAN_POINT('',(-0.999999971289335,2.66276668261101,-0.61807658442335)); #192655=CARTESIAN_POINT('',(-0.999999971289335,2.66276668261101,-1.66883574169508)); #192656=CARTESIAN_POINT('',(-0.999999971289335,0.073890640828402,-0.844573889353194)); #192657=CARTESIAN_POINT('Origin',(-0.973399360684297,2.64279716977857,-1.66883574169508)); #192658=CARTESIAN_POINT('',(-0.973399360684297,2.64279716977857,-0.622634554447243)); #192659=CARTESIAN_POINT('',(-0.973399360684297,2.64279716977857,-1.66883574169508)); #192660=CARTESIAN_POINT('Origin',(0.,1.91205037178637,8.72394340882508)); #192661=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.64279716977857, -1.66883574169508)); #192662=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.64279716977857, 0.168835741695079)); #192663=CARTESIAN_POINT('Ctrl Pts',(-0.967454238543343,2.63814777938629, -1.66883574169508)); #192664=CARTESIAN_POINT('Ctrl Pts',(-0.967454238543343,2.63814777938629, 0.168835741695079)); #192665=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.63479412074267, -1.66883574169508)); #192666=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.63479412074267, 0.168835741695079)); #192667=CARTESIAN_POINT('',(-0.96211887251941,2.63479412074267,-0.624503601503747)); #192668=CARTESIAN_POINT('',(-0.96211887251941,2.63479412074267,-1.66883574169508)); #192669=CARTESIAN_POINT('Ctrl Pts',(-0.973399360684297,2.64279716977857, -0.622634554447242)); #192670=CARTESIAN_POINT('Ctrl Pts',(-0.972111313496363,2.64178985082391, -0.62285693557622)); #192671=CARTESIAN_POINT('Ctrl Pts',(-0.970805418413547,2.64079740217199, -0.623079605894883)); #192672=CARTESIAN_POINT('Ctrl Pts',(-0.969487304908691,2.63982950818842, -0.62330111350873)); #192673=CARTESIAN_POINT('Ctrl Pts',(-0.96709967417084,2.6380762650742,-0.623702352389557)); #192674=CARTESIAN_POINT('Ctrl Pts',(-0.964627753863343,2.63637113187315, -0.624107194734066)); #192675=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.63479412074267, -0.624503601503748)); #192676=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.63479412074267, -1.66883574169508)); #192677=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.63479412074267, 0.168835741695079)); #192678=CARTESIAN_POINT('Ctrl Pts',(-0.966463384853184,2.63250753530385, -1.66883574169508)); #192679=CARTESIAN_POINT('Ctrl Pts',(-0.966463384853184,2.63250753530385, 0.168835741695079)); #192680=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.62679107170677, -1.66883574169508)); #192681=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.62679107170677, 0.168835741695079)); #192682=CARTESIAN_POINT('',(-0.974390214374456,2.62679107170677,-0.623931577548021)); #192683=CARTESIAN_POINT('',(-0.974390214374456,2.62679107170677,-1.66883574169508)); #192684=CARTESIAN_POINT('Ctrl Pts',(-0.96211887251941,2.63479412074267, -0.624503601503748)); #192685=CARTESIAN_POINT('Ctrl Pts',(-0.963656396958835,2.6339848973535, -0.624416021247692)); #192686=CARTESIAN_POINT('Ctrl Pts',(-0.965329341171851,2.63295860651082, -0.624333039520756)); #192687=CARTESIAN_POINT('Ctrl Pts',(-0.966784546971068,2.63202222164963, -0.624264329348548)); #192688=CARTESIAN_POINT('Ctrl Pts',(-0.969293105239208,2.63040803347986, -0.624145883235504)); #192689=CARTESIAN_POINT('Ctrl Pts',(-0.971977364459067,2.62853110770345, -0.624031092326145)); #192690=CARTESIAN_POINT('Ctrl Pts',(-0.974390214374456,2.62679107170677, -0.623931577548019)); #192691=CARTESIAN_POINT('Origin',(-0.999999971289335,2.60849838819615,-1.66883574169508)); #192692=CARTESIAN_POINT('',(-0.999999971289335,2.60849838819615,-0.622824444973538)); #192693=CARTESIAN_POINT('',(-0.999999971289335,2.60849838819615,-1.66883574169508)); #192694=CARTESIAN_POINT('Origin',(0.,3.32278408197424,8.84736661572038)); #192695=CARTESIAN_POINT('Origin',(-0.999999971289335,2.59279716818286,-1.66883574169508)); #192696=CARTESIAN_POINT('',(-0.999999971289335,2.59279716818286,-0.624198123728227)); #192697=CARTESIAN_POINT('',(-0.999999971289335,2.59279716818286,-1.66883574169508)); #192698=CARTESIAN_POINT('',(-0.999999971289335,0.073890640828402,-0.844573889353194)); #192699=CARTESIAN_POINT('Origin',(-0.951829238044682,2.6268672912214,-1.66883574169508)); #192700=CARTESIAN_POINT('',(-0.951829238044682,2.6268672912214,-0.626251302485424)); #192701=CARTESIAN_POINT('',(-0.951829238044682,2.6268672912214,-1.66883574169508)); #192702=CARTESIAN_POINT('Origin',(0.,3.30007562888907,8.84537988350922)); #192703=CARTESIAN_POINT('Origin',(-0.952438994161703,2.64249229172006,-1.66883574169508)); #192704=CARTESIAN_POINT('',(-0.899999968097905,2.67991607340222,-0.626746115868133)); #192705=CARTESIAN_POINT('Origin',(0.,3.32221256226279,8.84731661422465)); #192706=CARTESIAN_POINT('',(-0.899999968097905,2.67991607340222,-1.66883574169508)); #192707=CARTESIAN_POINT('Origin',(-0.899999968097905,2.67991607340222,-1.66883574169508)); #192708=CARTESIAN_POINT('',(-0.899999968097905,2.66368131678654,-0.628166473027107)); #192709=CARTESIAN_POINT('',(-0.899999968097905,0.0747736338870038,-0.854666546195984)); #192710=CARTESIAN_POINT('',(-0.899999968097905,2.66368131678654,-1.66883574169508)); #192711=CARTESIAN_POINT('Origin',(-0.899999968097905,2.66368131678654,-1.66883574169508)); #192712=CARTESIAN_POINT('',(-0.935670700943628,2.63837643793017,-0.626876747109991)); #192713=CARTESIAN_POINT('Origin',(0.,3.30214283261667,8.84556074040059)); #192714=CARTESIAN_POINT('',(-0.935670700943628,2.63837643793017,-1.66883574169508)); #192715=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.63418436462565, -1.66883574169508)); #192716=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.63418436462565, 0.168835741695079)); #192717=CARTESIAN_POINT('Ctrl Pts',(-0.938719481528733,2.6362422915206, -1.66883574169508)); #192718=CARTESIAN_POINT('Ctrl Pts',(-0.938719481528733,2.6362422915206, 0.168835741695079)); #192719=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.63837643793017, -1.66883574169508)); #192720=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.63837643793017, 0.168835741695079)); #192721=CARTESIAN_POINT('',(-0.941844481628465,2.63418436462565,-0.62662315217685)); #192722=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.63418436462565, -0.62662315217685)); #192723=CARTESIAN_POINT('Ctrl Pts',(-0.940848507866222,2.63484024978615, -0.62666617948537)); #192724=CARTESIAN_POINT('Ctrl Pts',(-0.939856961199469,2.63550285002833, -0.626708012099992)); #192725=CARTESIAN_POINT('Ctrl Pts',(-0.937798096495416,2.63689902996652, -0.626792766009216)); #192726=CARTESIAN_POINT('Ctrl Pts',(-0.936731678869742,2.63763375338189, -0.626835468466659)); #192727=CARTESIAN_POINT('Ctrl Pts',(-0.935670700943628,2.63837643793017, -0.626876747109991)); #192728=CARTESIAN_POINT('',(-0.941844481628465,2.63418436462565,-1.66883574169508)); #192729=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.62945875471874, -1.66883574169508)); #192730=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.62945875471874, 0.168835741695079)); #192731=CARTESIAN_POINT('Ctrl Pts',(-0.936737774148415,2.63090692549667, -1.66883574169508)); #192732=CARTESIAN_POINT('Ctrl Pts',(-0.936737774148415,2.63090692549667, 0.168835741695079)); #192733=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.63418436462565, -1.66883574169508)); #192734=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.63418436462565, 0.168835741695079)); #192735=CARTESIAN_POINT('',(-0.934679847253469,2.62945875471874,-0.627756122530897)); #192736=CARTESIAN_POINT('Ctrl Pts',(-0.934679847253469,2.62945875471874, -0.627756122530897)); #192737=CARTESIAN_POINT('Ctrl Pts',(-0.935422047271968,2.62998104362065, -0.627636177608446)); #192738=CARTESIAN_POINT('Ctrl Pts',(-0.936349364512837,2.63060243518584, -0.62748892260231)); #192739=CARTESIAN_POINT('Ctrl Pts',(-0.937097715329626,2.63109832449978, -0.627370476414173)); #192740=CARTESIAN_POINT('Ctrl Pts',(-0.938598382072263,2.63209273057882, -0.627132956455161)); #192741=CARTESIAN_POINT('Ctrl Pts',(-0.940337683383606,2.63321731500582, -0.626859667024812)); #192742=CARTESIAN_POINT('Ctrl Pts',(-0.941844481628465,2.63418436462565, -0.62662315217685)); #192743=CARTESIAN_POINT('',(-0.934679847253469,2.62945875471874,-1.66883574169508)); #192744=CARTESIAN_POINT('Origin',(-0.934679847253469,2.62945875471874,-1.66883574169508)); #192745=CARTESIAN_POINT('',(-0.899999968097905,2.60415387586237,-0.633374449276681)); #192746=CARTESIAN_POINT('Origin',(0.,1.94745060243709,8.72704052769322)); #192747=CARTESIAN_POINT('',(-0.899999968097905,2.60415387586237,-1.66883574169508)); #192748=CARTESIAN_POINT('Origin',(-0.899999968097905,2.60415387586237,-1.66883574169508)); #192749=CARTESIAN_POINT('',(-0.899999968097905,2.5882239973052,-0.634768133061778)); #192750=CARTESIAN_POINT('',(-0.899999968097905,0.0747736338870037,-0.854666546195984)); #192751=CARTESIAN_POINT('',(-0.899999968097905,2.5882239973052,-1.66883574169508)); #192752=CARTESIAN_POINT('Origin',(-0.899999968097905,2.5882239973052,-1.66883574169508)); #192753=CARTESIAN_POINT('Origin',(0.,1.91719460932633,8.72439347129231)); #192754=CARTESIAN_POINT('Origin',(-1.12499999680858,2.09596023865623,-1.66883574169508)); #192755=CARTESIAN_POINT('',(-1.12499999680858,2.09596023865623,-0.654440510811652)); #192756=CARTESIAN_POINT('',(-1.17682926675535,2.13460353257243,-0.644659288178499)); #192757=CARTESIAN_POINT('Origin',(0.,1.25717347632984,8.66664910446758)); #192758=CARTESIAN_POINT('',(-1.12499999680858,2.09596023865623,-0.653429463549966)); #192759=CARTESIAN_POINT('',(-1.12499999680858,2.09596023865623,-1.66883574169508)); #192760=CARTESIAN_POINT('',(-1.17682926675535,2.13460353257243,-0.643647551555335)); #192761=CARTESIAN_POINT('Origin',(0.,1.25717347632984,8.66664910446758)); #192762=CARTESIAN_POINT('',(-1.17682926675535,2.13460353257243,-1.66883574169508)); #192763=CARTESIAN_POINT('Origin',(-1.12499999680858,2.1118901172134,-1.66883574169508)); #192764=CARTESIAN_POINT('',(-1.12499999680858,2.1118901172134,-0.653046827026554)); #192765=CARTESIAN_POINT('',(-1.12499999680853,0.0727423738580579,-0.831449137824623)); #192766=CARTESIAN_POINT('',(-1.12499999680858,2.1118901172134,-0.652035779764869)); #192767=CARTESIAN_POINT('',(-1.12499999680858,2.1118901172134,-1.66883574169508)); #192768=CARTESIAN_POINT('',(-1.12499999680853,0.0726545906007945,-0.830445770602795)); #192769=CARTESIAN_POINT('Origin',(-1.15967987596414,2.13719499606977,-1.66883574169508)); #192770=CARTESIAN_POINT('',(-1.15967987596414,2.13719499606977,-0.64658272816634)); #192771=CARTESIAN_POINT('Origin',(0.,1.29101099866297,8.66960950407354)); #192772=CARTESIAN_POINT('',(-1.15967987596414,2.13719499606977,-0.645571223234537)); #192773=CARTESIAN_POINT('',(-1.15967987596414,2.13719499606977,-1.66883574169508)); #192774=CARTESIAN_POINT('Origin',(0.,1.29101099866297,8.66960950407354)); #192775=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.13719499606977, -1.66883574169508)); #192776=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.13719499606977, 0.168835741695079)); #192777=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.1386431668477,-1.66883574169508)); #192778=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.1386431668477,0.168835741695079)); #192779=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.14192060597668, -1.66883574169508)); #192780=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.14192060597668, 0.168835741695079)); #192781=CARTESIAN_POINT('',(-1.16684451033914,2.14192060597668,-0.645274898807877)); #192782=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.14192060597668, -0.645274898807877)); #192783=CARTESIAN_POINT('Ctrl Pts',(-1.16544847157272,2.14102464079824, -0.645528104124479)); #192784=CARTESIAN_POINT('Ctrl Pts',(-1.16388973901774,2.14001712163314, -0.645811071907162)); #192785=CARTESIAN_POINT('Ctrl Pts',(-1.1624999971249,2.13910048335473,-0.646064639368973)); #192786=CARTESIAN_POINT('Ctrl Pts',(-1.16164294649973,2.13853519463917, -0.646221013838784)); #192787=CARTESIAN_POINT('Ctrl Pts',(-1.16053217068243,2.13779475901968, -0.646424192800545)); #192788=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.13719499606977, -0.64658272816634)); #192789=CARTESIAN_POINT('',(-1.16684451033914,2.14192060597668,-0.64426329751355)); #192790=CARTESIAN_POINT('',(-1.16684451033914,2.14192060597668,-1.66883574169508)); #192791=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.13719499606977, -0.645571223234537)); #192792=CARTESIAN_POINT('Ctrl Pts',(-1.16041006828596,2.13770883511105, -0.645435390349866)); #192793=CARTESIAN_POINT('Ctrl Pts',(-1.16131816009561,2.13831770847011, -0.645268985451214)); #192794=CARTESIAN_POINT('Ctrl Pts',(-1.16205455049123,2.13880593623589, -0.645134431303281)); #192795=CARTESIAN_POINT('Ctrl Pts',(-1.16356675950951,2.13980853273197, -0.644858118633861)); #192796=CARTESIAN_POINT('Ctrl Pts',(-1.16532623933092,2.14094619324007, -0.644538693082831)); #192797=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.14192060597668, -0.644263297513549)); #192798=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.14192060597668, -1.66883574169508)); #192799=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.14192060597668, 0.168835741695079)); #192800=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.14397853287163, -1.66883574169508)); #192801=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.14397853287163, 0.168835741695079)); #192802=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.1461126792812,-1.66883574169508)); #192803=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.1461126792812,0.168835741695079)); #192804=CARTESIAN_POINT('',(-1.1606707296543,2.1461126792812,-0.645679172762403)); #192805=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.1461126792812,-0.645679172762403)); #192806=CARTESIAN_POINT('Ctrl Pts',(-1.1616883800636,2.1454003239947,-0.64561474548573)); #192807=CARTESIAN_POINT('Ctrl Pts',(-1.16271101026045,2.14469531018336, -0.645548886405084)); #192808=CARTESIAN_POINT('Ctrl Pts',(-1.16476903824068,2.14329788377924, -0.645414126088453)); #192809=CARTESIAN_POINT('Ctrl Pts',(-1.16580437299448,2.14260557447195, -0.645345222705334)); #192810=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.14192060597668, -0.645274898807877)); #192811=CARTESIAN_POINT('',(-1.1606707296543,2.1461126792812,-0.644667654540867)); #192812=CARTESIAN_POINT('',(-1.1606707296543,2.1461126792812,-1.66883574169508)); #192813=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.14192060597668, -0.644263297513549)); #192814=CARTESIAN_POINT('Ctrl Pts',(-1.1658878176934,2.14255062308485,-0.644327992606681)); #192815=CARTESIAN_POINT('Ctrl Pts',(-1.16493518487247,2.14318685246511, -0.644391486102417)); #192816=CARTESIAN_POINT('Ctrl Pts',(-1.16287558589776,2.14458191950461, -0.644526732435116)); #192817=CARTESIAN_POINT('Ctrl Pts',(-1.16177025325612,2.14534301275993, -0.644598029132269)); #192818=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.1461126792812,-0.644667654540867)); #192819=CARTESIAN_POINT('Origin',(-1.12499999680858,2.17141755813757,-1.66883574169508)); #192820=CARTESIAN_POINT('',(-1.12499999680858,2.17141755813757,-0.647838850776981)); #192821=CARTESIAN_POINT('Origin',(0.,2.96949447895049,8.81645778051423)); #192822=CARTESIAN_POINT('',(-1.12499999680858,2.17141755813757,-0.646827803515296)); #192823=CARTESIAN_POINT('',(-1.12499999680858,2.17141755813757,-1.66883574169508)); #192824=CARTESIAN_POINT('Origin',(0.,2.96949447895049,8.81645778051423)); #192825=CARTESIAN_POINT('Origin',(-1.12499999680858,2.18765231475325,-1.66883574169508)); #192826=CARTESIAN_POINT('',(-1.12499999680858,2.18765231475325,-0.646418493618005)); #192827=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580575,-0.831449137824616)); #192828=CARTESIAN_POINT('',(-1.12499999680858,2.18765231475325,-0.645407446356319)); #192829=CARTESIAN_POINT('',(-1.12499999680858,2.18765231475325,-1.66883574169508)); #192830=CARTESIAN_POINT('',(-1.12499999680858,0.0726545906007941,-0.830445770602788)); #192831=CARTESIAN_POINT('Origin',(-1.17743902287238,2.15022853307109,-1.66883574169508)); #192832=CARTESIAN_POINT('',(-1.17743902287238,2.15022853307109,-0.643215237289316)); #192833=CARTESIAN_POINT('Origin',(0.,2.99052295201054,8.81829753351825)); #192834=CARTESIAN_POINT('',(-1.17743902287238,2.15022853307109,-0.642203492362669)); #192835=CARTESIAN_POINT('',(-1.17743902287238,2.15022853307109,-1.66883574169508)); #192836=CARTESIAN_POINT('Origin',(0.,2.99052295201054,8.81829753351825)); #192837=CARTESIAN_POINT('Origin',(-1.17682926675535,2.13460353257243,-1.66883574169508)); #192838=CARTESIAN_POINT('',(-1.22500000000001,2.10053340953389,-0.641428857263963)); #192839=CARTESIAN_POINT('Origin',(0.,2.96694954877441,8.81623512797436)); #192840=CARTESIAN_POINT('',(-1.22500000000001,2.10053340953389,-0.640416450757096)); #192841=CARTESIAN_POINT('Origin',(0.,2.96694954877441,8.81623512797436)); #192842=CARTESIAN_POINT('',(-1.22500000000001,2.10053340953389,-1.66883574169508)); #192843=CARTESIAN_POINT('Origin',(-1.22500000000001,2.10053340953389,-1.66883574169508)); #192844=CARTESIAN_POINT('',(-1.22500000000001,2.11623462954718,-0.640055178509274)); #192845=CARTESIAN_POINT('',(-1.22499999999996,0.0716473872653082,-0.818933383798673)); #192846=CARTESIAN_POINT('',(-1.22500000000001,2.11623462954718,-0.639042772002407)); #192847=CARTESIAN_POINT('',(-1.22499999999996,0.0715594859928207,-0.81792866765666)); #192848=CARTESIAN_POINT('',(-1.22500000000001,2.11623462954718,-1.66883574169508)); #192849=CARTESIAN_POINT('Origin',(-1.22500000000001,2.11623462954718,-1.66883574169508)); #192850=CARTESIAN_POINT('',(-1.19939024308513,2.1345273130578,-0.641788451587448)); #192851=CARTESIAN_POINT('Origin',(0.,2.99123462954718,8.81835979723479)); #192852=CARTESIAN_POINT('',(-1.19939024308513,2.1345273130578,-0.640776404731973)); #192853=CARTESIAN_POINT('Origin',(0.,2.99123462954718,8.81835979723479)); #192854=CARTESIAN_POINT('',(-1.19939024308513,2.1345273130578,-1.66883574169508)); #192855=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.1425303620937,-1.66883574169508)); #192856=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.1425303620937,0.168835741695079)); #192857=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.14024377665487, -1.66883574169508)); #192858=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.14024377665487, 0.168835741695079)); #192859=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.1345273130578,-1.66883574169508)); #192860=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.1345273130578,0.168835741695079)); #192861=CARTESIAN_POINT('',(-1.18711890123008,2.1425303620937,-0.642660291621985)); #192862=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.1345273130578,-0.641788451587448)); #192863=CARTESIAN_POINT('Ctrl Pts',(-1.19714122475453,2.13614920127699, -0.641936170190304)); #192864=CARTESIAN_POINT('Ctrl Pts',(-1.19468577457815,2.13786884081231, -0.642101007499005)); #192865=CARTESIAN_POINT('Ctrl Pts',(-1.1923589918416,2.13938630777997,-0.642266090639794)); #192866=CARTESIAN_POINT('Ctrl Pts',(-1.19074043754567,2.14044188664466, -0.642380925610208)); #192867=CARTESIAN_POINT('Ctrl Pts',(-1.18883756431372,2.141625802576,-0.642520412012893)); #192868=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.1425303620937,-0.642660291621985)); #192869=CARTESIAN_POINT('',(-1.18711890123008,2.1425303620937,-0.641648414273788)); #192870=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.1425303620937,-0.641648414273788)); #192871=CARTESIAN_POINT('Ctrl Pts',(-1.18858138361934,2.14176063452041, -0.64152936476403)); #192872=CARTESIAN_POINT('Ctrl Pts',(-1.19016170626535,2.1407970411653,-0.641411857698414)); #192873=CARTESIAN_POINT('Ctrl Pts',(-1.19154935970462,2.13990937561317, -0.641311992558102)); #192874=CARTESIAN_POINT('Ctrl Pts',(-1.19413121229105,2.1382577949398,-0.64112618458652)); #192875=CARTESIAN_POINT('Ctrl Pts',(-1.19691172475349,2.13631470608543, -0.640939231630198)); #192876=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.1345273130578,-0.640776404731973)); #192877=CARTESIAN_POINT('',(-1.18711890123008,2.1425303620937,-1.66883574169508)); #192878=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.1505334111296,-1.66883574169508)); #192879=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.1505334111296,0.168835741695079)); #192880=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.14588402073732, -1.66883574169508)); #192881=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.14588402073732, 0.168835741695079)); #192882=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.1425303620937,-1.66883574169508)); #192883=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.1425303620937,0.168835741695079)); #192884=CARTESIAN_POINT('',(-1.19839938939497,2.1505334111296,-0.640515642233453)); #192885=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.1425303620937,-0.642660291621985)); #192886=CARTESIAN_POINT('Ctrl Pts',(-1.18897904985633,2.14369959837306, -0.642320948140356)); #192887=CARTESIAN_POINT('Ctrl Pts',(-1.19081506879091,2.14493685929395, -0.641978158220024)); #192888=CARTESIAN_POINT('Ctrl Pts',(-1.19260671507367,2.14620795991092, -0.641637559775591)); #192889=CARTESIAN_POINT('Ctrl Pts',(-1.19456804380447,2.14759944336598, -0.641264704083507)); #192890=CARTESIAN_POINT('Ctrl Pts',(-1.1965052621653,2.14905210650127,-0.640888949412043)); #192891=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.1505334111296,-0.640515642233453)); #192892=CARTESIAN_POINT('',(-1.19839938939497,2.1505334111296,-0.639503609132772)); #192893=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.1505334111296,-0.639503609132772)); #192894=CARTESIAN_POINT('Ctrl Pts',(-1.19714584380079,2.14955307419057, -0.639750683620628)); #192895=CARTESIAN_POINT('Ctrl Pts',(-1.19587554087876,2.14858693674448, -0.639998414214106)); #192896=CARTESIAN_POINT('Ctrl Pts',(-1.19459364641406,2.14764388791267, -0.640245341935876)); #192897=CARTESIAN_POINT('Ctrl Pts',(-1.19217244034235,2.14586268390534, -0.640711732044958)); #192898=CARTESIAN_POINT('Ctrl Pts',(-1.18966444104902,2.14413041569418, -0.641184001168906)); #192899=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.1425303620937,-0.641648414273788)); #192900=CARTESIAN_POINT('',(-1.19839938939497,2.1505334111296,-1.66883574169508)); #192901=CARTESIAN_POINT('Origin',(-1.19839938939497,2.1505334111296,-1.66883574169508)); #192902=CARTESIAN_POINT('',(-1.22500000000001,2.17050292396204,-0.635307317959086)); #192903=CARTESIAN_POINT('Origin',(0.,1.25087541679871,8.6660980956564)); #192904=CARTESIAN_POINT('',(-1.22500000000001,2.17050292396204,-0.63429491145222)); #192905=CARTESIAN_POINT('Origin',(0.,1.25087541679871,8.6660980956564)); #192906=CARTESIAN_POINT('',(-1.22500000000001,2.17050292396204,-1.66883574169508)); #192907=CARTESIAN_POINT('Origin',(-1.22500000000001,2.17050292396204,-1.66883574169508)); #192908=CARTESIAN_POINT('',(-1.22500000000001,2.18490841222666,-0.634047001043375)); #192909=CARTESIAN_POINT('',(-1.22500000000001,0.071647387265308,-0.818933383798669)); #192910=CARTESIAN_POINT('',(-1.22500000000001,2.18490841222666,-0.633034594536508)); #192911=CARTESIAN_POINT('',(-1.22500000000001,0.0715594859928202,-0.817928667656654)); #192912=CARTESIAN_POINT('',(-1.22500000000001,2.18490841222666,-1.66883574169508)); #192913=CARTESIAN_POINT('Origin',(-1.22500000000001,2.18490841222666,-1.66883574169508)); #192914=CARTESIAN_POINT('Origin',(0.,1.29167924555999,8.66966796810146)); #192915=CARTESIAN_POINT('Origin',(0.,1.29167924555999,8.66966796810146)); #192916=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #192917=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #192918=CARTESIAN_POINT('',(-1.17682926675535,2.227819998962,-0.636503904115466)); #192919=CARTESIAN_POINT('',(-1.22500000000001,2.19374987592346,-0.63327347320093)); #192920=CARTESIAN_POINT('Origin',(0.,3.06016601516396,8.82439051203739)); #192921=CARTESIAN_POINT('',(-1.22500000000001,2.20945109593675,-0.63189979444624)); #192922=CARTESIAN_POINT('',(-1.22500000000001,0.0716473872653079,-0.818933383798669)); #192923=CARTESIAN_POINT('',(-1.19939024308513,2.22774377944738,-0.633633067524415)); #192924=CARTESIAN_POINT('Origin',(0.,3.08445109593674,8.82651518129782)); #192925=CARTESIAN_POINT('',(-1.18711890123008,2.23574682848328,-0.634504907558951)); #192926=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.22774377944738, -0.633633067524415)); #192927=CARTESIAN_POINT('Ctrl Pts',(-1.19714122475454,2.22936566766655, -0.633780786127271)); #192928=CARTESIAN_POINT('Ctrl Pts',(-1.19468577457815,2.23108530720188, -0.63394562343597)); #192929=CARTESIAN_POINT('Ctrl Pts',(-1.1923589918416,2.23260277416954,-0.63411070657676)); #192930=CARTESIAN_POINT('Ctrl Pts',(-1.19074043754567,2.23365835303423, -0.634225541547174)); #192931=CARTESIAN_POINT('Ctrl Pts',(-1.18883756431372,2.23484226896557, -0.634365027949859)); #192932=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.23574682848328, -0.634504907558951)); #192933=CARTESIAN_POINT('',(-1.19839938939497,2.24374987751918,-0.632360258170418)); #192934=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.23574682848328, -0.634504907558951)); #192935=CARTESIAN_POINT('Ctrl Pts',(-1.18897904985633,2.23691606476263, -0.634165564077322)); #192936=CARTESIAN_POINT('Ctrl Pts',(-1.1908150687909,2.23815332568352,-0.633822774156991)); #192937=CARTESIAN_POINT('Ctrl Pts',(-1.19260671507367,2.23942442630049, -0.633482175712558)); #192938=CARTESIAN_POINT('Ctrl Pts',(-1.19456804380447,2.24081590975555, -0.633109320020474)); #192939=CARTESIAN_POINT('Ctrl Pts',(-1.1965052621653,2.24226857289084,-0.632733565349008)); #192940=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.24374987751918, -0.632360258170418)); #192941=CARTESIAN_POINT('',(-1.22500000000001,2.26371939035161,-0.627151933896051)); #192942=CARTESIAN_POINT('Origin',(0.,1.3440918831883,8.67425347971943)); #192943=CARTESIAN_POINT('',(-1.22500000000001,2.27812487861623,-0.625891616980342)); #192944=CARTESIAN_POINT('',(-1.22500000000001,0.0716473872653079,-0.818933383798666)); #192945=CARTESIAN_POINT('',(-1.17743902287238,2.24344499946066,-0.635059853226282)); #192946=CARTESIAN_POINT('Origin',(0.,1.38489571194955,8.67782335216449)); #192947=CARTESIAN_POINT('',(-1.12499999680858,2.28086878114282,-0.638263109554973)); #192948=CARTESIAN_POINT('Origin',(0.,3.08373941840011,8.82645291758128)); #192949=CARTESIAN_POINT('',(-1.12499999680858,2.26463402452714,-0.639683466713948)); #192950=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580575,-0.831449137824617)); #192951=CARTESIAN_POINT('',(-1.1606707296543,2.23932914567077,-0.63752378869937)); #192952=CARTESIAN_POINT('Origin',(0.,3.06271094534006,8.82461316457726)); #192953=CARTESIAN_POINT('',(-1.16684451033914,2.23513707236626,-0.637119514744844)); #192954=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.23932914567077,-0.63752378869937)); #192955=CARTESIAN_POINT('Ctrl Pts',(-1.16168838006359,2.23861679038427, -0.637459361422697)); #192956=CARTESIAN_POINT('Ctrl Pts',(-1.16271101026044,2.23791177657294, -0.637393502342052)); #192957=CARTESIAN_POINT('Ctrl Pts',(-1.16476903824064,2.23651435016884, -0.637258742025423)); #192958=CARTESIAN_POINT('Ctrl Pts',(-1.16580437299441,2.23582204086156, -0.637189838642305)); #192959=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.23513707236626, -0.637119514744844)); #192960=CARTESIAN_POINT('',(-1.15967987596414,2.23041146245934,-0.638427344103307)); #192961=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.23513707236626, -0.637119514744844)); #192962=CARTESIAN_POINT('Ctrl Pts',(-1.16544847157267,2.23424110718777, -0.637372720061457)); #192963=CARTESIAN_POINT('Ctrl Pts',(-1.16388973901774,2.2332335880227,-0.637655687844131)); #192964=CARTESIAN_POINT('Ctrl Pts',(-1.1624999971249,2.2323169497443,-0.63790925530594)); #192965=CARTESIAN_POINT('Ctrl Pts',(-1.1616429464997,2.23175166102872,-0.638065629775758)); #192966=CARTESIAN_POINT('Ctrl Pts',(-1.16053217068243,2.23101122540925, -0.638268808737512)); #192967=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.23041146245934, -0.638427344103307)); #192968=CARTESIAN_POINT('',(-1.12499999680858,2.20510658360298,-0.644891442963523)); #192969=CARTESIAN_POINT('Origin',(0.,1.38422746505254,8.67776488813657)); #192970=CARTESIAN_POINT('',(-1.12499999680858,2.1891767050458,-0.646285126748619)); #192971=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580576,-0.831449137824618)); #192972=CARTESIAN_POINT('Origin',(0.,1.35038994271941,8.67480448853062)); #192973=CARTESIAN_POINT('Origin',(-1.22500000000001,2.27812487861623,-1.66883574169508)); #192974=CARTESIAN_POINT('',(-1.22500000000001,2.27812487861623,-0.624879210473477)); #192975=CARTESIAN_POINT('',(-1.22500000000001,2.27812487861623,-1.66883574169508)); #192976=CARTESIAN_POINT('',(-1.17743902287238,2.24344499946066,-0.634048108299634)); #192977=CARTESIAN_POINT('Origin',(0.,1.38489571194955,8.67782335216449)); #192978=CARTESIAN_POINT('',(-1.17743902287238,2.24344499946066,-1.66883574169508)); #192979=CARTESIAN_POINT('Origin',(-1.22500000000001,2.26371939035161,-1.66883574169508)); #192980=CARTESIAN_POINT('',(-1.22500000000001,2.26371939035161,-0.626139527389185)); #192981=CARTESIAN_POINT('',(-1.22500000000001,2.26371939035161,-1.66883574169508)); #192982=CARTESIAN_POINT('',(-1.22500000000001,0.0715594859928203,-0.817928667656653)); #192983=CARTESIAN_POINT('Origin',(-1.19839938939497,2.24374987751918,-1.66883574169508)); #192984=CARTESIAN_POINT('',(-1.19839938939497,2.24374987751918,-0.631348225069736)); #192985=CARTESIAN_POINT('',(-1.19839938939497,2.24374987751918,-1.66883574169508)); #192986=CARTESIAN_POINT('Origin',(0.,1.3440918831883,8.67425347971943)); #192987=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.24374987751918, -1.66883574169508)); #192988=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.24374987751918, 0.168835741695079)); #192989=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.23910048712689, -1.66883574169508)); #192990=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.23910048712689, 0.168835741695079)); #192991=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.23574682848328, -1.66883574169508)); #192992=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.23574682848328, 0.168835741695079)); #192993=CARTESIAN_POINT('',(-1.18711890123008,2.23574682848328,-0.633493030210753)); #192994=CARTESIAN_POINT('',(-1.18711890123008,2.23574682848328,-1.66883574169508)); #192995=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.24374987751918, -0.631348225069736)); #192996=CARTESIAN_POINT('Ctrl Pts',(-1.19714584380083,2.24276954058017, -0.631595299557586)); #192997=CARTESIAN_POINT('Ctrl Pts',(-1.19587554087878,2.24180340313407, -0.63184303015107)); #192998=CARTESIAN_POINT('Ctrl Pts',(-1.19459364641406,2.24086035430225, -0.632089957872842)); #192999=CARTESIAN_POINT('Ctrl Pts',(-1.19217244034235,2.23907915029491, -0.632556347981925)); #193000=CARTESIAN_POINT('Ctrl Pts',(-1.18966444104902,2.23734688208375, -0.633028617105872)); #193001=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.23574682848328, -0.633493030210753)); #193002=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.23574682848328, -1.66883574169508)); #193003=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.23574682848328, 0.168835741695079)); #193004=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.23346024304445, -1.66883574169508)); #193005=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.23346024304445, 0.168835741695079)); #193006=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.22774377944738, -1.66883574169508)); #193007=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.22774377944738, 0.168835741695079)); #193008=CARTESIAN_POINT('',(-1.19939024308513,2.22774377944738,-0.63262102066894)); #193009=CARTESIAN_POINT('',(-1.19939024308513,2.22774377944738,-1.66883574169508)); #193010=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.23574682848328, -0.633493030210753)); #193011=CARTESIAN_POINT('Ctrl Pts',(-1.18858138361934,2.23497710090998, -0.633373980700996)); #193012=CARTESIAN_POINT('Ctrl Pts',(-1.19016170626535,2.23401350755487, -0.633256473635381)); #193013=CARTESIAN_POINT('Ctrl Pts',(-1.19154935970462,2.23312584200275, -0.633156608495069)); #193014=CARTESIAN_POINT('Ctrl Pts',(-1.19413121229105,2.23147426132937, -0.632970800523487)); #193015=CARTESIAN_POINT('Ctrl Pts',(-1.19691172475348,2.22953117247501, -0.632783847567166)); #193016=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.22774377944738, -0.63262102066894)); #193017=CARTESIAN_POINT('Origin',(-1.22500000000001,2.20945109593675,-1.66883574169508)); #193018=CARTESIAN_POINT('',(-1.22500000000001,2.20945109593675,-0.630887387939373)); #193019=CARTESIAN_POINT('',(-1.22500000000001,2.20945109593675,-1.66883574169508)); #193020=CARTESIAN_POINT('Origin',(0.,3.08445109593674,8.82651518129782)); #193021=CARTESIAN_POINT('Origin',(-1.22500000000001,2.19374987592346,-1.66883574169508)); #193022=CARTESIAN_POINT('',(-1.22500000000001,2.19374987592346,-0.632261066694063)); #193023=CARTESIAN_POINT('',(-1.22500000000001,2.19374987592346,-1.66883574169508)); #193024=CARTESIAN_POINT('',(-1.22500000000001,0.0715594859928201,-0.817928667656654)); #193025=CARTESIAN_POINT('Origin',(-1.17682926675535,2.227819998962,-1.66883574169508)); #193026=CARTESIAN_POINT('',(-1.17682926675535,2.227819998962,-0.635492167492302)); #193027=CARTESIAN_POINT('',(-1.17682926675535,2.227819998962,-1.66883574169508)); #193028=CARTESIAN_POINT('Origin',(0.,3.06016601516396,8.82439051203739)); #193029=CARTESIAN_POINT('Origin',(-1.17743902287238,2.24344499946066,-1.66883574169508)); #193030=CARTESIAN_POINT('',(-1.12499999680858,2.28086878114282,-0.637252062293288)); #193031=CARTESIAN_POINT('Origin',(0.,3.08373941840011,8.82645291758128)); #193032=CARTESIAN_POINT('',(-1.12499999680858,2.28086878114282,-1.66883574169508)); #193033=CARTESIAN_POINT('Origin',(-1.12499999680858,2.28086878114282,-1.66883574169508)); #193034=CARTESIAN_POINT('',(-1.12499999680858,2.26463402452714,-0.638672419452263)); #193035=CARTESIAN_POINT('',(-1.12499999680858,0.0726545906007942,-0.83044577060279)); #193036=CARTESIAN_POINT('',(-1.12499999680858,2.26463402452714,-1.66883574169508)); #193037=CARTESIAN_POINT('Origin',(-1.12499999680858,2.26463402452714,-1.66883574169508)); #193038=CARTESIAN_POINT('',(-1.1606707296543,2.23932914567077,-0.636512270477834)); #193039=CARTESIAN_POINT('Origin',(0.,3.06271094534006,8.82461316457726)); #193040=CARTESIAN_POINT('',(-1.1606707296543,2.23932914567077,-1.66883574169508)); #193041=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.23513707236626, -1.66883574169508)); #193042=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.23513707236626, 0.168835741695079)); #193043=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.2371949992612,-1.66883574169508)); #193044=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.2371949992612,0.168835741695079)); #193045=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.23932914567077,-1.66883574169508)); #193046=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.23932914567077,0.168835741695079)); #193047=CARTESIAN_POINT('',(-1.16684451033914,2.23513707236626,-0.636107913450517)); #193048=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.23513707236626, -0.636107913450516)); #193049=CARTESIAN_POINT('Ctrl Pts',(-1.1658878176934,2.23576708947442,-0.636172608543648)); #193050=CARTESIAN_POINT('Ctrl Pts',(-1.16493518487247,2.23640331885468, -0.636236102039384)); #193051=CARTESIAN_POINT('Ctrl Pts',(-1.16287558589777,2.23779838589418, -0.636371348372083)); #193052=CARTESIAN_POINT('Ctrl Pts',(-1.16177025325612,2.2385594791495,-0.636442645069236)); #193053=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.23932914567077,-0.636512270477834)); #193054=CARTESIAN_POINT('',(-1.16684451033914,2.23513707236626,-1.66883574169508)); #193055=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.23041146245934, -1.66883574169508)); #193056=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.23041146245934, 0.168835741695079)); #193057=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.23185963323727, -1.66883574169508)); #193058=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.23185963323727, 0.168835741695079)); #193059=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.23513707236626, -1.66883574169508)); #193060=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.23513707236626, 0.168835741695079)); #193061=CARTESIAN_POINT('',(-1.15967987596414,2.23041146245934,-0.637415839171504)); #193062=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.23041146245934, -0.637415839171504)); #193063=CARTESIAN_POINT('Ctrl Pts',(-1.16041006828597,2.23092530150063, -0.637280006286832)); #193064=CARTESIAN_POINT('Ctrl Pts',(-1.16131816009565,2.2315341748597,-0.637113601388174)); #193065=CARTESIAN_POINT('Ctrl Pts',(-1.16205455049123,2.23202240262546, -0.636979047240249)); #193066=CARTESIAN_POINT('Ctrl Pts',(-1.1635667595095,2.23302499912154,-0.636702734570829)); #193067=CARTESIAN_POINT('Ctrl Pts',(-1.16532623933086,2.2341626596296,-0.636383309019809)); #193068=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.23513707236626, -0.636107913450516)); #193069=CARTESIAN_POINT('',(-1.15967987596414,2.23041146245934,-1.66883574169508)); #193070=CARTESIAN_POINT('Origin',(-1.15967987596414,2.23041146245934,-1.66883574169508)); #193071=CARTESIAN_POINT('',(-1.12499999680858,2.20510658360298,-0.643880395701838)); #193072=CARTESIAN_POINT('Origin',(0.,1.38422746505254,8.67776488813657)); #193073=CARTESIAN_POINT('',(-1.12499999680858,2.20510658360298,-1.66883574169508)); #193074=CARTESIAN_POINT('Origin',(-1.12499999680858,2.20510658360298,-1.66883574169508)); #193075=CARTESIAN_POINT('',(-1.12499999680858,2.1891767050458,-0.645274079486934)); #193076=CARTESIAN_POINT('',(-1.12499999680858,0.0726545906007942,-0.830445770602791)); #193077=CARTESIAN_POINT('',(-1.12499999680858,2.1891767050458,-1.66883574169508)); #193078=CARTESIAN_POINT('Origin',(-1.12499999680858,2.1891767050458,-1.66883574169508)); #193079=CARTESIAN_POINT('Origin',(0.,1.35038994271941,8.67480448853062)); #193080=CARTESIAN_POINT('Origin',(-1.12499999680858,2.28239317143538,-1.66883574169508)); #193081=CARTESIAN_POINT('',(-1.12499999680858,2.28239317143538,-0.638129742685586)); #193082=CARTESIAN_POINT('',(-1.17682926675536,2.32103646535158,-0.628348520052435)); #193083=CARTESIAN_POINT('Origin',(0.,1.44360640910899,8.68295987259365)); #193084=CARTESIAN_POINT('',(-1.12499999680858,2.28239317143538,-0.637118695423901)); #193085=CARTESIAN_POINT('',(-1.12499999680858,2.28239317143538,-1.66883574169508)); #193086=CARTESIAN_POINT('',(-1.17682926675536,2.32103646535158,-0.62733678342927)); #193087=CARTESIAN_POINT('Origin',(0.,1.44360640910899,8.68295987259365)); #193088=CARTESIAN_POINT('',(-1.17682926675536,2.32103646535158,-1.66883574169508)); #193089=CARTESIAN_POINT('Origin',(-1.12499999680858,2.29832304999255,-1.66883574169508)); #193090=CARTESIAN_POINT('',(-1.12499999680858,2.29832304999255,-0.63673605890049)); #193091=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580575,-0.831449137824618)); #193092=CARTESIAN_POINT('',(-1.12499999680858,2.29832304999255,-0.635725011638805)); #193093=CARTESIAN_POINT('',(-1.12499999680858,2.29832304999255,-1.66883574169508)); #193094=CARTESIAN_POINT('',(-1.12499999680858,0.0726545906007941,-0.830445770602791)); #193095=CARTESIAN_POINT('Origin',(-1.15967987596414,2.32362792884892,-1.66883574169508)); #193096=CARTESIAN_POINT('',(-1.15967987596414,2.32362792884892,-0.630271960040274)); #193097=CARTESIAN_POINT('Origin',(0.,1.4774439314421,8.6859202721996)); #193098=CARTESIAN_POINT('',(-1.15967987596414,2.32362792884892,-0.629260455108471)); #193099=CARTESIAN_POINT('',(-1.15967987596414,2.32362792884892,-1.66883574169508)); #193100=CARTESIAN_POINT('Origin',(0.,1.4774439314421,8.6859202721996)); #193101=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.32362792884892, -1.66883574169508)); #193102=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.32362792884892, 0.168835741695079)); #193103=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.32507609962684, -1.66883574169508)); #193104=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.32507609962684, 0.168835741695079)); #193105=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.32835353875583, -1.66883574169508)); #193106=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.32835353875583, 0.168835741695079)); #193107=CARTESIAN_POINT('',(-1.16684451033914,2.32835353875583,-0.628964130681811)); #193108=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.32835353875583, -0.628964130681811)); #193109=CARTESIAN_POINT('Ctrl Pts',(-1.16544847157267,2.32745757357735, -0.629217335998424)); #193110=CARTESIAN_POINT('Ctrl Pts',(-1.16388973901774,2.32645005441228, -0.629500303781098)); #193111=CARTESIAN_POINT('Ctrl Pts',(-1.1624999971249,2.32553341613388,-0.629753871242907)); #193112=CARTESIAN_POINT('Ctrl Pts',(-1.1616429464997,2.32496812741829,-0.629910245712725)); #193113=CARTESIAN_POINT('Ctrl Pts',(-1.16053217068243,2.32422769179882, -0.630113424674479)); #193114=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.32362792884892, -0.630271960040274)); #193115=CARTESIAN_POINT('',(-1.16684451033914,2.32835353875583,-0.627952529387484)); #193116=CARTESIAN_POINT('',(-1.16684451033914,2.32835353875583,-1.66883574169508)); #193117=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.32362792884892, -0.629260455108471)); #193118=CARTESIAN_POINT('Ctrl Pts',(-1.16041006828597,2.3241417678902,-0.629124622223799)); #193119=CARTESIAN_POINT('Ctrl Pts',(-1.16131816009564,2.32475064124927, -0.628958217325143)); #193120=CARTESIAN_POINT('Ctrl Pts',(-1.16205455049123,2.32523886901504, -0.628823663177216)); #193121=CARTESIAN_POINT('Ctrl Pts',(-1.1635667595095,2.32624146551111,-0.628547350507796)); #193122=CARTESIAN_POINT('Ctrl Pts',(-1.16532623933088,2.32737912601918, -0.628227924956773)); #193123=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.32835353875583, -0.627952529387483)); #193124=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.32835353875583, -1.66883574169508)); #193125=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.32835353875583, 0.168835741695079)); #193126=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.33041146565077, -1.66883574169508)); #193127=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.33041146565077, 0.168835741695079)); #193128=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.33254561206035,-1.66883574169508)); #193129=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.33254561206035,0.168835741695079)); #193130=CARTESIAN_POINT('',(-1.1606707296543,2.33254561206035,-0.629368404636337)); #193131=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.33254561206035,-0.629368404636337)); #193132=CARTESIAN_POINT('Ctrl Pts',(-1.1616883800636,2.33183325677384,-0.629303977359664)); #193133=CARTESIAN_POINT('Ctrl Pts',(-1.16271101026046,2.3311282429625,-0.629238118279018)); #193134=CARTESIAN_POINT('Ctrl Pts',(-1.16476903824065,2.32973081655841, -0.62910335796239)); #193135=CARTESIAN_POINT('Ctrl Pts',(-1.16580437299442,2.32903850725113, -0.629034454579272)); #193136=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.32835353875583, -0.628964130681811)); #193137=CARTESIAN_POINT('',(-1.1606707296543,2.33254561206035,-0.628356886414801)); #193138=CARTESIAN_POINT('',(-1.1606707296543,2.33254561206035,-1.66883574169508)); #193139=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.32835353875583, -0.627952529387483)); #193140=CARTESIAN_POINT('Ctrl Pts',(-1.16588781769343,2.32898355586398, -0.628017224480613)); #193141=CARTESIAN_POINT('Ctrl Pts',(-1.16493518487248,2.32961978524425, -0.62808071797635)); #193142=CARTESIAN_POINT('Ctrl Pts',(-1.16287558589775,2.33101485228376, -0.628215964309051)); #193143=CARTESIAN_POINT('Ctrl Pts',(-1.16177025325611,2.33177594553908, -0.628287261006204)); #193144=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.33254561206035,-0.628356886414801)); #193145=CARTESIAN_POINT('Origin',(-1.12499999680858,2.35785049091671,-1.66883574169508)); #193146=CARTESIAN_POINT('',(-1.12499999680858,2.35785049091671,-0.631528082650915)); #193147=CARTESIAN_POINT('Origin',(0.,3.15592741172963,8.8327685486403)); #193148=CARTESIAN_POINT('',(-1.12499999680858,2.35785049091671,-0.63051703538923)); #193149=CARTESIAN_POINT('',(-1.12499999680858,2.35785049091671,-1.66883574169508)); #193150=CARTESIAN_POINT('Origin',(0.,3.15592741172963,8.8327685486403)); #193151=CARTESIAN_POINT('Origin',(-1.12499999680858,2.3740852475324,-1.66883574169508)); #193152=CARTESIAN_POINT('',(-1.12499999680858,2.3740852475324,-0.63010772549194)); #193153=CARTESIAN_POINT('',(-1.12499999680853,0.0727423738580579,-0.831449137824624)); #193154=CARTESIAN_POINT('',(-1.12499999680858,2.3740852475324,-0.629096678230255)); #193155=CARTESIAN_POINT('',(-1.12499999680858,2.3740852475324,-1.66883574169508)); #193156=CARTESIAN_POINT('',(-1.12499999680853,0.0726545906007945,-0.830445770602797)); #193157=CARTESIAN_POINT('Origin',(-1.17743902287238,2.33666146585024,-1.66883574169508)); #193158=CARTESIAN_POINT('',(-1.17743902287238,2.33666146585024,-0.626904469163249)); #193159=CARTESIAN_POINT('Origin',(0.,3.17695588478968,8.83460830164431)); #193160=CARTESIAN_POINT('',(-1.17743902287238,2.33666146585024,-0.625892724236601)); #193161=CARTESIAN_POINT('',(-1.17743902287238,2.33666146585024,-1.66883574169508)); #193162=CARTESIAN_POINT('Origin',(0.,3.17695588478968,8.83460830164431)); #193163=CARTESIAN_POINT('Origin',(-1.17682926675536,2.32103646535158,-1.66883574169508)); #193164=CARTESIAN_POINT('',(-1.22500000000001,2.28696634231303,-0.625118089137895)); #193165=CARTESIAN_POINT('Origin',(0.,3.15338248155354,8.83254589610043)); #193166=CARTESIAN_POINT('',(-1.22500000000001,2.28696634231303,-0.624105682631029)); #193167=CARTESIAN_POINT('Origin',(0.,3.15338248155354,8.83254589610043)); #193168=CARTESIAN_POINT('',(-1.22500000000001,2.28696634231303,-1.66883574169508)); #193169=CARTESIAN_POINT('Origin',(-1.22500000000001,2.28696634231303,-1.66883574169508)); #193170=CARTESIAN_POINT('',(-1.22500000000001,2.30266756232632,-0.623744410383205)); #193171=CARTESIAN_POINT('',(-1.22500000000001,0.0716473872653078,-0.818933383798666)); #193172=CARTESIAN_POINT('',(-1.22500000000001,2.30266756232632,-0.62273200387634)); #193173=CARTESIAN_POINT('',(-1.22500000000001,0.0715594859928202,-0.817928667656653)); #193174=CARTESIAN_POINT('',(-1.22500000000001,2.30266756232632,-1.66883574169508)); #193175=CARTESIAN_POINT('Origin',(-1.22500000000001,2.30266756232632,-1.66883574169508)); #193176=CARTESIAN_POINT('',(-1.19939024308513,2.32096024583695,-0.625477683461382)); #193177=CARTESIAN_POINT('Origin',(0.,3.17766756232633,8.83467056536086)); #193178=CARTESIAN_POINT('',(-1.19939024308513,2.32096024583695,-0.624465636605907)); #193179=CARTESIAN_POINT('Origin',(0.,3.17766756232633,8.83467056536086)); #193180=CARTESIAN_POINT('',(-1.19939024308513,2.32096024583695,-1.66883574169508)); #193181=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.32896329487285, -1.66883574169508)); #193182=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.32896329487285, 0.168835741695079)); #193183=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.32667670943402, -1.66883574169508)); #193184=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.32667670943402, 0.168835741695079)); #193185=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.32096024583695, -1.66883574169508)); #193186=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.32096024583695, 0.168835741695079)); #193187=CARTESIAN_POINT('',(-1.18711890123008,2.32896329487285,-0.62634952349592)); #193188=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.32096024583695, -0.625477683461383)); #193189=CARTESIAN_POINT('Ctrl Pts',(-1.19714122475454,2.32258213405612, -0.625625402064238)); #193190=CARTESIAN_POINT('Ctrl Pts',(-1.19468577457815,2.32430177359145, -0.625790239372938)); #193191=CARTESIAN_POINT('Ctrl Pts',(-1.1923589918416,2.32581924055911,-0.625955322513727)); #193192=CARTESIAN_POINT('Ctrl Pts',(-1.19074043754566,2.32687481942381, -0.626070157484142)); #193193=CARTESIAN_POINT('Ctrl Pts',(-1.18883756431372,2.32805873535514, -0.626209643886828)); #193194=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.32896329487285, -0.62634952349592)); #193195=CARTESIAN_POINT('',(-1.18711890123008,2.32896329487285,-0.625337646147722)); #193196=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.32896329487285, -0.625337646147722)); #193197=CARTESIAN_POINT('Ctrl Pts',(-1.18858138361934,2.32819356729955, -0.625218596637965)); #193198=CARTESIAN_POINT('Ctrl Pts',(-1.19016170626536,2.32722997394444, -0.625101089572348)); #193199=CARTESIAN_POINT('Ctrl Pts',(-1.19154935970462,2.32634230839232, -0.625001224432036)); #193200=CARTESIAN_POINT('Ctrl Pts',(-1.19413121229105,2.32469072771894, -0.624815416460454)); #193201=CARTESIAN_POINT('Ctrl Pts',(-1.19691172475349,2.32274763886457, -0.624628463504132)); #193202=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.32096024583695, -0.624465636605907)); #193203=CARTESIAN_POINT('',(-1.18711890123008,2.32896329487285,-1.66883574169508)); #193204=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.33696634390875, -1.66883574169508)); #193205=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.33696634390875, 0.168835741695079)); #193206=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.33231695351646, -1.66883574169508)); #193207=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.33231695351646, 0.168835741695079)); #193208=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.32896329487285, -1.66883574169508)); #193209=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.32896329487285, 0.168835741695079)); #193210=CARTESIAN_POINT('',(-1.19839938939497,2.33696634390875,-0.624204874107385)); #193211=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.32896329487285, -0.62634952349592)); #193212=CARTESIAN_POINT('Ctrl Pts',(-1.18897904985633,2.3301325311522,-0.62601018001429)); #193213=CARTESIAN_POINT('Ctrl Pts',(-1.19081506879091,2.3313697920731,-0.625667390093958)); #193214=CARTESIAN_POINT('Ctrl Pts',(-1.19260671507367,2.33264089269006, -0.625326791649525)); #193215=CARTESIAN_POINT('Ctrl Pts',(-1.19456804380447,2.33403237614513, -0.62495393595744)); #193216=CARTESIAN_POINT('Ctrl Pts',(-1.19650526216531,2.33548503928042, -0.624578181285973)); #193217=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.33696634390875, -0.624204874107385)); #193218=CARTESIAN_POINT('',(-1.19839938939497,2.33696634390875,-0.623192841006703)); #193219=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.33696634390875, -0.623192841006703)); #193220=CARTESIAN_POINT('Ctrl Pts',(-1.1971458438008,2.33598600696972,-0.623439915494557)); #193221=CARTESIAN_POINT('Ctrl Pts',(-1.19587554087877,2.33501986952363, -0.623687646088039)); #193222=CARTESIAN_POINT('Ctrl Pts',(-1.19459364641406,2.33407682069182, -0.62393457380981)); #193223=CARTESIAN_POINT('Ctrl Pts',(-1.19217244034235,2.33229561668449, -0.624400963918891)); #193224=CARTESIAN_POINT('Ctrl Pts',(-1.18966444104902,2.33056334847332, -0.62487323304284)); #193225=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.32896329487285, -0.625337646147722)); #193226=CARTESIAN_POINT('',(-1.19839938939497,2.33696634390875,-1.66883574169508)); #193227=CARTESIAN_POINT('Origin',(-1.19839938939497,2.33696634390875,-1.66883574169508)); #193228=CARTESIAN_POINT('',(-1.22500000000001,2.35693585674118,-0.618996549833017)); #193229=CARTESIAN_POINT('Origin',(0.,1.43730834957785,8.68240886378246)); #193230=CARTESIAN_POINT('',(-1.22500000000001,2.35693585674118,-0.617984143326151)); #193231=CARTESIAN_POINT('Origin',(0.,1.43730834957785,8.68240886378246)); #193232=CARTESIAN_POINT('',(-1.22500000000001,2.35693585674118,-1.66883574169508)); #193233=CARTESIAN_POINT('Origin',(-1.22500000000001,2.35693585674118,-1.66883574169508)); #193234=CARTESIAN_POINT('',(-1.22500000000001,2.3713413450058,-0.617736232917309)); #193235=CARTESIAN_POINT('',(-1.22499999999995,0.0716473872653084,-0.818933383798673)); #193236=CARTESIAN_POINT('',(-1.22500000000001,2.3713413450058,-0.616723826410444)); #193237=CARTESIAN_POINT('',(-1.22499999999995,0.0715594859928208,-0.81792866765666)); #193238=CARTESIAN_POINT('',(-1.22500000000001,2.3713413450058,-1.66883574169508)); #193239=CARTESIAN_POINT('Origin',(-1.22500000000001,2.3713413450058,-1.66883574169508)); #193240=CARTESIAN_POINT('Origin',(0.,1.47811217833914,8.68597873622753)); #193241=CARTESIAN_POINT('Origin',(0.,1.47811217833914,8.68597873622753)); #193242=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #193243=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #193244=CARTESIAN_POINT('',(-1.17682926675536,2.41425293174115,-0.620193135989402)); #193245=CARTESIAN_POINT('',(-1.22500000000001,2.3801828087026,-0.616962705074862)); #193246=CARTESIAN_POINT('Origin',(0.,3.24659894794312,8.84070128016346)); #193247=CARTESIAN_POINT('',(-1.22500000000001,2.39588402871589,-0.615589026320173)); #193248=CARTESIAN_POINT('',(-1.22500000000001,0.0716473872653077,-0.818933383798666)); #193249=CARTESIAN_POINT('',(-1.19939024308513,2.41417671222652,-0.617322299398349)); #193250=CARTESIAN_POINT('Origin',(0.,3.27088402871592,8.84282594942389)); #193251=CARTESIAN_POINT('',(-1.18711890123008,2.42217976126242,-0.618194139432887)); #193252=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.41417671222652, -0.617322299398349)); #193253=CARTESIAN_POINT('Ctrl Pts',(-1.19714122475454,2.4157986004457,-0.617470018001205)); #193254=CARTESIAN_POINT('Ctrl Pts',(-1.19468577457815,2.41751823998103, -0.617634855309905)); #193255=CARTESIAN_POINT('Ctrl Pts',(-1.1923589918416,2.41903570694868,-0.617799938450694)); #193256=CARTESIAN_POINT('Ctrl Pts',(-1.19074043754565,2.42009128581339, -0.61791477342111)); #193257=CARTESIAN_POINT('Ctrl Pts',(-1.18883756431372,2.42127520174472, -0.618054259823795)); #193258=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.42217976126242, -0.618194139432887)); #193259=CARTESIAN_POINT('',(-1.19839938939497,2.43018281029832,-0.616049490044353)); #193260=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.42217976126242, -0.618194139432887)); #193261=CARTESIAN_POINT('Ctrl Pts',(-1.18897904985633,2.42334899754177, -0.617854795951257)); #193262=CARTESIAN_POINT('Ctrl Pts',(-1.19081506879091,2.42458625846267, -0.617512006030925)); #193263=CARTESIAN_POINT('Ctrl Pts',(-1.19260671507367,2.42585735907964, -0.617171407586492)); #193264=CARTESIAN_POINT('Ctrl Pts',(-1.19456804380447,2.4272488425347,-0.616798551894407)); #193265=CARTESIAN_POINT('Ctrl Pts',(-1.19650526216531,2.42870150566999, -0.616422797222941)); #193266=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.43018281029832, -0.616049490044353)); #193267=CARTESIAN_POINT('',(-1.22500000000001,2.45015232313075,-0.610841165769984)); #193268=CARTESIAN_POINT('Origin',(0.,1.53052481596742,8.6905642478455)); #193269=CARTESIAN_POINT('',(-1.22500000000001,2.46455781139537,-0.609580848854277)); #193270=CARTESIAN_POINT('',(-1.22500000000001,0.0716473872653078,-0.818933383798665)); #193271=CARTESIAN_POINT('',(-1.17743902287238,2.42987793223981,-0.618749085100216)); #193272=CARTESIAN_POINT('Origin',(0.,1.57132864472871,8.69413412029056)); #193273=CARTESIAN_POINT('',(-1.12499999680858,2.46730171392197,-0.621952341428907)); #193274=CARTESIAN_POINT('Origin',(0.,3.27017235117925,8.84276368570734)); #193275=CARTESIAN_POINT('',(-1.12499999680858,2.45106695730629,-0.623372698587882)); #193276=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580575,-0.831449137824617)); #193277=CARTESIAN_POINT('',(-1.1606707296543,2.42576207844992,-0.621213020573304)); #193278=CARTESIAN_POINT('Origin',(0.,3.24914387811922,8.84092393270333)); #193279=CARTESIAN_POINT('',(-1.16684451033914,2.4215700051454,-0.620808746618778)); #193280=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.42576207844992,-0.621213020573304)); #193281=CARTESIAN_POINT('Ctrl Pts',(-1.16168838006359,2.42504972316341, -0.621148593296631)); #193282=CARTESIAN_POINT('Ctrl Pts',(-1.16271101026044,2.42434470935209, -0.621082734215986)); #193283=CARTESIAN_POINT('Ctrl Pts',(-1.16476903824061,2.422947282948,-0.620947973899359)); #193284=CARTESIAN_POINT('Ctrl Pts',(-1.16580437299437,2.42225497364073, -0.620879070516242)); #193285=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.4215700051454,-0.620808746618778)); #193286=CARTESIAN_POINT('',(-1.15967987596414,2.41684439523849,-0.622116575977241)); #193287=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.4215700051454,-0.620808746618778)); #193288=CARTESIAN_POINT('Ctrl Pts',(-1.1654484715727,2.42067403996694,-0.621061951935386)); #193289=CARTESIAN_POINT('Ctrl Pts',(-1.16388973901774,2.41966652080185, -0.621344919718064)); #193290=CARTESIAN_POINT('Ctrl Pts',(-1.1624999971249,2.41874988252345,-0.621598487179874)); #193291=CARTESIAN_POINT('Ctrl Pts',(-1.16164294649964,2.41818459380783, -0.621754861649702)); #193292=CARTESIAN_POINT('Ctrl Pts',(-1.16053217068242,2.41744415818839, -0.621958040611447)); #193293=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.41684439523849, -0.622116575977241)); #193294=CARTESIAN_POINT('',(-1.12499999680858,2.39153951638212,-0.628580674837456)); #193295=CARTESIAN_POINT('Origin',(0.,1.57066039783167,8.69407565626263)); #193296=CARTESIAN_POINT('',(-1.12499999680858,2.37560963782495,-0.629974358622553)); #193297=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580575,-0.831449137824617)); #193298=CARTESIAN_POINT('Origin',(0.,1.53682287549855,8.69111525665668)); #193299=CARTESIAN_POINT('Origin',(-1.22500000000001,2.46455781139537,-1.66883574169508)); #193300=CARTESIAN_POINT('',(-1.22500000000001,2.46455781139537,-0.608568442347411)); #193301=CARTESIAN_POINT('',(-1.22500000000001,2.46455781139537,-1.66883574169508)); #193302=CARTESIAN_POINT('',(-1.17743902287238,2.42987793223981,-0.617737340173569)); #193303=CARTESIAN_POINT('Origin',(0.,1.57132864472871,8.69413412029056)); #193304=CARTESIAN_POINT('',(-1.17743902287238,2.42987793223981,-1.66883574169508)); #193305=CARTESIAN_POINT('Origin',(-1.22500000000001,2.45015232313075,-1.66883574169508)); #193306=CARTESIAN_POINT('',(-1.22500000000001,2.45015232313075,-0.609828759263118)); #193307=CARTESIAN_POINT('',(-1.22500000000001,2.45015232313075,-1.66883574169508)); #193308=CARTESIAN_POINT('',(-1.22500000000001,0.0715594859928202,-0.817928667656651)); #193309=CARTESIAN_POINT('Origin',(-1.19839938939497,2.43018281029832,-1.66883574169508)); #193310=CARTESIAN_POINT('',(-1.19839938939497,2.43018281029832,-0.615037456943672)); #193311=CARTESIAN_POINT('',(-1.19839938939497,2.43018281029832,-1.66883574169508)); #193312=CARTESIAN_POINT('Origin',(0.,1.53052481596742,8.6905642478455)); #193313=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.43018281029832, -1.66883574169508)); #193314=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.43018281029832, 0.168835741695079)); #193315=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.42553341990603, -1.66883574169508)); #193316=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.42553341990603, 0.168835741695079)); #193317=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.42217976126242, -1.66883574169508)); #193318=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.42217976126242, 0.168835741695079)); #193319=CARTESIAN_POINT('',(-1.18711890123008,2.42217976126242,-0.617182262084689)); #193320=CARTESIAN_POINT('',(-1.18711890123008,2.42217976126242,-1.66883574169508)); #193321=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.43018281029832, -0.615037456943672)); #193322=CARTESIAN_POINT('Ctrl Pts',(-1.19714584380082,2.42920247335931, -0.615284531431523)); #193323=CARTESIAN_POINT('Ctrl Pts',(-1.19587554087877,2.42823633591321, -0.615532262025005)); #193324=CARTESIAN_POINT('Ctrl Pts',(-1.19459364641406,2.42729328708139, -0.615779189746777)); #193325=CARTESIAN_POINT('Ctrl Pts',(-1.19217244034234,2.42551208307405, -0.61624557985586)); #193326=CARTESIAN_POINT('Ctrl Pts',(-1.18966444104902,2.42377981486289, -0.616717848979808)); #193327=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.42217976126242, -0.617182262084689)); #193328=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.42217976126242, -1.66883574169508)); #193329=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.42217976126242, 0.168835741695079)); #193330=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.41989317582359, -1.66883574169508)); #193331=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.41989317582359, 0.168835741695079)); #193332=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.41417671222652, -1.66883574169508)); #193333=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.41417671222652, 0.168835741695079)); #193334=CARTESIAN_POINT('',(-1.19939024308513,2.41417671222652,-0.616310252542874)); #193335=CARTESIAN_POINT('',(-1.19939024308513,2.41417671222652,-1.66883574169508)); #193336=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.42217976126242, -0.617182262084689)); #193337=CARTESIAN_POINT('Ctrl Pts',(-1.18858138361935,2.42141003368912, -0.617063212574932)); #193338=CARTESIAN_POINT('Ctrl Pts',(-1.19016170626536,2.42044644033401, -0.616945705509315)); #193339=CARTESIAN_POINT('Ctrl Pts',(-1.19154935970462,2.41955877478189, -0.616845840369003)); #193340=CARTESIAN_POINT('Ctrl Pts',(-1.19413121229105,2.41790719410851, -0.616660032397421)); #193341=CARTESIAN_POINT('Ctrl Pts',(-1.19691172475348,2.41596410525415, -0.6164730794411)); #193342=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.41417671222652, -0.616310252542874)); #193343=CARTESIAN_POINT('Origin',(-1.22500000000001,2.39588402871589,-1.66883574169508)); #193344=CARTESIAN_POINT('',(-1.22500000000001,2.39588402871589,-0.614576619813307)); #193345=CARTESIAN_POINT('',(-1.22500000000001,2.39588402871589,-1.66883574169508)); #193346=CARTESIAN_POINT('Origin',(0.,3.27088402871592,8.84282594942389)); #193347=CARTESIAN_POINT('Origin',(-1.22500000000001,2.3801828087026,-1.66883574169508)); #193348=CARTESIAN_POINT('',(-1.22500000000001,2.3801828087026,-0.615950298567996)); #193349=CARTESIAN_POINT('',(-1.22500000000001,2.3801828087026,-1.66883574169508)); #193350=CARTESIAN_POINT('',(-1.22500000000001,0.0715594859928201,-0.817928667656653)); #193351=CARTESIAN_POINT('Origin',(-1.17682926675536,2.41425293174115,-1.66883574169508)); #193352=CARTESIAN_POINT('',(-1.17682926675536,2.41425293174115,-0.619181399366237)); #193353=CARTESIAN_POINT('',(-1.17682926675536,2.41425293174115,-1.66883574169508)); #193354=CARTESIAN_POINT('Origin',(0.,3.24659894794312,8.84070128016346)); #193355=CARTESIAN_POINT('Origin',(-1.17743902287238,2.42987793223981,-1.66883574169508)); #193356=CARTESIAN_POINT('',(-1.12499999680858,2.46730171392197,-0.620941294167222)); #193357=CARTESIAN_POINT('Origin',(0.,3.27017235117925,8.84276368570734)); #193358=CARTESIAN_POINT('',(-1.12499999680858,2.46730171392197,-1.66883574169508)); #193359=CARTESIAN_POINT('Origin',(-1.12499999680858,2.46730171392197,-1.66883574169508)); #193360=CARTESIAN_POINT('',(-1.12499999680858,2.45106695730629,-0.622361651326197)); #193361=CARTESIAN_POINT('',(-1.12499999680858,0.0726545906007942,-0.83044577060279)); #193362=CARTESIAN_POINT('',(-1.12499999680858,2.45106695730629,-1.66883574169508)); #193363=CARTESIAN_POINT('Origin',(-1.12499999680858,2.45106695730629,-1.66883574169508)); #193364=CARTESIAN_POINT('',(-1.1606707296543,2.42576207844992,-0.620201502351768)); #193365=CARTESIAN_POINT('Origin',(0.,3.24914387811922,8.84092393270333)); #193366=CARTESIAN_POINT('',(-1.1606707296543,2.42576207844992,-1.66883574169508)); #193367=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.4215700051454,-1.66883574169508)); #193368=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.4215700051454,0.168835741695079)); #193369=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.42362793204035, -1.66883574169508)); #193370=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.42362793204035, 0.168835741695079)); #193371=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.42576207844992,-1.66883574169508)); #193372=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.42576207844992,0.168835741695079)); #193373=CARTESIAN_POINT('',(-1.16684451033914,2.4215700051454,-0.619797145324452)); #193374=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.4215700051454,-0.61979714532445)); #193375=CARTESIAN_POINT('Ctrl Pts',(-1.16588781769343,2.42220002225355, -0.619861840417581)); #193376=CARTESIAN_POINT('Ctrl Pts',(-1.16493518487249,2.42283625163381, -0.619925333913316)); #193377=CARTESIAN_POINT('Ctrl Pts',(-1.16287558589779,2.42423131867331, -0.620060580246015)); #193378=CARTESIAN_POINT('Ctrl Pts',(-1.16177025325613,2.42499241192864, -0.62013187694317)); #193379=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.42576207844992,-0.620201502351768)); #193380=CARTESIAN_POINT('',(-1.16684451033914,2.4215700051454,-1.66883574169508)); #193381=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.41684439523849, -1.66883574169508)); #193382=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.41684439523849, 0.168835741695079)); #193383=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.41829256601641, -1.66883574169508)); #193384=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.41829256601641, 0.168835741695079)); #193385=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.4215700051454,-1.66883574169508)); #193386=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.4215700051454,0.168835741695079)); #193387=CARTESIAN_POINT('',(-1.15967987596414,2.41684439523849,-0.621105071045439)); #193388=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.41684439523849, -0.621105071045438)); #193389=CARTESIAN_POINT('Ctrl Pts',(-1.16041006828597,2.41735823427977, -0.620969238160766)); #193390=CARTESIAN_POINT('Ctrl Pts',(-1.16131816009564,2.41796710763884, -0.620802833262109)); #193391=CARTESIAN_POINT('Ctrl Pts',(-1.16205455049123,2.41845533540461, -0.620668279114183)); #193392=CARTESIAN_POINT('Ctrl Pts',(-1.1635667595095,2.41945793190068,-0.620391966444763)); #193393=CARTESIAN_POINT('Ctrl Pts',(-1.16532623933087,2.42059559240875, -0.620072540893742)); #193394=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.4215700051454,-0.61979714532445)); #193395=CARTESIAN_POINT('',(-1.15967987596414,2.41684439523849,-1.66883574169508)); #193396=CARTESIAN_POINT('Origin',(-1.15967987596414,2.41684439523849,-1.66883574169508)); #193397=CARTESIAN_POINT('',(-1.12499999680858,2.39153951638212,-0.627569627575771)); #193398=CARTESIAN_POINT('Origin',(0.,1.57066039783167,8.69407565626263)); #193399=CARTESIAN_POINT('',(-1.12499999680858,2.39153951638212,-1.66883574169508)); #193400=CARTESIAN_POINT('Origin',(-1.12499999680858,2.39153951638212,-1.66883574169508)); #193401=CARTESIAN_POINT('',(-1.12499999680858,2.37560963782495,-0.628963311360868)); #193402=CARTESIAN_POINT('',(-1.12499999680858,0.0726545906007942,-0.83044577060279)); #193403=CARTESIAN_POINT('',(-1.12499999680858,2.37560963782495,-1.66883574169508)); #193404=CARTESIAN_POINT('Origin',(-1.12499999680858,2.37560963782495,-1.66883574169508)); #193405=CARTESIAN_POINT('Origin',(0.,1.53682287549855,8.69111525665668)); #193406=CARTESIAN_POINT('Origin',(-1.12499999680858,2.46882610421452,-1.66883574169508)); #193407=CARTESIAN_POINT('',(-1.12499999680858,2.46882610421452,-0.62181897455952)); #193408=CARTESIAN_POINT('',(-1.17682926675536,2.50746939813072,-0.612037751926368)); #193409=CARTESIAN_POINT('Origin',(0.,1.63003934188813,8.69927064071971)); #193410=CARTESIAN_POINT('',(-1.12499999680858,2.46882610421452,-0.620807927297835)); #193411=CARTESIAN_POINT('',(-1.12499999680858,2.46882610421452,-1.66883574169508)); #193412=CARTESIAN_POINT('',(-1.17682926675536,2.50746939813072,-0.611026015303203)); #193413=CARTESIAN_POINT('Origin',(0.,1.63003934188813,8.69927064071971)); #193414=CARTESIAN_POINT('',(-1.17682926675536,2.50746939813072,-1.66883574169508)); #193415=CARTESIAN_POINT('Origin',(-1.12499999680858,2.48475598277169,-1.66883574169508)); #193416=CARTESIAN_POINT('',(-1.12499999680858,2.48475598277169,-0.620425290774423)); #193417=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580573,-0.831449137824617)); #193418=CARTESIAN_POINT('',(-1.12499999680858,2.48475598277169,-0.619414243512738)); #193419=CARTESIAN_POINT('',(-1.12499999680858,2.48475598277169,-1.66883574169508)); #193420=CARTESIAN_POINT('',(-1.12499999680858,0.0726545906007939,-0.83044577060279)); #193421=CARTESIAN_POINT('Origin',(-1.15967987596414,2.51006086162806,-1.66883574169508)); #193422=CARTESIAN_POINT('',(-1.15967987596414,2.51006086162806,-0.613961191914209)); #193423=CARTESIAN_POINT('Origin',(0.,1.66387686422128,8.70223104032567)); #193424=CARTESIAN_POINT('',(-1.15967987596414,2.51006086162806,-0.612949686982406)); #193425=CARTESIAN_POINT('',(-1.15967987596414,2.51006086162806,-1.66883574169508)); #193426=CARTESIAN_POINT('Origin',(0.,1.66387686422128,8.70223104032567)); #193427=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.51006086162806, -1.66883574169508)); #193428=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.51006086162806, 0.168835741695079)); #193429=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.51150903240598, -1.66883574169508)); #193430=CARTESIAN_POINT('Ctrl Pts',(-1.16173780285909,2.51150903240598, 0.168835741695079)); #193431=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.51478647153497, -1.66883574169508)); #193432=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.51478647153497, 0.168835741695079)); #193433=CARTESIAN_POINT('',(-1.16684451033914,2.51478647153497,-0.612653362555746)); #193434=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.51478647153497, -0.612653362555746)); #193435=CARTESIAN_POINT('Ctrl Pts',(-1.16544847157269,2.5138905063565,-0.612906567872354)); #193436=CARTESIAN_POINT('Ctrl Pts',(-1.16388973901774,2.51288298719142, -0.613189535655031)); #193437=CARTESIAN_POINT('Ctrl Pts',(-1.1624999971249,2.51196634891302,-0.613443103116841)); #193438=CARTESIAN_POINT('Ctrl Pts',(-1.16164294649968,2.51140106019743, -0.613599477586662)); #193439=CARTESIAN_POINT('Ctrl Pts',(-1.16053217068243,2.51066062457796, -0.613802656548414)); #193440=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.51006086162806, -0.613961191914209)); #193441=CARTESIAN_POINT('',(-1.16684451033914,2.51478647153497,-0.611641761261419)); #193442=CARTESIAN_POINT('',(-1.16684451033914,2.51478647153497,-1.66883574169508)); #193443=CARTESIAN_POINT('Ctrl Pts',(-1.15967987596414,2.51006086162806, -0.612949686982406)); #193444=CARTESIAN_POINT('Ctrl Pts',(-1.16041006828597,2.51057470066935, -0.612813854097733)); #193445=CARTESIAN_POINT('Ctrl Pts',(-1.16131816009568,2.51118357402844, -0.612647449199069)); #193446=CARTESIAN_POINT('Ctrl Pts',(-1.16205455049123,2.51167180179418, -0.61251289505115)); #193447=CARTESIAN_POINT('Ctrl Pts',(-1.16356675950951,2.51267439829026, -0.612236582381729)); #193448=CARTESIAN_POINT('Ctrl Pts',(-1.16532623933092,2.51381205879836, -0.611917156830699)); #193449=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.51478647153497, -0.611641761261417)); #193450=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.51478647153497, -1.66883574169508)); #193451=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.51478647153497, 0.168835741695079)); #193452=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.51684439842992, -1.66883574169508)); #193453=CARTESIAN_POINT('Ctrl Pts',(-1.16371951023941,2.51684439842992, 0.168835741695079)); #193454=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.51897854483949,-1.66883574169508)); #193455=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.51897854483949,0.168835741695079)); #193456=CARTESIAN_POINT('',(-1.1606707296543,2.51897854483949,-0.613057636510273)); #193457=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.51897854483949,-0.613057636510273)); #193458=CARTESIAN_POINT('Ctrl Pts',(-1.16168838006361,2.51826618955297, -0.612993209233599)); #193459=CARTESIAN_POINT('Ctrl Pts',(-1.16271101026048,2.51756117574163, -0.612927350152951)); #193460=CARTESIAN_POINT('Ctrl Pts',(-1.16476903824068,2.51616374933753, -0.612792589836322)); #193461=CARTESIAN_POINT('Ctrl Pts',(-1.16580437299448,2.51547144003024, -0.612723686453203)); #193462=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.51478647153497, -0.612653362555746)); #193463=CARTESIAN_POINT('',(-1.1606707296543,2.51897854483949,-0.612046118288737)); #193464=CARTESIAN_POINT('',(-1.1606707296543,2.51897854483949,-1.66883574169508)); #193465=CARTESIAN_POINT('Ctrl Pts',(-1.16684451033914,2.51478647153497, -0.611641761261417)); #193466=CARTESIAN_POINT('Ctrl Pts',(-1.16588781769345,2.51541648864311, -0.611706456354546)); #193467=CARTESIAN_POINT('Ctrl Pts',(-1.16493518487249,2.51605271802338, -0.611769949850284)); #193468=CARTESIAN_POINT('Ctrl Pts',(-1.16287558589779,2.51744778506288, -0.611905196182982)); #193469=CARTESIAN_POINT('Ctrl Pts',(-1.16177025325613,2.51820887831821, -0.611976492880138)); #193470=CARTESIAN_POINT('Ctrl Pts',(-1.1606707296543,2.51897854483949,-0.612046118288737)); #193471=CARTESIAN_POINT('Origin',(-1.12499999680858,2.54428342369586,-1.66883574169508)); #193472=CARTESIAN_POINT('',(-1.12499999680858,2.54428342369586,-0.615217314524849)); #193473=CARTESIAN_POINT('Origin',(0.,3.34236034450878,8.84907931676636)); #193474=CARTESIAN_POINT('',(-1.12499999680858,2.54428342369586,-0.614206267263164)); #193475=CARTESIAN_POINT('',(-1.12499999680858,2.54428342369586,-1.66883574169508)); #193476=CARTESIAN_POINT('Origin',(0.,3.34236034450878,8.84907931676636)); #193477=CARTESIAN_POINT('Origin',(-1.12499999680858,2.56051818031154,-1.66883574169508)); #193478=CARTESIAN_POINT('',(-1.12499999680858,2.56051818031154,-0.613796957365875)); #193479=CARTESIAN_POINT('',(-1.12499999680858,0.0727423738580573,-0.831449137824617)); #193480=CARTESIAN_POINT('',(-1.12499999680858,2.56051818031154,-0.612785910104189)); #193481=CARTESIAN_POINT('',(-1.12499999680858,2.56051818031154,-1.66883574169508)); #193482=CARTESIAN_POINT('',(-1.12499999680858,0.0726545906007939,-0.83044577060279)); #193483=CARTESIAN_POINT('Origin',(-1.17743902287238,2.52309439862938,-1.66883574169508)); #193484=CARTESIAN_POINT('',(-1.17743902287238,2.52309439862938,-0.610593701037183)); #193485=CARTESIAN_POINT('Origin',(0.,3.36338881756883,8.85091906977038)); #193486=CARTESIAN_POINT('',(-1.17743902287238,2.52309439862938,-0.609581956110536)); #193487=CARTESIAN_POINT('',(-1.17743902287238,2.52309439862938,-1.66883574169508)); #193488=CARTESIAN_POINT('Origin',(0.,3.36338881756883,8.85091906977038)); #193489=CARTESIAN_POINT('Origin',(-1.17682926675536,2.50746939813072,-1.66883574169508)); #193490=CARTESIAN_POINT('',(-1.22500000000001,2.47339927509218,-0.608807321011829)); #193491=CARTESIAN_POINT('Origin',(0.,3.3398154143327,8.84885666422649)); #193492=CARTESIAN_POINT('',(-1.22500000000001,2.47339927509218,-0.607794914504963)); #193493=CARTESIAN_POINT('Origin',(0.,3.3398154143327,8.84885666422649)); #193494=CARTESIAN_POINT('',(-1.22500000000001,2.47339927509218,-1.66883574169508)); #193495=CARTESIAN_POINT('Origin',(-1.22500000000001,2.47339927509218,-1.66883574169508)); #193496=CARTESIAN_POINT('',(-1.22500000000001,2.48910049510547,-0.607433642257141)); #193497=CARTESIAN_POINT('',(-1.22500000000001,0.0716473872653075,-0.818933383798666)); #193498=CARTESIAN_POINT('',(-1.22500000000001,2.48910049510547,-0.606421235750276)); #193499=CARTESIAN_POINT('',(-1.22500000000001,0.07155948599282,-0.817928667656653)); #193500=CARTESIAN_POINT('',(-1.22500000000001,2.48910049510547,-1.66883574169508)); #193501=CARTESIAN_POINT('Origin',(-1.22500000000001,2.48910049510547,-1.66883574169508)); #193502=CARTESIAN_POINT('',(-1.19939024308513,2.50739317861609,-0.609166915335316)); #193503=CARTESIAN_POINT('Origin',(0.,3.36410049510548,8.85098133348692)); #193504=CARTESIAN_POINT('',(-1.19939024308513,2.50739317861609,-0.608154868479841)); #193505=CARTESIAN_POINT('Origin',(0.,3.36410049510548,8.85098133348692)); #193506=CARTESIAN_POINT('',(-1.19939024308513,2.50739317861609,-1.66883574169508)); #193507=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.51539622765199, -1.66883574169508)); #193508=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.51539622765199, 0.168835741695079)); #193509=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.51310964221316, -1.66883574169508)); #193510=CARTESIAN_POINT('Ctrl Pts',(-1.19146341356386,2.51310964221316, 0.168835741695079)); #193511=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.50739317861609, -1.66883574169508)); #193512=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.50739317861609, 0.168835741695079)); #193513=CARTESIAN_POINT('',(-1.18711890123008,2.51539622765199,-0.610038755369854)); #193514=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.50739317861609, -0.609166915335316)); #193515=CARTESIAN_POINT('Ctrl Pts',(-1.19714122475453,2.50901506683527, -0.609314633938172)); #193516=CARTESIAN_POINT('Ctrl Pts',(-1.19468577457815,2.5107347063706,-0.609479471246872)); #193517=CARTESIAN_POINT('Ctrl Pts',(-1.1923589918416,2.51225217333825,-0.609644554387662)); #193518=CARTESIAN_POINT('Ctrl Pts',(-1.19074043754566,2.51330775220295, -0.609759389358076)); #193519=CARTESIAN_POINT('Ctrl Pts',(-1.18883756431372,2.51449166813429, -0.609898875760762)); #193520=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.51539622765199, -0.610038755369854)); #193521=CARTESIAN_POINT('',(-1.18711890123008,2.51539622765199,-0.609026878021656)); #193522=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.51539622765199, -0.609026878021656)); #193523=CARTESIAN_POINT('Ctrl Pts',(-1.18858138361934,2.5146265000787,-0.608907828511899)); #193524=CARTESIAN_POINT('Ctrl Pts',(-1.19016170626536,2.51366290672359, -0.608790321446282)); #193525=CARTESIAN_POINT('Ctrl Pts',(-1.19154935970462,2.51277524117146, -0.608690456305971)); #193526=CARTESIAN_POINT('Ctrl Pts',(-1.19413121229105,2.51112366049809, -0.608504648334388)); #193527=CARTESIAN_POINT('Ctrl Pts',(-1.19691172475349,2.50918057164372, -0.608317695378067)); #193528=CARTESIAN_POINT('Ctrl Pts',(-1.19939024308513,2.50739317861609, -0.608154868479841)); #193529=CARTESIAN_POINT('',(-1.18711890123008,2.51539622765199,-1.66883574169508)); #193530=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.52339927668789, -1.66883574169508)); #193531=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.52339927668789, 0.168835741695079)); #193532=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.51874988629561, -1.66883574169508)); #193533=CARTESIAN_POINT('Ctrl Pts',(-1.19245426725402,2.51874988629561, 0.168835741695079)); #193534=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.51539622765199, -1.66883574169508)); #193535=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.51539622765199, 0.168835741695079)); #193536=CARTESIAN_POINT('',(-1.19839938939497,2.52339927668789,-0.607894105981321)); #193537=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.51539622765199, -0.610038755369854)); #193538=CARTESIAN_POINT('Ctrl Pts',(-1.18897904985633,2.51656546393135, -0.609699411888224)); #193539=CARTESIAN_POINT('Ctrl Pts',(-1.19081506879091,2.51780272485224, -0.609356621967892)); #193540=CARTESIAN_POINT('Ctrl Pts',(-1.19260671507367,2.51907382546921, -0.609016023523459)); #193541=CARTESIAN_POINT('Ctrl Pts',(-1.19456804380447,2.52046530892427, -0.608643167831376)); #193542=CARTESIAN_POINT('Ctrl Pts',(-1.1965052621653,2.52191797205956,-0.608267413159911)); #193543=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.52339927668789, -0.607894105981321)); #193544=CARTESIAN_POINT('',(-1.19839938939497,2.52339927668789,-0.606882072880639)); #193545=CARTESIAN_POINT('Ctrl Pts',(-1.19839938939497,2.52339927668789, -0.606882072880639)); #193546=CARTESIAN_POINT('Ctrl Pts',(-1.19714584380081,2.52241893974887, -0.607129147368491)); #193547=CARTESIAN_POINT('Ctrl Pts',(-1.19587554087877,2.52145280230278, -0.607376877961972)); #193548=CARTESIAN_POINT('Ctrl Pts',(-1.19459364641406,2.52050975347096, -0.607623805683744)); #193549=CARTESIAN_POINT('Ctrl Pts',(-1.19217244034235,2.51872854946363, -0.608090195792826)); #193550=CARTESIAN_POINT('Ctrl Pts',(-1.18966444104902,2.51699628125247, -0.608562464916774)); #193551=CARTESIAN_POINT('Ctrl Pts',(-1.18711890123008,2.51539622765199, -0.609026878021656)); #193552=CARTESIAN_POINT('',(-1.19839938939497,2.52339927668789,-1.66883574169508)); #193553=CARTESIAN_POINT('Origin',(-1.19839938939497,2.52339927668789,-1.66883574169508)); #193554=CARTESIAN_POINT('',(-1.22500000000001,2.54336878952033,-0.602685781706952)); #193555=CARTESIAN_POINT('Origin',(0.,1.62374128235701,8.69871963190853)); #193556=CARTESIAN_POINT('',(-1.22500000000001,2.54336878952033,-0.601673375200087)); #193557=CARTESIAN_POINT('Origin',(0.,1.62374128235701,8.69871963190853)); #193558=CARTESIAN_POINT('',(-1.22500000000001,2.54336878952033,-1.66883574169508)); #193559=CARTESIAN_POINT('Origin',(-1.22500000000001,2.54336878952033,-1.66883574169508)); #193560=CARTESIAN_POINT('',(-1.22500000000001,2.55777427778495,-0.601425464791242)); #193561=CARTESIAN_POINT('',(-1.22500000000001,0.0716473872653074,-0.818933383798666)); #193562=CARTESIAN_POINT('',(-1.22500000000001,2.55777427778495,-0.600413058284377)); #193563=CARTESIAN_POINT('',(-1.22500000000001,0.0715594859928198,-0.817928667656653)); #193564=CARTESIAN_POINT('',(-1.22500000000001,2.55777427778495,-1.66883574169508)); #193565=CARTESIAN_POINT('Origin',(-1.22500000000001,2.55777427778495,-1.66883574169508)); #193566=CARTESIAN_POINT('Origin',(0.,1.66454511111827,8.70228950435359)); #193567=CARTESIAN_POINT('Origin',(0.,1.66454511111827,8.70228950435359)); #193568=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #193569=CARTESIAN_POINT('Origin',(-1.28250000000001,2.,-0.787124999999997)); #193570=CARTESIAN_POINT('',(-1.28250000000001,2.,-0.641465092260886)); #193571=CARTESIAN_POINT('',(-0.842500000000007,2.,-0.691589595503763)); #193572=CARTESIAN_POINT('Origin',(1.45897631428139E-16,2.,8.73163800425509)); #193573=CARTESIAN_POINT('',(-0.842500000000007,2.,-0.69058174058538)); #193574=CARTESIAN_POINT('',(-0.842500000000007,2.,-0.787124999999998)); #193575=CARTESIAN_POINT('',(-1.28250000000001,2.,-0.640451847059929)); #193576=CARTESIAN_POINT('Origin',(0.,2.,8.73163800425509)); #193577=CARTESIAN_POINT('',(-1.28250000000001,2.,-0.787124999999997)); #193578=CARTESIAN_POINT('Origin',(-0.842500000000007,2.03,-0.787124999999998)); #193579=CARTESIAN_POINT('',(-0.812500000000007,2.03,-0.691619174223066)); #193580=CARTESIAN_POINT('Ctrl Pts',(-0.842500000000006,2.,-0.691589595503763)); #193581=CARTESIAN_POINT('Ctrl Pts',(-0.838742041242963,2.,-0.691928154053924)); #193582=CARTESIAN_POINT('Ctrl Pts',(-0.83472683650226,2.00074963903648, -0.692221783262203)); #193583=CARTESIAN_POINT('Ctrl Pts',(-0.827333318499059,2.0038088285944, -0.69261108905696)); #193584=CARTESIAN_POINT('Ctrl Pts',(-0.82395508667894,2.00611856233171, -0.692706872604957)); #193585=CARTESIAN_POINT('Ctrl Pts',(-0.81861909895867,2.01145443829607, -0.692708571025727)); #193586=CARTESIAN_POINT('Ctrl Pts',(-0.816309335137191,2.01483243134084, -0.692614635496054)); #193587=CARTESIAN_POINT('Ctrl Pts',(-0.813249871381792,2.02222593798055, -0.692234279467656)); #193588=CARTESIAN_POINT('Ctrl Pts',(-0.812500000000006,2.02624138840406, -0.691948010128308)); #193589=CARTESIAN_POINT('Ctrl Pts',(-0.812500000000006,2.03,-0.691619174223066)); #193590=CARTESIAN_POINT('',(-0.812500000000007,2.03,-0.690611603137381)); #193591=CARTESIAN_POINT('',(-0.812500000000007,2.03,-0.787124999999998)); #193592=CARTESIAN_POINT('Ctrl Pts',(-0.812500000000006,2.03,-0.690611603137381)); #193593=CARTESIAN_POINT('Ctrl Pts',(-0.812500000000006,2.02624144106256, -0.690940434435601)); #193594=CARTESIAN_POINT('Ctrl Pts',(-0.813249850578324,2.02222604299581, -0.691226694044033)); #193595=CARTESIAN_POINT('Ctrl Pts',(-0.81630923591709,2.01483261637694, -0.691607021431774)); #193596=CARTESIAN_POINT('Ctrl Pts',(-0.818618942131227,2.01145465099759, -0.69170093804184)); #193597=CARTESIAN_POINT('Ctrl Pts',(-0.82395487493639,2.00611871819242, -0.691699199447763)); #193598=CARTESIAN_POINT('Ctrl Pts',(-0.827333135451936,2.00380892663771, -0.69160338665973)); #193599=CARTESIAN_POINT('Ctrl Pts',(-0.834726733947517,2.0007496591665, -0.69121401038651)); #193600=CARTESIAN_POINT('Ctrl Pts',(-0.83874199046818,2.,-0.69092033992456)); #193601=CARTESIAN_POINT('Ctrl Pts',(-0.842500000000007,2.,-0.690581740585381)); #193602=CARTESIAN_POINT('Origin',(-0.812500000000007,2.03,-0.787124999999998)); #193603=CARTESIAN_POINT('',(-0.812500000000008,2.72,-0.631251996390177)); #193604=CARTESIAN_POINT('',(-0.812499999999994,-4.62077846551264,-1.27348689357776)); #193605=CARTESIAN_POINT('',(-0.812500000000008,2.72,-0.630244425304494)); #193606=CARTESIAN_POINT('',(-0.812500000000008,2.72,-0.787124999999997)); #193607=CARTESIAN_POINT('',(-0.812500000000003,0.0753818538728304,-0.861618532445566)); #193608=CARTESIAN_POINT('Origin',(-0.842500000000008,2.72,-0.787124999999997)); #193609=CARTESIAN_POINT('',(-0.842500000000008,2.75,-0.62597309785932)); #193610=CARTESIAN_POINT('Ctrl Pts',(-0.812500000000008,2.72,-0.631251996390177)); #193611=CARTESIAN_POINT('Ctrl Pts',(-0.812500000000008,2.72377492734785, -0.630921733041606)); #193612=CARTESIAN_POINT('Ctrl Pts',(-0.813255671135303,2.72779518526191, -0.630504465102455)); #193613=CARTESIAN_POINT('Ctrl Pts',(-0.816319177001398,2.73518420857101, -0.629591162676838)); #193614=CARTESIAN_POINT('Ctrl Pts',(-0.818626852966492,2.73855302472003, -0.629095006273969)); #193615=CARTESIAN_POINT('Ctrl Pts',(-0.823945529535908,2.74387217150061, -0.628162634825734)); #193616=CARTESIAN_POINT('Ctrl Pts',(-0.827314813971774,2.74618031862341, -0.627663635623944)); #193617=CARTESIAN_POINT('Ctrl Pts',(-0.834704523401092,2.74924430717792, -0.626738975821704)); #193618=CARTESIAN_POINT('Ctrl Pts',(-0.838724994612518,2.75,-0.62631319215877)); #193619=CARTESIAN_POINT('Ctrl Pts',(-0.842500000000008,2.75,-0.62597309785932)); #193620=CARTESIAN_POINT('',(-0.842500000000008,2.75,-0.624965242940937)); #193621=CARTESIAN_POINT('',(-0.842500000000008,2.75,-0.787124999999997)); #193622=CARTESIAN_POINT('Ctrl Pts',(-0.842500000000008,2.75,-0.624965242940938)); #193623=CARTESIAN_POINT('Ctrl Pts',(-0.838724993633148,2.75,-0.625305373707077)); #193624=CARTESIAN_POINT('Ctrl Pts',(-0.834704521775297,2.74924430684233, -0.625731195924406)); #193625=CARTESIAN_POINT('Ctrl Pts',(-0.827314811264586,2.74618031716242, -0.626655926137393)); #193626=CARTESIAN_POINT('Ctrl Pts',(-0.823945526398363,2.7438721692459, -0.627154957210216)); #193627=CARTESIAN_POINT('Ctrl Pts',(-0.818626851557661,2.73855302242819, -0.628087378441569)); #193628=CARTESIAN_POINT('Ctrl Pts',(-0.81631917656838,2.73518420760779, -0.628583556178042)); #193629=CARTESIAN_POINT('Ctrl Pts',(-0.813255671389629,2.72779518579414, -0.629496886941502)); #193630=CARTESIAN_POINT('Ctrl Pts',(-0.812500000000008,2.72377492803455, -0.629914161895844)); #193631=CARTESIAN_POINT('Ctrl Pts',(-0.812500000000008,2.72,-0.630244425304494)); #193632=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #193633=CARTESIAN_POINT('',(-1.31250000000001,2.03,-0.634654870607499)); #193634=CARTESIAN_POINT('Ctrl Pts',(-1.31250000000001,2.03,-0.6346548706075)); #193635=CARTESIAN_POINT('Ctrl Pts',(-1.31250000000001,2.02621506690013, -0.634986009345943)); #193636=CARTESIAN_POINT('Ctrl Pts',(-1.31174046141158,2.02219022128084, -0.635445453943281)); #193637=CARTESIAN_POINT('Ctrl Pts',(-1.30867307533,2.01480238978015,-0.636524035958833)); #193638=CARTESIAN_POINT('Ctrl Pts',(-1.30636562180985,2.01143921493865, -0.637142999451102)); #193639=CARTESIAN_POINT('Ctrl Pts',(-1.30105215023994,2.00612574336874, -0.638352814778485)); #193640=CARTESIAN_POINT('Ctrl Pts',(-1.29768325383995,2.00381871092406, -0.639025726747353)); #193641=CARTESIAN_POINT('Ctrl Pts',(-1.29029524068589,2.00075576436641, -0.640321621986914)); #193642=CARTESIAN_POINT('Ctrl Pts',(-1.28627603829764,2.,-0.640944470977768)); #193643=CARTESIAN_POINT('Ctrl Pts',(-1.28250000000001,2.,-0.641465092260885)); #193644=CARTESIAN_POINT('',(-1.31250000000001,2.72,-0.574287692774612)); #193645=CARTESIAN_POINT('',(-1.31249999999999,-4.6257243392701,-1.21695529784721)); #193646=CARTESIAN_POINT('',(-1.28250000000001,2.75,-0.575848594616443)); #193647=CARTESIAN_POINT('Ctrl Pts',(-1.28250000000001,2.75,-0.575848594616442)); #193648=CARTESIAN_POINT('Ctrl Pts',(-1.28625555014139,2.75,-0.575330798137883)); #193649=CARTESIAN_POINT('Ctrl Pts',(-1.29027246894532,2.74925131623752, -0.574839908698153)); #193650=CARTESIAN_POINT('Ctrl Pts',(-1.2976759210618,2.74618667972267,-0.574077971643591)); #193651=CARTESIAN_POINT('Ctrl Pts',(-1.30106231270403,2.74387033886313, -0.5738071085704)); #193652=CARTESIAN_POINT('Ctrl Pts',(-1.30639651086811,2.73852363354264, -0.573527017492409)); #193653=CARTESIAN_POINT('Ctrl Pts',(-1.30870059775069,2.73514905742682, -0.573497993770226)); #193654=CARTESIAN_POINT('Ctrl Pts',(-1.31175227031364,2.72776337202624, -0.573714134640649)); #193655=CARTESIAN_POINT('Ctrl Pts',(-1.31250000000001,2.72375232539331, -0.573959406840836)); #193656=CARTESIAN_POINT('Ctrl Pts',(-1.31250000000001,2.72,-0.574287692774611)); #193657=CARTESIAN_POINT('Origin',(1.58957755211922E-16,2.75,8.79725450189953)); #193658=CARTESIAN_POINT('Origin',(-0.842500000000008,2.75,-0.787124999999997)); #193659=CARTESIAN_POINT('',(-1.28250000000001,2.75,-0.574835349415487)); #193660=CARTESIAN_POINT('',(-1.28250000000001,2.75,-0.787124999999996)); #193661=CARTESIAN_POINT('Origin',(0.,2.75,8.79725450189953)); #193662=CARTESIAN_POINT('Origin',(-1.28250000000001,2.72,-0.787124999999996)); #193663=CARTESIAN_POINT('',(-1.31250000000001,2.72,-0.573273994857623)); #193664=CARTESIAN_POINT('',(-1.31250000000001,2.72,-0.787124999999996)); #193665=CARTESIAN_POINT('Ctrl Pts',(-1.31250000000001,2.72,-0.573273994857625)); #193666=CARTESIAN_POINT('Ctrl Pts',(-1.31250000000001,2.72375232356541, -0.57294570908377)); #193667=CARTESIAN_POINT('Ctrl Pts',(-1.31175227092996,2.7277633687836,-0.572700448352819)); #193668=CARTESIAN_POINT('Ctrl Pts',(-1.30870060096873,2.73514905138951, -0.572484353890336)); #193669=CARTESIAN_POINT('Ctrl Pts',(-1.30639651608918,2.73852362613293, -0.572513412535185)); #193670=CARTESIAN_POINT('Ctrl Pts',(-1.30106231870706,2.74387033503653, -0.572793584100288)); #193671=CARTESIAN_POINT('Ctrl Pts',(-1.29767592423991,2.74618667817839, -0.573064498590521)); #193672=CARTESIAN_POINT('Ctrl Pts',(-1.29027246869138,2.74925131657135, -0.573826547360006)); #193673=CARTESIAN_POINT('Ctrl Pts',(-1.28625554930406,2.75,-0.574317497071832)); #193674=CARTESIAN_POINT('Ctrl Pts',(-1.28250000000001,2.75,-0.574835349415488)); #193675=CARTESIAN_POINT('Origin',(-1.31250000000001,2.72,-0.787124999999996)); #193676=CARTESIAN_POINT('',(-1.31250000000001,2.03,-0.633641172690511)); #193677=CARTESIAN_POINT('',(-1.31250000000001,2.03,-0.787124999999997)); #193678=CARTESIAN_POINT('',(-1.3125,0.0704354481588309,-0.805080856423872)); #193679=CARTESIAN_POINT('Origin',(-1.28250000000001,2.03,-0.787124999999997)); #193680=CARTESIAN_POINT('Ctrl Pts',(-1.28250000000001,2.,-0.640451847059931)); #193681=CARTESIAN_POINT('Ctrl Pts',(-1.28627603818732,2.,-0.639931169506072)); #193682=CARTESIAN_POINT('Ctrl Pts',(-1.29029524000471,2.0007557642177,-0.639308260407532)); #193683=CARTESIAN_POINT('Ctrl Pts',(-1.2976832528094,2.00381871036312,-0.638012254083798)); #193684=CARTESIAN_POINT('Ctrl Pts',(-1.30105214942931,2.00612574255811, -0.637339291150682)); #193685=CARTESIAN_POINT('Ctrl Pts',(-1.30636561951935,2.01143921264815, -0.636129395571081)); #193686=CARTESIAN_POINT('Ctrl Pts',(-1.30867307293528,2.01480238564786, -0.635510397121912)); #193687=CARTESIAN_POINT('Ctrl Pts',(-1.31174046019897,2.02219021672484, -0.634431768209149)); #193688=CARTESIAN_POINT('Ctrl Pts',(-1.31250000000001,2.0262150637982,-0.633972311700339)); #193689=CARTESIAN_POINT('Ctrl Pts',(-1.31250000000001,2.03,-0.633641172690513)); #193690=CARTESIAN_POINT('Origin',(0.,-0.742924266755311,8.49166322600385)); #193691=CARTESIAN_POINT('',(0.,0.,0.)); #193692=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.0100000000000029)); #193693=CARTESIAN_POINT('',(1.35175225842752,3.46990287254726,-0.00991273464501318)); #193694=CARTESIAN_POINT('',(1.35164883177209,3.46958972471385,-0.00745160434983235)); #193695=CARTESIAN_POINT('Origin',(1.34861620059773,3.46040774084485,-0.0100000000000029)); #193696=CARTESIAN_POINT('',(-1.35164883177208,3.46958972471386,-0.00745160434983235)); #193697=CARTESIAN_POINT('Origin',(-7.4306265427668E-16,-0.622835979680522, -0.00745160434983235)); #193698=CARTESIAN_POINT('',(-1.35175225842751,3.46990287254727,-0.00991273464501317)); #193699=CARTESIAN_POINT('Origin',(-1.34861620059772,3.46040774084486,-0.0100000000000029)); #193700=CARTESIAN_POINT('Origin',(-1.00532006166845E-15,-0.62283597968053, -0.00991273464501317)); #193701=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.0441362628797033)); #193702=CARTESIAN_POINT('',(1.35193959120507,3.47047006533883,-0.0783597911143936)); #193703=CARTESIAN_POINT('',(1.35175225842752,3.46990287254726,-0.00991273464501317)); #193704=CARTESIAN_POINT('',(-1.35193959120506,3.47047006533884,-0.0783597911143935)); #193705=CARTESIAN_POINT('',(-1.35175225842751,3.46990287254727,-0.00991273464501317)); #193706=CARTESIAN_POINT('Origin',(9.6161049376982E-16,-0.622835979680524, -0.0783597911143935)); #193707=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.0782725257594108)); #193708=CARTESIAN_POINT('',(1.35234432080739,3.47169547669492,-0.0831869505993715)); #193709=CARTESIAN_POINT('Origin',(1.35507564903485,3.47996519704124,-0.0782725257594108)); #193710=CARTESIAN_POINT('',(-1.35234432080739,3.47169547669493,-0.0831869505993714)); #193711=CARTESIAN_POINT('Origin',(-1.35507564903484,3.47996519704124,-0.0782725257594108)); #193712=CARTESIAN_POINT('Origin',(1.74838271594513E-15,-0.622835979680525, -0.0831869505993714)); #193713=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.0371142177247272)); #193714=CARTESIAN_POINT('',(1.4035567250722,3.62675273318835,-0.0831869505993567)); #193715=CARTESIAN_POINT('Origin',(1.3779505229398,3.54922410494163,-0.0371142177247272)); #193716=CARTESIAN_POINT('',(-1.40355672507219,3.62675273318835,-0.0831869505993566)); #193717=CARTESIAN_POINT('Origin',(-1.37795052293979,3.54922410494164,-0.0371142177247272)); #193718=CARTESIAN_POINT('Origin',(1.31128703695885E-16,-0.622835979680527, -0.0831869505993566)); #193719=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.0782725257593954)); #193720=CARTESIAN_POINT('',(1.40396145467453,3.62797814454444,-0.0783597911143795)); #193721=CARTESIAN_POINT('Origin',(1.40082539684474,3.61848301284203,-0.0782725257593954)); #193722=CARTESIAN_POINT('',(-1.40396145467452,3.62797814454444,-0.0783597911143794)); #193723=CARTESIAN_POINT('Origin',(-1.40082539684473,3.61848301284203,-0.0782725257593954)); #193724=CARTESIAN_POINT('Origin',(-2.44773580232318E-15,-0.622835979680518, -0.0783597911143794)); #193725=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.0441362628796959)); #193726=CARTESIAN_POINT('',(1.40414878745207,3.628545337336,-0.00991273464501249)); #193727=CARTESIAN_POINT('',(1.40396145467453,3.62797814454444,-0.0783597911143794)); #193728=CARTESIAN_POINT('',(-1.40414878745207,3.62854533733601,-0.00991273464501247)); #193729=CARTESIAN_POINT('',(-1.40396145467452,3.62797814454444,-0.0783597911143794)); #193730=CARTESIAN_POINT('Origin',(1.31128703695885E-15,-0.622835979680523, -0.00991273464501247)); #193731=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.00999999999999671)); #193732=CARTESIAN_POINT('',(1.4042522141075,3.62885848516941,-0.00745160434983165)); #193733=CARTESIAN_POINT('Origin',(1.40728484528186,3.63804046903841,-0.00999999999999671)); #193734=CARTESIAN_POINT('',(-1.4042522141075,3.62885848516942,-0.00745160434983165)); #193735=CARTESIAN_POINT('Origin',(-1.40728484528185,3.63804046903842,-0.00999999999999671)); #193736=CARTESIAN_POINT('Origin',(-2.62257407391769E-15,-0.622835979680527, -0.00745160434983165)); #193737=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.00490320869967228)); #193738=CARTESIAN_POINT('',(1.40399797815623,3.62808872773131,-0.000264785857842771)); #193739=CARTESIAN_POINT('Origin',(1.40121958293315,3.61967650130041,-0.00490320869967228)); #193740=CARTESIAN_POINT('',(-1.40399797815623,3.62808872773132,-0.000264785857842771)); #193741=CARTESIAN_POINT('Origin',(-1.40121958293314,3.61967650130042,-0.00490320869967228)); #193742=CARTESIAN_POINT('Origin',(3.45305586399163E-15,-0.62283597968053, -0.000264785857842771)); #193743=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.0437500000000015)); #193744=CARTESIAN_POINT('',(1.35190306772336,3.47035948215195,-0.000264785857842815)); #193745=CARTESIAN_POINT('Origin',(1.3779505229398,3.54922410494163,-0.0437500000000015)); #193746=CARTESIAN_POINT('',(-1.35190306772335,3.47035948215196,-0.000264785857842815)); #193747=CARTESIAN_POINT('Origin',(-1.37795052293979,3.54922410494164,-0.0437500000000015)); #193748=CARTESIAN_POINT('Origin',(-1.87951141964101E-15,-0.622835979680526, -0.000264785857842815)); #193749=CARTESIAN_POINT('Origin',(-5.68224382682167E-16,-0.622835979680522, -0.00490320869967298)); #193750=CARTESIAN_POINT('Origin',(1.35468146294644,3.47877170858285,-0.00490320869967298)); #193751=CARTESIAN_POINT('Origin',(-1.35468146294644,3.47877170858286,-0.00490320869967298)); #193752=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0100000000000029)); #193753=CARTESIAN_POINT('',(2.00372260467154,2.81602937387509,-0.00991273464501318)); #193754=CARTESIAN_POINT('',(2.00340915669856,2.81592686042787,-0.00745160434983235)); #193755=CARTESIAN_POINT('Origin',(1.99421837226839,2.81292100594565,-0.0100000000000029)); #193756=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.00745160434983235)); #193757=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.00991273464501318)); #193758=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0441362628797033)); #193759=CARTESIAN_POINT('',(2.00429034109452,2.81621505259323,-0.0783597911143936)); #193760=CARTESIAN_POINT('',(2.00372260467154,2.81602937387509,-0.00991273464501317)); #193761=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0783597911143936)); #193762=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0782725257594108)); #193763=CARTESIAN_POINT('',(2.00551692695797,2.81661620862551,-0.0831869505993715)); #193764=CARTESIAN_POINT('Origin',(2.01379457349767,2.81932342052267,-0.0782725257594108)); #193765=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0831869505993715)); #193766=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0371142177247272)); #193767=CARTESIAN_POINT('',(2.16072279957734,2.86737643169741,-0.0831869505993567)); #193768=CARTESIAN_POINT('Origin',(2.08311986326765,2.84199632016146,-0.0371142177247272)); #193769=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0831869505993567)); #193770=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0782725257593954)); #193771=CARTESIAN_POINT('',(2.16194938544078,2.86777758772968,-0.0783597911143795)); #193772=CARTESIAN_POINT('Origin',(2.15244515303763,2.86466921980024,-0.0782725257593954)); #193773=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0783597911143795)); #193774=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0441362628796959)); #193775=CARTESIAN_POINT('',(2.16251712186376,2.86796326644783,-0.00991273464501249)); #193776=CARTESIAN_POINT('',(2.16194938544078,2.86777758772968,-0.0783597911143794)); #193777=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.00991273464501249)); #193778=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.00999999999999671)); #193779=CARTESIAN_POINT('',(2.16283056983674,2.86806577989504,-0.00745160434983165)); #193780=CARTESIAN_POINT('Origin',(2.17202135426691,2.87107163437727,-0.00999999999999671)); #193781=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.00745160434983165)); #193782=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.00490320869967228)); #193783=CARTESIAN_POINT('',(2.16206007461718,2.8678137887264,-0.000264785857842771)); #193784=CARTESIAN_POINT('Origin',(2.15363978540657,2.86505992541281,-0.00490320869967228)); #193785=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.000264785857842771)); #193786=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.0437500000000015)); #193787=CARTESIAN_POINT('',(2.00417965191811,2.81617885159651,-0.000264785857842815)); #193788=CARTESIAN_POINT('Origin',(2.08311986326765,2.84199632016146,-0.0437500000000015)); #193789=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.000264785857842815)); #193790=CARTESIAN_POINT('Origin',(1.0306843157114,2.49779630851777,-0.00490320869967298)); #193791=CARTESIAN_POINT('Origin',(2.01259994112873,2.81893271491011,-0.00490320869967298)); #193792=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.0100000000000029)); #193793=CARTESIAN_POINT('',(2.39606217609801,0.815798253788387,-0.00991273464501318)); #193794=CARTESIAN_POINT('',(2.39573322803769,0.815774763104446,-0.00745160434983235)); #193795=CARTESIAN_POINT('Origin',(2.38608795682269,0.815085979539611,-0.0100000000000029)); #193796=CARTESIAN_POINT('Origin',(-5.93030000000018,0.221199999999977,-0.00745160434983244)); #193797=CARTESIAN_POINT('Origin',(-5.93030000000013,0.221199999999979,-0.00991273464501337)); #193798=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.0441362628797033)); #193799=CARTESIAN_POINT('',(2.39665798724122,0.815840801573103,-0.0783597911143935)); #193800=CARTESIAN_POINT('',(2.39606217609801,0.815798253788387,-0.00991273464501317)); #193801=CARTESIAN_POINT('Origin',(-5.93030000000012,0.221199999999976,-0.078359791114395)); #193802=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.0782725257594108)); #193803=CARTESIAN_POINT('',(2.39794522810856,0.815932725411598,-0.0831869505993715)); #193804=CARTESIAN_POINT('Origin',(2.40663220651655,0.816553075821878,-0.0782725257594108)); #193805=CARTESIAN_POINT('Origin',(-5.93030000000016,0.221199999999977,-0.0831869505993729)); #193806=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.0371142177247272)); #193807=CARTESIAN_POINT('',(2.56082607325832,0.827564295604359,-0.0831869505993567)); #193808=CARTESIAN_POINT('Origin',(2.47938565068344,0.821748510507978,-0.0371142177247272)); #193809=CARTESIAN_POINT('Origin',(-5.93030000000015,0.221199999999988,-0.0831869505993581)); #193810=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.0782725257593954)); #193811=CARTESIAN_POINT('',(2.56211331412567,0.827656219442854,-0.0783597911143794)); #193812=CARTESIAN_POINT('Origin',(2.55213909485033,0.826943945194078,-0.0782725257593954)); #193813=CARTESIAN_POINT('Origin',(-5.93030000000015,0.221199999999976,-0.0783597911143809)); #193814=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.0441362628796959)); #193815=CARTESIAN_POINT('',(2.56270912526887,0.82769876722757,-0.00991273464501248)); #193816=CARTESIAN_POINT('',(2.56211331412567,0.827656219442853,-0.0783597911143794)); #193817=CARTESIAN_POINT('Origin',(-5.93030000000017,0.221199999999962,-0.00991273464501267)); #193818=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.00999999999999671)); #193819=CARTESIAN_POINT('',(2.56303807332919,0.827722257911511,-0.00745160434983165)); #193820=CARTESIAN_POINT('Origin',(2.57268334454419,0.828411041476345,-0.00999999999999671)); #193821=CARTESIAN_POINT('Origin',(-5.93030000000016,0.221199999999983,-0.00745160434983174)); #193822=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.00490320869967228)); #193823=CARTESIAN_POINT('',(2.56222947691188,0.827664514805027,-0.000264785857842771)); #193824=CARTESIAN_POINT('Origin',(2.55339280211418,0.827033474346675,-0.00490320869967228)); #193825=CARTESIAN_POINT('Origin',(-5.93030000000016,0.221199999999964,-0.000264785857842774)); #193826=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.0437500000000015)); #193827=CARTESIAN_POINT('',(2.396541824455,0.815832506210929,-0.000264785857842815)); #193828=CARTESIAN_POINT('Origin',(2.47938565068344,0.821748510507978,-0.0437500000000015)); #193829=CARTESIAN_POINT('Origin',(-5.93030000000015,0.221199999999969,-0.000264785857842818)); #193830=CARTESIAN_POINT('Origin',(-5.93030000000025,0.221199999999959,-0.00490320869967298)); #193831=CARTESIAN_POINT('Origin',(2.4053784992527,0.81646354666928,-0.00490320869967298)); #193832=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0100000000000029)); #193833=CARTESIAN_POINT('',(2.31939476266861,0.372896566323214,-0.00991273464501318)); #193834=CARTESIAN_POINT('',(2.3190928506076,0.3730292605056,-0.00745160434983235)); #193835=CARTESIAN_POINT('Origin',(2.31024031714483,0.37692006131016,-0.0100000000000029)); #193836=CARTESIAN_POINT('Origin',(1.46324676041667,0.749184478703854,-0.00745160434983235)); #193837=CARTESIAN_POINT('Origin',(1.46324676041667,0.749184478703852,-0.00991273464501318)); #193838=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0441362628797033)); #193839=CARTESIAN_POINT('',(2.31994160453186,0.372656222384336,-0.0783597911143935)); #193840=CARTESIAN_POINT('',(2.31939476266861,0.372896566323214,-0.00991273464501317)); #193841=CARTESIAN_POINT('Origin',(1.46324676041667,0.749184478703853,-0.0783597911143935)); #193842=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0782725257594108)); #193843=CARTESIAN_POINT('',(2.32112304801839,0.372136962978284,-0.0831869505993715)); #193844=CARTESIAN_POINT('Origin',(2.32909605005564,0.36863272739739,-0.0782725257594108)); #193845=CARTESIAN_POINT('Origin',(1.46324676041667,0.749184478703854,-0.0831869505993715)); #193846=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0371142177247272)); #193847=CARTESIAN_POINT('',(2.4706168362168,0.306432545836518,-0.0831869505993567)); #193848=CARTESIAN_POINT('Origin',(2.39586994211759,0.339284754407403,-0.0371142177247272)); #193849=CARTESIAN_POINT('Origin',(1.46324676041667,0.749184478703852,-0.0831869505993567)); #193850=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0782725257593954)); #193851=CARTESIAN_POINT('',(2.47179827970333,0.305913286430466,-0.0783597911143794)); #193852=CARTESIAN_POINT('Origin',(2.46264383417954,0.309936781417415,-0.0782725257593954)); #193853=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703852,-0.0783597911143794)); #193854=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0441362628796959)); #193855=CARTESIAN_POINT('',(2.47234512156657,0.305672942491591,-0.00991273464501248)); #193856=CARTESIAN_POINT('',(2.47179827970333,0.305913286430466,-0.0783597911143794)); #193857=CARTESIAN_POINT('Origin',(1.46324676041667,0.749184478703852,-0.00991273464501248)); #193858=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.00999999999999671)); #193859=CARTESIAN_POINT('',(2.47264703362758,0.305540248309206,-0.00745160434983165)); #193860=CARTESIAN_POINT('Origin',(2.48149956709035,0.301649447504645,-0.00999999999999671)); #193861=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.00745160434983165)); #193862=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.00490320869967228)); #193863=CARTESIAN_POINT('',(2.47190489515552,0.305866427586168,-0.000264785857842771)); #193864=CARTESIAN_POINT('Origin',(2.46379450016481,0.309431049113767,-0.00490320869967228)); #193865=CARTESIAN_POINT('Origin',(1.46324676041667,0.749184478703853,-0.000264785857842771)); #193866=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.0437500000000015)); #193867=CARTESIAN_POINT('',(2.31983498907966,0.372703081228637,-0.000264785857842815)); #193868=CARTESIAN_POINT('Origin',(2.39586994211759,0.339284754407403,-0.0437500000000015)); #193869=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.000264785857842815)); #193870=CARTESIAN_POINT('Origin',(1.46324676041668,0.749184478703851,-0.00490320869967298)); #193871=CARTESIAN_POINT('Origin',(2.32794538407037,0.369138459701038,-0.00490320869967298)); #193872=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.0100000000000029)); #193873=CARTESIAN_POINT('',(-2.31939476266862,0.372896566323217,-0.00991273464501318)); #193874=CARTESIAN_POINT('',(-2.3190928506076,0.373029260505603,-0.00745160434983235)); #193875=CARTESIAN_POINT('Origin',(-2.31024031714484,0.376920061310164,-0.0100000000000029)); #193876=CARTESIAN_POINT('Origin',(-1.56847783514271E-15,1.39230000000001, -0.00745160434983235)); #193877=CARTESIAN_POINT('Origin',(-5.88045188971189E-16,1.39230000000001, -0.00991273464501318)); #193878=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.0441362628797033)); #193879=CARTESIAN_POINT('',(-2.31994160453186,0.37265622238434,-0.0783597911143935)); #193880=CARTESIAN_POINT('',(-2.31939476266862,0.372896566323217,-0.00991273464501317)); #193881=CARTESIAN_POINT('Origin',(-1.00478897515719E-15,1.3923,-0.0783597911143935)); #193882=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.0782725257594108)); #193883=CARTESIAN_POINT('',(-2.32112304801839,0.372136962978287,-0.0831869505993714)); #193884=CARTESIAN_POINT('Origin',(-2.32909605005564,0.368632727397394,-0.0782725257594108)); #193885=CARTESIAN_POINT('Origin',(-8.53092611531458E-16,1.39230000000001, -0.0831869505993715)); #193886=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.0371142177247272)); #193887=CARTESIAN_POINT('',(-2.4706168362168,0.30643254583652,-0.0831869505993567)); #193888=CARTESIAN_POINT('Origin',(-2.39586994211759,0.339284754407406,-0.0371142177247272)); #193889=CARTESIAN_POINT('Origin',(-8.59679712015503E-16,1.39230000000001, -0.0831869505993567)); #193890=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.0782725257593954)); #193891=CARTESIAN_POINT('',(-2.47179827970333,0.305913286430469,-0.0783597911143794)); #193892=CARTESIAN_POINT('Origin',(-2.46264383417955,0.309936781417417,-0.0782725257593954)); #193893=CARTESIAN_POINT('Origin',(-1.1318258411958E-15,1.39230000000001, -0.0783597911143794)); #193894=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.0441362628796959)); #193895=CARTESIAN_POINT('',(-2.47234512156657,0.305672942491594,-0.00991273464501248)); #193896=CARTESIAN_POINT('',(-2.47179827970333,0.305913286430469,-0.0783597911143794)); #193897=CARTESIAN_POINT('Origin',(-6.79540241480848E-16,1.39230000000001, -0.00991273464501248)); #193898=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.00999999999999671)); #193899=CARTESIAN_POINT('',(-2.47264703362758,0.305540248309208,-0.00745160434983165)); #193900=CARTESIAN_POINT('Origin',(-2.48149956709035,0.301649447504647,-0.00999999999999671)); #193901=CARTESIAN_POINT('Origin',(-1.16232682654918E-15,1.39230000000001, -0.00745160434983165)); #193902=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.00490320869967228)); #193903=CARTESIAN_POINT('',(-2.47190489515552,0.305866427586171,-0.000264785857842771)); #193904=CARTESIAN_POINT('Origin',(-2.46379450016481,0.30943104911377,-0.00490320869967228)); #193905=CARTESIAN_POINT('Origin',(-1.26306704844627E-15,1.39230000000001, -0.000264785857842771)); #193906=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.0437500000000015)); #193907=CARTESIAN_POINT('',(-2.31983498907966,0.37270308122864,-0.000264785857842815)); #193908=CARTESIAN_POINT('Origin',(-2.39586994211759,0.339284754407406,-0.0437500000000015)); #193909=CARTESIAN_POINT('Origin',(-7.84767951016881E-16,1.39230000000001, -0.000264785857842815)); #193910=CARTESIAN_POINT('Origin',(-8.74191357972564E-16,1.39230000000001, -0.00490320869967298)); #193911=CARTESIAN_POINT('Origin',(-2.32794538407038,0.369138459701042,-0.00490320869967298)); #193912=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.0100000000000029)); #193913=CARTESIAN_POINT('',(-2.39606217609801,0.815798253788392,-0.00991273464501318)); #193914=CARTESIAN_POINT('',(-2.39573322803769,0.815774763104451,-0.00745160434983235)); #193915=CARTESIAN_POINT('Origin',(-2.38608795682268,0.815085979539617,-0.0100000000000029)); #193916=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.00745160434983235)); #193917=CARTESIAN_POINT('Origin',(-1.46324676041667,0.74918447870385,-0.00991273464501318)); #193918=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.0441362628797033)); #193919=CARTESIAN_POINT('',(-2.39665798724122,0.815840801573109,-0.0783597911143935)); #193920=CARTESIAN_POINT('',(-2.39606217609801,0.815798253788392,-0.00991273464501317)); #193921=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703847,-0.0783597911143935)); #193922=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.0782725257594108)); #193923=CARTESIAN_POINT('',(-2.39794522810856,0.815932725411603,-0.0831869505993714)); #193924=CARTESIAN_POINT('Origin',(-2.40663220651655,0.816553075821884,-0.0782725257594108)); #193925=CARTESIAN_POINT('Origin',(-1.46324676041667,0.749184478703851,-0.0831869505993714)); #193926=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.0371142177247272)); #193927=CARTESIAN_POINT('',(-2.56082607325832,0.827564295604364,-0.0831869505993567)); #193928=CARTESIAN_POINT('Origin',(-2.47938565068344,0.821748510507983,-0.0371142177247272)); #193929=CARTESIAN_POINT('Origin',(-1.46324676041667,0.74918447870385,-0.0831869505993567)); #193930=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.0782725257593954)); #193931=CARTESIAN_POINT('',(-2.56211331412567,0.827656219442858,-0.0783597911143794)); #193932=CARTESIAN_POINT('Origin',(-2.55213909485033,0.826943945194082,-0.0782725257593954)); #193933=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.0783597911143794)); #193934=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.0441362628796959)); #193935=CARTESIAN_POINT('',(-2.56270912526887,0.827698767227574,-0.00991273464501248)); #193936=CARTESIAN_POINT('',(-2.56211331412567,0.827656219442859,-0.0783597911143794)); #193937=CARTESIAN_POINT('Origin',(-1.46324676041667,0.749184478703849,-0.00991273464501248)); #193938=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.00999999999999671)); #193939=CARTESIAN_POINT('',(-2.56303807332919,0.827722257911515,-0.00745160434983165)); #193940=CARTESIAN_POINT('Origin',(-2.57268334454419,0.82841104147635,-0.00999999999999671)); #193941=CARTESIAN_POINT('Origin',(-1.46324676041667,0.74918447870385,-0.00745160434983165)); #193942=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.00490320869967228)); #193943=CARTESIAN_POINT('',(-2.56222947691188,0.827664514805032,-0.000264785857842771)); #193944=CARTESIAN_POINT('Origin',(-2.55339280211418,0.82703347434668,-0.00490320869967228)); #193945=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703848,-0.000264785857842771)); #193946=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.0437500000000015)); #193947=CARTESIAN_POINT('',(-2.396541824455,0.815832506210934,-0.000264785857842815)); #193948=CARTESIAN_POINT('Origin',(-2.47938565068344,0.821748510507983,-0.0437500000000015)); #193949=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.000264785857842815)); #193950=CARTESIAN_POINT('Origin',(-1.46324676041668,0.749184478703849,-0.00490320869967298)); #193951=CARTESIAN_POINT('Origin',(-2.4053784992527,0.816463546669287,-0.00490320869967298)); #193952=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.0100000000000029)); #193953=CARTESIAN_POINT('',(-2.00372260467154,2.81602937387509,-0.00991273464501318)); #193954=CARTESIAN_POINT('',(-2.00340915669856,2.81592686042788,-0.00745160434983235)); #193955=CARTESIAN_POINT('Origin',(-1.99421837226839,2.81292100594565,-0.0100000000000029)); #193956=CARTESIAN_POINT('Origin',(5.93030000000043,0.221199999999905,-0.00745160434983226)); #193957=CARTESIAN_POINT('Origin',(5.93030000000043,0.221199999999929,-0.009912734645013)); #193958=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.0441362628797033)); #193959=CARTESIAN_POINT('',(-2.00429034109452,2.81621505259324,-0.0783597911143935)); #193960=CARTESIAN_POINT('',(-2.00372260467154,2.81602937387509,-0.00991273464501317)); #193961=CARTESIAN_POINT('Origin',(5.93030000000042,0.22119999999993,-0.0783597911143921)); #193962=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.0782725257594108)); #193963=CARTESIAN_POINT('',(-2.00551692695797,2.81661620862551,-0.0831869505993714)); #193964=CARTESIAN_POINT('Origin',(-2.01379457349767,2.81932342052268,-0.0782725257594108)); #193965=CARTESIAN_POINT('Origin',(5.93030000000043,0.221199999999913,-0.08318695059937)); #193966=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.0371142177247272)); #193967=CARTESIAN_POINT('',(-2.16072279957734,2.86737643169741,-0.0831869505993566)); #193968=CARTESIAN_POINT('Origin',(-2.08311986326765,2.84199632016146,-0.0371142177247272)); #193969=CARTESIAN_POINT('Origin',(5.93030000000045,0.221199999999942,-0.0831869505993552)); #193970=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.0782725257593954)); #193971=CARTESIAN_POINT('',(-2.16194938544078,2.86777758772969,-0.0783597911143794)); #193972=CARTESIAN_POINT('Origin',(-2.15244515303763,2.86466921980024,-0.0782725257593954)); #193973=CARTESIAN_POINT('Origin',(5.93030000000041,0.221199999999937,-0.078359791114378)); #193974=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.0441362628796959)); #193975=CARTESIAN_POINT('',(-2.16251712186376,2.86796326644783,-0.00991273464501248)); #193976=CARTESIAN_POINT('',(-2.16194938544078,2.86777758772968,-0.0783597911143794)); #193977=CARTESIAN_POINT('Origin',(5.93030000000039,0.221199999999935,-0.0099127346450123)); #193978=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.00999999999999671)); #193979=CARTESIAN_POINT('',(-2.16283056983674,2.86806577989504,-0.00745160434983165)); #193980=CARTESIAN_POINT('Origin',(-2.17202135426691,2.87107163437727,-0.00999999999999671)); #193981=CARTESIAN_POINT('Origin',(5.93030000000044,0.221199999999911,-0.00745160434983156)); #193982=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.00490320869967228)); #193983=CARTESIAN_POINT('',(-2.16206007461718,2.86781378872641,-0.000264785857842771)); #193984=CARTESIAN_POINT('Origin',(-2.15363978540657,2.86505992541281,-0.00490320869967228)); #193985=CARTESIAN_POINT('Origin',(5.93030000000043,0.221199999999933,-0.000264785857842768)); #193986=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.0437500000000015)); #193987=CARTESIAN_POINT('',(-2.00417965191812,2.81617885159651,-0.000264785857842815)); #193988=CARTESIAN_POINT('Origin',(-2.08311986326765,2.84199632016146,-0.0437500000000015)); #193989=CARTESIAN_POINT('Origin',(5.9303000000004,0.221199999999938,-0.000264785857842812)); #193990=CARTESIAN_POINT('Origin',(5.93030000000029,0.221199999999956,-0.00490320869967298)); #193991=CARTESIAN_POINT('Origin',(-2.01259994112873,2.81893271491011,-0.00490320869967298)); #193992=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0100000000000029)); #193993=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851778,-0.00745160434983233)); #193994=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.00991273464501314)); #193995=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0441362628797033)); #193996=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0783597911143932)); #193997=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0782725257594108)); #193998=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851778,-0.0831869505993711)); #193999=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0371142177247272)); #194000=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0831869505993564)); #194001=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0782725257593954)); #194002=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0783597911143791)); #194003=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0441362628796959)); #194004=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.00991273464501244)); #194005=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.00999999999999671)); #194006=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.00745160434983163)); #194007=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.00490320869967228)); #194008=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.000264785857842771)); #194009=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.0437500000000015)); #194010=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.000264785857842814)); #194011=CARTESIAN_POINT('Origin',(-1.0306843157114,2.49779630851777,-0.00490320869967298)); #194012=CARTESIAN_POINT('',(0.,0.,0.)); #194013=CARTESIAN_POINT('',(4.8080524688491E-16,2.4261,-0.26808722)); #194014=CARTESIAN_POINT('',(5.16915079309937E-16,2.4261,-1.525855315)); #194015=CARTESIAN_POINT('',(-2.85563873266614E-258,-0.595427155439286,-0.875888815)); #194016=CARTESIAN_POINT('',(8.4408780631231E-17,6.41306497685515E-30,-0.68925)); #194017=CARTESIAN_POINT('',(1.375,1.27306234,-1.27709999999999)); #194018=CARTESIAN_POINT('',(-1.375,1.27306234,-1.2771)); #194019=CARTESIAN_POINT('',(3.87384572992213E-16,1.4261,-1.1646)); #194020=CARTESIAN_POINT('',(7.81173503078167E-16,2.4261,-0.6425)); #194021=CARTESIAN_POINT('',(5.12393905806252E-16,2.4261,-1.368375)); #194022=CARTESIAN_POINT('',(5.95916619124126E-16,2.4261,-1.688364165)); #194023=CARTESIAN_POINT('',(5.14509539474054E-16,2.4261,-1.663955315)); #194024=CARTESIAN_POINT('',(0.,0.,0.)); #194025=CARTESIAN_POINT('',(0.,0.,-0.0399999999997778)); #194026=CARTESIAN_POINT('Origin',(0.,0.,0.)); #194027=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194028=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194029=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194030=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194031=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194032=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194033=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194034=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194035=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194036=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194037=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194038=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194039=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194040=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194041=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194042=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194043=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194044=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194045=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194046=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194047=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194048=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194049=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194050=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194051=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194052=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194053=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194054=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194055=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194056=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194057=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194058=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194059=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), #194095,'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #194060=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194027)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194061=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194028)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194062=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194029)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194063=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194030)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194064=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194031)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194065=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194032)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194066=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194033)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194067=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194034)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194068=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194035)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194069=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194036)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194070=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194037)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194071=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194038)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194072=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194039)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194073=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194040)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194074=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194041)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194075=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194042)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194076=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194043)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194077=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194044)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194078=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194045)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194079=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194046)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194080=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194047)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194081=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194048)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194082=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194049)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194083=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194050)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194084=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194051)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194085=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194052)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194086=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194053)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194087=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194054)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194088=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194055)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194089=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194056)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194090=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194057)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194091=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194058)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194092=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#194059)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#194095,#194099,#194100)) REPRESENTATION_CONTEXT('','3D') ); #194093=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.); #194094=DIMENSIONAL_EXPONENTS(0.,1.,0.,0.,0.,0.,0.); #194095=( CONVERSION_BASED_UNIT('inch',#194098) LENGTH_UNIT() NAMED_UNIT(#194093) ); #194096=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #194097=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #194098=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#194096); #194099=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #194100=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #194101=SHAPE_DEFINITION_REPRESENTATION(#194133,#194199); #194102=SHAPE_DEFINITION_REPRESENTATION(#194134,#194200); #194103=SHAPE_DEFINITION_REPRESENTATION(#194135,#194201); #194104=SHAPE_DEFINITION_REPRESENTATION(#194136,#194202); #194105=SHAPE_DEFINITION_REPRESENTATION(#194140,#194203); #194106=SHAPE_DEFINITION_REPRESENTATION(#194141,#194204); #194107=SHAPE_DEFINITION_REPRESENTATION(#194142,#194205); #194108=SHAPE_DEFINITION_REPRESENTATION(#194143,#194206); #194109=SHAPE_DEFINITION_REPRESENTATION(#194144,#194207); #194110=SHAPE_DEFINITION_REPRESENTATION(#194145,#194208); #194111=SHAPE_DEFINITION_REPRESENTATION(#194151,#194209); #194112=SHAPE_DEFINITION_REPRESENTATION(#194152,#194210); #194113=SHAPE_DEFINITION_REPRESENTATION(#194153,#194211); #194114=SHAPE_DEFINITION_REPRESENTATION(#194154,#194212); #194115=SHAPE_DEFINITION_REPRESENTATION(#194155,#194213); #194116=SHAPE_DEFINITION_REPRESENTATION(#194158,#194214); #194117=SHAPE_DEFINITION_REPRESENTATION(#194162,#194215); #194118=SHAPE_DEFINITION_REPRESENTATION(#194163,#194216); #194119=SHAPE_DEFINITION_REPRESENTATION(#194164,#194217); #194120=SHAPE_DEFINITION_REPRESENTATION(#194165,#194218); #194121=SHAPE_DEFINITION_REPRESENTATION(#194171,#194219); #194122=SHAPE_DEFINITION_REPRESENTATION(#194172,#194220); #194123=SHAPE_DEFINITION_REPRESENTATION(#194173,#194221); #194124=SHAPE_DEFINITION_REPRESENTATION(#194174,#194222); #194125=SHAPE_DEFINITION_REPRESENTATION(#194177,#194223); #194126=SHAPE_DEFINITION_REPRESENTATION(#194178,#194224); #194127=SHAPE_DEFINITION_REPRESENTATION(#194179,#194225); #194128=SHAPE_DEFINITION_REPRESENTATION(#194180,#194226); #194129=SHAPE_DEFINITION_REPRESENTATION(#194181,#194227); #194130=SHAPE_DEFINITION_REPRESENTATION(#194182,#194228); #194131=SHAPE_DEFINITION_REPRESENTATION(#194183,#194229); #194132=SHAPE_DEFINITION_REPRESENTATION(#194184,#194230); #194133=PRODUCT_DEFINITION_SHAPE('',$,#194232); #194134=PRODUCT_DEFINITION_SHAPE('',$,#194233); #194135=PRODUCT_DEFINITION_SHAPE('',$,#194234); #194136=PRODUCT_DEFINITION_SHAPE('',$,#194235); #194137=PRODUCT_DEFINITION_SHAPE($,$,#118); #194138=PRODUCT_DEFINITION_SHAPE($,$,#119); #194139=PRODUCT_DEFINITION_SHAPE($,$,#120); #194140=PRODUCT_DEFINITION_SHAPE('',$,#194236); #194141=PRODUCT_DEFINITION_SHAPE('',$,#194237); #194142=PRODUCT_DEFINITION_SHAPE('',$,#194238); #194143=PRODUCT_DEFINITION_SHAPE('',$,#194239); #194144=PRODUCT_DEFINITION_SHAPE('',$,#194240); #194145=PRODUCT_DEFINITION_SHAPE('',$,#194241); #194146=PRODUCT_DEFINITION_SHAPE($,$,#121); #194147=PRODUCT_DEFINITION_SHAPE($,$,#122); #194148=PRODUCT_DEFINITION_SHAPE($,$,#123); #194149=PRODUCT_DEFINITION_SHAPE($,$,#124); #194150=PRODUCT_DEFINITION_SHAPE($,$,#125); #194151=PRODUCT_DEFINITION_SHAPE('',$,#194242); #194152=PRODUCT_DEFINITION_SHAPE('',$,#194243); #194153=PRODUCT_DEFINITION_SHAPE('',$,#194244); #194154=PRODUCT_DEFINITION_SHAPE('',$,#194245); #194155=PRODUCT_DEFINITION_SHAPE('',$,#194246); #194156=PRODUCT_DEFINITION_SHAPE($,$,#126); #194157=PRODUCT_DEFINITION_SHAPE($,$,#127); #194158=PRODUCT_DEFINITION_SHAPE('',$,#194247); #194159=PRODUCT_DEFINITION_SHAPE($,$,#128); #194160=PRODUCT_DEFINITION_SHAPE($,$,#129); #194161=PRODUCT_DEFINITION_SHAPE($,$,#130); #194162=PRODUCT_DEFINITION_SHAPE('',$,#194248); #194163=PRODUCT_DEFINITION_SHAPE('',$,#194249); #194164=PRODUCT_DEFINITION_SHAPE('',$,#194250); #194165=PRODUCT_DEFINITION_SHAPE('',$,#194251); #194166=PRODUCT_DEFINITION_SHAPE($,$,#131); #194167=PRODUCT_DEFINITION_SHAPE($,$,#132); #194168=PRODUCT_DEFINITION_SHAPE($,$,#133); #194169=PRODUCT_DEFINITION_SHAPE($,$,#134); #194170=PRODUCT_DEFINITION_SHAPE($,$,#135); #194171=PRODUCT_DEFINITION_SHAPE('',$,#194252); #194172=PRODUCT_DEFINITION_SHAPE('',$,#194253); #194173=PRODUCT_DEFINITION_SHAPE('',$,#194254); #194174=PRODUCT_DEFINITION_SHAPE('',$,#194255); #194175=PRODUCT_DEFINITION_SHAPE($,$,#136); #194176=PRODUCT_DEFINITION_SHAPE($,$,#137); #194177=PRODUCT_DEFINITION_SHAPE('',$,#194256); #194178=PRODUCT_DEFINITION_SHAPE('',$,#194257); #194179=PRODUCT_DEFINITION_SHAPE('',$,#194258); #194180=PRODUCT_DEFINITION_SHAPE('',$,#194259); #194181=PRODUCT_DEFINITION_SHAPE('',$,#194260); #194182=PRODUCT_DEFINITION_SHAPE('',$,#194261); #194183=PRODUCT_DEFINITION_SHAPE('',$,#194262); #194184=PRODUCT_DEFINITION_SHAPE('',$,#194263); #194185=PRODUCT_DEFINITION_SHAPE($,$,#138); #194186=PRODUCT_DEFINITION_SHAPE($,$,#139); #194187=PRODUCT_DEFINITION_SHAPE($,$,#140); #194188=PRODUCT_DEFINITION_SHAPE($,$,#141); #194189=PRODUCT_DEFINITION_SHAPE($,$,#142); #194190=PRODUCT_DEFINITION_SHAPE($,$,#143); #194191=PRODUCT_DEFINITION_SHAPE($,$,#144); #194192=PRODUCT_DEFINITION_SHAPE($,$,#145); #194193=PRODUCT_DEFINITION_SHAPE($,$,#146); #194194=PRODUCT_DEFINITION_SHAPE($,$,#147); #194195=PRODUCT_DEFINITION_SHAPE($,$,#148); #194196=PRODUCT_DEFINITION_SHAPE($,$,#149); #194197=PRODUCT_DEFINITION_SHAPE($,$,#150); #194198=PRODUCT_DEFINITION_SHAPE($,$,#151); #194199=SHAPE_REPRESENTATION('',(#91048,#98608,#98609,#98610,#98611,#98612, #98613,#98614,#98615,#98616,#98617,#98618,#98619,#98620,#98621),#194060); #194200=SHAPE_REPRESENTATION('',(#91049,#95073,#95074,#95075),#194061); #194201=SHAPE_REPRESENTATION('',(#91050),#194062); #194202=SHAPE_REPRESENTATION('',(#94936),#194063); #194203=SHAPE_REPRESENTATION('',(#95076,#95650,#95651,#95652,#95653,#95654), #194064); #194204=SHAPE_REPRESENTATION('',(#95077),#194065); #194205=SHAPE_REPRESENTATION('',(#95263),#194066); #194206=SHAPE_REPRESENTATION('',(#95607),#194067); #194207=SHAPE_REPRESENTATION('',(#95627),#194068); #194208=SHAPE_REPRESENTATION('',(#95630),#194069); #194209=SHAPE_REPRESENTATION('',(#95655,#96123,#96124,#96125,#96126,#96127), #194070); #194210=SHAPE_REPRESENTATION('',(#95656,#96017,#96018,#96019),#194071); #194211=SHAPE_REPRESENTATION('',(#95657,#95977,#95978),#194072); #194212=SHAPE_REPRESENTATION('',(#95658),#194073); #194213=SHAPE_REPRESENTATION('',(#95907),#194074); #194214=SHAPE_REPRESENTATION('',(#95979),#194075); #194215=SHAPE_REPRESENTATION('',(#96020),#194076); #194216=SHAPE_REPRESENTATION('',(#96029),#194077); #194217=SHAPE_REPRESENTATION('',(#96056),#194078); #194218=SHAPE_REPRESENTATION('',(#96091),#194079); #194219=SHAPE_REPRESENTATION('',(#96128),#194080); #194220=SHAPE_REPRESENTATION('',(#96275,#96895,#96896),#194081); #194221=SHAPE_REPRESENTATION('',(#96276),#194082); #194222=SHAPE_REPRESENTATION('',(#96891),#194083); #194223=SHAPE_REPRESENTATION('',(#96897),#194084); #194224=SHAPE_REPRESENTATION('',(#96924),#194085); #194225=SHAPE_REPRESENTATION('',(#97273),#194086); #194226=SHAPE_REPRESENTATION('',(#97280),#194087); #194227=SHAPE_REPRESENTATION('',(#97597),#194088); #194228=SHAPE_REPRESENTATION('',(#97879),#194089); #194229=SHAPE_REPRESENTATION('',(#98026),#194090); #194230=SHAPE_REPRESENTATION('',(#98383),#194091); #194231=PRODUCT_DEFINITION_CONTEXT('part definition',#194329,'design'); #194232=PRODUCT_DEFINITION('28530','28530',#194264,#194231); #194233=PRODUCT_DEFINITION('28500-1','28500-1',#194265,#194231); #194234=PRODUCT_DEFINITION('28500-1_1','28500-1',#194266,#194231); #194235=PRODUCT_DEFINITION('28500-28','28500-28',#194267,#194231); #194236=PRODUCT_DEFINITION('28500-55','28500-55',#194268,#194231); #194237=PRODUCT_DEFINITION('28500-4','28500-4',#194269,#194231); #194238=PRODUCT_DEFINITION('28500-2','28500-2',#194270,#194231); #194239=PRODUCT_DEFINITION('5661-SS16','5661-SS16 RIVETED @849',#194271, #194231); #194240=PRODUCT_DEFINITION('1-16800-17','1-16800-17 Assembled',#194272, #194231); #194241=PRODUCT_DEFINITION('28500-20 ASSEMBLED','28500-20 ASSEMBLED',#194273, #194231); #194242=PRODUCT_DEFINITION('28530-52','28530-52',#194274,#194231); #194243=PRODUCT_DEFINITION('28530-51','28530-51',#194275,#194231); #194244=PRODUCT_DEFINITION('28530-50','28530-50',#194276,#194231); #194245=PRODUCT_DEFINITION('28530-47','28530-47',#194277,#194231); #194246=PRODUCT_DEFINITION('1-28400-48','1-28400-48',#194278,#194231); #194247=PRODUCT_DEFINITION('2-9020-2','2-9020-2 C_SUNK RIVETED',#194279, #194231); #194248=PRODUCT_DEFINITION('62-240-11','62-240-11',#194280,#194231); #194249=PRODUCT_DEFINITION('3-2500-7', '3-2500-7 DO NOT USE - USE NEW VERSION',#194281,#194231); #194250=PRODUCT_DEFINITION('7-2500-8','7-2500-8',#194282,#194231); #194251=PRODUCT_DEFINITION('28400-18','28400-18',#194283,#194231); #194252=PRODUCT_DEFINITION('1-28500-6','1-28500-6',#194284,#194231); #194253=PRODUCT_DEFINITION('28500DB-5','28500DB-5',#194285,#194231); #194254=PRODUCT_DEFINITION('28500DB-5_1','28500DB-5',#194286,#194231); #194255=PRODUCT_DEFINITION('1-9010DB-5 O-RING','1-9010DB-5 O-RING',#194287, #194231); #194256=PRODUCT_DEFINITION('16370','16370',#194288,#194231); #194257=PRODUCT_DEFINITION('28500-13','28500-13',#194289,#194231); #194258=PRODUCT_DEFINITION('28500-21SS','28500-21SS Assembled @384',#194290, #194231); #194259=PRODUCT_DEFINITION('28500-34','28500-34',#194291,#194231); #194260=PRODUCT_DEFINITION('28500-16','28500-16',#194292,#194231); #194261=PRODUCT_DEFINITION('16724','16724',#194293,#194231); #194262=PRODUCT_DEFINITION( 'COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES', 'COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES',#194294,#194231); #194263=PRODUCT_DEFINITION('28500-10','28500-10 ASSEMBLED',#194295,#194231); #194264=PRODUCT_DEFINITION_FORMATION('',$,#194331); #194265=PRODUCT_DEFINITION_FORMATION('',$,#194332); #194266=PRODUCT_DEFINITION_FORMATION('',$,#194333); #194267=PRODUCT_DEFINITION_FORMATION('',$,#194334); #194268=PRODUCT_DEFINITION_FORMATION('',$,#194335); #194269=PRODUCT_DEFINITION_FORMATION('',$,#194336); #194270=PRODUCT_DEFINITION_FORMATION('',$,#194337); #194271=PRODUCT_DEFINITION_FORMATION('',$,#194338); #194272=PRODUCT_DEFINITION_FORMATION('',$,#194339); #194273=PRODUCT_DEFINITION_FORMATION('',$,#194340); #194274=PRODUCT_DEFINITION_FORMATION('',$,#194341); #194275=PRODUCT_DEFINITION_FORMATION('',$,#194342); #194276=PRODUCT_DEFINITION_FORMATION('',$,#194343); #194277=PRODUCT_DEFINITION_FORMATION('',$,#194344); #194278=PRODUCT_DEFINITION_FORMATION('',$,#194345); #194279=PRODUCT_DEFINITION_FORMATION('',$,#194346); #194280=PRODUCT_DEFINITION_FORMATION('',$,#194347); #194281=PRODUCT_DEFINITION_FORMATION('Rev. 2 EN 5188 3-11-2005',$,#194348); #194282=PRODUCT_DEFINITION_FORMATION('',$,#194349); #194283=PRODUCT_DEFINITION_FORMATION('',$,#194350); #194284=PRODUCT_DEFINITION_FORMATION('',$,#194351); #194285=PRODUCT_DEFINITION_FORMATION('',$,#194352); #194286=PRODUCT_DEFINITION_FORMATION('',$,#194353); #194287=PRODUCT_DEFINITION_FORMATION('',$,#194354); #194288=PRODUCT_DEFINITION_FORMATION('',$,#194355); #194289=PRODUCT_DEFINITION_FORMATION('',$,#194356); #194290=PRODUCT_DEFINITION_FORMATION('',$,#194357); #194291=PRODUCT_DEFINITION_FORMATION('',$,#194358); #194292=PRODUCT_DEFINITION_FORMATION('',$,#194359); #194293=PRODUCT_DEFINITION_FORMATION('',$,#194360); #194294=PRODUCT_DEFINITION_FORMATION('',$,#194361); #194295=PRODUCT_DEFINITION_FORMATION('',$,#194362); #194296=PRODUCT_RELATED_PRODUCT_CATEGORY('28530','28530',(#194331)); #194297=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-1','28500-1',(#194332)); #194298=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-1','28500-1',(#194333)); #194299=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-28','28500-28',(#194334)); #194300=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-55','28500-55',(#194335)); #194301=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-4','28500-4',(#194336)); #194302=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-2','28500-2',(#194337)); #194303=PRODUCT_RELATED_PRODUCT_CATEGORY('5661-SS16 RIVETED @849', '5661-SS16 RIVETED @849',(#194338)); #194304=PRODUCT_RELATED_PRODUCT_CATEGORY('1-16800-17 Assembled', '1-16800-17 Assembled',(#194339)); #194305=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-20 ASSEMBLED', '28500-20 ASSEMBLED',(#194340)); #194306=PRODUCT_RELATED_PRODUCT_CATEGORY('28530-52','28530-52',(#194341)); #194307=PRODUCT_RELATED_PRODUCT_CATEGORY('28530-51','28530-51',(#194342)); #194308=PRODUCT_RELATED_PRODUCT_CATEGORY('28530-50','28530-50',(#194343)); #194309=PRODUCT_RELATED_PRODUCT_CATEGORY('28530-47','28530-47',(#194344)); #194310=PRODUCT_RELATED_PRODUCT_CATEGORY('1-28400-48','1-28400-48',(#194345)); #194311=PRODUCT_RELATED_PRODUCT_CATEGORY('2-9020-2 C_SUNK RIVETED', '2-9020-2 C_SUNK RIVETED',(#194346)); #194312=PRODUCT_RELATED_PRODUCT_CATEGORY('62-240-11','62-240-11',(#194347)); #194313=PRODUCT_RELATED_PRODUCT_CATEGORY( '3-2500-7 DO NOT USE - USE NEW VERSION', '3-2500-7 DO NOT USE - USE NEW VERSION',(#194348)); #194314=PRODUCT_RELATED_PRODUCT_CATEGORY('7-2500-8','7-2500-8',(#194349)); #194315=PRODUCT_RELATED_PRODUCT_CATEGORY('28400-18','28400-18',(#194350)); #194316=PRODUCT_RELATED_PRODUCT_CATEGORY('1-28500-6','1-28500-6',(#194351)); #194317=PRODUCT_RELATED_PRODUCT_CATEGORY('28500DB-5','28500DB-5',(#194352)); #194318=PRODUCT_RELATED_PRODUCT_CATEGORY('28500DB-5','28500DB-5',(#194353)); #194319=PRODUCT_RELATED_PRODUCT_CATEGORY('1-9010DB-5 O-RING', '1-9010DB-5 O-RING',(#194354)); #194320=PRODUCT_RELATED_PRODUCT_CATEGORY('16370','16370',(#194355)); #194321=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-13','28500-13',(#194356)); #194322=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-21SS Assembled @384', '28500-21SS Assembled @384',(#194357)); #194323=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-34','28500-34',(#194358)); #194324=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-16','28500-16',(#194359)); #194325=PRODUCT_RELATED_PRODUCT_CATEGORY('16724','16724',(#194360)); #194326=PRODUCT_RELATED_PRODUCT_CATEGORY( 'COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES', 'COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES',(#194361)); #194327=PRODUCT_RELATED_PRODUCT_CATEGORY('28500-10 ASSEMBLED', '28500-10 ASSEMBLED',(#194362)); #194328=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#194329); #194329=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #194330=PRODUCT_CONTEXT('part definition',#194329,'mechanical'); #194331=PRODUCT('28530','28530', 'KEY LOCKING SINGLE POINT COMPRESSION LATCH',(#194330)); #194332=PRODUCT('28500-1','28500-1','RECEPTACLE W/MOUNTING STUDS',(#194330)); #194333=PRODUCT('28500-1_1','28500-1','RECEPTACLE W/MOUNTING STUDS',(#194330)); #194334=PRODUCT('28500-28','28500-28', '1/4-20 x 1 OCTAGON HEAD SCREW - GRADE 5',(#194330)); #194335=PRODUCT('28500-55','28500-55','HANDLE SUB-ASSEMBLY',(#194330)); #194336=PRODUCT('28500-4','28500-4','SHANK',(#194330)); #194337=PRODUCT('28500-2','28500-2','T-HANDLE',(#194330)); #194338=PRODUCT('5661-SS16','5661-SS16 RIVETED @849', 'SEMI-TUBULAR RIVET',(#194330)); #194339=PRODUCT('1-16800-17','1-16800-17 Assembled', 'O-RING, 10mm ID X 1.5mm WIDTH',(#194330)); #194340=PRODUCT('28500-20 ASSEMBLED','28500-20 ASSEMBLED', 'GASKET, KEY PLUG',(#194330)); #194341=PRODUCT('28530-52','28530-52', '180\X\B0 THREE POINT LATCH AND ROLLER SUB-ASSEMBLY',(#194330)); #194342=PRODUCT('28530-51','28530-51', '180\X\B0 THREE POINT LATCH AND RIVETS SUB-ASSEMBLY',(#194330)); #194343=PRODUCT('28530-50','28530-50', '180\X\B0 THREE POINT LATCH AND WELD SPACER SUB-ASSEMBLY',(#194330)); #194344=PRODUCT('28530-47','28530-47','THREE POINT CAM, 180\X\B0',(#194330)); #194345=PRODUCT('1-28400-48','1-28400-48','WELD SPACER',(#194330)); #194346=PRODUCT('2-9020-2','2-9020-2 C_SUNK RIVETED','RIVET',(#194330)); #194347=PRODUCT('62-240-11','62-240-11','FLAT WASHER',(#194330)); #194348=PRODUCT('3-2500-7','3-2500-7 DO NOT USE - USE NEW VERSION', 'LATCH ROLLER',(#194330)); #194349=PRODUCT('7-2500-8','7-2500-8','HEX SOCKET HEAD SHOULDER SCREW', (#194330)); #194350=PRODUCT('28400-18','28400-18','ROLLER NUT BRACKET',(#194330)); #194351=PRODUCT('1-28500-6','1-28500-6','CAM',(#194330)); #194352=PRODUCT('28500DB-5','28500DB-5', 'DOUBLE BITTED CYLINDER PLUG WITH O-RING & RETAINING CLIP',(#194330)); #194353=PRODUCT('28500DB-5_1','28500DB-5', 'DOUBLE BITTED CYLINDER PLUG WITH O-RING & RETAINING CLIP',(#194330)); #194354=PRODUCT('1-9010DB-5 O-RING','1-9010DB-5 O-RING','O-RING',(#194330)); #194355=PRODUCT('16370','16370','SELF LOCKING HEX NUT',(#194330)); #194356=PRODUCT('28500-13','28500-13','MOUNTING BRACKET',(#194330)); #194357=PRODUCT('28500-21SS','28500-21SS Assembled @384', 'COMPRESSION SPRING',(#194330)); #194358=PRODUCT('28500-34','28500-34','INDEXING CAM',(#194330)); #194359=PRODUCT('28500-16','28500-16','HEX WASHER SERRATED BOLT',(#194330)); #194360=PRODUCT('16724','16724','INT/EXT TOOTH LOCK WASHER',(#194330)); #194361=PRODUCT('COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES', 'COUNTRY OF ORIGIN_BATCH CONTROL LABEL - 28500 SERIES', 'COUNTRY OF ORIGIN, BATCH CONTROL LABEL',(#194330)); #194362=PRODUCT('28500-10','28500-10 ASSEMBLED', 'O-RING RECEPTACLE GASKET',(#194330)); #194363=PRESENTATION_STYLE_ASSIGNMENT((#194384)); #194364=PRESENTATION_STYLE_ASSIGNMENT((#194385)); #194365=PRESENTATION_STYLE_ASSIGNMENT((#194386)); #194366=PRESENTATION_STYLE_ASSIGNMENT((#194387)); #194367=PRESENTATION_STYLE_ASSIGNMENT((#194388)); #194368=PRESENTATION_STYLE_ASSIGNMENT((#194389)); #194369=PRESENTATION_STYLE_ASSIGNMENT((#194390)); #194370=PRESENTATION_STYLE_ASSIGNMENT((#194391)); #194371=PRESENTATION_STYLE_ASSIGNMENT((#194392)); #194372=PRESENTATION_STYLE_ASSIGNMENT((#194393)); #194373=PRESENTATION_STYLE_ASSIGNMENT((#194394)); #194374=PRESENTATION_STYLE_ASSIGNMENT((#194395)); #194375=PRESENTATION_STYLE_ASSIGNMENT((#194396)); #194376=PRESENTATION_STYLE_ASSIGNMENT((#194397)); #194377=PRESENTATION_STYLE_ASSIGNMENT((#194398)); #194378=PRESENTATION_STYLE_ASSIGNMENT((#194399)); #194379=PRESENTATION_STYLE_ASSIGNMENT((#194400)); #194380=PRESENTATION_STYLE_ASSIGNMENT((#194401)); #194381=PRESENTATION_STYLE_ASSIGNMENT((#194402)); #194382=PRESENTATION_STYLE_ASSIGNMENT((#194403)); #194383=PRESENTATION_STYLE_ASSIGNMENT((NULL_STYLE(.NULL.))); #194384=SURFACE_STYLE_USAGE(.BOTH.,#194442); #194385=SURFACE_STYLE_USAGE(.BOTH.,#194443); #194386=SURFACE_STYLE_USAGE(.BOTH.,#194444); #194387=SURFACE_STYLE_USAGE(.BOTH.,#194445); #194388=SURFACE_STYLE_USAGE(.BOTH.,#194446); #194389=SURFACE_STYLE_USAGE(.BOTH.,#194447); #194390=SURFACE_STYLE_USAGE(.BOTH.,#194448); #194391=SURFACE_STYLE_USAGE(.BOTH.,#194449); #194392=SURFACE_STYLE_USAGE(.BOTH.,#194450); #194393=SURFACE_STYLE_USAGE(.BOTH.,#194451); #194394=SURFACE_STYLE_USAGE(.BOTH.,#194452); #194395=SURFACE_STYLE_USAGE(.BOTH.,#194453); #194396=SURFACE_STYLE_USAGE(.BOTH.,#194454); #194397=SURFACE_STYLE_USAGE(.BOTH.,#194455); #194398=SURFACE_STYLE_USAGE(.BOTH.,#194456); #194399=SURFACE_STYLE_USAGE(.BOTH.,#194457); #194400=SURFACE_STYLE_USAGE(.BOTH.,#194458); #194401=SURFACE_STYLE_USAGE(.BOTH.,#194459); #194402=SURFACE_STYLE_USAGE(.BOTH.,#194460); #194403=SURFACE_STYLE_USAGE(.BOTH.,#194461); #194404=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194522,(#194423)); #194405=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194523,(#194424)); #194406=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194524,(#194425)); #194407=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194526,(#194426)); #194408=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194527,(#194427)); #194409=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194528,(#194428)); #194410=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194529,(#194429)); #194411=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194530,(#194430)); #194412=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194531,(#194431)); #194413=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194532,(#194432)); #194414=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194533,(#194433)); #194415=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194534,(#194434)); #194416=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194535,(#194435)); #194417=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194536,(#194436)); #194418=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194537,(#194437)); #194419=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194538,(#194438)); #194420=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194539,(#194439)); #194421=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194540,(#194440)); #194422=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#194541,(#194441)); #194423=SURFACE_STYLE_TRANSPARENT(0.); #194424=SURFACE_STYLE_TRANSPARENT(0.); #194425=SURFACE_STYLE_TRANSPARENT(0.); #194426=SURFACE_STYLE_TRANSPARENT(0.); #194427=SURFACE_STYLE_TRANSPARENT(0.); #194428=SURFACE_STYLE_TRANSPARENT(0.); #194429=SURFACE_STYLE_TRANSPARENT(0.); #194430=SURFACE_STYLE_TRANSPARENT(0.); #194431=SURFACE_STYLE_TRANSPARENT(0.); #194432=SURFACE_STYLE_TRANSPARENT(0.); #194433=SURFACE_STYLE_TRANSPARENT(0.); #194434=SURFACE_STYLE_TRANSPARENT(0.); #194435=SURFACE_STYLE_TRANSPARENT(0.); #194436=SURFACE_STYLE_TRANSPARENT(0.); #194437=SURFACE_STYLE_TRANSPARENT(0.); #194438=SURFACE_STYLE_TRANSPARENT(0.); #194439=SURFACE_STYLE_TRANSPARENT(0.); #194440=SURFACE_STYLE_TRANSPARENT(0.); #194441=SURFACE_STYLE_TRANSPARENT(0.); #194442=SURFACE_SIDE_STYLE('',(#194462,#194404)); #194443=SURFACE_SIDE_STYLE('',(#194463,#194405)); #194444=SURFACE_SIDE_STYLE('',(#194464,#194406)); #194445=SURFACE_SIDE_STYLE('',(#194465)); #194446=SURFACE_SIDE_STYLE('',(#194466,#194407)); #194447=SURFACE_SIDE_STYLE('',(#194467,#194408)); #194448=SURFACE_SIDE_STYLE('',(#194468,#194409)); #194449=SURFACE_SIDE_STYLE('',(#194469,#194410)); #194450=SURFACE_SIDE_STYLE('',(#194470,#194411)); #194451=SURFACE_SIDE_STYLE('',(#194471,#194412)); #194452=SURFACE_SIDE_STYLE('',(#194472,#194413)); #194453=SURFACE_SIDE_STYLE('',(#194473,#194414)); #194454=SURFACE_SIDE_STYLE('',(#194474,#194415)); #194455=SURFACE_SIDE_STYLE('',(#194475,#194416)); #194456=SURFACE_SIDE_STYLE('',(#194476,#194417)); #194457=SURFACE_SIDE_STYLE('',(#194477,#194418)); #194458=SURFACE_SIDE_STYLE('',(#194478,#194419)); #194459=SURFACE_SIDE_STYLE('',(#194479,#194420)); #194460=SURFACE_SIDE_STYLE('',(#194480,#194421)); #194461=SURFACE_SIDE_STYLE('',(#194481,#194422)); #194462=SURFACE_STYLE_FILL_AREA(#194482); #194463=SURFACE_STYLE_FILL_AREA(#194483); #194464=SURFACE_STYLE_FILL_AREA(#194484); #194465=SURFACE_STYLE_FILL_AREA(#194485); #194466=SURFACE_STYLE_FILL_AREA(#194486); #194467=SURFACE_STYLE_FILL_AREA(#194487); #194468=SURFACE_STYLE_FILL_AREA(#194488); #194469=SURFACE_STYLE_FILL_AREA(#194489); #194470=SURFACE_STYLE_FILL_AREA(#194490); #194471=SURFACE_STYLE_FILL_AREA(#194491); #194472=SURFACE_STYLE_FILL_AREA(#194492); #194473=SURFACE_STYLE_FILL_AREA(#194493); #194474=SURFACE_STYLE_FILL_AREA(#194494); #194475=SURFACE_STYLE_FILL_AREA(#194495); #194476=SURFACE_STYLE_FILL_AREA(#194496); #194477=SURFACE_STYLE_FILL_AREA(#194497); #194478=SURFACE_STYLE_FILL_AREA(#194498); #194479=SURFACE_STYLE_FILL_AREA(#194499); #194480=SURFACE_STYLE_FILL_AREA(#194500); #194481=SURFACE_STYLE_FILL_AREA(#194501); #194482=FILL_AREA_STYLE('',(#194502)); #194483=FILL_AREA_STYLE('',(#194503)); #194484=FILL_AREA_STYLE('',(#194504)); #194485=FILL_AREA_STYLE('',(#194505)); #194486=FILL_AREA_STYLE('',(#194506)); #194487=FILL_AREA_STYLE('',(#194507)); #194488=FILL_AREA_STYLE('',(#194508)); #194489=FILL_AREA_STYLE('',(#194509)); #194490=FILL_AREA_STYLE('',(#194510)); #194491=FILL_AREA_STYLE('',(#194511)); #194492=FILL_AREA_STYLE('',(#194512)); #194493=FILL_AREA_STYLE('',(#194513)); #194494=FILL_AREA_STYLE('',(#194514)); #194495=FILL_AREA_STYLE('',(#194515)); #194496=FILL_AREA_STYLE('',(#194516)); #194497=FILL_AREA_STYLE('',(#194517)); #194498=FILL_AREA_STYLE('',(#194518)); #194499=FILL_AREA_STYLE('',(#194519)); #194500=FILL_AREA_STYLE('',(#194520)); #194501=FILL_AREA_STYLE('',(#194521)); #194502=FILL_AREA_STYLE_COLOUR('',#194522); #194503=FILL_AREA_STYLE_COLOUR('',#194523); #194504=FILL_AREA_STYLE_COLOUR('',#194524); #194505=FILL_AREA_STYLE_COLOUR('',#194525); #194506=FILL_AREA_STYLE_COLOUR('',#194526); #194507=FILL_AREA_STYLE_COLOUR('',#194527); #194508=FILL_AREA_STYLE_COLOUR('',#194528); #194509=FILL_AREA_STYLE_COLOUR('',#194529); #194510=FILL_AREA_STYLE_COLOUR('',#194530); #194511=FILL_AREA_STYLE_COLOUR('',#194531); #194512=FILL_AREA_STYLE_COLOUR('',#194532); #194513=FILL_AREA_STYLE_COLOUR('',#194533); #194514=FILL_AREA_STYLE_COLOUR('',#194534); #194515=FILL_AREA_STYLE_COLOUR('',#194535); #194516=FILL_AREA_STYLE_COLOUR('',#194536); #194517=FILL_AREA_STYLE_COLOUR('',#194537); #194518=FILL_AREA_STYLE_COLOUR('',#194538); #194519=FILL_AREA_STYLE_COLOUR('',#194539); #194520=FILL_AREA_STYLE_COLOUR('',#194540); #194521=FILL_AREA_STYLE_COLOUR('',#194541); #194522=COLOUR_RGB('',0.83921568627451,0.886274509803922,0.854901960784314); #194523=COLOUR_RGB('',0.,0.,0.); #194524=COLOUR_RGB('',0.83921568627451,0.886274509803922,0.854901960784314); #194525=COLOUR_RGB('',1.,1.,0.); #194526=COLOUR_RGB('',0.956862745098039,0.956862745098039,0.956862745098039); #194527=COLOUR_RGB('',0.956862745098039,0.956862745098039,0.956862745098039); #194528=COLOUR_RGB('',0.745098039215686,0.737254901960784,0.729411764705882); #194529=COLOUR_RGB('',0.250980392156863,0.250980392156863,0.250980392156863); #194530=COLOUR_RGB('',0.87843137254902,0.874509803921569,0.858823529411765); #194531=COLOUR_RGB('',0.501960784313725,0.501960784313725,0.501960784313725); #194532=COLOUR_RGB('',0.83921568627451,0.886274509803922,0.854901960784314); #194533=COLOUR_RGB('',0.83921568627451,0.886274509803922,0.854901960784314); #194534=COLOUR_RGB('',0.929411764705882,1.,0.658823529411765); #194535=COLOUR_RGB('',0.796078431372549,0.603921568627451,0.231372549019608); #194536=COLOUR_RGB('',0.956862745098039,0.956862745098039,0.956862745098039); #194537=COLOUR_RGB('',0.909803921568627,0.909803921568627,0.909803921568627); #194538=COLOUR_RGB('',0.745098039215686,0.737254901960784,0.729411764705882); #194539=COLOUR_RGB('',0.92156862745098,0.92156862745098,0.92156862745098); #194540=COLOUR_RGB('',0.92156862745098,0.92156862745098,0.92156862745098); #194541=COLOUR_RGB('',0.184313725490196,0.184313725490196,0.184313725490196); ENDSEC; END-ISO-10303-21;